From e3be205f306e9b8df42508c98154a004e2f88b44 Mon Sep 17 00:00:00 2001 From: Joao Vitor MENEGON XAVIER <j23meneg@fl-tp-br-634.imta.fr> Date: Wed, 5 Mar 2025 11:58:58 +0100 Subject: [PATCH] 5 mars final --- .../0/e/0edd54b7fee8338b/0edd54b7fee8338b.xci | 298 ++ .../2024.1/0/e/0edd54b7fee8338b/clk_wiz_0.dcp | Bin 0 -> 13241 bytes .../0edd54b7fee8338b/clk_wiz_0_sim_netlist.v | 291 ++ .../clk_wiz_0_sim_netlist.vhdl | 216 ++ .../0/e/0edd54b7fee8338b/clk_wiz_0_stub.v | 31 + .../0/e/0edd54b7fee8338b/clk_wiz_0_stub.vhdl | 35 + proj/AudioProc.cache/wt/project.wpc | 2 +- proj/AudioProc.cache/wt/synthesis.wdf | 52 + proj/AudioProc.cache/wt/synthesis_details.wdf | 3 + proj/AudioProc.cache/wt/webtalk_pa.xml | 21 + proj/AudioProc.cache/wt/xsim.wdf | 4 +- proj/AudioProc.hw/AudioProc.lpr | 4 +- proj/AudioProc.hw/hw_1/hw.xml | 18 + .../ip/clk_wiz_0/clk_wiz_0_stub.v | 31 + .../ip/clk_wiz_0/clk_wiz_0_stub.vhdl | 35 + proj/AudioProc.runs/.jobs/vrs_config_1.xml | 15 + proj/AudioProc.runs/.jobs/vrs_config_2.xml | 19 + .../.Vivado_Synthesis.queue.rst} | 0 .../.Xil/clk_wiz_0_propImpl.xdc | 4 + .../clk_wiz_0_synth_1/.vivado.begin.rst | 5 + .../clk_wiz_0_synth_1/.vivado.end.rst | 0 .../clk_wiz_0_synth_1/ISEWrap.js | 270 ++ .../clk_wiz_0_synth_1/ISEWrap.sh | 85 + .../__synthesis_is_complete__ | 0 .../clk_wiz_0_synth_1/clk_wiz_0.dcp | Bin 0 -> 13353 bytes .../clk_wiz_0_synth_1/clk_wiz_0.tcl | 235 ++ .../clk_wiz_0_synth_1/clk_wiz_0.vds | 272 ++ .../clk_wiz_0_utilization_synth.pb | Bin 0 -> 276 bytes .../clk_wiz_0_utilization_synth.rpt | 176 + .../clk_wiz_0_synth_1/dont_touch.xdc | 32 + .../clk_wiz_0_synth_1/gen_run.xml | 58 + proj/AudioProc.runs/clk_wiz_0_synth_1/htr.txt | 10 + .../clk_wiz_0_synth_1/rundef.js | 41 + .../clk_wiz_0_synth_1/runme.bat | 12 + .../clk_wiz_0_synth_1/runme.log | 262 ++ .../AudioProc.runs/clk_wiz_0_synth_1/runme.sh | 40 + .../clk_wiz_0_synth_1/vivado.jou | 24 + .../clk_wiz_0_synth_1/vivado.pb | Bin 0 -> 28253 bytes .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 10 + proj/AudioProc.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 proj/AudioProc.runs/impl_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/impl_1/ISEWrap.sh | 85 + proj/AudioProc.runs/impl_1/audioProc.bin | Bin 0 -> 9730652 bytes proj/AudioProc.runs/impl_1/audioProc.bit | Bin 0 -> 9730758 bytes proj/AudioProc.runs/impl_1/audioProc.tcl | 286 ++ proj/AudioProc.runs/impl_1/audioProc.vdi | 782 ++++ .../impl_1/audioProc_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/audioProc_bus_skew_routed.rpt | 16 + .../impl_1/audioProc_bus_skew_routed.rpx | Bin 0 -> 1091 bytes .../audioProc_clock_utilization_routed.rpt | 252 ++ .../impl_1/audioProc_control_sets_placed.rpt | 109 + .../impl_1/audioProc_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_opted.rpt | 49 + .../impl_1/audioProc_drc_opted.rpx | Bin 0 -> 1630 bytes .../impl_1/audioProc_drc_routed.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_routed.rpt | 60 + .../impl_1/audioProc_drc_routed.rpx | Bin 0 -> 3635 bytes .../impl_1/audioProc_io_placed.rpt | 526 +++ .../audioProc_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../audioProc_methodology_drc_routed.rpt | 147 + .../audioProc_methodology_drc_routed.rpx | Bin 0 -> 9940 bytes proj/AudioProc.runs/impl_1/audioProc_opt.dcp | Bin 0 -> 319187 bytes .../impl_1/audioProc_placed.dcp | Bin 0 -> 499330 bytes .../impl_1/audioProc_power_routed.rpt | 160 + .../impl_1/audioProc_power_routed.rpx | Bin 0 -> 435332 bytes .../impl_1/audioProc_power_summary_routed.pb | Bin 0 -> 867 bytes .../impl_1/audioProc_route_status.pb | Bin 0 -> 44 bytes .../impl_1/audioProc_route_status.rpt | 11 + .../impl_1/audioProc_routed.dcp | Bin 0 -> 567782 bytes .../impl_1/audioProc_timing_summary_routed.pb | Bin 0 -> 109 bytes .../audioProc_timing_summary_routed.rpt | 3159 +++++++++++++++++ .../audioProc_timing_summary_routed.rpx | Bin 0 -> 284673 bytes .../impl_1/audioProc_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/audioProc_utilization_placed.rpt | 227 ++ proj/AudioProc.runs/impl_1/clockInfo.txt | 10 + proj/AudioProc.runs/impl_1/gen_run.xml | 207 ++ proj/AudioProc.runs/impl_1/htr.txt | 10 + proj/AudioProc.runs/impl_1/init_design.pb | Bin 0 -> 4597 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 0 -> 16150 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 0 -> 25152 bytes proj/AudioProc.runs/impl_1/project.wdf | 32 + proj/AudioProc.runs/impl_1/route_design.pb | Bin 0 -> 17121 bytes proj/AudioProc.runs/impl_1/rundef.js | 45 + proj/AudioProc.runs/impl_1/runme.bat | 12 + proj/AudioProc.runs/impl_1/runme.log | 772 ++++ proj/AudioProc.runs/impl_1/runme.sh | 44 + proj/AudioProc.runs/impl_1/vivado.jou | 24 + proj/AudioProc.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes proj/AudioProc.runs/impl_1/write_bitstream.pb | Bin 0 -> 4347 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/audioProc_propImpl.xdc | 51 + proj/AudioProc.runs/synth_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 proj/AudioProc.runs/synth_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 proj/AudioProc.runs/synth_1/audioProc.dcp | Bin 0 -> 145899 bytes proj/AudioProc.runs/synth_1/audioProc.tcl | 131 + proj/AudioProc.runs/synth_1/audioProc.vds | 472 +++ .../synth_1/audioProc_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/audioProc_utilization_synth.rpt | 192 + proj/AudioProc.runs/synth_1/gen_run.xml | 129 + proj/AudioProc.runs/synth_1/htr.txt | 10 + proj/AudioProc.runs/synth_1/rundef.js | 41 + proj/AudioProc.runs/synth_1/runme.bat | 12 + proj/AudioProc.runs/synth_1/runme.log | 462 +++ proj/AudioProc.runs/synth_1/runme.sh | 40 + proj/AudioProc.runs/synth_1/vivado.jou | 24 + proj/AudioProc.runs/synth_1/vivado.pb | Bin 0 -> 51757 bytes .../sim_1/behav/xsim/compile.log | 6 - .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 8 +- .../sim_1/behav/xsim/elaborate.log | 34 +- .../sim_1/behav/xsim/elaborate.sh | 6 +- proj/AudioProc.sim/sim_1/behav/xsim/glbl.v | 84 - .../sim_1/behav/xsim/simulate.sh | 2 +- .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 94714 -> 50303 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 1 + .../sim_1/behav/xsim/tb_firUnit_vlog.prj | 9 - proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 5756 -> 1988 bytes .../tb_firUnit_behav/Compile_Options.txt | 2 +- .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 278848 -> 19496 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 486 +-- .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 36368 -> 5704 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 51520 -> 10896 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 68207 -> 3673 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 116468 -> 1154 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 6 +- .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 633 -> 603 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 78 -> 16 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 7552 -> 7048 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 23272 -> 12400 bytes .../tb_firUnit_behav/xsimSettings.ini | 2 +- .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 245872 -> 31968 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 10 +- .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 8568 -> 8568 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 10248 -> 10349 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 5635 -> 0 bytes .../xil_defaultlib/operative@unit.sdb | Bin 172617 -> 0 bytes .../xsim.dir/xil_defaultlib/operativeunit.vdb | Bin 0 -> 14699 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 7426 -> 7426 bytes .../xil_defaultlib/xil_defaultlib.rlx | 3 +- proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 4 +- proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 789 -> 793 bytes proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb | 4 - .../utils_1/imports/synth_1/operativeUnit.dcp | Bin 0 -> 43722 bytes proj/AudioProc.xpr | 105 +- proj/tb_firUnit_behav.wcfg | 84 +- src/hdl/operativeUnit.vhd | 59 +- src/ip/clk_wiz_0/clk_wiz_0.dcp | Bin 0 -> 13353 bytes src/ip/clk_wiz_0/clk_wiz_0.xml | 54 + src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v | 291 ++ src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl | 216 ++ src/ip/clk_wiz_0/clk_wiz_0_stub.v | 31 + src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl | 35 + 165 files changed, 12701 insertions(+), 696 deletions(-) create mode 100644 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/0edd54b7fee8338b.xci create mode 100644 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0.dcp create mode 100755 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.v create mode 100755 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.vhdl create mode 100755 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.v create mode 100755 proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.vhdl create mode 100644 proj/AudioProc.cache/wt/synthesis.wdf create mode 100644 proj/AudioProc.cache/wt/synthesis_details.wdf create mode 100644 proj/AudioProc.cache/wt/webtalk_pa.xml create mode 100644 proj/AudioProc.hw/hw_1/hw.xml create mode 100644 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v create mode 100644 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_1.xml create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_2.xml rename proj/{AudioProc.sim/sim_1/behav/xsim/xvlog.log => AudioProc.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst} (100%) create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/htr.txt create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/rundef.js create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/runme.bat create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/runme.log create mode 100755 proj/AudioProc.runs/clk_wiz_0_synth_1/runme.sh create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.pb create mode 100644 proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.end.rst create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bin create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bit create mode 100644 proj/AudioProc.runs/impl_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/impl_1/audioProc.vdi create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_opt.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_placed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_routed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/clockInfo.txt create mode 100644 proj/AudioProc.runs/impl_1/gen_run.xml create mode 100644 proj/AudioProc.runs/impl_1/htr.txt create mode 100644 proj/AudioProc.runs/impl_1/init_design.pb create mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb create mode 100644 proj/AudioProc.runs/impl_1/place_design.pb create mode 100644 proj/AudioProc.runs/impl_1/project.wdf create mode 100644 proj/AudioProc.runs/impl_1/route_design.pb create mode 100644 proj/AudioProc.runs/impl_1/rundef.js create mode 100644 proj/AudioProc.runs/impl_1/runme.bat create mode 100644 proj/AudioProc.runs/impl_1/runme.log create mode 100755 proj/AudioProc.runs/impl_1/runme.sh create mode 100644 proj/AudioProc.runs/impl_1/vivado.jou create mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/impl_1/write_bitstream.pb create mode 100644 proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc create mode 100644 proj/AudioProc.runs/synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/synth_1/audioProc.dcp create mode 100644 proj/AudioProc.runs/synth_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/synth_1/audioProc.vds create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/synth_1/htr.txt create mode 100644 proj/AudioProc.runs/synth_1/rundef.js create mode 100644 proj/AudioProc.runs/synth_1/runme.bat create mode 100644 proj/AudioProc.runs/synth_1/runme.log create mode 100755 proj/AudioProc.runs/synth_1/runme.sh create mode 100644 proj/AudioProc.runs/synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/synth_1/vivado.pb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/compile.log delete mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/glbl.v delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb delete mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb create mode 100755 proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp create mode 100644 src/ip/clk_wiz_0/clk_wiz_0.dcp create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_stub.v create mode 100644 src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/0edd54b7fee8338b.xci b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/0edd54b7fee8338b.xci new file mode 100644 index 0000000..35ad535 --- /dev/null +++ b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/0edd54b7fee8338b.xci @@ -0,0 +1,298 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>ipcache</spirit:library> + <spirit:name>0edd54b7fee8338b</spirit:name> + <spirit:version>0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>clk_wiz_0</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT2.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT3.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT4.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">151.366</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">132.221</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">200.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">231.952</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">12.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">174.353</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">132.063</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">clk_wiz_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">6.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">50</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a200t</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">sbg484</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VHDL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">e6a05ff8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">0edd54b7fee8338b</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">clk_wiz_0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 5073576 $</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">401ad827</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">207</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2024.1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0.dcp b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..1bf128509d40f5553395295f9ee2e39a8ce3a223 GIT binary patch literal 13241 zcmWIWW@Zs#U|`^2*c6i*#lw8)Ef*65!)jIr26hHchLq$2y^7qN&=6Jz<^s(V>3gSm z7tb~jX}$h+{e=x#;))J`JB+s(?-9EE@S$MBZtY&4qP1+wZVivWTo*t7DJA9--|D>g zmd~B7b{&55aL4%rjUOg$s?l1xNy+w1m2k29q~}c+_b{FAUY05JA>-VSkf0@z?=K(e zkiG6y_eRo;#qQqZl_mjhhd)+m+@1I3_>IzwvprWl<dQHw8>Eoa@HD|S=)uGA1(^<e zcmKEA6MpQ#W~Bw1QU7})4;<(^>tQhMOG7XlpL%TA!RLwp)0|i9AL^=9tvR03V(Pl` z)Y<-jvH#sf-IaE%vgAB@^qZYSTHEPKYUzvf*DqGT?d~nzwoCiWsmSgKMK;fGJ#jDe zRaiVerR{l;;@w|wb>U{xMWKA7Mb|}(nHJy6n_bo#Dr2}}bwc*0Jxa$<E4Ds**kU|g z#-q%(ysJTV!@2n$eirLyIjw)PbL)wMt*JHjwY^^t70WWe`mlS3Ide+XvW%`Y7MJx$ zR~AWI<$Y*5q+zz?+TK&E?wx2)GmVhCxcz+JuT@q-Qx7iiofZAg-f*|cYqzKu0pG99 zyUiv#<MG}*IbZA9*6Ipd+8Ht1XO%7QU2N%fZoQWL<t56O^9!FO-_w;o_qFwI-*oGk z33)8Hi{x%IOv>ARRQ7($TZ^;8_jLJn_sTxkd^hc%7@OTMjz+1LKbuozvtuIVOFmrM z%AC>e$m49CV{D-rc~&^+-&B*Gwf}j~SM9xzNH-f}QlqL09wl2aGB7M>Vqg$p;ABY7 z$&N42tco{?FD@xf(klZepnS~}=~E~8`!g8|9DV;=^Ijn5rZ-MKZ50Yt4-=d^4DWel zvX?n!n&(cpudBMvDw>@1<kFsVd+xvX`7G1F&CVh&l=0}_yKjAO>U;0mn6~+SQc?<k z@>)Z0wtmUVqUfN#I`-_slMg5!%hK*qX-%JY?osD9os<7Xr%Z~|`nJGVmhVQy)r>=Z z&aJn0F}MbCt20G6s~(>w(yPv2Z?I5F{$RpdKlK|rCmj<Ow#FnUevgQ1Ke=UY*(%Qp zC*2wamrw2nvpcx=OiIoT|FEraQs4QbEDJ-tx0F9r^wBC*YPFkLeKWIY<@L|9`_KOl z_q?ela(A0p=+C8W@mV)oyXysaonXj)I_t{D<I8r)9gX^O#rMY+@e|hRtKCi0^@ZEn zMP5AFKk-vhN`a-(AO1sXf^YYwa~@y2|M&8@?vj$Ff3Cb?Mh$MG{Npl8j0_BsObiUd zSb{qv1(Ib!AznMd(f_akPwV@iqIOL#tGD_HuUVcs`@#~%3l9$HdIfEc?4G1qc>L6Q z^|y>xCT~UD=imRlc{9(me;3$py_4uV-tHOJcS^|a#3bvx2T#qENxODvS$4;UgB=e$ zO7%7^+;F+%kl~qEi;LK@E7XK-bUt{nJvLSLwpwxFP}a_vutl9W!hhcW?X)g_URZIY z$mBx1==Iu)7YqxzkG*>;UVB<FK!jnvoxvT4RSVqrJ!D!oLGDNC%C;?gyxy<B^f6WH zlHDAEo&S$iZTA1ZW5p|*lckC6yIS&or@r3rdpVwUv$ES^Ux$u686W09UmkF#vE(r4 zcE7CEXX3Kzy}sS5?miXTJS|>_f7P^hk%U<>%sbz1czs8M_YJ%MLmeL12Nx1lP4Z5b z9@PGb7Pb>M9^}_$WMI%>L=W47#G=GpcyR~{TSg7W>;EEhD#8pcT%8m^z%b1eL@5{= z8ch9b`5=3WE=z>G45$0#*X&KA+$(2qzuh$>q0+Kz!E5n?8y$tWSwFpbb)KjC`1Z>) zqf*c6NlkdV^iRlt!CyU%OFIO1yDJ?&*tM3!du9K2UB|Nvud~f^$zC+;xW2#N2GzQb zpf^5$XXeTCdmP-VW8s!=EWy(8ca_9u?;~$`iaGYaTeocz=hT-Kf{yuI2OA{MGZYm+ zS7!=lG)k#UnzebG=35CLS(!V}1dcrkYuNF|z2}t2oL4FPGkS6km9c#=i`B~A%Am1u zmCLb5c7iRUIy0|5d-g?cqPELV<;w}&r~5olu3XtK|7P;ph7DJ03ydTT3)GZKpI%?{ z-L{{9k^I-o>9)Bk)gQhc?kqYOcwmdff>pojQIoLT#3!@AF)%RXFf%Ynpd{hUy!hn& zypq(461|F)WO&K3chdc=W&@G-_rFD+vnpkURoib=n7pjy?NNy$jgMD6kCu4K^gP<F zzgBG5#!Cke%>L8(`S-c$1xuE#Rh_bRQgs6RrKws9A8hY`f4Ehpn|<lp#>H#CN0>TJ z_fMIxu~P9Z-%-`<kkzWO?GcMFyn4%?>1@DIln^rINe5%gf!+VQo~^wVY4NRg{k3;$ z?{k(!S@#KX?|qp$`=76tjBA&BoXFXPW47mh)@s^yUHRGG#<}bLmj`pstaQ;ful^={ zqdIzGYsiDgX?Ff$JL)3UHVAY-kzT!iSH|D24r4+4zq2RVCGT=kOzN4e)BH8dSV86L zR_7IW&zk=`@O-j<0K9qz6|*y^xe2haGcf4zGBEHX2bx}SMq)uKJgjoV&rX+i7YxrX zUwSD+Woy<q=j=xdSeV#k(pA@A&px2I_+o~tPUe)E6Zd8-H1C<VSLyVzn|Etw_%m}_ zJXDr&IxrzPub|caf#av551fY{AF)5s_}zkGxsCk7UDa-vTHaKzUU`50s@1Dkt*)+` zcScp{f2FYeJ(;(C-{$F-x1XP~ckaQhi978qWMZUbc>1TWRbLl-Uq1G(rA~Ob*6P&a z^6feg9V_cA4DQrdRs1}1ai)vXM~1)Za&s2XKNT5!qVveizX1mQ&!=2S(cJO-z4^Pe z_l^JE>Up?JFPk^-x^0zT-aKpX#AcSXn9agD?|Iy+Wh7qJa<a4EZa#fjM#V7rdzDvg zgXEcQbD}O3y(+tX=hR`HEFtfRhMfU#Z|2?o#x>#4n#CznLB<Nbt%hO#%naNMZ5|p4 z@BWb4;8vD(>ja--cctmqmP?&oXKtNyJFVr!S3GfQ3eO4un$~}UTr>KE**>f+n98@% zBP}pPvDL-%_{2~_o-?gelKKwV=tV6{pIN$FZd&%5s2M@73s1K*c8g@3Un01*Zt=U^ zA7_1KrwOQRe<-Y>c)_@!<H3fWsuh`bx5SSKUU80$5_wn~(q&Nf=|)je0e{+&NuD7s zi<j^_PvB{<KAGNAVgKr~Sf$2dGr#>|4ZmIZTOuvC+T8JfrTL?AL-G@j7XGfMt60Tn z`|Z|S>-B-*oObT&i!*{GJ}mTHe&LGj^-bI#60a62x>=aL>zH8lKsk}u{7-k;)r~cq za}T(0G-%vpX8B{riWY-6mCK($wD{yX=j*EA+plyFHfJ2M^8DCcz+{+qCqrN_5C6kM zyU#97;|#knBSp;R4^zkniRVjyYwhf}<XB<Cp{{vdZ>i?)!=LVcQ(B{R%~O0icY#;f zN@0yy#%n6yoX$Kn(Jfk1{>!et)Ki05$*6$sdB+R$X%8M}a2({fTK4>Q-iu^GyT%n2 z>vQLAcizP@e@P$L>~dF=G{JLzGrE%Gj>*_E8^+uceHdK0Ea`x9($QIsS50=u?v}k^ zC7Iy&EnPyxDR+<L@skloGZ$R@w72Z^Cd(^<{S6tXDmP5*?<|Orle)5F?Ym0JmiU(5 z3Z*S4XMc3*$n4y(eVOpjk~s@q&ULzMTP7UYW~S#;oSe-kdu8_5liyA`i<{=3er*wJ zXvEK#<$He3<e)?I8cL0u`rQJ)Y-L<GW0&xbvcp;PC#$hl82Zgs-e+O9Li*RT9{+Yb zS<6NHHT7iAX)8489AJI5#2`w`k<rZ1J7|i7*fxW^MNMbpCdY}12Np|Dj<8pLpmOcJ zTfmV82cH|b_B~nnHDb@FUL}@~r-VOO20lMl@|3->O{-q>-?z=Xzw+cfj@kX*TuO3> z&eFX0!vdw6tn=P))A4%6m8N^S^eNNUU6MPxif8v_=cXpGKezgO_E;60o0rwxMtzN! zK2mbWCC}%kCyHMV+pS;5-LCKT{E=vMw)L|4ZMJh3im&1GR}hGfyuGHvDn^=l)xXJt zD<-AcGp%OfQ`+G7AfRb-=*tHy4Hek^-5o3{)R+z(+$<RJ-DF3fCa0BEjpmb++xg<B zCFF)${W~Qw;qiL61zv~utF^CRTej&#sgHJ{Ex-AUJqaPJUYpqQ-=ER6<<<R=4OL!G z=C<eiI$p9{{$OR7hZg&J<5}kelZ!v(wl2|ae{XPR74IEG-RW^T<}+Uew?2Ewy6e}9 zuz+0OB(b=Z89}o-AMVb%6zp55Bis1i&}#qTz0WUAOkl5@JWu+pP|f^{ni6}vZ}pY> z?YTPlmeQYw#6VwT*ZbW1hB0cN#m@fuzu4%5bK7P;LDwCw;v1xv%Czt<KG-jD?&^!O z3#Jc_^L$>m{p!}3YUjHrj6N9gzKzti=C=<#y69@ecRiiM+C0za&XeD=%Ve>?ap3LH zCb#Cp{wqVWu4-qOme(@Y9h)#)*ZRfQX1|2IZ8obuFx{EAA?`@g4@+J(f5(dC$cA`> zkIQ<*J=()1m;Jt59Q)kKJTl31!RZ%kum7ts_rAng@%rfW{InnQCG$UoOPK#i-g7ei zr|hx%<3G6mb3fMe{}^vn*J{1gg)8q~RWQ#SMvo6Jnt^^UPC^ZuX?}~V4JYq+O7l6X zIJt#|bz;;0zpr>D9l7%U{PV9ryX3*Q^(Qy8naAz@_}#=ZNovp6U-ti}K3g-zXX5LW zOts3Mix*Vi?v++NdDCY4%?^b=)$kitk0z!VJJxW;?N<Ig*HiQV<jSRCej<-Kj%-|z z^2WjS$MM@*-&D?cb-g^5n>kG_&Gjk&<=eaa*%$Bini;60xubY8Tc=0eXTNuA!Z_`1 z*BtzIZudU3Rod@=ZrwOx$tzjWcwx<o-``%pf0Ck6zvFkbVqj?3;=Rp>+cW%T8A-%e zy*d?Y7p?VLs$%ish4p`LO*nrhi*ufeTWrD`t@$T29%&VRQb}jk-EF-qg}1zXsV;MF zSdQblf9$9=XW_k0^SN9M3^TYG7<f=>&Wb#EwaGYxEB$K(QghBQB{dD)oJ+JYV0A2% zo?-ebYk{_=vb{r;<Lj(*8$=TBZ=Q4K>BgB_@vAnf)~o2)O?>sDs$l1XO?+W}@posj zZd>4<ctd64`H*{l%kD2z;PVXKCUbpz(60Y!i{`NRhu&Lh5-0Y=B=}|H^iT0Np93ez zS2kFkeB>Hjv0XH);p&S-jy?N>gMNO^UnoC)()N;cL%mmCkCLvi?l|<eO^x&P;R>76 z|9-ptI56GJeU^RI!#jU3aCtM9nJ-<j!rAK6tTS&qg6j8juHR#uDf6k?^R97DxtAq( zaF$2597Br5vvtO1!dG;Z!gjuYvOd!~#b*7QQs#5d9Ue^U%3CGDF)OAa`}U!Hv0ZLo zbsQ#@+TVzfd(+eD+qwPprVzt*E#-}2YXk(IN^F=RzWv_(pi2Gf#kZ~qR8-Cr%DDVn zR6SVHN%Jwsvml$f7w430NV?cm{Xp~op}&r^ylp<L-j~9WesZ=No3f^^$$^A@mzFH+ zKHnz0;{iAK<SLietNRR}9%_20#26Xymi0W7=gs=r{0BF@nfSt}u4ilRj&I+Ocq&iO zjfy<d7MgzS{N}UFUsf;Kp2YZln!}|fuXZUaFVqRt`?znenAp0~>eLr$HKu2-7=KX9 z>9Xhe@OkP9VSbrT^Eij<zWeiE&S(p>E4gq`)1httpEB#nw+9X0AHIHPuB!&)(akac z<Ib>|AA7W%KjG}Xskc|`nw|gRnq*U~yr_y%+Nx^t$FA4jbWZ>DxsYis`z5_4jS<_+ z1P-sh(Esztln(~SrzT9V+oE=ciSJ77jH$c3%eb<u@5rzG|3}`~TXb`A!*V7$9Y5dN z=l$}$%VY|_^My^H_WO+$&$n+Ji}}j7o8B&p`gc{We04nI`R272HZ$9vJk0syaNy?F z1Wz-oiHfHt&J}Owd()FNv+bCWnuGM?I^BNx*I5j;dzZ$1w<!7AQ}|O`I=<p;$6d9v zm4BnMCY2vL9=xyi*}Hu9!mvG)_CA?;v4?9b-`BO=l8Wh-Ya+{<rG78){Ky-=vpfH< zNM-K1qXEaRKb!oP|J>5!a}6A)9Xadupvhy^?>!uRo2CV1U2-gzJK6TFj`g{L>)fN$ z7RT?JD5^LsvWRVGuHXId90d)!@sTGEUY7m;cbl#6if1hUZuuPf>NS~J_WhjiKkb!c z7q=EIHM6t!y}KsuyPHF(OwrxV$NRp1zwQ4~nlnW?Q7DgZv+tX9w`Kp%Rvc76(Oa}M zZGOm~rB|hl_I!3cb%e+G=I8%r<%Q=beP?)do4xKg*A)e;+>2Vw5%J&h>IIKiaFjP? zO*pgQrm@HpzB1;O7p7%aSKr!hx>f&5^y_Yp{<&SgrN7UvVU~ILuPV2`^w%v-wVf-H zk7WOwwo19Z@UDB2^vjE9kNImpU03khWQx^ujt4VBcc0zAWOg~T)B1B-pMUAuZd{ih z_o;uUTWas#pGjVgMoK5oyol7d7JfCK>1=7^?P`zUwdD=^jfVeRXJ>lbmn7}{sWx%q zIk^=%L7{BoEUa^z^(TB5@-nQP6(pe6#d#q~-Fx!S<1g8lT|TlkKtlJVNAIt8`?Ypu ze9^Ct9sP02ZQ(1+uso&4IU+wUKT!8R(vg<g_b5$j=Kg58okfQ??!G;5jS=UE8?&=H z?(F_C(ePT-&4Pk#(<`$>vVLz__iy57VYTHo+`&uagVNHfBpA8mf_{l-{BS=0?YZE; z%UACHFQ3+?b&jbmaO10(+X_oPwVv-Wp4z4K^0cF{c;lCu=WWy&_J&th{!A`1@R929 znZcY{q`j*7N!QVZ^Vm4lZq}<wJ?7dFzEW@Zidxe}?-ty)>}<)m*t*BPG5lW^@A1Pz zucdZ5O`YTFEw$s2?JNEpz6aiv%dgp1{Pa0H+ucQuT<p&tweNYt7FYJuNm9YufU`@l zz-b*X!#&^bU?tnrp6_M$l`UbKJXKK2%ft45$})ZS7aPP9cW1;dciTBHd%5FJwfa9Z zeKQiTu8cob_sqPTLB}nmQ0a)7dAIH7jknm7t6~zi6%{()ncj9@>3QkIZJT@y*Oq@% zXJ#!E6qq(cThL#Q<Nc=URj=cp+(?tGdlhl?Sg}t`=xwe`Z=@XR*yHwJ+;_sh-&|Wq ze^%-5eLbq1rU+<OYB}9E{Zh{&_F@v}<)eO`ZC_&qO|Hi1TjySy&HhK+XZDhr4jsHj z-(s`YEM#ri7Qo85-a+KWjVp$0)UKU6SJ!mkZj-0VuE#1@*DvAOwR`u{qO`}F+Op@; zzO9d$7W{?p#g0Qet1K=#|9&YheLp}v`!CxE>(IPob#2=B7jECfByH2s#9qYcHiyGg z%h^Xs;z)bPdtR;NskZ#Hru<lSS-Qr4(e>}l{36Q_#~aJ5NlkgTF-WK@l9lbRIOlFP z(c(vu_BxerJVtuy*J>trTW49%P!FFgDI8h((D+(MwzZW<msyNgNDiaP<z1PRyS8MO z9$8`XxFUm1=;_Y|I$r!)Kkss`xcoZdi>PPv%Wu);YGqXy9@SPqm6BW><dC*`X<uWV zz>zeTEBlmK>myeS-ut+seNOem84`kr4$FTLbz~DZSem!Y^La$+#d!9stR^1&=XgB& zP@^~TZp^Ni)mm%wU-ZV$IU%w$sMYJTL~~b}vRIA#<J7ZNE@{O<4`dc~$u_ljGFYph z(oWtabk6NK|1-XuRrA=~KXYYoD?7O}T}voo$|p6CUyZYWZ;zd+A8^i3AnDD$BX2lM z&vssqjDI#UF<I%++|5&psw@Aq1uuWrvd#R@f#=JzuP$+%-D|q;hu&H{e>pverL&?V zUnV#${{Eoq>+7@8Vz14aR;_hvNVsV=b*0Z+JFV{IN3Cxwj!Es~$_;Yt-c!aUFFZ{$ z(D2Ha)|-wz*Q!MC?Q`xldKH+m%;)5$TQ7P%HhydRF|%Cyj@w?vmbFQuJ$qI!d#rz= zM`T5Sa@UI9w(FlxPOx43;Dqh;l|_8<pWKgqU-@9sn~L=j1-cVrKFSI2cNhD&%3X5r zHQ##v8v?5fKNjt6dq45csaLI&pDq)&PP#Mu-Q%j`6P=14|6KK>pwe~a>`&*{t^J|X zQs=T)?w9z};OXM!8y5QH-iqB5o_<rfsQWAnpW6$Y12@cdeqJ=*VJfl4=G(5HZ-oC| zcs5yX?v1rO+lzi~QdNDjVeP54Y)fAhKa_YH%<(s3_Rm@2#(UHI*u+k+{HlDV!y)EI zD$hx;%somM4%B{s{HC<Du6gHz+NmMITJJJ#cmwj*p4^xp^LAhGsoaI(yZG`xs_HFE zTCtNYbAg?9QcpA=zqgpF|7OmN4TtWns+?8#`>fRUR)s6u(@)8+w@+AdK9Rlq`H}fw z)*Zae?-<n49DD4B9NUkiWBtvh^SQR<ZCiDGf%t?tM!lz&GArkOsXcu3_2LiZ>)$YZ zxV-Cw9>aEZl@#_Ey-dH8OZ4ymZoFvnZgHw%edIUZtA~$@mcM#hD3rQo)xkyHCe0GE zaqAjOF7m2wvpo7t<H8T^^SawE+I)Mr(Y!T4;>3{}yR$VhJ1le(9a(j97W1TTi`}XF z`(;u2ejnq`BdR4f(OyUOcG&CnPAa{$`og!P5iIVUFH5FM?fa*7<ETf@ev>H|+B!O> z-{0RZyFV*JMPXgeO!oEc2?rWO*4Ku=o1iO}^(<=t$9(lixwDIR#H%y!?(5rL`#$mW zz5Jk!K>`maE|PlPyrgO3i@=jhUg!N*fwgBD7(gRIuTHa1Z{TEL0AXH~_H1fO8oWWP zu~q+Xf|1QuZ-!r?YXo!-be*vY=z7_^=f&omzJ3duSk^5QpMFA6zrri%l~rC3<Hp^t zs~AozakQk0GW}xs%kZBejDPd>jdh%JOheADa8>O;=sSPf6I=e`bKlo|X7%sWjd!!& ze=sLMn}e_X`TuyqB;R*GPs{At+5Cg|{tne}u4DICNKRmQ#?sf$wCi5c^p_C~&+eWU zXH4+lpqF*YlZh?+kK-gqr;h!+6E{@!oZHiW&VI|PiSut*{hM>{wB%`*hWim>j7rw( z|0_5=1Q^|GH0w9}?mNuK82A2t+&LYcec69%XD91CYVfctdiW=)e70J+*#BIa&6YPB z;uwEP?Eh%<rN-Ir)4}}LQvZss@A!U3bxwH8%za-k1RB^B{I=SsYG!fhuvCoUy!yC( z_bi`8d|qFX*Q`^hZ1YZk=V8AoS*z}}uUzywO}KPzsqd*-IZM@Y78y+MQN6#SxaIg! zce_Q&j@nnRd7d@svc1v$AZka7&Uc}Qg*<KL2W5(@UMJo#eOFc7cTTqN!M5v#9hEF6 z>rb>fJK7ze;k`C0mL;t9f%)9zg5nM7Wi0oF!q-NGo|Z{_=5Wz7GW4*C&taKYF*Qqh zMNLIjSi8?Zo1?YLb9L0zsOwiYu)SI(mu4mzRC6rppz{Jj(U{_o*K7XdN)<mhmwrB5 z*;I*Rl2O#DuMwwGuX-B3*>tOEX$<$owysrYUV2$ARGZ9^x-e>M+$4@v#>FeTmL^Oq zDxC5BT;<8~eU8uNi*L6+Ubp#k{?@2Sslq6s&Ls~jjz~NfRu!r3ji0ZvaK*=dd-phl z_Z!a5Zv5QS+Q`H$qVvzr_R8$t3|kH<7rC6gcJg78$ZX$fjzN-nZfjjvR{E}67Pxkn z(wD4RJ?9(L7hk)^Z0=;dIyHPV%L22-qO0$9WreTi6%Advd6$GxMEKUpX@NOKo|9Be zrFz{&Tn#r(=|0zaN$r)J0Lw`ZPtEylJsSf9`LEP&5V6W$dQN+-)cRS0ZysH^t#|G< zZ*_I_oa-CBb$b{2^4u_X=!%IBR4c#4QW~UNW_Tk~cA06c@AkX>zwI=f`kxEhK4I>X zn$+}W=G_T$D-~VcSz@Odo$Xkw5!`ZartjrhYszdHx!RfpSzY=#k~x2WKH=Lq`$Y}2 zWDei8O8ywhZ#yJoX9VczE}mK)Dtt8Chp+It&c1dDrpc23iURu;-{f~&?t9>Ku)yX( z?6d2)jjDbNsBus7RGaR!VCs=oQcDgi?ASf+#@(k8N!}jYjgKd={4|ncY$}izOJixW zoOknK?*lXM_K&O&8=rK(O*+3Rv*)DvUduD4(oL@;m9FfJH*#5F9=lIiy2W_M?^k~u zP1LGyAAQKi7$=jauswT?`H$RljE9QnOCS9m<5?`Yt|RpQ(wnZXfh>*_&U~0>nQ;B# zDv^m_Tm+vKSjh0TJ0DyTem>{4YSSW(E(K0U0hPs5g05-XZ4U}z?PhGUSh4A|k709K znwyFz*Q8^gI0bqR1<rY@v5a3RVWS1tVFQuF3M`2NP8^EIB%C-DTLe;hoX!;8N)yZM zHcu9vk!L!i?RWHfmAtfC_7Z>FhfDu>iZWlk6;Ww>X8#AbqDQh{t?z6**VsMP_1;2R z?YfjXXKg;+=by4>+CrvDmWm0%({?@7a^$=znJtuUx9sT5sN6UE4$RnEp8AR5)y#~T z<!>{lE&3&KFevVz<DU7Amv)+6eI%lv^(=txjJ&P8%lzz9{#NYg*JyPlw5fTVkJ@D8 zqP(x}=gt@2P71$m|GMrooV6|GyRzK945@~FzYN!&uN8eT_t1tN)91}(cxUu!*2BXo zO-}sF7JB)7O<ntNJ45B#%U_oF=pRU)^Ym)&)oMenJxgXz4xJ;gcGkkjM^0FMpPshO zr%l9K=%M-4{Y(B?GA#e_f=6V^%s1b~>(3lgc$tu0GEwlCY`uQq>;;O~46+O|W?W#? znUOo!faQ+#q-U0wm&-KpmkB>9?)LYQ*e-fpW1+#CtT*m!VvT3TuQ8AC;^{i5%s!EK zQsk0f8D~^F8QZ;1-92>3q)R9JsMsax9)Ep)jqlFS*mEX2pGat6kn<6H%od)wKj-$Y z=Cb09Q|#Yo?_$`kx#em5ZS&HbQCnX{UWixteEvD>3SDFVU`cV0Hbu5Sn-6p}adPvN z{@`83?R2jF!^AFm_1{<0LY50P2nPgiD?1)rIl=#<S?fx+YtJk1t=l0u;S>iL476 zt~M+VSD!S~Xj=bUJ7I%Op;t3&>xJ*dGi>CPcK&-uE}(u>pX=%5n7<6|au#j6=c7cw zM3?MQUGcgm<gO$8^UY=_Raw_Zh}0O#D_>(att`3EmwH)nsrSyVEl#gji~o6dAlhhg z&btY9P5t_pzsi()r{7wn&U#f#DeLmtBe5DTr{i2@*HrE*nLcNOv|{I^3vLr;UAw;J z?*UKM9c;lDZ~eVolzhPJ+pdM4o8&IrtxCAERL7yIWrwQ6g@<e|a(~X<o1Y%THBFgm zf8|uGN4Kvn>AYmEIgv%+W6Y|>VgA0CJ)XAJ{|!&hWT|$J(B&v*w|U>Aed>z-VwO-R zMYkt~|28sC6nS@ZN~_Vv`VUD9AG|%&^<$Aa|K2~dmer-4GKw?)@Z<KM=?;ztuhudr zCNtdsvUaIS#i~G65!>b)XB9qAIP*GcCr@1!|BkKzY4ysvQ;u6-^t$oO>yfy?mms5E zGZGwra8GG52w2-Bb|rb0TgL<I>G}?$Q*S$LwOQxT@GL;rKDlkhR!b}1w~o&P8nP0u z2Bh)HtdM5?uH2`($LhfGY$1a~9Bh-A^(;Ge!lrtylRfa$TPmP+f5pNz$6q;3FsLdy zJwftMzw$@JHB;o$FL3YPcl-2aA;0@)ul-)hm1Owd=s>_ii`V%cKbLnltFtjio%GXI zkh9;%?w$IzrP%A;8Kab~R$0~YR);5cF0NHd>u3GM;i1w|v3X+fvqO5HpC9O~p22d+ zBGsO8^^+?qPPaAGHe`P|$lJe&QS9nLw^KV?OI%WPblRmkUVi-iGqq~9oI@}3QPUN9 zOs*o_sTnLD+va(RCR8PyxWr^BXL*?+W66XXV=<nvw@E!~eS(giU*x@|vp7G{;$Hk2 zKc2}AOCFq2{^sL;Jz~yF{+xNq@j7d6PD?Gm{O+=)<EkR<yFbnzNqp4osCA{1<)54M zdYNr|j?85B31GXY;8jv^L}1IO__vq;I;yhY5Zk3JTD(t0h^OX?_ahyvly%cO3yqBu zytr+oS&l6|&-`H8v`uy5RXaD&TD3_cA>u8^ffL((cQ$Q%^IPm?thiOK;GT#ldulVf zeyd6^4BAk}2y3%(fZJ?=$4wUpGcYjpF)%Rjp|sgD^OB3;jW+I+XU?AS?l1S$@$4x- zt>5+ijJKZGS>LnTo@WYApVZg))-}3fY;F{!;ir4b=gi49UOFewoZr03OULWn2_5fH z1Cwxr00ZVEg+pw;ffW*$GA3{{z}x|8JBD3Wom9lizyQLiZO5XNM7T4+ZAUgyS8aw_ zZU%hz2Dx*X4=`{tH}@18yqd7E@r8V~H)r(C;MBy;noEj0w=Wa8|8A}A$GxSx{XZmb zxVRK8y?NUHy_2u|MYSCnyV))?TxPh+5PA8+0UaGT?&=3ibG|j{%zbe5Rl<Aolk=7c zpRxZrTU*z6UrwdnjGkr5Gw=QOJ8czl<MW!J(r*(!aVF}`?Kl`?HEr+gH`}^(C$Ifd zx8k7brd8(iV>~7rFg_8q?pnznWpq08Y4*cE7k4L~K72@H>YGz<rYQ2Ro$Ri?H6hbP zmRmXX&EBtbpRVb4Jbl=Bm7&Eyzp8zgc&FP6%y6(55Ik7FNriv*8tIG1fqx|V<(?|M zV40}hwm|-(^IZ+8rcRH)A67rvJadu$siS{C9KLo|Rk-VV-l8)`!VAu^KNd7hJ65>( zyY+?N52T{5%Fkc+(Bnfv%4F@ItYM{J1%lV)=574#cg<aY$Ffjack#%pBJDeO$3MNa z>Ym0o2cDM^VOuquqc^Pb{1<m})whI9yV|d-gT8(}nW`u6$CK$cua7^isx9>HL1V2@ z_9}(9!UpnuZN)E7%&<{jz3X#~z|r$<$<9UIVW!U&S+D<!UUO;peA}pl+9ByDzMOi| zctAWpefz}hkoXM=VmdcEE??aEY4*A^^}2Tg_gF3q^{p*h(4$eNx3JgBl5d^k*U5`c zE|%prs=ojCu8q~igvnh?lawCT#Lhf(!%xU_->2CgDy2n*N*4|0PkZrAzVBw0gp$h% z(J4#kPx_wm@_CEW!y6^34a>ZCsPFY;xc{zM$7b;#>sbf+l@}=5DKBB3({W?c@xo2g zDjvce9U3j`?w&dSDQeOhsX3`)rOry>VPC5~I-{;i#UvL=M0ossaa@1PDr+qX39<BJ z9EVrwS-PoRGFg+A7iVcZbEE5OtK^V$2Ftdvt;gNkGn%7UTu=?V5VGN9s?qcpk|F;5 znu~ppyB*hG!IwCB_l8w3Jx+62gbUPbbZNA(mAF1x^k?(SJIm)ZwAHYAetA~&GR8@M zTiD5mEN2;>XFGm=pYG#ZzqgO?OT?F^pX#?xzCRh^zxIJz^!enSktIutIcI8kI)oQg zUgEl*R{8(;1c!@rrvJP%Eww%K`U2UUD=C&gKeoR*dXLK}!*-|T$#d;%CwzNf&on)g zl}9LbgXhy7ae-?$9Ttf_@X;;*pI%gnsnVmyg<MZR8)_U3{`b^vg4qM{r!q6bwpcU# zpYZIh{#=pRhu2+q{^NVWtfx73&6DMClXx4pu5euH<ff@KablB*N^2rd0+XPimR6b2 zgF?><hb{ONTO`~&gry$3x9F~WH8Xu(OPybgbav+o?U_Gn3dKIDBnrQJ{9dAb@u7ta z6`%fb^Zu6G5OF7nRXWIV#m;4FzDWz89By$;Wsq##87gF*rW|ZG%|+@Zk7VJCo)t^m z9qb;io@1u>qiMf@_c|MvvUQeP;>}5G1iQSt&w6oOWp_>cIZ1g1R{}@T1ScOR;k6mZ zc6W$fym2X?YromW`wJrXc11po&U$;(X|hQ~4e!PKX|M7G<v4cdYUlj;@XBb>Tg}L= zPZxOJn!Z5q^zubbLLpp*Myp#_b^H8FJG10rRbF1^iA$e$U5r>Y?eWJ0ylf^D1U;GM zRx)Ww7)`$*bks`HP4D}pzDG-{diXfbmOR?{Xpj2~5BV_u@`?@a^Yg!d`^u0ly28a# zT*kBh_Di4hYhq%3bN(I)RupHn(z^KD{Pk&Xmd5uElcsa(2`VqI*&BX9WW|cpFW(5h z{P43#!$A1Wfi<#Hky4-kUq9UN@~ehJNSyHrhiX-Y$3^VMYrmgd`!&}fKs{u|n?pt4 z*yfvm4Q1ZC=;a!{rCZHf&70QphTpxjcO!>U`c9=u3SYAy#3xScs(XFF;;@~3<4neD z0j#i6nghHP^DXC%vN|RPhDEFl3_{4YMSM|8qFz>UK4jhsv?{ZAO1%GV1CgWme~N@p zRcmaS{KfulwEM*wEU8;$=e7hqIcC20;704)ydp=+=g&2g+U0oCJ7oTSTf2SN&t8_> zvE1lL>m{*$r$b)$M6F9%%I)78_TqTf#!EG3+w&?vGq0MvSZt;1R;fRanohSnKcB#I zL71sc&cMK>*~(q)z_L@~KUT4QiSSEp;yG8jNc4;0bIw`(F<R}jeUg{5#4I#>y=AKQ zyx?UD{Ci3RT5G4TxN6a`-dj(?WO3Q*%b5p{ymt$KU=-fJ>uZ?#s{@twZ=6j}y{pZ- zxO-hC?}e7Et<m}AuYJ#(oqn^@$Td00@lBJDY35bw1=E9yEStlceRj6azr1vdcfrX; zZUN1kKdyLFux3+_*E8P|!>$<%9~w&rdmcSiz}!;kyqqc7P1m<|SASsk(#AhwR%YJe z>%vu6yhy3>FP+$UM@m(3&T1atis;qp8iiu>=6~teXIt1krzp`>Xp8r;m0O;OMrM>s zf4j5l*TPVt9mX>a1j|3#*7q#Fv1ZBK<5vv|F7sc1s~&p&Sy}g#Xu(Y>f>TeKEN<lg zvh42B!oNS`cW&Qg-#=Y`lG$3<iGR0CxP41aQ=Wf%t*z2(kt?62{&B~xQtY{=Tm0ke zvw7kdX3VmdxUgnJlzgFW&!uUs6&pX)i##?wWbjnz;NBNOH}bwmX-Us!RkL||BCp{| zvD%}#BGWtFu2*@x&e3dJaH*vFw%zp^5%ZEae%@wPa=j;g$Na)fKAV8sYHH0F|8;NE z?Y%!;ZTWkz$KRJeOnqN}`hLr-poeyx@ABp)_A#+NY3VtUB5w1HX@3pN{K{9w`=m>j zzs`8Kzi<9#-Hq0@?5A_OZt+UKiBV2H>LUHx`t|(hv-du?M@_co2a3yEm>3xRlo%LL z8yDr!fhkamy&HKk@3sL?&Hd{)CV52tntg0r!`>|i*xvjucTYbVu;hl&65k9JHl0qJ zPdQzSp2WZIpMU>;-0dH}S6#yYxIBNh{pzN$*d@^$mn>eFvAXPzzE#x0i0h$g(ifB` z{K}uZjPsDejZIq;5B$$wEA8Ta`mu7(_1@pjYxIAl#!Q-A(ji(sL4DZ=<@-m?er=k% zdd+>)e|a10_jBwy`pY)?gV^u+qOImXKH2dZ>hMkBSi-7%=t1qy<=X3R%G@g2?G}+H z@yc(m@|5|CyNm8IB>s3Tm~fdXVS)jB&XLyV$`NP7K6%$oWd5?t`0NIKuhm`N4ZCeb zh3juj?&g?hdOx$o?yBRrL+X>6rz}1`^SngY&JM>GTVY<7bhqsSxd$TyN;%Ibxv<AR zc_llmlUaO?VP`;$S>bbIb+h%pA{Wk_7rxSc^+8VrzoPT*0QQ8|PbbXIgiZ^dc=Mnm zV~^m67=^Yp6QL`zQ+-+0vL+wCT+O%OWrR+(<k~kkKRIoCuzJPw+;j8aY`D6(H_P(R zESHO=;l>@j>o*@>?<O1F^3PoU{H;56eizfHRBgK;d&NoQkzA>MKATo_uKAH?3nv#@ zRF%wKWhQPtPijZ!sh-@J_{sCXy-_It-~F~OZD!T8V!OFceDk+UIrBff8C%nTG4kfi zn5*~aNZmZSG4GMq1LcjDmjX-t3vx?th|KP1p6{>qEz;Y!g!{t{ZKvL~kLB+722^yN zz3{@!l5KwMnP!G`InMpXCT>yY^QJN%THJf;{kKU9he{a|rtG!2eB+R<{0ZZaw?7?m zUvqBtEmpVX?+;zKC|4DJ{K8}V0*=eC4Yzxqc8)4OHH*zI*uLhcQ~oygZI)N;EY4ch z>c`%%@>ad7v()P+FKW8I#x5aH!N9;UmjP|rr(RKNaeir0a%wTSX$(rev1fPl9Wvl) zeR$XZ@tuRwL9_U7WKA^_ie?W{WIJ-;x3@}Cvi1G_GV7!jHIr?X3hq8?zu*7-@A{J; zvyN8Xb&_1ZZL59x6NRtWUNpb7`kT^I&au+v<p(~!*O$UJa0s8*{ABmRCgyucvD|5| zz8<!Tjvf=8B4+%yKO`RD&B!FejBB+%F9QfLGB7YSG=f+uu(kV~khS|Dmm`}18b(H4 zw~cIq1rr07Rot8m%=wxp(m@#ADAYB{$VM$^#xx4NLK%-~sH<=xrhz=w(8$b+Y+3<g zO)ehOP#2p*OaleffyOL0WYf@>p5ieQbtxvqNQeXHvm+S^TbPN*B-EuT$R^$7LpBMt zPz9?okX{|?i~zDRD<zSQ0nZO$H3wTS6=Du3tQs0)m66SX^jWbQ1aS~*HxptIB!r%# z7zFNgVl@X_LkMCH#6jJfNDe}37U4+npbajl)f2K|PC7`2>47UNJm#Pl7s%%P(nB@} zsqjECjg<`)6ub<)3@4cx7}n@BFz7M3nw0uvI+?n;1{S7Uq~%l^1eJsq7@KB9<Wy8; WYFkt$1^f8=mnLOY<hrLP+X4XZ#HLCB literal 0 HcmV?d00001 diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.v b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.v new file mode 100755 index 0000000..343e45b --- /dev/null +++ b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.v @@ -0,0 +1,291 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +// Date : Wed Mar 5 11:37:36 2025 +// Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.v +// Design : clk_wiz_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* NotValidForBitStream *) +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix + (clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked, + clk_in1); + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + input clk_in1; + + (* IBUF_LOW_PWR *) wire clk_in1; + wire clk_out1; + wire clk_out2; + wire clk_out3; + wire clk_out4; + wire locked; + wire reset; + + decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz inst + (.clk_in1(clk_in1), + .clk_out1(clk_out1), + .clk_out2(clk_out2), + .clk_out3(clk_out3), + .clk_out4(clk_out4), + .locked(locked), + .reset(reset)); +endmodule + +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz + (clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked, + clk_in1); + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + input clk_in1; + + wire clk_in1; + wire clk_in1_clk_wiz_0; + wire clk_out1; + wire clk_out1_clk_wiz_0; + wire clk_out2; + wire clk_out2_clk_wiz_0; + wire clk_out3; + wire clk_out3_clk_wiz_0; + wire clk_out4; + wire clk_out4_clk_wiz_0; + wire clkfbout_buf_clk_wiz_0; + wire clkfbout_clk_wiz_0; + wire locked; + wire reset; + wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED; + wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED; + wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED; + wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED; + + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkf_buf + (.I(clkfbout_clk_wiz_0), + .O(clkfbout_buf_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + (* CAPACITANCE = "DONT_CARE" *) + (* IBUF_DELAY_VALUE = "0" *) + (* IFD_DELAY_VALUE = "AUTO" *) + IBUF #( + .IOSTANDARD("DEFAULT")) + clkin1_ibufg + (.I(clk_in1), + .O(clk_in1_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout1_buf + (.I(clk_out1_clk_wiz_0), + .O(clk_out1)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout2_buf + (.I(clk_out2_clk_wiz_0), + .O(clk_out2)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout3_buf + (.I(clk_out3_clk_wiz_0), + .O(clk_out3)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout4_buf + (.I(clk_out4_clk_wiz_0), + .O(clk_out4)); + (* BOX_TYPE = "PRIMITIVE" *) + MMCME2_ADV #( + .BANDWIDTH("OPTIMIZED"), + .CLKFBOUT_MULT_F(6.000000), + .CLKFBOUT_PHASE(0.000000), + .CLKFBOUT_USE_FINE_PS("FALSE"), + .CLKIN1_PERIOD(10.000000), + .CLKIN2_PERIOD(0.000000), + .CLKOUT0_DIVIDE_F(6.000000), + .CLKOUT0_DUTY_CYCLE(0.500000), + .CLKOUT0_PHASE(0.000000), + .CLKOUT0_USE_FINE_PS("FALSE"), + .CLKOUT1_DIVIDE(3), + .CLKOUT1_DUTY_CYCLE(0.500000), + .CLKOUT1_PHASE(0.000000), + .CLKOUT1_USE_FINE_PS("FALSE"), + .CLKOUT2_DIVIDE(50), + .CLKOUT2_DUTY_CYCLE(0.500000), + .CLKOUT2_PHASE(0.000000), + .CLKOUT2_USE_FINE_PS("FALSE"), + .CLKOUT3_DIVIDE(12), + .CLKOUT3_DUTY_CYCLE(0.500000), + .CLKOUT3_PHASE(0.000000), + .CLKOUT3_USE_FINE_PS("FALSE"), + .CLKOUT4_CASCADE("FALSE"), + .CLKOUT4_DIVIDE(1), + .CLKOUT4_DUTY_CYCLE(0.500000), + .CLKOUT4_PHASE(0.000000), + .CLKOUT4_USE_FINE_PS("FALSE"), + .CLKOUT5_DIVIDE(1), + .CLKOUT5_DUTY_CYCLE(0.500000), + .CLKOUT5_PHASE(0.000000), + .CLKOUT5_USE_FINE_PS("FALSE"), + .CLKOUT6_DIVIDE(1), + .CLKOUT6_DUTY_CYCLE(0.500000), + .CLKOUT6_PHASE(0.000000), + .CLKOUT6_USE_FINE_PS("FALSE"), + .COMPENSATION("ZHOLD"), + .DIVCLK_DIVIDE(1), + .IS_CLKINSEL_INVERTED(1'b0), + .IS_PSEN_INVERTED(1'b0), + .IS_PSINCDEC_INVERTED(1'b0), + .IS_PWRDWN_INVERTED(1'b0), + .IS_RST_INVERTED(1'b0), + .REF_JITTER1(0.010000), + .REF_JITTER2(0.010000), + .SS_EN("FALSE"), + .SS_MODE("CENTER_HIGH"), + .SS_MOD_PERIOD(10000), + .STARTUP_WAIT("FALSE")) + mmcm_adv_inst + (.CLKFBIN(clkfbout_buf_clk_wiz_0), + .CLKFBOUT(clkfbout_clk_wiz_0), + .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED), + .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED), + .CLKIN1(clk_in1_clk_wiz_0), + .CLKIN2(1'b0), + .CLKINSEL(1'b1), + .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED), + .CLKOUT0(clk_out1_clk_wiz_0), + .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED), + .CLKOUT1(clk_out2_clk_wiz_0), + .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED), + .CLKOUT2(clk_out3_clk_wiz_0), + .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED), + .CLKOUT3(clk_out4_clk_wiz_0), + .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED), + .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED), + .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED), + .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED), + .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DCLK(1'b0), + .DEN(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]), + .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED), + .DWE(1'b0), + .LOCKED(locked), + .PSCLK(1'b0), + .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED), + .PSEN(1'b0), + .PSINCDEC(1'b0), + .PWRDWN(1'b0), + .RST(reset)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.vhdl b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.vhdl new file mode 100755 index 0000000..823af59 --- /dev/null +++ b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_sim_netlist.vhdl @@ -0,0 +1,216 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +-- Date : Wed Mar 5 11:37:37 2025 +-- Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.vhdl +-- Design : clk_wiz_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz is + port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz is + signal clk_in1_clk_wiz_0 : STD_LOGIC; + signal clk_out1_clk_wiz_0 : STD_LOGIC; + signal clk_out2_clk_wiz_0 : STD_LOGIC; + signal clk_out3_clk_wiz_0 : STD_LOGIC; + signal clk_out4_clk_wiz_0 : STD_LOGIC; + signal clkfbout_buf_clk_wiz_0 : STD_LOGIC; + signal clkfbout_clk_wiz_0 : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); + attribute BOX_TYPE : string; + attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; + attribute CAPACITANCE : string; + attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; + attribute IBUF_DELAY_VALUE : string; + attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; + attribute IFD_DELAY_VALUE : string; + attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; + attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout4_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; +begin +clkf_buf: unisim.vcomponents.BUFG + port map ( + I => clkfbout_clk_wiz_0, + O => clkfbout_buf_clk_wiz_0 + ); +clkin1_ibufg: unisim.vcomponents.IBUF + generic map( + IOSTANDARD => "DEFAULT" + ) + port map ( + I => clk_in1, + O => clk_in1_clk_wiz_0 + ); +clkout1_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out1_clk_wiz_0, + O => clk_out1 + ); +clkout2_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out2_clk_wiz_0, + O => clk_out2 + ); +clkout3_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out3_clk_wiz_0, + O => clk_out3 + ); +clkout4_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out4_clk_wiz_0, + O => clk_out4 + ); +mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV + generic map( + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT_F => 6.000000, + CLKFBOUT_PHASE => 0.000000, + CLKFBOUT_USE_FINE_PS => false, + CLKIN1_PERIOD => 10.000000, + CLKIN2_PERIOD => 0.000000, + CLKOUT0_DIVIDE_F => 6.000000, + CLKOUT0_DUTY_CYCLE => 0.500000, + CLKOUT0_PHASE => 0.000000, + CLKOUT0_USE_FINE_PS => false, + CLKOUT1_DIVIDE => 3, + CLKOUT1_DUTY_CYCLE => 0.500000, + CLKOUT1_PHASE => 0.000000, + CLKOUT1_USE_FINE_PS => false, + CLKOUT2_DIVIDE => 50, + CLKOUT2_DUTY_CYCLE => 0.500000, + CLKOUT2_PHASE => 0.000000, + CLKOUT2_USE_FINE_PS => false, + CLKOUT3_DIVIDE => 12, + CLKOUT3_DUTY_CYCLE => 0.500000, + CLKOUT3_PHASE => 0.000000, + CLKOUT3_USE_FINE_PS => false, + CLKOUT4_CASCADE => false, + CLKOUT4_DIVIDE => 1, + CLKOUT4_DUTY_CYCLE => 0.500000, + CLKOUT4_PHASE => 0.000000, + CLKOUT4_USE_FINE_PS => false, + CLKOUT5_DIVIDE => 1, + CLKOUT5_DUTY_CYCLE => 0.500000, + CLKOUT5_PHASE => 0.000000, + CLKOUT5_USE_FINE_PS => false, + CLKOUT6_DIVIDE => 1, + CLKOUT6_DUTY_CYCLE => 0.500000, + CLKOUT6_PHASE => 0.000000, + CLKOUT6_USE_FINE_PS => false, + COMPENSATION => "ZHOLD", + DIVCLK_DIVIDE => 1, + IS_CLKINSEL_INVERTED => '0', + IS_PSEN_INVERTED => '0', + IS_PSINCDEC_INVERTED => '0', + IS_PWRDWN_INVERTED => '0', + IS_RST_INVERTED => '0', + REF_JITTER1 => 0.010000, + REF_JITTER2 => 0.010000, + SS_EN => "FALSE", + SS_MODE => "CENTER_HIGH", + SS_MOD_PERIOD => 10000, + STARTUP_WAIT => false + ) + port map ( + CLKFBIN => clkfbout_buf_clk_wiz_0, + CLKFBOUT => clkfbout_clk_wiz_0, + CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, + CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, + CLKIN1 => clk_in1_clk_wiz_0, + CLKIN2 => '0', + CLKINSEL => '1', + CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, + CLKOUT0 => clk_out1_clk_wiz_0, + CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, + CLKOUT1 => clk_out2_clk_wiz_0, + CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, + CLKOUT2 => clk_out3_clk_wiz_0, + CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, + CLKOUT3 => clk_out4_clk_wiz_0, + CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, + CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, + CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, + CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, + DADDR(6 downto 0) => B"0000000", + DCLK => '0', + DEN => '0', + DI(15 downto 0) => B"0000000000000000", + DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), + DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, + DWE => '0', + LOCKED => locked, + PSCLK => '0', + PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, + PSEN => '0', + PSINCDEC => '0', + PWRDWN => '0', + RST => reset + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +begin +inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_wiz_0_clk_wiz + port map ( + clk_in1 => clk_in1, + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + locked => locked, + reset => reset + ); +end STRUCTURE; diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.v b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.v new file mode 100755 index 0000000..343aab4 --- /dev/null +++ b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.v @@ -0,0 +1,31 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +// Date : Wed Mar 5 11:37:36 2025 +// Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.v +// Design : clk_wiz_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, clk_out2, clk_out3, clk_out4, reset, + locked, clk_in1) +/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */ +/* synthesis syn_force_seq_prim="clk_out1" */ +/* synthesis syn_force_seq_prim="clk_out2" */ +/* synthesis syn_force_seq_prim="clk_out3" */ +/* synthesis syn_force_seq_prim="clk_out4" */; + output clk_out1 /* synthesis syn_isclock = 1 */; + output clk_out2 /* synthesis syn_isclock = 1 */; + output clk_out3 /* synthesis syn_isclock = 1 */; + output clk_out4 /* synthesis syn_isclock = 1 */; + input reset; + output locked; + input clk_in1; +endmodule diff --git a/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.vhdl b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.vhdl new file mode 100755 index 0000000..b73247e --- /dev/null +++ b/proj/AudioProc.cache/ip/2024.1/0/e/0edd54b7fee8338b/clk_wiz_0_stub.vhdl @@ -0,0 +1,35 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +-- Date : Wed Mar 5 11:37:36 2025 +-- Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.vhdl +-- Design : clk_wiz_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + Port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_out1,clk_out2,clk_out3,clk_out4,reset,locked,clk_in1"; +begin +end; diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc index 6888ede..30d3330 100644 --- a/proj/AudioProc.cache/wt/project.wpc +++ b/proj/AudioProc.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:2 +6d6f64655f636f756e7465727c4755494d6f6465:4 eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf new file mode 100644 index 0000000..e8302b3 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:617564696f50726f63:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30323a303273:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323735342e3539344d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313035302e3837394d42:00:00 +eof:184925925 diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..60ddac4 --- /dev/null +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Wed Mar 5 11:33:22 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="a008e9dfca8041a3921e5cfb9e3f58b0" type="ProjectID"/> +<property name="ProjectIteration" value="2" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf index 50afb2c..51d5206 100644 --- a/proj/AudioProc.cache/wt/xsim.wdf +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -1,4 +1,4 @@ version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:241934075 +eof:2427094519 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr index afc0a86..aa18adc 100644 --- a/proj/AudioProc.hw/AudioProc.lpr +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -4,4 +4,6 @@ <!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> <!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> -<labtools version="1" minor="0"/> +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/proj/AudioProc.hw/hw_1/hw.xml b/proj/AudioProc.hw/hw_1/hw.xml new file mode 100644 index 0000000..2cc8b5c --- /dev/null +++ b/proj/AudioProc.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a200t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a200t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/audioProc.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v new file mode 100644 index 0000000..a7db499 --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v @@ -0,0 +1,31 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +// Date : Wed Mar 5 11:37:38 2025 +// Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +// Command : write_verilog -force -mode synth_stub +// /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.v +// Design : clk_wiz_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module clk_wiz_0(clk_out1, clk_out2, clk_out3, clk_out4, reset, + locked, clk_in1) +/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */ +/* synthesis syn_force_seq_prim="clk_out1" */ +/* synthesis syn_force_seq_prim="clk_out2" */ +/* synthesis syn_force_seq_prim="clk_out3" */ +/* synthesis syn_force_seq_prim="clk_out4" */; + output clk_out1 /* synthesis syn_isclock = 1 */; + output clk_out2 /* synthesis syn_isclock = 1 */; + output clk_out3 /* synthesis syn_isclock = 1 */; + output clk_out4 /* synthesis syn_isclock = 1 */; + input reset; + output locked; + input clk_in1; +endmodule diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl new file mode 100644 index 0000000..3d5be94 --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl @@ -0,0 +1,35 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +-- Date : Wed Mar 5 11:37:38 2025 +-- Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +-- Command : write_vhdl -force -mode synth_stub +-- /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +-- Design : clk_wiz_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity clk_wiz_0 is + Port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + +end clk_wiz_0; + +architecture stub of clk_wiz_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_out1,clk_out2,clk_out3,clk_out4,reset,locked,clk_in1"; +begin +end; diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..32274dc --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/.jobs/vrs_config_2.xml b/proj/AudioProc.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..26eebee --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,19 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="clk_wiz_0_synth_1" LaunchDir="/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="synth_1" LaunchDir="/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"> + <Parent Id="clk_wiz_0_synth_1"/> + </Run> + <Run Id="impl_1" LaunchDir="/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + <Parent Id="clk_wiz_0_synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst similarity index 100% rename from proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log rename to proj/AudioProc.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc b/proj/AudioProc.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc new file mode 100644 index 0000000..b74487d --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc @@ -0,0 +1,4 @@ +set_property SRC_FILE_INFO {cfile:/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc rfile:../../../../src/ip/clk_wiz_0/clk_wiz_0.xdc id:1 order:EARLY scoped_inst:inst} [current_design] +current_instance inst +set_property src_info {type:SCOPED_XDC file:1 line:54 export:INPUT save:INPUT read:READ} [current_design] +set_input_jitter [get_clocks -of_objects [get_ports clk_in1]] 0.100 diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.begin.rst b/proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.begin.rst new file mode 100644 index 0000000..9ad3a4f --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j23meneg" Host="fl-tp-br-634" Pid="176898" HostCore="4" HostMemory="32752444"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.end.rst b/proj/AudioProc.runs/clk_wiz_0_synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.js b/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.sh b/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/clk_wiz_0_synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..6dc26f4644084bd03b5c53705cf2a73f7d2ac9e6 GIT binary patch literal 13353 zcmWIWW@Zs#U|`^2*c6i*<x<#r;2$FcLkBAZ13LpJLrQXiUPW$BXb39<bD`#m^u1GJ zi)T4Vw61@>{>H;qdpQ`hOwz9K#0Of{Sa7e{dn+<=?QMnAItf1YwT9~^Z(Jg~uIl%^ z;(4`}yAS{Pxa0hR#t)M=)hMmpq-5(?om}ib>3P$|Jxr&&mt_il$T;`IC1^?J`^z^v zWUo8by^%CyvAZ|9vt&6B`{IiZyKG<jZ+yMTd~(2HQG={mOC4@7oIcpK<iO$e4woCM z{(mU_u%M?Q-PIv@%RkA@4UM9+Cno5;WL(Z8<F{)~)A{CqXWPQ!TSPy5{pdR>klhtD zb+-K9-~W2G!jyKbvgAB@^qZYSTHEPKYUzvf*Ds#=cJWeP=ewe5PuIAv5olJacGLeN zF4W}ez2{^{T=*Hzo~qrstAzau>jJ_LUQ4NT?VHZq^5|iU@pKuFGTZX52GtGc(pA)D z!hNH|Ki!E+*>THg-~M}rdq2b+Z?O8K_v|dg%xRig3nUpYW*5G?+}0<3CP3n7+n49* z3pcGY|Fm#t`82mLZ*L^VhqkXOGS8X(Y}#-CGkRxtO%$`bvUltAZH}zZD)i0$zu7lm zo7O2KKeJKZlz(yWVoR@c+qL8`FHydnU-%^Xp04z{udR3crd!8M$YZ%(H18I}q`cin zW$(AVwKyw$PnTbJuk3TpchmlfvDy9NXq0OCvpGdJJ0?=T<in+{%o**DJkHiR#ul29 zXN80QO*Pq9`~SFK_1^oaX{D;*QL+Ui1H*DA1_l8JPKM;1?D+D`s(6F=;*!!Ny)t;B znL5GWpUF_*==<NA_X0ULy>aSkt5B$VnBdf5c+Vq~z04`oJa@W%UDa(?(d48jm-d|7 zbN{u^XPN$Ob{27=j7R_8ed~Ku-+Rx-w9W66l2Z7S*BW}W^-ES3MF;KGv1b>ad_eJ7 zmUfRyYx=Zvk2<&Mocu33Wm25hw*|hkd^aMlW*q8sZoRdO!8M3mohiCm_4qWAUUmL@ zgM~`+2NTx%so&5!>6oyvH6}Undqh<G$t`osR(V!9>DDN?d~!FK-NC(QQgUwihi!$E z`pzF^Ss3EIrTn3yk5-{ltKH1%o0&x`uYZ=^fBtv4=S?+{yW7M<e=cQ<&$`jtT`#!n z1VirASywh5U$#T;Xw;W0zCX5zpRi6}?QWW`FWk;9^5V(<iJyv63M`HO@E=kWe7i55 z^Z45Rzn8ytmy|61bL9;)YH%CnAD2;LWMGJ7Vqg%)65JUnh-_Lr!O{P)0Z;4upQ3h6 zE~~ft2(MY5Is3vA#S0G(=z0Zhj_jVKS$O=^diA%ARwi#n+~?o_ym>Rvvws)ZZoQM} zI^OOX)^|$C?!+YPy9ZCrlu5gGXjyj0hJzgsJ4*F7E!=Rq<dET+SBs0-vMbbtZFD|( zust?a_O@Da;ZWAjn6O2iH^P73{_V6beqLB{q{!q#yXf`WiWdwExsSbjDqee9FhGQ1 zy`8}whgA#Q_dR4<HbL%3>B_b(d%WJSzw|Lx>XO|Yft~-4RBiVEzGKBJo0Fx9?Ymm? zey6_P?|V6(b+fYDVPA)iI~gD5KVKejrm^HO=XSrW)o0?e>b<_*s_s4&+B_{@hkw<y zc9DcxG0Z#PZg_o1gZB-)|3e)f*9R98R88_umLAmph!(aJHXh{HWn^H`U_=kwg2bZ4 zT$Cb^QG@aNzlfZQFhdJhCj}5NOfv;h3WkOTQ~z2%$eyCh5+N_c=|1^2dy^>l%Guj* zcg;wswCq~&TD;&!N8xSOPj6nG=czuv{qoGH)U$e06P_;p6Y^j1S5M>84uRe7N{0`2 zt>y4u*}q-a@$ACuY_nXl7tK1Z@9(!kwXP%RjnChidGh=o2e;~2xTPCQuyp)gC9&E2 z$Qzzwj=k^JZJWe7^<{;iV?Nix2FddbMa9q6nSvROQtFasZQiE&R>DVC=FT&LV^6{w zcD!-#Ips0uRm%R1o}5EvY#+>GwQ{#IXe?aia_o_vV2h~E%xlk{eUY1}?ebIkasv11 zKF^aYSN6-lnS8ck!<E_sBMHL-HKo$0*VlZv?dM-4|MhaZZEi~Shi`{Fiw*`J*dnoD z)vtQgBrG@a$?R_o3=BEU3=9$|NjNhvJ~=<HB(<VMuOcNGUUKZ6bU&-vK&1WsZ;|J$ zN?Bpm_8S!@FDrR_RH8`Z;}y@NC7v=pk9O;?72CD((!m3>|1^I7eQtWel4WaEr)-^6 zoxpx+s+PhB+xy=iZdK`KU%Iw&@tW@urjFD7Q|4=|RD8>KR5d$fwQ6j8#NrFD-m+&p z8!!|lgiLwT!Ps(O_rI=ZYi~tbe5+l5?VZ~DoF!4#eL~!OUuMq!=c^^-+T|W6ayH?Z z?YW<|ns!}Rezv!9?t1^_!JIQIU9`=szX{){j-J>W^5AiroqyPlx=6JR0^LufSFhid z@wcnPSkV6O>`8XXyId5LdM4{Mf6X#hP`SF*dBxqc=Kl^npR6B%QYFuv<|e?x&cL9< z%fP^o9B6vQ8Hoj{@UY4aKRaF8T`)YmeCeeOm91IdoU<P-U}0jDNmpHeJ^O&-;)@xo zI+;^uPTZTV(7b2bUZvB=Zr-h#;m^!z@laX9>A-~Gyn<Hu2acbLK5!m-e8m1h<97>& z<u>vQcU8MxYI#$=dgcA~t5&aGwYs`$-WgS)|CPe>_hjDoeVeCS-hO_{-nj?2ChoMe zkcp9!;pv~gR()OUefikCmOA0#TB}ow%eU)1bgZneFt}4+Rq^x4#hETj9~u6t%gtFl z|5Rk`iOwT4{{|TJKc8|TMRUjR_vY`?-Z%bttLNb^y=>mR>$X*XdGoBj6PsDmVm1rs zyytPNmXUZ>%gN4uyZQ8C85P6e?^Ry04U%WJ&562D^s4Okol}Q(vV^=N8g>S}y_t9S z8`p$GYZj+S1sN;!wi<@{Gc#~6w0USGy!%6DgIihFtrL8T-Ib<aTP}5Wow;?+?X;E? zU-87LDLg0qYg+#aa?R)uX8W+RU@G52kF>xH#a0*3;}b&#dCs&>N$NXbqZhR-eP-!y zxoO#JqGklSE<D}N*e#NAeu?1Ly2bBuf1LG|ohG2N{h_di;sxV^jt3ihs#av$-4Z_{ zc*Qv~O5|Z}NS8s?ryE5@1^j78CV7UmEMCIzJb|aZ`eb@fh5f6`VwD<;&HVO<HT-tr zZ;7<nYIDc`mFADe4arY9TKK!3u3{CR?YCQRt=9*JbK1G9FU|;(_^{A(`GqU8*Eey0 zNW5C8=w@N|u497H1LZ_s^FQ5XS2xyd&OPA1(V%gYndOfeD_RWRR4#x1(BhNloUf~b zZ@<z#*qm|1%JXA)0h3|coeY7!Jp2z2?LNCSjWg`Rj1)1KKTIJTB%Uw*t+lh?l4FGl zhq~r<y``GF4}ZG*O=*qNHBa&7+y!1?D}^;;8Lz2)b2{_TM7L;3`7gWnQcn$LC8Gki z=N&K1r#*O_!Eun^YT5JKc`uR$?HX59tk0dd-FX+s{3U%{v&&sg(ge@>&FD&!J0@ex zY#4J(^kHz}vZMpbNk?ZjUNzYryIb~xm1Kh7w{!^&r`$b~$4^EW&0KKp)84Yvn=G#c z_BUjls@yQKzq24hPU^~zweKn=TjE=KE0ngJoc+<IBeQeE_GQ99OXe(eIoIj3ZJBUn zo0*<ZadI}F?3LMHPkuY)EN+^A`n5%@p%Fh{mhbsBlY<V;YbZ5t>URtHvXyb&j9tPz z$_{7EpRC4KVdytkd7p*Z3h7_Vdi>k%WGxr%*VL0er>)SWbAa{L5`!o$M@BP4@1Q9T zV%rSv7B!uXn;a)59#||rIl^A~fy%Y>ZUILY9DHuz+V^DP*N8ozdX-o{o)Z3C8TkBI z$y4^iHm!Qif8RFm{>qc{IA-^Ib1BIkI!p7~4-1rPvd(+IO~>mMSDNnS(x*&YcS-K( zDxTe!otv7#{@m*C*<)2~ZeCV%8}&6_`bfzgmpq@Fo+y4fY`1<Hce}pV^GBl5+1AVE zx7p5FD87czUqK)`^7fhvs~BnKRsSXnu9%c&&$OC_PiceSgMg;Vp)Vh-G*n>scXzO; zP-8lDaI;{<cat4`nw(ZvHJVROZs&`imXI52_3xC#gvaaM7I+=ruhzbPZP}&|r9Rq) zw*2NZ_9TR?dTnCIe}6{PmRI*fHdJ{%ncJT4>v+j-`Gb{R9$M_@jc1(?OfLSA+qy)z z{k_4NRlIi$b*IPWn9qC>-1_Vx>#kob!UA%Alf>duW(3XVe7HO3Qm}8Kj%?$5L#zFV z_ddTgF@e2q@;vFYLN)U*YD(<wzSURix995ITS|W#5(9mWUGH=28^)-87CZar|6-#L z&TX6Z1YLKyif@owD$~Nd_+Y=pxvMYAE|@+z&hvTM_N!ZCs-5qiF#2G``!-V7n%_R~ z=%T9;-}Q73Yx6vxJ5PSgE|bOn#(}p(o7|cY`>zbix~iRBT3*XocWlCJUF#QHoBa~< zw%M%uz;tKchPWd|KP-9G{2eQjBOBrkJ}&DK_h=87T=x5JaqM#^^T;I61*c!Ez5cJl z-1`z|#p|Qf^V5FJm(2eVE@A#7dC$r4pR&j5kN@EM&;3}>|6{ySU90s{7p}Z}Rlz)S z7(G6?Xa@SZI0-dqrui+dHk`cQDb44k;^Y<<)`?B~|GwgtbmYqW^UuHj?2-rH)}P$W zW*)cq<98FwB&j`Lf7$<^`fSY<pNX$iGSw=3E?!W5yH{HA<V~CDH#-#iRKssnJ(`$e z>{!DUw_Ex1Tu;salPi~o`H4K{II?j;${PpQAIEQNeN#E()%EgJZss(#G}ov6mv8Ux zXJ5S6Yi6L1=8odYY@Hr;pZ(sg3FEZ4U32i;x!wEBR%yTgxpm`&C9h;f<ApUVet&!Y z{z-~P{f^(!ih-eBi}yAkZqM+WWh4<>_3BipU9{F~sfxvi7uNs1HR1f3EY5i<Zm|h( zwC118c%)VMNhO_CcenMf6yEakrMk?yVL6WH{;{LhoX=L;rp)DHV3@(hz`%o2b5`WR zt4+okT<KpUkeYLbDXD4T=3JtM0jp!7^bFHiSqro^mF*p(9A9Uh+aQu~fAgF>PdCog zieI%+wO&QXZsMyKRRudAY~l;+i@!UIb=v~>#2YFT&xhReTXuh$0-tB-Hks?&gLeH- zTQrBgKlI*8lQ^*_Cc!Tor+<pK`5ZVwzOupU<RjPMitVCV4Od?za_re39Q5;R{zCcb zleU+n8|uCCdX#j9b;qH%ZEBpS4_DZn{`cGE$ARf)?z8Nx9^UzTfy<k*%zWvJ70y<l zW}SJ{5mdjIbNwFMOqoyBo_CFN%DpVPgR?xc<rq>do~<)B6TYIO6t?sIll7U_DK_iZ zlro=t?(kq*SKcZKj#)7c*|!hni|umzs^c)J)c!_<+?$?G-_Gr)H-#9kYbkFGTO%Ow zRAR#n@$L8K2UY4<FTQm}prUe~P{!roqUynlPMVK7o(0*=y*Q_2L(;{j>Ia(t5B+tV z<!$p}^}ZC2^pmsI*pxMOO%5dNyR>9k_xU#29S^v<Cs(<&UfpN-^ib0~CC12rx2)%x zJa5*|=0CXM&BPZ*bv;{icYOPP#8Y{KZdBxvw$Sur=Qp2a{<3<>_9Vvd(;O}>d9_PX zd7)0A-p74&#l+T?R;Rv5t1&%u#rT6-PS?+dX2!N4!%JslR6R0ppPl>lG3&mc0`KAk zj(9!_%3Z@KG{tKp_m-WZb(i=3&rVkl{v%RpAUn(YfyxEB?`@}(+{9RPWS1rzXltG` z{PaXN@Yc%G_}llMzy6nB5pYrO9AD_E9DRu^OvcYw-s$B(R@D%9>FC6%W+pwi9qZ31 z$w}6`yw~9Vr}%#E2bm=$o0KJQmQ7#RcTQ%N#ea=08;W*{JWygRm{@!E%Qb0PVXfmF zj3r6yedjLzefs<TcWj(jzPfB`KR&5fG&fPrziZe04?j;l{Fx*>r{m+=^@rY8*U9|; zp`|4Bv@!WlWXqvjlES*TxaB7OSL^vIdFjq}<!2Z6YAse%kg@yeSQA}vX~HYL6~C^u zPB?a=!IewExF)81y2Ew0>5sPE-8#GRgK+HMXLF_stmWRa>GU4|Yj>Dlc+3kn-M*;K zVoiuLbH#=gU)3shy!-F_AoX(8@|iV9SGdj;=(E>8a)*nrL;c@Wd%+_fd$xvJyO=CG zS?nAZ+57pomQ1D8<EYCXj;EjJ=db)eYnE-0^Sw!|PQOAMx;(>n{1WkHIvgSXsAERW z?fVv~8nSXZWt@AnnS2i?hWuQa;C(qXK|^x$<hA!Vgw$<VkXt#Uk!`}Zgoum6V*b~3 zN?(XSR!F)3d8R)1YK<_Rr!W8M3l(lVdMz+M<C~#Zu!Y=aSK(DtRUbGPIpjO@rQa%; zTiDtm>&398k?Y$m@gIhd=h*ly_5Zo+<nFf@ssk6iEEQr}?oq?4B{wsgQHQH5gHe_5 zVCeOyaUWPVRJl}D?7P+Rd1}Nzw$_eL&+k_I{+Zv}wq@C6qqH5-Eyp?|byUons}y~w zH9uF+*;QiH@%yX!!-t+qJN6b#Y7TmPtif-~1?HElzWUD-*i<`HMJ2`fqm;d+_SuVR zW)mMDv97P>N{Gn|wF}#o;P)b~&R0(F=z>)FeZl`dSGX+8yx*9uEUxD=lQ+~p|KAy< z?+$0Tuij*^EYJLKFYl|;-B)95D!mtTr)A`I|45o+|I8$@tcQJ1{iKM3$mxM?NBbsh z(^<M<){BSbqP@CnS+sw<ZuIY7-n2&Xh2|bM*Oqgc8b*TW+JAS?R9;j#TW1TS{Ass) zWqp%=HB~B3U(DC=gVpYPOUt}33OkqU{Y(7f+v|I9=Kr?k92s|0R+;#gXlbzhv*G2K z>GU`5^sHI?F7a!e&R<#bNOa4`gkJ|selk92WG`Cn{!lWMA%vafTFRqm-z6R&zrXa= z>R<MytjqpnG;Mj%TG99XMC8qTvCe`G`5srE2;GP_Fq~X$QWG6`*Wf}-4EJ+`#gkjV zUou@PV|nG2xVj-j@*}S2vr?v*%*$LOr1tSn(S*Ku>-={K5j6q_O0*Z{wMP73*i`DF zD|PMUowYCdRxa9}t2yI{?DtjY@9euX@tR4YU~5OuORc5n^=g9DxDL(q+4^Hg$GPC( z*MThaZq=&Di_F=(tSi7O&zkqsr8b9$cOLsX7^XRNaaS5~eyA$_D)6gQJX4Fy$I^5D zH<4AlI^%S$d7KwVuKQwE+)^Ozr(ZOSb*lX$qZb~T=^^o|Rt=k{vL6a`d|e>Fa?<&= zA6RC2zihK^o@}Oe<BV^g!i>MCc}$kp240x;MD)qA=Nw!%A#xD{p8sc`*(Ybv>kuSk zr0V%Y^2f<Nsf;4c+y!F4cJ*xh`c6Rp)q=NinX?}CtEkz?yZtIyHqrie$GoY0Wos<B z_s+Z-Vkvsd+Go>SmigIpUWqOfEMVClwNGPWwvW=89~L~vuD;O~J|XXQMdBJ~r?<J^ zroXNir`?MAA*!BS+dScRR*~4zRM)fFSvN&~@7DWRulDom&+b`Ot%V9JFDC~)x~QXG z-Lw9CSm3-rJ8gH{?JwggO4ZN0Rg}OjF8R*+<bz3Tf<IS?3bXxOzD(rImfchQB1%f# zWP~Hi@1Apf+r46<^M~73OT)Mtuko)C{vyl&(6Nc>rgHro2HwtY^`AjcYY&8RFi1`f zV!WEA(;XaFp1$Jwb%w8Jw6pFiITf~D3ca>L_v_Yq=fnM%rDxiGjXv|b_QNtu#=BGR z#kAg0{eA0LvqnI@j@a+!`R&0RQis#lm}zP+tZsiLw(!BP7NgLj7c=hoUcMZ5@}kJ> zEh~ci_OO4Ke*10a_n*p<t3PNp9DALkdoJ$$IYwr$@M4qinoIbs=bWuSvCMY!AC~Q} z!osEZv&`7B_0!z4%;jo+&SljV)BMj!#~r&Eb<FS48TH)-6+7j>E>q;R<h<gemKHWw z$7SPM>72L?F3aC1m&%*PFT6jC?TuHLbb9_qxr^$@zW>fS=^7n4Tm9tPJjbiw%7oW9 zro<nSUq5y2msWlS=9*q{vAP_&%E+Z|Az!<NB5q{oWNbXGw*RzEn%lldFICeHRnFe> ziS>{69v`j6rsum`7+hl5izMwDe(d|1v3#kw)eJUv%cU1$_S%+jna1R@TIlhX6LXg{ zc}^;lJN<a}0#4WeRW9FpIc;VxY5T&qU*ndH?Ioo-j2U-MtUvwj_+gI5-LV&R<X^bW zm}4T6lM*V)s}LZ<V18n@i=0Q`+lR>;uk?1T_1xd{SVQml={uX=yr1n>@beCn*~h>s zJB~HXy|}d5@OHqAh`$_S8^6g(#C_elhVxdjk=~036)!ic8m1js>=Y;E{W>O5p_jX_ z!oK8i)6$7*jccwn&ThS)CGS#LexO?I1ViYRnO~p#eG~nZk=<MwbNck+?XPd_w|u4h z!!oR<;@Hf@g`c7n8;ZX5dQRBd^~kr_d#?7wFNZ=uo!B~~$N5T;&Xub#ZtY7sn`w|3 z^Ka{mPp;>)uWvoGeBRZLt<rA2>kog@d9hS=rRVLBzU{03Je#q0!p0TK$8)kB6RL7Q zZ%7dneZFgon7r=??i4|O1NNi65z|w*{17>^b(fje=2(xIt25-%{|ZVmyl;{`G2@)i z&5hTRX8eeZ{3+=srspa6I5aMsZ+m0$fki6ut!MeRsGY3JaNNQmT{-W6?z??wcx|uD z7mfU0TYSI&+V*SHW)}A{E@a&m{xHn$|2>P`7baeNvTUEt6mi`6<%@BV;=<ps_ACQ< za)yDEb7})80|N;2qO@mIQ_|oKT8*vxe-n&swt6%C3SA?hbD-;tO+eSn-aRii-}Loc z(8RKCnfUY*g8CI+L9eXxdKfqEc3s7AT8X12Rg~!$!(WE~3}O76uWzj5oMRetc7>~I z|3TmR)1KJ!7oYpS<}<5*pKiRH_5Oo7`Pm$N<<I}e3nux#`*~Vs&(7u_y!UsghI1Xe zzd~{X!!wq?cBWnTil)DeV0d=-v^Zme{|3FROP)+@*?$}-IXZRh=bgBrqUYS6_H*`I zR!y9L!|LChbEhRwyENR75MxxbR{vkY;UU22UZYvR*>~SzKE}BB@8izt=<Lh>Q#(6Z z=TU=)UD3loN#(QE!o~jQ%51h=!LXO<i(%d4$`?P|YMwOTzh?U9>AJ%AGrcX=a~RjY zUbr}+;=#Al8t<HfmUhz}NjCrY)a)%jvGG~_$2)A1kK8Km#22;CoszZcPW#G5pVNd( z*OvO8nw7IuEoYIz^d8mwD~el=A9c4|l<cT|^_u5dgD%?}-4CL6r09GXdRWNQR(?>X zxaxJ{4byj3#eL^w`yOn&Uf5B|a<cwJo3o?c@fqH0qheXYS|6CtO)e<jkY2`eUnqQS zMCfUmv}X<%JtIR8oA?}-c@<N$lvmVLRE4$s{IfY)t2|dnO^v#KWdqx*RdQ)&l0h}c zk`6jA5EP9m{&>CSPp(w)b93qEvz1MiI3^iIo%$MaD)p+T;hRmjnwG|JPi*U2b>^j) z)k3w&9H|SVw#H53NM&5SqHAfww4%Zp&(Bq!EZ^t&T)y~r>*IBsKj&|aij*ph66##? zpyG(cV_{X1+TQs28Vgr^?6-H1GkCw@-0a5BJ*|yQ+#)*v>};>h-p#P(kaCgB$!jMc zCW*}Uo#q%Mndi3Fb!DaRx@CcDXDNNjn$>f@L4EPHYs}_O#;a4qH?u4-TP(WzURPH5 zYF^RMm78}-2t|Z%otze!Q{*{G#Z;=-O~lo3)0FOWotM;Jxe2hG<nYv--`2A+Fp&RB z?FJF6?4{?l*GjFQ75L`Sh1+`PUh`I0N6)#w!CSX?kuT2;V~4Jo=s>mdODv^9x@Cqp zB4wAE#`<o*+yC26!>RwdpzRapE~!aPZ)V<|Ah%M{)tx1Fn$g*gwHm=K=Vtm|p0%dT zmXWKiNs!g0k0Y7$_vaJ7jk90WFiYm}U904ek^HtpGImCQj_%^A)uF;ivwipqpX=;v zmtdMK`L8IjU-3<Tx8=SEJ_ieI4#YmYe%q+(w}2Y=Bu};JP79_UStYgPu)>br({9{- z8j<AfvEBH10?SV$DaNJ(X|XhxCd+v@AND>l^KSph`mpgy=i8+7n=*S&itn{NV=CSB zI#TJ%&Uho273Q(~gr!@Icl>_!$I(Qs`u5R>Y>aU-X$sr3*O>pvJ;!*cc)s+}-!Y!W zg6ld$-!HxC>Ke%6IN{8Pd6o&+AFdLa_{Bx=Nr8n7U%T_c72)S|POCO8(&$p)bQDlo zJSFIww%zui5Y}$SCW{rDKKmFpr=_{6cydiT_K8!V=TP9Bry9%ng%UPea2+-fIjq2v zDB#4Qcuc~HL$O65mB;B!(XBMG%x?2!(HVKBGunPfpI6CCt7R|ow|%(ukEbZ}#aj`T zwrBQ#a4UKw`_=l+wsVc$Q(f;Zl+~_FnRC|W(|!IaYo;w^nq;Y%5Ik+yLoG+no08c= z*>=m0&Wy@^v+ux+t>vko7+%fHh*|zNW7?u$5(k6g4m$3c-*{=K+0{oP`dQBc*v`n? zy1UHJKIL!4etwNsM?#yL$N8vDHZIEh>VEEg;q9dG+xD;PKEqktQobw8-OG?_*!RnD z?fF{K2XhZ?*fD+HOon$xpJqKgoYLgPzigqG&)3wo54ST^uD$$ad5`{q<T+2T=3cEf z)Y`LT=H$>h0&8b2e0=1D)%WRX+kDzYtc4z$Pu;)dpC!Zc4=;E`rp$cvUA+FxA%&L- z*(DPNf63PC2hLugc+DWoAY;Y_Hk}!{a}8MTNKblZd3m`^1Am$Dlj3fFABpXv$2Arj ztjT)gz9!aqR{R?C2rr(lgUaj^c_&3K`IT`-rIWGU>(t#thfKP3vX6>glJ4=>=hyh| z{ER(kqVtJ_1_n7FvBzxTiTiVI?`ke9&N#*XefBPf-I`mTw%;}{y&1LjRpf<uh0o`o zv#!uJ<`0$>_h?gO`?L8#M-wMEPw5ZdRoqVJ+CNO}l2`wIB`svRP=jzl;I^{kv6U12 zKbp0!WV`mf^4_`~f)g(BKb^?Bpy6u6;&AmzGmWP8zqJ!K*c5s-v$kINUOdA_PHE@A zcjN-<H}$!mPLBD@&@N}urh7h0^h<Qf9@Q1EYeMcivOnK!c2bpfeS}Djk-YLXX4A@& z`+TXF1($m7?Aqe=dbRkUcL$=47U#U1P}kJ2fBCCSsdxIVMe3|qwUn|hpFI+*;c`08 zRd!9~u9E3<Hb^UWPP*VWVb-<lTmByKRNcWAeDT)b%SFityuR&P=($PmvfZkLD@%18 znp$?KI$U_j<|6m!+`akfF<jG>nf6yswR&{>+LF#o)|wMp1U|;BS{&x@d)eb@Tm9eg z<V==o=LlVnVs@MNJ=&+P=r3joby9SDQuuEp<3y2nH>b23ZLI&0wD7^(GhIIxne*@c zGizC0$|<8b;}1V>|C#RKXz*$+b7C^X{V!{mnpCU`R28vpzHwIJ^Mo_6qjvJtRq^lW z3XoQ>oIB;X^+m56zq}ra3w#MO+BGA=;RpAW7K4DbO=4G)SGjdOu%52(AUgH7!&aMh z4h_!&bnTPdR&2Gj;(hD*JfI;f;c7q{pUetr*6+%Fs(Y*s9M2XqIK;s=iCNFGQzvYy z*E-n)KfR>_TK88hTyy-D(*%R6lG76;|MV+=G+Z-9F8u=c?tQmUZx-^qfA-q%m0U@N z?~M)wEVOu?@9}eaXR|sRW7J7MZ3Q{|eeB+;Ut5a3-kmW@*=m(l9dC7bV&~#orL=z5 zPaGa99Tl4=20uHb_xbsO&gvN~hb&U<8CO5KqT+O0Lv2I$hl9NRix|bO9&|gkv$ez} zMMtMyn&aii&p%VER?9i`G9NWvk;mjJ!kwDI;<0U>muNy&!ih^vmU5Pt88Vhks4*7f z345E=v(_i**!e}?TRMyL11;{wpYh|F+_2=q8Rc(2?$;ycyyVZBmmIIN=H|52;>+(Y zTRN^P(!TrS?2*Jr&5l}EDp~%yNw1gLw&%!9R-XX2dkS791xEz7e2Ra2`LClY`wg*O z%A&>lM1**1u6RGvu}WDtt+UYBD8Y-{Mw;c=((}v@rcK*aCtkI4^Q={yBoZRtavV6Z z-FIixwl}}UUdD=B<qGbJc(SK9qwBY-^unMGWsI;k8)QLE;BnK%!3+!xeGCi?d?;<U z%)I0xc%zN`<e9T)y!*>Nbv%2@PwRJmKjW?Eb=LQ+w&$6`(<k-yy>*SQ7@HdfY53`$ z@;P&IjhD{JGv_yN^3w4-cS6TI)W9U%Ai#h*N#PJ%Z(xPQrHl#O3@~><+KwFZn^NDg zGBAKJYTL0WB@ylnaNAKzOjVyj%Wnn0lR@qr<^u`Y5)u*;B`X@)jwcu_*zu!t%7&?X zg`Br-6$#pTBs#bw|NAx52b%xXR|>|~L}_ikX}7KZsOz3pnKBzIcC)==c+2pNAuM=) zY=?1|TyMtWb9v3#A%B-v{957udD+5=iIF`L{|-eze8U@Q<;*LVq+jvW|B~dh{r{eM z`HIij$e(fe;fI9f|L@)2BEVC>E_ThizEuq_(!J_=W>WlV`s%jtlHR0f@9jVJKx)?2 zIp_I8I~5dJglxNBiYFPJ?tI$)kmu6rL>`vYTH<FH-P8;eX<cd;mC4%Ee7HGvY0v)S z_cqIx6?Qc42>Z%<{Qb%E;kRCXy3WY|f?ZziNANb4Lnn6$?iW6KUhwCN<Bfg>8(sKI zRJuaeEm|)$`PYi|A{U-@Z3{7Yx7aP`ZHC37id^+mI+l!)S0$YKZmwaw`9mV}Lyy;z zPGJkZn{O;+e82cyy&NbS^gQ|SV~No2O*bQrR%>RSZn0Uw>v7~vkFxvw>W9Y<nwqV4 zKhS$v`OtFb*>g`C8m(TvxPbMsnz*e&YvYxs{MlD0wk(YFHPosW-xFcB+OliEfU@o7 zHFk*~dd|F>v_IZtwX4qAmFx3%M%31p$?%>~?y~Th&wn9p*R)94M>D&hw#s|%Zs9$V z{CMHd2Xkx|N4~Aq=}}s7`J!fJTUzP!6<q7S?u>X^ZeKs8DSp+l2`{I9eBEH^aK1?8 z{;F*gCWf9k;UOn4r}O{isXyX}<&MrtkKXFVA8x{UTxRdjy%iV3I!=mr=}kR<T#?oP z=XPb!T@BG%?+;vAbeHkR;*4kiE=67x%i9>DQ_s4Earq3NX`e1vZ9Mg7@Av7yU$~xJ z=Y8yH$f27_7gO5212ukneOMIm>dhn9lLqr=X?$1cyICi$;Bdlp%Ch-W{;zoXJhZc* zW|xsexbmOL_eBrv{JoI-x>kMvGl4q+TaKhpDPa&2&i-W;RJK^<CFA6aEEl)V{TUe- zJ!vX)`b_t`lR8~D|B?;uoPAX?Cb?_|Pbj122}85h_IfjPx{aMr8Ljs1yXba%TIAic z+RxRE&)ryaj?v<}z<!NBwGNgoN0%r)?^Q70c)D$8-b<#>p}yj3iyKd-gfA7<nsWZp zgzlnWFUp^K)buUdcX;YN@kbT9?cGIh_sYBHm>ylP+WB&i##GM#{nuU=ip0(>@F{H9 zvX}h4^+7yKZsUvyE%s8I@0sowC!J61yf?>Gt~cYzH7)Bo3nU-Z<YxT)+w=0}`|l51 z=Xb{$*t^>Pw3qq4()3Nr?@FDW-Sa9;776PHZgHKizO*2B+IPnQO_{SRXWlQ2teR29 zdyCQC|C+LWUEtmu(T;p?)vYyON^T6j#P`qph0)RmXJx;#$iCOItPT5C1THjk(=;(s zX4UlIJ}i;IBq*t+RVDObqNC7Z0|CVr33m=*0p&j(4IIf)A4M1sYn(PY74&FLS7oEk zbCKG4%CUDAWH<3nO_SYnYU$1t^F?Y&D^hN=Z`@oO=-IL$sQ$TaVe4z>&rdE`P3Jqf zW}{6tt5eXC6_zb(u4kPpcfWj|ATQatI^+}MS+)P)9y`toku%C%ZQMCquCn%5yHRw3 zqs!lJmExne8`iH}yujdrXN}Y9EfGeXf*%|9wZs)IS#ipqC3CXV83tk1L&sKkh{RvI zl)or8`fU938ycd)2e!B9ZoQ_rvhttQ)%!cI+~qqbRd%~9;_2brhdQ=}Z#s1Pp>Afr z+u2qAQ(09HiO3w)k-4f;^mF!4Azt&M&+g7*)5KMeFJkLEc+F7a!+{c&KpP>x028C| z{%(=qVm&QyLuDo1O?&yIXT3j?9KXliA*o(MO75}agPM1Dqpt*S{<<JZGFCxFHgmbY zt#MJLso}BP6Z?uE9t?c5_xmQh-ABY{*r```J`ghgaq3|86<@|VR<qBQO?Wq7zhTwJ zCf}K^&fk5K|NM8AoFSLX)^NI8L^8pJQ^Gw@YT4HGBICN*#;?|}iyGYM-G5NUH}|#! zL+0G8tnt}Lzp*Xdx--Z;DSrX$$;dtnPtj#kKSZBRevx=FD?Q_f@|y$()ey9r%AlWR zZygf@!y;A&1|j5{D!wQsQ7@}FA2DavJ0;Lx$xx*A{$EjZmDw3d=@LgAE0T|{nXR$z zz$vw3KBvAgUG+P?ZEm2+zwf!}F^*-T>MAYs-p`wNFZx*~`<-Cv2TqyX_n(Hm?1@^J zvX<F@Y1pgdSsSm^m~GFi{K~xOTcD5BS2yOm!h=r_?<@9Te!<1a%r7Caz%f>VJ0bKb zcTK49t~CpcT-l!6T;-~Y_#kqYU2p5(&}9>YnAQc%*2;a`B|b${gDGFuWy5Qsqi1b@ z%ql+kV8gwx+ixX~)tT>NnQm$IO6JJiD(Q38%JQprzPq<rY;X3_>;~tKMdut(*V<I? zI5$r#*ep$}BX&Zk+DAv>5<S)F#vQX$4(a}x^W@UA2a~SOc4R*1cDS@Xd1`8iQU0RS zfhs(_^G~*TX-#XBR_Kwo5}aX>^)h6|Z<eiLQYZ443Qyi$v^S>tl%Lx7km-^q)&>e4 z+Ii|i%l6)89@TS)K2N^)(bc|W;_{e?UY6W*7E`C~QQ}^kcX(ZNsQnf%=5jURWsmfB zKJWLmHT2GW?kU2S_bc&gozPCR?Ma*Nbxl~>ow3%(Sn7vE{;gQK>+}Clt=9hix#Fi{ z?nSq~dH;3W7S_(ZdEt3ccK?Yi)vqxJ>koRHHY8p(YL8$4u9CBN#m;8CvtFxTbzC*L zwoT_?ckBPf68(a0!Fqys#Lu?AEm^m8Q)gttspT>^c_$>DbJ;ZYk<rx`QTZ!9jE)Li zDcQ9%w?ErQSAWBu%3#?Y*~g6UoZVqkEtioa^!Q)Fch}of+}?XXzFfZa;_pijHvQiJ zG~da0MZtQOa`W>W92jehoZ3?M@X8f7#@jWWxA|&$Uv$^iQj72T$Istfw=uf*ccq!* z+cuuEbrUxgEjs(^+^^?9)1Lq2hc#><4Xl%y>hD^Z7#RGN7#L6+HswfzVs|4i=G`{n zskwhWVv%dqui4kOHSAq;fbGria`)<!0ZVQOrTAtnVbkff`IOSN=*s-p-{s@)@7wyt z^=j9;AEI+-?++7RyK73`;#A*Vi`L%yxG!UqU~=qrquUMhm{!(b%bcai)9mXd&Gx5u zU1{@+8!EPk%I@y4Kk=(+{sYZP-t9Ls_&*&HsXGyyv)DgpOrkKc>^{@#5cdd+=f zw)~C%_b>Xu7Ju)!Vp}(JD$~mjvBVF1?_3r=pTn1XX`P^+F;D68bAqSNPl(-_*I@AH z8^?x9Mgxx-%w~@ceP#*U-1|vM|EXxz-I-i(#FvI14Q|+NBPu-q%}H0TXS42`Sj~T> z;Qmng3B%JDh0nCxlJt@U63@FZADnqn*Co0@EF|{OW{(#Oy6^0DHZFW1SnZ=GvfX#j z&r_XevxQkrK7VHUdNHfOZB6@xg|RD`H#k{t<Sm~1G$f_0U<1Q3jtV^iXJapxQuou# zn1r`Zy}tarjKk$PoodOoZ*G2Siue$F<+IuKev6#18H;DN+@GwmOD>Cb<HORjA9q(g z?zr&($>Ye`$Iom2>Iyn9R@D&I;bgN|?&)cV)YWg>ZrGFr@wxY}3%>d+>G#^k*(=W6 zIQG}{ckX${qVM-_$fZwRnQr&|vgVTyo32XylA3q-=zEE|Q|G=5{d;=l#Mqm!)!s4L z3C&iPb^XqAebV7)H)>>l)C3oviOO0O-EcfoFe~S6dX2f$LrpX1#kZeG)RY?XGtH?~ z`E&B3MCRR!a6XQHGp+sB-c20e85;t>J@QKCem`eI`lIbnJNqN%mT%?m@%t~n@7PZ5 znG){8cbk-a)>r3g`?l@z5<AKK{EB|O-No6ths@e<v2Tx@RNjAW`#qtqmQ}%Le{rLx z+iUC+0u>Al409RKmYnJpr55Lx7A2<^!<*%?XLs`*GT>=_c-Q~&orBRqv-oagO*IpW zW)D$hJ96N+w@Om7_5J-a>!cMmlWmm>?mlY2-~asY`ja2Cj#k}ul3c!Rt9|(sg|F9M zG{3a^o6=LxvC`$`2R^;mm%=u12%p#dWcR@)=6gu7+-a}A9=3^&9uu7+X8g84Bp%?+ z$RxsyYj*%I0|+oOFfcSUf>`jq0-TV&0-*f@=q6O5tQki(L5GO}%L;NlMxm~UMmB0T zGp14C)zNrNLtOz3F%9IYhQ{wK$fhB!2gYL>>OxnDX`p~Q&=|*tY#REqS3E|dE(3)a z331?Lb|fQVi$d|3gt{yS*`%|4$R>dn$zU}G(xYTRnT<d;X09Z%G2pogtmZ%paMT_$ z#2ipqH8ch)Bbx*1FJm<b;vf!`E-u6%NC@3TF$mn@#cB@3L8uKOh&d1k{nkWs5K^-U zk720Q6S85ObdU_w16Njf%t0+Kkj=@`M>Yqk@IWz*l?@aWybQbyCz%--WDFP>^cY-C wT*3;yG7Jk%LJA9VBiyn*%e>88T#Rx9Lfuoe1JjanvvMsh!?Mdl(^5ih0fJE2VE_OC literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl new file mode 100644 index 0000000..8b538b1 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl @@ -0,0 +1,235 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "clk_wiz_0_synth_1" START { ROLLUP_AUTO } +set_param chipscope.maxJobs 1 +set_param project.vivado.isBlockSynthRun true +set_msg_config -msgmgr_mode ooc_run +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info +set_property webtalk.parent_dir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/wt [current_project] +set_property parent.project_path /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.xpr [current_project] +set_property XPM_LIBRARIES XPM_CDC [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo [current_project] +update_ip_catalog +set_property ip_output_repo /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_ip -quiet /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] + +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc dont_touch.xdc +set_property used_in_implementation false [get_files dont_touch.xdc] +set_param ips.enableIPCacheLiteLoad 1 +OPTRACE "Configure IP Cache" START { } + +set cacheID [config_ip_cache -export -no_bom -dir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1 -new_name clk_wiz_0 -ip [get_ips clk_wiz_0]] + +OPTRACE "Configure IP Cache" END { } +if { $cacheID == "" } { +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top clk_wiz_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context +OPTRACE "synth_design" END { } +OPTRACE "Write IP Cache" START { } + +#--------------------------------------------------------- +# Generate Checkpoint/Stub/Simulation Files For IP Cache +#--------------------------------------------------------- +# disable binary constraint mode for IPCache checkpoints +set_param constraints.enableBinaryConstraints false + +catch { + write_checkpoint -force -noxdef -rename_prefix clk_wiz_0_ clk_wiz_0.dcp + + set ipCachedFiles {} + write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.v + lappend ipCachedFiles clk_wiz_0_stub.v + + write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_stub.vhdl + lappend ipCachedFiles clk_wiz_0_stub.vhdl + + write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.v + lappend ipCachedFiles clk_wiz_0_sim_netlist.v + + write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_wiz_0_sim_netlist.vhdl + lappend ipCachedFiles clk_wiz_0_sim_netlist.vhdl + set TIME_taken [expr [clock seconds] - $TIME_start] + + if { [get_msg_config -count -severity {CRITICAL WARNING}] == 0 } { + config_ip_cache -add -dcp clk_wiz_0.dcp -move_files $ipCachedFiles -synth_runtime $TIME_taken -ip [get_ips clk_wiz_0] + } +OPTRACE "Write IP Cache" END { } +} +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + +rename_ref -prefix_all clk_wiz_0_ + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef clk_wiz_0.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb" } +OPTRACE "synth reports" END { } + +if { [catch { + file copy -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.dcp +} _RESULT ] } { + send_msg_id runtcl-3 status "ERROR: Unable to successfully create or copy the sub-design checkpoint file." + error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." +} + +if { [catch { + write_verilog -force -mode synth_stub /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.v +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" +} + +if { [catch { + write_vhdl -force -mode synth_stub /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" +} + +if { [catch { + write_verilog -force -mode funcsim /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" +} + +if { [catch { + write_vhdl -force -mode funcsim /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" +} + + +} else { + + +if { [catch { + file copy -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.dcp +} _RESULT ] } { + send_msg_id runtcl-3 status "ERROR: Unable to successfully create or copy the sub-design checkpoint file." + error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." +} + +if { [catch { + file rename -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.v /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.v +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" +} + +if { [catch { + file rename -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_stub.vhdl /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" +} + +if { [catch { + file rename -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.v /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" +} + +if { [catch { + file rename -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_sim_netlist.vhdl /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl +} _RESULT ] } { + puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" +} + +close [open .end.used_ip_cache.rst w] +}; # end if cacheID + +if {[file isdir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.ip_user_files/ip/clk_wiz_0]} { + catch { + file copy -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.v /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.ip_user_files/ip/clk_wiz_0 + } +} + +if {[file isdir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.ip_user_files/ip/clk_wiz_0]} { + catch { + file copy -force /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.ip_user_files/ip/clk_wiz_0 + } +} +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "clk_wiz_0_synth_1" END { } diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds new file mode 100644 index 0000000..4a48d5d --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds @@ -0,0 +1,272 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:33:34 2025 +# Process ID: 176976 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1 +# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.335 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32519 MB +#----------------------------------------------------------- +source clk_wiz_0.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:16 . Memory (MB): peak = 1680.461 ; gain = 312.840 ; free physical = 20519 ; free virtual = 30469 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 +Command: synth_design -top clk_wiz_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 177876 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 2501.699 ; gain = 419.555 ; free physical = 19373 ; free virtual = 29323 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.v:68] +INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0_clk_wiz' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'MMCME2_ADV' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82388] + Parameter BANDWIDTH bound to: OPTIMIZED - type: string + Parameter CLKFBOUT_MULT_F bound to: 6.000000 - type: double + Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double + Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKIN1_PERIOD bound to: 10.000000 - type: double + Parameter CLKOUT0_DIVIDE_F bound to: 6.000000 - type: double + Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT1_DIVIDE bound to: 3 - type: integer + Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT2_DIVIDE bound to: 50 - type: integer + Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT3_DIVIDE bound to: 12 - type: integer + Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT4_CASCADE bound to: FALSE - type: string + Parameter COMPENSATION bound to: ZHOLD - type: string + Parameter DIVCLK_DIVIDE bound to: 1 - type: integer + Parameter STARTUP_WAIT bound to: FALSE - type: string +INFO: [Synth 8-6155] done synthesizing module 'MMCME2_ADV' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82388] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0_clk_wiz' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68] +INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.v:68] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:19 ; elapsed = 00:00:21 . Memory (MB): peak = 2578.668 ; gain = 496.523 ; free physical = 19266 ; free virtual = 29217 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2593.512 ; gain = 511.367 ; free physical = 19259 ; free virtual = 29213 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2593.512 ; gain = 511.367 ; free physical = 19258 ; free virtual = 29213 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2599.449 ; gain = 0.000 ; free physical = 19251 ; free virtual = 29206 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst' +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +INFO: [Timing 38-2] Deriving generated clocks +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19221 ; free virtual = 29175 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19221 ; free virtual = 29175 +INFO: [Designutils 20-5008] Incremental synthesis strategy off +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19247 ; free virtual = 29208 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19247 ; free virtual = 29208 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19246 ; free virtual = 29207 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:45 ; elapsed = 00:00:49 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19223 ; free virtual = 29185 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:49 ; elapsed = 00:00:53 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19205 ; free virtual = 29173 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----------+------+ +| |Cell |Count | ++------+-----------+------+ +|1 |BUFG | 5| +|2 |MMCME2_ADV | 1| +|3 |IBUF | 1| ++------+-----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:01:20 ; elapsed = 00:01:26 . Memory (MB): peak = 2698.465 ; gain = 511.367 ; free physical = 18987 ; free virtual = 28986 +Synthesis Optimization Complete : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 18987 ; free virtual = 28986 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19284 ; free virtual = 29283 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 2bb42201 +INFO: [Common 17-83] Releasing license: Synthesis +33 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:02:01 ; elapsed = 00:02:06 . Memory (MB): peak = 2698.465 ; gain = 1002.160 ; free physical = 19281 ; free virtual = 29279 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2236.577; main = 1886.524; forked = 400.124 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3726.551; main = 2666.453; forked = 1060.098 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19277 ; free virtual = 29276 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated. +INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP clk_wiz_0, cache-ID = 0edd54b7fee8338b +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19262 ; free virtual = 29261 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:37:39 2025... diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..cb1c83687cfae52aa803755d175323d25126deae GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZl8V3^CxP}J=4lo!N)D+D6<3NsWjd$fvx*u9b<@~$L9g^Bx8DG+<DJOe|6{a<;8 zhCb(`3cAZS+nrSfiO*GIXxQfbR1L)LH3E@$jTjg#U6vYy*lR5q7#2AEwP0Xia5`$q zz)<Bl$%?}%)Xm*FB*^Ei9mvSJ_6!UPj!(hlTNjX~y{;gURyPp4*BeCM^=4pba9HXC iVt?}Cbq@(}HHvrkaP;$Y^;sJT68#$pGV5p%hz$V0Fh)WE literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt new file mode 100644 index 0000000..6f3d037 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt @@ -0,0 +1,176 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:37:38 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb +| Design : clk_wiz_0 +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Logic | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Flip Flop | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 0 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 1 | 0 | 0 | 285 | 0.35 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 5 | 0 | 0 | 32 | 15.63 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++------------+------+---------------------+ +| Ref Name | Used | Functional Category | ++------------+------+---------------------+ +| BUFG | 5 | Clock | +| MMCME2_ADV | 1 | Clock | +| IBUF | 1 | IO | ++------------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc b/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc new file mode 100644 index 0000000..6360afb --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc @@ -0,0 +1,32 @@ +# This file is automatically generated. +# It contains project source information necessary for synthesis and implementation. + +# IP: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xci +# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint. + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet + +# IP: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xci +# IP: The module: 'clk_wiz_0' is the root of the design. Do not add the DONT_TOUCH constraint. + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet + +# XDC: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc +# XDC: The top module name and the constraint reference have the same name: 'clk_wiz_0'. Do not add the DONT_TOUCH constraint. +#dup# set_property KEEP_HIERARCHY SOFT [get_cells inst -quiet] -quiet diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/gen_run.xml b/proj/AudioProc.runs/clk_wiz_0_synth_1/gen_run.xml new file mode 100644 index 0000000..ad091af --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/gen_run.xml @@ -0,0 +1,58 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="clk_wiz_0_synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1741170802"> + <File Type="VDS-TIMINGSUMMARY" Name="clk_wiz_0_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="clk_wiz_0.dcp"/> + <File Type="RDS-UTIL-PB" Name="clk_wiz_0_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="clk_wiz_0_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="clk_wiz_0_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="clk_wiz_0.tcl"/> + <File Type="REPORTS-TCL" Name="clk_wiz_0_reports.tcl"/> + <File Type="RDS-RDS" Name="clk_wiz_0.vds"/> + <File Type="RDS-PROPCONSTRS" Name="clk_wiz_0_drc_synth.rpt"/> + <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0" RelGenDir="$PGENDIR/clk_wiz_0"> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="TopModule" Val="clk_wiz_0"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0" RelGenDir="$PGENDIR/clk_wiz_0"> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="TopModule" Val="clk_wiz_0"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/htr.txt b/proj/AudioProc.runs/clk_wiz_0_synth_1/htr.txt new file mode 100644 index 0000000..94a0b3d --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/rundef.js b/proj/AudioProc.runs/clk_wiz_0_synth_1/rundef.js new file mode 100644 index 0000000..d930527 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.bat b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.log b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.log new file mode 100644 index 0000000..798c5d9 --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.log @@ -0,0 +1,262 @@ + +*** Running vivado + with args -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Mar 5 11:33:34 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source clk_wiz_0.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:16 . Memory (MB): peak = 1680.461 ; gain = 312.840 ; free physical = 20519 ; free virtual = 30469 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0 +Command: synth_design -top clk_wiz_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 177876 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:15 . Memory (MB): peak = 2501.699 ; gain = 419.555 ; free physical = 19373 ; free virtual = 29323 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.v:68] +INFO: [Synth 8-6157] synthesizing module 'clk_wiz_0_clk_wiz' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'MMCME2_ADV' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82388] + Parameter BANDWIDTH bound to: OPTIMIZED - type: string + Parameter CLKFBOUT_MULT_F bound to: 6.000000 - type: double + Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: double + Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKIN1_PERIOD bound to: 10.000000 - type: double + Parameter CLKOUT0_DIVIDE_F bound to: 6.000000 - type: double + Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT0_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT1_DIVIDE bound to: 3 - type: integer + Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT1_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT2_DIVIDE bound to: 50 - type: integer + Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT2_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT3_DIVIDE bound to: 12 - type: integer + Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: double + Parameter CLKOUT3_PHASE bound to: 0.000000 - type: double + Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string + Parameter CLKOUT4_CASCADE bound to: FALSE - type: string + Parameter COMPENSATION bound to: ZHOLD - type: string + Parameter DIVCLK_DIVIDE bound to: 1 - type: integer + Parameter STARTUP_WAIT bound to: FALSE - type: string +INFO: [Synth 8-6155] done synthesizing module 'MMCME2_ADV' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82388] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0_clk_wiz' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v:68] +INFO: [Synth 8-6155] done synthesizing module 'clk_wiz_0' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.v:68] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:19 ; elapsed = 00:00:21 . Memory (MB): peak = 2578.668 ; gain = 496.523 ; free physical = 19266 ; free virtual = 29217 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2593.512 ; gain = 511.367 ; free physical = 19259 ; free virtual = 29213 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:20 ; elapsed = 00:00:22 . Memory (MB): peak = 2593.512 ; gain = 511.367 ; free physical = 19258 ; free virtual = 29213 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2599.449 ; gain = 0.000 ; free physical = 19251 ; free virtual = 29206 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] for cell 'inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'inst' +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_wiz_0_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/clk_wiz_0_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +INFO: [Timing 38-2] Deriving generated clocks +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19221 ; free virtual = 29175 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.07 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19221 ; free virtual = 29175 +INFO: [Designutils 20-5008] Incremental synthesis strategy off +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19247 ; free virtual = 29208 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19247 ; free virtual = 29208 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/dont_touch.xdc, line 9). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:44 ; elapsed = 00:00:48 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19246 ; free virtual = 29207 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:45 ; elapsed = 00:00:49 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19223 ; free virtual = 29185 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:49 ; elapsed = 00:00:53 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 19205 ; free virtual = 29173 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:13 ; elapsed = 00:01:19 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18890 ; free virtual = 28900 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18988 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----------+------+ +| |Cell |Count | ++------+-----------+------+ +|1 |BUFG | 5| +|2 |MMCME2_ADV | 1| +|3 |IBUF | 1| ++------+-----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:01:20 ; elapsed = 00:01:26 . Memory (MB): peak = 2698.465 ; gain = 511.367 ; free physical = 18987 ; free virtual = 28986 +Synthesis Optimization Complete : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2698.465 ; gain = 616.320 ; free physical = 18987 ; free virtual = 28986 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 18987 ; free virtual = 28986 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19284 ; free virtual = 29283 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 2bb42201 +INFO: [Common 17-83] Releasing license: Synthesis +33 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:02:01 ; elapsed = 00:02:06 . Memory (MB): peak = 2698.465 ; gain = 1002.160 ; free physical = 19281 ; free virtual = 29279 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2236.577; main = 1886.524; forked = 400.124 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3726.551; main = 2666.453; forked = 1060.098 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19277 ; free virtual = 29276 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated. +INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP clk_wiz_0, cache-ID = 0edd54b7fee8338b +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2698.465 ; gain = 0.000 ; free physical = 19262 ; free virtual = 29261 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file clk_wiz_0_utilization_synth.rpt -pb clk_wiz_0_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:37:39 2025... diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.sh b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.sh new file mode 100755 index 0000000..909dc3e --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log clk_wiz_0.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou b/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou new file mode 100644 index 0000000..199a47a --- /dev/null +++ b/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:33:34 2025 +# Process ID: 176976 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1 +# Command line: vivado -log clk_wiz_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_wiz_0.tcl +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/clk_wiz_0.vds +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.335 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32519 MB +#----------------------------------------------------------- +source clk_wiz_0.tcl -notrace diff --git a/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.pb b/proj/AudioProc.runs/clk_wiz_0_synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..f13bb6b15fb9dc4da379a9ef43227af757f14669 GIT binary patch literal 28253 zcmd;j$;eftS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Ts^fdPVTXa-^%npqg=nV1<GadNR6 z8ye|Zm>3wbbFms3m>ODw7{&%BW|mQGhUU8FMn)M71w0iz6Bro;n7Hh?q=Qn^ic*U+ zGV{_EJOdPpQVa5nGfVP|GE<AW1X!h*jf_pS*pu^%QqxoOqSy>Ab&ZToG8hV2D_9{W zEN0?b!048knUk8LP?E2Zlb@KPP+FW?gko5wg1Tz4y0wC8F_&kWLP<tuu|i5_QEGAt zC;*Ey@=J446!Jit^U_m`6p~UEGV>HF^Gk~qax#lc6!Oy`CL+5{M<G2QWM4@}D#)hb z)RL0SymXMUi8=Y{3W<3s3Pq{8`DLjJnI(E$0&G$&hQ@{#aGw}ia+&LA<maXq>t`7m z=ceYRrtAB<x;Xp$>6aAfre)@o6s78>mXxMsCgzps!j*trV5Gn$=bV_QUZRkenU|sf zazJrGYI0^;W@?H;L1IaUUKBehn2by;G8hWjD%c?5)XB)D<ddJ6lA59rmRXjVk`Hn= z$UJapLjp(-6yhv~#>PenhdOZC>6aE4fgP)#npa$sWT@}!>Z%`+nUk4Up<f0z%gDgU zM9)wkVz54xmy%eLsGnH?agDK|F><WMbLn~pI6FFfxLPR$mFDGvVm~=CIU`jeIU_YW zTOlnU9D$j6#U)mtSQB8CVlgr`F+;eEn~O6!Cp*48vnt*IY=xPn5lX_Cz{thpoS&PU zn3n=Ef<=nS)L4rX;^LCz9HV%y*y75(l8pG2)Z)zaJO$m7`~n5Ip$fVMiA5y}70Kp_ zMg|5Y#YyQV7ACre3c8tj$wjHTsd*)dIq|vqDX9wiX=w_&5LRhPe12Mda(-S(YDGyD ztBI~5*xxJ_ERa~R;t~rkNh|`T6$NCwxdd3Gm<>%Vk(>w8ZER$i!B7C#UCrg-SW=Rj zTL9KylCO}STB4Askdv95npd0(4z#q?#FEmYRB(C+r9%DuB88OHvdm<N5ZE4L0}FUY z=jP%J28BUtab__nC&Ro8&aB2J=E&A(a~Zhjmyl*1s}!@bA);I%V4Wp$8gb>~2PIfg z(g4SVYB8uhFf=vSVuSbyR7%0z3yYp8HX}n_69bgQ7R{v+kXQsxND3+Wsl^I;`6UV& ziDjt@&aRHW3OSicMTtd~dLYv+&CKwc&TeF=YiVQz3Kn<?l+WeiTbfgnS&~tdnwSD| zZfag)5~w@^rDEh*Rwyk7Nhd1gCRSwTmga&=7S&>ff};H7)Z*gQVvrM9Oe~Bnv{>^K ziz|zbn7J5DqS!2S%?->^f+ms6-Y2m%FBx2FW~Am6q!z(U0jGlEg2Zx2p32C~NhwOr zQ-Gv;n4(lrP=ahShuQ?S1XN<c{pHW4?15@@4%p7r6ovB4k_?3aPZtGnoPZ26ff{7Q z!Nq21Zf;?21~t+YIZBT(axtkE3-D;Mf`i3qH6zywMtFt@3h_~J%}GqkFG?)Q%+FJ> zQb4Z;LG_P;m7xhrWe62CRlr^)8krgx>X}(uD!?m76GKZqQ&Up~YX$V$(a_S^+!!W~ zs346jjg5@Ckn62=j9gM+FQgV{R)OL)H$SB`2a?C2VPawl3tS`QvdV~wi@_+E%O6~z zl2-Z`7bWXw7U;uEC<IHdOn}jdjf=_5LV(GF(SZ>Z_GX5rD9LmmNlq5#5`^0m4`qR! z9?ca_Rj0!YC&mjKN%8_47mKG;s2kYT5nLf;)?&rUMVSR9#rj1h`rrz!G%vF_GdDgt zKeqtvWKJ$tb7M0TW849Mf{{x-B|k3}Qs84vFbW!~#mcJ1nxJaV#Kh7RmYI;fz|6&H z04fj+jp*zdlpMUBq$uFw;_~%%_H{LicXSD(eMDFo8C&4V0m0zHhYMEtSaVr&1|$|G z=BAdU7AZJ6`niOAx`cQrB;}Wa+Ew{h3jP5hp1z(@t}Y6?3MG{Vsa6WbB}Jgz4k`<{ zD!3qZdIYMm4qSFf#yb0WyE*xXhQ#}Z`h>*0AsKF_X8;Cpvs3a*lX6lOP|OZTHQS!c z7Ms}t9*)7TNJbkFV{{a%(N0_r*o+Phc8z!Q^mC052u8BN&Cw^=74C3sfkMdPo_>b$ z0j@!w{w_$y8WQF3NK}VAa@nIg+&?tLAl}6@%+tk{^Z<`WHQbrY5u4$mA(8RUk<LD- zfo@7TKEqIrx8t(GVmw*VNmQti8=HZs&bH(-$8feGG{}*n(-@vYGV@AOK|L#!v`s`% z8^*iPGN=vXX%*Cl@#F?|5Nc3caamvlwGn1en;K9Y)<(1qYa^P4wGl1D+88se4UH%c zYh&7mwK2`Y+L-LH4n<AZwp`X2>DnaT*)iDJ(FM6wC0x_s&oKVJ0j_?*jv=1@en=%# zl!w0${z^Rn)wvd2W=PI;@eFhJ@y3!e@FxtsE)5QG3<?Pih!1!43_)@!VgH{azj=w- zJfl-16SJX)(#YIEQX`X%i^VC_&7H>0OAam;LrYUb+|B&s<i`WD59kpC*!+SL0awZQ z3(k%s!eeyqLZbNWDEU6a=*1&^M5q2dichePrMPA0Wfo_orYI0SmI4|VK};+_#!`$7 zagL>!Tj-gYSs;z2SeogX8o|d*kY*YTEse~~u#Ke{8JdIIf$%wi9MqyVgeypw+5lu9 zyuW6RYM(NfB6tK!!6Pv*B?r{Ib1p3|$<I}AEGa3<Oe!r&Ek@B!Z|Bn6zVm2fblVuY zHZ#K074AU7Ib3ICfMvMO$OvZ=vozK-H8esbF;hcBJ!3O-SW-ewVy2+<3m(Qp9<DPo zL>;c9cNo&!zO!g?wuO;vBO=Z~Wr2S|NoH<l6=YyFAS1CjRl#t8VhmJz47wQmI4GuF zWw@mLQcJ*-j>Y+qSt8ItV@_&Gsvdj-)&OY&7REL*wY1bTF#%7uf(KDRv#y4gMy7@! zhLNR_ff;y42W|Q_m`m3&FEOVQJcO-UtPlzw+*C--0ncw0gXa>8QVVhtlfeR@=|Lt# zb1n8fh$F$nkx{Hhmb!*0L(LIfMo^PNiW2jR)AEaQAqfxWnH10jt7@@=b3mv<acXjY zUJ7`!m&wQi#cTtx*+v$~QwZK%ngK<r1&QEsIH)_o?##(g&rDXxM@r`)=Q10cSZc9D zC$Xbg4RuY;4Umnq<C5VDfXu&uiv9=}X9ef{yyB9g#LT>sV(@gCk%<Y42}Wk1DQx(p zoGq8MXI^GWW?~LxCIFPr^3oMj^U^c(Qo#lon^>S2U}^@M%z+!Qi4i<M1)drL+3%K_ zld2G{S{w`ZR&r`i4tO>XY?OtGg%&qty0Ww+GpE=nk}H(D!}0m~$$Awj$pVZ<99%4* z`Bwo>3&tomBLiIv@Psnl*}ECJbm7%PKw=S*?l&Jm_k$8C-2Gc933jSCVUzL`i&BUS z_`OsKcslz4CFs{t67->5fmBWL#0C0xssuXS+>R35ADFmqGJ56~KuUE;Neo>wlB|%7 zDD4!=Q;R^W2td;x<r$z-2sWXOP^6Fs5(kw|dI}*Ksl}<N24v>sfS1pt=j9irrhp4D z*feReLQ-joLOE1LDQF>6W}ZSOinH_-JaY>YlS@)lP>fA1N(HaPNd?y%pcO9&SAYw7 zBV%KD32PKgg9KtE%O$NBk(q;-as@4nDgXs4DE)vc79(Rb<ch_DOUfm+D6<SytE8vq zr4}WEeU+1+oDHt)7>%^pAXP;ai?M|+s7is)ysu&862n@eg6jZ7b4%1RG@C1(j2r=~ z6ZIWSQ!?{G^`Bl*X<jjs@4&GZZ>XP=pH~uJl3$vf0rIB+BeX6s1g}Scm!(@7x#aQJ z1yGlx)m{VQa!_*u?s5+<HRpU#8U)1-C?Hac;T0KjLjdf4BNJ12G6P4s8EBme+^nJA zhBULZ&@(YJ1+^HF+mJ@kHl(4sDYiCb4woYK#$Iq~Zf;^xr4<)=K?Jj*p&>l*jMTYQ z{qixIo8a=N1lD9sP0`~5g|eX`Xb}`VbNn3?Ep;U>q;{f0SYl3Q3Z!5SD$OeaE#9<J zFyiInhK#2e80Z<8pmNPYi>-{v3-LfMMNh;UH2AWDV$ke#Vo7RxC3IPik&(FxYDvM$ z#hjm(7R7F4plfPi0LlpPV5N7L^%Po{bt5C!Iz*Qhk@b+n7T1Cx6Ko5DOe_>|EC_;z zI%0&u%+O5F7(7e??w6vDFqptc9#BRY3@kvSLIXC4MepcxK^-*H;nIQ(n!#4+ffm?- z)*FJBY=Npg&pddq4-`)D!8dxl+Y;5?id^zA7lYOmT45~j98kyJK+6;R8M*c#@&wG` zc!C<|h|XvZq4}_~2Wm<);L?NS5XXXooJvrZP%lm`0k;}ci%Kfh6+m5mL@_tA#+dJ* zCG^9LTn7*dJ(0K&8=%CFeNMw*VCOXGU96Hd;B16D#z2d4(PyDdEU8n0BW9<ND{w;# zQ&3qyVk&Se)Z$5rO94_mfhGq)J)r!&)VvaqSV?AaNoH~}>N-Svr!UNE+a<LGvdIV4 z!Ju}Ag4Mt}))qBrRk@VmePL9`2A3obiqNHZY?`44kQ|o`B<+D)GC`@u`K3k4sS42k zA}Ci5SaY!$)uqu~ktl8~w&HRL4k)%#FgGz!&`8eDQOHTnOE1Z=GBhyI<Z=pf^aaTo zqsl1+Ir=&oS|}JA7=W3^W(uYTngi-h%<KhEu_*o>6mdfD)ar_w26VZ!A*t25D8IN^ z!3nfFJh7+}+}Uv~N=;Nio?0EySoPpi1FdJz$w|#Y8Wcz_$}CAO%1l(qglv4uO)UX! zM>Mf8vV?9k0PPkrH?RQBi@~=G(mT*^qO}MQFmmlhv<Qg_dfbf*&=LXk#)YXdbs852 zpq2}G)BvS%VLr0fA2^_984WHqNS1;3eL$U^kjz|AH)y2xd9I+P@ZF4DI}j<HKuF<8 z+=f<$pru!+iQCZ1kSZ-_3kyro${qB?ZDDC(04nB2Ov~8>HF3*uNkI}fG(8WR;-B6{ z_6f8Ey`GV44I)8f37yeITrgk_TVvEjEX^eeO~k3m8F~3R`RSDkzKI0|nR)4;yggvf zq<0!Vj+TbkF><X&O2Zg|Gn#}4E(z<RCSg%7VMr48^jGlAD^4u}?HM0YiPs)AK-9TZ zApzo+lUP!c3f}+kl$w@bl&UadgUAUrh_tvg;2qu3P=c58xW;GPGV>C16ksb-opVwX z^GXXwR2IWCP%;`g2hl3e6^vX<5tS!$nS-<1Gy*LKNAJEEn^2=~ZeeKwG90t}VqpeK z!Xu{pf;l=3sU?C^^Af=wa(DRZG|#-^lEl2^5w_vwB3d%u!N|20k&N*L(`d3DxYi)f z`K;8W(##z2F-)PLW8ge8Q;QOdk~1nnnI2x_(Yv2PZ~M-nrRlAVT$_;7G>$MDP0$0E zpfQ^oILqmP{GyVPwP1w6dIQX=3>-uQl$^0G7__h)Va<-wUAE|(m{BSqD(|v2w4!ZG zdNfH3FmYL+4kjpY$>A)a{ZdC*0euFo!LgZ<YXfqF1Ea423Zc>RdGIwi!izG&r^~`m zg;fYjEyyn_K`FiH-JI1&&3WQnqFfMHDLCaMCTBb4SELrBEds;LtlGNTs6{doR~yB9 zn0jjzYG5`g<R#{&D%8OH$_h0E^ipCk@p>Ua1V337DTtgwJBUMz6Vp>6K?EQ9fDHS= zwa9VFKtlvdfkO+*1_uxxoiz$zPy@CM%v7jx&M(a?fdmg8oiGc)wm}G(5GY{r=&UgW zTT}x&{RhlaP=GkY6dWb^bQ*!x*B}n3gz7XTsM8pt6LhjDm<6+wpiY>lAYKHMFd-Cw z(mQYAZ(8Fj4n~V^MA4mqS~_`fxgiSAG}wVW;3Ja_6jF<d@{5Xf6buwV>$1Ry@|PzT zf#zz8!7IuP6<}OFP^y3rSU|T+!4EG5Z<j(?gS-d<bf7wP3mUfblMSuFJDt!6U5(6$ z9(2WXAd7{i1-_;t+&iZs%>}r3HZgLoXT;$h(CTE+2^fPg$-({O#H9c}>LMo*TzP_b z&w@_+O-)gNo>2kbr)+F$0N(=%+S+Jpj<U6JSZ*K%B?-`$QX^0o7~2NY(L+cAxikYx z!N+!~7As`tm8BMyq!wuuYl1GAP%VbsX;F}wr%|j4zM+B1(n5<lzn}zk{0jI;XoDy= zV?$j-W0Vb@!!j{h8d;ct6O*Npg)z3oMDN|mVO*-<VoSjVa)$)$gd2q#1!vGr7R9Bx zu-hk?P0fsu?souh08UCWF)}hRjAAp<H8e2>t-yuvCU@o%3rfvNO$2X8hhFgjF^W}+ z$-)@x4GvMPhUU5!piSxUeZ6&D?yAKKpluSxI-qN0!lAVdnBxp;?1L_bfr*0aAk|_8 zS8z3@keZhaYQv=#f%akxut<Rp=s~(bgN2L9*cg-wjX(r6DYBU8nt)0*xJP`rq*RL) zU;&e&P+Xb}y6zyYG$*GLw5^`W2>IFzBR(!3aPbMfl_Lsd9_VxgxOp!I#RhNzE<R*u zBJRgAvNA9P#Vu&-w~>_r_<kJtMsF@I4nqS2BRxYi@C7-BmPQuP-StN1STD#~z{n*D zx*`H}y^m@!?9Lz1`5TZ;-mHd3MkZ$P#DErfAa?{nFaI%O<zfy94mPsovW8q4lwX!w zl$Zm$6v)WP*i6sV+}v6r7jkuwp@jwLSU(eM&<P&dsh}%|ObiV442?{p*bU8fjVw^_ z;GxiwoLuZ-kjscbZVU?!HnQWgL3g9ExsjQksi`5{jYei>W_l*3#z<~7G%z#JGqAM4 z>d5zlBH2iBNr0NvsS3dvi3O=HP71Kr4I%--&h!E$AQ&5-fY`ZMk<Vr@H;0ynp!+s3 zuUFd5$OSs31(feW7a%EQBo-?qrKaX7z)vIrS18P8MuzYt#KXk}zJsSAKQphyD1$4F zW@n2)ua$^5&`U`MT@z#us=`1;2fXlVVC1rKOaa|l1kP)qOKKGIOG^q$OF&hlXMh6a z&Y(2NZ9%HVIuHR}PZ#i2SKv06iG?ZT)*#T8KPYE-3ULV-q^6{pnk1R0rKVaK8(Snr zu^H(ant>0xf;-~Vpiej`2Ti~WA3|xz%m|ux%nY&Bq(eRJ%xB~hcdbZGE(N#9LHC-0 zF6DyNpsc25#>NI(oCP_Fd5#&Wi77_0T+yKGu!>6JK_{!hj!FdG#g&S<6be}`9-Joi ziV8{;bPJO3swqf{;xIJQH3Hr3gM3I(43~&&1!TNUwOApsL_xJ!PY;qMjSLW_ItLdU z<Z3M=Q1OwPqTriYq@ZA`U}$J%Y;I+2sbFMa1UZ|<$N=RKB0erI*Sr*kpw#62q7(r} E05VL0nE(I) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..824e038 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j23meneg" Host="" Pid="181267"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..824e038 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j23meneg" Host="" Pid="181267"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..824e038 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j23meneg" Host="" Pid="181267"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.end.rst b/proj/AudioProc.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.route_design.begin.rst b/proj/AudioProc.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..824e038 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j23meneg" Host="" Pid="181267"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.route_design.end.rst b/proj/AudioProc.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..5ef84bd --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,10 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j23meneg" Host="fl-tp-br-634" Pid="173172" HostCore="4" HostMemory="32752444"> + </Process> +</ProcessHandle> +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j23meneg" Host="fl-tp-br-634" Pid="181192" HostCore="4" HostMemory="32752444"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.end.rst b/proj/AudioProc.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..824e038 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="j23meneg" Host="" Pid="181267"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/impl_1/audioProc.bin b/proj/AudioProc.runs/impl_1/audioProc.bin new file mode 100644 index 0000000000000000000000000000000000000000..2892b89ac9fea48da3746f25c4c7db82f3028dcb GIT binary patch literal 9730652 zcmexQ05C8x>=smFaDgdXH8V6#fq{X+fJuRo0ZcPMXodzb4VHtj*+Fa&HegBs%NZ~# zFfuXNKQ&-H0OdC@GAAcYHh`$-1gm8L$+0jRFf3qXU|?l50P`6b445JIfYji_1`JSl zLCj<XsWo75U<go^2>`iw6c4!&V5QK-%sBMJ#9=fJWyA^#FcGVgfq?;+pJ3utauW$I z8kS0VPYt}cSO(q+qb?W?0lXo=e-5wokdSnMx{{P)7^;ONiq_`C9K(?bW6_?bwVz;d zLwldl)&`zOv^Ad|rVBtV>44I-qBv+}Fq*k+{b&L-<l^!ZE^!(<Wz^`=5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S^9B0`ixJyoXViQG!-=)6z$@HXr1630@FMKTHol(a$%dHjReB zXb6mkz-S1JhQMeDjE2By2#kinXb6mk0Jact0Bt`3VQi9A5TmvE2q%i)K(J}cqMe@{ zS-{(07cej=G||uxv^F2^bo#{!1I_#d+W*A_BH+FnWsHWvXb6mkz-S1JhQMeDjE2By z2#kgR;Si8JOIXc7s~DXJ8|WAqwV&_I;12`XIT3^3rK2t!4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FO0943@RxqP-CJP=mzfCtTvx zuyfRm;T-~lWvw`C5B0(sm!EKnQ_tp6lSV^eGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2C#gaE(S zz}QQPtt^yi9@RS<0;3@?8UmvsFd70QBn0HWhn`0{hMtp0T|62BqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zd<e)5!uwA~?>8Co$#OIRMnhmU1V%$(Gz6#+0(^t;{*%%BO{fsDqehH|z-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CK^6kC191Pz==~;xED?-4XEX#xLtr!nMnhm&h5+vX+<!89zsaynN~4|{4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd70wA_RD7a6jYd{fk2) z>PH<p8UmvsFd71*Au!BCK!yhQGmhTBILs5xsP{%gU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQJUG0ckSsXB@qMaR^8FsMAM7U^E0qLtr!nMob8B zlW{-e=>3Z$CV7l{bTkA;Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1%76M#Y?mrp5--K$xJ8IBq2#kinXb6mk zz-R~zSO`dAx&LJJev<)<j!}z8Ltr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx6#SfdwrT zIJM9OM!BOQFd71*Aut*OqaiRF0z)zcIM7@zsf{Ku${h`X(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3^7l@LI`2Z)fN5~KG75elMF`Oy#<4S~@R7!85Z5Ev98fPN1UAxCkH-V;P9 zh(_f{Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz11P z1W3Jqk&si_xd^Ekl^+d((GVC7fzc2c4S~@RphpOhdjBFJH;Ho-QZXt&8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFdRdG+V`6f3L~-6`%ef3(Wv}r2#kinXb6mkz-S0iB?PE_zX>5nv5nq; zLMVtv<wrwcGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2CF3;}xIZ$c=LL`UyGArwTT@}nUz8UmvsFd71*AwX0J z(EEN9LXKh`z5j$z5RJ-@hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Wo`xgYEuBJWd5mF~0&+qr_+kjE2By2#kinXb6mk0D%w~Z1*n`a4v)J zYeFhU<wrwcGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz<`DT2Ll8S zs3S%#9}R)g5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd70wHv|q)=yV4X^^>ZeZy|{mj4B@ufzc2c z4S~@R7!3g|A;5W#fq~RI2#YZzN~nQE-;%1HJ)ePrR6Qg*X;k@W2#kinXb6mk01ZNb zg$8C)Vx%Y+CAvrTj)nkjLSQtX&?a0*O&tw^(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S}H=0+N>)2HW((Pz}macaMg^Xb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVCaA;5^{&>_U{Qe<FoWMN=n8A5Ibhc<?L(RXYNSw7=U zeg+061_lNurXlMGa1@OaqaiRF0;3@?8UmvsK(i1SqI+uuKovaA0%g?T(GVC7fzc2c z4S~@R7`Y)Z&{ZsJ=g1BFQJ)W;5a1YKr;2tDumfme{SZIYM>vfZfii05Xb6mkz-S1J zhQMeDjE2By2+$w|(5FmkU<ECWWKO50>7!<khQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2Cl3js>cDxp+A#Q`ezfMO#?4Hyl9(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S^vO0-&=>Sn;?PBoDn&7wZaqkUT5o#$BxPc#Nis zlxzo8j3L4hu=l_O5sFDt0t!Q94WNBiOdtYT5Qz<z0uu^NNWyR?ND87KyF6SWjTln5 zX=E@~V`<|@P#A&_jlpW?sNiS_jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!3hxhroazJVd}l zB3%R&j7pD&z-S1JhQMeDjE2By2w)9?fxdqctD}$vS%%~Nj7a_)WsZixXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDP&Why`~8cAd@GzqNX4l9 zXb6mkz-S1Jg3%BdnISOP?_VSoE6mx1RE)}xhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@y zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtyZQfCJP?FdE85qqxkG1sob6Jp6hfYK9@n4D}O?hH@ETd>9QACz)n~>VVNuE*iyU zgd_m9laU2Y4^$lPHY6>0nJ|5L72%P@r5`2^qj4BPYRVcc>ZOnzg2cq(OH|>(rXJOG zqrA}&7!85Z5Eu=C(GZ|c2tXT=L>o3()FapNgT+m-KqS>YFny!+Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@Rz!d`WT)1QgpE%3lbJD1b zMnhmU1V%$(Gz3ONV9<sDKlh+@8ivC-FhoWLMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mk0BIq>H;C?M9KC;$w745pJsJX|Aut*OqaiRF z0{B8eZV=tiIC}pgzL*-78x4Wc5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc442H>UR{fwjcFH$4MM$H%vfzc2c4S~@R7!3j9LO_;|_cM;(zerr1 zjH((9fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7f#DbeGE}_(Wb}TM;g~{3{WKZ^qaiRF0;3@?R6~G=iua$4 z-fuEgQ^BaaM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jh5+qDfSZK-Pe$)Ip?!Re+AtadqaiRF0;3@?8UoY` z0cjHMKN-E>gj%6KYR+f~jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R zAT<OQKsCT<C>JjUQwyW<Djt;_4S^980#Zo#GmhTBIAWrG)T5&zFd71*Aut*OBOnC0 zknU$3y?=28MEIx|M?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kin2nhjPcP~o38X*BN>dny*7!85Z5Eu=C(GVD>A%N@dMUL0Q)Wf6R8V!Nb5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0<aKhfH6p;#gCJwepEFHAs|44S|p|7N02z9%+U}S z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb24M5KzGGJc*~+#YaU)LtsRP zfB<%1b3DN=J}NpI0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1PFzI z6f2Sn9CryJsTgIBhQNpn0WKCKZ{xU22uZ~#b2J1-Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`R+@j<{zywXvX zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1O_Yw7C>!=(NHd43Z@oD<5fH=IT`|^Aut*OqaiRF z0;3@?h(myj9m#PGNbFJOfQ0}T3zF3WNbFJOXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#oX)U?x5QIEhy}s&X_0MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n^d0kV3lPk7?NYa@1p^Aut*O!z~23 zI1oN!L@-BLqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLx3(JAb*N3*3i@v7MhwqYWQdfjE2By2#kinXb23=5a2&OG~JEtYNTsF zk%dRuqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON z03!tWhQR%dBklf0DNaN*F(H_vtkDn{4FRMO;6l2zjS)$FlsOs#qalC@0l6V?KO<6B zMmT(wH5vk=Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1jq;h-a&9b<4C)Ik&I{>)iN3aqaiRF0;3@?8UiGTfb1Z+pK<j5MUta!RKsWpjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;s zx`n_3s7){$%Ee2;)WT@Iibo|!Ltw;&fD9e(KN-E>gtX)&#febQgkX-cMnhmU1du|2 z3+Yk?MkMi3=4c3vh5#Z2c<6Bd2~t)>SUk!a4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7*T+m3iqFk z-fuFZlFX=QM?+vV1V%$(Gz5l72uM@m{*%%BO@>Hhk2-TS1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx4ewi2E5w?_Z>ELXqM`*vEumj<QBW zU^E1fLVyeD(l|yW@lobz2#kgRA_TaIxStUzD<L$FvPMH-Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`ReLO}8)1H<UOj8q87Q6ok} zU^E2i8Umar7#K$H%A{+Mk6Jbw0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz74QfD|V}c=R3= zL|BZnMneEO1h_a5ywN*15MeRO8V!Nb5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0+J^g z7)I|s8BGVHA%H&wI8QJzjNW^KKa56YM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb2325Lf_pB#ee~@lr6gFdDDoQOVH|7!85Z5E!r!km5vG zJ$g?gA}mH(qalDC0$dyj-snAzh_D!CjfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jh5#Z2gcukYlo&u~o`Wz#9Eqif z#2(a4Mg|BRFh?>$Ayph8z`($ufMk+J2ZAGjBq4z$j!;1^tAPsw$<>dp?*cCbqKgj? zJ}8bLK4I(;_J^=QBvSN%xTBbkA;1C84~#v`eh7;Z;s~)()@TTfhQMeDjE2By2oMtj zk|!C!ZDnHAj;a|Afsq;loF^C<M(+n2sj)xm@6iw#4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S}H$0#cj^SK_?S9HC^CH5vjV zG6c9d5Z)fWrx6hrqpVRd8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*Oqah%9l7V6L-jmUE zFd72*LxA%H1H<UOC-}o?RCY83MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin$P57~PK3Wl z??FL?#VBhu1dv03ivz(My$1ym7Ne}u5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Auw1%K=LF5!|1(?gC#ab-7#20fb#?c z!|1(?gEe+W-8C8lqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%%E_z+kCRSKh_T)Y%aEsVyicvNyU1V%$(Gz3ONU^E0qLtr!nMnhmU1cr17aIqjc zUjT_c${Y=W(GVC7fzc2c4S~@R7}6mi#fs#71tj(;b2J1-Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%#uB?KhDfw>M~a+DYifzc2c4S^vN0;6Tu5Q(T! zXO4!zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S^vL0+J^g z7?_5DJ4c;38Uh0u0-Prp7#IiA0i$-0hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2Av4*>!2 zCN1V6?u$|9kA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC70osLt<S_;Y1_#=iKWg%52n>f17|kccAr?pdFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^s?=029bp0U%-&kA}c#2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2n<jN2rx1*Fb|O3qt=dwz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*Oq=&!)yjld9kfmX2VKlPbD0?&nMnhmU1O`tCNS<V1Kq<ZkkJE;sOIV2V6UQu~ zl#ME)N(e9#Wr|<`0|Rj?i85|f(P#*ahQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0wX2_ zn27SJU;qOHaVm*2ZdB1|2n?|hU?j@DpnO7{N}`M#RWup`qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%E!Vr-B1u}pIM2zA=5(1n*Kn`I9 z5u<oC1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON03`&NP<XgFf&mN+#HkzwqaiRHLx8wF zilBT#oXX)CMx%Zj4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*O0~Z34KS6dgfrwE&8UmvsfFlGre}E(yLBuE? z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5FjH2Bu_FhjP7P6Bj6~~!h%<~#B5|~m|6~G z!BIBVLjeC?MQ#lS280R(i|Wo8sRlNX?s49^*z~~i3pTk?vC$A1f+0X*1<l?#1pPdW zoQy5{BsqtX2M3K;8W<P^C~%B41$sxdqJ;pYy~@GBzyx72FmN!ySYV|NU=k+*QOjqJ zQ^BYN$sqs<Q<M%Lfmpz1g}4kh(NQr<LI9LcaOfv0hB(&X&^;<lSqKnWpJ3||%8kYe zWnqt1cLTf@L90)&szwMx%097pgvcmsGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinkO~0>YPy!)l$xfEnl%~%gC+z<^U0uz3j7Wsdm9Neesf1<Ne%%H1_kia)DASA zMDJ{rzlNrBluLF9Aa;QwSRljTJn*`1(9(Jq1_%j~1!0g176t}J7Z8U*aut|jU|?_n ztAp`IX|h6qk%55)v{YV!L6CuoL4W~L&rD!oU{D0J!Lh;s+r=e_WQPEFXBSwWzm|ak ztQtvrlu1blVB4VxGY_{MB=R8Y#C#$2C`qLd0Ob;pQQ#C0KYIXFRAD=?1FDJvMULGM zWWXq<JOm(nM-b+K_z;Y!++n*>m{7_lkSdTq7%eUgVL?cc%qXTX1XvgZ7(kUaq{2oR z<^az%3>*sJcmmZp2zfY*uL{l_Wl$vqz^Pk-L5TyD7a-LHC<2r~^)`nBSQfiI3LH!g zVD<b>V7=f5HFl+=q9la?BeX(+))Y`4q&~r85L7u>1>-s}HA+w%0^qs=)UaX#?TUxg z+Mry)!O#It{R|3_J=&m51F26qAmWfc^q_nN%^C7;Fvm17fK<bHqx5J9jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2BS4gn^}*sma$w*vzsgV;?b zN6>tUfG8JOoJANsMhTu+frJtV_#96b1*pD@P<2}w7$SB;*lZsmDo06jLx2%7%Il=A zq0qt5&~$?lvZBaA9U^{84YCHM2WGw+Ljq{<xCf$-(Lr4UGzi>$hJit15=0O437FbZ zn!*quX?PtpxDT@L0EmEK0d{Q&W0a(22!N)7!RAA!u3&r?q_PYw56U?Vf_q`?QJP92 z01bDtO>>X~%@dQYeN-cfApkAcVEF{Lga}rGflX^btb+veM+u5U09>VWD1a#j4oGOT zFfcSQDJVdA3NTRy1{TP4Fvw1DZ1I6u3<5jB)F?rn5MX3r2w`AgaA6b>0Oth(1_scQ zB1Q&Q21W)(K^F#Ausw_nEa0^ij110>AOQ|=c_+Zg!2-G<h^LW(!GV!+bhR)jXeh;? zo(L1eL=Pu{1_nkYg%*Z3(6SXq1_p-!1_$tZU<C#N4#ozC289Lz1_mZU1_cfQh5!Zz zmL{;(4Gv16)kNYTb3iMR1VF2}As8$_N{|`?p#BIby*F?$G%;|n2rwuyh=A9|vobJ& z+gFSYEDVg`GKvE%!oi@_#1aa&#)AV~u0aYs&L2XI3><0<9As}`0h>8e2~a*j@;jvL z5ny2u@BoQ2GXyv|Ffee4Ffb?xfY;fB;taN`o}~d?ynz&giY!oMK{5#cF_0KII-!hF zisTRg1t<DaERYxj0|OTWlK_(<WY<GO1865i0|N&rCL0(T7#ez-KrJs3P(z@Bi-8FY z85jiLL8^X`FaxM_55^?BYE%O#1YqeN)D;A?TR@vpgcukZ1Q;AZ5y2<`jt|CG21W)J z78W*;CUAhWuz;EZU@ij#gAjxPHUh#NC5aCKP(Z^}Lvjizv4ht$Du4r<iGd3&4_Xn~ z03LO0U;=Hb>tJGHXaeUYCQv2v2&@Q{X&4w7L?od+1&EPgWl*6}ilh(#)fH?Ej2a6# znie!MEaFn&VBkvPU}#|AQek3H+Q7ghn8KpKn!o|-mMDPtVJY-1>RJREP65Rj1A{_S zgGK`r0|RFl15*Pi&LGtbNsbs*3=IKLLIz<5P(NdW!xRQbff@k;20>;40S5;M23CQ_ z1uP6q222wgKox}mg8&l)1E@Y>5O!n@Wnd6t0JX=u8JHNt89=#4z*>-zfkjjS(wPAn z48l;mMkz8v0MycAU|?tz;b16WYG7b%U}0k60$ozWqQElMg@HjKMvaA`fkS|Sg@MI^ zgN4z7fkl8xi9tYtp`n3;1(ahLzd&ksQ2&t(2aIaL2mwf%ZeTdTz|;}~3Sxzc9F0tj zObrY!1_}&Hj7$sx0t^fy3J$_-%tGJ>GDr~vBZmVhlQd~EFo@r007VL<GQlu^RDk3V zfYbpFoD56>EDQ_+ObfU`UG@bW3=Bt97+KVK7*rS-0wkIkSr}bd96+(b&Ep`@z|_#; zWY7Vs<2e}^IBOgjm>@G?BwIhK0V4#M7#Ns>z|q1X0B(~#1PzUV#<Uy+3>;V(9g`A3 z&0r-^pPxYqydy<`f$?MpO9CTkFomH(z=45*U62W64y1d6Va2Eb@gV??0?-CIaKQuX zg@gJSAgsVB0xFLf7*s$!kf~EZ>XaC+fY?yX!5{>ZmD>X4k5W_!0Z3f}?wEi=9MrgK zgd}%RB@d1@j>ZKYOidgN&7g9NfhCZE0W$u`z|^9^&<JjgiPbSQfa-UsX;g66h&F<e zf#JYHP;|5~OmJutU|GO&u&rewL&pIHh6y2pQeq4o3I-Vh6O<d67(^y=G%&CPGYGYG zflirVX*eR#(8#b56jjF<8X7@EFC#iAso{BWE&!EJ4xn)@h8ET?h9g1@LQISbA`FZS zja)1XI9OJA7`E_FXkuXD6tMQ<6JTP{W?^AvVrXDtx*(*baDYi+I*%Fy1EX>SBSS-D zBP_pB!*wIm3~-z<GBg}~*wE0>(9(MKRM(Yh3#VOgf3mfu`@qufIe&KU+jna368j&! zW-ad&v%WW9e$o~F@GIhNUE5leFNCjta;0hE^|k967$n*n8bJUYw<9w!spM-=oPg5z zgNF?b3=M59TVFMO30o0<xP8fXuFgvfxwd?XmD?X{y?6h=Yg^23O6`xEFVW7VAI@6d z*LNUH`-0f2FCV&APG7Ibz`*$)6eEp|4UJTC+Nd%A8BpM#1OHk6fB5~CHGqLXH0;mY z*RS9077_Ws>i@6*XBZg%|7T!$3!c~Fif0H8FyL`vU=YY14MZx307{H7{Qq;{Bjf)M zzy9**F`NmV`PC8>CsI#8MEwu_e-RQVe?Nd+z!lFQ9B;r=&cMK!2jY)nDuw_?ocw3{ z!0_uY*8+wQp;JFs-&A`TCG~V^)c^SZ#*jF93qC`wy!?M~yuE!n1A{;!6<srG6et8R z;^YU%2ZrBYncW$TL(_hp1jUJn$g2PUSN-1siIeyIAZ<!YAA$qy?Ohlc7*jy}QB1uM zNNi!*z~#bqOyK~70RsaQc+g9Mg+WY!L4bon0d!~)sIw2EIUG1xSezK3ONA3#L^>2$ zI1Vu|NG^3@5MTo7p`NQou1Oj!3=D-wItpZJ*rp}6bQnmKuuREdXp_=m;Q*bX(g6t> z0cZzZ0JKPgiGiUFJl89bz~G_70vhQORIgxYkkVmc0O^5f86_zTf&UB)pq7{PDyd!Z zg3O;87#Kj9f!!Ts?3ZSyG?sM?3_N=Og+D2oF?e_|f3*+b|IZI8@jyBm1V+zI1qBr~ zF)U90%kPih9UsrY04bfAz%}{z?|gbZXBZf`R{g*KV-+Y)K7X~34-Pgp1;rycHW?Uh zP}4c1W<f*sKf`}%27wJ+kbD9@!<i+NpPzx@-#>PDW@82h*3kd^KZY7JxVSL08U!$W zVEBND6M<W!;Yj5WfaQ}=(XCv&0|FQr7|w%i;M4o?fq~(Z3`-hQI0FN-`~RLVE}%I1 z%xZw1Pmss&L0U&Kg(1KN-p45R5wa&y>?0F+Hj!Z>+*l^iC=3GwmlJ3RnC&BoWME)Z z;Bo`)gA@^FV33G|=w;ao*E7nXC<N5ObBN3*V0#i76~Ob3kZBaK$)E*Y3=HZDp#6-@ zC)B`VOdaY9;KL$MF)+x*sB3@(L3<lP^eCoE2tb0JfKj*<i7f?b#3c)o8^vUY062r- z)(w&dEn@|#Kt7qCADl}-qNAAVAplw#iN{b-oM4ld*TN<`Dn?-lAk`kknuLfUIdD6T zSbd}FaD)J)@dP@=7JNW0XoLi;Qi*|qLxG_IB(2Z@s{A<=n4lvo3=N=I(BS~BRAFEO zt>^;j0I8IG&H&o31>%lk%0s{byy1tDL4$#T1svGm4O$E#V2Tl1mO-|}F){{(f?CDU zwX6)Fmar@6I1mN~K>-F<$ng@CyJ%#a07~|tm5dw=4xkH99GDU~0vj0|m>41&7$-0! za5$#4IcYEoNHVxIMJTigfR@*DEMSpPU}0bqV3ZILXb?yc04*V6;9UncN)a5K0^mJf zVCE=6ZV0e|>Jn&<08OY1f{HIDjwS}sUPkDhHK3i1EDWNd5+F-JJBBzI7z9)p7!?^n zd$`$6rNBE_poW0djABYcfDyEDfq{jSk%7Ufk%583WkELsGXrE(Da2e*_=EO5fO$>K zObP)E3<99o1FcI12@3pX5NH5z69(}{F&O|-XE%6&)^>rkgSL<`u{1HTFeosvG$?w2 zGY)7i9Sb7^Xn7E*qCw6AOe~Oj6%Fv#-v$N-8C#Gs3=W`uLlDEk{856m5P;O@4Gb&{ ztf1<gk%5B&G+_l|&tYJ2Wn*9ft>XsQ;H(S^41x}z+60W*7#Kwa7(^Kv7z98O1u{rX z9F%oPbHJ!-tRVnN(xCl}OyJPwU|<9-1x{*O#K6(O(E-jkkQ@QdE?_en8aNm@7`POe zRxmJVFg<7h=Qa5}uu<TA0#XI4V?gvMCN%`WZ6(lDJEuZ}0uuvhKj{L6rj7@UA{<Q< z6d9Eynq&{RDX=MUu<|r<81yJGF|Am|r68loqy*L3pur4^PzDALM*#+S4~JA|jH(BP z060-Y`h}nsz9OIomMCK*1Jff8M?nT&22KVB1&$>Q3=WGp7#JD^lsp<BSD`qFhJp?< zSkM5{#h|c}g@M76K|qLsLF^|h!yE<yB~V#5+Ia*8F(nujJq{d90s;ySj4nJ33M`xq zS}aTgYz~@?4J}L!t!)kySQsWSFfchVLdLWN7#UT-Q3C3ifa(&E?Ho}MA&?k|KZ+?0 z0aXTu1_urXwhjjdh5!Zu5r%~v4I3OBSQryn6cRdIKvfN>WyZqD+Q`5NJ{bqJTNu>Y zgvJDeU^k_17}bv!0vAAh?gfGhOs<TLOinHuj7xYJ92h2eF@vT=8aNpe^gt>&7#bKr z&13}!1_=f5#yJL1>&%IPf$tNj)B>p)#ncG_2SyeNMu!9j1;!;S96THvHnxDee~bzW zEZ~4wRAA)=_tIGyK$kT$fR12s0N3ap42%rmd0~mw;2ox*@c`;LX~dfXOXG|TY77bn zppnHE28IbD;8KbKdc!ZrgocI&1|e8s1-bwj)S-m(!An&^RTHd&8S!CCc>pvxv@kL> zfI1<dz9YD!2(B(TK$UhQXfz%)aI66Ci!gzYmH-`12x(z~Mv5h;fg*%)bo&YAQ32Y< z2-@z;(G@a<gW-y0!_TIPLJTQW7#M_9E($p`%wT9?U=WxfB)}rZz@WgVz|g`V83;P^ z4rFx$6Qcr40|NtSc17Y2B*TElz(8`Nn9>kXe8kYepb*B$7R92%py@Hovw;;f7AC@w z#>c=A>B7XpG>L=Bfm4B(QK$iwhXfe7wlFd<ur`6FwZPFJ|9$}|Qb41blsaZqKPV&_ z3SV_ynX)qc>i=7RpIzO5<KO?jski=IynOA!KfWo9ks*`i<fh59Us$QPmUVi=%s|Eo z23=iS1Q{oYHz%+(H8e6eFfa(-ThP$Jz}VP0TAxrMO@Zoxr?0xcT+_IA-TvPHeVeO2 z|KI({zb)sdSjg1>{Y$^yymC!y(mXjW2hDZ5jMo|@1DP958bJA^fxS7UrHP@LsgZ#} z{?kHG6M(T1a>yzb+%@8jfUJ=J|NG<Fw}1bH{{JuX|N3E@KL;58^Yhyr{=m<lIddjM z6suV%Kf`|peq(SW3le7GA<#9$W)S`;NwpAw#>u}=cix|WANm1bocu?L6JY^JoQVIW znp;K<f`kAxPX7LQ^Y;AvP=@~+|6hah$$y3qA3*u!|IC@wj5AqJhVt`&K#db+1xTC- z*g*^$C8-ty&^Y=2<=o%De?mXtixbqcD?J4gC+y&Tz*KY3s6h;%eT)h$Oe~<CKww&d zk%56jfJHz-k%2)&gaJ$|h%$ioH?k<e4@?E^pECffXArk_Yys_O1nq4c4Nz)?0B9eh z3}_#tL7R{c3(FjknHntMW9vc9G6n{QfCdI;28Lt?fer~41{vsB3{wU}hXF_*0|Vbx z0fz=DmeF}FP|#5Y2L!M$k5?+^VqacR%KBA$74H;=3H%=t=0B8sD7Q4~@U*}8<t{<? zV1umVXZZj6zXk)t*IytW1PgF8fc6!E_clUAMoG#-AU;0)dwh9$eE9dOdV726|Lp7x z6CTR3{cNvjuUHy2&F1G!A?W!LAbVhO0y^UZ#0OykmeDu?g#-04^Jk_-TuMq@Op6p$ zS-(m%@bNKl@_%6XFZWRHVboHa%%3v_-$C-p8PItv{Qs~27lH6$ZWxUdSV&NdX8s)X ziK|>GKIoH{zJY;sDEA~toK&<|v_~z?Jp6mV9F{m4ExV`{{>bJ)_aF*G?^~P-;WJEx z@&%#vML6vQ)_oB)b`RRm$i~0}UI5O(;G+%N6Uo3JvK3;+=)Oj9NRdIPgJyOa7*0VJ z#4|$gWn@|j;WIcvXhsJF4bs5qpaz*o>0nfVT_eDA3A!ip48%<4Gh{etR10bdAkEr> z!W~Hxa!x&103-u#95XO5@PHPgg2fpG1j8VVQId)w09`}}n&853DyVM)nG{B-V`AXs z15ZmLghpAEhXB&N2O@gF#-eczz=Ftx1L%4)@EUG3rO1j#*`$X6s8}MX3s!DH?n!}= zU`-A3s-XEtFng4sFa(gQ5vWPvEsG2s3JMS@1qRSH0Sp?T?I;QhKpX5BI2by>D~muH zKrJu<4h9CkJs=+FP!JG3ib)RvP(cO7jNl8Jz?W!%L<B%v9Y948BO?oBFQWr!i5DXz z7l2k7fkYh`92pq|8^D_(96;I_MD0PY0Occ)W)OQ6lO6()`vO2)NWhy&7zCI=wKoI9 z1g;4T4gw4g0fGz-pov@o0RaI=298Az4zM<{0H`JA5DFS4b^zVE1zI=3XAZj3kx{@A zRJjmtD3I>lk)?yhL4|>#K?}4sP64zsSP8UV3$&~Yd{rYjELuSOAs9fbAQ=Q2z&p1= z84I*56|~j}RDN*>v@kF*2?#K8FoCYBVSsEl1#2E9$PNJ}1_$tpM*#)_2GFJ-(8hTM z9?-@)0Y*j^1_n@>#lYYI-Wx9fk_1N`v>VSL1&Rc4DF(W0i)=@XY6OP>2WT%zhr%ib z(4BIi$Y@{!hdV=q0+Wb>04Q^Sc4~1jB!FrQPzRnFv|^Hhfdjlym_b1ZB+dN1p#i-3 z4%|&?a$w?ss0LdxN)Q<Wkj5|cDuxCI1_egQd0h$&3&6pt(8$07s$n4NAh(*aFgSn@ z>vUmY=>jzdKt-JpM+*xB13T+z-A-h{6IRBwLP22xSXmS3!bJrRfeFxc+DZzGlt8<* zI21IPK)E7;p#ii{&PhQDTs$=~DS<LZgMxro0|NuU8K{(F04+EMt>SY49}NOFc9b9^ z1eiek8bD<i1L!nih6Zj>>Sqz0z`!8D!@$r2%0MnmGK)Y<>cC?vEP{#xpdG@DpzT4B z+`_;hR?Wb`(9{H4<PEyR3DjU9!zm+K3#g?8+Sv}G8CV=x7&tscxgh;O77qqS4h{v5 zCMFIp1_qT00t^fS6G1CZ83mXHCMd9QfKKmfSir!bp~1kgkb%LW0o=EgS7T#fU=<Kx zW?^Iy5Nco$1m%{I9K_V|JE&v=cU3^!<Usp=m>3ioI=U1%7BDC{Ft9j)mU)A2mIH0n zVgPT~0x5zV+sz=q$f2Q0#6f`t5*Oeai8{_2iKak;57vxjU|8VF$lwCHGQOcf(Paa; z>R@pID`MgS2e^X*54dr~0BR63FhFiDWjevY#L&RT(clPal!5y<BQZFs<!A6VIFMlu z4FZk~3?WXSx*QbbY@osow8I1>3A(uvv^xtV0XboU33SjGL`t%eL4-vBa<&IVWR#>N z1Q4xQmH-aW-Ha>(;07=#?`SYEB=9tX1Q{F{9KmO*F+z9Kf$9+j238Qoz`%dQfPtYQ z0W>V;zyR6nNQsk1uwH0a925fJpau2UIfTG<0;sfV0979#Nl^C%df-|ELj!1}1tbmX zKZ0nGDS}NPl92&2A_>wnim4O=j0Zra6@$P61{Mb<1yGj}VlZeRjER9!K!Je;bn*>E zkb#K_T6r)qFb0BRih)rWw2uqa5d}pSRM{v+N(g|`He7uJ6GNxq6$S=DRt^Dy22eW- zJg~yhz`(}f(7?jdz_d<);Q**WlLK`>K-~~7kqJx;pv=V}?`7J+Akx6$)XcyDzNZnq zqYiHND1+1x07VVB>|$t8=;AoEKrscB${QG16BbNhQs8KCNB}p78$fHl85kVcAl-FP z-NDGv0iqZfWUm}hVtT;dz!C!5J<K>7Bc#RwTs<f<7$AYl1R6Q%ocg6}#pSh{B^w%8 z92!=crf!+hrO>g$E%8Bvic3q=dcy|?SQHPn*)cRVfqE&-U7}OE7}-IyEK-7B7jb<# zzViC@#)ig5#?d<g;bBZF0~99>3=NEope|qo6GQjMFI^nhm#_Rfv9ZOWtzl};gE>qJ zw_4mDfa0X7ZJoh^11$_LYH}=14WQ#An7KqJbTKf3;zT&_>#C+N(>vU*gKje&jT2I1 z0-Jgpupp~JfPugT)~{d=XhHC|KgOUvjo^Jhs~A>+Xch(r@J%&fHUA;{7#Ji4L2}TW zRKQZB1f?MW30qeC0DIxf%*@a&3;+LrI}hGx3N{@SCkzY>TujJu0+wN5VBnM-jT2Z{ zP>Y7d$yfV$d-?mHKZD|A)hY&tZ~yJjF#q9)?2CiO2@_I2`TySr+FlkY8;uic#Rbe9 zXq*_t8_3*Y{R-My0*RB)%-}cy?RNyl$$y6btSpFp@(;2P2sGl7G#V$cu%rqN+Ls7U z?<tTw7KIoT7zIH485uzP894-47!(v?e9+iEiwlDYXu=oCa_Qf&J&~jLG$I8HnM@59 zP!F&H947(_3>-Qv3`~g(4FVD@pmi&33?LfD2hofS3?c#y3?3lsG9dRff|_rNpgoZ~ zEG$z%_cXFFjNa1-3Lk1>(7t&75C0jA&wvUo<1-BZ`9Fa6ZT)8e)h(a`3+X5ch{c>H zU(O54o&n$O2oV`2sTu<4aRQ19P#l41Q2o!qz=d`%kN~gw_w#pU|9^$Gqp9kiQNuvJ z41Ru4z5wMAhW{WEl21VS1tiPBzz@nS$U())a)en>mSHrXAO{Ln+5b`F1e8BO`2-Os zTxfA3z|DS~`L3)nE2vXXRi}&;!(jUpA$t!Qp!*ovp!YK}Oa$#sWMmM4i$m2<1rOvi zuz+X0Kw-rPA_PEuwt9$JEL*{qKS+EOlN185{fX)tpi$hW9_U^~=>3dLD<OO)kT?Sa z1M>-pdIkpQy^K9D%OHCfnLzXNMi4f$j|xZ<5_urjD5f9;AcOVf8X&%tT%Du(hzbGF zycLE*a0doM6eb`)dR7!HD5yYVjQ}j>B34phkz|lxf=zT(jIt0wssf0OCS(gEkvXGm z%0hq<%k?p!sbA2RIhe_ih8AcaBUl7n^?>H%!94KNJMm{=_UO`Wa4=9t1TZi-fbQ)D z4OziW0tvu4pgaS@Fg|!+9OPy*$Sxt!Mr;NK(RbiAV4ziZqe~!>!ioeYsB(a01}6{D z)&<a=G~l~sK&wv$KvVOM0pQK2uyfEE8<-jxLAsb27z7-^83hyxj4TWeECL=341A@a zrN#mbLSQLK3`0l~Ts5kcfq|ie0ld+HK@oH@5C;PjBV&Mu27?s1Y5}*iAUT8sv<-!U ziGh(3ng>KcGbW&obl~+Bpps3H4Y7oEG-$~P0Z2$g!WE<)$_Irsh|9zQDuNss99Wt_ zIRhL+phd#4y^A0<Fnj>SaA05%(PRLxngnG&aBPCaM=>!W0KPH~q>!Ttv<@CTF~tGu z%Y!ZxXyE7o-Pi!R>r8=(iJ?h>16<QLG;}b4I_nG^pk0azuzf%bpe-z2Ak!EaIOj5O zIDjLg2@;185+XTDk`Mx*YyjCr!oURCy$I5*%mAt69U!N4LKT26q6q;>GcYiMaug$I zD>if>jDbNIbdE#-XonZJ+yv1!O5zOxP!ZDv-FygQgEm_=Fo0@%a5*LbuBjOsKzB7V za6$4B2V`v{69a<+NHxg#6$}g=4Go}6Wf}OdfCLmkQ<jh%0wF<yqnMZw0EIgw)EN|+ zI<!EWu|e7zI5`>^m{^z?+8P=d7AZ9dfi`S`PAGs}XvV<+Y6*a%1f(Cd2@(_oObiSS zUJMNE6$~5)KrJyQ=-w5Ofuoq15J1!?pxnVA!_dGWARy2n$iT|bz@Wm=$QUp|fI$S* z&SJO#iZu?<eKQO!4IE5|*g(5{p_xSiteXi`v2ZeQfNtHAS)Q<ffssK0bmInW7Z=C? zVjMN91~~*kTjUrRIh2^d8x<HJr4wlX0tb@-3#f#00Bt;FQE~u<J_D130t1r(g95C3 z0<Jy4d4_?3WhEm60~;vgfO<H{c8sz~3jrn;g$4%j79jAxMUZB24q#Z+(7*%=Tm{e` zA8=n0+#CQC9N^=KKx)B_H3&m$3uFTxEN_hV7a`$766pX6Ur^(f#R0VG8dfEPL>(9$ z8W=bjSU4Ejn3Na<8U(apAr0<kGJp^9Lb42Wn5xJ%@URzH6l4aNF-njS0+28Ug}nn~ z0O;~%(2-A|K04^6CkG~`1q>_<3m6o*6c`vBKz$UD(Vz^Zz`!B|IdlRf0v`GT>0)4D zV2fq&7>x^R01pNi4hARCb=VNoU?mP@p@V|}q!$R0!%9L5ECvP!Nf%hnj#b^LAn_q! zAi%)LumH3Jr4clA1<DH`%?gYR35|-3;DHlxqZcd)B5+~(Be-Nn#fb|6SbkvMGNFNC zLI(o_D<dQ5nha2r3luMmO&t!+91KT17#VpO7#NwsrIZ2#LjnT_%CV^|;HDQy2kQ#Z zQBx4xL3{{nlq4+#Kq05#pu*7Mrli&YI^YJhOA*w4Y-nsK;9%O|u)skGbW1iv2!jfw zrU2DKOfC)_ptcy)t|rj187PKDIvE(4*ubJ7gTahZf|L*dg(4{UlfP_fSb1%gT0?7N zGXoQILnA118X6l)ySqLdUg>(xGck}yAcR#NeB6oxlS2UmS4jYe19aGnfq@0QuMyO( z;k0FHYGVV}DUd^>NO8`nTF9nSaLBFx@};Ze`ug?Ft*wm=OiYd7IB9Hb{P?Qt%k>qP zu15$4_9%oXmOFxGBNdn!5*WBj9W)%+VS&if)YQTR?rI8gF}5{9`;4HB0*ZB*s!^Jh z5HJoExFE~GZ_L0D4o~}F{h;Oa{~7-CffqW0*3yG8SOy0nz{|kEkFnSuhvHFTJR!i( zAah677!)V$&@mFIVrZQ3ZUgZ_@d3ghHcrgRz@V#(Q+8B>=n&xlFMnV5f9QV(25wkK z9-<c-C+wh7iV+kaAPkYhPD;!jjT7u4L#n88sPJXkGocI&4ABuNdZBT`eGMcEiVqM5 zv2kH=*)^I^a0L$u;)yLH9b7IPhg=v0j6l<gu)T<|y@`$t0vsGH;C*nQp)m$1A2h%P zw#9`(0>l<#U=USwVc-Y?34+XkG$TN~QA~UYG=TP%G6*Cvc<AsjF;y^hSTHa!xWM)z zg7zkY?p+jMU;v#pEXKe9-R}tDgE}f;s{|Mtq(BSr85p>-1rTb$a-#&9Apk9-&~@|w zcd=*wDz%G&fdR5N9<({@4+8`9ALc*&{K)OA|Hcr#l7|@>3PAmR2zQhuKLl{ZNpNtv z{pYXp`%&VA9~vie{E*G`U|T^u=|K$1W212b3I{4+9C5<%!G(vJRbT_de})g>^HxCl z1e9a`qvw-)Xg(2GI~pfch!2nvIN~HYxSZ!RtLRpgI04;of)OY1d@@>gfx>f8Vb67g z$~mKs84UpnLjc~8MKcGNIGQr-TmgwM*d<0q2Py=Z7&u4wn9?BdiO(mXy$xs%a1bY6 zJDS!}E@H(G`C$PbW<k~7zy_I$L=_$75gP)aDJMwOK(_IK57`E524w({EE8z;BS-}4 zR!r~`N01nHECU)ZgG-HO8hB9PWI!fIKsT4LfVWz)fUeE}tv7XW2nEZ5cJ+Yf5)mfA zgki^{gSRk%1rchHSVG80df--uq+^tc8Ujp$3<{t%LJAU~&03(VN?E{R4pjxZcn)-N zBPicMg+PbhL75C3koEPTfn=Bv1IJr9bCf|^2!Ki^#6UWD(j0W%5`zO|r98N%z^EC( zqF{TNz^fi%D~=EbF)(m~XC%RjMhWsl02~{j!V9Dw!U3_s7{W!V5<oZlg5{tDL<y9m z0OCn_LU<rHgf&VM9|Dj`UPO?C*Z*>WYIP29%`X7DLIZ3ZXd4PdHE6XEtYU$NIwM#y zlwbgD2?lR{WI!tKz=n(xghBvRE;)c!p@Q>>D~KiFz~~?V*}w?W4Z3-T5iAR-azGUh zNF0hmF~Z2;h#W!SSP<I+Q2>&MutrJZLVyFbRTpw72RI}ZI2yD-7b-JwK=T9#xT**3 zbYNgm;LreN15gzN!XO(UxrT#*3&eqJ&xTeiVw1sF0z>i&RCbgiDFiT*Gq@fH9R-1A z3@BqUfG=wT?F<5G17T=HAgPtAK;nQ~0?7PPHf9LG(l<y3#O6@qYG43uELp(N&;iOZ zO$-bg3gCUfASECXa8`ij4+hYUjUZ8wEF6PO0@Xtx0Uk3D3B_OuD0`F|4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7(pSx<qR6<6%mHsRRX19 z_n*LM*gYr$Q?(p;K%vvh0MWnzJ^oP;jh@QDAm|HHhzVv`ybV_;x_+;`G&3UXHo z1Bh;5U;y8L0y@A8e%}f7{u3T{1s4Ve4$vq)WKg&fLOG$*AbDxj{g6nZ4ONRIGRnjj z0-%E)Vd6{-ARb~a7$y#<i5htqdIwjJ!GJ_0hVZBW(IEhxvjV9HGr_Z6U>4{IPLMD< z21&Bypo=2-pz;#I8)ab)0mSS(c;X#(i5z;4AsnJ0{o=M*Z5kD%b_hU9Eu=`o9Y0J9 zaa%rGc434KBxFI$9l(b-Gc_=Q&){NYVqj3>fNs@Y09peCQOE^eTm&{n0c~QP1AOif zbTI^ci3C`wASXyS6GH>2AOnkx64*lkRH}e5_~<i`5=H?A(CJ(5p|H?(WONQ;P+$N> zPyk2)10xFqBO@btJu!nI0~08S9RwV}G;9G8*hEGL@XBMD$x^{!-7sUJ90)a<Paxrd zM1q19!WCc<U}$6r0Nt(J!oZNwz@Wec${$Pu3@jj;k%_^9&4EdQ!9f9h=?tSog98JT zrwF+40*i1kfDXO|9Xt%W_Jj$vJPdTIC+J#ce+C8?CMH<4f$T%r3*wDp93ddU#lX_Q zq`<-$$^crUrNF?X!s5!h3{)n8&OZkQJ`?DKNYH^D;M~9fI*|~R7eFNw*ic3m1~vxQ z1`Y-X5l*BGAQy_ORR-2IO5hCvP~bB#I4}u-j!RY004)U;@PM2y2#SUPXroL36cccR zI3ytZPgp?F#sEKIn8O7m%*nvOAa`;!Tfjq$cn0XOLC_hSObnpw8brDT7#NOmFgP#- zbab(Umv%8SfR3aGRq+iCEKE%d9gNIe94w%SVo+dk;BWvHOfBGoi=l~$gNXrjh-3o? z6ZrIKS&0MSbGt##fv*Y!aYiw=5C8`%WU~mkEh_-221ErJ7y?-YKv$VCxVV5cf`gwC ztqg+d76EB>VPF9*C5D_n3^E1Wy#dKE2%Lb4f=n94M20{E11JkHfD$?rLk9x~=q3j( zP}#%4z@gCqs_>aWwG8NDc+mBLO`x^EOdJg0u@?@|-CLlHz{k+U(80u@#L&g1z|hda z#L&P6Hj(oq1DGb#Eu+fdA;6)ev529AfvaN`15-l-hX519I)<(lU8@*`90foj&cMVF zbjW~-fvKUTiGiVunW=$^sf7b{%>b;9Zvbz52=HKHXkcmpUAx5v?)WeWd;#Sz&~72P zWupwNApi<V(4|+9aARN)V094jOcdP05XvCJq{IL^e>=f7G*pC{fk8z8w1=djp+VGv zvFRWKXs;a0ghmbqMo{ajL4ipDH0BO64`dN*0}F$L2pbz@tBND2`{;OpfrEib0aTDd z>l0{m0Hl5t;|T#3&?RLIj0_D-4onRJjt-&?j-b-3fnx#aM6?Fb{h16*ER1X{3=B=+ zvWN+KjT7i{6Yxa?Ezk;vfkA|!K|zIy#fb}a<SOHS1|HDWPk8JemBJbV;0^_I0|SE= z=yF9S6($Cj22hy;>M?RKFtB&BFfeGeC~7n?fR5A#S1%4M3I_xlK%GHO2X;{V8JzqT zI6!x8aWDtW=u%){5MyAFtz`jaMzAD?)!0Qbl#NV*BnAgiwqVd;;Neja;9+EAXkct& z09^(IO8wxHiN!&I1*`@XLJABl0Spcd4F(Df9N<kT;Ql@XBSVTIxXH!BD8RrIAmGBl zAZ*9Lz~aaP-h&6yiG)GhjnD?pkYq<Zvw?wu(ZhkkL8yVjkPFnVVlZG~U|?coQ&33Y z;Ba7I6mW24Vq$b)2ypOZU<M_82XJGpl_9|Zw8abD{$*g`P-Ea=;b359P+)0Tz`(&U zfq_AQTY*7=gA=^pbi@ZUIRU_Q3N*Is0_k}xFtV&f7-9l0%HSCVECCvA0WrW`84!a( z37nNcqhGL1kDN7NiBW>&5CGpI2QGCQ7#M^WG(dL6gZk$nqc|8qDIU_60v9%*o<FEi zV`Sms0_}nn0C7MnTbLL`7`RwOL9Hyv0S6LpOiU~S(0l|^GKz@|0VZ&-v4v5AtHD6H zFT$B&ia{d-LxWSJ0!IT2O9Knn76%T7h9-{2B~7eM3=K^JOdJAC4GaQ|975oWG#D8; zK%IRy))tW#CKd)ktttmKE-jWQ1_sGw5y&<=ShOKr1kO_k?kEd21QZz>7+RPZ8g4mo zIk*@wh-5RmcqK5oXmCg<2y_TAFf}+VVd!*Z0AEMi0Gd<jV0s|J(7-4r!U4JtkWGY( zX#%Jbwa7|Ksz-peC4gmDgh7kL5(Wm&k4!v90t^C>@(|TlP&9#bp^A?3AR&;vpn<8S zsipZ}R##p4zKZYJQ@@-}U_P4FX|ZBLk4Q^<*Ow<<D-W;IXkOOT-o)6{B+}LOA#A~f zz<8NiX>598ZlYXVQ`i_7*w*i}o7X4DKDDauS6$t{l79>g^6SKS?51!DF@quiVi}BN z0SzXP#tAGq(CN)fIvQG;TN;0#>MJP!cRxIPYRTzDrX#O=Ei5MVh;*=ZfBC}Ed9{6I z>#{c1Cg!FlfiA8uVhslbx64Tdv9UUe!{TH+C{9Fp=hoN#`e(Jy=N|(D<3}+*D=8Kc z#?d%I4|GEO|1SSQM+Jwb{TKRw?*sq;d;kBZrL9`^|0_u2pZ^T}3?KMIgM%3uxVS;; zK%yWV8pQy*XaK|nV?k-q8MYsOefSW{pu}+IJOcxVy0P(LV+Mvlf4~Yx3F1TG|Eigg zu>S7>i4!64*_!{sx5@nZV;mYB42=`e{&-OQLCrRXp6v|b{{Ih(lV1k^|GO}hhl1jS z-O<2c=1c~LKW88+MoFSW;J*?moc}X0{P(!`pZ~-EY7c#o-v8^t<Y!Qv{5R(R&%kgB z)P(`51xYY4FrdfDzYh!yTn7LDGn^^^KhvIpK`zGtycz~;oIn@HK#dxuP($E<C^(;h z$}UKpK+7)Bx#tX@KmX_F|Ic6yx;NnWFGzlZY5$K>c5U4K4<!HV;fK&ON~=!VGcX9U z;wigE;{+CrSm=}v(0wOd#~2PUFo13tcVQ6eU|`@l#=roYmS<o|VGv+aU||q)Vc;-g zU|<q+2@v2^6j2n$G>QY%T|}zSrIi^NI1(_`fknW}TfwYR0wV+pkF-VU@UTrwgiHl9 z2r#gDNU$)8WiT{IX|OQ32q-Xc=&&$=?n5!qU}2diz|bHB8W2Mm1i3dQgP}<Xq@IDH z4SbIZ0|VbJ$eIe!dI^MT2n%v|3WPmMqKCj41_to00sNuH|4l#K1#K?*|Nj@m|NqyK zst&NGP;goGKeXUK12agj$6WC4T|qAB-Eyl|zA|TEFb3810u08Wx`q*@odz~ylpr<) zz;R;yKa}6}|6TA+4gW(K{{Mds3U_29{(lGY{{IKZNho6|i}~HVckeD>Sl<s3hs4P% zP^=h%;)K)Wzbq5DRszY7Vv<4t94Gvt#tfzmf{=W&3Y1UK&4A>S`p^Of1_scI6qfyh zf`WpuYp_?Wgxn7VY7zhcuT;*!AgPDiCO|iDl#eR}U}cvvLnyx~zaS)^#Dnq)x(-li zGcf%BU%=nMz#7UZ$YOq1Q1I@G6$`+V?f?J31NT=z{gnUzo31l3aHjmXXBzD<q6Z@$ zK9?hC6kTK{WLqNJO%5i|5zQ<L5I)0H$T|uJ2GBYP2Jo?Vkg-H9C-B@Ogag`L2Wo9G zFbIP6F)~c&@&X+$$+DG!Ap&}CDyAbKYC&o+<wmlIx`Imw0|V1f@P0;yrW<PDDHEnn z$i7CV9yQQ}JOfh?BY47|0p6x%S4aR&Hp2PPy@^iCH9#wmnoleTEd*m=KEuEuu?JW9 zE(e<lQiDsys5p)gP{2KM3o5Qa7*!{Vh=2gNO@OLmlt*a@;EoeilOfwwAYzcB47BNl zZ!3s|h>ns}3ISN~A{mF&O-B;N%5-3>!YV#0NTUz{MHjeIz-@j5Lky@Dfm^|-40;HF zt76ctCLEwU96(D+p<&#?z@Wgz0bW%Es?5QwBpX011_p)(=+I#UR4uq2z`)?d0dA!! zIdFiNxIn8FPS9`-$Y6A<NBOuy0MwcVVbEp;&?OsSb&QM*44@@-4uTA<0icCKpdE@} zRiHDSK^(9$@IV$s7_`$N!9l=5fB{siIDi(bf-dP0U|<mb%fP^hb*vGqAk^TIN<qUU zKv02!k%NJu!DAsqQv(wtgQuf_gAxZ51FHgPZ7`!lXM>;v;}RAJ2?rJ?(4t2P1r`Ai z&A|X#BLvC<3Jf9)4s76MtDwzwOae|EEDWGEstgPaGVgJOFR0+dAvMB<IT%u4i?0|P zz-zZ$K<nzDgSrk341s|R3=9cO;9`q~3Do-lF9Tx$FKlEIXaIL;7#JKFL1k3~s2Bu? zC=-h!m}U@31aI^P7iSO=1PM(Q2!T-+C<MSoj{qnd7?{981lp7h+S$m$#sb=Y&A`Iq z;J}~~z|a6r*G!;74W!3`0lb3?9NrG#3Pk~OT{$BINGa%~76t~8If9>A7+OF!jbh?L z0F<_w8XCYG5E(#qGiW^>s2~Hkb`QZS7?3g%1_n?&po2jL9D$(14peM0a4Iw~us8}d zFiimULz+CevKlye7!(dPGcY=U@{ni~19<&5$PBQf;0y-vH4tE%z!IYbS_r^{26Vm? z=w1yL1{Oxv0MJgX1_zb^K?V^PaFq^PVF$@4AOjddccg&oeb8knAYoAD4k@NsK`{c# zTYp#_SR5D_Kp3QD6ypm4@O@c~3=GIScAA)kKs(<Xm>8ItBo;6*fp+maFf=GRbTlw1 zG%zqRbSOc_T0nUQbQ4Mg_zocjj)smdkX=emOdJes91Tng4WRs>z`(##&cM+G+6oDh zM#dm{Wd0}{76MERiy9U&G&MAEG;ys`0PV$Q>Fxlf>II;xLV-bG!3q$AMFCU*X)u5$ zRya~PK(}!)a4>)_r(<YfZ~)au%nA)nTnr5yE0h{KT9_6zF>!zjEd~a`Sum^6X$5fE zg)TbE7Z70x5nvQx<zf(EI2g#l$iUJtiNR4rNRfwug~^S9g@GwBl#4-$g~^HG5Q7K! z^pzk5CQzSI$h85qWr%@Ep@Big!2ncJ@u(bSWMBydbu>9V83Y*_6a*L;q$MGt49^yD z2B?GtmxmAqqa-W@KqWo{J0l|t=wikLEDsWt5{^pDI0^2>gTh%r0F-waS{axa6dYI> zI6&1xfC2*pWcOl&03)cmCIniC2M%imP?lkGU}T8k0M#G{3=C2^;5GrwK6KgvoaN9( zM>ZeS<^qL)f`WsG0gobs5D$x%lQI*B07HYI0FNN3LV(oapzb_)KPhOK7*w5u<A8~Q zL!g0?L4eT_9JvfmpgT_$SeTepgcuAYKz$g{Iz5mDqnOwb00l6p#X5n(gVo^#V+#WZ zg9-x&hsF$#1sn$$m>C^BK=X+l3JeYm9*jzi3=E74ECQfTBe=W5!5|`FDZt3Wz~EuP z0%~#zFhHigI#?LI1Xw^F6$Va8kO{=PXH*@i1q+(EWngew(BQzpEa0f%vVf5xpg}-E zfUki;I)I0hfq_MVgAsh>fD33*gaRjMWdsWYXxkhpw}6^mj67Tnj0p^kT0#tLpi+#1 zfngC70|PU73mtDR19<2LJVt;K<SgKuY%zpKv;epOf^Lcf1q2HNXgfRu1L#l)1yE%T z+6|8+4_%T69%2FO1!Wo$1_mh}6(Jsm22dY{qX86yObT3(dPEj90tPY~19xg@fnpF8 zvlwzCTL2WY4NRc@jG(~b0EafHM-CEa0QEi~2X#RL2`mU<LP!=a$i_GZ1|}W_2ae8$ z28Kq)hGvF_28RO<3ZQkW^3x$Iv5;3n7!*K*23Vv<v`_+Q7d8V^(*=VLMuuZO2M%2_ zU=UDj=x>;FO2LWYl@hqI$|S_lzy^wsCI$u(P_vnn0dxQq6GMZ7wvG~m2osZtjFAij zBSWjxL<VLDrGpGy0ZSB27(5sm7(`!yG7qGLL<{LFpmQeB#74Ocjo@}yTcd}9qeBLx z27`?P55qKv6AS_(j0_E`4J<4Z+B%p(bvh_e9hf*+gcw*996+TS=oBLc200N>H&TG5 zJHdmgOMro60ZYRP1_mZ}2KB%$MsTK+xsRODkb@jlc5wtMVC&-}D;sg_#s>`z4UFy0 zuRj!?%G~~L&7Xhweq9gw{pJ3Yz2{!0FZ;J{LR;S?HnvHv4Pu~^Si1PcMEDc}G&mcc zG&D6Zu{7A(>)18P$%)Ue`zO~Itg!a=)P~cJix0C$m;ZlN8<p{rfq~0}g^39?ng(v- zAqQ~hpE@p$Kn+b~u~9Yy12|3^+nP^(`Fi4|<Gb^J9{n@f_v>x<{w;S;Z7A=2uQp{` z4-*5MFv9`1mQ{glT`WRjd|CktoD2_Ini!ZE8tm-t^h893_~zGE&08hf+52efl+y>4 zjvw#(|8<qQj>kj>1_lFsaWa}uu%trpDq)8I{QM0685bG^u)ScgvB_ll9ko>MAw#Cw z$^ZZPZ9pqg`9JV~usIxhxV&QKOa^`i8wSu0q5lk!g{lk;AO62%_|L$wk)fkYAH3-M z->*Lm^8D-nGcXAJ!(uB~C^Qtb#v9BWC6Ge^8YiKlp`n2v?E@HgGced>GXBe)R?+@H zGiUNAHGa@i;{V8TVr&D7lmEt`-4XviK;4yq1@GQ5{Qso1fT5!cya$ir|F1s`_V)Vr z3=EP;=Yt?y%)kJS6VT8Zs_-Ze8Yjla#>UaV?c>=B8EkB9nEoz}YOnY|ZF0{4|B)+E z@=5#5X~u^`Ga3H>2jvw|J^}AX`Ey2a!3T!_3|(EI{KCNS|HB_+dH(z789;{?;t1ui zFdLgys~LE4NRM1$Xq<pbFYeU_@eFrC`Gn(p=Cp@$4AV}UeQ}G-LXQ)M51=>!<rQ$* zB?t<<|7Qf>fwmQObwS3r{(bl(D9<0y&%hw?6eN!ggX3fsHkpwvmck&iLxF`!EU`tT zLxF|kkN{{uqY4W{2!j9<ivo*~0C+zmXeb4AU=a(800V~uXv3obgMbi&0t={{2@OC{ z|B!*j5o(T0fP@nR18A9qh%;0H2Ia^g0G@!skQm_tg)JQwG9@h21QHlLbXdSUdq4vu z3<3-S9TMO(Y6Lo<>li_OMi~Z%fCkX{T?`BpK>LK@p_gIM2HwXg;Ls4o!ob8-VbCT8 zk>pi|(3oT)L&IpCU<M0F1l&#n)z{qD82*1XU@&H8?wNar;RC~e1JIT?V;ct07Ho$9 z;9c$v3{VQBlYxPuzaF$xm{IUPq`3tuzW%TJ&+y;aSkM?$*)T9joCcMBP~|98TH2Y> za|}>I1kQoS2|GK(|F8D{jnAK7w;voQ2S9NGQU{{{9{{l!7*Mxd-LD4?kTHfL$4MwC zPRa!t{{R1QhJisKdo)fUAx8oUj}tyVhX1Sv{Goz(Sw#Pz`TyZR^ZyT!b0R?We`u@K z9>wVY{QRJ{6~ljiW5_hge}@07Rx$i9Hx@Kj_yEc&5<3|fLa`2Pjph@SphV`t<Aiq` z!+%zLP@JflgX4q;6c-?+Ao@ROc?1Ik0}oQY&cN_Lo*%s5_q;xM8zZ>vS~-*9e|fne zg8?{B1h<dI2`CIl@n{H)hQMeDjE2By2#kinXb2Dy0uzW(gIfu-4UJpDs0`i^P+)>? zT;yngoLdX&bb#i1(e!bE_nd&nTObmkt&PYSBnm#WSb`nI9K}S20A%SRNHJ&!0}BHK zBWN=sgbyO2SVVw9gaJG($-u(E!Xh95+m-^72lZA!dx;#NyS)S;I~_pFT0w(m3=HB^ zK*~ljsUaW$PV}G!jRFiTEP@OSLIND1Eenj0%SS=mtw77U8NlbFaWH^R+-Bfp0WYaz zU}2B|MHP7MoC<iC5NNxQ1_K8dL|$$?PS=2D>A~w_aH<%Y5}<SrDsvbZ96@VTK|8IX z0RbwP92`IgbVCGLz`KQ57(pZO4Ga#7Aew=ZK~aGLwAlf?J`bc5v_KNF+72?F%sB%j zI*Q2;fdKeMYas?k0YwJriaO{LEl4PXGXto+!n6jwpco_!ifRzez#zXLq6;Ac5g#S- zh5)D{2jvRT-YYO0G#ACd#gM?Dp@>xHfKM<0#RVe+_^1Q$YGX(qgBTCmWeU>IpaF_Y zkV*yy2F@~w<S0pW2q2O#h$X<l>fi{frCA&p1ULm59UK@L96(!p90XVx7#)}x7&*Wh z$$`NIOfx`8XvBevEdc@02?d}-4;UB%Kt{?RBHAsZ3K1)_ITS$mnJ_3YXf0si;9yW_ zP*4Kz)X8Ad04<c`fMg3$PGVpX;m{D^0A(lv1_=g^08o5^cGYnxFeor6X@ImdG%#p1 zfLI*hjl%NLID;CTr=X=HPDLYB0#eF=o3Ee)3Lxb___}KbR!Hj@)Ix&nhX(}_DET8A z#30=u9*Bl)9|Ea?Y>WeyU2YKbK$;*Dqa<-50Nz3hxkw9ikWB*v0~Z$)D93;*5pYJ} z(BM+w0I%%@-H)Zf06HKCw1^m%i=Y*Y0%ZSb14je+wsQss@zun+U{uv;2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinPzV9Yd8G^oQD{aMMif2+ zT)q`1&ZPt%IPV1CNy5M|6?)&vRP21Vk6hqWRM<X(?>S*$F#xM$WPqq=1l@ze0U8b# zWKalS4jRJ5b^;FA#t|@F44LF$bb!$<km;sO7$22}O`$M4EMrIm4f4K$-h%?W?}R~J z0W`k>yZ?mI0dg-2^9gnEOd~V&o)e}Xh&bZ`$ekt(50)#qfs*hc1`ZZ}H3m0`wIC5B ztcJuMWl}c;K=WP=pr`|5G_wUbiqJ$xxkQElBs*bKjJj72tO7K{1!h19$Yd6}2@pP$ zfcPDVs!@_&Api<_5Qa}H!Yzix6oUgt7+h$SLAwwD6;^1bD=@GyKxZ0JWf~;jpbCug zhz$WqT4!K@TxkMY6a?Bk3OWx8908yv5(tBg>i`i@tPlWQUI$hMJyQyF93iAq2a)2| zP_-kMf(0%p1VC&DNGlY?1UEY!LCfMmlHl_Oz-xZM;tT=|ECLP?WuP^`5JAxD$N<nf zA_f6R0pw+*0wA4?3<3ujSQx=~KtPU+WCSlIW?^t(5CI(?2{J_JB6OW3$WnBSRx_bX z4=+9_g@b(5z{CJ;7lW6jfD%6_+(A+h+yD+maEl2fp}@qU06OnfjR6!tEKH0HOdPBX z2@Y%?EZ}3c8G{&@TpSx#Ffed1ISMp@dnusvYnd2W92%UQ96T7D7$yiXftHAY?srgN zU=WOA0<8j5U_w5E9b)&$Awf&0K^Wv?4h9AmNSOmlksu6Op$INxkd%N_GJx*N<X~Xp zV3c4JaD-G1j0_449I%s38$lV4qd~C|wBTEW5fmwq^H4#$A;lF70|OiAd`<=yCh$qb zpd*VI80N$C7sP&$`cVuzauZu9fGTDN25@X3ODlkOJ_x`UWrL(7KxYUuFoIWcgYp0q z!xNA&C}*)i;;#ji-%`LgW-_#a&btTIB_K8OYe6Jd3`s3mrG}*-cx5941NhWGP!AoF zaG^Ca1NhPf&@~O<_1K^T>lio~6hO5%_<TqXCWZwJLLN;F3p_xj9fJUPCj&?`2SX1i zxEMjkgMt){o51m^1j>R;OdM>Wi&C1HoR}c}NYO0Nxws%rBOb$&DJ&pZK$}Dm98mbd z&I$&lcCZX6#Y6VVu`o<&2ykd*0566F6@ZKk%nXc-j4q%`AEXbIZ$L|lK}SM^^n&={ zA`!%4VPSzRnsjAgV32(Q5(489UUtFi_$DR>P)cWlT!aM<8888jG9+OR2GGscplDH0 z;Al`%U})fA0$+{7(ICLUz@@;U0Lmv|jAQ~RFDNxJG;n}#8t7tbVqyYaox%X(GJ%Uf zVQZ*eU|l1UKm-7&cmYKSxa-gWx@iU!ARu{IOeipcgBU`BVxxh<fr-PcLEr%Rb_UR$ zHC#>14NM9vG#Dm0fP$I9V*w9SLqo%21qTkME~Q2#2JrP8AcHv)7@ATvSQl_GELg#y zz>ws^#K8c%rUrag6v%L}J3uOtFsSH5;tpFTq?Ce`6QKQv@C(L3(b2@j1m&|p87vy0 zfazdxVc_6kX<%ewY~XbeWCB$!44^ZcSy~Jj7z8FT@HDW17R@sWHiU2lF-SBl0@qYi zAbJ^CoEX?9H83zVa4<HwurP2mGIW5>;s%AW^fqROHU=gI=&S%p2!=tLVeH{egMuE_ zhYAi10!*M@970%x33Q=i09x7wg(?H6UB$r2BEZtb#K^z}I?s^_VI=7GOVAn6jG&{Q z!4-%M8v}y?laq*=1ET|j5<`Q6&=H0RhE@Rq1|~hwZag`0+{d3I%tg3tB(OLXKo^Wb z>U2=Q8s=xv@%=D1h=yFw2x5VnX`t)<Km`tq!W0D#0geNV3=;%E7itMKGzb|ufNGcp zA{GoBpo{+k7#LU*TA3Ia1WXhJCZ(|TFgP$SWMENX@Hhb4lqz-}VmH*}Q3}>w16?17 z8XBOo4TRBv0D}WV04P_1hIPToScJjJ12kj;xx|-+K~M&CRS-iE0}F$I0D~}x027A< z11P!}SXh|YBv=$01V9Zn@O~f>P<%;)PLhPQxzQ{esa$YF7d$D5Ed)SW0Zkm#8ekCM zSO{(+2qbATcr>suFg1Yozk^0cIKbV)CI$rs2hep+EGA3>3lt{ku&}Vm&H&wwsn8&x zV#2Vb@j$}?1qLQY1_miskOiEenP3oO1mX?`2BrlJ3>_T|3{4Q4X%d76^SfZ;E8y~A zaj^OZ28K>(>4uhrVB3B{!W<0@0ty_Unu1|Q1H%LcP|Xh-P7YvTViI6*1hozt7cdDZ zb+IroIDjtN{0h1?cmzfTPA`Kp0xJXPl33L09Gra^p!dTf=>`>7j18ckH(0#`17wU+ z05mAf$iN^Bnj~Rl@Pg`Kf>cUOT+qR0$Zfx%16C9`7!;O(bTRN1Lluuw&=62)U{G*m za1aD_IG{pc3N&=f1gbZ{JP-k?;}t=X!qCXzkfa12C2M4vVAR0C6e!RFYGgGCafnP& zkPyfcWMGs4ouJCBAfUn|!otv?#=yxSC#J-}lEkpWz-5Nf1gC}x3=Evg3=Di<Kzp`9 zISgdWNW>Ur7YEm*B@6-`Jq#Sv7BDct%dQs;T!$7gILv{SWgr@~ArxGTFe<PyfU0K( zMg|chh6V;PXow21FbFhgaVa>ofNpEx;gHZ1=u(iBVPJ5R36Kh4OHlC8?4HmkbjHx( zfGUHALzxcfY+|+z;K+p%BQ#E+^$4V%Skb|?>8V1%%sE_KC~@+Gi|f!Lj(~fhxPa6* z4NZ*<44n%b8W<QG7qUB+6|pEdF(ynk+pzDX7~jRh77-RkffiwjE&KE;1KtTT7~1q$ z%vdMA%3yDu2ut3-e{%I|^>taA`~R)m_qX)M@4bh1efVb+xu1c7_Zb66mjD~qI00wM zVINKC`2-v%3;}oNFo5EO5uQ)}FmWAP#t<+MIZhZE8aNj=9AH@BxKPcdtcc+d6C=a? zZF4p?%xeo2Xjve_u(3r*a>~A4Ru|qyGJc#RV_~T$yxYcBKE^C;Zh2IsU!7UC_4!Zt ze*OFV^V9dbH&@EuS-xgq5PihRz$5^gS{e3%kHdqjRvDi;b7s}5RjbaBOfxX70-xy5 z%)-FH&(FZ{@eAmVh5`l#hX04bM{$Eh|ATHD015GbU@&I*&-@uwt%QdD|IaGQ!0?}i z;azy>5eAS+|Noyk2Wnw3j`;a_YQza>=Q}_D|NozUFfj14fX;3H{~r=3ptwMf6GlS@ z23BUsiO{SpAI^n`g2w4rt@{7}?D-EL7#P_1;YdCsMwl9L!UJvwGcbVS1QKwdd;$tz z1_s7|%ztcb7#NxV@PGJ!hVdWk|MUMtL%)6&VEF(4_iqLUhIird|NpOFzv>qk!+CI= z@GXRxF>*<2#0e|3;|MCdxWVxQ$|s;W;pd0O2?Hcf82&T-I`<EB$B+WUuUEf7SqdB{ z{p<h#;^IGlzF`3a10Sd;8@UmJ@Hw>c3K9T~K7nX3hKaL4#|4r2pdJf@80`KM2GIF7 z3=Axu3WAml90Gm}3W@>@90Dw!3Wky%JOW-Iz6XndAA^8^1fu{03qt_(E|UPTeJqaP zds7%dXRWMeU=V=}{ezu=On~h~78sW76ov;P9xMzhEDRGw92h{i$4roc(qQovh6%W6 z83qOhH30_(4}BIUrbNgUvmzA?9Tpla3~n$!=*|)u76!1q3=4x;B140O1Pg-*gF%A? z0|O^RhC!Q@1`C4==>8K;7EqipfsWMyEsDVw-XmuQ1<CjI|IeJMuMhoSUtbToD*!6j z!BGC6VO2Sb7+4B)mlNnF0EYiYAO15i@Eh}o^7Ato^Yin6Fh0ZZ-xw^wz;9#2|G}8S zn4gD%|HJ!$fcP{21@1feFfcIu>0i&laDaiI|G@u-RmS`b4Ez<VRxv;?7y#Qa;)(y@ zIQfqeCy+Zy@Wsg&<TyEl6ek}-`TxV?<iLOa5C2#h_(5?}Tn~zqj#Uf{0RjB{2M#c- zGA?IeU^&IW#4vjI3{px2#mRptKoSNuYX3vx1yvMuUl2%=fkEE~)c)l^!@ugohyQ0j zd;sN>{|v?-j6Z~a`2PW#Pd<eH=l}4R>%)H+2I2d~_2CSw{&cMRANt`#dHIPG46BSo z85krON8<!Fj6r1=G)mCQDNrK`gi+Na;^aSaoERg;34iDZ21J}N@PD|!09<z6aqtml zSoNi2)&0;9AJmmj{O<slT~a)wWfxi)L%Glu3KzjNBbxz)W?%r*3=C7D${4sDK-DhG zOeQc5+Sdr;Gu-5I0@D+j9Kk9D!TT2(7$$;gMivF|zD7ni11?7%21Z5^VTd?rZ6xC^ zu#QmzBLpC$eT)u}{f$f=5E``92vw;%cpoCuPe#xzJ_DlzBbd+NpstX>!N9<@k`cVW zks$%Hf01b=Wd9;l&vNioCR4|94VMmvhK5rRanRB-Zt(q$sJ4vqNC^RO7m)-FkhNj> zG_ZpY@5ZNMR1QZ7K>O}EWN`{(k3;AzCRi7{I+z+INDl#6;6e&H67<7{0+6+U7QMju z0-(WR7-y6wCj?+g92Q3~njEvhdc?1RsZjz~2!I<;AW1j_#KDePG$7(U;OZN+fdMpE z*ucP`zy;pc#Q-|=7NP{SdJB}nz!3<}7hnP`$=wZJ$_-|Z5{M9BVF0a@a{-M!2`~yW z2!JXN7Z3qj_yAJI2xBlafcDrif|i~_qK$)r1GGU4tQfRv6?Aesm?Odf+ByV2<QcSr zE5MP#g@J{I1++v4)C2~Z3dS67!ArZ4i!-qJC;<%tMo?;IXkcgrEsyhn?mq<wVFQDJ zgA1tOVgls`2hfPE0}FWZDMLfY!Uj;d3NbJUG_Zh%6BQg7SsXywM1UaxB%`Rn0@B66 z(9pof;J~nup+QoDK>)IYOUjfHyiFS%kx*xhQs5APq*Db*D1$|yfdpEj1<4X1Q6>%! zP6Y{0CI$)cHV7^-$-tnX$iTti2tL+^fuV%~d?^zHWb+nC7j*S01BVjGPzMR{On?F; zngljLRg6-&LSO=@aN-D<1uk@$5<tqBK&y&j`>#QZi5kEef`Ordg~36Cfx!V(HZg$W z0u<tqx&*ov52PK`F@!`aXd@Df0Gk0L<dpkHaHiyk?yUeT9VJjh02D$Cz$qA1>4FL; z1<=~yrX~gk@Wo~j)m#kVOXQhAmy$a$G%>J&wmUE|fuf4x1o#9g(B2>}XeMZ2U~1?9 zjRr7rfG&Fit>p&qlGFli31(sd-3}rA2_z4}5GzJWln?;TK7lH6&}|KjjG#IjQaG`I z>lBbuP^t#y6A=am7Rc@79H6_(Kt&(8RmH#n-N*v#moz}48Ki&(JZsD%Ajk^h2{3{p zhCx&j^DGvSMWYxT0!*Ov4!#_#iGiVufn!0F5(85sXdIG>p#!uM7}Ta^Vqg$sU|<3* z!3JGs2Hp<|%3@3mEe9AB8bGVPLDvL=?ri`Y3f`2bz_EgZgQ1D3qXSfmDKKb&n#&y^ zZ48{JnLw=p1z3ziHH}iB(y5_i0mA}@1)NF@3JeNe9gz3|4J<+uIs*d(Xu%#+16vbl z69WT-f&d3YH|W>_hc3|e(gp?t4yFbMCx#{mCWj`_6*QnijA0Q&7bxhN7(lyO85kIv zCN(fLDKvq013_Xz6m%KgXbfP400*r25P-CWxEMr0r3+XcO9KM~2s1DoU<9q>W@!{) zU~Oh#U=Rd_wUY(|n*b|QQzHWliz5po=(HA&237$c1_mw;5k?6}1B_u22dD$o!N4J) z$ig6%#KPcU0*W+nXH&Wva<UG{G_ZxE1Uv+w<-dRe0}Gpy00T>hf)IEz1k@)1HN2Qo z1Q<XKCr~GYp+RVwzzQXU8Gj}nFi>C(VrXbgU|?hr;8*~OQ<ersmxM`-3=P^0%q-wN z^vv)I3DgX0V9*d^0&RTYU|^8?33tLM12qH`K$BD)3{K85EF26DClneOcz75<0SO%i z;eamp0XMK17<m{NKyxijj4Td83E)wb3Wg3w1r7$#fi?^bOirMD<_@YnKzE=qFfb%I zFf=%@Xn;4_GcYi)u_!RO2!KWp`1Da7GRlL60263iC<CJqhX8|sKnsHqi-G`y5Qhr5 zQUL|8f+J|-kir5c@CGaf2B8)KjYS*+3@i#P9*GPd4Gby{KEgeqqz~!*vw#+RF$j7< zR&#-l5aAF6^+&;Vhyy1BgAfM;V}~FI0|Ptw>>`*uMrouFfMy5Ck+nJuoPuBhNN-Sq z0kj8Bp+lenobbW(R0=$dEUchLF((THhmZjSBO|D@2#zub21h0V0frt12Mz{C2L=uW z(D_9S0t_Oo0ty0<YJ+<Li-LiQpn@O+1J_(6SBx@|LZFL<foVYisH|sVU;=O2a%*A` zU;{O^PzP6_dO(}zK(&kuLjwbc1_O%-D6AXWzz4BGln8)JJ_Zgh&;XfB1L%5R2GGhb z@BjfrBLfGhqGDuV;H!kFA0@Gc00)x-=!Q`)P+0{oqBy_}BT)K>D+dKVsLu_i8<-)T zmPRHH&`x*;1_#jT1>o^WkUX@}#lQd>h!g?skdpupzJO0YXkg%BVDu1RVBiLAj)a>( z%0LPM78TIBX9@vfpk^zJ6Pw5i4MR2|F6fC|3@jW>CJ5CH3;`k%QjS7ROl&R+EfXA= z6hs*W7#RgUSwY*fK{??tLrMdKFawi9GYbQQMt}f=Lkd#^3yT8dfd<fNQw?Dom|Q|w zQbHIQBu4L+MFcDgtBb*bX#oRMBddatjs=qdr^chOHa-Sdfer=-E(HMw4udApxpx!9 z8XFoK8XFiJ`%i%;Lwrp*COB|$PSWk+NNi$g;9yw=YL+!Hv^6NOFfegCaI`RSaD@dh zF)?;<NNH$9DM4x}1}5f(3^G<+*-Tmt3~b>j4jJXZL!c`pg=<BC*23^ID;=94yVrW( z{;jj;i?`}@NZ`^CVBjd}>MCL5xB!il#>W5mX54#!?Y&+6mq+(zUSI!5_Vq5t)icko zZ)s?1Xkch>e5{gktut7wU#XujEI=zLv^%CwYek%%;ll$B4Gj%#?caCV)y3uK)iW@N zZGk&tlz|cg-6|<u3z)bT)|SWV+4+S;gX2W3T&mLnl21&!xJ*J6CWJxqNn_*ve>QfZ zcK5%nyHd06|1bS@a|<u^w#MeKZ)Iv~Y+`6@ejQS>O7nWpGNo>CoCtPgfa1i=Qt?4U z14Cm|^Z%`Kb#e7&bqow5DJTvZ<-kKAG}PGG*qEQ6flIJK?GcZ||Nlm-{xdKzrKO!Y z^Z$QnC|n_w!RX2&`tR$%e*za6J{Yhvn6iX2{Qv!tH59sbm4TnZfR*1^kc)vq5WJ=? zzTUq6+<Ar%V0qA<$N2L4RjYXZGcbsKh8j6ap@jfEPCy3-Hf;Fu|G)97|1Jy+;5a!G zhH-v5*E_y-|Gs{b5xyK8Z19!el--@-|Bt^sdf+f;U|`_?Z}64hSTG(IC;ayO@#oK< z`2&^*?Ux7*jbF72JXEj<%_*Z?Xb7aG8JiiK@!M#ChJO$IsQCZi7`!J0SDbKYF?Id= z{{6fB{or8xum4S%Rx$kl`<H7KILsLs7>q;hzlQ$b4T=*`E0}@7m?8B1`MZC?^8XqB zpSjDxzkYpeECU1oXj>Q>2k2B<8no<UV90ot<lyuFHz-b+aF$)H<?P|VzJF<!xx@e8 zp7nz%pB}^i-@lpN!C?-G6V_0M-SDz2wA{G-{Q1zYVEO+H|IgnywqL(K7POY1AKfjZ ze0T_ex{53e0SpqL;tRC@5Zu9o^u}2jAajZgE(`)p3M>p_3<{uAodsAF6cia4I6#LD zD;j|IFDfu_fG*!)Nn{Y<;9y~Ja%2GSX9Uv>42mp?Eh0O(T)2*b#5q_%d*?uVwc&0U zWgvwBXg?xo-yw`<U}yk$x<P#SbS?t}Lj^;Flnyv78$id<yMQ|K8Y~P984Mi;Ao0YO z4g=7BMF9l{4jmTIFb!xQBLfp?pCcm!gGk|#jtZF?wrL<fD|p6IY$=j6MwwVb;6H=D z{r~^}_y7OT%)Dw<IedM!sVVbk=FcXeGlampc&iwG3A{gR@tNoFVg3(ijQ<<|Zw+NI z{?EWrUJh390n)|_4Kp+Q&k!00s+T}T8m#PEzkm1s|NpuEGcd6K0jnP+aE1V=fCuf{ zGG<_BTD1za!V#j>)b#6D=FjHeAw2LwX<SNo|HmJ;Id5RY|C#51`Tu@MoPf^zU|?VX z#R&rg12|5YVR52l42zRhyH~B}i9Pq9fq`*!eHScHanQyL3ihF(NP*-N&}o7Yl^>Wt zv$C?l&lg~1WC##^_y5<QKcM|}|NsAg_x}qdPFz4ocR=FgKf`}eoYXUfhC=fRXvZ+9 zCH$Y?-q@ZemJ2lI+zzo|l*APRpb!Sdi7^AH>_X%d{{O73U%#>+hw0;f$`H@=_l@)U z^B?$)&m2Dd|IGg-kT}7TPq4%ZgE0d?PwcsK3=9%>Ff&JK)DU1&-~lb{6#(yFWCS&? zK%=`1f=pTtDB=ukJ|I45eG%9IsCottCeXrEHU;oLMFy6K;MI2wu=^NUwt~eOS*C;b zGcquWOy_a{+b77N5I6@sQ3h?~fejrcz#-7$-~yU(Z)gEeRx$NJ_A@e_ZgBw5H-f}L z>Ka%az{6AEdmlgo5OoX;Y77~mV~05)`xKeaFoLx)I>7kLLC2{!G@gL$XKXyNTmf9f z9b(|%)Q8@~2%2&M899mxg#dU09Z3<K4-RxB2{M@i@}u*NWCk6TwZTg&CILxbDw{oO z96SU-!vJs&@eBvPD&iH7ssx7sxB>>VAOt!eB1$TWFr`7TgjCI=>OmoZ2tp7Kw!;Us z>j9-=hA4yAI8e2qMd2_pSbv8Dw9W!rBZ2q0Ak>bskU{`-)e@+j2VoXL&{cmRNfrmt zmIsg+i01&_{@}>K;L4}~+2iQK=mK74=g<J*gBBP;Fu2VO(!>Bd&l|Gzm63r3T*iS; z0D*`w2<bu9j8f<!0KPJjWd?(T00YY+P=gqpilNznQ2`W^0S@4;S)hBD93kt1L54Um zNC*fpFoM@>34#`g1u!r$IiM|WWD)@BVsKz_Y;b5;0E!m}P_%$n#mLJrfc8v)j2gwL zAplCXEDQ=90^sFb91NgkDj*CJhi`TOkK&{11K*he5dy^`ED|9ipj-utO#u!j76#Cn zP@o}xCIKPPr9cpa85np)VFepZ&nOKI0dQSlzyMlh*8sk)1SAd$PY?|&x)>O^Knqhr z`xHQ1NhUBffVTfIfbIeW@8^Q9JOyci;|B2ZJ<w`!1_3ruM6iHz5%i``4h9D4C2*yq z3`huo5;h1=U}ykEix4Q(7#M^Yn3%d47#4vRmUe(QFhW$rk~ByuXoWXJ69b0=6GtN` zCvkvpW(MuK0;z3K;9zKA=wJYCUj&)Yq`=bD1S;AXKzoHjQP0!>+FZmSF$tu66ypd1 z#I8kX(+ZYPSP>d{5KI<9P_qEEpAMvsi$MT%<Tkiw2i-M;PzSyn3LMv9f)O^d3DU*D zz_|?+p$OHZEN}>b(=ljwuLcL`_Lz=E3JndQmA{aRoP&W0Jkki7QUq5v42wW%oe6Ze zO+$l10|+xPaWHg%>l0A!U|?uy0$t1q!r-jI0IHuDK$iqEfVL2WcBz2td{BYM3z|Ox z<vXxbMhT=4=wN7SU|?7T-8?6t)BrBKIG7k38erEof(99xn816rHh}gjf})KHbXz85 zlo(pBfd+^{Q3r}4P}c-}F)b75cA^F*2CfDMg{B2e3JXmbT%g9vgHBULa>ytXl8j+9 z?g9*ICZLm58u-9B&9X4GFz|rVG6NeZgu$z?L3KN*xB?Y8pt_@jMM{Z55!3}_VBlfu z0G(9~4^<|H1_yBE9?+;Lz{JWR$RsM%$iO2M5X8dJ!NAeL#MHsSz_A0-f`IEBWq>jO zBw839m<;3?43d;t6*w525*%HWI9k|1yA(iO2qp#=4i50$rYuSh2SB$lLXNpHf?QoL z1Zw$$iaSs}!3bhNn$F;ir39)<n3^C}Ndrp@0~3P)0|)35D8Zkgo6A9)R7N8LH3S$r zSisFI8Ab+h|1b!=-Hm~fLzRI;fI|?JSvXu2m>gIfK!MA^puj1?;GqbrVg$fB26B@o z$V_n60NTL?;(!Y;2m=)I3~UP^ISP~+Qzn4=_Y6F97(l%j2GFi<h{{nC5&{Yy4h#&e zj0_AjjxeybfO;ID)b7H<z#z!bA<+P86N4gvfk8)sfdLvX0t^g(tPP+$Wx=J704Sgt z7{KepAclfTu$&WkQyrKO&Xi0>kX{P|1B1{nXd@3MJ4!=C0GztP?O09+NiH4+25_fN zL!m*DO@W<}p^+hlk%2`?L4nc1fq{#Wfki{1fki=6lYv1&f`La7G*|+v*P(_XQw|Iq z3=ZId8&J^(W;lTEWpra^0B>_-U^55RRUk=ZZKG_^p*N7)Ua<j^fIFB1Zi$F6ED!|; z76)k8A}E+x85k5eI7Ap2xmXwq7(nyFE4o1KEs=%}u7(2&4Hv+<0HhL%!MOxcuz|IJ zq(IGN2T<RXft?>z_(4iUkjyBCg+K#1(M}Lx0u@<I4Kkoatih}V3U9~}L(B{+4B+$9 z93Vvv6AKdqhl79uNCl{E#R9rF6S7<zW+teb2X%eGRR|*}&Oz7zf-++hDB>Gfz-JWm zy+WuTWg&+E6DI?M2!{|;l!?we){+heCIOCsB!(Ud6GaAx7^YxmhRG}}45A?nTrEzZ za*aud(<{S<(JX}_Oo2lLRCKYhC^7KB;{@LEXJh~mpfG?&{27><SwPzv85<iITp5@J zSU5m~!$P2KE})cv?3__H0|SF;OM?Ov69Xe7<0JtsE;q)%3=ZoAJOiJ4Nv*eIVqsuu zX5r9aP+*c_@K9i2Z(?A~IVK?BVB*lo)NzhUDM(3&p@S=gK>@VTn+rT|1#1hpfNpng zU~E)uXaQ|?WCHbM8W`By1sFRTCNKyzF)#@EiEx2B_6?xxO-JJbDFn=WTNa3MO%jeT z{rAtl{+;Z9NuPgpwg3OuS+B2)XNwMRp3kSlWf~{T_vRZ1e;bE(-Tk<#n%c6jTKE2O znS~qKH1zO&JD_vr0s{j$PFNd31s4MoLu*453sVDQV{&6l6GKxo`@;nd4ULWM?O(gQ zCtT48xXi#HK1qa&ON5PKG)|DB1I*ms+n^-ICB$64ecwO*x^v3^jjH|?dEfn8Z@<1S zPA{0fc{-0yh-p|1*PSE=_GvoIb?2ihYRt>tGW~nUWfy0q!_dQ5#-MYBfq{WbO#srW z2G=yL4NWaf4ULV7tt|}<P0ef%LHmXq+uK7KyT451xNw|-fjwV@i%Wosp@ALjkWm6D z1fZ>91_lOYkSNoI533j$96CUw?F?tmFfatfGcX7UfR+-6{`cVt4F#=<XJS3D|MTbn z|3OQ$4M6)&7#P56K?b1TGXq-n3cfi7yi6Rd0!*-60#l;|mJoonkQ5kLL>YuZZ7YTk zs~A3m_J1;h!ukJySe$(Q0MZ;E&-Gt{fr*vj{KwD#w;MAtFpwK3qU9j-MltRXP+(9q zXP68+Bbea>10yU>7>teo$Hy};+`04r|9^0t_{9H*#L0h9K7r-|u(6;#1z~_mI001y zT2&8PT@M!*9NiNH4-4!J(B=bW@QmYy4-5>T#Ve5eWZ`l09TK*oq38ZHg7XO^PCx|` z#6+BN@*XUY5homn!Q!I?MhJKYi0l9jKDjW6%wS|-0NtM`0o#uV+INW9e+WL23p82} zI$M<iB+kIV;1r0oe-Xq7k0pZ_5lJ{HurLUL?sepH;W&h}pOFJ(E&~Hoo+AUyOblm@ zXn_ibjtbDYNFhT*1bE*eLxTi61A`D~-ysVFgBXlvU|<6`1|a(!IT;uX8o;O9gZ3|i z&OaAmXaMa|1)Zh}?gxVQKZ3;_nxa@Zn3zEO8FhHrrh&vk`yUw?m{h?d%Og7U@p-<1 zfq|cu^Z)+?3?DwMS`TVtEns3`;AGlo3p!&WIGB|+G!)XF`u`tvPhf3m=*+Y<28R6j zurM1NV`Gpk=o|vjt=TYp_`&rLY|k8wmX{a&C<rd_gzw1zw+HXVhv^ulp&<Y|m4ktS zftmTgF(|Y@e_genAyhzgfmVaUDu(}|p$z5a!NFg@{{Ii!M+&+nu>3y{L+w>iykW)( z)J!miJx>0E;zZEclz~A)Q}BQNXxj@MR;a`(Xq<fhd^Z#lC;xX#OH0W!Y1D?o;v_yE z90TC{EkQdN85sUT<0KxOPt45H(iqNw@(Hrp{NUUG%O5cMzo6j9j~_wm<i7|C#$%l4 z0+JcU(0z#fd8<Gzs}KKI?FPlb3Z~dthl2eUpt37Io}V97I)TEf{{R1X3@m@4Wfvq) zu$NuTSmQ)c@c;jhU>T0v^75lcNr1u>FNRHeAkmQ3L=274{f&%ZK4_p3vfr^0G`I+w zY-dsf?|+2c=LpjeYNdgevw-et1l{Av$S@JI{}FTpiahiVN6>&jURRA+NfvMyo~Z{y zGc>?xNH3p30lL5OK?`X9kb$8A%2$B$86bR^ex@E+K&ml-wlsk5X#~?NVSEM#{$r3m zk1&;^H02=xnYkro4ro9U8H3b=_zVp4Q$Xxdj5`F7iW}UDD3(FCg&*Aaq}a$&1CT-h zT-YJ;u`@yQ?Z_CU3dA4HC!mlR#X~Iwz>5}%aWted052fofZl0Fj5bU)?6sI;qaxrC zfEGba;I)aM)pLRj(7lI{A_giC8dhXvU~pw%U<7S`18Id;4xoK-ATF#;3zbIb1F;wx zz{f{`SKu)+fKO6q>3|&D2G%=DV1xj8*bpWP&I!-}hlzn{@Vac!V(kV8$UTjq8@RwZ z#tEhz6#ihf$OO!EkRk!l1p{D7k$x~WO5h6tP>?}(?t=EoftF*Ts)pnn1_3Vc+I#SF zJ+K0B9D-R`30RDP@*rsWF-#Hr%+Y)T3kw`H=xj#@C0GFj?J9yg;;8CDxA=k922TJj zVuVV;LY+Y5KzB<(H8HS5$AqC#4HF)vAt8W>0VePYNQfwm1Z@KX?MMV~au5J*5$0rI z09_x%#0S18h=~alpWxXQgh5cvpq-82<&fYdMhq-HprQ_<ikG-fC)A*kNI5V<QahN0 zlwz2{z{0=)p2%W>-o?lQ>Gpy3V5%k{A_h9rn1GrQDh*1s4d5i(z`&ryz{JD^x`+u> z@Pku6dZ;LX7GXCubS&s%U})k3U0nmx09FNALJVdi5ggEp2V@UuR|*Gs(jT-Q%n(V{ zC=*)<fOms3FereAY(Xasz|=H=H-&=At}X`fg_=rD3ZRw4P<bW>(B@Hi@1}tPtQ;x{ zq8bFiYf~X*R~sk?GC|mq*Fn;w7;gxG!V9!~1*8a+szH3Dnw){93A{T<fK`AK+!T<4 z+};Q}-a`ng4x|mF9h4!!G(v!b0kmPO2b3eBIVB%-bugr%2Ua^upoIYFMp6L=0nnXh z2GBMtN`r{WMF4z*9}^4H6ah8{AqfU11py}pCJzOc29Q2zKNH+DL^B$~1@~OwMlv!m z@NI@jFpRF@h6V&C1!_DsutCa|2Jm4V$Z9wk92l4wID|Pk7&u%MoIv|X0vI?r9GDy! zQWyllJF-B^aW;oR`Z>TSuYi<+uw)d79L2apK!Fi-iz0)9fFn39gNhiCVn`T+$N&LQ z^9o+?3kU=-OqF1eaA06z5Mek1k^`xSVUP|m8(MgAHGubhF-So93=9nHS2!5q#(~w2 z5~v}-;R3EB7&ur!JqS><3rQXnLy8<63Mvc?8lX-in?jQVsBQtBp2E<;!odRKfWsH0 z5=kS72`;oi3=jrKIK*^;$B+sOBs_|7g#aYLP;1=|1_n^Q&oPCgi%S8t#gu^q+^uBc z0v%kCz`@WZ#N@=J#G%>IrKQ2Zpb07akZb@o$r>6M7#D2dVrXe#WB{Fp3)(ZxAYR4@ zyE7X}`6v@D1UNve9T-4osGvxIasVg;a4;A$I52^)Rt8<Y$il+NAiyZ1z`&5g!V<#3 z5E7sfh@ua~0qFw`oq;#s3n(%uFfxMfab#j(V7tk{z|aCxGm5c?0Hoc;z@X5;1>c+q zK9L)$T!bk^L4-k&L4`>qgh9<I;DLb<C__0gIs_;%F--6XWnc->U<iP%*Fq|mKs5*> z3!?$(lp<Cg&|S0)42(<+41DKU7#cuvf}|E|*(e1L0TCt!P+TyIaDnOuP;i6CaX}q* zE(Spcj;=qe<d`Bjbi8D^v_d!qm?XRw2$@xIs5z`sVzLon5Yg(HrNzL&poLy`B|s_< z#ta98E)D?&rUcOF7dRI9Y?(lZeS&QtC9s8n2@{uz7)OIR=<Yu@4gnF+4r@?80o5m< zo2~c#`)6ktVQEt*Cs!Au9~AtfYLl5+y+xkmzdEJ5nmIA`J@e``Gy?0PaRLr?kUa?v zO$-bTjjWj;K6G(RS*n-N*x10(*vQPlz~{=w)YJxA77J20iZMgLq>D*JfI)z9OBa_3 z149Eda-4wj$-IC6?8G8u_4DN9{)OoW1^ubo6;xN_QFi)O(K|b#Pc@)8sbC1ej1%x! z3nOc0K|vRXsH%Pfs6Jt2WCN}5VrOUr#R)wAFkLk&f-MAQ&IIlCnL4$he)8l7hN+<p z3ZOgCKpU=%jTzeR`|<M|8yo*OHl8~5!%QV5&{}(JrhwLdgYJ6-t$u~c3)C<$d;^_* z1QQsgi3|bcIALH24Q)^W#SsI;SJ0l)w!1E%IAI_nPQL#K#mRo~N@0lA;s;0L1QHUY zlCU@dg*zxt7#MuO>+8XB!f@Axq2ogU7dTG-6Om7l<AjfWG)_p34TyToI1vWV+5YDT zm0__i3=AJWSpPRRpE{LroUHnfQg;0wjT1;1<0c_{3mqYQ44oJtG)OskEDN@Oks(09 z0wf6GD=;K7fcT1_&L(K@oE!QKz7xX*76BFpB_{?05hxAjGcbtzAlU&jauj2R0I0hT z8uS9upfN-c&5#IDCm;x!ArW9O=m5tFg8^t<jDw-DrNaQ!aAIU&1H~N(Lv%n%(4Ix` zzD9-y2?z}uQUi5b<a8Mr+N7Y$Mk%ZzaKsqYowKgqZVs9Q29-(3$}|*KfUa3Iz8?>% zrd?bF1y=<yFoZHxRV`rPX9x`im1UrNv>|N(xZeK^pu;9WJF!tQgWNs_22t?c*>JU^ z4D=8H*AttotN&w*6An<19(;rWD8sOTZph^C3SeMJV=y*e%uG(4$SaJ-33?Qu@f&_L zgL)AjKA<ru(6LX*^)W~-Xn0tO@qhe(aM~_$VPMb-U<BtA1_pnZsiB9B!TlFd8yTb= zhH>T-ac2ewMzC2hrK2=@2>kdB67e_#y8Ch!=+GKSAq5fyV^H;?#PmPDK@c=qTVBq< z4=TGr`GlF7p8*snkhU_>W!KW-5+`h+{ecV&3@{oTTy!8jK(#(q?O_Lvc~aHHfijFy z0kkiWfdNL-%N;6^;bJOU#||nCsc7D)QKKO+8UmvsFd71*Aut*O)CmE|>IZ~b=zQpm zBSHa)h17cEgN)IDL`N|-Ljbh=2!sik2^$7OrX|-9&@?JdM95+W5|SpArFBRuVM<^$ zT#CaF&KzY>GXx-I5oWPPgdGAQL?{|nG8zKIBLqN8>OejL4TmAqAT~ONsR4`g9RX9L z1nv+(2`}8r5Hhg(8g^1D5+9)mG_Vi3qXTR{tdIlIY+lI9M%mN~0r1u15W_kk6lnGl z$^y*?!<aA{G$jdTOHPI|Mk(rs08$u}YB2i(Qgw`~Cn^L$B@ze|r5HI6F)&Di=QWAb zII3zi1V%$(Gz3ONU^E0qKnN%?C_w<^4344eW9HdI*X^h-S7HFI^MN!$Ss37F%%Lix z84qGFb&MDPLLGAkgDIdm84T{A)C~d{BgK@OI8geBON=lu45K)KR3=JFN~873K;;W` zdq?XN^bi^4QzHZ%92yvy6ePQ;Vb-V_l!pN5kOB@42GB`U)NI62?l1~WnEix-p&|a_ zcLs)RHwFgK-N%Fsb7f^<D*zutz`#JnGIkDzf(nL8&^caUgFP4~Fic=*5Lm#<z`#8L zHh~3}BA)<HwQ#;8-+;lTbCN3qgRtcHJq--i_lb)WCJqMX8SsPWu=xgMU{`@*hD7UJ zhDi*QCQT5SAh3<Wi(wLj0K<u-2?A^iREU!U3=AxaAXkXq9yX0(kaq?JhQ%Zg)HN_Z zluMA3Ur12Nr~(p00JeAtwq*gvC(;-W|J_8Y992fQ5FqCW2@>NSbV4FY{-L$`Bsqql zV#q=+g33rx5VxHK#iL3`LtsdR0QEZ)?4UCahJ@dTpCfU#pCur7m=8Y>4`CmXQ^(_q zUtGa3*wo{4C)LE!yL@PTG%m&pJh|aB?Lf649W`jgg#f`~gRv5FH1~)LnNbgqh5#Z2 zpciW3&I=Ns5Q;}xgChhu&*6@*!QsLo=nC|KV01p3m(WLN*gDY^jB-aqU_^ufdXEIZ zr#VLNq`@CRqq4&!1PD|h5MP4l-XTm>Qr;hxKgy$N2!N_{oN)oOSwI!W8l|Ze0-&wC zAfwP}kQh-|qLe6QqlzdG0azRnG-)(W2nG=u3b3}bh>jEf^JG{#s)dpefW-}r#xM(d zFBgXRr~ug^0J`)Sq!||G$b8U5F_Jh)875{oz!V!5p)>^WmR$tnM82O=`$zSUhQMeD zjD`ToA%I?Rq4UuV#E@s3gsx<iKae2+s%#{C2hs(@*KW`t3bOAA@sZVvYa;VT+0+RE z@Q@bLvS)NY*cK#tFdt4xY=bjL8Po{@P#*+h5~QXe#D}OwA_anwIHOD|g#f5lfEWc? zI7EmKQ41p(N8<z*6r=QL2#kinXb6mkz-R~zrVwypV0B<%1??DQbYO5{V8F@;ud0K{ zGdQv^fYgK9#vsff_+&77XIQvJiGitsfeF+}WLf|^Qw%E~bYCe0L>|IqVpzbypwI*g z0eMIp8pIsMqaiRF0;3@?8UmvsFd71*Aut*OGz<X&#Hb|=Z6RPZ=;~r*B``jWMwS}^ zY{@>{o&<Mba7$yzkg6WT00IKEHlKj?qtYWN1R&%22oJL-GBCiz=$#H>_99#}$^wOe z6zniI*yT?k!BI@t5J1lhvU%XqFuJ+{W*NF$VB#Z%#?`!HOvfDpq?RqDs>f|Jb{Sfm zkKI;sML~m*5WS?PJ^AkteWT=P2#kgR?hxR`(?7wji#jr-=4tBKN()oaN>vz_sI8`$ z?qRRS6dM(xFa%(60yPGW3l+tBi#%A4-4RTU5)_31woqq+-X2T3!BVS9*F!BG!>8<` zR)~z6Ga3RTE(8z@Qc3i>_*D`$j4DSD0kXp$-5?lWTolF{rKuDG;EfHGm?b`i65XSE z$qE4ijT=!TvP`9hCW_M&H5@T&#%KsIFfb6Te<Ze!h7*-TfMA%zClPU2EI)8%7Y-K< zIbmFJfJ>a92YEvYDxsAEvfWH82a#zmx<6oi7>#bM0-=1ubqQSy-T1iNOg9Hm$s%-r z!1yp4(;95UV@Rrm@XRwJDWe4wx0`8U+o+kNAut*OqaiRF0;3@?1VR9@N(JIrbUs8B zLE@8_@I<H^Wl=Q*2#jjswo8g^ow&^;QfA<6CendKDnnm7hu~x1D~gcEVS!Kq4heb) zV|Oz>Y^Jgu=*@ZrAA45>A&<==OtRQS=_iKW&GfT>)TYr87!85Z5Eu=C(GVCUA>iTw zI$H(d7%1O?fq{{M(FGw6V?pI%TufTZ1XGMYA~@YlAM2@Si&6t<@Ey?!MDUpyn6O+E zi;&0R1`bmk67&$p?q+(}JZi^i2#kinXb6mkz-S1Jg3%Bd4S^990=O1}O1v3CfiUXT z(GVC7fgu+HxaKJ&-VZsi3|a@{pQ$3=+3dc=s~uH28UmvsFd71bB?M%f2hb&Co_#TZ zF2-y1Xnle=ct#~hLtr!nhIt5#)+fU}f=9hK8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX< zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFakorfPsN=YR9%B1qKEN5H?_FU}Rum;6h?EgV>`O5<Y_=9H4Q;3UZ49g90P- zJ_ZH@h69WY46KX>3=5z%1LNbhbxT2NKp3ir7sMXLgC{OW<6<-}M$^D(8W<{R0046? B*l_>= literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.bit b/proj/AudioProc.runs/impl_1/audioProc.bit new file mode 100644 index 0000000000000000000000000000000000000000..90de922faec9db2434846922a9592f2c46529671 GIT binary patch literal 9730758 zcmZSJ<o^JH3=E8k4BCmMDVg~JMfu6rp~b01o-Vcq5pGam9hO>DoSC0zYh++#qG!mE z#K3EwXk=heQk;}-VqwCN%)o7AU}UOqV61Oo%8<gqX=rF=YG7q(!jQ@^r7Gq>0l>h( zuv<`x!3Cyl)y&W|1qKEN111GV1~AP4p&1&$G*}M8W(ToB*nlYkEN8%|z{td4|I~o- z0F>Xr$ef%o*#M%R6Reg2B*(&Nz_5Ukfq|9L0L*7#Fkpt*15$$z8!$lK1u>Hmq}G7J zfgwOuCIIB#Q9R^AfR#cQGvm+?6Nk|_lo2Z|z(lM{1_lOPeu9Zp$xS4<Xjm%cJvH#& zVi|ZRjJjYn1n`Cc|2e$ULqgI4>Pk|IVW<|8C|a8ja|}l&j758z)_#J;4efnGTN`*D z(bjx=m@WXdqytLRisGP^!D!~P^`i;Ukc-PtxWsAblu@HcLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3Oi2*_U=@*YN6MhRNgO-moq+I*1PC3rz3{V+ZJL_gn*+B6yhqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0@y;p0kr)Dgt19dL5$YsBb+FH1Hq;(i*|l;WC3q~UBJMg z&_qK&(As>s)9Du{3^el-X#W=zh=BWQlrb6tqaiRF0;3@?8UmvsFd71*Aut*OghN2? zEMYYRtzvW@Y@lOc)PBA*gFg&l=R^#CmyWt{Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz1_aFj&@#i}pg?Lk$v_pKys&!_HANhIa@I zmbK!nJ=6<lTz<kOPCc7PO&Se>(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd6~_69W8R17j~Gwz5#7 zc~tLc2#kinXb6mkz-S1JkPwjf9(o?-7<x_~b@6BjjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c@gX2L2=6}` zz29WSC(F?Q7!85Z5Eu=C(GZ|Q2=EQU`%gyiH=#nrjv6r<0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n23ZKm z4#52<qxYK(vP3ZIoY4>%4S~@R7!84883McmaR15Z{U*aQDUEt+Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zi4fqS!TpS*_b(2Ks2_FYXb6mk zz-S1JhQKfn0T~+H&p3Mj;xJD%quv`0fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UjN&1f<EhpK<j5#UUKwqfQ?Ufzc2c4S~@R7%?HhO~(C<qxUb4 znB+0)(a{hX4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc44S_p7qx&LJJeiNz%@2EkeAut*OqaiRF0;3@?U?Cud z<^Ge=`%MNcIz}xX4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FLuQ1{SnX;M77B80C(J zz-S1JhQMeDjE2By2n@*(;6QV=q&AwsD0egjMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR zRYCy$9w0)7N{rqUL@0<x<wrwcGz3ONU^E0qLts#Z0Qx;ZgdD{&dQT9cAR3h)4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVCwK<fRAgq+IG zMM%Y{{AdV_hQMeDjE2By2#kgRJwkxg`xgnhNt~OIic$H|5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z;TQtczTbpU7>SMEe?lmTM&(CCU^E0qLtr!nMnixqAwcc>O$a%PZS?*VLP0btKN<p~ zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz5lZ2+;d}6GDL`I(q*Jp&%NS9}R)g5Eu=C(GVC70ir^H-uIgjaun<6 z{U?NiXjFbQ1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk z0G<#SZ1*qXaVl7f`4yNNB}PMFGz3ONU^E0qLtr!n2!z03yMK{@a~XtR6H+lMKN<p~ zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n1~ddX7$9&!9WiS8Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVEAA#i{~r#q0SpH%gH3rVzKRQYHKjE2By2#kinXb4~l z0nT#_45ZdUSd1A_LJcJPmQ?lZ`3wxC>LJldqsm7^U^E0qLtr!nXb=J{G%%AABSpC= z(LJhnGz4f90;BnaHsLyI>SzdzhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2n^K_ki5h&*rpGLYEX{4do%<_!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQLq>0Y)^34k3P*A_IdX3j+ho5OOm(v@zU^zGGv^@)>XPGcYhQFfcGN z4Ou^cqiB>E4S~@R7!85Z5Eu;snuWj+-CH97s^DoBD5D0ChQMeDjE2By2#kin$PIyk zu3}j`M{d}U`h4hw0LK73RkVA69Y71~hxnmB!fCV!lu<KBLtr!nMnhmU1V%$(Gz3ON zfCeFeK4nS+D`;sXb2=?eA2oY41V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz5lS2vB-f38nfe4p6ZN6dN&Wz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2n?AJ0G(CBipRAedFYM0SXbbK<XIs%?qZe4V>DHyWIL#03=xKa zy$2?UP)w2%P#7X>0PVA40uji9NNlham{4d!5{5HDQV{*v<>3lx#E`m8BZILTOB+9e z!Vr9D3|2cw1xG_*Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb4a{1P1)zAp#x}=^~(DRC+W7 zMnhmU1V%$(Gz3ON0BZ;g^!<xi9fc&wG92$`MDpJ#b2J1-Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%Ex*;&w?_VV3Tj4B1Dn{i;Ltr!nMnhl} zjE2C-41vLZ|01DSVa_I`VpM)K1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX< zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0)sCE9H35u z(NHcL#bu5x;Lrf!;nxFEGYm;)sGndol*<6)!)TZ|$utvG2aJYt(I_q>Bmt<Mj4Wt+ zpyF`1A!)(Ogz3Yp2#+K#{V;JDjl&31Q`TTnFNNd~Bqk1Dq6!Z-^{B2J<&B2GXb6mk zz-S1Jh5&U!0NRKo+OWZ*9=VPmEN+4YBB}0y=^Ld-Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgRt`Lyt!X-2K#90QPlSW-M8UmvsFd71* zAut*OgEj>Cxd*M&FdW8#Au=j38UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nNDBeJL3BUk=>3bN#oegt(GVC7fzc2c4S~@Rz!w5?gXn(7 z(fb$i#nh<WXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zi~?$e052WyXB@qMks2{JYQ|^?jE2By2#kinXb2D&0<v_xpK<j5MdIRQRMlt*jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeD495_Vq2m1~qxYK(#}qQ^r_m4?4S~@R7!84;8Uj32y#HkMev_e^3P#;M z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1ZW=u+$7w8GJ3xW?c-zAhS3lh4S~@R7!85Z5TI5FNRx2?$>{wi z)C%=cb4Ej8Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgRsUffcssTnr zxp*m<S{RL2@u=iz2#lBzkV3kjarFMh5fkmB9vuyV(GVC7fzc2c0U^MJbU)+h{fi?Y z!biP08UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qNC@D% zdr{)m2nm2uZ;pn*Xb6mkz-S1JhQKfl0bF-4a=ad<9v=19Xb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@RfQ3K<j6oVLew;M*qpC>=0Ra-!A}JL=g2WkRj)uT!2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtt=+fC6^sNj$|aJ}NpI0wXd61hD&>;|X^0 zQPI&57!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsKqv&HSdmoVxJw90 z#VB($1V&^CaIqkH8^>KjNGe8|qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz6H54+2i&m5!<$4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFkm6D0BSRghH~*zFtsomui{b3(GVC7fzc2c4S~@R7!83z90FYI zNRDejVvjNhECje%kgOI!VvjOMLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONV5Elt zGw}hyNxafgm7^gr8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONVAzI$6w(EMOvBcfqaGU#fzc2cZXv+Mf$$L{f;q|> z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0(1!h`BQYUhNhOV(A4x%!$(74Gz3ONU^E0qLttoz0RQQs>273KBVGH6EIi5{4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O7$Lwn1ny@X zY4<NmaU!CL3Bep?jfTKz2q1+37t*C|j7Z|6%+U}S4FN<5$PIz}8IiIw!r`N=(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsKt>4g4ubm` zN80_1WJJ@bmeCLx4S~@R7!85Z5Fj}OWCy|hjHCB2k{oTL8b(84Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S22Ed&-oZGzEI zE?x?z7DnS$JSsUF0wX2_Wax1J$>{wiq$MXQPK0_U1ap)%8UmvsfD{5;NS7)wB8iVO zM?+vV1P~#>Lx=lMkg_7e;!)OU2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinhyvVHxc_AIev=WEWJWzZ z8UmvsFd71*AuvQjK$;5opN!sbGDISK)S06pFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0t`|_+|M|A{~~=8iWDcpJ|+Znlr<UxqalD40$fO! z#xWv^k1|I?U^D~}A;3k%{ftOi388V6H5vk=Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz6#+0+J^g7)I}9q(VTB8ZjCIqai@o5a2w) zz%Y7OCS8Mk)UweK7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%Hamq&N}6qxYa7!eW#)8Un~6 zz{P>!jo!I|2#ZnHXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@RkUYu2FnaIFXgU}T z0sJArd4hpq^xhNvVKgc`8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtrR`zyhcvVKkJBmx8H<(RdY)N{)uWXb6mkz<`B-6eq&!(R&&ZVKK@Y z4FTj3;Nn2=M(=4vgvBUpGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1P~!0#K6Fy!~i<;9E1_#NGwGp_Mm1mGC<&f zIg*J10;%Ev0R{#J1tgO+IuINIBnb&5afAwTSq)qeNUnZ#eHVBk5M6wD@Ii3|@d;y( zus?(aB9Wp8#2v+S3;_;!eqiij_Cr{V5J!lOvPMH-Gz3ONU^E0qLx7kNkUYr%ZYvX` zc2v!12#nMa;5@;=FnT}GNR9nbe~*U1Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb2325Rl?TxDw}m<_IODtkDn{ks-jvf$;X| zJ&mJaGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1P~!0d6I!)^xhLhc#N_}LjYR{ zaGqdb7`^udTgZ%xjfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVEvAt1$x2!YXiP!M4; z${Gy;<PhNEK=4NIK|zGYC~GtXMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeD43-d(JjuW?dN1Q(iH%Wr4Av0fJi)*)dN1Q( zjh#_<jfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVa$ z1QtM*!e}TLF9lNzqwy*pl^hL$(GVC7fzc2c4S~@R7!85Z5Eu=CAsqr-EJ)55Kw^(F zM?+vV1V%$(Gz3ONU^E1VbO=bXA~|0Hi9O034S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GWlh0m*M*t^=4HB}PMFGz3ONV2FgkXxTMHB5Kr`qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU<ibO<VgkwrXk?Y zQ74Xuz(9ro=LrS|#({LesNJI>Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Auz;4Kmfc+i+PCq zV$}JgAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%%Eb|D~njDdl{fp+GPnmif;!yyDl^T}|C#Zf<uhQMeDjE2By2#kinXb6mkz-S1J zhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2cjv*kx1oBk?h#1AAAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*O0~7)Rj0_CS17!E8wWA?08UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`T| zA+P|i76B$?X_#6VjVw3H9u0xf5Eu=C!4m?KCm9$}im$=rv|;EH7NY#bF^ec=ql%~! z0*pkNA{fBHK%7dVj2l%n8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinhzS8EqI@bC zz`#J9N}`M#RWup`Lo5UsiE=L}pAe^#DC0&IjfTKz2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GZ|81SEff3}68fqj->n0Ot>oLl{BCC>{-g z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2^0Zb@7TpYmw1_t6(;xb`Wd^7}xatIK&M-h}y zh*LR~!(!C!qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONVDN>2<WG>Bm_WoR9u0xf5Wo=voIgMkj38nZkA}c# z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6xI0+J^g7)Ez9k`ZteX<@;uTVgh{G)yfAvfwD2 z>LGxCuOhbw0|P<@f<<*_j8p>~NcTAJTx@z^`30NYsMu%-48ahfu!3f99D;rxMoz{S zeUhBR$b*B%D-8?`0u(q#ngYF}TG2uP(q83YU|@o<7#KJhU@Wjw2QZ0~fT-m&$Ejdc zg5(f@gegjgk3cM7vqD@3o9L(*B_ROHCph#I6+;|raOfTtrYr=AtWU7@2<1lOgtD;5 zs=EPRi=fpfSXCngA!VOfJVIoYH5vk=Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU`U040ySOBZc0tlM$H-xfk6`jqxodeL<N3_kiCtB8Na!svLuH92ZI85X=(?WPNH`< z%3nj%Im#tF1Q5GG5iF2la2|MFH)v@+3j>4%$$~IQ1q%ZMqYH?`Ah`-mF)%PVfYrfx zqcm9|z{tSB0$M7sz#z!L#2~-`sb?lIFfb^B+2B}VfbHTEM6yEwyt4}|&tJ>H09K78 zJ<6mc1hDN;gqeq14ib3~bz;5{dX%J62!L`4$S81%ho3zFDypy@*a20=fFj542Qpw3 zQyv14y(0*7Kzs;BRPM0dC`>426G#<EAB+|khOi(cNM;mM7y>K|0t}!^8&Y8-40C|z z8U_vpa6Ex(9E3ca#a9Jqjxwkc0^roGz@Wqd$_tQc0u%vCpn97_0W6E%9t94j2C#bm zCa_*`gBrWiQBjgYfDu}uKx+yp4^p4tF$k(0tb%bJm>MN04gqjo0cuz=fp*11YHd)i z;9%$gr+x+n$R2G_rh(Ka91wBH9(qu|g60f)H<)7@7(l9Fyis~I1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(B!>VKWb9Xv%iDp0kwNSxlOt%p zL_m}aEY2bf9-{<LtUyAE1ALArivm>NMX0*13=9!FA#Apf5S61Oxgo#^8Rd0S*HGwS zXlS~@2w73&pbil~r3P7p(gQPJjUfRvc-#Zg$LOH00U88uKEuEuF$toF`2<YuC{1Aq zkTkpw8r%ojcK}2{umHO@gfU9eG6X<V!C>>DQ&%uP3sPAImIvh=2En~B_9#uI5P*g| z*`_(jf#!+H);_9{#1Md%Yp{F*TS5dY!N8_9Al5;G`J)8IApow@ITXMY0|z9uSr`}^ zm=qKsJO!920|N_WIv8XpIJWpeECzv{U}}`0P6#kEFoZBLFt{)Z2!Qi~00RSPNf9Fh zD+40~qo4}|E7%@J1{UyI3PuKJN00yqxV#f!<X{0^5X95Sz~I2hIJ#OG6f~4#P)~%3 zVWNkVKm!A#l0pkZ8)(@IBLjm&0D}W~J+K0U00(0OLxVzt00RS)AcF#j07C!+14|Rw z>IMfT&}t%akU5~0NCKc$+z<?wA0<c)0Z@Mgl-?US7@8P3SOgf97(~Ep<5?M)!0ju> z1{MZJa2dq`7U5t}YGMfmTjRk2F4rIh9_J4sMg|Tw1`e_}uz<}RsRSq=Ao(3q_6V>r z2zY=*nHd5c92gilL>L$p1i<U;L2(9KRnO7@F5W;2K}8lQvLG3R{}@ON9Gy_cC`EDz zfPxc!DHceKfq{XGfk}W#5wh!{p#iiLqJe<}6q5~%3=9oDO`w*S2&f^@z{S7>h71gX z?;uq_NSFcCxd&sCT{Wr!6auhx59$hn*)5>WC_)U33<3-epom};0LKSoD+40~3kwSy zNE0}~Sy(_#0Wg<=fk6nu02={ej*`TO04Shgsv$WAl-R-R85O{R&BVY3mItkfYygis zHZXxU)pam2F*Jem5)-Hrc?4Dj$}|iN3?h<Ho&v;3urjF7C`D2Tfa(f121bnq98C+F z7#49Ua4>KsaWFJ6aH%jcC~aV15=>!HU`^lvbxRb$`>+&x7IiHG4X1!&jDbO+sX?QG ziGhK$i-D;D6laj?g(OFeDu#vtC?SI|1E`-d!C?x6qd<*-0D~a2fPjO80|To-;{p~2 zCIhAk4WNobfI)zXfdN#XFbF%chB7dSFo4=)-3&|&;S8W$BVaAa$iO110O`zt3<hDS zU858kApmM=F)%PRif}L#Ff}l+HLx(TaDgtVVNqb2>cYUF5TnMz(7++Uz{0@dz`?@k zz`!EFq{JYgz|hdZ!2-%Lj9(x%JE;Fih66^mV1xi9O*b$cU|?zq0R^$bM2<!#My3V^ z7Xt+bB}OKO009OD5d{ZfHfAAk0~w@<fsw-jlu4R285qRxGk_umQkh_wKPo_S2teup z2Tlg202T%Y0j339pf39Y4hDuJDvT^@JPaxf3;_~Nj4X^UEDoU9;O21<XkcpSa5Crs z)$yDR44gF%3`~$2Fp{kw)qoKKObiT6LEvcN5CFHy9)gBOKx0}C0tOB&jE+eOpk}ZV zsL#)!1m2M%z`%GigC&6xG?>EBAmG5jz%IxHG6&K<!LVXffcOvqM*(Pq9Jt_t^}<1Y z3=mde6ake-3=AqD9>~-wAazO%S3qni=3o#4$;xek@<%BugaD*20e4J5Ar5L>HA0d* zsFDZA8b{-T4yGm!hGtN?#lRBCzyKM4WMFDhU}yxl#>DCv8bI|s)HEu%YeXBt$iQ%5 zAt*Xp7$!J039u|+IoQ^+kfGy%0>gw5K`Ai?4h4e@feFeDObj9uIT{#Pf*FKbx<IE) zurwSIXlP_u2#Ts>3=NH-p_dUIl+^G%I2VA*CkN2D7DEeb7sC-D1|cR!1rY{DhDI)y z1sp6ZJPcd-Cp0mza0*y^@d+?7XtS`eGBGr;FkKMRQaHe*Fr7z@fq_xEfsvu1u@RQv zsNuSiX$Ck>7#SK4K5S@cXlQA@daCQnw1v~Iw?En1(tTiQ_nbdF_w75icZvOvU9*;V zido;AFF)yue)tvfwyte0$``^{Ke^Jh@cP>I3=9%&4UHfGj@ywLm{jsLC{94>`@zG8 z28M>VmaVUvzJ#p^Kis}#J6GqWg<M;{#LDfDwcfk`-?c5~H>LK+&6j9r(hp}X@9R4d zrhP$d)t3)lE2pp5V_@KX4~mh-#)d{JIc?OK{|qSb&w>9e|3Ccx${N7H9~$=O?d#X? zc8iGoU-kdj|1%5>|Nk>Eyamr|am6zP2N>|UFfa(@js_x?LjWa482<k`@R9NVhhKkr z^cc>B&irZ#iW8})AEN$;{=W!`lfNH8F5rsi4~{qBDQ93{%meX9F%?4qBToJ^ePH<Y zmumsThtR2?t8c13jFNh~H0po+e`83Tyak`3R$l%;INsjAoPj|gk&3PvH3}307;*B0 z;{(I*ugvZY#-VAyPJ-e@L}b<f|EvD*fW*mrevmdLr4PXY_Vz9e42&rt{wSti2qd<! zY~XU?I;L=d!GM8*2|Vbfz``IVz#zcEpa43w2-MjJ(HssOEG$k8(51qOEg~HXEF6az z7$ldvFbFV#^ia=LBiAGi76yjGBOL`YHEh!oTRIFRN?4|3FtkbOuyBCRQ0ah#i~zKQ zE&y62!NkDO2A=B`NMP{LVF8VF3945xG)U>NFo5(xw2YFJg}{FX22jgOdX?0!ctPgR z3=9k)%)ssrGWJU|QyR-U1_mCz|H7Y?%osd8n7`Tw@c-wBlz1SW3<9I)rh<Zsniv)* z|K<0`?~adWV1SfPOyHXQ`*%J)o-+&#T&w=y|FH@bC!fFC#|H<Snu6jH9GeUbH>l~H zQL~_-`k&#yG=snfE=WEBpW)0B%FoZh@b4eHJF_tZ18eC2{U1Y(8C+bLSq%ahJ}`Vh z#EHPI(Qu@42*C15sOVO%-2nj%3=HQ%Ht^|v_`tyMNrokjDV%|U+5Lad7Z*^Rd}cL3 z&nL*^_aLpKn8Fa?0`FrK`v}>SDE5&FJe$Zc5pFCKXcUHlfy)Ur1kCmkL^3e2DR8-g z_CbmWGcZWRLG-e0h3gq*P!s~{;5kI*6R<srj0)g+N60h^*ksUxE(Qj51<-y*<`ZgQ zF{Tc61@K{!rx+OIV$?N2f}p*PAbJ#2B?KVBPQWN!io}+JG~$v4$&F&NLjasXaO(z1 zgO;&^R3M*B&kxQeAkk4w^$-B9jKpIoC{D0R%WGj19TlT61dwVEVogHCkQ}(3My$S3 zbvQx*(s%+LVhcW?7BoTvR;k3mz@fm<0FqW{09F1R3QW+E6@~^-Ea-56R;n;CfmU>Z zbbwS!K4$=J*8*`zG36oP0N(J!$e_W%zyc0z@CGf05HQ6EEz2NV;uskNLP4!!=vr0= zP)pbqbQ}l+gP;HdE97_y%3U<FO#mf(&`L%Q1_#iECk{*r9D$7t4onOY4U7{Q5;z=F z+MF~P1tb|<nj#ch1VGE{ITo-;D6lXv2{1|s2s8*J2!NIlG4QSf8>I*iP66;9FEDeI zAU6b9Ky?W;M}Q{O1wq9Z6GsyRXfGr5&Kl6pMivIqPzjJFpdCXT3=9G)42+5lpgr8| zrc&S?EKoy0YDO_7A;1XQxWK@|$;iOq)X2cV;j*BcftdlasT5)^DEvWt9>BaNW+sII z1_l98?19#$f&>MAGYB+*w+VxIqnHc;sk0kAKx?}|+Cf`Lm{^(^SQr!-SQ->Pz!?X$ zmX3vy0kk{_RM8-30VWp6yov^R>u&=CgN!Z67zPK>z9ER=VE!mUS_nYu^9BYM23AmY z&d9*Q0GhA@vF9)_xUw-YfYxz?Yj9Qu1qML}P;COnYz&Md0t}*z3=9IGhyocTCJxFv zq&Z+zHP#S-Bx%roMka7*b1*Q1mI5a=En?tk;OGG78%T}-XBV&;4GkO&91L6vOe+`| zG?*SVfb*Js9@r>wJ^`r$)iEG?6q6bP;I<NIs-07zL4k<@w4Zc=LQ}^BMiGvt35twL z5>2v)+Z5OoI9Pd_I1G9en3z_q;!==NWKx3aY|vl^MJNLUhob-kyoW=oGe*^eLI9ko zA^k$o3SSXW151>#k%8$Ehoc|^F9Rn7g967A1_p;k91IK%0!khYkgHG}L_<Lb87yc3 z>0(gW$il#2$si!az##UMm0=EpfD))I8|^%Tf|wEviXI0JCIJBj2Syhj1_c&Q1}zpQ z0X7Fs#)cNAhSoNR2`mf~7#Nrw7$IX?0*s6*;3xrgOh9!B$aan>h!98>|!hJY#q zLxTec16zj!1496VfC$4vj)n~m4lIlbED8x7E}*Ig)G}jXWNl<%1fPrp+AR#~Y(is# zL9m-rH;n2>3xNwDKKBAa1twR<MkXg04aOxr3=RwvyqG~#A`P4j33?zE91IN%pk}gy z1A~MDc;g%csCDMVz`*wjRBD0LjAH79fCD3o1fxR&g977{6%HN_4I5iP-9JVJ1r~6? zD=M(^f_v#K44})J89+y{IDl*P4hBXB@Vv0ZYVZzI(0BlKoHXK1fu(Uq1~mo+1JKA~ z3j@Oh5pXHR0KMUtV?ski1A`E(umW8G4C+uq`QW9hpsER0!HoDYr91!{99kF|8bF;8 zP~Q>UQ3O{P9H2_O5i}YP8aP$}_eGe%M@xW?CWN%GKqJMH(?AizIJ*6W@~8l9V+3vY z<>(5T!ohIGvf*dbL?MQhDGUrkDi?(u8fGxGFfa&A5E5V!V_;C=Q($OekPHMJc?Yt( zfr(LprGbF~G`k{k2a;hxV_+b;QA}wFC_Z9nU{DBSWQ$@^VbJuL<=Mar8VeI)NaJH* zh;(7%V4B3i<iM%G%P7<U%0mJSTw53!7+9M?(^}wYkbl1b6e*z5OiCRysvi`R427?{ zu1r}Oe)a#Yzt67jzwz&X-_%=wE?&O&;2+-<#>kM#a&pt;*)OcrTgy7VVP+uX1cR=w zErN^_#G4aXni?9J8yFY_?=5I(U|?))9Ia2NkfuQOz|&V<U#@9fyKaB)|Gv%Dp8xND z<lmO_Q!Hfa|Nf=lZeF=2HEEulmV@RxUB+t-l7Y;PCJmr`(!k!F($d7x%+$!hApdD0 zs0qN>2svbx3ho;5MnG1`|Ns5*?AyP8LjV64`G5Ve&7T7d|M~fC4u9b1&zw1vA&S*3 zl%L^01HUo2kp&5}@DS*lVKWGSl%!e+K;z`!r#tV@zYqO@FHZiW#EGx~Bu>QtQq3)+ z20=mq8Yh2$ym@>6eJI0!jsLGf`Q$&thYz59@_**cX~vnXCqw!9KcL2mvH~Pd1neLN zjgnLg0cf0j|8nl{-#?)r@Wlyg*_ECGi4%74K47Z3XVf4D&^|^57A6+ZP9QL?z{tSB zA;2P_pvb_WA;JKr6+{_8`x{vl;0LCH_RkrB)ia3OI<|oJGlKRujs_?-LIAXnQ3kY+ z(V$I8hlOPh$V?3u@UiuvW*GwmLqG!qGXq01gFuG_3xf=FEQTqAp~C>AkAZ>js(?d- z6wBzm7AWYbf&&8Bm&YrWbFnWkC}sUBy^41V!vy{h3G*MyJ(OD-b$Hs}`*N2cd$2*) z@iY8?{a=HD;p;CD4}t}_89@7rz<V1ZBBLZ_ArK!Q{yo0DJU;w;RlU8v^nZ4Ch6xYl z*nYNGv{x*Rnr8EJrV#Y}2#`InI02pU0pf$O0Ly5cfWm=#nE5l)A}%E*E~Z5as;pn7 z8Tj}ZIQc&?{Fi$u_b_UyP3F&;g6|;t<P7M%75@L%|BFEQFgJ|G2`nV2MKga6`ovYP z6d&|SOW(jiI+S}7Bu*;YE83%$W*+{%Uk*#0jFw&03V&pCpnDL7q4zCLh42|BLivJF z`XZcm0_(mA8oLMWXJljG0WSb&VDQlf?TKVy5ZMYbV{~65IHbrR)Il@53=F3r3*s4} z_cAiAgzy=hAT*-`f(B_|bWnrLqjWGTz^)PCxdh!4c?M!8^BFRnGpYqO1dwKJLE(-h z2|1@8EC7;$HjWt>7<fPnQNiL20)k->#wba}5P&YC15I$@Hx<-3flLY`)G;w|@`0x% z5kjLZ%0mEY-UAUmU}Mp^24F#C!U1%>8F&phno?v%qioVc08}gy)CDWIAorv|NU){` zc~#K-BbYr(P#6M8)d<ui@Rmge4h02>lmY|jng9k3&~_As1)vRf3>*v{;FU!n4WJg7 z00#pD-yRSTbSMaj9>t`G0H~mXVn*-<P2fv3Kq3O5tq!0fh>?*6vX{{Tw8V=Mk_$kq zj6k9e433Npf(_u!5Dp-145IcRSAg;nNHd5%ib)Rv$bA8zEhOMgBn$#fpxT>(VFK3# z1_uEKhX6r_2GB&VfPjF2BLl}G2M1W2SOC-#a|i{E5<7tI+ybqe;4=qZ>BuPH2&!BN zHxx*B?#R-?;-JF7(4Ym{8m9nS8LR|auLWAx1-_~g92PC0{SXYGRgeq<4d9*Ipo|4t zmI_*H1S-F{16mjum;?lvIG8|J)i6Ldn}Riu5@d$}6N3YI#iIa&00U^#4`}1O0uN~8 zoB$&u3j+hF%wk}00Pl?#07-(Q4%&@pkOD;lxD*53wMDigMm2&%fCIFbq(fm91L#gU zP-HYPfy14lL4ip`K>(CFKs&WK7!p9W1*ikh3|cYCz`y}sD9oTB1d?Wc-p~MEd<X8P zG&wMFKvaXR7$t}d0Z8K)dKE(h1A_u1<h(8gh6Ui@RA^*i0o5=Nb&y-lSQs3@hjqFz zuylbM1E8W#h@*vtfq|WMv~DLd;0Y^ZTA`q@0IaMDbm5``hrk5rI&CF|MM|JuS{w=* zOrTtmz|a8NC+DP~1TLPMn3O;nqd`GHtAT-m-wagBF@P2vgI4i5fR6?N8#_vn5duu0 zeGQ<pive_+Fhc`3DD|@lPGDdV;9+2B0c9W;CYeQ`C3WC26&6870niR%M$q;kNN!<Z z5UXZjU}$OrE%FB4;RI?hkl~b(tOe9k0_|)E(F`mOEDRhTqFj)EAd3eBBL{~9M-vkV z7XyRJ1OWyHfr+3Mr;Gy30uvNiI6$X&H7sCY(9mFDSjfQO&;agR%B!(4Ft7>;Ftac+ z2naPW2!e9UNDgA^_#IR-fx9Z8ZE~P}KTHe?3>{qx919o}92i&}K+C*AH_L%GYB7Mf zYk?F&j_qcUU*yoxB;ugJ0*MQ7jYJ)1jYLx*!3S%`GB7M~Wn^#xT^Zldpy;vzTy?NG zfE6+EfCJn?fd|~UVgNOW85kfpmolATU}9)s<7jY%G|IsJn~@lt)bcZU8yv_mhXw&h z28IwPP+blRayC%m2HIf)k_6q{2-=+ml7O5r!2~+!3nC@i$RNTZ06E(OA~H%+5(0=; zEK2|f=x#<90dNBtly@{37!r6IL4php436M4)fl0>=|J^}0s||EVqoCEVZgx9kN_GM zb6|k%b)>{eBUmrAD-H?)aL|JK>l{MhIssH#HGrxQkR+)40zGi8fuR93(gKnO^&der z$P~dQ5Xr~@8Ic6(8O2lz0mcKM(uzS~0RxKzlLDyA2r(Em5XQv7D4@W=0y_ByBFMnR z1g$(67#IUVF~z_r4BE#9>WG3O3#x3CA|(VsX&bJ-fr+70@CpNiAS;J}Km(|q1s+&o zXkcJtaA;s*X<%9>z;FOmpvi%{AE0gsm&gPr22kc=koPieU=V5GaB5~?0N>LH-cbiP zdz3+H2!NsnTy`-uD0FcgTA-K$O63g<tO*MyFez{}I3$3Z!wsOd-V6*5Y>@6csP157 z=m1d+46;`aC^0=?Z(s=l?H*<vjS*7g0InVs84Qp>Wde<ybWZ)!wc_$x&5{ibEDjB; zOjEba=u+rd;g<NILB*w|X}#ft11yS%+Uyvbnn1l2<}T4GU5xCYSr#e5uZy_89AA0; zdSgRlBjf0ufbcLTl>v&A28ISkMo<^9fr+8}<CiXu>&sVuo!Hpo(AF?D=fNB%g<CCd z4?uC!)V9vxz=0M97d1JSrUuaQ5zJho6S^1}L2)9S_jOg%m+2jD*Fm?Lj>ZY8F@a6J z4Oo!XAizN20_#^W2ecsg+aF`lo<{J#pH&R2Kr{;j1Nf#Iu$uo6eGCkef*?8QO)6li zQG(JCfP^ipeSp32WoBmRmWBWSznur~GX<LtiW3F~1}-M#I04HrFfedRj>ZWrET~08 z;^eD+yuJMW&!0hYvT79r!?*wTXPE!+L-xf%<Aez*pZx!C18px0l#Rv-wc-M14m3^- z;tgc(uzm&YEP=$yXJ&Amfc86r;^aTWe^wSmKKTdP2Lu{%Ng9n4SXfep2JK4(r}q@d z9g9K?3XB4v{frEt{fry}EDQ>YFg|GPp2dYh1T^7`WV!Tj*q+GIdm52~g-oUf3#bR! z0FDy@1qKcs76zt7h6Vu%7SOsCHU<z4<AZ2M1_luU1_lq1bs3O*8bQrBMbMr|9Tt`; zpnDow7)I}D1ceVZF=$^r|A+q!#%Dl<mhl;e|NI|7`?mfwfa(@dfrWIG1jJ%alP~85 zWzT@`c7%wGl2i==^f&><1t^X{G^qY(VBkW#7f68D{QLR4vj4xr+R;>X&!}ObUIsru zC|`hb2*ZC63CSm*`~s3?VBiO37UZDfWI4htD9bRKPmlwJs_g%$aRSO8pnQUe6E3tk z5#VM&&U{zam=)Bir>av%iea$*iIBaA4A6ayY|#4|876}ECNeSzz{R2Jr-BFa8CbwG zUZAkz0}%osK3hG+ES9a{${!>?ib)Cq*#1Oy4bUiVQx9}6BJ_Snrj-yr6G)tafr0r1 zL_Gro^j^jum}QW?i%g*Tc_Rp$*+&H=35h%qYZOxu0+7Laat#pQNv_UOeME%-Xx<7# zA-DsBAqo?aA3ZAy78F#Vu|@zEa}g^kut+k<FTo}{Dn?ldAXNdxMia7yk;t4;Hf15e zh~@ei(9|zz%N)#PNJ9&>j}a^au6jW8@n9Z!>7Dp9Fne_AHaHk4BLWy096<N>f`+W% zCV>QC98jJCVHh90FAj3E8Dy6bXd^ZQgXlZ(8ZgkRyU`_(NMS_+6I3}sGJ}%`XzK## zP8#stGN9F`0-&jR#{lr=Q`kA^j15c;j38Z13=9Ge;EV!_1V$DH2NnSj1_r)T&{AUo z1|hH%B!(d*39cGd%D}+T!2sUqz@P}a7>I*`iIFitLxVvIT(yAPS&$sU0osPbz{J4F z2+ac`pcxa;Mmq5N3Q)-=$c9+LIvTWOga9O@A>j(r4&{SF8pLJd02M(F3=S+!pqv4Y zA<!aW*xp5u8W=tRVmL4`h-fl^S51O4A2>Ea;-i?D5CC5p2U5t<1X>3Vo|xhQ_2ogA z2{dqYfNpGn+;yhF#Kh2~zyYr58yY$oK%I344$v+|1=v0y2GACkE|6&q44iWrI2^!{ z(FBP@2nmrKB}oVYP&R;UB4J>H>|O+ER%U=y@(z$wI-v?c7tw@(q!}0(K{<*Mv=tjV z5XQhD3_3?50JOskTW*4A8zu3E0H}y*f^I$pu|b=y8W=#eJ-8eb0N2zE4WPRk8Mq+% zhy${=k%@sp0i+sa{0at!j)n%%rLqkCS3m*^peaj84uOy$!BI?12!O&J66y?!OdVRF z&DbDq4V)Ye3`{Ic3~db!42zT+gg_g%KqnMHE;Qp{0JQ`_Q3BEr+5`!T0VW291}_E% z_6i1$1E7`|6Ljwi$iPudOb8(A6HxA8kYQ+G5D*Y(5M*FwXkbubXk-kSAiy93YG*NA z0L2;y=)M^SmIe-{Lu{a3zR=8~0M^X}s#rJ~I6${<$t+J;z`)3$0J?Dlwu=j705Ogl zRf8M?pe=F?j2uc#;Ef6lkkSdXe}RKZfCW@SIe<2vvM4!#LZ5-jL4koufI$J)JptDq z;5@^?z_OB&fq@N_aX>vBWIIOLq=f(zi$Vhfcnc7C-y%peI0rB+YG_~r1+D^Uj}N%7 z2yPC52@ddaL?E@`#u|hnwFR;P50*DZ`-_lpA&GPVg)gY_%HjapbPcPLL81-}4h;+( z3@jXsY)ncF0u2IMu#g6KGa0~#cp+H^I!smM8hF?XEDACM%ors|2mweKgTmf{F#vS= zGU&)BP#+z1(vt%d(*gz-h6M}?TnY>f4xl~?$Y@XoQea>af*d*l5&;i=fpjr2FtEik zc#OscHGl_$3kQP}=sIkOX|NIpve3an0MZMD$YCWR1r`GXgQN?rX2+^-RFL=(Fc4s1 zWLN;&fzk*Xx&q||kY)u&hJ;2%M)1H1xX}w11QEEf{1IF-qvFJc04zT+Z<)}*FrkBi zft8UFbWH}R$pwlR#-<L3W)6lU9*m4U3=E9S;8IF~fgyo`1LfFM7I4!Gq=R(@=%^`( z?I1pcHA<2e0-%sna8P0Ba8pui03C1x+NB8UJ~lKq6mT$Ya9H3V1iB@gA%sB%Qd59x zAto0G4p3VRYF86z*bEfIBApBjOl)9LkilTaC_zdHfI<-z{K;RoG_1U~O0A)_v6+F1 zxuFphISq{srQKa04zF~*=9w7CBM`!>4nA&0fytqOfvY5d!vQ+%#lXM<-q#4~)^OS~ zHMOyU>lDbLQKUF$R4rsvDLCX-fBDkYaee*z=GN9m1}3IPaGW$YHhz57_2v4COV=X= z1A7!g6w4h!vylo+3<(Ter4AYn?65#&X=-X=0(UiqxER}-pnXPAMghe-Ow}k&N(dN- z3S5w7;5TMq2#2SAuzt{T`u`07`M?VuL2Kzj7%YQ>5a4BC;Kx{Ok3;dOFrE<LXOOug zYYd7LcIX%hR53J8c(;N0p!fh`5F01vWMI(M#VI=~L39Z4|Chfn`#<zQ0|Pg#BM;FF zjT3fIDa8ni4-ke(VJ9W#j>ZZ0kResnI8^wu?3qvo28QSe6ur<m;l2hE1;qylgV?w* zxa=CuC%A%#1o6Zckq#~wjzcaC0!E<eMA%+L*xp1(1_2HZ7Vthe(9jqIln)x<0^8!k zAOT_vF))ZKx-f7AfdoNjK$;OC-Y6zM1R6m5N*M$a7(8@%n3yUUIxH9%7+hd`5kY$s zLH8~SFff458Wv+<fbMsM@If6FuvG#K4N{<m_Y4eN*#ZbPV7XC(%n*Q<QRuq)|GU^T zf0f$Bz`y|68xPu?^@o9h`496SetzWk)qi7%Udh7@3<aS6K7>0;k{<#%;v_h@-2U@d z`TZzy!VirTIey4yda$jao%A4v<gw8>0fhq<FpfB3_~63B%qp;f;XlI%@Odksd;-cb z|IzbFJv5&PtR0OLD#QoK2pn+|99+)xnN@TvN}PahIKhY$cs>~|yFlSNsIcd{LFJrL z$Bc#mg&_cM$fB8pOB_uZcCLWL7wi(Fq5~BIObnc(drWB%_{8TE(B1|#2RMimuN_V6 zC>OEfhy1Vr53`_ZZ(xH=MWTw1@`w!q(3BG-Y9QNqz=v#uHG?t$NR|n-`Vl08bSox! zi6cl1JC*^Bm%*h*GYvc_a55m1BcPj0SioDYSU^{2fYzHjID~@bK)ZTCbBPEOV8XED z(ZO37z=8-hNGu`bBRy~{L((zIL=6EZK?ViT8X*M<&}J>rRi!N8Fo&uFT|5W6xDk|Z zphBR-?x0Kt4#@g?&_FUwh=JoRoH@!MEd)R%6Jj78JZTQPZi&GGvQi#gQ()8#U{SC= zOyE_IuoXuLgBTb%!84LzMWY0HApnjIP~ipA4&i`UU<~1+R0*IPeZg{20-^-UQ2_BI zJRv*~8^RhTi4OtDBrhV!!RvoHK(#stxaJoCU7-Os4zvvgq8hYX2v)H`L!A+<7)mgJ zwgiK>J~AMccVI(C2|^(NDwiBUt5Cst#1+I6aA0&0fNWp{=?2|A!w8mzR5_pu2P6)~ zpcr9fa72zEa4d*zfhYjULs+9EaUs9~+NujVlmi@+3LFhupbM25IG}lg16<XEb~-RH zC~#<ivH_?H0%4F1kX*yTzy;z!wr4{t6|u?SD}f<-1u8pAkrV<L$r)UagN}kgGX|8g z7{He`fp!Lgw1F@*B9PQdRUmP|EdgZyC>t{bVCfqq17dS1aWyc2HkK@4Xy^dtm?j1W z4F&K%V2~1!2skUi@&^Ox#zv4RNEVJkCV}c9kN}Svh=gLW1e85WjfTKz2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#lZ*;Bp3y^NI*V?<#@Pu=`J7 zH0&M}fvH*!JfP5NWq@d4fFA!Sh(=FkU=Z{LDa3}MYOzU;iZL)SK<+zfI0d<@gaJf1 zFff4cKLH)!1;6hEdjAOzyMhY?0|#i79x^E02%(%%X^^}$>V8P1(1xl-5*cM;3jxqU zk1%m21`rQ17Yq}J(?pHD3%!G@$6!Dr5<_@Yfanl_&RK!fgPGvjE-(vp1Sd!s9fKrU za?nK)d{B9b;El4dh5%yr9X#=lx<n2=#}E!tkbZGntTv4bQac17r4~{o;f^1sg}5yr zExRzn1`@KM<qqJ(o0%Gzz-MqVGBGeHaX`1~E�of+*wyFD?R`qJTEB&H+Am2)Y;o zzC;47RFD&-n~9+TRFHv1MhWa804h~L7<}{@NC~3=1L*WE_fS~qIx;$kFeos9A}9c) zfPs;Pfsv6Byq=grkbwyl#0~-uU>df72y7yw19;^z%w(xxux^;KP!5C|%_op>Kq5iG z3gHT{2rx7<1c2^VZed_ZXkbua0_6`T0R|Qj&B(;yz~;cDz~G<&zI2Asp}~QH$x{Se zc!5PY7(fT#f({-AU3<a=S{?>E)f03rvp)j^3lkG8+CcUp>;>^gF^&)r;9_8DU{YXV z3}pbV(NbVwQekmrT?Q(XK<A%>0-p(VLL}(G4sdQ@0G&t($_t>932Z1M3j-U2YXb*^ zg9s;529OKI)hYw)8YS?C04VSo7#x@cK*yyjXn>Xi3wS_I7X(E^0JKpi0E!8?K^zj0 z{U<D-Xk&n%FwEfs66R!JV30dGnl0d=MLYv^*dXYPO(q7=bqyk20t^htI2arl0y?_b z!ArXs89+zUgR1z31{S6!h7Lw%E)Et@L@_8ZIB+<C3Z@ot!Nt(T#KFV>Iz+O8g9&_k zw5-Gd@VVU}=fGEmfjFZWTL^#y6|z|b+?Ex9R0E=d3=DxR0-&o*7+hRH8o|NOh*kzc zb&G(sx-hVSmJ&lw9|oBM?%sf87z9qhL_sEvVj@GJfdP~S7(fY~iJ^mm19X#v7O3oD zVBpYb09E)*pjrlWF+Avcz$VbzUnUL)@Yo9n=<Y30M&M&;V(4IEP-5ufQebH4U}9+C z0-MPBkpWB->6TGt@DSio(pbdM!NAqAih-%2fkS|aVI4!)imp`*LXHBU5NBXw2s&iI z#K6?h(!{{f#mv;e#MHt8x@G`Y$2WktJp_0#F*GnWfUe!*0(X2E1ipas7ihN-+_F&y z)(`-NB<RvBNVqXD2(UVccqR&NVF+aqVNzlMoxh#n8X79X%)p=`0NO*+(9j_2z}R$< z0kl_+WkMqd10$$))u6zn02*@#nFq3nwSk4fL4=JBvQ@<q)O~b3z`()4qyQ?&p!Er~ zIRH{Wit&Vi3h0tD21bSkCI_a507nPW21iio)xfa;bRt><=>ALwCKg6E76yhUa9P9z zy~YW2xe559ffi^5!@wZI(4e5g#NxySI&zh9KLZcw>L)yQk4j+;0dR+cxq*Q}3v{_6 zlL`|9O9QCP0reO;7#P?)Sr`~JS`;-J7(hpAgR2(@7KH->4WP~-rvp2v{R~e23LK!j zwm6srW^^eqFo-cQ$kwueG9y?L!)olJ7|KSbKoWxkC|fXSF!1mw2=FknF*GnXF@P=u z0;PU%$;9HIzyekS3LymsmH-9^h6V!#1`hBh6mWl^fsrA_5ZvTqVH9BC2@r5$U=X%r zU|?}%0q?;B=|sYy?M7$=XGpRmp4q^_!06$?;2_k%V8{h(S1}l{FfcGNvMDGeaBw&< zFbX(0GBGhaFa$VwGBAS@z5}?i*2<7z0NUaOZvQecaHuhGuy8OiGbpe$EMVYZn83gw zz^%Zbz`+ULZ#v?GnVbM%It3crb%FG}6&P7oA`CGB7iI8_0+s-cwtyJmt_+C5pajlJ zpwTbbrbo^iu*4`qatMHLkpq`H4GauI3mPE1;z9j$kWm~ApcD^jOMwd;P|qJ!s4=qe zaDjF~3V=8ul`TvRA`DzCqM%k5<bVSSHzp<)0cbt~DH+8?h5!?|*Vw|Sz|~+N+!x`@ zFvXyefuX^vQGug@g{6UoYl{O1Lqiis<B}#;CWeM40VWOsrUnK9Mh+qHMH-9@9H7oV z8*7V53lj^2pjMTG8kZJJ6a#}~vIt}w9W2@qE&}H%1b38$8Ul(84Gb+z3=Ov&xEx#z z7(}ueUAz*QTr@Z&6a+d17?>IymN0ZWGJvlmZ2--wbTB;-VQ63!6X5_|2goME#WVrb zh+1T&CDkLq+7iIBE5e|~VF?2R=SL<UBLM~hNO_2AD=3;kx==+&d5{oDUeLhQ($v!Y zFRQCAd|$=)?5ST)Comt)>a<ufp+}^pz3a=9u9b&ZX*4fuYHwm}Y7*({`Vh8YLSVei ztTZ+~F*i{zt|@E`3~cN7+0E;dW1m`8_p7dMU&%iP2KjYjJa$vKgqT4Q0I>{4vVaDY zN8<z*9O(4sB^?c|%q@+-PxTd)|GOWaJ+<U?BGZxAy%rV|dPF+dy1#tk=)Bs#vUOP- zYZG%*lRy{O7qNx|g4^Y!g4kFc#bI%>9TX=bymRa8e*Lpr=kt$&f$^glpOqAg2;*p+ zpa(i3{(qPMpre9A)BX$nzxRRv|GoeJ)6!P0`u`QA@y~w-eufYHp~1ln3|!nGbs$j? z4vk^}T{Hk<g0Y}9=nUHrzdn2jWl&-`bDn{LL*3Z;urUL}pFdy)qXh9G@PE}zNLc^( zfW(Oq_-xJp;M-*W{4ov<4u-}FXn#B?{-9<XL(g`GaR2`Y#mO&&|NmVW%0oeM!tQ8b zFmomY!=Ez{6{954A@E-b6wdz{82)?Q`_KR3f3=4`NbmpkVDd94PW~J7|7T!01?s|p z)Pf`!7#Psw<lhGd1}=mD{~6Ad|DS2kz#x}n0A3A)HBO+5W1vQjQm7&DKNOr#KxG#s zPM~EM=-hLL&!7ME^Z#cs2HhL*`xhiX!L<KJDZ4iA{s)r(_3%UJ8KqSx?HL#ZS@D!z zqj3TYMl5tn2k5>Nu44=b7#Kh|jJq(1bTBY*9AjVrP0KT|q%a6DDX=gIxiD}TF)%QR zxdaGsDvBryV;aQ)>MkPH=hDgy3>*oV>cAr4<*i`WD1i|Ig-6<=ba>dNB|@fx83Y*E zJS13{#4;Efq%>F<Tm%#tICNMTK=+{-Xt1zM6JTf%0u6{E41(O7lEKg<1X9ny&<4Io zg@J+Z7GzBYXuSkNHG~DZI|afXCDB9R3<CrB)&Txc<Nu}~?t(U#{Qv)p;s5{ZNL2?| zQz*Es`X5^GpMe=9*JCbt_pTrp^lrITD_@y2Fc^bsdI1JwP+h}_(oO>#F-i~{0^m3? z{vXP3`u{HYriTBa4FCVX28BDa5&yq~c>n){<0O<Zl*Rn+-Me=eFs$!~h(qG!6)0AW zKykup@?Vw-Tq}X(M=?nu0FD#>P-6yD20=(ZSp~``=w?9jNquMm0|NtSMGDJ)K|w)5 z*frQIRzmIv0=0<$|5qw!V35>9Z4;oIH_FEq0<f~nm?4zklwS~%PvSxO1YHLxv>6!w z|1aQgU|<bp6l5{KD=2t(#fk;s$@c&M-+}uppnl5#|4r8!7&ue@+cS;!7tw<e51-2s zG>R@V6S6Il?Is5k=!j+(1qh#EDr6l60|RIs1Oxb3JIGj~mJ@jH5yAoOt^>8U7#IY> z`WP9eb9sRdmt@(>zz_jFHx<(n5VasRm~taoL|wt9gMoqRCwM<2L(>g4@RSKtCuCnE zQ;!;GLY{%ChY>ts&j4@JvMVHjCL7^==-x!9<r<)sN6jaegBF4@FrQ&ykl2GOe3ygG z1gXKLVpJSQ2q@qlxdjzhAdIRLMMOXV+$KO(G0LMf1aQX*s>zUTDiAS9Q3l#{!nYMf zLPSSNDun<nc#(`l>ZT)!Vr4q8Rbdq$6{JxJfT9arDd0B0fguLeiomU4R0cf+z*RBm zRuc};9S)$Sq|h+#U|>+-;sCEI0#)YVRgw)L76SuA19a%H0jd_<4q#w#;sCeOlpHv~ zOI)DU3MXi|24pb0)uVh|ApmO4f-q>a0_c(rusTLY1_scQItM`p)&S5#A<zy*uqx1* z&L9q08F(NIA`IH;kl-NTAiw~sRUANzRY8|@2rw`R|7Boc#5&f9RS;_MNTr}*5+JC+ zz{tVC(BQF<p{aq1k-^hZz(I+FiGfuCv^JR0p|e5IfpH0ogM<SM6KK(+gaV5Ih~{7b ztq}rc0R;vT1_w6qvQ^OLIwk=p4i*N`8dU}c2ATIb!WUHV;gA~P!W;}Ku*Fx54dAs~ zE}(UF&_P`X28O^u1_p)%CUCLE!UXDlfR}+WfEPA02{eE^Gz<(5jG(fr0aOfvLzIa{ z5ll0PB!V}3gNrkW2!e#B3WUHY3lsw2qDKG}4Gc`+AOda52JLKQVPgSpzh+=zad2Qz z31Dadr)wrqp$5|9zyRLC1rBcqaD}1(xvrd%0i+akQVRnE$Q;4XEDS9mn?^BlAplC- zObrd-4Tub&x*4>d4pfkVTf2u~6%0t32m=GC9nis`0**jXVFxO<7&sLg7+4$y8ki=4 z`XNmoTv-hqJPZm4ni&`!KzT^Ci2=NR8)OF9QE&zW_!<bXO<;*p0xbmKK?6G933RUp z3j+%yYXE2`R)YgefFOei3%E)Lt+0dS6OaLnpgU4P^*-n_6p%2ea)%UCte_YH<*h#~ z4lE7~3?K~BGK%qq0QkNvMg|7t9Xm}-LZF@R4NMG7OcDzim_WOD9T*yv96A~p6dD+q z7&??7V=bUO1G)*N0epv$0!Kqf7sxK9CMFIBHjV}+g$7W5P+(wSDQDnl0&Rr^Nh4#B zJTiZj4GRG#hD8mF7@8UyIGVUtDS-B3vvhZWQuP8*RiVHjuwVs<!J+^vfHW9D6Du4k z9H84c7&sU}m(wvcFgSqfBW8t$CN72sjulD`9W6`?nwU61g%$&Y;4GL`=(Ga3>_QhE z<qL=~ga|MSuyQd7FdPhIU}Ruvn8e_yA*9H|z{2Flz{0>37|O+<#KPpnaEQSJeELd| z0u!juDCF7z+A_qzq|m^i;$Q$Osd!Y5GBU6Pf;yTUo(zJF3<?4a4APR2P=;p<I0IBd zg3CjQf>9C{0-zF~ft`_&1#~gv0hR{|N(o0LW}F1~;z8joAOOlc46O`I3<?e`3>=_p zAwYqF0kV6sL4Xm|ToVGV!vlx40w~KcIWRIraDZwM0|o}E9B`WeW*<830M2sgq9dCR zYIA`?KtaL5!+=MTL5PP%%SoAuLx7<{P=H4eR3Sj>a8P$1yq^>_Obn{d!EwOEz#-7U z$RNPz2##C^C(xaz3M@=aDnbkf5}-Z|Xq_I&f>BIt2!H|@)MA~$;KAx}g0Y2xgF%IX zgF|D6#{!N649tuU9-#R|4h04W1`kFhMg|5(1r`BNrxDy;;b0IEuoPfqVPNnuU;#C` z1Q;OGUL7n9UIHwjjtT>(B*+9}-7~5V)Pe;~+%hn@ENF0GU>0yxa9O~}5YQl?Ai&qa zARWNN$-uy(z`+PUa=-<&C_;e~v@(K)0kmxnlv_Z}E=C?M2F3&iMlB%*Hc%<Xz`(GG ziGhI`yoHW8mjOI<10Ey52yzziO|}@qBU%7l06{m!fdYbs0kj>SfdO<VgaW9t2JMDN zl7}wI0}ru)^@1{u2m^x@kBSfvLj$M}!_feWK_&$*NIfD88UX_tje$Egv_LTkidhV~ zku3lU*#;)ienwE>aDYP_)FTIpGk|&@kb}A)fdm$WFd-xh7i41`0|OI}f&)iqLjyx2 zV?#4TLxaNs2L;eNRr%=<l~~9tAq)zjK?5vOBU&f{v<sVosp*122P4C=o&$$2888Sa zHuN{lIi=vl@Jb2XSY;AoXkY`yM-u~s2&mc2$pAV4iix2?L0d<OL4=7(M8-&lfsvur zX(9u&gVI3;u7D*9CJY{o3=E<#K$!<pLZXHA70@{oXkw#WhDLC^tF6&P!O<auQG>xo zfrnw5!wCif5k`gv)dm)p32hxrpgJ8Cs18gVEJ6${3J#!B4RneT1B09hs2eH3(w*SJ z)Fr^cv4ExF1Oo#TJA-;)7b7^+$=pZIXvjehD!VuW6|nX3k(G@&cH@JFh6cv==GPwz zPi1a@x8~2kd%v!S{Qh!(%HDG?)0h2QH=(U>5*yp3)&?=qNi1D_Vj_GB0UDeQPa2vU zm{=O@>~-v#<mANX*Zq_03szYBdTPUI$Hj-)qs#xls*TEc$-uy6!otJ^8chQ?@sI<! z^G_X@Mxcf!ve+n_fdL#Rjcv`RzI;9L((&E-Kac*I?ECe$d;gZZr#6&#zE_(vt%r$$ zO_<>TTg$3Iwk{T-Fg~pS1x|(sElmte3=MYnc6uVBLVWXUtLCi|?d*Ltb;{`jO2?0P z{r|ekT*qS~0|SErzBn1pCs<M;c$F~2e|~<3|BMR_0@z+K*w|#U{Ek{G_mCme?BxId z{5GJKsQe%JKiC`&JzQQfb0z~ngAD^{htPip$U;>Hh7bSWG5lv>*vQb)r4L^8{qNTw z26_JV{}~tr{$a5dEEF0FTH_67juOZr0F9H-(9qDpkM;o!yBQd4G8zA6POE7DpP4iH zlNvv0De-^gI5D;X#mRqT(C&!;9-!_@z=C)082*1!TENiJ1>S?l@c-8z277ybdj<wc zr1L?LEoNW<#|dcY3{`lP2aOYBV`Jm!-}dorg$y<}HcWq)MzvS`pEfz?|NqF9DEXv) z<}~BOp_vT-|AX=hD4&4$qx?A|xZnfBe}=9uP<~-x`2XRLu{{6%^9-Ov3vq;USeT8? zs?`j<IHX6eFf>j;r5E>VgLsC!pnSsdJ#*SaIfiK`&AzxrW}(Lk!v|2Dfbt5s>=Fb8 z-v2X#??Bs%y1F3aTmL@%5tQeT=VxFLcnXrohQV>N3Y*Nx7E56e*`dI~B$n7B(xJe@ zaYz8PpHYQ{A%sDIiA8}$NC3Q_5j2znI<Sa^MSy|B0kq*!fI&crL4gI-&4dOZsDH@7 z;s`azB|yT7fdRBkLc|%W0E2R55CBiWU`UK`fx?!K3Yij?X#xoh9y%=Gojsrd5(WVV zfes1q88reO&~=QUKBEi+LqG%Q{4NHD37~z#@X*UJXanzK6mV#WVqsunsxWAif=Kcz zLugF0kfC8TPB4Q7Bm!<Hf$D4SYYhLt8Za0$Gxy9r!|;LOzX52=o3RZ8XbU#OfAB7M z1_mev(#gQU&|eSQDa<H%AJW_c6<_~X{b%@ZY%FLDs%#h-Bu<0MKB#gODlP5I=s5-` zAp+;X<Aj}^;s00r|HkLfuiFoflLMeQ0jUGg{||sz3=F7SuI|@^2FMsgk>ey36es0^ z4FCUsIK#jokUbhFkdPyRgvSXVAH#oE1O8CKyDXyr&;0-JpZWg>$T<-p`aiVQYL8;{ ze|~;Y+lt{ozcFN*<UhmzRjU~Omm3QjD|`Uu6p5V-453&Dwnp;_N>Czm;Bmscjp0A5 zJt$7p%)xQO1BwffQV{(gv^;`=fq@69UT0wVAI}fo?|WV!yp0iDcCDPr@V~rVkih^P zCxY8Y;{+6jqj)p~MnhmU1V%$(Gz3ONU^E1X2!RPisKKoS+J?rhU{nTg2q-W?H!gBC zK+dg&bUHwDy=eM4z<W+W<1G*g(AGv|3=##OSuDX0Vvb@WLjbaL5u_NjgMo#Cff2MB z5yA(NP%I+AAi@A1mSkXIU||sufNe_w$%A?;puI#6(A{1Fkev>oWv!q=GX@6nDIjH| znA8vu04I9Tf<^%b78XGU1|b0s(3S;8$mOG;?N*@W+zjAz(Kr}DCvG!vvVfP=F|aU5 zfT9Y#c1{JnO9-@GNP~fc3nDMK9j9wRv-IF~F*sF>ObJjr2bDPt433~Rs-T_L(0~Ay zOAZd81G*uCEa2TjER3KL_yz_CMG(!v$e^ge0NU&TUY`fj30fctS#1XyPv)Ef5*@|l zhd=;)qqPtNqktj<bVVI>i54W3!I=S6USV1TUQi4Y21PZ9W?+!t57C7XfryWictZeG zk%MvtXzvx64VsH$;9^K%&`?CGbHFDUfZ~FY0esW}c(pMkk3ozF?J@=FXV3t}B}gR$ z0|RFnL~@iQIs_2O7sL`^V0CZ=)zT~u3<8{jj1CTr3=W{JJq`ja42%v;42&G$jO4)J z0;U-tBsAhc#g>2o=!62$p$7~M0U#sg4-xH_QH6+=*&GU>`%D-V7_=5JaBwgvG$<&6 zcj{y?X@C~WaX_*KC?_#6h;V2KaDXzD0D}YrM*t|kK)dQV6c`j3lr%uv85$Tg8bB-# z@J3<zXq-U}&Qs9R5vQUNDgh~Fz|B|C0R@oqAAH?411qF;3~C`k_QQjM2$cL04PuaP z5D!E{whw{SKsLsK$}TsEc_2*?iBXcc5CCr>g<PZsI>@Ghfq{#Q36x_%l?XT^aA<HT zaDdnLg6_vsU;rJE16o83%SF(NMFFz^w1J}meA_t#gZOIVTrjF?Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU?_wD<h)XbgD5m33nL1j0WRMP z6X#L_51e;`?<8Shm<qk`WGZ$(+ea?&DJpCq!S|dnuo!^VF)~2ZGlK3x;Q$Q>3o<B# zF9!`_Vmko`Y~u(RE{05UFgn2K7RYo{CXA0t!=_Le9hNbqfd+ZsK<`0;-FL#Et^k_f zfZcz>=m5DFh53X!c&3pVdd~?{4@8{t0OU>+h6l?P+(1eA5CaDbzZ!!Z#9ELD5>`WE zk20wn0-$-X22j+2F`C%|97Sj%qg*0G0Fs@sDMsBZ2UY=^;Q})t1Y|M`-2@1qNkIG# zMAaxsuMhx*JP5<572y^`Vv50mBMdGy%Aj2cfC?)#(-jz47@#was4@)_Z%_qBdBlbQ zB&{<rK&~_aEeZnd9R-~S1&#nv6A6St#&v)QC{_r7F0TWtf}SY_I*t%hse?#yYpB|h zOThvc6apZ&1EduSVuG8Uj-X|6AW86f1K>43U~vWk1{MJah%(TcUx*-Rbz}f&9T9_o zqX6<UQUQ=oMh1Zc3@nV`J0KuOMlynz60<NkFo=K-j|3SabP>8v5@ablMyr|7rH2<E zl)^ziYG7i3wu`~bQb36x6z(7?2yOs}BDlo_l2BmcPyn5Gs>T3{9~LG?1||+xh6D#T z4;JvT+KfRAOfHTMD;O9!m>dNfz`YdE`L#?8EDjA$P7WRnP7D(Sm_SR!K=(T+Ffa&4 zF@aWrDKH@)!49!|<dC4H(;y7;F$V(!3#7~erAQD4txyD)F-S^4Dj7g`WpXeuaWG1- z2{=Nk21W)21`gQCrj4Ks$I+nJ2wLzh!U&2K$a$zB-H_sng@J($bUr5o3lsPxV$hLA z3=H$(`3qt{Nc|`V9l41u6hIX-0|PiVkfjwsJ0Aq#i?Tsd5}-4L85qH<xIuY<iQx%I z7?iVEAo14%%5N#)8#5W&K<C|q>JpF|`L!StD~6;NtWv{L5WKRHfdPE#AE<{8Nx0A& znE`z10_d6s@Oo^}fprWV3<{vy8+<+_2NS~r1|g3oh6Ns=(vCp@ypsW>nS-GR6kLoT z<3T|R#!cXORRU!}CMFIx&_yXtOioOYexzs?=v-WorV)=}$rKh4ETByy2o5OxU}pt` zQae}%l;R=#<X9M{Gz2&_GJqFDf(k%J24)6EMn)G<r4P~v$~T~;#GoUgL3%-aaFGb& zu&}T|7EQV`Ffhoz011Kd2rs)}b$k;O11P04K`z1qhYXm2Mj4VY2LtG4Yf!W(C~!0= zDKIo}FoCZ|;b;(GVBk{VPypo<Fh()~loynm7#cXhHw|<#H8C-Pu1;Y9ahbrypRhI5 zF0if<Ngx6MRJ?#91l)CK0NpeL3J{PyEG86~z(EWlL9x-m;K0OT)*x^Id^-c^&Kj;J z<_0E(6&ef^96-U$;IV**siC1^v4R5!Q<qYs5(D`94UoYc2@Fjs8mtRA7#6HxP+&-M zVd7u_T~h--D+**d*c~91NElS~A#sN-6H-b+$_dc^L-++_py+5~VuJEnpbQobP{4FB zxG->Vurx5TFgEZy2r_}H76#Cn%`7bj3=9Gj7<d|3K#S&?1RFv)f*2$k7J+N3DG<F3 zEKUq;lNuNp8aNmmTv!-58W}o3XK{nVSb7^XLmLB=0(4dYBm~1C%`o=xra?iE>O%zw z1_35eFAgCr!UVcdF#s*?f<l!6)UIM+WD#I#Vq#?A0-fi`gfJ3x`z7cMXhzUc&)^C~ zhK+$ifXPWj&4JN@L5ZP3LFfoW1VgKU00WaAXg8jmIPT-m5#}OXHWFAI3ZM(dAay#Z zUk&p!==gpZ8$?4cX9Tf8%{0*UexL$}MPZ5phXBU`MurIjpbNDG8XANQ96&Y90uc)a z4$#Ga0SpW*39U>F3<4$!0+UkMdKerS7c#IYFnAmQZAuk853w6+@+bxCu7R$PLk$g3 z*#^R>q6`8I4h#XHTm>4|1t((>1}6{DkO|}xUls;I8PHWh3_%Pm3<3fS!W;rj91;wm z=we`DVPcbDQD_hVHPpcSfkZ&@B@H@B64K^Ivuvbt!3|yTq#(8s0A&R<aZqc3L4ac+ zxQQT;q{-mXz{0@P0NVc!8Xe&PcMF>s6cijl*EzA6FbOPBn4rVL!Xi5ZbT_6#gMf+& z!;;1W4F?n$m>3xtq*y@~aDrxnL5vZII~W+47BDb$bTBY9L1?B)5E{(yf{Cwy%Y((i z>KhmsI-#W-S`LD3`vnPeG%yG#aDZwGh8YbE6Bs}>KWI2PfPslgfWZ;eI%r(LB%svA z!oc7Fx@hw&=+@v77!^3Z49W<s44_M5QLA%s_F;hD4~wK5R9rDOfO_6w^$rY>F-if@ zpfDo?gD_~4gpt7us)GqqDKT+D2b&?c{eliyQQ%-uSOU_;z*h`aJW4@BK%s#_!I8m1 z5Y*v-3V|um&@B_F-T?DJ1f-5v1Vsu%BZEVd5_puXk!6BW0|Qf_Kntjm)gZ(nGDSf` zAWM*eQ37;=Dzk!s3X=#6LxUOvCxe`r5(7&T!wLhJ8AcPF8YVC>a4It}@O=U8*#_k> zkS!w-W0YMST$7eC2z2x?a7<gkzyL41UNCSSTEO5i2UeDWXwZgGa4o{9z{UWoo*5V! zM2r|37{s6<D!{@Z(4fVo;LrlPt$~L_LQkMeK~jc+!A&MWDu69P!9%lqLZ8qXLx%&Z z3>pq)I-s+O**1V97fOuKIDyt9ka}W82iK;j3IQ|caB-o;$qz2BLyI^9?t$V0Qr|Q* zH8L=CE^KIEU~F8-?pRjDqTs}sFx70szLR2n7YkcNSQrIbgeA7@)2j@4C&*xE(_=AX zo%AY$y>%iidH?>&)vMLlWohpJw{G9x(i^|`9@_QcpH1X`1_s_|3>;koY*^z2oGFKW zG@<7caGWp%+?~S!iW5e7KKaAMb!Ztwz&zwQVPt6FT-b1cVS(d9HJ7p?hC@t@4D+|m z+0-zvEl{9kfe6FK79q(g`*vAfco)g|agL0IrJnF^8(aApv#`14QIURiX4Tf`Ki&KF z@9)n~-|OC7DSK!6nt?&|5hDYW0BCAu*atoi53X8eeCEuVRjXF5Izuwez_1E@qCYbW z0|P%l1H;EJpgS507#JA-9|j-A4HEqix@`a?#Q%Z8nBhP3XHc~g8v6e~t0)7*e-?&! z;h{$uKqmeFf94#hg~2%D=i{jnC!n40{QUp_fBM0|z{>(UxB35nNSuJ;0zFO`4H+0% znIR`av$A|R7aj^4r(d<||Npb+KYU<dVBd!$`HUE0YQzZ-xEajA0E!bxz=84!D0~?h z82>T<v9V!bWd6hd;r|)Nf2{w{{|^oQ`dNVC|Nq~=85kJeg~$K@zkdCyUtA35!EwU3 z5Msv2C8-f7tk8}lsO;hf#}6o<fZ~Lo9~vhNkT_xZ&+zNqKhPaR3Jkwq{Q_kvaGdn7 z|No1N|NQxe1q=*)prUN#MhL>^(8eoB05tjpqQMv@&H^15MB;;bEDU0>`%4%==i4wa zuy`s6S~745_%SFb3NUa8uy`sMN_y}Jc!BsHECPNE0s<0@0t_q+0nocl0>JjMID+p@ zVE~=AvYLTG1Typwb^<a1wi8)kSh7<X9*B6bFsQIFOb~Hk0NoxlK?X{L#Zwq2;G$(1 z7#P$992h+GS(um-Ay>?bR4{Z{Xs|H2!T6v%OJrCW!16LI3}T544H6P83?d8$4H66t zoD3NTZBiO63@)JiPc&ITal!;TRtK~w23vTKoEa1(-`D>?bEdvN^nZPQJ>;$cs9Xm_ z`G1C0<tSocDbQU`pql^~{u_Px&%nTM%pc0n&tS~Y&;P;r48wn8umA(UjSc??V+Lb> z9tQpo?*jtj&-@p-@8HA0!0@MkJp;o527dkn{~J~r^D{8;SFBpa0KH%UY{Q5r{)6M> zKSrED?j*q%Ctr}`<P1`rd<f<L508@r|M@@sV`bn6#Yu5JC{8+7F)#!K@be!yz_7}= zoPmMm6ay2(=-o3&DG?MW|Dga$7}Ti!4~Z94QP6!sAV~%WeIHQ!m;Vg^st+IjpZV|s zlu!OM7=JMS5c=W&2WUR|5c;40!(Xls|6Le_?-$pHGpzd4vFd;5hY#iDCr&V|G7e>6 zkYF5*6VxyUm0i#%K`W;~jU*68RgZ|1|HyG-j1(vQp&u9!al*j=;r;?}*>%UkN0?#N zmyT8ULqB{_S32>(16+1V@r;&TXkiTHLRTnU1k;Rc1`wKo0ZcP6Oob|A;Bo*}yDT%A zz%*!IBZ$v%lgkNAPh@fgs}uz9Uu0mI2&NfX6u|o$8QBcD9C;WR8AXI4;-IyWjJv=( zMhT1%fQ<GrIzaX}GIc;`&{88*rRv~)h)h2jL9_S_j1G)oK7)h0LIMW^1Jg=I@cu@I z1jznHrj?NWi%dPs!Bd$`9m_RbIv5%nPC>*$OUt;y_cx;2GRh+*1i)QH5;Q>8hT+q| z4nDjapNdgA93cShyW^0>DU3Z1p|hA^UF_;$YLp;71Ym&+Ddb4d4;u<V)&g4e0^<vS z28UssQJS0(fF*HQ9KmRE%mV8XzXqm830xrnZaje`;S3N5J7&><i1UD}Z_ow?&|F~y z1A_t=cv}|(=+Ik;642@`PzD1>AUI!u39ux0H+U&Gm_14$LV$$<v`)?iH1Z_CD99iH zsytjk1Zd#{NEsuH!OQ^KW5)<udJ2g)4h9a;1}(5+(5h9?$?0H@2m@&A5crU1&<d^q zM+O%L78VxJ5*bhv7-T9KbG!vF?Lscjz~Z9>Gz1tyshOdHp%Jt^&I7vt6dZ&N3<3@= zpn{7Dlp7pCBeo7K;Kip54IK*`K;bIHz#!1T0vb+KaA0I{0A&*ah5(R^q5=y@7Xw2> z0~><_!$O7zNeKo4$PO+kQ%3MMZE!?FoiR#*LjaOa6(FGu7J&v5Xo(giOMpa~I5;>J zBsiHEB*5DsxWFU>gMuOh2ZJN{SQ`e076$O8Obn3CTOeJ~)u#*`N+3fWB)~HP3Xo_L z*Z@^AO5qBD382D>BVZP|&|yjdDPsbyDu(U91}!FP0A~mWh6WY}2Mq=W2T<9>0E!Dx zh(qcU=vF+Cc2LI<5~-k#NGt+u28@tX?i<0Gk{`Oa0<3hDKn(#<2rU4oU{IwCDx4HR zYlEAb7#P48n?Y1_F@P_TX98VH?!eH*zy{jxz`z8GDuxr_6Qn?UgSeoXpn-v@p#wA; zz{CN%><P4%8@x+W3$!Jei2-ywgzzVjJOo3m7$s3c05tmqs>DIJH83)Q>TF2i!~(8U zKuSTW8kA2&7#LU}x07>#?kWQnec)CV0|Rs;3#?z#0EuRh0v7PBF^hm8D~Kn+2#Od6 zQANzNSU?tyVsHpBfzmtpa;zo>hAsw<1x-o}OpTy%NG663&`MxXo0f@zL5zWc3A6+o zbeS1=KO`uNF)_3pU{GiPt@Z|869~Gu0c<FEQ=S6H3Jwm2CZ>)KP${OspaE(wcYw4p zaGquYwFVSmF$&c*N`XqJhK>ac3m6t~DlsT9D0Fo|;s-Ra2ubJ+3=E(JdrS>%O`uH- z3=9ea91Pu{V+S0%K-)_j7z{X=8W@}ynjDxMnm||3fC@2&MGRe_pl4zL?Pg_QU}&1u zz|f@71lkP*i3L&6Wptx4fDr;5u;N1i(iY-k5CN4gV0A1F3=AO5z;J*Ow2qslQGkK9 znSp^p5ERx<8Vqa#tV~Uf3@j{;ER3MjS~wb51$Y=3xHv=@B_ItjhD98p4p0XJhkzmr zgH#d=gM$eu(!iZf>1N2uIv~@)7LF3|5P+8d0tyT)Y)S$QEFB6$;K>kBp9IwKVoDKU z05zOIoeYKsp=AOqlniG4nRLKFfi;Msp)rAhkwJiC0VGaY8W>#?CNVNJXfrUgfcMZd z!z(0EGpvC@Lx>5q@qvSZLFy;m38M_u5KsV3QgJXiImfVYFgTo0Xkg&sVE_drbQFXG zy4(lcz+zzJVPF8wwJ<TVI0PktM^P#mIv5o=7(fTwFfcGVf%2I<sPX{afyThVkl?`3 z;J~5*-ek|fz`(|$z~CYP8a?3CM|H?34;BJUplzWHj6xg&3<3fz3_>gl0t`YND&R^5 z6ub(Kpp8Qc3z)zguoxJGS_Cu}aR@N5D6n`WGI%sFs5tlt_kfZ<r1Q@LTI|Ij=mA;H z1v)~6LlD#-1=k@CoD2*?91M&df*cGC?BKJDVD1>DkwO5P9Uw>6>M(E$f(0PGK?MfT z9yo;#fd+8G2hUR}@G!Elf*Qq~EDRh%1`Ldhpw1#V${ZLRnFItFdKery7#JNGI21tV z7cmGhh_DJM2tcY0?gcCg1}cIIf(#5?bCFyz%0vo*E*1u+1p%P4o{518ylKm=i9vu3 z)YL*9T!HEVZJGnsGA;}a3>+E^EFz$=ZfFA^#0F6!0516$IJiIqWG)S$>wOtOE4#o0 z1PqM~9H5Gdk%57)5~6;T#1;Y^ObVbIM!7&`6}X7v05^<4=^w5f6!f4zH<)f<hICpQ znK(c@;TaelK&Kag$0I@V&_)*n185*p1hhj=0zCKvKKY=5fro+7Lx6#S8?-qRZvH3( zDFj$lK<Az*1cZT_tt?J#A}cfu*@U>DCvq{ca4?x5R5vgLh)75|3N<mYxhS+ua9~mp zWe{Lw6!c^TZOaDbgu@Ie4Gh8zObX2`3=A3p0t^l*Obsk73XBIDK&MSLgl%AQ31LYI zVPKFLy;~L$uqdo91_!1E3`~uz3PL&-Oah!5kHXsc7+eK97#z411Q<9Bnn35?O%Q8r zXlQ6`U~KF^1)2=;HQ|`xz{NR9w}&IKiJ^gmWfiDd*1*u#puobw#Oc7%!o<N97Qn>B z*uf#Cp%JA7sihd0m=`k0SaD@DX)!Rcg`+rRlmiceu8<V26#-fc!^^C6Y=Z1w>wWvT z&Ymycs?#BXOGAKxqok{=gpK0@G)@{D|KFQ&@BOv+cJW^x-J5xR{U6!ayBJr`JiET7 zp{b#Pp}q03O3Jm)V6A?oe!j2(t)S5Em^!T$ae9Ui4>UA1G_<vU-(^=9m!DV9z#z5- z?ubzaN(gkTq;M@@;#yc+9;avL7ZMGQ6R~osP6tRnG3nwm2~n632FWLljrafA*oE5N z|F-T*&AR`;^w-TTywuwoo4>x5sj0Dvp{@CKNXaVA>pjbqy1{WG*pUH>6EjQ22MrAj zjZMw}x60MU)tA*VFo>j}IAoLq4}s87V`F1uetrfn!3MQQJP!Z=8?E}!z`&H2cIM3g z|DmC9g-`~gD~ssAumAoDTwwTMz{+6C63X!Z_ea)H=-O2Veg*?peq%u{1_nX!n!5OU z`}%X|89spJL3<wK%j;LI;`z_OAodw*<S2y}0`NEi9gEYj;m7~~#;g9jFff4Q<V+aG z`Q=>i_}2aV`bkFka&WN0SAJ7=cZUBz{_^O7!<>PEf&ah3SAJu`cvzh9+w;etKY!*A zSRS-rA~ZC9)hh5%!6G!LjB=qNkd|g_W^Bf9qX8QJJ@BLA|9@lfo)BDd!lA{~_3Qih z@ACJ9gYCcmH)UGI@c-{$u2tYLXJBA34z>Rp`hPblPC%_-1_on>(DUc-{sqhbXZU~S zE(8Di^|7%G4E&>QVQ3tnQ)y|?vWtNs<5iM_&;Q?`IAOwBcCnVThyVKirCH_<|9^Yd z52k#24F7-sW_AaMIV4V4Lm76%%dXIJ<MQ+8L%)LM|1<nQf8W@C{rXtYT7G_Xw~X@P zApq(svM>ZNNPvnj(EdYk2M^L4XJLTMDKfY)2rwzIFo-cIfKGK5U{O#|WMJR`9X70J z0NTH(z`y~ze1j#CL4bpUg~7>@0lc3POfxVjvLv>M?BH_YItCKwU;*u&1MSs@yJ3`p z6at|Ah@gFkFq(m(0o>^Z@!`|C3=9kv3=L8`;IM1}9YgN|>d0%bFfe2=bQpld6I(hA zK>HO16c{*kSU|%xpnZ%COrU*^j0_ASg-1FnWNO%^f%vT88B4LHNX{5#VhMr&4Epx} z|Nr0r|35SHs#WFi_0^`P%%7P*n}E&`0_)<fV)!NS{;b7kp2LUvKb$fCZ~VVCl)?Bv z14DT^SiuKK8!I%-%<MlyXc(wo0u^bnvTObR-TVLl=lajU!2SoUew4r&0-ypOv~SCp zft_j9D$oi?h*DG2uV0xzn}3J!zz3yqDc$`af7s@{fers>p8w_l`yp`xI`4ylfdLdJ z3=9n5IAMmxiIOoaPFC$+wVo&T+<yiJ#?kd%ut3E@8#5@_hk_ynl21UV2|`qUVE)X? z$^t)MfRT|QK=9rFUw{69_SgOY|Nq_pFOWEK0Ug}|iIe{f|3Pt5&k!04%_pE8!=RS% ze|~#od!AS>(3o>O#DY;0R|tSY7!)VQ44|?Lkx%&lv$B5u%6=TCkNYV@Jk#Gd&gaj6 z;5R;V`0)QT|Cd1G1WP`_5+@AC4E#K?=gu)ONZ7&59Hmi1fJuP|w6IqIynm4q)VKnT z?lK56X*r;XGqCx9_@MPgU<07)8910g3scz?!21*#SRR5`-!Z`MV`SM17H4Fc4%*Mi zz$h}E%K>bkAcI2S9PmULw2=okbd&&xK#PM5Xu`dr1w2{B)C1Yi$aK2J0X*Lb5(lYk zU~vEsPl4}!00}_UF)*kxWPpwx=78)|WIn?P*2d@n<1Ystr`phX0<xd6@x*cka1nQi zfrC>YdJiLL$^~TPC?*sF;0bgjMQ}bi(2*p_WD3ZS&NGr3bX3*`FR7RWBz>uD_Na02 z5C9DWz&XS-9Qdk;S3If`90K497|enY=zNGMsU*Ub2Eh_iHIJ$Xg#aQ5K|I(FAJDD` zl!_Um3|`|v)q)m<!^B|y9S+bs3uui5-s6H$JIX=|0nk-TpmH9BSp-2>{edJ|96(zh zKw==C19<y`BLjmgqXJ})qYI-8c$J+)1B4G+U<AS7HZw>Q1L!<&$kJCv1{QD`2RZ=+ zBEle~2URmlp@#tY%0!kK3=RSeEQ>%5VsI*kW&=hAP)G(ifVXCW?p<<(tP2Ji;=mvw zAi%%~UautxS|Ap{z`*2ywz!c=0Hlk-fyJ@Gp<w|iUK~Kt0$LR#FT()ZGXXMc6r+X! zDAlqsC~ydXmveD2fR?F%Fi0G}*#SI?kE#!RX9h$F6pOG(gouE06(}|ZIG9)%KxaaM zhWMETgg}=9K@4VK;1z`xY%o2eG&BUjb%6l`Xq8<9__h*|I4C?pG_2@iVBi8ROa<*z z0Bt3iz|a8N{=)#e3lO}Y3%c?Yqy>%}z{~eQtHBur*gz4%0?I|un>sld7^IiLm5wqX zAplC)AUuJg0TeAlpipCA5Mp3r>SAD61X@_y0p7p}Q4LGdAf=!c-V99)912Vvji8*w z0lt|TwC4(>wn2e|p@E@;0knM)WImGuOH&i5Xk!5F6$V8;Qv+yo5rf1ekn&NCBLon; z7NJcmSUzDzXy8FGSp-4N0?>XskUA~~0nm}#;F=wD*9<}(_--h0T!RTl*vKYG7Xt(5 zHc*5jRFAU2AplOtpxwP19H84{Iu<E3G=NtALMn0&1}5-GBWOwyT-h)z0;P2((A_o- z4GIk)%)rFK&;hPbK)Hi~p`i(MF(U|rvjzjGeqsP!6377BLJZoa0<QBx1s*SG{sffo zz)l$@kV2q?p{ap^VG(rmoPbgTxa{I!VrXc9UDpU2WMpCj@73A>+N%hPHYU(*nUGOp zXt@R&AO=MpD26~?6Y#~fOrYC|8kiWk8W<Fs7BDF+G+}Uo8Y>SvO%=%@qfAILhRwJO zFsPY;PF88)1K%{u!qCFN14_#bY@iSZuf7J=?V#ccRN#Q>jt&+nB?d)M7m$I0hp7W} zRxvzOnHU-zz?FMIqoM#4D}x}Ds8AyVk5E7m3quD3M*|a62Ll7g4nzwAu5*+D$^ejP zVRT?JkYg}NQf5`)U~o!sbW!4HVFT?_0Cgdl7+5$sz;~OnC^;Me-NFbt=Eeweb-56z z<qInAK=lM8hyiIjgEN*As48J<f>b39EG-O73<3-spi7_xe}Zl<2W?UrjR@2bVB}x{ zH?L$E8NmI+An<lK21X851`YuZK~QGla8Y1#U~vEiE(3!Crv!tCBB+WH0OuIUO`0Gx z!Bqoj2N#F~F1#QNP{=c|Er8@GP-aY-0P5c}@XTQV^<Ef2ySgDNM@dKsD0nz9Ft9Q* zFw8i@z}5olaez|03kw5-AVY^l1E@_5iU0-%9R&skXuJq8F!-@HfbNt9mp%fZfM#F- zuMdM53MRpFPT);-U_LlgG8sX7Ees3{LcgGmJece#4GjTs>IS!CIUOXqco-PKojMJL z21Panc1DIqh7?8y79|A*Mh6E5E=C3x4TT041x-x`1_cQQ9!1b#38-F&8iq_cFmNz9 zfCp|sMH`sm0J@jajhO+w&5?o498_0<B$2g^vO$O5Kx%u%21o+#U<$Y;BEqmh6dYI_ zpk0fgU}9xpP~hMYVPNE9VJKh#%?q#S0=2h98alWd4k$ES0OtabN+<^B5=6lU)&i0O zHIp4ceNzT@eo)~DDG@<3qZk$f4d6sOL4XNVWHB|!fD*9=vl1x0Ax8``GpI0t&r5TF z6g5mNObi?j0tz4%ptcnY=-y1oa&4HIplTk}^#NBQjG#CNUH=Qpj7^}3Z(sqRQOx%W zp?;Kw90E+73=AS1LQGL6I`3FZIvAJ)I0BLwdL&E~860Amf|(g6v#>CThA?ooIDyJF zCLvC*3>!wX6oxPb4iQk%#loV*zypsHc*mcS0X%@h02=XUU}|OoZD(X`Y+!I@U>0EE z01XZcfwsATQUbDbM%fGu45lp&3QSB4jEszv1hlx^82>UjtP}7IeCj2&-j0cdfu)&+ zLxVwqNru5gfq}h=fidTpfPjOELnBkiIVPnbB^`zit`G(V&_Zu6@VphQE!+aS-MN9W zQL&)~wAGOb)RSpoU~d;->}Z(4Akf6XAmk^)1?t#0fUY+kjSHj@Fz;<yAjUOGIKK4X zKl}Q3vi~K0{?*m~|6galzAm0EI=p#4pAMI4oGjm)Zyfw>9NKmF<Em<E%f4#e`^RM# zZe-KY!}slg&Xo%c4B$9nZ3Gou3``8I4NWXe4UCP+jV(<KP0j2N7c?|9Hnz8a?e3m% zMI+!c1B3V^5iTwfHipqSL5dDAb9--tk{Fi|bM^Lp|Mct5DgQUB`d8$A_iw%Z`nov1 zVD{$eJUSt!VKH2Hk{H;h=`h!wkE*CKFMG@M?;V$2oRtnk4__IB&J_j*1}-%LNUIuL z)3i1;wJ<d_HYT>VG%z$Zvpodu8*XfG4`uBBGL7THaRvtVd=V}#0Vak9cCbT638WB! zwuTuP7?eSxOcy?^VqkFS0FAaYoH@h55D?G6ARqu*N*wy%hbJ@?v?iX3^}znmpa1^{ zEzLFn?K@#$0ILNVfPT*mXw@tD<`nQUaj*(7!Ey;qjS^S@q=lrwz#_^Z3~F03d|1Ws z8MObC5fsk<|HI<s>j#kL_;{}W3JgrF4Cg<7{=eOriGhLKI1w!enKz1YhkycunmNN{ z&>6uD9~c;6al&A1{69XPf#J@b|NsAk<HRTaKO|26gYpS94}gsY<tYdQOu`AM8qlhG z(CT`)xZvoXAb40{XMi>zD1&DlFMMEN04-jD+$Rf<lkbqQ4Glf_pAno-AaMdJkRT@F zjFb0Zd5k#WI1CmaB``w3GeBeqXz<B}L1YFa0|V&(L<!h_M9{uN#QsC@iCm!3deGUb z3?Oj^1_q}<r2UH^K6oq{yogA`Nr8ny2z0L_mkY-sr2UK>AafZQnDQJMU}j=CYeWlF zFmzOa#zhJl8X~~^4jCFG*cljvK>H3^7#PH0Gy?-0xG@0P=g7&xV9)?Q-5#`m5p@2! z07C<4k1FUiRd7ELwEqz-?$8v)!okD@+Rv!N!!`{h4%+|7z`&#m9$6mIp^wk=4GawY ztepS<A7J?KVbyw28*2d*0|O`1K3mWk6T!i(tf8Th_SFCXpnC#qLqlh#r7<w%$A^X4 z*ccmwWI^W;fNsr(*~1U6e_(s&V6?ov;737lfhT-N{=YqVFFs7iC=Cq((5V~@3=GW7 z|BXSR{rT&v^$ei`q6@Sd6jm|(4-I7~FAom>`t|>R&^}VoErI3#c^GQ1g5nJ`PM~Ij zDeQ6b9~38o#-<Dm5}Jbl>qpyO;IKj^Rzc(B^XI#vkU06jTUuI5o=KxN6c#7(@!%K$ z-){-p!N|by7aAw=;Cy0cmX^kF29!^b&E^N^23Y=p(f<VnKYsiOQYZgKP%s|jJQtA6 zD2DDs<j-3LYFT~wziKxq239b|#yS-2w*Zx0@$vlppwbBxR`vh?zhhwe3oW}Kae}?< zV#XRLf`b45e+0{L+?JOgJxT%;rg$-I(gTTxtR`Y;gzj%-1oJ@yjgbA0jiA9r&}2K4 z8hHOB<UU83eo!k7w44QWPb26aM@EK;ko}LK8&Kq-cQ}Fu{PDVK#7eS&yYNgs5SpO@ zMnih}3<}WwjSpHt^M?!!4N$%Ul+OU+!}K%tzyeZ@0kow7bWbCgUJ2tfFz_FP?0JN# z9Hl7_0m#fPA#*?jlE@gO9>iy0ke>o#k7C>*fK=SzRz$H3vMv1Jz9+>-jv9az0^q_9 ziI1HLnr}zOAXOm#Xg&dj#3&wWApl;qNQ|Q)l>vAG5eM{6Gh(!1s$s9i6dM%*hXAw) zVgj#C1g)MEWPt8HgcLDQdC;&TBLjmg0|O&y^BYJjv~mFLg9CA4ZCa=_LLZ35$N)Y* z0=xo`kpX;?I!g!S*fy}<Q34|bz{7?xQE*Ox1~^O%OoP{DgBEKyI6&@c1l_;|&M{6f z<)H8ft3@VYrh^m-fG!vSON#V^sZj!72!MhNvU3--PY$#k3sp5F-!KSpf!E%Hm+OHQ zfa4I%!b-qm1e6Cs%a37-*k_LB6IfW_ph0IlGAO|cAZS++)DcHj54yz<v^IDGXb~e+ z3Kr@FA_ux#0;-9D9XciqjcS<iC=Ce#L<}&2S3p8UVI*i95NJmtc$0$wXp1l>0|V&# zASOQWML|qVp!fvOt{@D8Y6k6W1TTjKFEL_Z=>ZjW5LLXybvmI2jYP_U5t7=$B%~C> z3<eek2Jl1{3-m5V7D%@btOrvy0TD6Kk;Vknj8JJ%qHO>t*#-s%B?cxYCeTGppn@Nq z^3g*@0kjCap`l|z7Xw2R7wGC5kOr_S$P!{O6N%t}Ry-hkK)X^nz?1%<^<aibsz#aE zLIAuQlz~A3G-L}pVF0G40lX;`RCaYSfG^ZkYEl5L9EQp>F@QFY!h1Ij3}EF@Nf6Z_ z0A8C4DZAQ0Igkm$mb?y<9>sV=02E%J<trdXpi~XwBh};#EKT6uK?1A-oZzN_6y)|s z(D5EZP<0?}Anl+G0j3cG91NfhTRos03C$__psRx+4Lz{hQ35RlKsS;KFbII|G&6v< zQBfL1OfCZ88~m79n5GD@F$hU8FewN)F)(>3urz@5LHn8Do*|mi5H7gq0ymP8fq`!` zM1o;-4L39(Fey;useuhrt~7uT<3Lu!!QjBa#K0lU!NI`cqTmGDM-srm!QsH<z>vZq z0N#-WQjW7Z4ARd5K6wSC41^`4K;$UK9RdoBpj#9f6a*Z>X&F?+fD}W*7(@mLfSOnE zdS5^wfMKcxgM<SE3xf#55s(~6Jq&|%fZ5Q(i>m>=?~6eK%4c9;V86n_2saL_c9cL3 z0S*^%9l^lC0_s74nq5fppcqo*;80LuV9)?{8rc+@96)so==2nZ1{Mw$5C<H-AeBfO zK}>L=1!90OIKm;O3p|EYSRmn1j4K2n0ft)Zb}%r2>V1wW99>)rpe?2h9N=yx3m53% zf&>nRE+Hl-CM6EdjxH??1_n(?*@t8Us7cn)z`(d*0~bR}10w_IG+fZ0VFvLsM%bO% zNXkc<Xd%D>TJ699Izt6T0+a(l8GwVqkimfoe6=#@>O~e7Mg{>!5d{W@6c&~c28NIT zjX)HAAPz_$Xy^>Q`CdSgL4lDGbdMtw0|VPl1_p)}keX49Jp>@_E(QjL1}^yKMDU5+ zP~{>_AqpZ4f($B5A|VWFP5}=Lgg_a}fzcsAfr(*)M<@eJhz3IdY`qpzu>`6?7+Dw% zK&KS3>VWQ|Wnf@rVqoAq$HLG6iW4NYP|HRsa0rMnF@WNNQG^RrH-Lg0JdO+MsB<w0 zGH`VLStZ95!J*?N!=)9%DZnJ*wLr+Mf<w(=l@gPU0E38D&nztl1_mwkvMT{nc`#-; z7<6$6C@>{}M!&$Zz-P+@I_wi{`zV1e1WcH?OvE@E#6frev2h59fOc4e@(HLu0o`o9 z@83T=y9i60Iyt$z5dEOwA61*o%<3)j9RJlR)z!?2sqdLrr=by84~-LWsDtcDXlP<! zXlP{3{P3ZRW6Dy!gvQ1OhQ>x_1_nM?Hm0UF(6U&Nx>1Z70w!HdA_5Eoj9a?6Oc)p% zn33ZIluzdU`)4N>A*-J!C-*N*KPc!=)vlnr8jrHmuZrH;34N*o#YqK20A`$k$66R! zGYbm3I7C(T6F~I|BO@DVeHS}J8z@fT@rUWEQ4wq*Fmonoug}z}4fT^JH!w^MWl#X! zfd<-eWo*pQcHfVm-`Lprzp?SusUK!4DS_77V>1P`_8WBHBWU$2OkSXdf#DnI>?4@K zC{1JtAjb&<LuhD&0w|6c7`}q`l(yY<0mTUe5pnYUKPXQ2gI5YetQJ2w8YhsDAeDs0 z2`Jn_al*jh172SbjuVEvE({$X0=U3&@}G!&f*dD&?4xl)YHUE%W5$Uvc+U1eKd20g zbzxxm@WJ}OvH8@ggyUq@f0VN8_h_6z!WcIR*<0uc*<<L$0HHz3!DCsl{fi6%0u~@a z2w#CAkpaY41a&q+d*|HHXYidEF0cr&Feo`O7>Gb=FrR@z+y}`HkddPpGXy~0b<m&} zhz5-zf@p?Bh&lm5$P9@9gFy#4P8bY8<6;~Pg)JQhpoSA80~;vrKp3I}N`m$*g7-Bt zG)O>b(2yFa(;}zKz|bZIRW?dt4S^%ZpzfS?^>%a695AR%LRO}sumW_=qVfHBNHy)^ zA}F{jfPo>Dp{i;D13yD(D5xw0-J=a@1HkqEX8;{G0osX;iW%hgF))aN@6Lv+9c7@0 z0JxsmTwVPiTbyu!di3BU3_ux%1$09ue^&qlLmGpz@nU9j;zV9yG)~Z?0FB@9qZ!nT z@bCeRL4l5aLavWNYC*%pN{s*G|AW(Zi3<aRRsbV7pD-}^yG#u|Yz*$dfZE6)<uHsh zpNKm%FffA6f+-!P(L><JZ;*(`8PMIAt3Zd=Knf|4AQ*$H7bT|u@eP8Y$=dRA27XZ4 z1<EJP%=`?XIDxd4i7vaA4wpD#1MLrFU|@jJ;NYSI;Q^}kscH{9Xv~wUCJvNgj0&KA zfeZ{VnqKZufeaT@(K>ceVMs;uMvWQ`fzc2c4S~@R7!85Z5TH&7Kvq8>%tGfwXB-g< zKrE!z8y{qh1|&L)sTl&G<wqb)z)aXM7&0xnhJdC~X(B=vGmwxpp)9RKQVCN6qv28< zesJa}gPI`#DT^?REh6j?2q8kzsFKkT7#<-2T2cq{31~PBnFg`ZF-#3uobL#j8YOUt z07`h_R)&y))z`3-Qjz!wMWBIw$Q>PE^I?S?h-ULbRyN9}RtSKv9)}p#0ii&%k5Cq9 zJ{ZP?(V!_wC|hzelrc(CHw2Kvm{fz=7m%uBR6S8404k9{m?*`_d5D2Q5<IU-oW@aA zqaiRF0;3@?8UmvsFaknAi9rbhAZKt4T^}>g9=dKvb-5A)Xq^wF3Ch9%KVuG65zTlI zd#Pi*_!sJ!GZ;((#mQiB2c>Qhz!)i})Wm_(KU`vjfngZM38XSnQc@bNPX;PqpxZlI zpP+}xD4!Z3;NZ}}z@#AAO%1a~&7eF4K!+4?a4>*QnxbYSj&g@lV8ZMt3=9qN7r!$w zWV<mifbKpfWSA=}16u+35CR4UB9^gpFcefURD#a&0vqhXFo9tLLxaEqRt5&{39tz) zuoU?Oc&df-9r*?fE}fHH85o2mzwc>asJ>5JoG@`PFwcM=JcrFUC<D6+3^OEJ=Q2!U zm^5jEzyyJ93|<VA7z7wjBux-tQ=mee9AIEzQ3Sa{^!Bi641>HgC@?H0d7!R=@u6IT zl>9=1N=6ls7y_`xL$ECiFg}sSaQN>gQst;Jx`hBaM@Wzu@1PSBN%9Y^%_qq*1QkOT zauHNUf`Yj1Bq$zLIvN5)A_S=4nP3N<aWEwOKKvYstNknixx;+;d3Xr>h@3heSN!4% zhQX#DmpiE@j^5=%<D+pgR^Z7EpJ@lG1?i|kBQ69878{I}kfXUrT*!=icr*kMAppHl z19x7K_=HeA${HLYz<CaLd<_m44nbF-4+Nw0(Y%B{I>Xk9reKsi8UiCC1kigV_&v=r zdM6G302-AYCLute0)hAvJogS^qLT9dsQghLO+x@wo#TuPn9TyJFxDtdr4RsZ-31wi zPJ_gV!V;xKDH~Npc?iJbh@eTMaY8VN$WVZ_oket<@Si8c%26$pga9mVU^IqV(0jQs z#770l4gt`mzaY)9Fh}NtCW?{7LCP>Oy8))ys0gJYfVb=-7$@@ml-fV4e>4O}Ltr!n zNDcw?f(xCGZXkv{+az=)qx^vk0Z?Tl**lOf7`}Fc22qfGM~IKCR$LRAH_E0?2!MyQ zkd{58^TD<t$%FZDLSh@7Im)0;2!Q$^5R)J^1tC5}EfOgZgv1$TQYi#LwF1N_$ig8) ze27{Y$v7G(u%H;FM?+vV1V%$(Gz3ONU@(P%3j?bI11o69Afp3=3j+gIK6q6fM4rKs zg#n}<)HViT2EiwT$veZsElLbb4Gc`6P9oC+(3xUb`Jnqs86ffyCKJN~1_p&DPzcCF z+Rz~8C>{-g(GVC7fzc2c4S~@R7!85Z5TIcQ2p~o+X=n=pqd`{}BP)UNVKlPb2w+S0 z;r1lB1A|){LxxoK7zPj!ptbn~tRIygK_LJc&qsKeJ&^%^#st07A-Y=-t{T}aDcE6b zu*;uDc5n=c@6q#uY#w+tY*4smKpZiEmf~t&F{a~o6sctksp@gtj9rG-=3}>&Tv5;< zBt$QIK7fegCgs25mK&8B4S~@RfP?@qp8g3$1?@;u^EB;TLaE7Ur7Da|)K*hWyV<KT z#YROa3;|f2K#f7;LPfFOA`g~hcLY<T1Vtf$E!3Hyx5tuhu+(bO^-xR4@F}~f6(XbN zjE2C73jxG}R1&=|ew9QGqsq}kfb6hGHweZT7lpA#X)1*Pcw+-4W{FRsME9s(vO<7B z<3`koEK{kWiQ@D`4M&WcF&Y943=9P8ABnA_;Y8&SAQ<NGNkkkL%MV=Hg~LTdP8e4l z;1Vb3LEcb;N@%5kY&X-&L1dbX?hhCrMxz_6Kq#MZT|(DFH$E;m)6D@?vIyNDFg}dN zv<BPo7?LU>JoAi5%4osF?PgloHfrW*2#kinXb6mkz-R~zfe=8fQh_)YoevR3koe>! zJQ3<fSyT-H0;5{E?UEu}CvG!|lo>diiF6>5%Fvh2A^6z$iX!B3SRhn@LxLW{*xgJI zo2hIEdb1wE$KDk|$YXN|lPoq-`iWt8GyUuzwP`d2MnhmU1V%$(Gz11o2)H<a&Q?J< z2FiC}U|?imbU}#2SWtNw7n7DU!4#v92u?TC$9n47qSOEyd`ENw5qu^FCM?&)BII$n zfx{Gs1U-bYyO|y~kJ>RB0;3@?8UmvsFd71*U^E0qLtq4j0Ir3g5^qLOAdGr-Gz3ON zV9134u6YWH_e0JrgVw?LXR3&IHoGtJYDZO$hQMeDjE2Bq2?5#W0dxtOXI~7Ui}6}L zTA$zzo>9rs5Eu=CVIBgb^~o@g;8E|5hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX< zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3E zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwW zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDQd@U|?XJ+Oe%jfq{Vmgbf%P7#SECxRBV)AoeJRgwJ3I2WT9zg4|-jpuotykAcB} x;Q%8811qBe!vZMH!1#D=-BOSm5QggE1+ho*;EBu8xEPI#(KIlc28K!+007xn^sE2? literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.tcl b/proj/AudioProc.runs/impl_1/audioProc.tcl new file mode 100644 index 0000000..e23204d --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.tcl @@ -0,0 +1,286 @@ +namespace eval ::optrace { + variable script "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param chipscope.maxJobs 1 + set_param runs.launchOptions { -jobs 4 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a200tsbg484-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/wt [current_project] + set_property parent.project_path /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.xpr [current_project] + set_property ip_repo_paths /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo [current_project] + update_ip_catalog + set_property ip_output_repo /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] + set_property XPM_LIBRARIES XPM_CDC [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.dcp + read_ip -quiet /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xci +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top audioProc -part xc7a200tsbg484-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file audioProc_io_placed.rpt" "report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb" "report_control_sets -verbose -file audioProc_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx" "report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx" "report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx" "report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb" "report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt" "report_clock_utilization -file audioProc_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force audioProc_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + set_property XPM_LIBRARIES XPM_CDC [current_project] + catch { write_mem_info -force -no_partial_mmi audioProc.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force audioProc.bit -bin_file +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force audioProc} + catch {file copy -force audioProc.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/proj/AudioProc.runs/impl_1/audioProc.vdi b/proj/AudioProc.runs/impl_1/audioProc.vdi new file mode 100644 index 0000000..a212166 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.vdi @@ -0,0 +1,782 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:42:20 2025 +# Process ID: 181267 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.015 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32408 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:16 . Memory (MB): peak = 1680.305 ; gain = 295.840 ; free physical = 20306 ; free virtual = 30315 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2098.305 ; gain = 0.000 ; free physical = 19880 ; free virtual = 29888 +INFO: [Netlist 29-17] Analyzing 90 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc:54] +INFO: [Timing 38-2] Deriving generated clocks [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc:54] +get_clocks: Time (s): cpu = 00:00:25 ; elapsed = 00:00:26 . Memory (MB): peak = 2815.828 ; gain = 624.930 ; free physical = 19319 ; free virtual = 29328 +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2815.828 ; gain = 0.000 ; free physical = 19314 ; free virtual = 29323 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +13 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2815.828 ; gain = 1118.680 ; free physical = 19314 ; free virtual = 29323 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 2815.828 ; gain = 0.000 ; free physical = 19313 ; free virtual = 29324 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2834.672 ; gain = 18.844 ; free physical = 19312 ; free virtual = 29324 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 1 Initialization | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 2 Timer Update And Timing Data Collection | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-1566] Pulled 2 inverters resulting in an inversion of 4 pins +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 19ddb778f + +Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.21 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Retarget | Checksum: 19ddb778f +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 2 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 1f260d374 + +Time (s): cpu = 00:00:00.28 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Constant propagation | Checksum: 1f260d374 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Sweep | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +BUFG optimization | Checksum: 1f4ec4585 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.37 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Shift Register Optimization | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Post Processing Netlist | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 9 Finalization | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.4 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 2 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.4 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Ending Netlist Obfuscation Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +INFO: [Common 17-83] Releasing license: Implementation +33 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 3139.570 ; gain = 323.742 ; free physical = 18985 ; free virtual = 28996 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 56.027 ; free physical = 18980 ; free virtual = 28992 +generate_parallel_reports: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 56.027 ; free physical = 18980 ; free virtual = 28992 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Wrote Device Cache: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Write Physdb Complete: Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18978 ; free virtual = 28990 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 12889edb3 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 162133ba4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18972 ; free virtual = 28984 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18968 ; free virtual = 28980 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18968 ; free virtual = 28979 +Phase 1 Placer Initialization | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18967 ; free virtual = 28979 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1accf0db6 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 210ebbe3f + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 210ebbe3f + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1f3c4cd83 + +Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18927 ; free virtual = 28939 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 126 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 55 nets or LUTs. Breaked 0 LUT, combined 55 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1401] No candidate cells found for Shift Register optimization. +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18922 ; free virtual = 28936 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 55 | 55 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 55 | 55 | 0 | 9 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 12b7e7e75 + +Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18922 ; free virtual = 28936 +Phase 2.4 Global Placement Core | Checksum: 13eb522bf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 +Phase 2 Global Placement | Checksum: 13eb522bf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1a611730b + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21c87f814 + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1a0cd595f + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:09 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1ff2147d6 + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:09 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 1e10faea8 + +Time (s): cpu = 00:00:19 ; elapsed = 00:00:10 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18928 ; free virtual = 28942 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 172dd9205 + +Time (s): cpu = 00:00:21 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 218376dc3 + +Time (s): cpu = 00:00:22 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28934 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 2b9f62ec7 + +Time (s): cpu = 00:00:22 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28934 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: 21e38d7e0 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28947 +Phase 3 Detail Placement | Checksum: 21e38d7e0 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28947 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 26ceefffd + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-0.604 | TNS=-1.533 | +Phase 1 Physical Synthesis Initialization | Checksum: 185705ac6 + +Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 28297271d + +Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 +Phase 4.1.1.1 BUFG Insertion | Checksum: 26ceefffd + +Time (s): cpu = 00:00:27 ; elapsed = 00:00:16 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.325. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 +Phase 4.1 Post Commit Optimization | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Phase 4.3 Placer Reporting | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 149642873 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Ending Placer Task | Checksum: 11c085e2d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +76 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:01:03 ; elapsed = 00:00:49 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18894 ; free virtual = 28908 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18886 ; free virtual = 28900 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18886 ; free virtual = 28900 +Wrote PlaceDB: Time (s): cpu = 00:00:00.48 ; elapsed = 00:00:00.19 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18881 ; free virtual = 28897 +Write Physdb Complete: Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18880 ; free virtual = 28896 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 5783f640 ConstDB: 0 ShapeSum: 2c697c89 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 391e473f | NumContArr: 2f4b9a3c | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18633 ; free virtual = 28656 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18632 ; free virtual = 28655 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18631 ; free virtual = 28654 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 210c570bf + +Time (s): cpu = 00:02:55 ; elapsed = 00:02:29 . Memory (MB): peak = 3461.281 ; gain = 249.863 ; free physical = 18570 ; free virtual = 28593 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.382 | TNS=0.000 | WHS=-0.147 | THS=-16.511| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00024294 % + Global Horizontal Routing Utilization = 0.000297422 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1009 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 999 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 7 + +Phase 2 Router Initialization | Checksum: 1e4e9a30e + +Time (s): cpu = 00:03:00 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18567 ; free virtual = 28590 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 1e4e9a30e + +Time (s): cpu = 00:03:00 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18567 ; free virtual = 28590 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 1bfdb0abb + +Time (s): cpu = 00:03:02 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18569 ; free virtual = 28592 +Phase 4 Initial Routing | Checksum: 1bfdb0abb + +Time (s): cpu = 00:03:02 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18569 ; free virtual = 28592 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 316 + Number of Nodes with overlaps = 154 + Number of Nodes with overlaps = 94 + Number of Nodes with overlaps = 55 + Number of Nodes with overlaps = 48 + Number of Nodes with overlaps = 18 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.042 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 28303d784 + +Time (s): cpu = 00:03:18 ; elapsed = 00:02:44 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18571 ; free virtual = 28592 + +Phase 5.2 Global Iteration 1 + Number of Nodes with overlaps = 198 + Number of Nodes with overlaps = 113 + Number of Nodes with overlaps = 73 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 21 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.055 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.2 Global Iteration 1 | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 5 Rip-up And Reroute | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 6 Delay and Skew Optimization | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.134 | TNS=0.000 | WHS=0.117 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 7 Post Hold Fix | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0803118 % + Global Horizontal Routing Utilization = 0.101454 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.134 | TNS=0.000 | WHS=0.117 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +Total Elapsed time in route_design: 174 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: f6bf564d + +Time (s): cpu = 00:03:34 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: f6bf564d + +Time (s): cpu = 00:03:34 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +95 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:03:38 ; elapsed = 00:02:56 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +115 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +generate_parallel_reports: Time (s): cpu = 00:00:17 ; elapsed = 00:00:10 . Memory (MB): peak = 3524.699 ; gain = 56.027 ; free physical = 18540 ; free virtual = 28560 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18540 ; free virtual = 28560 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.49 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28560 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Write Physdb Complete: Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18538 ; free virtual = 28561 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +126 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:59 ; elapsed = 00:00:47 . Memory (MB): peak = 3839.211 ; gain = 314.512 ; free physical = 18177 ; free virtual = 28216 +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:50:17 2025... diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt new file mode 100644 index 0000000..2256109 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:25 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Bus Skew Report + +No bus skew constraints + diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..679a41737b3d7f8329391409d04e00446d6e6bd3 GIT binary patch literal 1091 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OW1x#K6GC50U^Gq7al?kY7|H)Wui?X2d6z7RQ5i=$0oI z<;Cac#g}E~=OmV7=I1Hsre)@&DkPSsWabAH<tM|{#uw$6mZYZW6%~{y=oTd5QBjbj zpj%W>fk#DAL4_1kUa=ChuSb;zvjL;ka%L_`E;gt$(cR4@%*E!CTAZ1lC&USN7nctg zOF&{#iI9s+YFTD-s;zmVk%2*pf<i!Ia&}^Rs%>#nx`~B}f<kaXYHEtDuAzcPK#;#n zsB?&?zn_Ako{_NvD5!M}%ybQnHMv5#*uzqbiZk=`ggnDC%Mw%a70QeZj7;<l6*SCD zbdxemG!+zr!xfxLGjmcDObyJ<EG^9x^79nJQ&SXt6Dt*rj1&wltc=a93@jCVT|yK< znz<CXSX>fIQiUWSs)`g8Oce|btxPPfj7&i)Ou59kcpzR=2rdDsWD5d^GS@UlE_Ubq z+}y;xltC43PW(xT)CNjak)X85q-!X|AjO`WnHQg%SfTJA1{gJ14Hzug9bT||FhnpW zFk~<kFjO!!Ffw#7GE87(n8C=ffRSMZBjW}}h8>KI2N)SnFfv?VWW2%1_<)h|1ta4> z1_p*Gn6XK$#W{(|*%^!ljJ2med46nVJoU-5u!E6#0wdcZ#=(@fS1>YweZ&Cs5d+vq z3?CR7XD~4?U}9Xs#IS*hVFwe_0VakMObi#87;Z2zK44;a!Nl-^iSY*$LjyBI2Q%XY vW(F=$vA`g}Ai<!(V89^7z`($(k)7u!z$n3}z@Wikz+l1Pz~I3U3JMAUITlz7 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt new file mode 100644 index 0000000..e97eb95 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt @@ -0,0 +1,252 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:28 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g1 +8. Device Cell Placement Summary for Global Clock g2 +9. Device Cell Placement Summary for Global Clock g3 +10. Clock Region Cell Placement per Global Clock: Region X1Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 4 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 40 | 0 | 0 | 0 | +| BUFMR | 0 | 20 | 0 | 0 | 0 | +| BUFR | 0 | 40 | 0 | 0 | 0 | +| MMCM | 1 | 10 | 0 | 0 | 0 | +| PLL | 0 | 10 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 1 | 459 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/clkout1_buf/O | clk_1/inst/clk_out1 | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y2 | n/a | 1 | 120 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/clkout4_buf/O | clk_1/inst/clk_out4 | +| g2 | src2 | BUFG/O | None | BUFGCTRL_X0Y3 | n/a | 1 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/clkf_buf/O | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | src3 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 0 | 1 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/clkout3_buf/O | clk_1/inst/clk_out3 | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT0 | clk_1/inst/clk_out1_clk_wiz_0 | +| src1 | g1 | MMCME2_ADV/CLKOUT3 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT3 | clk_1/inst/clk_out4_clk_wiz_0 | +| src2 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKFBOUT | clk_1/inst/clkfbout_clk_wiz_0 | +| src3 | g3 | MMCME2_ADV/CLKOUT2 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT2 | clk_1/inst/clk_out3_clk_wiz_0 | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 800 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4200 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y2 | 4 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 579 | 4000 | 186 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 1 | 0 | 50 | 0 | 50 | 0 | 2550 | 0 | 750 | 0 | 50 | 0 | 25 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| g0 | BUFG/O | n/a | clk_out1_clk_wiz_0 | 10.000 | {0.000 5.000} | 459 | 0 | 0 | 0 | clk_1/inst/clk_out1 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 459 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +7. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g1 | BUFG/O | n/a | clk_out4_clk_wiz_0 | 20.000 | {0.000 10.000} | 120 | 0 | 0 | 0 | clk_1/inst/clk_out4 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 120 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g2 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| g2 | BUFG/O | n/a | clkfbout_clk_wiz_0 | 10.000 | {0.000 5.000} | 0 | 0 | 1 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +9. Device Cell Placement Summary for Global Clock g3 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g3 | BUFG/O | n/a | clk_out3_clk_wiz_0 | 83.333 | {0.000 41.667} | 0 | 1 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +10. Clock Region Cell Placement per Global Clock: Region X1Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| g0 | n/a | BUFG/O | None | 459 | 0 | 459 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out1 | +| g1 | n/a | BUFG/O | None | 120 | 0 | 120 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out4 | +| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | n/a | BUFG/O | None | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y3 [get_cells clk_1/inst/clkf_buf] +set_property LOC BUFGCTRL_X0Y2 [get_cells clk_1/inst/clkout4_buf] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_1/inst/clkout3_buf] +set_property LOC BUFGCTRL_X0Y1 [get_cells clk_1/inst/clkout1_buf] + +# Location of IO Primitives which is load of clock spine +set_property LOC IOB_X1Y118 [get_cells ac_mclk_OBUF_inst] + +# Location of clock ports +set_property LOC IOB_X1Y124 [get_ports CLK100MHZ] + +# Clock net "clk_1/inst/clk_out4" driven by instance "clk_1/inst/clkout4_buf" located at site "BUFGCTRL_X0Y2" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out4} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out4"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup + +# Clock net "clk_1/inst/clk_out1" driven by instance "clk_1/inst/clkout1_buf" located at site "BUFGCTRL_X0Y1" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out1} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out1"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup diff --git a/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt new file mode 100644 index 0000000..288d734 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt @@ -0,0 +1,109 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:46:19 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +| Design : audioProc +| Device : xc7a200t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 31 | +| Minimum number of control sets | 31 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 83 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 31 | +| >= 0 to < 4 | 1 | +| >= 4 to < 6 | 9 | +| >= 6 to < 8 | 5 | +| >= 8 to < 10 | 3 | +| >= 10 to < 12 | 1 | +| >= 12 to < 14 | 1 | +| >= 14 to < 16 | 0 | +| >= 16 | 11 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 34 | 19 | +| No | No | Yes | 10 | 5 | +| No | Yes | No | 44 | 14 | +| Yes | No | No | 63 | 23 | +| Yes | No | Yes | 310 | 97 | +| Yes | Yes | No | 128 | 37 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++-------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++-------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| clk_1/inst/clk_out1 | dbuttons/IV[2]_i_1_n_0 | | 1 | 1 | 1.00 | +| clk_1/inst/clk_out4 | rstn_IBUF | initialize_audio/data_i[5]_i_1_n_0 | 1 | 4 | 4.00 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | initialize_audio/initWord[23]_i_1_n_0 | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 | | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | lrclkcnt[3]_i_2_n_0 | lrclkcnt[3]_i_1_n_0 | 2 | 4 | 2.00 | +| rightFir/firUnit_1/controlUnit_1/SR_futurState | | | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | audio_inout/BCLK_Fall_int | rightFir/firUnit_1/operativeUnit_1/AR[0] | 2 | 5 | 2.50 | +| leftFir/firUnit_1/controlUnit_1/SR_futurState | | | 3 | 5 | 1.67 | +| clk_1/inst/clk_out1 | | audio_inout/Cnt_Bclk[4]_i_1_n_0 | 2 | 5 | 2.50 | +| clk_1/inst/clk_out4 | rstn_IBUF | | 2 | 6 | 3.00 | +| clk_1/inst/clk_out1 | | | 5 | 6 | 1.20 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/state_reg[3][0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 2 | 7 | 3.50 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/sclCnt[6]_i_2_n_0 | initialize_audio/twi_controller/sclCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | | initialize_audio/twi_controller/busFreeCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/dataByte[7]_i_1_n_0 | | 3 | 8 | 2.67 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[2] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 4 | 8 | 2.00 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[2] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 3 | 8 | 2.67 | +| clk_1/inst/clk_out1 | | rightFir/firUnit_1/operativeUnit_1/AR[0] | 5 | 10 | 2.00 | +| clk_1/inst/clk_out1 | dbuttons/cnt2 | dbuttons/cnt2[12]_i_1_n_0 | 4 | 13 | 3.25 | +| clk_1/inst/clk_out4 | | | 9 | 18 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | | 6 | 19 | 3.17 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 7 | 19 | 2.71 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/E[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 9 | 19 | 2.11 | +| clk_1/inst/clk_out1 | audio_inout/D_R_O_int[23]_i_1_n_0 | rightFir/firUnit_1/operativeUnit_1/AR[0] | 7 | 24 | 3.43 | +| clk_1/inst/clk_out1 | audio_inout/D_L_O_int | rightFir/firUnit_1/operativeUnit_1/AR[0] | 6 | 24 | 4.00 | +| clk_1/inst/clk_out1 | audio_inout/Data_Out_int[31]_i_1_n_0 | | 9 | 25 | 2.78 | +| clk_1/inst/clk_out4 | | initialize_audio/delaycnt0 | 9 | 32 | 3.56 | +| clk_1/inst/clk_out1 | audio_inout/p_4_in | audio_inout/Data_In_int[31]_i_1_n_0 | 6 | 32 | 5.33 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 37 | 128 | 3.46 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[0] | rightFir/firUnit_1/operativeUnit_1/AR[0] | 37 | 128 | 3.46 | ++-------------------------------------------------+-----------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt new file mode 100644 index 0000000..637ae04 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:45:28 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..f0c1cb2d00d7347420959479be2b38f9c51da16f GIT binary patch literal 1630 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyLFVBlgl)H4ziPb^Kz%nvBa zPmWJ1N{-JjC`nDxD=Mgv`2YX^fBp={0)`5P21bSsM#c$@43n6-U4onypoV;7Wnkc7 z6kwKO4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmp zD!8N;XQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT# zPDx^VszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1 zdD#juBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX z0vVZ%Vky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjq zg57SQr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a z%;Z$0C{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NX zSLT%@R#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQ zZA8FmBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc l-29?ch0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3ndGXT~?E<peQ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..cb5bb3226dc0fb7cffeddb74a85bce825dc47e0a GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE<^!q>0Ib3ZzyJUM literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt new file mode 100644 index 0000000..a2c2940 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt @@ -0,0 +1,60 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:20 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 3 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| PDRC-153 | Warning | Gated clock check | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +PDRC-153#1 Warning +Gated clock check +Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + +PDRC-153#2 Warning +Gated clock check +Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..8aa72c351325533285abb92b7b7e6b3604fa8e97 GIT binary patch literal 3635 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2NGwgs%nvBa zPmWJ1N{%neFD*$;(JLybkof=q|9}1r<^qNah6YB44o2n)j0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q zrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ}ZomjFLaVCCFLV(9~E<&^-}U z1SRL>Cub`pXQU=)8*#xT!R2}nvtMe7LQZO0iCbooep+TxXkKPXyrF(_eqKpYeh!ou z92B2cT2fjRT#{Il3d*sG3h77&=cSe?6z7)~C8wq+BvmRTDkSIUCS~S<Gh1SgLP2I8 zK^xqHedF`<QZw>P;!!M%FG@|1Hi?bTj5pHv*HK7L&B>vLS$YZ~8JWc(pXBA2D5U4- zrzk+GB!z;a#N-lCISi^K%QHdNWoD;>%G%t5#N-l%g47~#L6Vo8s;A(VU!)N1<LT_G zP?VaUSzMA@RICFoa#AZ2a|?1(bredAAvK7zs{$w(O7az;Aq%Pt6ms$tQ$S^Oewso` zVo9Q&*JyMMrs(*@CdDPev4lr}L5de8RVpxOF??b(<kIJG6=0HL^jBEPs-;Xs68^+y zz@^8rl2w3FiWuc!Ye2c138h^J%fR?^w~+;d(^PQoF3L>LpmFvlXay006CZB?&*D@v tZ?I<b(Rdk@#V>*UZo*{*%kPspwA6@8(x2FjxePfba|kd>5vc=|>j4Hx=oSC~ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt new file mode 100644 index 0000000..42624ef --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt @@ -0,0 +1,526 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:46:19 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_io -file audioProc_io_placed.rpt +| Design : audioProc +| Device : xc7a200t +| Speed File : -1 +| Package : sbg484 +| Package Version : FINAL 2012-06-12 +| Package Pin Delay Version : VERS. 2.0 2012-06-12 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 25 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A4 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTPTXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A10 | | | MGTPRXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA6 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AA8 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA9 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA10 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA11 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AA18 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AB5 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB8 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB11 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB12 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB13 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AB15 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B6 | | | MGTPTXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B8 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B9 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B10 | | | MGTPRXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B11 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| B15 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| B22 | BTNC | High Range | IO_L20N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| C1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| C2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C4 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C5 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C7 | | | MGTPTXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C8 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C9 | | | MGTPRXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C11 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| C22 | BTNL | High Range | IO_L20P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D2 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D7 | | | MGTPTXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D9 | | | MGTPRXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D10 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D11 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | BTNR | High Range | IO_L6P_T0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| D19 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D22 | BTND | High Range | IO_L22N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| E1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | | | | +| E11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E12 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| E16 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E22 | sw | High Range | IO_L22P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F1 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| F3 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F6 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F8 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | | | | +| F9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| F13 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | BTNU | High Range | IO_0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F16 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F21 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| G1 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | rstn | High Range | IO_L12N_T1_MRCC_35 | INPUT | LVCMOS15 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G20 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G21 | | High Range | IO_L24P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| G22 | sw3 | High Range | IO_L24N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| H1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H2 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H4 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| H17 | sw4 | High Range | IO_L6P_T0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| H18 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| J1 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J2 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| J4 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J14 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | sw5 | High Range | IO_0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| J17 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | sw6 | High Range | IO_L19P_T3_A22_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| K14 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K21 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L1 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| L4 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L5 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L6 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L12 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| L13 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| M2 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M3 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M4 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| M5 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M6 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| M14 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| M15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| M16 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| M17 | sw7 | High Range | IO_25_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| M18 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| N1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| N2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N3 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| N4 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N12 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| N13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| N14 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| P1 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P2 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P5 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | CLK100MHZ | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| R6 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| R14 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| R16 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | ac_adc_sdata | High Range | IO_L13N_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| T5 | ac_bclk | High Range | IO_L14P_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T6 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| T13 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T16 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| T19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U1 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | ac_lrclk | High Range | IO_L14N_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U6 | ac_mclk | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U7 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| U9 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| U10 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| U11 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| U12 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| U13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | led3 | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U17 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | sda | High Range | IO_L16N_T2_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V7 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| V13 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V14 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V15 | led4 | High Range | IO_L14N_T2_SRCC_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| V17 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| W1 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| W4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | scl | High Range | IO_L15N_T2_DQS_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W6 | ac_dac_sdata | High Range | IO_L15P_T2_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W7 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W10 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| W11 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W12 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W13 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| W14 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | led6 | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W16 | led5 | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W17 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y1 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y7 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y8 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y9 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| Y11 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y12 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y13 | led7 | High Range | IO_L5P_T0_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y17 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| Y21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..d77b02cd75c030eef1e2a80571c7bffdeeab233c GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ6`!vcBH H1FDPwnX3>7 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt new file mode 100644 index 0000000..2a77c95 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt @@ -0,0 +1,147 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:23 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +----------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 22 ++-----------+----------+--------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+--------------------------------+------------+ +| TIMING-18 | Warning | Missing input or output delay | 11 | +| TIMING-20 | Warning | Non-clocked latch | 10 | +| LATCH-1 | Advisory | Existing latches in the design | 1 | ++-----------+----------+--------------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on BTNC relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#2 Warning +Missing input or output delay +An input delay is missing on ac_adc_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#3 Warning +Missing input or output delay +An input delay is missing on rstn relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#4 Warning +Missing input or output delay +An input delay is missing on sw3 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#5 Warning +Missing input or output delay +An input delay is missing on sw4 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#6 Warning +Missing input or output delay +An input delay is missing on sw5 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#7 Warning +Missing input or output delay +An input delay is missing on sw6 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#8 Warning +Missing input or output delay +An input delay is missing on sw7 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#9 Warning +Missing input or output delay +An output delay is missing on ac_bclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#10 Warning +Missing input or output delay +An output delay is missing on ac_dac_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#11 Warning +Missing input or output delay +An output delay is missing on ac_lrclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-20#1 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#2 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#3 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#4 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#5 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#6 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#7 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#8 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#9 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#10 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +LATCH-1#1 Advisory +Existing latches in the design +There are 10 latches found in the design. Inferred latches are often the result of HDL coding mistakes, such as incomplete if or case statements. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..08a9512c51b196fb44ee321b2e1d24091931ccd1 GIT binary patch literal 9940 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM}eVBlgl)H4!NNi0pt%nvBa zPma$`Ey>7F$<N78uZ&MAN{%neFD*$;(JLybkof=q|9}1ru>yt)h6YB44o1-ljEs|* zrF;=O6r3|sle3EzpcZH|GB9v33NTBthbI>0W#*+TafW#MdiuHR8d_+{`eqgvXXd3V zWabr=mMG*GDdd-yfLJN1If<1<+;El3h8B!Y$&PtYg<xrg%wmOHsQ&ys1*Z@{XN98F zoWzpMvQ&kVe1(#XRE45Uu<?m`Df;<E3TcTsIUw7TbMlk36;e~uQ#Fb;74p**oPE3v z4GereqV&AzVaXykR<MUZu?cYTaqtK*OR<1m&!ENN3<@qLii69@g3&1(Z*V0h$0w#F z#}}t0mLyUk*yw2)BKRb@#5m*xn5B47e8Zr{08Vwx6bGX*!BkgNT#`qH;KQHlsACBt z*g&a{AC&6A1~X_eXn;~33&p`@V!`N?gg4a{mm5<dwCG?5BCz<mcsT?Gn5CFOZij^x zE5%`DN<>(h&>^g-U<Ybg2|>cjL;)67Y!ru;84+P+N{6tbf*q(~B@78GQ+QahQyf<2 zM1++Y9m0wVcA$op2qdh`;9<o<aadUp5mx4O2rDYsff`n#kgzfbg_Sv|Z0DpntSl`U zozfliP#S1xEwIGo_@v~VY$^m8y)0V9#s+C{eqs~m668<-g%Zpc3|b8CprGRd36kD+ z<w0t@8dxwo<q!<Jltk(^$LMMsQYeBNWb)7k8NxpdS`5~ppyZ}JC_(*z41z(KQ$(F~ zNH?31f>4A@h(l3;S&AbuIUeE<1}%m#CS1KJBLgi#zx+I1aGxeMMIk4#Bsl}#b22he zHnd=Hy3H7pkqVJg$Vp8ramy^yPs=O{&C4u_H`Gtg&nqd)&w=vXf_>xj^HMYNOX7or z;?qh?N{fO^5=&C!i&E304Pq6N6Z7)&OB9k)6$*;-3sQ@6Disp*5_2l6Qd1NXixo0U ziWQ&+DimbqQEHvOJE)KWSy+^sn4FQCqL5Unkf>0SnG5g8c@1mdePYw$(&A|37GRW; zCloadS`44q^tg05{;~=%Nin)BG;(VZ(gaRfSUa2qQ<f1mQ<fopQ<h;YT~pRz^c^H+ zZDu8yvJ7KE?FiJAwV9Pb$})@vr!1^}aDpkzn3^feh`uSyh`uRnF!~OXvNjP;Sw>h= z)+RzJ%LtOPunt!cOj#z>Oj*YCO<BhDO<9A{caW5|k#Nc~#*(r&5=vRdkd%dWaED;Z zGNoq9GNEtEGNEtE8jQY!q^u2uQ<e#ql(m6S$})+K0=3|=4uug+S!NatPIr-7@I{&F z8T4$zQ)(YEEv;ehKS=6YPdIfMU`bu;38gLrNb15mct|jHnNu}&k>1dyEOimrj;CJg z8kGKnq^`LfWVPhyau96EgUc?g!>t5UmjzW*7wL^%%2F3`ZF%aYu0iQPNa~tHICWub z&Cel}x{M%Y7uEr4f~m`rs;P_g1}|l)i@5eY^-|ZM^dBU3%_f|>u(jxC6G~mivC*J* z7uGR+?5PVjZVwtcHK1zpBE8j1S@I&TQBS?(H7NZDNnW!ECogQx`dNgMmq~0PXkLR+ zfJKVKF{LcCIKQY;iQUIB#MwjFP)p9WBD1&zG)@g3lus>I$jk$;N=ivB&P>lU;)E&$ z57AEqHSmj46%vb56$}j^WA&-U3TgSJc`2y+^b|bv(o&0xV1xas#b8bOX(g!;<BPyc zyFlxjJY0MflJiqQPRPwHE=kNzE!I&eE=|q=kJRTS=jRsWq?V*AWTt`EUL_|Mrz#YK W#`<$p^Gb^Kyau707O^oI8UO&E*d?L> literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_opt.dcp b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..5e81070db69c783e5db354d92473536dab582db4 GIT binary patch literal 319187 zcmWIWW@Zs#U|`^2SQncb<yxKWXv@UFaD|<Lft`VqAtkv$uOc@mG=!Cb*;D&O`rfI& z#j_0r+RlGnf1x0YU(rGK_DPGA42KLg;}5sLonyjul9$)5hvE9a@2Z?LeYnm(@aB&x zyZ`;7*KX@G`TV<i-e~FvPmAZ?q`|q}eDThI9+Q_hEv;k?%eQnDY?%A3C!Tv!f$=Y+ z>q?iKPITs+{m|H4{v~S1gk_UYpYAO9Hz(d@T5Ft3u2}^0T0di^D9HrNh+w9i8kR1m zCpWKtKRLC8Ge?5SHR#xSiSy-&OWJjlr@k{xO!z-XJoQ7VkzM!YSJB!>_hgl}r*w&W zt~&MOd4-wX<lB=p)@AuI|5B^wSFk;tI#X@E)A1WE<!#MjZSpI^w5P=tD{9|jSm$}l z=3JDpkGD*E$Xe~RT~T}Qn)!df`0Co!-B%;yE*_3%%{_LEGhOWN<u$$AMfDd>f0%Xp z$GgRK6VpUmjz9Y8$#Z|p9cz)6iE4jhCAC&tiGBBdEx~zN{6mS(>ENGEGfO?aGitjk zRc;zgTK;R*-i>SZRveIde<bbIKjRGM(v%hZzFtrbJhORmg~G{%p!UK^(wXaC9p+;` z%2RAKM?@#|{EL7ip9IBABoZH&ylt<UalPT$qSwn(tk1mDm1kO^n6bv^u0vmz^w|eH z>(1A5+<pGkGvIvCSGfSkN0SZqNw?Zc)G4v)nQ^RIcuRHS+lMaG@^=2}ufH(q%}bYA z$!lioZ#kpzXA5)XJl?kl++N4_RebRIes|594+h&`zNouC?-{53v+s%LU(VsN`D<4o zd!^lh*Xd8_rpDP@+ibhP{+@hv*8Khd*XO$}wnt5B&VLVO%w}X@n8wV&Ai%)MkXV|M znIBM;pB!IYQktY!22OJx+9%R$C!Ni^Z6MI{zN*V|TSJ!hKkx22LBB67@Jp2N4E!Rr z=47c)iyG^sO^>(hdrAwIzI0TsTRm5C=Y@if*4%S`d*3nV?W>(zFWG-A`A=Zf%<oeC zZaf9+P0dywOg=pC?!>1Hq^2Ev;(1K--P4IZ37zh$bB<2x_uBA3KESK$mNvhg$-|!J zscX~bgxpxXQO{x1g(n&s*&BjCPF`?rbG!ZQ4e9c|2czbSoO*Mkal=)WdmFaCzY*Eq zUTXI?%DB?a+0J0mX7M#XTZHR22jALv==L?veL3R79;e=%@R3Ui@>ErSnf-aeu6c8! zR=&9v`SIoN%j-jXSIk~>dQJD5^QK~}=bJCS__%++=^XxLZT3skgBCm0_zRzzbY}9M zYZr9XBbP^}M?Q~y9Ijs?;%Vx!N2aCjH20)Os$z$i>a1Lno7Xk_=+-hpyZf#I%QBs< z9<6epEvH+(<;BHfclq!)UN2Y4{tVtZZ;F9#V%VWQEw@>=@pfKn_%*}X>Wrc6vju#` zQ;f`>a>X_*S@hBB?mM2RQ-0UVKYlm;@}1vPZT{}=>fQ6l`6^nB?qcng&17U?P-S6Y z5XKUt87Yv01QMz7{)Y@ij^6*S`EIdVUSh|JH=OK&j{=%zNW@QDxAsCn^rf;B`}V%= z`QsUN@78DQ?{j_^JeDZhnJE&zj%UgD^1nN7zbt#$T&PwSZXjsUsQBEVoQ=15)yi8z zlUzQ2Trj(^*;ZnbuFwpj8AfYVdR|ORTy>tcFidla)Qq0vk9Ag==Ek4AofIOrzJKx_ zpUZXnl47o6t|1a>QVynxMaxv!mMJ&P3}Mqdu#Z7bOrMpff%67?%Krp8ro{p$oFClf z{`AQ5ZOJnK`EjeZ2*xd_XZlp?@SgR{?1p>%FSf_c4Sv*>nrm|;^mnKBcj55&>UoU( z7iVmr{ZqhEv8CW=@6TKEnTkp~wmg2Oe;`@mMZyR7kmsLvehT2$zkOJ>ef8G*B_FyZ zyL{JbWCVvR?Dsn_CAl~vRJvO_FihrQY2?YdXV))zBGUQh#HQm<*(MepzB4zI`{<_| zrBW%}w;wUi(K&1)5qeo?)r(1-+bhKWGNER=u!K)lyBQc5b}*nvQ9)u+VlKR_2Sri$ ziIp72TM9~p7@9?jIp$^<d<?(6H1~hG*50!48^wv<C3So1-z}b<baVZ^?{RC)PvpIh zD#<jSebW2&N$!7tEeh{le7E7&C9CYTKG*I3F6mOz>A7!(SIv7FTcEl{@r73Zz4kBR z)BU+5qGm>HxnGr1;rjBQ+*Z|Yzi(ALPZ@UqtqG}l?!UkG@7YMs-KxdQ^X+Rh|E@eW z&ue4%X=kqD(ED?qt?u6U@#MNsFXctQRj2=BTldIl-50wfv!1XrpnAISiHe*U2LnT` zD!Qjr6N_>x^(s=5;f2l8sM|%4&4g;_uYWP?$w5EQO)9r%uleNS9dab<Zr76ZM@xdV zI$BiDeAvdI5p6xS{(fG-q_;CuCcWAbW0vnAZ+hXmjDyLgby~4uLARM*9u}DLE&Hc6 zqhI`k=FJs8eCx_m_0C>x|5vKVy>suZpNk(R)upaBySFWB+tRhcs$942?2}50mabZ@ z@_R>B$p7fl`TbV@nM}97-hLTly1)M87B6;NjZ&?z2fiPA$vlJQ;WF(|3F`&!)!`)^ zzgAvqJ@NFx?WaaEtPkVM|62c<btUTbp>;u-SGN6ld-mm=DiK$uhn5GVKO2SKFt*#G z`EasrNYMtK1GOvs_d74+vdsAIU>CY{Tl3GPcg=#;mK@Jc|CZPy@>1c;-iz`s^`eS# zI?Gm1lxKVY=VF${-}71T&i>)3YJSIXzNxbRU+;Ud?}oBpcHO=CMEun8wAgE9rT-Q* zAABwLV~=%h-iAZZtK)MY{M(;*;eS^1zv;Z|_TPH&>olABdEN)tb<@-)My4s8pIoDQ zX|MF6^FiNkE^s+~RH*p-=ZqUXe(NP?f4*SC{K@=yoAAo>U0-}Jx6iJ6zVj4k{aK#+ zi7|&Ztl^8wEf@QB_ulv1KcAhs`F~%3u(Wzrk4T!<Mb(}sajKWLC}>RLtB7P<H}jx` z+pE1k)-o^5ZC=a?*uEz~;Qf?BS;ru;um>eentQ!I8mbxzxN@<$YG=0EF(_W#xnY%# zg~9)$Es=Q#X7|cS9qD#l>!Z^b7pt)NP_6nalkZ&G6YV%<ll=V_pLhIaE3A1?<1JsH z;0zt-Ii^XL?&pszPV`+SU(sN(@ZVW(*2M}^etQ$1wa;0xSI>CO?87UKJk>({I?V&7 zh_RH-K5@vyTrE>`_UY(et^VnJIz2YG{yuY2-^MaWx`9>2blUXh+^td9O=cEeI^mvL zF8g7=P^6;A;X@}>bIfz)Mb&te-%Vs%vB03Wdg972b9_>LddojdmN_MLZI4Njr>y6K zr){6-oKT;7-SS)7M@I*qotvhd{>tbQs+hL%oC>$n<UK17uoqn1-BR($-R{gDiM##g zy$85o<~(WsevW(Dy}MW1x5u$Kei7UAv!iw5>0j@gE12$;a3#O5lXBN(dcaZksQusF z!#gJ^f4@+z&$G8u=7%7+%Jk2i!c|`a1=zbSlfKl)wbiw-AI!1jt(n8oEwJcD_xs1L zKN_Y#Km78q#*F_*oK8OdZC#VJuOm_FOw$edg%4{d>PPf2-Yl><82nyu2Akm{7yY|w zjwxCUYH2b_QGaII_s3p3=4f-==a5AI-k;1mdky&7z6a~KJgwak<MOXRBt>UJ%-bC= z;&(}Alrh~E7yKHPG(BWr!N(nw-91aqT)U4vO5*Z#xGlz>I$zs#m4{J`x>)o9(WGVD zglto~7nr!Qgg({y_hY%s?vT8Q4?DD+e+JFm)b8yt``Lq(rG_j9qC0PR83mPmU92>F z5#!#VwUK{rJYUr_ZKguoHdUjYVQrIcrmVN&EofZEsVg%<B1nywUokx8+^aiPhWD1& zEb~&fGJjUmRhgr=L7>R=Scs8h1K<43uS$A449ea9ZJ*X#UT^zFry-_sXN6x(b5gU$ znv98?Z)kMSygM!R*NRPS=Bbkk3SPHr8_pMPUuiD=B|%e4e~ayoFRP8KS4mv-Ik{CY z`&rkb^HU{08AY&pJr458(8}96opl8-lV92MQ`gQOuY9uem|b}6hjj;^UbC_e=uKGb zb^Inr`->X&3*DYB+LvstPEWbiDSFoF#MEhAmlsLJ*|Z(swlihzp>;D)9qc+fwK1_{ zUgitmgVBqErK-+3Y!oQ)oYy9oytK5bTW;Y};V;k3&NikM#$4cH?zQ3i>$ajNwQJo@ zmHZ>i0`ru<&AMjl8vOk1s)M4t-Sk3lZM1*5SWTxVX4Y|qyzNJ4@`S(k@R@n*#I}{% zuO@sD)@qq#W*t${$~w{e%d><vXC|I+pXu<4vx%W-W)jn8;YDI1rIS1xXNqx(E<BX2 z(-SkT-f+p5h2@*%`#6FkQ=cjxXX|@0HLiQDWu_3f>h!15_kVD$zRTG?D=vM<uVdR< zET<lN7iD7HQDQ9Sq<4AsBj>gJdX{XW9=mIs)QsXL9gTarX+g-P2b*n=n@)eGf1>+s zXw+k-M-R+6CtZ5Gf92jY0^e>gJa%&Whe>6JpVWSc<9TuWG#^iPviGf}hl*Afdp7qJ zg)B;1B>wM2Y}ws{rH}R`S^Sh)bm(#3>zM~8O8pOfcSGxwQn^dRYyL@Mb*y~)(+++9 z*LOQ4b$Q=vg{ZvOZz5LS7J9v~pe+39DY^BFT4aiU%t@d2dPkxbhqhQa*CS5>#&16b z*zA4pEb}n<a#!!%=Y0le-Yu4RSHto52KTdn95&MocRtKw%q_jJu7}zCzEHFHZr^nh z4_)^hd}wH=Ei!#q^P*LOUYm@5dfZxg>Pm^ivZ<y^N`q4_8D5M_F`YDNiGEOk>PZ>H zx4(F&AHQlG#_}`Z+JufnA1B@BDmb;a$n*C3UoY&f1acM>W>0cu+8$Hoe`=11|D+ot z$FdraU9&jwA}c%UgF<CcQf}nJj>STZpQ|rKs~z3`{~<rO_VkbKXP@!+78>)e5U#lM zkZIG*J)J9L9*55eOTExO;efpQs_c(XPxI%_5u3C%Y5!AC=O**DF{TZ-eRn#pzx}eT z|2;#%bhdNvxi;+kzyGNxlWS3BRP6$NuP+~$vV`Yc&@*3ssdLTG<OTa3w>P)z?6HYV zY<<*uc)IcP{C#Gh(+w(iebBm8^vJc&g!9<PtZfoMKisQ+-Y@>}&C}f~Z|6>mdXe%x zEcSk9$$!~=w~t4jp8d~=+MbyAWp!S%1Ovkyc18wX<aRDfOJeWb-2BJerX9cke!0Md z+Kt>f(!rbNrs(O3bxln_{`j@c-JC!UxoG2$CL2Rf&-4uZvRhwM)66qa`Jew;5!dB2 z3?BV_IpKM|N1%J;roPKpjeNsi+wXZ@Xm4jJFLV6);mQ5?=3hSldHIvW_a1+;Jo#<k zuY<*#Jodebm39Bye|T@{FX8_mtET>$vL-(5^U0*YmzQ~NoAu6jdUwv=S!cvw=j6}W z^Katav*{;|_RUIpo$~eb>zr3>Kb`*n=X2c0^>_8prpwRRUgaC!eX{t|Lwondg(Y^| z{(arQCw`g!>d;LQ$2Y6Yu8;ilOMYez^AFcYKOcR*^t<@4`>dKD<yXEJDbM_F65nAz z>3Ye|sCo<ezP$WBIiGYj|CdkoNs~WzJTc|P!mC-8=KY^__D?zfe@;dGkK8EVd3$7D zelB{tR$f?t`;F7S-;SN!K7X%((YfW)+rPva<=wnBTYpF4p2O=;mi%RWWvlT0-{kL` zX6ViTc_Q-pcE=dK&&#~;8F^3dzFG6}X!LWjb9+kmolw|pRexCLUwBQ=Q!~-IpI@ik ztN&O%#b;Un&#(WF&#rmq-2Hp<Y?Zn{_wS{g@~t<H`tz=8W|jZR?N3e$i$C`h4?lZk zt(d)Tz8T9^RY%9zFL%l}`oCIq^_9Ku^8J<9f9`FuvQa2q@#Vwi9J|=+`o2T|QY)%t z`p>oB+wpjA{hocC?SU43dk)6_fAzRj-~)H=v6k!dF`LSMzk2h3Lq@U3Ch=EITkkLA z&$=Qb<9;J0{fNMgCy%7lK5V=nTJuMJ`?u-e*4HQMr5)LDGpF$Sxg@q|pPm(G#lFAM z`Igw7`Eb+82hrTk^Cv|zNO^Pb@jZ4gvWGn{huvhF*rC}yd$;f29D5<c{New5@6LU5 zNY322|KtsJxqO|TyT^OJ-gk7Vnbna{EAVvjQ`x<1u6r98f6ZLD|Kw5kIg1`8>B?K~ zlKkc<etA*d<c$;OZWPQ|GrQsbcH=Szsn==`+?P#Rn)OD)<eKjRd&8p_R&CtAU}GNB zzm*S<8JV`M+qAWAp;~n2N4ZzZ73~JH7Y>!IF8y1(Z=Ri61P5;h<L;A(kBbT0{_eB1 zSm0WneCv&7al7{7<uksioA*8cdM|$ezr)#c>wEs@oe^I3`sHK$&-;&`S$6bq+GhW= zX}_a4v)84I+-I5e)-GeWw4}vWDcOWu@;d?>jpr>izs;5Qw^3Sfhe7IJ(ddIq><bn% zPG4rTD@l9CXLb|bj7?upW$`p<)<2isvc&na&>H?(O<SVm*^f^YKfC!$<|p=Ri?tPZ zJTE+HTp7i`*?Zri?)1yK3+~DvGuP>huzu#bxMOQ7chBjmL3g;8Zg>`@dQ)u8;e)pd zue{Sr&^9>z;zG%inQ!EjPA~J{?`bFf)Gk|ID`OGwF}KTe)3&i^n51p~nRL1=qUU&h z>}NyC6*YTgkGWr7m9}r<eYPL_YG<u6uX$x6Y=3A%#-wXKCG0!j+|JqdH6p;r<lV-{ ztLy3uRtlX<lTh$wUud`M{et+|S#?{Z(l1`!$<FshFYttvyQ@UmTeqW+-&D%2k9(Y{ z<jI}=yiiM{t@#Q|bMdm7vi|Me>yE}>J!Jb=%zI+j&&n0Mq`v<ab-O6ExMb;qa*<OZ z%g)S=aG#kpW!)7Mo1}e-3x3_TI(58c(Q^lzwbNf#aQB>@IV;Te$79bE!i*>Db-v%< zda!<vtlJAO|HH}#XHtw$R<D`6+rNEw<GbReYaTO{-2Y`dagmQfRd6_OfXQKw%uBa? zB-)q+ISjkwZ(RyIZ}#{yhpTbN26y3aX@}ZZ@z?vzSk>aXFHTkDtbtQf)I}eSOZF`V zitml4b+7IEe}MP+ywx_(<d%Ae?yBJnt7*Tq(<|j&z^>L^SF^s!?s#~sc<O;Wi%M2{ zrGJz4a`%qeV3)zka*aLkP<QIdPpr{vzr|!`Np#IQ5f*&?*RGbQUBRB6U1bsrwSD)B z?smSmI4)wHuAkQAUu$`fY=7?+n6FXuY10y;wfAo&)U5k5Mc;$zFvr@+32TlP9p152 zN#Cn0Ok%#a>)y3#F81>pwNpbc8SnHrIrw6Zrk0=jdXK7|zuz4@t{&=A5V`5SSD5?- z&J}8JV}olNg}>F#T4Q$k?&6ZHL-$O1{VgKzFXyZ>mgU!zm^*8Y_-$Fy`;9N(*cndE zSjO#WWg4k3*B4ULe#z21wogm?t^Km#$zPc_zduk?c0cr%kX+{p&I>C~{%X8gu5g!8 zH}l}H1*&`z@-83qruHw}EBHTljeB_0Ddn)+SutmV9)3D`di9($V$J(}T9=4P%+^+Y z`myWc&278m>!+WeI<4*D!n<?sMd_)&Iyz<DhQ!QYjmE0H4+}Ln=6?VBPj9}quB_4N zxnB<zRSGKk@rgB7d^YasT(%%W-bA+fm&KBmo~uRooq6WHe*c`tYOZ#ZOv7H*)T6l; zyVlHQneFrVWR8~H+%@Vmp2%HVvg+cqXNw=+dFEepmw%S`<(+E9?`QKH78R!p-_l+7 zGJNvc?P1%0WVYT<o+W2`E4#FReqnK@Q1e$u?#~hOTQ=Oz>YX>;`29q4&#-%}VKomX zh_&^8TDo@Xtp4cumIs&mwss2MO<A{Z+ht?N&9~xO_HCIODKoh<;<<0Ze!mN<{MnaX z4tIH;pYUo1Q>W(}{+~w;_w{c#FZ};2xklw-qxzG`*~|3JD&8CrTCe=DQ&+^EFH*q$ z-!l=l=S=>WH=Fr(%-SiJ(?30~B{tb<w<8mO`(`uW<+COpx=>a2^~=`eBQ=~a8C(~6 z&J>+=_sJu-?^?fA1e1lXC~~<9+emAi)||?(R~gjX<$1MpaYC`*H1nhf`?Rz7H=o;N z=9_WQujACJRX+u<X>Qc(C`>uQ{P^7g6RjzZh8BG{>S|bi&5lZ0a`Az)ce8(BbGb(P zDW)YqbLA5Y^x5M7rta1WUBuG8yLRKvB!gW-I(8qIIC6<Ot!mo7_R;$JA7YcXola6) z^{wl#k@vE`Pv;&7q(&X66xw*l)A+LOfh(aiwCb8>#&BL`Hu#qE_3P2cl~?^U?z!vC zOFCGRa9*W*z5cnGtLmC&-g6e{+#0ySufx>W<m%hzx$gpwY^hn3ZmF{DlyiYvP<p+w z%CaBINp8BUE}i(IFhOVLllH?tDd&uY|0K*1^xke_-0Gh6?v|mZy3A3=b8oMR);TSm z5b^M6ljfH6Nl)*JA2&-?i@Dd?nlNi-Uc*N9)73jO6@GJ0bxPVhBW;=C0hQC$JJTlr zWij44>ClH0cJDMl>D^Ngd@iijdgPU{nU7NX#^j(Uv2P4ledbK7;(uD(Z)DmNv;5h8 z#r;<z_1-7+uPgb`6DikHH#dX*$2NDL!yUZI`w9e<mGj%Qk{);o>N8k<Ww`%st)`#( zq!$Hy`C~F4ue4Ci@mwzVK%1|;Juv#K^r{I*q%6;-q%+K{s#tGv?d-{r`3F<hm3*2K zndS9TK$B^@opnHM+bY$K|N3}mKJ}b<Nl_^B<!0uq=>{{k&)P7bXmQ?_a#ZoTfmKLt zo0j^<ZT`kLSsm15lqOEKvks|ko3z(mRpFc5(gum0Vi$5P_A%}*Qk|Z7f^Tix;nOKw z#nvCxjo;Sl$>!73`Do%>i(T^h^4GoAItGNFs#KRVh-_XLpS<$`kFfQmx{u$c`^+$B zX3S*bDs#GQv_W{$nk{|T`%h*~T%de7bWOcMde7DC>n43w-d-SEe~XXj<=VNQES@hD z^mwjy?=$DU&C9B%t*#N3T-@@NC+tVkkwung1Nfg!S;d#N@5*M^)~tOj8#5kV>M8B- zIr7Ca&~4GVssCkIl)0jmZ>)VAES&g5Yx43L_iJxA-uT1QQlvV!{F!L?&FIzFSBCWT zc5Yo3FLGjHjG9zq!MZ1(;^q{xKe$%(bH<__U0mCa#a&L(JN@ql`;n`!eQqjiT+`*6 znZdB=;+30Bx*{iS8^UxW7A{;UEzfuQZ$rkXtj#M-7A;)(oB3SV-vX1%l3S}xDg)KN zUD~=*O{yV-Z*qU1>8&WviASxXn1t<*ZJ0Cl+fL`E$5H%!yN-WiQ<%A$=ZWJKm(A+I z&5L7{ogW@{Ub1_YoyLMqmo-A)UuL-IaI<*o)H~e?O$?_RgY@n!XI%7f({nB3N(aWN z$_YWK*1Q6|v)%{ZYv1c$EAOWFq1Y(0V)1Y74d&riRoVA$uHoxBKiPFoUHvq-);)WJ zd%8C9cDP**TqB%RDZ4><(Sa?CE}s1vS7K|${<~Q8y?oC0zdu6vbtE}_3TxcsrG0I| z>@bCSt264eqeHFCV_s~q6!9wA{4K66E$W=sxt=rDN?U8XqQsBaI$!Hk-oM;v)86N) zX6%ZYjQ80tN$ki{yxJVYnzH+}qWStV5#GOhpI5f^h0J<j;(X8Obg+hMibC&|M+|W% zYR*+GFk-8g44X6Ga<!u|8z=Aa9f!^2SNlk>{vd3YUYg<dEcbep)8U2hHt61Symg)9 zVE-0_^sO1kCd8cCVw|A*kWqfw?C(bAyoOFmwzJX>DM|!hWxoGZ<Eik(Oku&g=lv19 z%V&Pzo7L{Uv%pAr_lvqa`|a-AovO)Zy|mLS!|hS!TGP8(Y8OTMKP=uR6<;ANa5mJ) zxJ71rkXPJqrP-WMt_PU+I#`vj<Z+sQcK?2jil1jr^Di&_@A~iA)hoH#6E26E8MiU{ zOglG~Q^QNTlcV^A<nE`<p^_mc?2fUiCj1wCLbSIS3wrW;bMJ7lE)HYM;CWaZf3k6z zh5D_q)OqHN7c(k(ZgA>OJz%AHo!QE|-{xa=LixHSU+-D9rS6MRJAG;v|BneDOT9M4 zE*ClLU=@DJ%EbPH>E+HOo1(OkXLUAin|%x0x0fl%`^F#i%P?XubGkC)+WrT;DoL92 zo;emKOS9EKza`?o`Rxo1zmz#&)^FdLa+A%aSoER$w)^i-ot^i0o!uMF$tTOs)IW`3 zJQLm2vnAmE84EYb)#=ZaH>TLizv_4)JU>><=F**v8ik<#2-^<Xt>!<UY!hsGZmm7R z#O>phawc#7ynS3da#<c-R1tmCvMgs=^okW_#XGm<{A#%;Fw4vIW>tFPYo0Yt&xKEO zH_i<>`p)XpvdN-h8kft%I-lPQd%r2tCN$XQ=fgt7+o#MFW@+!;z47iH;WY2~Fpc<k zJU^?{KABHc$!D3gGiYb^?c)xIUZivST3+1nw94&ZOy+G_1F5ZtHIm$8Z8yblJ9wci z@`i_O@3vjjX2;sD6yIuIeI}J#pl4ZzS;DvGz}gw1bC&hrVn21v|0d6p#h#hQcXb6^ zwro~+&Fz`+vE<VIoZcyC9js>kFuQ!0abL9RpCX}oHNUbCPcvtFc+Yulr)&QKzYHbb zGN;Mj8-y1XZGAV*|Mkh~N4V4D<Bdubb_7i|5V5wM8W?A*sj}`%uF>zP&+{rO!#uKD z8H2LfOqu06d#zXku1!z6xa9D{Uys(9U6eJpOIUG;bMxa{vEP~2=P)n2c!c#$i`e6X zei<9LUF}*_$WZ3mz2n$N1^#yJ_|o4w+qfQa{?D(w!MSCk*oN7;Z?4@uQ6Qc9ao<h1 z(y6*PUpzJwdb;SMTUh#H3q6)wJDf`==E{6|mGkC+nUMEwlUo+6_V&l#IVO9o^5(I` zw-s+5nq6Wl+uXZ#W4iqIiDK`ibN8&0?PuT7>#{RrQ(=s?9Dkv-?8`F??AjhXZ9bi3 ze5zrMxls75*lWFkJ#Vf^b$XxG^FE-VxT!45I3~L+@~o@jrm{Tan4FH*NU2_#8B9Ny zb1K>@hm~e-`EaR3dS~m8DGR5yWNs=*m}NBoh`N0Ox9rI?OJ6;`bKs>)xA=<MK9y%q zUkelO=W}MwRW6iV`A>K4;rw~Zk&-JneM*b#n)Tcxz|U7O?VC@hLEn`pN2WX|;N}!O zHgU?FSzq+*Pc}yFIK4`LQ)~J%i5c=o8gxsRD5o!bqiyfa82!S-U9abHfJ}RXZpl(* zQ?W-s&*)_@)`&B6n|+_t`+4j&i$I+<Gf)3GR5^XA*ObBt<KPdepY^o+PKe1}uaJy4 zdB3TkBj;gY@AQwVpY>kXYpe<{=I7*o7JKc}1mB*lJf*~)drmKs+0^;hO1LocW@;qw z%_AGsGK8m{vUT586jLr<nE29CXxidkyF0YGH(IzZ?i7Evd&bk&Ly5|=ou^ahPF!@) zJZFh=ZReSDV&#(rs$@LR6waNvhzTS(b*IUixzjhBX2@<_<F>d|ob7d3ORZp*E8pbW zQ;)v{7xMU)7H2NC*d-i(Po7sfP+VC<%OPL7$)v9(V*%fdJA2-9)@{yIVkvW)EVw~< zk-!$ebsO>nf1cBFpL25_<KI6vqWP1zK2ERK>W_XpA=@zT>N15Fe4>twdled&nW}H# zU7*Ra%Q-aA;kSau>WUD%6PG7Do(3tI>dCy-MrT!oeszeRV+sSS?W;Abj;KDmxZHEM ztp97-U9vl8Pg7W?y>^ewpPy+pbFEj;SYckSu_#MnlkKcHsq-cIr`#Jw&n!~y@Dxs# z_Bnm{+@_D4%%5^ah5B5Xy`^M<WN`y;)W#{nSx(dP^SYH^8>L+2OHoNv?(GRzNM2?9 z?6Z-`)ukfG_Q;-ip~WwiWEl3tz4GsZA5#)ul&`LHxo=+(R+7~5J1|w}?~T>J1k*~U z{*6gYbpPT0Qzhl6RHuB%Uyd-Y&z(p0XGyk$%$rvCCgg92QBZcii4mW{RFQvycFmC? zC!e2w`F8o2C({&`uAcIoYl%<ksTgI}r_VMA7I{y&6nd;UHCip<wc^e%(!!$oUGEiI zFV0=@eZg<xHUFOpcQ=?!=5xFhqJB0#?ccZVcfK<&#vV(KJdyfZ{_El8hZhyRNW9vg zFx7f~6w}g)AGuO|N{<Ded$E0WU5jLFs!jN|uWH->Sx%7bUNq}*_FTh!cB5UVD%Q<N z{dj$CeaNvp{g1Q5zAB%2q4n63bu;6;`OckzDmFV?a`wk+nX@RyF11NlNLl5$@8y$k z#><MFIgARzdY%e}^tV=A+utg3^>2jcWXG#Bd1@Ms6v9$BK6KeEH`Ta2?PTOv^>Z(^ z-b*%IOjqP{^SJ2#W)1h!E7zkdttVUzJ!WjMUq9;fj8{FaL0yZE?vhV*OPA!As1bYg zrDMv~lShq8+?%8o7`F=e8LRAIob+l!<*TfQGtx~H))md;zAe-p&92G1eu>k@MJ}7) z`4ot&6omCW7kc7TdafpH)-^?o#jE!`=Lz?&6nDwF(K+RSxrXWvZXt`+7m68;mPsYg z{Pu%ws*B{@sjqgnTvznbtc{=IYroUp><y1W0l!K?*!FaWpk-F6cFO*CVVa*o@zwKO z<WAx<xk=ycPx*7c@?+U<$CS=m<M(mHst3KRDk_Bq&WFw`ZmH~lo?~BOw6k{JzD&-f zU8hPuebUuPyF2-$mfE)zBZX;(S;yWq&tTtW{<PVaEA67tk&>yeTtv?7O11^1({-EX z3izC_yfMYx;nefVTnQK1o)k}g*%I+aurT=P>FM%X@%Pvs7l)a=Vu^G9<#q7rqn%6M z*~{O3T@YseibZDr%5&*o<j+0V+T7`2_fC50jjemw7g!74eW4(>KC8`!O)*$D=oa$@ zTTbU)r;3yczE8CFSotz`jk%Ve%Xf>i$c^5mN++hjs`=@)_>ujL^PzFVO*MDSO{*<L z<rh^;?_MLeY}pD>($4#yUt)i#XPwb3<pS3;e}nJtU%5lz?zJ1K3ypaBZ~yT(-D9J@ zwu1lY?bKdlU#$gOqIbC6Jg*V<YuEP+y*;th+%I2}%qdtV6}R!y^}Xzmcb-b?JD4+j z_p)a$en(qh<hec2nrX=>89dj}KW$YHIHBkXKU&T8bB<T#uN{w^KlFHQIK8T17GF}$ z%5&*)p$BT#70p!sFh}rvZ;jnji`{{R@)ahkH&QjNSl9DES}HX!k~z^PT+&B=O2s>= z%JWV-DyM?3?_$50+Z{XYz-57p$A4=jt!oZFvPZIQ$7!ajEFM-t@%=2P&bby|iYQNP zco*|#)1P_A+Wnk*&s%U8+LiC*d1L>yTK<@iiNkj7vdEwQhnw$)|DEG`wAx_aW*#=5 zEjzQH-0gZhb9)#2Mc(e%F!?J2hBG}(kJS7N75tp0uxPc-GnV<yr)Il8v+T$VeY1&a zQ5*YtN7nN;?1?r}a?E9qv?g~P(YcU!eS7GqN~O51_wKEJr0sfCysJxkTV_k+n@s_W zn2I!yRT-bSeSBMO*d>wvzbj4FZE7~&=#{r@;@wZ*9b%R;)@}^RVbi?3shiO)xMqWJ z_%7>hSMQcg{m8BT{lnXYqTRyok3^?$KR;nQucOnfH%)UdwD7-a(Xe0p|L#BLZyv!d z)2wW+Xxt6Hv)|*|XU*>)d%l{z)3<XtU$tPZOXAPQwKd12bHCocRH8pWE%8_ox6(D~ z>X_nW;h)E*RWfC02THxlwS6h!`}a=e<}I@9u@^j&Zmc)|q-G$slTSt2dcnjk9sih> z<!|h}c*_39ziU2iwXZc_Tr^>P(;_xax5a%<#x|jOKTXbU`Y}24u#Sf6@*Ji`f=3^q zPfL8ibY7sufPuk&7Xt%7%CtmrMq)uKe2K%_SoS%gN59_Nx%+q7z1wDcSZ&m%rFRJ} z-t<2A=)RoB-UGAlss;*ga&%Bt%wS0N`Q*PrF_dwm`I|)?-};nXT?00L&wHbLG~v7b z{VC=5Wy8L`-Q7Qb)AO3|cZ}1Yots;<Z|7<G)7)Hk`_%XSSDd`uXWp;pM`m~)SKZYo zRexrQ%jqAIkNM^8YcI)lK3m*xS$FG+a{sJ}9-->l!oI=FRHj(j8s=X5;(7U>N#>(C zYcVkqkv|dt;y0vk{G)BTLAc2A;0D{i3r?3A%d-+yx<Ba3ue}wti~0Yxe~BMYO<VSE z*}Q4zzQu3pdntL(YSA3=Ba7$yF0NLSF|GR)axijF&YGa({__p$9xW+)cXY9CEc@%z zQ}-Ce-MVMEXZha6OG`s8FBILQAs4(WNV{_5&O29c-Mn-w^rZ5~OMJSgquatiPO3<a zx^BPPqCZ^f=J7@Q=KhR4ntaJmJp1jVJtlDryT2_o;*8uJD64#HHv5N96+5>Ed5Et6 zP%HVrFivtkhf`=wO3Ui?M}IP8ra2o2#5kSWxK--F>PJu6E}i)P<G_yx&z7xwwrtup zvCA<py_=2nZeF<BuVoj(R#h;0Px6l&+or7(ysONw`S|wHorl~?yjvf|{Co3qLc@dG z$4{S`I?-O9`$6?bBW3Mw#y`I|Y5IJ*lw9p@d0$cPsB-WvhJB0o<T(X&Jh1kh&zSJM zB6684tN)SYm#_HOKiR#2e@0XZ&-w@U6Q&zqk)I{E_+7_m`G<wP?=5puj;|0)b^UYv zW8<%^>gecWty=q5-w#f?xY&AjwD!-uUB@MD&$Tb9ZfRWg!{0}`?}gnO)2kQn+%;L% z^<HlMm(Z(bPyZ~>;FOTNbJbwNdqMHKIl3$R9=6Nvk-cvmC;GxuO8(6EuH($da&v|5 z&ExOoFFJd5>f4IaJ*U=3_8(>cm{Q{)5dJRsQtbi9{+wAB>nE1VDz9Ey<z8I=e8s^% zW^(xxuQwH_t`A;ovdcYw^^>n&?~Qgn;Qgd{`?YNHZr1#e2D1qcQ~0~Zy%Tb7m({$l z5N&xk@1k$)-L-R%9Z%TjZ?o>>x<KFk8Kxf?_N{*txa@P-;$*%DzkhlJDgQox`ssPO zJL}%CePC|#*wiK8p>DQmd1cDw8HQ^YS3N0S^~m?%?@XrN&ktWtH;kQ`7CLj@!?$;1 z7vGv4&6?jiJ(6{9kwSiNw@E_Y>Ib=-9&hXa*~+!3nn7N0O-Id1cQ$RMiDfOd8ELL{ zVlFAJd`_->XV;wgRufVapf+urSWU^EoRseWRiPgYKSo?%7`xc|*n@Li_XPWU-(+P? z6rWmUaAlg>>VJ1uy|^B_N7%Lh-OHTZY`M}2Mk^o1GWzr@-<2>+$(Ojj<dOE{<fjvw z|LH!~dc6MvSJRp&`={8RbkUx@V3NF${?tip9W?Dt;x660SbXB3^3#;U2%Bp+pB?|D zy`pZ-{%u-G9Ulunc9bk(TYH}+dS5QXd(LfpT<W^Z@=oXc{OIVi?a#x5H`kZ?cu(=~ z5bu||efOH!Q{ky5X<Hslew6C<>gB6<Ir-D3S}Dcdx^v~`O1XgFGc@dt;%YqH(?2f^ ztP9yw@#Ti|Evdydo2E%E`coby6?UjzUjNN2nb1?qk9f~=?>%~3>4*H3VxNtN-AheM zr+--a(aG}Qw2zA)$1FPe%Xw04>Yo`~l%oIlhk2gVzPu(#d+N)u%qgdMmR?yhr8)U> zSIGzU)dvn1hBY?ZM=#x|eXPIVeTvbpp8rQ5Tq>9RzdGnu_SDDwmx{jp@qf*vN!$O& z-i?d5-ZkaFa@?Y$$GGe!uVi&Ix~lPh{R8!s6`xklUvV`=_Eqdb|5E`^uTI_?HQi<D zsh^rY)2=Ukuls!SmSs7t_EV2~f91B>wQ^^$U(RO9Un{S%eX=Zixp;TeyK6x@Pm3dM z7R4{Kn1B3_ovF~_OW%Lgs2$J${I2wr;=--VtC#$-Oj7yC>eJU$rYCjvVvRuB*S?3% zKQndg9K<IxzCYrh{_*Y7%H2AZuXiu1QS25k^-5rfR}oJ*oI17Ir@iyzQJpxOn00)| zLOvQt>{+z(^##MTbJr?=>C!nh{X{f>US9s%2kEblP4Zv7@%8lEpEpO;Bpg3@*Y<64 zO6T28DmULwvdztHny)+C%l>Oht#|rkg*v|O?q%Pu&1-i5+Vd{cYRO*jZ66fs*7cV~ zhJ5(^<m{#3{>Y6ykDeQ!nR1X#>#_KTijy}C*?V99cq-99<2ZlE2iNKM_Ab5hc&Vmc z@iS|2@ophQ$2Zz~iI39M&Lt$73C}k!v=&x3{HQ5hFW7JJG5Vv1aC@L*%lWtx{yecc z;vGy{9wHHqkq0+BEfllSHOS_1lynX_CeXvX+3d_MgY3g=dJA7fw#!YZ@Oklc+kbZ_ zpMRPM;-eU@bcc#fIL@6?y!XS&l}D4rKMGEM`&Do9*=;?YVjKCT@)kv$J`(ixKDW=E zvq%2wEZ*r8%o2U_GH-;;<Y>-i|Jrnz&$^@>tp0HIzstejop~SS)xI5JwhVgHXYfzO zt=llEy3k5^ch|<G9Jh&~+m_haFI*daUjpo|UPHYt#{{MrvZTgv%y!(Uwf*2z`OanY z<A3QdSeMwisdQn}d#BXN?~bO=>sx2<RAA_`COG!Lt6G)I=9~-WGcts}KB`iaOHN|H zIP2nysf}#f3zBZ{I=Zd2JNLDiHSe)ChAfwN9g}d{aL^{3=irughHU{B3SlopxI8v& zt=j&Qdt&e8C&teg7ar@do)K}tWvWG-&4~~9_xg0redMcpGE7>9>*(CQ--@?iu3R?% zwcNYYFZbKNn|kuEq41~rOxXagwofy=1urgK<QQ}Ih{Hz};k8Cn9v`hy(>fCC^T+E- z(v_;N&SK-onm^Z7R8@2K^gciS#x+@WS?rP}QDL5T+onD#oF-}I{qZWdz^<I*(`Q&n z*1kzqYfG9iVQn?@Ed$j)=l|A|JFm}_m?l_TS-<G~d2Jp2&|{~3W539+OfS=U@$PHx zt4;2qpRaOX<dB|WA@TXN#m1)2P@gr4kEGSuudGZm-zf8VWzO~%iAfh(a{uNvy@@+j zXA)ed|L&Ua&$){e<Mqv3a{Fc+TPS6<H9^M7;Mg%mmek-BS*HLqfhoK!$;mQek?jIK z8w)ucZ;C{>gTzvq58jYyKYC4(B{@gNDZotdwLsIR#sxVF64H+il4N0KBtgvZ?fe>? z^w+__?9%xY5z!0ypZ{^U^4f1>$^B#I`HmQk?e>+$OP)Qx>Yv=Xd19woq1duH_d6yQ zdBiUlTXgkNqT2Gg9MhuRJNk0ZnSc6d)ca-Ux=EW)1#5h%Y?FLzqjoOwk;X>>;q6A2 z=ig}jQ{$VGcw$kx(Bz}@B$tZihh{xnkkaM9{=HX{hk)_2dNGG^|Eot!jn5pl_-Q6= z`Y3&d?y&@qnrWPqwD<jtcyjb<Ng)T@rMug1@~A1?JpFrC8TXTI3Ww(JN)we?s#Npr z)nzd;_a8gWJ<l836+hgwy=$qm*yHtf+yBf};^`KQbnWNbtLSrYSL~YaYiFw8a9Aj} zswb^oG0rqw$KrytX7aqs_yrBCpDp9wk-JxSL4a7m6j#P9S3T|<nI4I5jM+@AHh;H$ zS;ZCcN<84od8RTxkqu@62aM|&w=d_`c$d!D>A?7!iPh${ctQ5A1`m7g8>#^ZemOAC zzF&LSrg^6R68R!ed-+fNO#DCpIWV67({7XAtlRK?Gn+lnl?ROujQUGvzql%W$yelU zI-@<8$cKal4dQ>(3u<>YMA&oxC|uCM+sG7GZx`D<`!eg4Z<`xpnOSW_G#;ex?+jbU zt?@dYaW^a14GE10vHLsc`F~&ZsqWh%#m2ZTngIvc(__=CFY3OO3i-0RVLl62g~jHE ztM8X_&sgcQOl$F}PXW^=yk2>TLtY`=G163OnRw(Axkk6@C)Qn8p02R!th@Gdd81V> zpUJJ?|B~zV|AsvJtCMivfw7-;e%JpSe-AERHJ|m3sK$ej4vgA=4?gZKVPyUtdEkNr zqdyDRj|=M$PWtk~WW{{e5O%H#qksd)>lnK)v+}%4XFTb^IK8f}@`(O}Lzb&LyO#dA zTD*#(V>;8+Xs+0fnDiA#c9eKIz5U=Bsd7U=O;%j;_;hxe`M(>wWbU17Tp>H3_hRs) z)89Qd26V=+o18RJ=sJ^b*dwV9LDhTg8(lhAD;BBF+u`G97ns{^uCOduahg`4ZO-W& z(^JVaWg7An-)u<bHa*cLvP`=ub%9d)LXp^s#)_}HbQ)J^7pcEW(Q0BUbq%{ed)<lc zb*}q9YJb`qKXJXntFAT2b9X7d1~FPAmSuBojJYn7)GB1|uqNbDvp}nme1nBU=Xd9x z{MDKhG^hA(+@905s3bT>HlvGOaCu%AyTYqwKg9g~&5xQ3lm^e~VY}pO$i67AYkh)1 zp;g%8cM%>}CK&mNuv=Z*<RB1#xRviw$p6YSpXMLe6etz&{*X~3u=%gTGsin=0tS9A zOpY<a9FHXTtIqot{YbOJszd$ny}upzHRYOCG*{dx>3Yw{bgXldgTQsR>Sh1CY~Qng zoFji^bDco{Psf}u%@teDD<sT$(5Ntty{Y14e&^-C#|vluw}|`FeB%xK#sl>-{$C_n zdW0`J2wZ1vy0POysPqwMfl>i!g;xr4Ei0NWzTPc4KF41DuF&R&JYx<C^+$~gVJuBM z4%N#{|E+w_{omss7xFvJ|4xhGik3YhUAv8?M>w@nA&jl*$ANm8_rGf&+1huM|5b?l z-n`=m|0AQ0+dNFiIxjg0TxV~J=xATvTydQLQLcT*_CE@7pPPTMEaH1~)h?RpSm!4P z0dtn79bX=nc-vH!?n;hh3Sr+UvWV}|=FRtwYLmX6(p`VE@8_qc?IH6gJX&UYsabA& zJnO3CZ=YV@o2tGbTk%!lZ>f%|JO7yKzV7&I>0+C=pN&83PTbl*uL^&cE~vUw$Gk{x zd-$Qqn9le^&Qpcfvs(ppYAf&sJt`Go*Z$~Ral@}Oe9^2WM^-E3tv|9_vCiLmw`14+ z@JH<89);|xb<F(MS^VoysNMAJj8|-%J9P(-oX{<Op0dD4f4WtFF{`dSWc}S)_U2rn zzY~R~JO9}zw7zwR_K~aF7hdgtS)jld_^5ZgSMz+0k1ySq?AX0&uOyp&=%ZeN?*VMj z73SDZ{c+EFQ{eZYM{l}StU@~D<1~(DsjhQ4==t=aT7}2UOA6OCzJzsb<=V@eG*{@n zyWm$Z8~&tbq4TXbR&cvLZP)zB(owCQ9)9Ss9oO9cf^VUZ+B07LopO+^r0K6{mEj!O z1xLCS<W?NvRy5Q6$kcIo>JOoL0h@*58*eO{W6PAj_V%n769c9T*Y2$;ulRL$r{RZp zH4poh=Kt3Jkp7Q*&(ZqE{4dXM82@ps-8eIOX$3pam)*tIE`Q(MVGG=Ez1````*XSi zUw0Q9gM?TD_gnvWK3H}3v*wXgxmQ9aUfHzlFw^SYvzv^M+g~=V<yf@t<<AqbORw+W zyCdwT*~?vK1`Ky)3TnIT<zxOt-1-{Su}e_DafS3p5MzJr+`J>F8(z8Xky(^?L|fsN z+a94sc}H&6DaWyfm_K3_C{>Q*2sD4>DxkeB(DrEIsaIE7quxz(`1&m2)0L~%tdp0o zyLb5CoZTnq7t1%SSY2*ywPD@8zYg0iPaL)|RJd?#J6qEZ@p~l#-yN<vu4!7)yhC~s z-y==?4tK>@3iIB868|bD$2(#iNpEkJ2xKd~Qh3+AqB(*$kiC%o(M89cZ_O1?_m%(R zU^>>>*r<@lzwYc`1-TE+JMQx*?Ug?gTPNWE!*S28bO8fD9xj$bcZCl1`(cg=hu=Pz zw!OIj?W?}Vx3A{TWL>lG)#>YJP9=SvDp0DMe%9~$r^&DHZ|eSkJwQI#`%3KfPn8|F z*Dc-R5wKudIct25NP3kWTZ!xu&*d)-oxAcErN|yB*6?HhxI_NP=2|w!$4AN<72ff& z6f$2kvFZ^2^ZYNH*EL6-TQm3`N!oXW|GoJ1rCoDFVbX60fz?f`&zA6IecjuCC*@L{ z)zM{_;zXU6?$vVre<^M^`=z+=EL|}>P452CR+z<Doww_rc%tRv*MAkXR==)QUse<P z{;GV<w^?&%*|n{3zxp)%PKNtce}nSZfnSq5szPiz7s-WAcf7LrRsDtgUshC!TP>M4 z>zz~PO&{OitZX-`nN9cJn{OZHbj385G4T2qtBzgE#D7fwU@GucIF2pw`j@PZUCY89 zubA!?ShVite#6<XX6b!bf0%t%<<DHvjgnK2Ik@KCt|{w`pU7Lm^J{4=dti8qtxN30 z--@rga=z_;Rhr=+J26}FRo4nZhwv0hm)MEN3udq6nAfrH#D0ZWU3;38Hmy9dud!>- zPnR@Txo^jB`2Mrreo;PI{?qXr%l}+VUu%DCo#EzQk@w9RMNtB!uJexN?o#?}aPU>v znbwS=s10YADg9>AD*8U{=$-W^gz8zWnHQ})kyI}c%X0Bd#P;Rdn|^%$A{^Pg{Y(hU zqLmyju@j%?d55Ri&3V~%rg??7k@%vz&Swr+^e^Ug{8EkL2z=k`*S_Mr+j7S%)r(mL zHlNIVYp*i*@}!EE_+9Vb=`L;kBVuW?l6Apx)fHlGuQo+-?vj|+qSy9h<*^ANS0y&B z&}&Os*_~Lr!f=h0X<O=|?!>(>k|Hd2NrX+%Yg@XcJJI)LQbf!yiLjY^ZBLhVC*FOT z6w$CtB5aXfo9fE$#N1a&H%?{qMA!5t`oB-Qac8$g*-yQ;xBHq`*6z=4XKCn8JpL}p zgL8*O5wl*KN@KTT^n)Z1=^YZE*!9|6nxgyfJ#x-EcyvN?fuV|Y3{NDxxbv@rM<=uw z7^<km@XX{CckViLbi(QaLlxZ^o}1j_&QgbuPB>p+sA3kwBgrT3yz21L37-oLRqSJU zA_c^qt&SX>AYN#w;vwUc>J?+X#3zPlr?9xQ(9y5$IbGd~!jJBC|LN*hy!a^T#Eu;j zLHc@aGCk3HlML6z>Tg`}r6;@Hrng%$@p00LJ3AzTEcDu9`nnZYK0em;rZ2nQq`zD7 z{^NVyNBXndc_wr#iatp?!MRgnlCxf$&ctrT*eA!D_DsxX=krf~@XdtH=={+GuXakj zuoY)M|2#?IPYlnQ`Q437C58o!dTc=#j$T`H{}!Lg!tO@>62pQxDQ59yh7;oT*u>5p zb!guyQDG>~{QPNB!l@XZE7OlTbY}9%%;;|9DK^}Yq{miu=BPvMP6-ZUac1Uah6c$} z%%abd9vq3`c`~EBQMK66AVH7q-kGBgEtxzlGmjnU*eS8XP@LKQS(3u(7#^1y-Hmp| zh9458nEjU-3MA{XwVgTYFm<Pdg|RrZ{IjHli!nUb9=(a_D-Cm0V|l8*#1B8abacb% z62lzxSf1a0;)mI;9Ni#XYMA30%TpaJepv15(GAh1h5|`a%z+@+=&^O3IeK8~P6-cV zac0G5NeeE<@bD}=c0eYJCuCuFqj8DhgmyhPv5Q9!2<(!ma202EUv5}XF2x-AJSpLA z49}Oj-HpeK4GT*2*wW4)buiE1F=;t=z<P%S2ZuPb@WZ49Dlt5FTDlvT6c}z0)nmJL z=;(p?9TFWp;>?R5CM__F;gM<YZhTW<xIs>@O<7Co@I}$yhikgJA71F{e)y%U`=La4 z_d}cR?uQB8-49#3yC1IT?tXZtyZhmX?(T;oJ>3s2db%IR^mIRL=;?mAq^JAgiJtC< zZ+f~P3iNg>sxL8o(q+>2WJ0&1_md<K@tqQ%-1OR5CUq-Lev;&&xl^LZTdz%Ja<}5` zCrKXGJ0(8(>#=EhBroVNVe>n2)PZlOM1+z!bL^9(1x+zLER(t)YIGbcaB)et5Pp<o zA@eB7LiJISh2Eni3$8~=77mY+5|*2=UGqv_urh|HW@>lijv_;Y2tBs4Q%4VE?v(J* z>TTR}N#cf<ICJjPqzCI`cwDA+H!dkM42afaTj!PhV1o(UrBg=_#O;*G&=F^z|1{~r zsu&)g>D`K!xsPt={Mvjf$a;oX_-=_$*Yw(0wsk8`ew*Zxxm%*>wqBdc_HM=7Z<9Q# zcT0S_uh-_Xqr36KC5aBb=GZN!Y+a|19w^-@;h`_iyzpt#f`c+XhBZ>mpO+g3)bg1L z&zaxd_@~%#LxUb$+xephxOYidnDsVRUzRvwCeHl&S<-_0F+4VNx*L;=4GoI**zS2J zKR9f{#&qWBftH;T8iwM`=FgH8PRjUfOkF3NVJyyE|13%2QVdVetnS9BV#9(QJ+@6} zj~>{S+nO88?^>C`6Vh?)K<y3*4*_vz=|@QmTw{3tbaXdr6dD?+>9M^!a`eE$9TF!* z#F<w=N?H&e!(-Fk-B?g)XrQmh#&zuIfulPlJS4>rFHev<te(Ube7}X&V5NoGD~rZs z1!o-Yw->WAo_RbkdGS7;%M$w}E`NW>y^i78N2lX%wI7xm)^IE{`1&-Q;q#8{#rqy# zlGykD()WkW>lmID3J2eEtDU#hux8uR_n%*<8}z%&NPoRL_1Fi~X~#aWx-xvO=*({J zUun|TzoumOgVn1To)x~ku_AwoNn8H%FSS1+v>GaHUfo#XKi{Oye^JTb54<4^pDWI6 z42(C)<cYU>`RPMuFvI7HmC1|kLM}<zC0zRcuzM-PvyVo{-D>NX7}iW*^7u%*2jiK? zPy2eU{weH^KT?;s#LxXjQX$)-?cQ?hU-0(5U>4ccuq89qq5nmG-Iuq&q7}Z1PU^d^ zZ%}da@gj4JMfM?=SeN*+vwZ3J$L5jz!^|U`SDu;Gp#Qk6^w-S(V;@fT_g#3uknMBD z)a1qcZd{bu_vGT!hnMFvJp0(#*ZWJrJ^6=&`}B7GBBmL~*)7dp{g&!|xLdkb-fjzL zf}Fg}6~>kP36+j5`?q@5GFb1Ja9%4!B3L<Sp_F>p)!WgF?yX$$>gCc^|EI353s@<4 zj^S!u=&CTI0|!&9jFv8PIVcdeOj4yL$L@&s<=d8BGqi8K59|!#I{l?>!j8ZV$xBuQ zZb)4`Bc$upmwBCDOM_yTnMZ}BiM**>apz9+TftBX*5B%@Ot{P<RC=23O7j|UZ7UZs z=lZ4^qB_-M<~@lE4vP~~{hE84)^hQh2`1D#-<D+U4pMr|yV~~FJ&hTgUQ{o$n{oNY z?;uUh%ai5aCWxHr+Ojy%Aor4=+d&yuYiafwM>G=GB}`i!+tZXep)NsW4daV;w}VQJ zbtxiilwKTnJ80BgX3F*L=$6@?QnNFql0@EYd#UbruxwJ9{|mN*zk2JkWExH^v0ZZL zv`Mo|wOWZi!&0~Enc5MnF9$s4WswP;t(~w)Bl8_&t?SB`b!OLMLXuB07J6<y#-pvj z$~fGiIdJdCwyn~pGqfY_1TGHTAha~m?O@Q<Z*4&fpZ~15_~D)5S<bM9?N=)<Zh2|E zOf6#SyseKeJ_}@5UA@}9-$v))sjn7-`g7`HbWOf~b6y=Rbxbb9l=Dmdn(R#Z$bc<A z!Uwmk?-W_BdF%X<=BHwB^F`Jif5EW0L1ihk@7|5K7O7pfiMV&MU~xnH(zM4?F1lMK zSbg-iO0iB++d8v)!?b0BoPQT3IeICd72ObaC2w8BwMFw)&uH^JRao30u{h9;(b#oy zFY^U|lVdTA_O7ySjEPR{>Lvb6$&U8sTp7Zzd|rfe$8eWQvZ}C^O0(uL_nLBL2>fad zJfMF;zn6)rozJkf>ES}>UM8*%K4UHmrC(D69kyIp-^--dc1)5rg!k_;jRy`Zj%z3c zzu4}^xY*-yZ<C3UY~CTqcQe%_SUIa^Wr(Eg%bXNAL2tQoPm_$9Y~~)tlfLeftdRw? zazp|czF04AP+o9fnpK6#*N`iM?N@W)gjLH|x;g62?=#~PdQ{@$WG}Qq>Wh0ZYi8`M zX&3k<D&uF(yYN?vGjCR+NXmhiH(K89^_X~heovE(m2A3*O2NzX$E1zcmh1_f(6w~G zgz=NCm+Rdeji&!g6G^Fl`M;;hMC<Qe3x|1A|21kqwG#9;o_TS?s-?nf+EVo9W|%JV zd#RG)`qXNtm-_8&kNuZ4!<?I@rA6|-46wR-ul<PX*=<rm-X`o(g**M;U5Htoc-#N; znl@gmv+ZFK>w?9$9LVy>yO<f~{Hxn<yOdDMmJ3-P3ok0IRupvYUfUKTIycc&WphU7 z)d}ww8Lw?yqCYp)bV=*WoYjhjKF=d~SEgEtN3DrbpZ3BgV}*5)L&?6Y6Ji!}FK|6o zTGgz#MQRdfiJ-|AmLP$WjaMf$EL<Aqe5*}wqm+<R$;zt}zAZSNlcMnHWl^-%imY9S zqMDX0(_X8pQLyV&mcq%GSxZA-EUny=acW5?Z&ucUa}Fy@1Fo_;uiWZ&^jG}KuUV50 z6ovb-2p?aac`!qGnaT}@y{%o-d*)yG+|C(XH$S2I>oUVQwTT~v)xVsWoz}y?=35!p zJPSKs{tMBrrT-tk`nTiWnWH;hmiYt+?6k9YIGuC!n#1m6Sr)h5uituhkkc|M&$QrO z$=qBWgX>!k-D@uv*>Wk%BGd7DG;g}$3$~0GJPAoJ-ChgN(Y%{tx?y68v58IHhsKib zs|UO;t=(2q&|flJm+_6t-8|ER%_Z%+{BLycW}1H3T{8XZ0fx(W!<gB<SLf&?WWJQE z=AC17H`|-xwa@CcY;m*RZI+sm9hLl^dA@u0T7QGoE$6ZxT*|OD;So=`{i1GlWB+3I zHEhTF<hDpz*xq8`^%q_vZ<}ITkhCQ*GVF!yrdO4wtDYxTH>i3vU)pf8VOiBuuU{9{ zqB&(|E^1%+v8eV$hulV~KE;cR!wx&D-pVnRdA#s=4r}3scDe1>3q(5RZII&QzBoDT zaG-nDsVoZ~Pyc9Mca4{ls~;YawdG#BB}RVh;^%AHoXfuKxmxh0H?HB?)NG-)xR$na zEL;t7O>O5|RBZO<m|hV7!ez3Bg@do^YSsdW7pAKlRTf@f+oqiLrA?Q=-R-6C>W4ct z{$9?q(D2HS<aJMfnY;So1)aUgrZUr)malDV-t=YO)dHDm_qI#%9lFFGc33dt*M%&L zKVI^YyzVhClUF~ypkK?R8@2AT_!s?*)Th4;4F5_??UL=2zFfaXRVe05e8$tKR)1aQ z-}*fvWYPaf-jzzXl1x=nUKp=dJnJ++n%7g{*P$$r+zZpUvE)2|sl7UJvR`~OZ*<15 zV_7#OE^iNWUe%CR6|`F8dL)Mx<E&3iZ(SCz)M1;OqH~qe+e%WZO197ElIDe!^Fi#- zGsH}8Hob~ao|~m}H8EyZ?z)wadrjD-?y<{oEZO<ZZt2<0QhWlJYF0nYP}bcb#m9Ro z^O|}e_obKLq$b_jA|Q1yY037?iB~6FS$gz>m%!KQLDtN(q*NB_HmbF2y2f6Z`Aw=r z+Uj&na0&n1TvGw3lD?}G4lOHQxX{0$WWnb(;xfg{J}+FzTDYTEuc2+)fk2~O0#f%Z zWIinFep73~<R%`?+kPZt<<){C-Fl5}+a=o1zX)3WaD{kmTJ56+g0Xq)AD$43P2Bq+ zKsYwjR7QQ_>NRc6q9vv#TNL`@E*PzTD8LlU%=@0XPxHdou)~gQQF$h=hcA|1Q|GXV zN;D0~-*PES!T3d0MuvDmRSC1n7CjFAT^Hk+?>d>^lzP};b2n$*%EvQGxJ|wY$SheF z-Mg$W^m6Wn3+06olk&DtvtaWscQ|a!^wGEc)(Hph%dr<O%u|^BGH<nFulMQ&4{Z+} zXDR95%Risz!rp5_oViiFyzfme?I@Xlb%N1i(`(#8Z?{~_`jOFiZRW3)ix+IU=hl1p zZOQ(t1u9eIHc0Vpx!fFf*s%Ckf~kz!^7aLZbq5TKZe^Ityj$jbO~`%S%fyTf@d@o; zI@ZefJ-EEs%t$70`Ps0;AIq!G+-qlk@ny%=0-M?MHcYdy@%O)#Z4u}1AIZ!9;brFP zhZ<J4$?p#*mR4PhJG`;H>Rgt^9l!I@yi=6+CM;%K=%w%Ee4gp4m;TLch2<|&Lz}|S zD%IS56B_OHaqY>o^QXNR_nuX6vaIq?%i=lp>T02PU+)(-KB29laU<|wyuicR=k~t6 zTr@RzPxk4x^QVb_65rFDr2DFG+sVsqa)y<+ZmgV~{zau-uJ+85%*W|I|1PU6E;s$& zV^?;n=bY!|r}u4bOL=XDkNM9otMT_QJ^y5$)lcUWPZLT!a;BfWRQKtJ=H&lIE7SjM z6jqnFEqSy=^Kt)tgHpHiTRh$WX+_%monYMgB7Nhtf8tSlLuU#`3G%*QCAZEiBUmoR z^nmE{-pYcj9N~et1akY{N*@XhI`SfD-eu=+wI>QDUF}#tWtz+92ilzRsU>N4HA^3? zo}+iBeZAC=RSUX5JzOoePwVBQnjb-PV=r8)`4P0YmSOHx{`gh9kH38L;zUI7yL~zB zD;D`zM7Xaq=UGzjY#rol*RidoY{{)^gTLBal&{{neR2QK6%pD0WtX<4^66<l?a7EU zkw4dV@aDIYq%RE-$z|t``OnFyS=zqx{l%?&bjuvpeP7%vaO`_#uE`wftF2`VOcn30 z*qGwJOMi*9Y0n#%)y`XTz25kocHWYEYFlp8Jnlg09f7&Kx!3Z)bldutKO^>A@#5FV zuDob3373#xWo5s}`tXl{(w~NR1&_7AQrUg!?N5ozS5NPeo-19%Ik}Ft?ZPRW9YLml zIBn;!hi9eSpL_0f?j^7FHD@pX*R++stG(@ZxnA{U*VT2}-xjRjYZrcUWm{pA|F2yJ z+WWUwWF+bTnm@gNVa(Yu=ghpaQm31mfxgk3R!olhss3{Ip}WcUfBX(_xo<UbQT@~8 z^hI)kyt&V6k4;}At)7+X7bwRSs_ZRmdu(&oVXLoyUS%dG%5{b1$1vN@y4_^|!h3H~ z?-!3e?&&?>U06+f@_7CpTG{b!nY5F2kbj2!F3sI>edgQ4-gtlJDxSaf(%&TeUFJug zOn-Oz_hnnfyDOF#F|0k6bXl)`G4snSi|&LgTwT<;?&0rauZniX^DIxjx!2n?%O-0X z>rLLd2I~cNzqC%@TDdl3ss7$IY#XC4y3K!*ED$`iLGVk+7S(O>67imflhz%xDmb-7 z`PXyhSoNuuf^WB|3ElGD`$KZC&^_N~i;g(H_sar%7Ktk#PX7JmotmcG<+n$bli1q| z8Uq&v_AGol@k3o(C*LkTrQbg1>NXgPvtK@$ICsgB^DkdNn&9`!Rei3I*(A1$uA2{8 zWs2PW;(OxNl1WKAx*XCc4L)-JGRsl9`e?d|eTvG;DHbh$yswwI$#`u(Y_(Ff{o<Sr zey@(K&&Z2V|N7{<iSe4rY=(V)9IuxI{%P~$dAVd+)RzmHiF2=X+`qJ_<IbzLf*|c< zm%Rmdvc1{f{~%30Qk!qN_os&|{CpO8e}1^a(Pzo$&kt7w`Yba3^e|(FMboq=2Pev; z^({I5>7j;Z-=fo>A8M$oT^9XW5Tae@F8<_j;M^a!u`~GHzb0|TPT_aweZ*f<XeVRZ zwX!75PKH<5{c@zGZhuo>TW4}criZ=ON!5QI`A<z2rLH@({GzR;uB>s*gIv+enaO_6 zCC{EkoLRtr$jY-b`Q_gYepyH6zxcPoFY9RG7Q0RUYs|FO-(CBBz9j$Szm07%@21}M zk?~*Mz4>lPPVMe0iTZ`=o9<2j@=8hdpI3i-aiH=)xBk}RK<$71X6{9Kr<VM%zB|1- z_|^2s@?Uyt0-bkwbk6LV$M<}(+nfV0Ru<T09qsIy*CR6_JA2s?&z@@{&t;mET8^CT zu{*I>u8U7-xj~iQoPH_8?u(lzF1xYe-*dM!vx4TF%FxU|Ij#QXQRaJJZ}#Xte7iG6 z&G`GY4<_vws}pUPUa9Qe*<<&3@!`)*vqWbd&qy=jzZaw>Y&HMlp*<C=Teq|Jq`4p7 zHZ#fIZ}MHMlGoaGe@oot+rBNSI^yIe-}-IIyoX9|^6g(k7XP}I<QCuZZAsN3$)0^Y zZ#|bDoo?_;Ym53+N&Cqcuh*o!Ibbj^<hV`uMdynX`b1JI%@(sCvI>&@Bjd*3R=VWZ z_nk#XJ$(Id@7BA2;}v^uxT|OTv7!^%={!u2YTTwjPn{7g`<uya`IF>?OUq~JrF`*? zOA6lmQpt_`lhEu}LE^?%y88D*_D7w{2#edZ@?0tB;^5DZe0dj4H~M8J@2|MDZRTkS z-7Yza>@!(cFP-LA(MvDM{&+&>TlLGYZBZA)y0s-@WjWuk@QXS5;+c)bD)Df`U26P& zQb(@#teg7#v%rzQxIF#jEoOV>M-}oe4p+85!sci5=Z2(l-#n|@7cDD|gT6J!X}eeE zKfh77H}=T8RLjM_aW53wI$uk~%4eQUbbrHtcV%J{>*C2z_U-zw+1;b*xhCaHjfeWz zM^$e6EhU!}@)Ex2nKAq=n>4R2FQvr2P%km%oRhiXuFX4aOWM5mT{SnhGM~im?^(#b z_~_3MA^Lf#C2?{#S3|mQOU#|i@9$Y?y|}nYD!Xakt0S^K*Pb2>+`D7)Mf=YWRyf`{ z`r@pOMV3gpah39N^E2gBL{>}OoqD-u>O&Ui{vGWXvyblViO+PG=z2d@di5N)gFO1B zNn7uPw0;fJf0z8FDng$(srSyO=O!&TeU?X@e(`Qj+lHRQYDuz-LoIEeKj@sgBtrjw zvyFvU=kKT06WHBNA2I%vdvY*vZAF0H2OEo3!qQJ>DJ~DUd9fnS=17LK%I&8sX1g4| zeCx!Eiyn4i$Fu%#>Ad*z;=-GARvh|yG3uki;`4jfyeSMbQk6^ma{tZS>?4bN?9SfX z7nU>aqxe6Q*~)QW9~rv+KX<NtZ`RA>PitakY_0XOQ7o6bdHZ^Yy5!&Ex0SywwtFjh zCb%a}JS}~WkiW5&rT62gk3Dzxi2hr0zPa`4<0G4kxTCIYPc52L;g?std4o@{@Ok4| z^IKETT6|<$T<OZ*Y8SL_+kSl`E9D%vpy!eCNnh%2=uA7eao?F2pD)b2GVQzQ&5cgg zK{L08KYcBID_GZNuUh<@3-dyho|L-%e_Z>0#<9Xjyl$Jj%6fRYa=u*J`_p&l+>cfJ zwW>eZKka*Iz3x=pZ?VPCZOZFcuJ04hKb^VZd-tbv<xj3$`|W!3=>4geL*I*MAMgDB zq-f3e?$XCceit3S{rl*z!bi+*>%Y`(Oq}y$!o|~j<EQBU5L`UHYQII!oF6kTcHfKJ z7#sTfyU)C;FB^^9%1Xca*k^qb{Pa-Pvhr7t=jFOkTfesDY)kzmVvn*N)%x(drpl_V zKX>wWpZSXaj;=_2E|F?A^Uh?$4}WK04D5WrXP(giiKk<?CFc}8)Bp7{(|`AW{l!wX zrhk9e8FBrea`E2n<Bz^g|C18)Q+{!+rrCi%P78auqa}DRZ(VWL!9F=;`Nds4Uy9wn zO68t#*q?AkBk+&io*sw&DO;W&i1_*CahB16^%8HF9=OHxA=}MaG-5tu-C>QD2ikZ( zM7wGJ{F1V^`qt&A{H<4X)2d9CfBC&p>$y^%n0kdtbnI+{)YaMQRVJ%N{F<+Cxjfm% zYOBd=%?lSM$(=o)^lswb|04a*zS;UOd9vEz^y2)@v)c+iY&8A8z9`Q3(D^v`+qb>* z-d?=v8oAaq>xfLh-M3$S;d~s$wpNDST_+i{=eet=Cu%CseSgujHd^he^_~NZgMV%F zIl1wrNx$ETLqT?{F5AwEG|~DKSv55(?#0Ek7i}zpFaJ~O&+F{t_^R19>x@`+Nx9vp zyR+t4JKL?jv+6~P$%C9u_x|UqCR^1kgUfc-v@BD5x7lWwo&33w{T8<uAOG~w$B^%N z#THBR^m8-yEUd3DzWwPV%NMRUHvMAmo1;%%@A&-mcFc^ooW1w1MQl32o$|vncP8`d zHz`3eFD`DHv+azdyJq1;ck?F)1ABMeSS<bd!HO`QuP>H;Fmau`<H%yshkvhhd)w~P z+B4s+aHhL<@u~iwa}6TZ^}c7sdFY#Z7Ph)CZ~r<;D7<Qm0sqg}A-y|JE!Hl6Wpi$c z+PZHq4uT4f(>7J=E?SzZ>ps4iD8QF>WUHL5%pu3M5$`Ul*~}A2UOMypy3a2z-YNSs zvoftB>fuH1g|=4@UH0mqcmC}eSF7Nfy>Ci)=FT<_zQ*y4t$$u;o6X*dBIZ>ktmiYA z@$3sYEO5!U=&Sr+Kc#I^civn)rXzN-Xns|<d%Wnkoo&-s--x!g+PuQwd1v}Q-*dZb z^~<xLB>gViS$`sLf1^lN?~cQZFLM=V9eQB0BuuZos>IKvCdlXKuG5P@e}3R?duzAt zE{1-w>gP`awmMIF^kn6w2OpCAErQm3%5Z!+FY*~*))8B|zsi!gYoo8ds=Q%6=}}z& zzjfc=|7hJOx%>T9xwnhIKQWbjQ7d<K)!X9OW8W%!-LGB}*?-)QIrjBMIX|7Vo2+@J zA3ZV8_G^dd<z>FJG;S-*T_*6_#%jU&byFto-uP9n_GY>HwAsgg+gfRN-_tbj<*)eS zdSCD9y0?z~)4u#oJMs4`TmQKiw>KotsW`FNwO0Dn_VUGZmi#uG@^-W5I?k?5Rad`s zK5c)x;la~n&2?&R?l!Z8?}p7-R`PL$`}^uhRjyS>)B5dB^i6S4@ccOAPFuS9tp~Ad z1pBK^%&nVLROfwovG`50XQz5<bBcKPt-!A3Hdcn!zoR~Gxg(J-UL9of_+tCbqwCoG zKdy1VpOPQ1J@0cyTG__KcB=Efzqq}p#Mabgzry^gJn1VvC#CN_c;mV=i`%+=^*Ppb z{mL&cd8fO4^nR!AY<!q%c+a%**phdT`)8_jbtkbNn(Xm=MW5i?vuia2C3;1-m-DP& zDd=-ZR!pz!s8a0WB^FU4;m5p|``GQNyfj7glzZ!*D|fEktjt)e@o3|sZPU($J=9#d zI&_Mc<5T0)OAWGe%7Y4Ym$-C_Wx0fKZ*9t4=yzmG!1_g!w%;afV++h#;wCsz+;DM+ z5$DUAjHCaV(GE~r8l$Pm$iQ%biGcz2pwOiJ#G(|GW0d9w#Cjh#5UKqh&hlkz_w9S1 z%x>{<6>HCJQWDzqq^!HlbBAYX>5u!l+ZQTTOYk<zOe#L_Zo~5Kg4KZw6H)~~XPS9e zn$6cc5jSlYlbd-N_q=_5$D$23EGy3x(tl>MV?`|=hhR%oW}?#<=Z_jI%R<8P|2Wrw zx*dNcJZyo~n<*+40rEUA3gb-{i%JW1b4^$}Iq92A7JpZE@sbT}HXk?XUcUSDfK{jB zTZNZapEewvwf@znoafeh?_{JlvX)JL?m9_y`m-NO=Qp{r2=8E8fBo6hQ~&=S4_nL| z5VeWFmw8){c;&1Q$5qtY&p8KWUY6ZZEXI8L+0VnaA0Ns32{>wmEt&kJ=VEC|+SA#` zvNg4YZg11!%5D08zVla#%-2&lj%VIE|56$?Xe;>J9SW5h86uP!8F(0wPSw?`$b;_y zVPJLmwLc=KBFxaj)k#4?!N|bCz(7GkLBTvZ#bC<wJ(3n&E<OKKCk2SPGk-jF^PH9D z1TMA>dp@r-k$1ks^W&_^otWyyxqd$i%-3qo=>4H^CRV%R`n=55jDNW|`<+(dk%??? zseQ%dH}|~7M^72il(<d)DvEDbet)=2J!r*_n-8qtSISgpKf2U<kRkl@^!@YtEB)sf zC+yGrVLrvK{+!~fR@O(1U9(fvFXg1{2;iMA<~I3yMRC@S<^~&sdoRRVQ^K?a)ZQ$~ zE|DtPQ1m^H^+?9CW17)ZB=*gDZ0jd;CQ?d!XLegw&*dV|^fQ{pktPvWZ1tle_J%Dz znpecua=fl^`31QjHnZKueqGbCW}0i`G4uVi%A2<8CDGGtvNPWt3@ci*T0eSHhJb2h z<)hwHYt^TQF57rbRC#fE(*xdJUK&r{w1z#2^I-g8li<ttyWy74S!e6QLW{k^K9824 z*zx8wZ}FB6yAvJ0@d;nu^wO#pTHbTr{NwJ69XS(@w4K_sXv0TO(Qn$5%@d0I|E)b3 zkkIzAbo(Wh#oGN}%lR)aT6*r>ysNVdzNzuEpAubqC`rC>R+j0p|HZw(1*hw;Zo6;l zcrx*ji(J_9A7PJIvi)1KD78wnX!)YdS!t!63rz0x^>puhsxPyB%hw4i!qsUj#cS{1 z*#F+{jpGXEXYpxsUe?}!x56Nux1fWe(vI)J{6oFd&KKOs`K0?$aOT(dL5(v`)?G8w zuLzTkD>$^xD10mXn~Rb{=0Al_%VfHLo}h5!+M|;z+P)oIl4-m3u;LG?-@7|a;<}~; z+)h7zFz#VioAZ{V|0k^wZs)c8p8ijMK}-8XC7=F=cQ4k38#TsN?Ot(B`{UX-57oY} zxU|T%*CW~R;hb<T@v|WpTRNuX6j~gLI$gT|+KYsp5=Rf8^6#6=AojqrOrPn{zLy_c zZk%a#@m(rk7ys~V>L#a?Xa1I+`LDLr?#u5D0*fcBZFAf@W9DYpDa&VGeRu4E;_^GE zjk&hUnC=TTc=NZSBK?T+@<mU+H%vTj)I4wDqi-40p7!+UPxxh}`tZ%?f9hWQJggo` znKR~C>BMIk?kROw&^`NV!ouY8McmIee~@QbsPW|T`IUkxljdmGcl=wk#qFilECppH znFOxe!TM&CnZL4}GtAjBTS#@gd~j0xyPmUOpG<o4QR}va(_+`}9yeDC^f!DzacTMc zW$S_#ix_@L=UIGR>f3p9J<~H8--{X6z4?22w%bu1JFS8rF<0kx|1i(1)fAFYSuMfO zD)4yuoN1l&$|^q>1$_H-|7dOK&X|{9rZQBxpFTH#@s=sauGLRkm+xw#zCLf=_o-9r zH*y@B-JEc`=ELLzn@ye@DE4M7Z+v|Ekk^;Dk9w}}>CpK+FU`0sz_6;J-f!1xk(2Y} zE^^#;PR-l%!m&Mtf6{JV!xe&$9QWRkQ`la4^6l=k^~&ip%XwB`J#DG*Bc(#|cxQOM z+r=_}?hvmpZ5*#w2m0+u$o8ldh)MYK<m>bkcYBR5Owd_zZeQ}Lg$xx6I#F-$<}JzG z#C*Y>dAH7!N8J1jDw9uW)jxfI>-C(O`~H?p+b6q8_3j2|ru5A{;#t2xozi=BUt;<4 z89l$YI(%l#d352X*}ELc!)3uQubGHP3BM_Kp6q3#d}V4>5Zi|(D`FitYd18#+<Q}_ zdBcuJYh{umcfRKnEXlS_e^+yBdB_Q)6P~SqX2?uZv3``B5)_{vee(2=(7M}Ct2lNT zEnBsh!}U*&)P-&nVK$A<gTI}Y-Maa6)%lGN&d<4iL(xEAG`MTkp`^PNO6DwQa)KYt z(+OGNp<lLs*R3TUucuf%a*%SZ@`$+W@coLEi(k)Ky`tM0cB)5j%-$#W**a+7heEy} zHQjcjPV=vudzifVHZwXmO}f0kW&VSmZ(`G=n#+srF3e+mz2>9k)1RrHsm4}eIl(LH zmmf8;sWEtLD3rQ;B46uflik_oorf<5Z?L(z<GWq0>uQT{{);_Zmonzwl{i_z8n9Y? zH;>Ky<FXw7iu&_ndkjn7_32~=^0b-m2ztmH@aB|H{mVbgL$uGRaq-OjJ$2tAk3Hv# z4@PxP4%_k2xBjwo#|5Xb+3pb!bLx7m?Byphe75k(v`??J;W-$1u9PiZvTy74|Mv^! zm#V0-ZdHHLe5m5LgVxfc&h3J0l&6O!_#|e|5OJ9u7~1QVtQ`K&jqeUuSi0$KN#?M_ zpD$%LOy=~@J`s`^pq{ec`}xE_x=N3=YNx#qed!>yK)g6@)5|=g;QmJT_E))&jkT{k zu_>Nsn)&f<`eD&4rk|I7QBW)W*DLGTx2^smr~9ryy!Pw7td9LX$@#T<{d}ICnkxgJ zp0%A^d-kBa#8vC<D{k<3{l32A^vyY0uUf;-WV~IWwtkj||A~Yj|2Q>tQdMvN$hDZq z$;Cf^77NR&(}fjMGOPIR=Cqlb{rGg@digf{S&mK&Tp8;6JU@8%eYmzZJ6YQHx=_}1 z*?)X2*(Qd(r>Fg_>a2FO*rWCGM&yk9TKfAYO?~Zmy~XtGrlssV9&R%8k4mvP`C-nT z-L+@#Rexqw>DyDkz3jl=^7S*i>+eir+<KKIDE*kqhZoxRI{f$MF5Y9`a!yq1Txee9 z51kI-mUXdT=7||h)9drLO=()`xOr>wrEdwEvO>A$tV>MR?U{c*Q`^<yrpuBBZ#Cnv z?1$YEb|UBI>4f%4t*t!zCY>$jzND&D)|&9ZKRjF0W?YYDPy5QDQOcv-<o(?1Vs3WR z%vrC^w?8%&S?j**o@MHNZ9mqFw-)Hz842y2{eM??d4J87wXT1SXBf<kKaiRcn(|Y2 zTY>5SKc#*rm`>jRWN}X6P;WPD&RqMN)ML$W&c*o^t@XHIE+srOVY%nF7C}xqVO_<| zEDQ4)Sp;f6-8G(88LYZfw0q;N+4{wo8v0Wvy*)OI-8ij%Mc$+^Q_H$;#@gNjGy4r| z6k;kuPA+6v5zF^!d79js+i%tHJpA(H%G>t(Pt$vwlcT5HnSI`-Y>MTo4I$D0j!%hE zNntu~{a~*&k44~5PP^}08d?-)nn+Fi@~^SlMO3?nxw}=Q_~x#6&r<e_Y>HW(_MWA2 z!JUhX*Rd4FpFGC(^;qw(b4z86rYf@>{d)AlX~mPwjgwEA+;ZYpZx9wr*{57o%(q0< zVxG~5CLite3ukOnk1}0%kJCQ4T2;Df_t}T9kLT}>Uv{tgD|^Le8R^G`Tmiy4z3Y;k z--)jM=dX4k=J0;Tz6;-0R(l?C`}p%gRke=d%1`qoq?45{swX^i>OXVDjD3ESsPefQ zEt44aeR^q6JioE%Y*_m_`Q9_1?+r_BJ(UBtPX8w*`D|XmJ^kV|U#nSf&SgFcoxJ6V zM0L-b@Dc`}b9>|W-s4&FR_Wa78Ea>4U7paaq_ZS!w%B6d?eQEcb9-)li~o9PbMVUl zZm(zd=~TOg$IP6)*IJ<P*V3S1E1!*@<83_{Cg`-jpKO$1eJ9ehCgsAhM+;tW`Cp~% zkhFgL(oIrV^tXqlyIP;Q@QuOuVQZkizPu}c^%U`a#$qa=?_XVcAbN9WqQr@PIu#R2 zjz&Ljn*6h<f<M(o@3rLV<fC_go=eK;5a{Bp)%M)%w>DAN`$*JEvj=y-^3*O`STC7m zYvk4A9_ANQa_JOv<{sOGAfq*|*0Hq>{U;kdJKpSAfAr8BruBz2A8IX6iR7OBE7tm! zMtGk)v+ue~hbBws75}o|Xl?bfTgmOsp*K4&FMlZ;ee&8LiJWCmrrlbYe$ZiMmsC-) z-zT|eIgTPGL2q^z&v9y-=a6*pjmWpVx6QOF6(&z_bN-pJf32dbhP&<U?FU$`6VFe7 zb8J`n){qHOpVnTJdscZ#@jzy%bfl|rsjbqDIIk?n9B*c2Ne%bA!R?d%Cp-9eJ)1XQ z>PFPUInnzsTl~M`mmAvYtFiOjh7&Iz`<}JAe78Dw|7#aZ@0_cb6d5LT|1FTqyDF|d zcT?Bhd#~j(*IgIoT#_-TDdNGxOx>mOmojsYe(}qCW|$~1?!S=V<l6M?a=nl}uXVmJ z&6)0%l=n=;U+!=C1>rYq4p^m6UfB8hk(qW@yYWuvycCPG*$OAp(%%_R<Bw!|ce^Bo z(?4&^(WduqcRhk%9pIUif3#dnR7FO#N?d!d+jXYr^;6=vtauZW7JgRv?xh;0-wyIT zq9-P^U0Knk_NvKeNkGD@_xe8`U(QeMcro+G<`dIq{>hJ1oAh(ls$HIIicHNTK9?Kj zCDn(mUJz|O^+bP`SHY!fG0D)s3w;=*HRg6?e_ty%%lvBpiR*4#R)*~<sPfWyYA9yD zo$v0Fo9jv(q_}>bJaQ^|rN5*bXGQ0E_JbcoJl;)vzLdr1r*HGdnF}0CzOGL1-D|Z^ zTX(a3Ks!&8jQ^vRPMMaxTlsRtA`YEVZV5IkEL^SIyt{$-RL@V9>sz{}n&&z%nWXjB zX|0I&uM3N}mu>WY`r`80gTI&EyZh#xtXj6_9^0y^>Pp_8Y|=d%i?+SJ@a0jA&cWRF zu6c^)H!oc|ry(So?7dI;(<wH+@OMdfy&l}rv6{5qe)ZcGJ3by1*_v)1HOHtX_UTSm zuXYoQ>CA`EA6|TB+Iz<41ntfHOk3}-Y<%7QCwAJY{>b)+g@R?<%iqQrUp#i|zsQ8+ zUrx^Mll#*#XL*K6cm3vXA6A=(zW8<Iab31(F7N5Y_&6TlQ!1Ca_g<;HGi%R(+3S}L zw_Z5+?dzTQ8COkjOn=glckJrg+lM5RZk=hq_gngR=(>BImF?!4r{znXbL~>xWM(dY zxn6>8c9UR&P^```sdeJhP8e&+$K>7o(!FM4G28ZkP3mUZGJd;Szg!53X6;zL<#MXr zlNU!e{|}jSx3~D1reUbpF2%)crKSCU6hDi^t4~nTcd1%8C&=Ij>uVpiz3;D2&=$KV zy|MkAB+ERNi^u1@pBrxGXe!9DGkV$6%m0Mtg*xtema*IZwoCo<;~}%|7U>+j`&Tc+ zi|0+@J-5s4v*#X>R1nZV7UH<;{Fb5_BHx7<zRn3zy%J`AE<5~6WV?R-0<E8OsxxB+ zIZoJ^Ff(3hNX>leu>8IA#49X^o;@kdjwxQ=nZMeTZL=235!Wt-B3t3V36_Bsx{me# zU*8bi@_yCg&(lS3@Fq9xIoW;fgR5@kyYyoM)6)~Q@1NP3_{l?TS8q#_ed+9%GP<9S zGr0P>E6sSn<1Z`kE9=J$NAK2c3@&&z_fOdrmc*0OZ^RuHnpNySNhCv2yS?gy{YS=F zUdc0QzjsglEtpmjQM@fwmqE-tHOcz4=Y&VE|Lw6{{pu3mi-&<brE4vCYi`$HwySZO z^7tL!MJ36qxod8`S&*u8hI595s#u=5+vPe%#~oiK8VWA+t`Bh7E|@mK@509E^(Gwa zk1X6DH|21;RT=Bm8#xC`^AzXizxA)t@xQx--($^*W1qIpb=tD-`_;|W-*irzv#{BR zEpIhB8nO3>e^bY@ZAxsObqjZ~Fnu`k`gO{6$B9P8nf?N7b_u_9q$K9m#CxAQBjO!> zk9|T)u{irLQ=>(Xmk3*ZyIk<~>Fdf(0&V6x)AF09#O?5nJL&V>UNdB_(jtz_ucYtU z&FkK{h2?`(i0f~*_D`~N9^AFjb1So3eeI1@dT@f!)?KSM%r4RTY?-dkwp@49lX+S) zE`1>rU2c9c3VW-z$s|eR(?9VgU74*W9<5Uu?u2F>^b0mtP4m`v6WFzdXGX`_R^GfF z=2uQ+`AQzzz#pq&X%qkCD_`>w|ECwj+-J`6_vx@znc-KX=V#Wp{!zr_U!RuG?LJli z+i0ey)A=6$8#kYn^7*Ooe9~CJ#=ppH%hdHXdUuo`w*~U@Y?c-X5-?Me<J@=C=x9;4 z&F>$)9G@=v3*??w)zQ~&oBF)Oc;k|Mw!XMIiUm7z1XkbORFL`X=mJl_TQ8S?lWomN z`EoJVJ%v^D@%|dKP0=1lmzId})J*>{yYPwp<oiYu-8lgr>Ro(RZ_=_~9B^8~KiO(- zneqFiV@7x9$95@dCGRi|500@}dO1t%r<jDjSa06F|0O3BobP9~Z8>ZADeI=z$1@?1 zv-P*l<z2I++)VGuORXax1bduZ64tL2Om7hJ>Hq7q++z>VHD%$rrz$(v%S`#els4l) zD9>ldJcC@<#uTsbO=V9b*ZrM%->7l^^|=j~T0`Dto_vyXwR6RD#o1mrZTuZ~r&b1B z`+HV>@nhQwTCBMmN%v+XrZ$I7{Hqo2HAQ@5w7}~<ivQNJZIbn0TYB+t$rj}c<!AfK zZ{6XV=*qQX?O!3;o7Y*{9r-f(LRuvzPIG?7+!@r``Om`Pb@2=B+qdSQ_X~H*%>4EA zsF1mR?}Xd0N<7RzL?;@gEUh=6^W*@_+r~AW0xa9rzlQJpqj`wycaYZQBk%Pl^WIXP z)nydEz$<O`Ers=7vtRR<tW*6}w*1iSKL@79+C{To$@qR$QcTx3;+y#c{pa#r4_5U) zVg53!+)di`WP;V&U3cZBZ-nmK`l$JD%O(S6+k=j0x;7u2u(!_PRhXLEe63{y_f~dX zs61l7=7L18P3Dt;gDG>^t<|5-{%CYhboudwnDF@LmTA>{Yd-(psJczsn`ch;fqQ?y zMm+NSXkVauv{d<1>rHLPGdmX5^?cdaYj5>r|E|E&(ib`Ru5)aPtz{FNw&~a=^_;)k ze`v9@^;>k_d2-e1U@Y(SmPhhBXXoED4!*syHe2)KN-O;hpWU6CWdGgNdNsL7d@@hZ zinM%2KIQA*>g4>Fyj64aUa@ka%!;H_d(uztUs?WO`eGl)lpUun-n`8|C$-mZ7k}Tj zJD$JY?q7L%tiq-2^Q5$m_pAOCHay9=(sb*C#r(exyLiJ|HeA0r_kch|V$f%%J_BKq z^xSK8!aDz6n7c2ZGFR`^%$5q4|7W+ZTkg~2^OSi~$fHox!$(#HX8kpbde8GQUEyf{ zqo$M7jdyG;{`)jhA>_A?Pq)m2Xs!o`{=7Mya*5eXea_#|MHXpo#+#Y-*QDRwp{D-6 zQ)G(B!UK;yzG}-{i{yJ$b1Y`tvz5WUPuO;@z4<b>O|<0g?)2E|^NI1-nbgdVi=F!L zh4amfw#?}5s~(m7uM>!~d}nEG9a>TqGks1|RD(nKq-y4`arR6Rf|r}lR5#yFNGj$& zqj1~SHt@+m@2lQFB>HW1J<1<kc&!_F$zRgz-R9Zv4qtf?sb;1=_u#eJcQ;&@4%l_` z&tE;awSF^wCi*gexvKmB-1nHn$!4!6g#R@061ZpkC&PQX==-Ohg0rumnyMC99Q<eI zI{PWCdb50g6~1!68gB7`U1Rrza&O%_d3U{QpXz@uxy--q%5|f&?UFGUH)y0(pDOEK zvo}ubX~xYP%i|R;m;YAeZu{LZW6?_fvZ{5TFUy|05pwg)`b)(RH+)lLm3}iZZ1vHl zXLuz4Bv<g+B+imel-&7n-?b@1r^Q>;AI9mV%n7rKPhs84&+B&TMmSe9t3ij?Mc&i8 zk+(Y(`>ydQDeYp6-jg{~p+&G_<)zrq`m)zeo`q*Cb^DY&NLM_0IOW^NZnI@q-lfGF zemXZRc>BHvF*A+p(H@Gu5#~mHHIe`IC*GTXe(H+asb^1=_9@Rem7a6&8r!qCbITcD z*`B?~S9D-km(9)2a-pQnKQ`x`EG<07@<eK#*x#fYBV&J4Jtnz%^Ovm`cVYB(jXy5Q zxy|35SLpArr9YMP*K13Bs8bQlR(PQJKl6Xq)6VW$`mws7*=GcEY@7am!t>S3x__<Q z{F2MKJgzD6o+it;+o6A++&&}u@cT=Fjd53IJaw&>^NTZfIWEdyBq<~HQc_y+R!<Lm z_e;lHKF3p*F8BKN_MLjfap#GXJJ{dWe+;s>k~T8kYku~o(n-Tk+Z~4w?cJnmzle|9 z|B~;svj%gcXM|i@Y8fKWyv0&!C&!WZo3t;>hnqN0ypX@>q)Y25-crqlml&5kuV?Ih zx7>HlhGnX@+iv7f$rlueSk)ZgxnQDG@+S9~F4?D?_jUcdj?TKU*_uJ_@+=FZ_ARY< zW^9wN-2GqcL#p!O;+*-@rZQRv`bbPQoOEOJdP`gLDI4ByS9?<ZyW;P`OPdwCcS*i2 zDh{}?IY3yvNm}H=jz|BMm2A5lot_k*zwc2PRCD38$TL$0_22Fr&C(sVmp_`J;i$j- z*8+w{-|mIWzm_T{f4ctYa6s6;8`n-0*cRV+VY6>?m$68>oVf0{u&Yt-iBpBW;jdHA zZR@aZUuG}pBma2^SAFrx<ggdd>{p+9vn#3g@xDWIn;d24uyVwQ{humw>4x2XuF5_A zg$!3`WW=kch*V9kH*RQKRv~uzU;6jr+GhfZ%NID@XX4kpu6?s~Q<}y3<sKj6Jf*l- zeVV}0J%?rSy5E}4Ki2WT)a)03JogVn+J&!?A&ai|ew#jTf!T({x&zTyQY&}Z{;vM^ z{hd$6g|0ZSFvDAir!8;)J7M1ZjR($fg$jNZ-gk;8AUU~2GA(Xtn~~xj*@6=m+qoaI z%vt^8GUt+aAFN+IJant-LCONvhs}$AzcO9_-0`x;&&@N+CiSQ|HD#Xge{}xOO5Tef z^VQBx3QAsiwmIg3Jj0xJw&hn{w{t35g{Ig%6w6w%uJ{SBc-^POV}55oTK4K5HaqeE zrQNKC#UApjCoKKf;&W9){8e%xk5K;B)f%R(4+|xJA9{Ld?YC~9*Uvsl>&t(9bSh_x znp?rUeTzS5_Da4_WZyXPqTHU&O{;d#P`8>F8J5=E-QXkR)9fqeq#)|_VXoVsm$6Nc zmw%qOeU@k7l>dJm8m+&xy#189#V6?1s>$(wiyg{O9XE=<w&L^Uwh#BqKDq4*F5FY~ z+kual_eaBl6k!IL8(kMm4^9Y6*zNN3?^y{Qwkuy5#5OM5v~RV{+D@~8b-v#0Z-hfX zwOpBg&0C=AX0!OlUq@Fi;GUpueBg(7iNk_Y<thoyJ8x5etu*6&^~O%3_C?cuMYo$% zzVRNv$^ZXb)$g?Q*WFzO%=%9KpG=x09BMz+_6J^e+w}kQ@%HJuNtadf7PhIs@?5H` zD7V8mFXCa$+dExv{>@oB^=3L>j^?_<kzvklv$w09o!PohUhU*rhgA<n7dnTBny7el zoaTO9J(DSDcG$s+C-JS8n<h1coH-@CS4V5Zo7AuAyl0=sM*q9!(m&BO$@r8Gt8)DA zOH;d=ZrwRwS=N_c?(#uir=k6>socf}jeU8%(bdn^wdD7GsB@lM8Fg>{7S@Mvxk~Ki zKNh_&c{XX^_Z#b*6TP<97vGGzHJyJ&_S=MSZ30_L4!p6sH=`(Smt@5}jW<7zEV!(b zb>rC19OlH^!4a-GJQ8=;E-|(3FUzmL8Q^&4#ji)#UI-mq!y<XgaIJ=ss{Hwnu7|2a zqqiLEWcyRK)0r)J@}I8abMIzmybL}6n}KQPF{2Zm2ST#1H#WT%FwW15mOZ#g<I6jp z<ZAf^w~j81c^iG9VP!(n^2S5$Y{ECqdTe|1rlx%Ds(-bp+@s)!+}s(*X3NItKB%9j zB-nQ$XTc_S&cKEh=lG+V&YV~6k}AmT-O1X>{O{7t$Ltd;UzzB=WB)G5o78{m)t3_r zJi1Odum4HN>z-YkxL^TS`1Fg91t#}qRId5ivNkP9CW`+61HZMm?AK%E2k&k6?K%F+ zJV>|Z@ci~#&J$^@`_E4?D6_7fcG3Q%xZvZ!PY+)<z0&=0PcG$3*8fMden}QJTO@8@ zAJ*WVpJ&<j_HD)yu0226|99S$iQi{qG{eDt;^u9ell`V13N+F#TG;s5kBjf?#yPnP z*(V+ZwXV6Y-QSwdX%jy;*scD^k2jLR+e<dP*zfvO@$cyzsjmw*uqSPQ!;q&q^JkZI z=dT3~lFVs-7d~pUKi~1<TK@H4pK?}jTv)km_u3cNZ}9xIyfX8rZO@cP;S6nGG7Mb; z3O;N5W$5=ND6}(ptciKdx!0sc>$KIGHU1`>E!M4&I;=VW;oT)WV#W4$tN%LiZ_S0> zq5^K)%&V;|9aPxYMAzS7X4z;r{eZj8s*0y7(<-g_(hO=QNj%cEzGb%g*t$<C6^p+< zJUi*r^9${jr_%Jws^bG37pH|5+dH4DaLn`h`(#G(eyPiIw{lH%{c75FFm7G@ma~hd z+8_RNKKYsc&&N|Mjc>oY@jyh;vVQdqvx}_GCN_fawbyUG;>cob&HmVYU-$7BJ9Ol> zKh*oHa3<sQ;e^HnRtw7xubg!Mr?=y0#+e&4CYXP@`FG<3qYo3hmpck6-Y}DOt()qk zebr*Zof-e<Km2;U^kdAXzuP>13a+sUjF(eNX3Z7Jy8qoVnBP%B%KaSYEX%JaPv(bO z=09{xY0v9)>OQQy;mVeqDrRCEMR|D>7OvjCL^nkKslt_8yVTl$1nm&E{Mp#@iRsIt zt^ecIrgf|~V6S+}_h8@ltQ!Hznswqa9<3hlR=?$CXUojwx7ecAe~0T`o|IVenUrqZ zBHkJIq*$uv%gqsgGi%q9*MECoyr_!(F}rj6;@PQA{NlPdw(PjEv&C}5TVMC5lU)B# zbo^hqQ!0P$R=1W5>D}>sB{e2h91Fy6Pe{1Y{_XJP!z*1mS8^OOKQvor#pwlsx_fsV z*|YDnhGlKxQy#IVBtz#rf3+-n!-5aCS$TvCbG%_$6`*06=$_kt?m5Sn>3@nEgn|;k z$n5IPneQOly;@sr@!RtZH&*4={j;8BXz=>R5tEOT(z01)<Bqp4Z&aOp#bC`OiG^FZ zm>AAC>v%B9Mwb2a%F2_}-M3uvdxVg_P+fG-;-XErYK@oZFy>3{dZQ66xhwAG^ldip zwe2Q^EM>X5amJ<CqJ&wSc6hP$Bp!?_t$J!>uKm$7-<0F4sewjJ^E{g_X9a23=4<To zu|`FHwOzm25_V;`_PU*&d;4H|WAcmj2PV1jt?Y~S^tO=LDDHZ8?$<p9ZRa*V^qLiO zVr|X!_K<rS4a@u9J$#kAD3~kbbB*YN%TK=Lwdb6BYrk#oP9f_!E$gDMuYTQZ@L_t@ z+IQNS{hqAR6vfOn4}O0$OPj>MP@g?W?MKYJn8mIc?nR%uOytTe-?;>=SlYgPhU5FJ ze|5IrW?LF}$ZTPl^VwK)%IEDLk`*oZ-kTR~e4X8CIQy=d*6+R<c|ts%&XYCGd5@}H zoc8IIX_QjYy_m%x6moYmoUuQCGOk)cXU2wg%h?Ytp41qvnJg$!BN!97vwvanvU@gb z=DFm{a>&Pj$yR^RcIo|+i_8@-=e^XQY*MPSB_M5ea<E3~Le`rK{%1p^-u1rv?>QxR z|0Y4hkOY>sTf%!MOVsXvT4Z%_^-SjsgKrHU(@Q?zbeSmnq9BI(#7v=DR>}18W0~xy zTZ13GQ+lRr=ReUd(`NqvMN%{6J~R|Li}N+--wxtpxT!Je(nL!Jv&~-96ZKoZof4iu zP3)>qs9ei#?g+^+S^LEWoAz$nYWTw1RPm%%7H>6Qq2><e&$o-G&g{{5f5hc->&W#U z^}6=Ohwnz+OP9IL*3KC+rQzL@Df+KFJMP?#uDM?})#BI1fZC-Zk6on}ADjF8SrU6P z)91gn7v@MOOiMaG`PO}(6VXj#^P4qO`uB1&>dgGa=*L&@zkQYg&!p|Eo@CW)dGC4@ zB`M5SDV6;?V#V1nHU+{N3VabvqRpFCrY75#=cSamM&CcEldrfZ^5M*N)t79`MDzvN z#U5U)658pvMx|Xfq<U3C%8nUNOAF;J+j?U+&0RF@(%D6aeZ!sgYHG#qwZGhXF)wt{ zIWERZq5ALK>9Y)Gl~4Z=Y4b1C|JHVvP=RUg%PVChcPKmjx^mM;vOUzv(=<7gd&Y*k z7P;RZyQVNl`p2iKZg!Y$P-_yhcEZiPmfO2(&e-j{T9opz{rq`mKc9}M(<jc}{VKD2 zQOVKrz&HU}Znj(p{}p$|j~9r!*Ay*T(Z`jgy{`Ukd*+5!x7Tg`&-Wv~<!r)=2M-FT zUSRQZySMHVyIRJHvyI0cgQ9w7pHOg04p@02EI`wmGyKrJf4&;)T4o5EKHkOmYHL&E z(|K2ZseXAM_;0Fc*bPsc?A(yOs$I<fra9NtF1s1t-_`B6jin|1Dzj4l?R(b+j<{`p z!pUp4<PrD2Ee)|OaV5=H7qsUb@7U(Mv`<3gY}LYa|KsPvKX1G(zfdWBv1r#3o%IIw z9301PI#1fmc<}wQ+yk3cpR{zIRF>bGdd%*!f8gvUC#H{O;qSxJ@_xDe3)~W<-sHaA z{?DF7tFKSHx3Ar{MosYD>h_i2e{U_TPn5p$+150#e@l={<D)mnl#7J|m`{CQQ5oV_ zqp>F8#LFi?7QYX8vwKOfYRmpPXD(jYb|dj@d%-LI{8x2%3M>^~{yTe_!|L%#;k8l) z^SVELopSPy+;x}2JH6i)PH{e17{AC+amf?6>80USA+0;S<(<7^Oq&~|cJv<#zg2zI z_F(5);Sc>W^6hD>#4?{`%@f&c-6~Ljs69g}d*=)FCHr5qzsSxxefIsu6`SJ)UAA3) z(DlS{Ew{m+BesX8&Gh<xF!|1VtqW;<KEhv0MeN-6@V{n1C&@fD*J0-WMJsMJ$X|JX zTYbmb4j~<@hiju;j0%^fynp^SDL?D8cWTm2`J%wceXkFk+S$kWxUz1E{K06he(BR~ z6>IN(w7PXU+?jvpYp0F(WxbPT|Gu*Qt8ba_wxW8zDO>#Aq}4T*4moc(P*||pcut+4 zThM3D>x;kKIQDY&uYX%MC=@>Ua*z9c`j&~IQ?}YXowrHvhUA&AA~&Z8Ds(b_^C~b~ zZ)p|uL+`Xxe$wuw2}*n&68v7q%Q(bEZzrn!adFMyj9X#BIq{)SfXq#Xb^eRL<Xn+| z{4a<1o275zn%6}(-;=Z*bS2APwXB|**HI~TP(sV~i^b)Y!92&bcN|h&_c(mn4uf77 z?&^ipX3A{jR8D)&zJ5yWuV9{6v!xcP?Vs~1dDTYGtEzMK?>(P>yK3F7qNQ&7ssg`n zA6#}*X^Pp_pZ;?dpR~5yOC>+vHPL>@_1V+9<C|B^%Xu5cXfylT|7UkDZb_V{oZYY2 z^!oUe%&Rh)DtGTa<euB&<eF;nM*M7a=#*Q1;XliRp8d*hJL~OT6+Sm`P2u6Anmcn2 zKjiseRI>7>!gb!*S%Nh@C-3?SUugLHS|Z`o)?JOpv1S6>Uhn;t-n#kvy1k7*H}Nk{ zd;aqKtj4nEteUUC6fl(Kt;#C#$+f-Xbx_rFe)j1D?k)?>ZU-D$>aJWgLF&u<nf_{9 zv@W)FedzBhU~4Psn&x?N=Juslu7+KcXR&m3Co`IS;%cmjlx%*u=*#)PjIP{XPA5Kk z@<mRFm6+C4_-2vGncZh|3z>@dr%3p{E9)!&GSMO6$w8?#^3x|TZ1}!YE6-c*^8N_< z4tZ|Jo1eSxRvgK$sQ;I?<Pu}-nUxwnimKD*uKjA>SuUA8>EV%zgIvdSrX@;VTKq3~ z6W`zC(Vx98uXf(U%aNg1`Bvxs^)nA|3e`U7c*5n+Yqa!hXZ!Z(4X2hWXqZK%u3;8) z(z`3Vcfp+N6Z}8!-^HfzAnEVF)&9993mEeYRTF1_w_o{9<FD?t%dL7`H&)H}F_wEC zc{``$F|$QI`%IsQ<#F#0=k<#EY!CDPE1kV!`@d9eMQM-gh3O|>GtZi-W&7v#U9Cl; zRm%-G`yE={VdCMp@1mH08Q(m<>3${lG5vGCc+NY*n^Agfw~xKb)khMwoQbTPLnoy? z66Q;FJYe-9&B4k`^Rv&vwV#q_Ro!@$r5dwuZ4GPjI_~QC_I6I2Ca(M@cUf`i8K&y{ zy^^b&i)L*3aQEx7*Fp1EFO#@7dG;bkHN8*g{hl6ZW%6>q)3@a1rRGgS#oNr2&Y5Qy zZ@H&hb2VFemEUyBBO50i&~54QNO1bUn7wXkQ}WX&TUlMDc`^TQ3uS~&naIa~<W22i z&TS1MQI?4(^VQo@w+i0bdtj6H37L-$V%2|}CP*aZUpsbxI)nf2-i50-73n-X^!Wed zxtw`C6Aqi~thP~c|M~Uz`P~~9idb73EcV`X@RMEA(t@t1f7?$Uo&U+XAT;|ZkHpr` zt6F>Z_(#2+&F|r|U+~?G;HeS@3{#Hm-tdCUq4;LH+B>I7)hBY|cAGC_&OQ0o!+pZ5 z&)@H|e?Fgi{RbcCUZ>UdiGOF*9P%?P6D%$G-R_hT-4^F~<wIbv{<322z3&_!%}KtO zwr2y=ZTDSU>sM=5S+^eDvR*R&R`SgL@)oCnuis2t&%TJX-Cv$B81_BfezC_RPpJu8 z1T;@g^}Vz7$UnbFE^8k=6<dAfGVAvnPM!x(S)F%EQsS0T;WL;k$HyL5f1O`2MzfYP z@=CCaSK?Ijo(iRuOWE~%eoAj!yj!<?-!c=eg}h4*_}&JlnR7GFdhppop>EHy391nr zt#<5T$y}~=s%yS7mqP5R@P!Nu3Z&Af1$=tQdh2wd4{KYO(H+l@Rwur9xeVRBg*L0F z9lvDxVq5U<xZ5t#q3^Xe-<c8`y>_ilS$piW|9tDuv2z$r?c9{uz5mpkn@vq-8*Q~d zZM(_$bAfTL&AJl5t=Bptp44-!F6W!Sx!Lg+-`Y8T*MhIl;uqcYXl93q*1}VK3SaZ3 z&fVG^V4m`_>S9!dVf(ZHOU-6@JKebGH_iWWcHr5q56<gw_wF~5m8g=++cHc2hls=e z-KS54E^j?>%|O=kNOg(sx3Is*&u#yBJuP>Ze7pCqFT9(c?VmYe%Iq8UGQC?}MK}K1 zQZ)IcYq;RI`=9>V>~=jB5gqi<QTqSQS=(n{S5zyoFE-d+#DDRT_r<Ez#eF}Y_!-uz zy;-UzY@RotS7d|c<_sNPsbdOiXEu1eT)k$SOr!iKwYee=6J~z7X%n#VQ{Miglky8V zI6YQLXe7MaJ@<9VWG+vc|0&tZ71if9M5M0If3_v;(78CKNm>ooXa34uTyOADoc;6e zTP{t}or@j&L?6FAo>9QQ*kbK^S%;1rCC6@EYAl{nzKr+Izpy!Zc|TWOT=+jvZOWl# z3!m7iOFwzGF2iugx)W~}A6+=tX~u?s5BeF)Hl9*DJkw6scH^R%ffIC(o_D)!lFI-2 z)OCAZw;3B8LiRWvS9&yMQjOwq?p1Fbj`jFlXyS33m9C<t@uJgs!42g{0e>PJUYiw6 zxzBX#%z8GXI}@I*+jRUN>-_iyZ_+s(*ygMc3%hR<_;B^hbKBqYMzOAP;9g#p9T@QR z#=bKy9ZJ0&O%pdTrA(gbaB<cLMrOYkA!}Ad^37QPYwz3QU4ag}J#BT)KKQJlR2Dq> z!v5{?oeKMOPG9?Q<J#N{$L-|OH@wlh#k}Lc>WimbDK|wH2Uh&ZiJc=?|Lvc9!Epv_ z|D9i7HcM$0Y4S5pSk5u)`*bPo46B*p;tQQFXEeVrThzw(oV9a5_lKa3xgJXeYq&Yz zeU&Mi+sVZlQ+1&*hsh-7-}3bWnI}(ZbzUiZYx@3#^Yph0Gm>rG^LA_z)KTA~!qu6# z$?x_<pJ^BTc9k4vkdeBd6vx=$*J0XU8WUIl>d$GDmv1LNs=eR1=w)eu^wb&-6TfNw zey?9%;_f`z;Kz3?`tf#O!v%VgLBD;csFxNQx180OQ0uIAl&vSJhH3E=(IYD-wB3rW zeR}oSa&7j_(^NP9e)BeGPe4@vlFgUd->{_yb$xU@YN@5Uk5%HRxZHhJwW>><s$UvQ z-fN!=&J=5qihjD)n03D(S5;4|qgciI{@m{l%N{>93sLs|8~jS9*Wi8M-s_%Laa)dc z#MVDumRtStRmxe$0w?2|DFLh5TMtaq4{-|nXs15e{5!8zg{Qbiho#O=#+sf}QmW^I z1TXLE<+{lw<Ioc`x#ol4dRfb(Q(o*%ln+t!P{=9pe<1n6Yya8@#VbNMTVAg|;&&=q z*tg51{n%aA>o@*op1iQ{(FOgfjT@G}`#NWluuj5*0P{1x6(=v09^et%+<*1XUW0R! z4!qCV_fUYb?ZFPaD-90XKjP1PIi6#F=j`4-E!lmsYBRsO1>H)B{vPzE$X}~j<$ZB! zc!js^g^+pk-WNniB~0%)^dv_|b+h)>{|Yx2Zp<#NtJ`G%>}S}|k3x^1dNs8Cp6$@{ zV9}C;f(eIBH3}7H*6g16LF_|1bDhY_$ld=U=RcgVHnYe$I&u2<rK=k~yk{=ne6RT1 z=BOlR-aDLcs@QUWrM}ja+Fqwon4q;*K}XsrvQ*x7u}J1r0mHKyi3y1+ztb3-HvVTR z32b|CSZ$#)-;uy&wp?odi@c0>nwy>E33;!2tM2oA(<MzzTf^=$7D=W>3vm}z<Q`t9 zajx`=Y+uRZ<9b;OCT-!hJ8hH`q~E31)Of7L$=hSu;)t_r5|_VXh!6VrE#+R((Pb0X z)}0q@a%cIq-o;`{?5h*@-X-(inM}{mjI9^U`y?A9oikZ?4@(m_*Rz-FF6|0hT2-)V zL%_P3fjl##9v(E&vockYati-EY2|CbBlE=Nv%>4#*Euhor0@N{AxuM9_QQJ5${v0r zzAc?oL|OED)c7pCFAF?Wl`)<3(kb`(s?4CWi}OzgyuPo*u<(-jKG(#VUs4Vpymr@Y zlU1L_9Jf=N^>SKnw#lJ~v-T$kM!pR{+wFgg{r2=P#XXLa_0=Dy?N~9PF}mQ`v{yAR zIQ*6!%`n`ieYKohwmjl&2j?1&u<#|iV)ZR^HN7rh|8HLHIVtkjCa&{SJYMuIcDpTY z#b>+k8=Gp<JckSU3ocz1^?t&2O?#i}hKxA@*Y{bqg*OFrhTWe3sIauIz0HJe!ji`} z7c9lDe0qH4Y4I=R59gLIa<N!_Lgu3MrpD)78+~&(M#RXx5z*f8X|4SKsXtb&lKP+j z{LoF=mp>NWj!yN+nOjzDKegoqr)*x_*?T$P-<mhgWt$jYGAH7~srm;ReNT4niVXHS z^&&>3PI>R*&7a<0d_JqmT6S+OLymOYhO;kN7Ek3Dd9_+7X8Qj2x?Gw03i&PVO}cwG zKKUMd%5_@dre%r-4_tq~Z?&=ct2Nn1@xf9D;k~})=~ff|XgpH7z2tG<)02C*E9!<9 zzOW1Y5LR;0@RaDo#Dmh@3<W1wUF9@q;82pYJ9k+w=lvIdUh6HLF83z}{NGotpJQKU z@_h5@(v7FIx(lupIo!3aVtdQGNbUB!u(bGd)h*3l-=w#uzf3jf(%|lM>b>a~a9EdV zrL02DwpLwd`#JY{KUK!{?O0aysW#TBhA}9h{oCUG8+`USx84Y5aPwc>uPJHhnsGTO zZ&%H`XGfOnmZfAj37!9;Xs`S(-!S`qXOG%(Wrf)4BJByc1opPf(7t^CQmCBnj@uhq z+1_5edF%AbA3_$d1w_sjZs-(PFLZ2k?s?85PNMB|?k<az-FEBMw>JwH^G#WCV%5f4 z_L3H_46Cg=HKv@49`Ad%JSTtu?6$ve7PX!_HfQRVRbO_jJhjD&>DKY`2VxQqHPbIH z59E7N%UC6`^Whr98xOZ$+3=Dt+uc8?V;+NdY{n)XaSN4)!C!xtxR-ZV*9*i&dY^yu zn@dNNUA93_!QJ^<)%80e(p|3}99uf&`rD73YXzJ&YuaY!FWJ~CvpeYhHLW#sBn`Wh z#3~j}V_-Y%eRAFUWAA32$_P2z8u9sXvu)P5edmhvEHA7wd{Q~thC97C_`T<DkA*#( zOFkFm<gz!%e4O%0Q1nUc!7tALzWu!ZEYFE?W@?NytML2-yt+P1!v4hRYIT3uZM^r} z+dP*kZgn!9?8X92C2?#Mt~8a_yWPC^ukVPT#v!e`4fpRByn2(lFfMM#w{3HBpSwy= ze=l<+)!08{hVJa}`Ignwr_HvIeRkwX(6ZSVFY7$GvGb7Dtnh^wS#$K=im%OmUUu`# zkvmg%P3U#q8X>{9dyeFRlMDIE4_F*Z+GALITV2^~;}i+oK4sNJmaE?cR6kv<3tQ>5 zrcSVK*P@00%H;K=e6LS&bkr%bENFiz*io@#=Dr_iSNtzgdtLiJ>qv95v4Z=w%uGh7 zs!35rwdeo$-<pweNc^t(u8m2Tj{HnxXYaUsW%b(2wI3#we3QFzaM$th-pRX^#ZGfR zKO`pD`D1#w^jmSo#3O;B*80J+OD&)Adhm)LPN?iwGTv|5u&hsL`(mH^te4-cE!NJs zvw+uR!{TWv`?DF}U!JdjM0kPAHiiq!{wTck)cySVjA^pl=>zpLx2)DX1zikmUVoD* zyUI*>SIe`#t>-^hDGK{9?(wQxl<2rDWSxYQ)#H@^CMUKzr|tY0xckhUH@p0;FGeq3 z=x|>1;GrCo36&=MT|eb%Y|^ZDD7rK;jjdv#)pfbtL~&h%e(T=bSwDB37hQcXQ}=Jy z>bSb|dM`7-P3S$}$Xw_>vC_}?fb>)4*V=U%x9+5cE%HAvG<UOBV_ZSUuW7>To?O!i zJ+^uqKhHtoJLXn5W=HJs<uEJ@trihx_lrDxNAirFR<LG_vBHwOH|0f4RDZC&$~u$& zL^id(f;r^=!3|$DBp&&ndd;%KY>wPN>x1rJw(m(|k<?lucUjA5hnK*?mAhX0EEnN& z{E;u6we48Rjkup>2d^iKuKg^yalL`e?6orzuFrhDV)4rI2enpL?g=IJZk7HNu6VQJ zK3jmS!uNdtm)io))tq?qwUy<_z9nic6WT+s?K58TOZEfn&FSogV#PgPQ~nl~6|uC$ zEq=1k<%+4)&V=T@M{XK2s{eY&a5}wi3WH=ax90EshZYwPBtN&>-@Y&8!=AfoPEH*Q zmx=Q3I>xW#an8eUO@xikk+$!L+%tTx?Af$6l1(keOU2oK@}6r}Uj)1j+8_L!-!qRZ z#X<h8U;i=>S<c<uYjzsfxJYeW`iraDc5ybJ`Q-%mfOJOb`~T)Jds<Bv3X_@jW#_DZ zo(WRhPM96IrDA^M%6B6RQKlRGUtS+j^p<bj7SOvgUPJEB^<&|VOV2Lhy7$xJ;p3OO zO3E+RO}R76D7S9a>5SLrU(9a^m4@AP6+Y6Izf0}$E4@@VmBf?1Z|<GiwMC(Af3=jl zss6GmiGXjV4gnUnp{@PjFBo**=kE+WD%)<s@l5pli(NfNv%4&hvOiAh=5>!(ia7LP zYh2it8IteVgA&z3OJd%7er$H0S?Al>^;PHJ_tG7S0wt>R(|)q=NUBqh_#|bpVMe*< zOX0ZdLbGb_9*MX3=pM6fcF_cN!@V}#Q_OG3Z%lFdaqL;|H1S~D$ulyURjLc!lBE7v ztTBF7wqA6y@ZSXieQ$gIzw0@Cc3nZ~{kYTTHtfCO=xO4^I*;#K)a8lqqJN%}x0);W zhf^lqzt5#^(-MQfi@nx)pL>6B-skC4AFF6SZ=T@b{9Pa=B(?7!`*y1Z{kP15SKZS; zu2dJ<dMWth<&>}QB==s4%P9_z&r96S6ZD$hL~iEG>9bBG&D(dKN40}fNIp}=XWLwN zSHVl~Q)h2KdM@l}df4yj?i+sO1|`<8a+*mWJ0rvOTv>=OuD~Oz_^FKQ)$=EgA7YHy zGa*M^M?ZCfTmJT5SF8T^MV%UtZ41AonH^I~UbXMvQ=O?dUME>hHHlG~qMmo#&UyB| zQ+p=XnD5e-^Pk0gZ1oPMfA0>LNg7lKGT5&<(epC%!{hL9-RrxzE$R2+J2W@^`ZKrU zwz?(Sn=N`Q%om!Qcer_M@02?uV0qy6<HN7k#~*RB2~C+X?N+$@f?wxtwVmEM++%m% zxvrL>_Qw3~eai(WJFxwkTl0c#!Pkv@EKfUYZoWFPuK&;Ks%?K?Z>v0*DAi@TQpeDk z>E(9zYX2VVx88xfx>_$s8!qU}YGn@a+w1+MV$)>ZBfGeHov(`D^E$oTyh8W;qLr<y ztxxIgG5T`f_ih7=)yc?$+`9UhTfchjcKzY+PpaHjxJpdbuJDi2mNx0YiPqUJ%*zT* zy}o9K-kJ3J&axZg|9%AB_k8(Y?mer>m9^zkX~C_NQtH1JhTkb)CvxYPQdRwhj_9>J zepos=t@Zt}?{#(R8sR6>^5RSv{3lNT{i$+S(we=MY~>Q0jfAUYzpW39-*qyVarVvb znm+}mrAN9fQf`Hr9f^MS!S!?D6Dg*$5cyLMu@mOS8Wnziv)ArOg=?gg(}wj+X6<zk zKNHsc{G3q!?mPa|UQGNybw;u)=e^+hE;;U!Qoll#EVMp3tWEo>baKc3W7*-e?rkis zOIl*s_4>e~jm^jWpKqTrk+pK#EZ$G;^SOVzs;}uzSoJ+Ut###`d9ofi%Kx5|S^RP4 z;yHI#c+OqeB6l|YG;3w<Y1{QKX~#>~S>@{8RXBW(+cuQbC1^Uk#=5f`+u8T;Yb?;a zo^96NSh;b6n5=H#j_w!Ft{NwoonaMS!PRNK>vV9`Lvx+kTV?t=uA6Qzx^m~f%=MXe z+(BXoMSRc2AG~(z{nBL}=Q198NZ6fmR(u$-fZuhGUin?S@5UC3HyiF-w{e%WN5cVs z*MPcd(>E2y&X(%&VLpELw^iCn_x$hcycSlkW9NAgr*T1V-iF>6uaisftNtqdBk7V5 zafUzDFg@*;0IU7yXJT7lz4~*_Y(=)Ltl!sPZd-+XC%;&m-+#n#UgvI}HQ{QHuN~gf z#C3MZJMJ&t{MQ~&R=*HCeL;?a>TAo}Mb5u|g)bL>vUuC9S;mSi^V8KjeCKa{QuOlW z&kO34{imODlU|(kW7hL$-b*LMnJ?w2`FQAe=XQxRe#<nUcy6-WXz_FP*RMAf)6|Pt zEgu~*SG+!B-CNTcpZoZaEWFYvIPL6fov_ZkE4KVwuCU_C=@!YAKMzN1?^jFQ*T8mr zU-QRmMTt=U=Fbj)GbKYxRWE#T+s*g?!qc_?J`@>!-}ybKWiP|R2*DF&cKHRWs^@1J z+OOxj_IXnDDX;o#rr~-%{{k~b-d)yxara(MPtWZWoq^m1*Af=!T@s7Cak+NZC1s!V zzR9+3FD^g#c+ysJQa$bS{qyP<f()9bKl^&#c|J?X29vdSE`NzNS<<Sx?A4_D3I&75 ziFON1cYXbA^t?QJ?eATiu1387anQoMm}lzS!p|8s4qK#`mCr0;$%y8C#`0YGz}@;u zfA0tdf3#cR_0ak25g{kpHB%RazKS>3URTnPmLDo0=VKbI+%lQ()S)%ie;sT4-48I^ zy!m~ufb);zzMgwii>%rN-l{9@Tfe(0V|mKg&YNX#y|3S1t{J&{QK*f^$_&$cmt=pw za5gyLwC1YymIrZ<PEWYGqBvkm|DXL|uj=QjO~1aA;o4qP(bClUtTqM@|M{^OD>GS$ zi<ic2nO|0GygBr)yQ6l^waK&H82**L7nyk4F(zvP>$&oUoMMWuhpi11OsgzaTjRT@ zlsC;2U%1rSF2!y3k^jq%{hvK~L8j@#(CeIzlRp_h+Z|B4W~WY4$tUM;H`uR!7F+#< zDR#cw1c~d*>K}bJJ$@zY+wGgPPBWa1J2GuA>)qIo{#ugtjt6dRm>yfAv2Ahme*udX zpO}ss%x7eH^y~aelRxLJdGCo#zOB^q`CgG}hu^OF>0jq&N9*in{^+~>cgBXoDeDeJ zw`X%2uSoEDe<JeclZb;{X&ZM=daPQ}`%y$wo0)yO=Rv>MyHBPI|N1_?<nrP!F8g)o z)7{VgKh^uteC6y#KfdxZ)rU#kwwAe7x3tSyx=H+_(U}ELbi^3UV+*exPFyZ_H>un+ za6`~lUxk{fEg~sjgVh6AJ=kYgf6`2v;?+{Boj=>Sh9QV)bHR50$1>+{obx*{X<Ha$ zzGUL=^p*R<y*jEJ+jcQ?Z$D^tqe*I}!xZoJjq8MzS1V>8e|6bH*hAt|)q{(x<}6)b z*~h71U=_FYh`Zg)$sfN-3y4k%|EQU8>)qB`MONoiX77SOC%v*bIDKM1^Bnd(Ug^(U z1z3(4E6>bzn$lgv@4kP%m`Xg47VoraTjDNiiZg63{AfIJ)&1q)?A}D2)V|Bk@X^Hm zxO8Bl)S}5tpJ$wvul4abEjIhe-r9X3w^$pl>I+q8hNdr*+%n1BI_!=E`}f<q`h8a{ zQofzfWqYLFzQNaezPycF&90=JKP~SHlGkoyEMD<XmLuWjy}-kbtgrKSa<WgfTDPm_ z!-lvwy|+7_BsAJD5&HCS&+Aw@yV+m=6zJ!;YNh_NKi~Ae%w&BN&jq#32R-h7K4#=P zh3&1|49{uVYyHJf-&~ocI*ngO-Cs4}c))4v{U(v_9-(#&7XstYlpc9E-+Z~oX*QMi z<IyY!`+O58+Fr_f@o)FP=YO=~&+U;oe)?^j=X)_<36^)c5{4Ju#6_*Wq~hQ7ANywM z8X|d*C0ONJ+MRhXp7Kq8VD(6o`@jLslHz%ne(k)GFSPITqX)Kk(i+~Gf0%V@pPq(A z^wYMQz06z0@BiP!vP0e=Bkxgqc-&&0yA8+J#LlVvcJ#dR$MCfdVp4rNKVKeL5K+Cs z;)2<4Nrm`L872~ax>~)$)dvoi?ejhp{b=*M>~H0_K3{!X*!RI=p|HiqRfmr)a}j^3 zxn`UBRNmPS1YXBDF6&I{TQK8Ruwg03>x|3Hs_T6u7(^Xj?VtIz*6H5r`F9pgTfYBn z6w}9#9QUFx7y3<}Tj=O~Z&7`W@>Rd%`p(yExu4#d^zFgZBQ}N|TJM;%UmO>E*`|HB zII_(2`b))$M*@`R&c1MdIj8=Fgrqp-RaYw;oHW0EG2fP2<+9(lW#;1bO`nfDr$1jf z>vzkpw-FWlj%S<xc;jB7dh5c)>8b95E8GhwRxGyrRQ*Z%-s{;4nOW*=E4jE=DxcbP zsIYe%UzdquLDT)KN|o;`l&4*FN_3ZS+p%R~ue9r@^($}aUU{1SWx`d*XV;G{-M}8y z&)@FGP!ku}U3PZEW-h6{#lI@&>^*OOa?3lLzOQvPJB*rrm#&-nm`T-r^6T)xMP5>x zmkuS}*7>w_t#;f0Pm83IpY`xdNMDI5K4;iCt?2~si_2T@q&-U)`fbm?Ec|Zf-|cU0 zJ2Kni8G=j1IH$&^|JWwfv1Q3*AEwv#8Ja2I>}UOpborYi$+*w#chKLtk`k46h1>I- zgG+v7O<D3jk^AR@yleL)F52ZM8OSVGu6U?YBpg%1dc9ia1<!=S0@rsrjdu&)uUBs} zRny-lvGci-!-FKF<!_(NY`EXbYVQ7EjkVYd-h-YRV&OYl&fM%t3%#JKZ}NE;tL@{m z9akN!I|Clc_A5y=MC4nt<_ex;-f~+sGNkxMbZf%y1I2=?kDun=|AC#akL_Z3^W@E6 zbe@Q7oy}2jby`+=!TN37_wzH}vWm4CT{;qR;?V5!HTSY+sV;b;I=y)Qm-tUt!f%S7 zTd$Ow*s$@SyWY0L3_?d(MW<cwUnZRE99Vbr=q9Pxhi+-QOfxLoWq(4iu4MaDK9;?9 zpZuMT)f!)wKK^{N;kM;BaR;`9OB0xGHCM;_`^S1Te|gfd@ZYN&RmJCA(|(AH{m=KB zTe$Cu*)A@<-9K&CZ(q4uJK^!#*AKHz*rqYBpZ{g9VT?#o?=wcvy?;abbi33hG@HHY z+W1H!?p(~DD$D#+mbX*hIb55u{BU08mWYm%6KBm(IZ<5nj@N98tACE}2AlYwmZohB zg`RGaGBSNwqPw=}Ol*k)o26CTw_RK7I)fFb9{T-0o$ZO!$AuBvhOgx(KJjt#Dy@xO z@b2c)t@W>Fcs;j>UVD7wRsZWPTf`H0o?gTE-S<y_h|;}FY7dw8uby709n{fd_}zSq zZBgA%88iF;GnR(3E)@TDqQz|iQ;J5Rr{?N&u{*=>`s=8zoFMtw=i{=C>z=)7x^lN| zR?DHo>X#oy$SlsPZ7a`g3AyB$^WdG+Vb>>3rlRi;T)dmWx$k7zGInK_wNF08%9R;* z#aUSx=fx^t{JQ4$gUgEgPgZ~3bx3E<#kZk9C;jP6J#~Kb&Qnj49e15le<}Cz9<NK# z&On7-Z!fN0>UXbng{`#N4pyHJQC(;A6ifDqPBD0~;@8W_%!!MatJeQ$dOPdVPwlLO zg2f4QH!hBz`dqCoJ7~c}9=7PetpAtBrB+RUx_;}TQ?IA)@GOs=Im4N=^&Ugk8BhDu zkK<*YIQs3GYO&z{zKVPQg;$8#23_oa&=JG>V9SJiJmK#h<99!vbzOY^*3hLX9jmvn z7U#-X<z1Ys*0*f#o7TVY=g)m{EH&Vy^SWos%N}yS`PTYrPW%z!FayrRlN8@S3rSkP z@y=$K1m5cFT0R;_lxB1seX*sqjPd3|4yR7h#e%#Q`#Ei$l9oMOd+>EvpxqO_*P6}S zr%jb~K6Q_8L6d#sW9LKtJMJ72ayezVV)pLsK~HkIRg-sLk7fSoBy{8zhhpMKEw2N? zwVB4tR)tJ9Nia}L`YX}2yU*Nf^?NV%+?^9;vL!My`wahB{^W6S-toApI{HFTlG)ce z?pG)HJ{0O!5t=w<{?C9iUK?w{M+>K<O-tW9x%Zwxprd+9ht}Pi6#;$r<#D(3?YS~~ z&cyuRmG<`7+h)GAV)r;S<J;%0ooDK)TQ#*`ev++j&^K%T|J84<E6$bA-o!Y~>i$<V z-J`O9To-+sysA#k!vFW;ruAR%oYgw;CDA8(f<4nW*WkO)=Y9Lp)KR?D)vvNlNX6s) zb+aa($_LL^X%^Y7vs|;;ut)BDhf&j#x3x+}l5=@u;%Cc5PL8?W=GUUA7_m1%Btmzh zTh3v>`9-&X&bT%~C+~ayyB950-rJ_0I-QmJpZ~auZ}f@M)6*T4b2HzkNS4}`H=R6t z+}GhtbN9>bOtzbaH|KTT735b|H2=KGzqNbPn|Y>g+1r1FA2!wucdFU-xP4i=?9)m6 zH$Jg@(5(M&|Bi*Z>;8MiuKiN{#4WgbO3Bmz@`daN{(61ueiZ1|RPa7wUVW?Yw;Rtt z)&8`4HuLaDx%0PKR2MTnam(8rE-_=4)0)L$p_;G7_O&dy%u$_fx9I-q`fG8;_4}3` z%BVEbPw{*4p5x74|F$P<_--A}{QB4}sY>t6|94mB#Jfd1Ps>&eFsh&aQPD~!VR`<A zuP%O~_wO=s1#bJTnEd(P57leW)_pl4WiqLXiESRo>m+66RnH}7OBin1A6kD!Q^}xm zR@RNGWnyeTn<~QB9ceF`^Y!|-$1`8OUNS?K%lpXtEs_k$Ya_PZpOO~7>Qkumx*gf# z-~1E9EjF<oIQIE_uk{Vtr<>F^2375-URJd8`K+DEH~Wh{{q`)*lTr9)=Q>sT=W4%) z+RIei+D%jJj_H@poAV`X8C$NUd(7+4MGL?Eo4bFRc+I_T-_zUtLK^k|f1iGOdAfD| zJImH(sqf#WTxx8&7RIBg%768Wz)TC4wGVz@>}>cvGs`*7sORpHl{3YrHOA>wSt|T_ zu%WzT*W!bpUq<R`J$u`kl-tw9z4f(|^fKRVKe}66rE-%`MssZG*u%u$Zs<4vMWvPd zMb^Ju8u`Cphp#{1;`T~HKw+sxVx980x6Bp%;#0fkmOePNeji7L$xZbZSs|VUHbQUq zUOBO5vNFpDkzYD<nIkH!yscmO?q|L8YKydrYt)vT*59o|PWL4on{?|)nNz02ZyB)z ztS8+qmOg)Bd%}F`<TLKgZ9C`PS+guE^vI2~Z1ecMXH2aV&3)|l{z%@whLcxk{aCne zW<#TLQ>xgq;sy0pQ{R`qn!xcSI^oC0#MDJfEQ!1S3!dhfQobr_nd>BR)9Qeh{Mp?W z@ApS3J)inTr~dzsE*S~U>j#f?y8mVE;5z>+V)<L%$zG`vN1YCFZ~ssb?j^M$=zH7x zyM3&Yr5Rnz?4&|Jr4;(ly0QO%!SsZ>r{XG)#B4<~)}4xA*s8TDOX+#OE^B{eu);~j zlIp8UFXYU3-d+-suV(Ti;o1{shxbo8w=eO%|MH#qoz_3E9zQ>~Z;i&@|3YPxr=1FQ zE<brP-dy8D`MJ!8pRN9sKl`}9E6dS%HP6P)-)^kgqk81=>(J#j4_f}NmF#eAy2jLY z@BAjQ?GkhA7KT<&>E)QRy7-Z1<a+7Dn(w!&&8=x>pK|JL;DU#m0U@eWeJt75J<jiu zTRZhxw#G~zmg`R!-DtU^605!K_O+e<8B7s|$)Ot-bnFf|_NVjf?u}A{Dkqy<9=q0w zIzGRjdFSPF-}HSwcM5{m+~-WWu#l~7SJ8&WB^pBO-tNEkZpHGsC#PuZJ^i`EOFWZF z%G7FGe}$c|$n=(mtO-A@FRc{k+tgBPU2t4j;{NofJC5z|&C}(Pm13xKeHttFU)}aY zS!D@l*t@*@Pa_;E?RlT2oDh}`G38kM+i%(1m#hCQyq<BYchMFep?#)iy(cVYC_1_4 zJFHhLV)XuHa-Drc`NNPMFU~&}3NAPhV_Q;}$u}$Hjdp;0wUm<92a7Y>v7U^Rtz=af zuWkRo)hyuRv-uLnrz`AY&3$E?h4<_W{uE(7QJ+8F=(OW;$1A3v6oe)ftkimCyzF<j zb#JbJnMmCEOOq8oTD;%CCrrvo(dk{{!nD_pefiA}?Z0;a?f&v2?TMHaXZzKXhtIF& zX$4sSS7Vggv}CHWRhFl%ru&)py!Mv{sVVwjX7I58<NLSj*qibc<MqxNF@FNuEoSsS zsyExLxnRZo*UQiU{?K<&@mR>6qU~2W_w&v;mi+zR@qm@fX1H}EoPD4+|HQnk93_V0 ze(m%Jf?MxvZ!-2ivPw_EZ}J5P{sp^Zzsm94Njj>*c)?|#euocVr|MMwDBB3%-ydVo zEKYH5aEa<Mf8H%UA-(u6!<{cdE)xvYBmQlylw{iVq;0#CE$^h=F6S4E{0#Q^=V|$8 z-NxrU({=fcLu$_~^fLN<x-&MTT0^kqZd7igq5PL}+fSd5JG|t|wO(N!v*^)LF2&N+ z{ipI9?YGSOKT-SmmS=j!B_}^z^*$mR5fdGKw{TIM`&pYc-=`m6WPb2vs^fYWr=CFZ zou$Vvb86&uJ(dvXn$(kRlf7MT=}s%L?O(ZWOn+c!^*V3UVQ-;BaT^m}XM4<!^!*UR zT>Nw0yOuw*B{;UFPvTZKexQG`Z_;zgj47ElB2%<oLhc*>eR1v6C1-)%djqCeUSHv1 zC#X92k)xfk!xCG8JIWb~&PhgJ<DUfIH;T|ywYq&kgn7Qmmz|6K&Ri3G^kr(*Y-8!I z6-T?cPnbrOR8Kq|!KWnFewstr=c&6wj&S3lOApTcu{pIf;dk1}uRJT?9ZK4qSbJo8 zkZXSP&2L*c7p?7gv()&TG<$RCRVKkQoxgFx%^&XOe^NcL@cv_$*H4RPelBZU%Ds8v zl_=gxO}TpSOGN`u&-AD~<-79F=N)q{I?OxDzjm5!mdGa8@+qd9-4^d&#Qy8d{+ic2 zF1Fq7PPe@G$M};x1OI}1YkM5nb@_G%^c(9(S@`iP&QsmAtAG2isZA3L4}RI;=(AI4 zvgNUKX8k(zqi@c~@ZNu@dvU^+@7~|nENU@)BR}0)ZdPHz{l~SuM|6Yg!fLG7{#Bc? z?fit#K|jUq5A!s4m+7zCXV!7A=JW2x8@01{`BfaYHr1`|>tg&b{x02t)%D%`4a-X> zueInbRB4-Dr}F>rsb4qB7BXerC^@p_TcFfZmq&MVe<xRDX{?{s@??k2zC*j_9#=lZ z%qOFFx2)50*GuhByJjCW;^-IN^z@LVo=uI;?Ekf&M8n=6Q>fVPT6`>Fl01_NyH&Y? z^wq>m2NkYlau)oZcDP>pR{reyk6h2Si|Fzgy=+@;dBCx<?8v`f1DR9DEC1ZzpPuO% z9HLe+q5Q$FryoV8IIL!@5y>eD3@GD%!SBp|u3lx|hdpObsB-7szr6qAwcArXdtRw% zob26w*!PtCp{<UVx~6Mq>DAo6(?4(PMn%S`fH$7O>sL(m&~Bd<ZN<YEGP$h2=kt1L zejb%WOQUPm%D*^^{5xgu`0p$K(KnxDJ6Cd8#;lS_f4<dga?Q3{e=|=G>s346h$j18 zp41uYBkxnH8ha&qUbjl~&VpS&!M-<-{C|CE_f&(IrZ&kIejlGUu3^(rSbFJrqIdKA zIcm#yt-kEtY{q%>-$T=)J=YsUQ#)5*(D~@%w<%V3isr5)joGU9d6H`<%@ioG{%3Ji zfcx5-;MHBlbA{bXSsinq8C^Vb^xQ|83)d@x7Om+&@?5cS$@(exOMU)KS9{niRIoQW zw0>@Tao?|vf%}XDieCEaESes-nX`9R;u~uv>6IFBoK{zUZ?Jl_m8)f|e1VaqQo^y1 ztckOpg$MOrD!morw0pJxKi^MDv%TB2Z?VmpdHOBi{|4h#+j7))m2+$qZH$@mKSn-v znwN=w@D8Rz@x-J04?A|=&r(_w5yt&vrRJ1n?TSJda!tBF%$2Iz_^<fJjl|S+`-S$! zCnqjFa`?b;b-~(A`)vYV=r2$FJTJY=s5`r=^OmK;2g4t{&x`h$-WS=uO1Yxx)t!%~ z*2|m%FS%xav$x!+(lO71NjX;iiQK<wJCoJ*KAyb!XWr9d7RL92yDxF*%#f2kaxYZU zEPK)RwYtZig)w}w=JR`1ZOJsPq-0N<NUpne(+ti9>9)%E7GCP!=y}qY&FS`yX)ph+ zy4f*x;&#!!wU4)6NvXWq{q<bqzsgU`?59~8YcQ^7UAt$om@KQwo^4sGWvn}-@4U@D z`qWZbd*!j4SAM>V7T|sQTsZre`#qg`S2zN8uMd!NS6^|}Ts=(Sl+|Lhq}iLC*qI*p zc(g|)?cU#&e)7!sV2xvv0fyKAoKE#$a))P9`~t3fcU(=lZsnOz<Zn8B{c7gZ!Vv4t z${%0!JN;HX8u|2X&HT=Z$L`C1RLQB{ZddhkLvps<LEqC$tXizM7k$&e^+LY%<+h#S z#?$@(%{fuqyjkt$hfLEcp3AhFe&1gusT;jaOD)maC`04JR+i5!UkWe%`go~x(bfY# zHjAwy-ius&7ijF#B)d*QfBMXIyZ7t#K40oN&t9i{$H&W(bBYuW%PfqTa;Vb3NA;-5 z(`%uJWR~Rp=Z&A~x8_XDyZ0y94a2r|efN*j^jKqY|5&a4)qpO375g=x9E+S+u1r6k zzwzYb&&tau{MfqXhK7T~<b8|*8|r#guIHNS`+BuCZ<`++$9KkRY2LeK#{;!`l5BZ8 zg;ws`bC<Q_oDFZYOu!O>$KBf=zf7DJT7LD*I%k2mb0UAayeyJFES{ZJ>7M!Y)cjqy zbnh%n<-S(>!?S0OvvXVOnSD*M$90t&KKxxgEoAro;tyu=NrICq(q66(yr{bO=kNRX za!m|mWBp|h9Js&A;MSU?O_F}I4nEkfdWk=M!m%SP<*REBYBx{&Y!~z4`z3E#B?*t0 zD}5}vRwpgGyY;T@iOaSOZm;J4KTy?^?5pvlExf!(=Yvw8XYe$y@R#K!XP7fC{+)kB zDQ~4MN7k|IJG*{`ow>gE#h=<!acY}2QZG3#__|}(mIF@Wc?#3ZPUPKAD&m{}`P`4C zOIQ?neT*l?Y|LAKgL`Iy;J$4Nc3*c#d^p4KG<vrFLut)DC#ANEPrF<bEGakN`_jY( z3#J_7HZq_2$4fVEe(9Af2mWa8Ev!yTS{42`XO(H(7MmccS=X1#8Q=Hs(+`mU8PNBJ zf3u;_{+A#9woPB^CHJhLwR88vro$4Fy)(0wyPhue*%$qHwSTy*i*0ew)Nc>xrIbAH z{mxw$wej&k<!61nUi&ZKcxB^EF6neDjpk)%EVdu_e&JZZrj}JfeMh00bKcb(_pYy= zyXvceZ0i!v%=dZ%iKm%m%lEJN`CZlLOY;#0riK-wNq6dMzTBIbeB<3Nvkcc5ji`(| z-8H^qkCe-u4oS0isJHVob<8UI5q#17T$ob)3)_xXn~9~zobs=VR2`Y*Sol0=QNY^F zmiSs}=8Y!XPjx*HX#aXO>7?gWu@Agn|BR%SIxgx)_8hNk&J34!&(%9OQ$yUZ{=bv$ z-fv7=e?I2<&02OR(ErhI#XXYi5;Tghi<D-@^4W?tp6%gyv0%rH$`wNIg2lCNKKyL= z`}c8)<5!f`*lY~`h&(y-;IB~T^A+M9>B(DNPpI6#z`E3a@2B!5(m%!Xl==T_mR`&1 zPLx$p`o!bHv);BS$;~xE=f$mO8)rIyYz=)XsNeqFrPJ{i`_FeZY|bm*Pv)Gsx1)dl z5$Ebh_C_YMjsB@gj~;IQ?W@Y{WShh;<f<h4C-`&}-<u06$;x~W?ALVvonh3<XB6<Z z%AI9hV71C*&ga#a<XvyhH4-nG@%;0({JpIQcRqXiEjRK_z44UF@S?cIqOFMyQgIEI zQS&<-cv56cpLDC{F}(M46WX_{W2*_<t%9=w7qdH}*D>$=H2br*g1Efko0G|2=fBEa z`BQJfnk2vBjeLqmG?$0{+aMiIW$!z(4V5eZ%N(@wzJ2lG%m?rIugIQm3wtzGveS5y z(viOKkNp3gN}gP3Uw+`**LbZz&*uF<8Y6za^niK2Y7t+gsG0Z2S&Q#_Y`J@Gujbvw zGYY!pFC~aC*m>7?zF^3ozubK+GVfwp<Nxe&UH-YN&rIJv(BzcjH>rQ0UYwt?{Qm9& zE*slW<^LN!9D*j@Kl$Kex6FjyWvk<+{`$M+B5TTG%a5O{l&)KRv{{+wu<h*C?dH4h zzRbEjdo~lB_|*TC8WwJARJVD|TYdGG{<P>|K0hy&)HNkxpL2K3y0S7s_2`XV=2~0h z=H)SMlG4{arTJv3rDw^qNzWVe41<o{PI_AF@oc`g)=!UpiB2LKzrN&nyV}?&EYP-G zQXm#8B(d{eezHub<5z|ax1R6`&Qkuq$hG@G>qPFlud?bZC*J?U5%b?el_&pO@GiT> zrF@>(J{{hF?Q&-7oIaWOHMz$!7g+f0IP^~IwPEGmosZvNTeDVrQJ3NJONB>m4?Jj> zKAX6A9_QzV*GHZl?K^g;{KovMHy<8G7TEkfG|l1tOeY=gHiy%8-ZxVg+$g`ZTzl)= zR{>MjB}V6X`+eCQAhkWC%!sk3h`Ih9GeeG-$+etEIVINqvQkzXrW)sO(7(jNr2ntQ zb?xIdZ;sFS=Go`DN8yz21eQwzQU?#57Mmy<c;!URjF9AiKQ~Tat$O)M>o))W-tn7C zZ_iaPt5xRA6#OT(z}JCG*~{*6gVD^ZU(PIMx;|Ir&x})jGZigdKdhAWoVI+QPDk{G zBU-(=HQC$wByVo@KgU;YrR<~g{>2n=ZF}`c%27)*_w9R^aJcO2ukEwNuXuA_zWyk_ z{$;jo%EfZwtf;=bPwp15e>-aWs=MPjYw=pE6MMgCALI(T!Yr6lbm>OUp*Yn#%}>g{ z??df&MXo#jh*POsMe0`)OTnEZlP++*`=X_{BzEq{Iegr12ALX?6MF7Bb<FKD+G+c# z@$BmN_B?)f3)H8+lS_LcBkTC1XZFA1xy>Cpm)up%KHvEDP<N}YKJ$&++^Po+pDJf{ zulcar{HBzS`{y7bfg{&rucf#9?+m<O<Kf_Fr7twQPgJ7eUjnab+@(cZR!;NZaQE`g znH9Sj41zD7QI>Wse0zn}Yln_#3#;448r{uDvp4MQU3zW)r1&G}b{^0@o!ag09&<CH zzeamvUABaT&U^Mn9~Q;Fb9iKE^)^6C=}-8Ebw`ZuYm^6T1|2F2`TLK@j=#xpaTDkI zw_$e|ig_$N6Q~`#EONbrf27{V9U7&^$|cukZpjpU<kYj-L)rMy6ScjcFMYo=d)Eo~ zHA^jSZth*$?!0)zwe=e`u6?y_TQhI>#y7H$pBb%SK6}0QVDpQ0mw&9~`Ni;kmDF)Q zRbBf{Tty*@t9I!+OqG2lDmFjfLi7383oUN_Stov<X6=x95cBoQg1Peb3EXUv0gsYW z?n!j&B)W&oOnAllWPU~0*TSf!msEYZZ(6V6QLyv&_&9sF|4T-;+Dbu|=U*DX?)SFz z=#bsN<!^j?gsj!u9`Sj{^^&J9+R1Xl`gBxiMc90SbDq-+ix`)6%D-xIdm62FX3ihG z(BeCKm#2lfOt;&Tt`J!j6qFy-$gO)-_}!A7bK+|LaVl3dPu}+Fd8B>DmAwaEexGe) z`(F3zOPNYb2ao#46{%-_1W#0Oek9S$;1Hns;aE;`8B^aX>*pF-to13Y8b8RgG@jt( z<?ywyQ{1Sy_9=IeQ{SFVqK+p%)RbyjSXfTJcA$O31+5K?w`@xr?9C*(cK>j^c01K1 zf0M)0Lq{I|p1dPQet|A`(2>UN2QIw|d_CpE#mci^5-0E$&98}1)k+LAy!pfG>H3B9 zzHh(x?xXKU8O<rP`@3FGoGNf6Ma6|{ozsn4&WQmJr|mz=wU*_DROswK?7Od?V)>eX z!Hd;F=}38Gt<UKNT&CwP9Lh=YmJ;eTFEHSbJZAYqG3Q1h=jK^~FIacE_i@JTP`fq% zB#Z6WYUW3RZGVqWp4UF<M8y25buJ=nyFUg_3wrcy!&fWLe9!Mpk1IqLwk@45Tx%^T z(IP36^x$sa4%wvh7i-`5ziqkuwO8A8I@1*q|Jw?C`O32=TrmvrJj=E2?d(fSI(^T4 z*L~3~SbpI6o$^DXmVYx}%lh^vupR4WIQM6|iDA_2+=M@S1y-`IaTR0?*j8!s(q!qk zb1pmCPV?X3X?J8__dK*n`hT0%Ti4JXQ!i-?-FwBQdrQ=5LQTl69sk~!C_j0<<}2sz zxsU5}7P%hhkeqe2FKNyh$y(|5%=)7{{%akT{-3s7?$yqDhb9KFu>EQN;N5%f!6nP} zr9Y2NdAgFpbmzo&|H|whJ0*o%r8@N@_fGcZKS<vpn7CXh;@l>k%Lc1`j;s6++UE9; zW$~VtmEtVY7u-1d*Sa5ESI!)C__oV2#>p0+yG$eQZZ4gjdg$i?<xB1HTMsmTE}!qO z^1FHQ1ceq?^Ok4khZ0(DvB_=D^0e7wqQ1dZV%>)0=VgyhJ*WFc+WXHl|JF@qf7Q}h zPEJ)>%c81%-HWqIVej9AZH{qAxh7g3R!%#dz9aG4yFQ*dK3AC^SSu<YpM3kVtoKVr z!5cTD`wnJD_aDvN#Vy9yqV0RdX{zfxrZ@YOFK(K&*JsJUg|FC-J4RZ><wfKto36XG z##2<^x{aId(ffI`zuk1xlX#qe{LI67|H?k)KEAy;ZmOKc<BRXDPbThAe*Q&c`Q&HO zUB04Awpa*Oo9pua-gJMJ?B(pJ|B~Y2+U9DdrO%p7x>mR~{9n|S6zf0j<ZA^r<>wzI zuU_kZ)%*6`rbp2S_ulK$d3J=0S1D+h=T`L#LEDc@JmI@7YNF|+tdjWrMpj40<o(89 zPo`$+Iq?*k7r5+L8vWN@&*nyJLi^YBy}eU;MfR1%^3Ivr+wjn+!|nPVfsM{0v6CKs z_p9laTG*c=_hi$Hw^3J>rnjcAcz(QZ@A7Uf!&QgQy;{C^Vf~xW78m$;E1sNNdghwb zeQ%o+ex0B02X4;R7c&#AQaPp@<gsi0-C2uof4bq(zle2l6{EY}QI@4!&wukPJm)iK zJNxc@g?+~!Z<g*|;5u=+Rm0j9yDy%2<e>chsGMr=8pg{#dY19Cn$)MAJHXC9vwv;- zG9%}ZHCJ3tRGzgr%<t^Y?vdtOnXoPE+FUnn6+hcEKW{GmYB+!9lcl0>l5WSm$v)xx z{<3}iQk~oVH?A=Jw23y}drsz!)Py&DmO>MvLu+b$>-pVnbtF0hp1obxwPIt|w!Ggb zJbT0En*DmreDreshVKUj-E|i;c`P`6=Jl-R%ZGpTEXnxi{*Aw)!=*efa8twnL(CIZ z&!wnsTlb~yqVUAtIj&ag<qa&&48t_Vw3YTp>TQ@*^HQSEBjJg|yW_WbTvN-EJ#{zE z%$=jVOeHV&>wB)N6C)baCjZWA`+P-hORV^&+YHAN?YaYZo9DB~hNedf&iQ8jH1Ugn zIIB{=9rN6O*Mzt2Qjz<y;Va91|G@Um?%Nrpt^CZ_Y&YNI@S{`X&Bd@6?dR1@Zx%+{ zo1N1*_wE_<pPxJWqvu60+vaZZwKeIzcgG%Yu@@J<>nRu%aTt7mx99p>7BS(S+q_KA z9Nm;{le@s{i0NXPvf>g)^BEn1ALlb>mtCEGhhMF7CR+;cNq6a{j46>z;}du%f7^au z_{TcW#aYuQ9Tjksw%)Ys%>ROeB0@bkmG|u_I^5aFXu^7a(pyeFsayY5i}!A3%DdFY z9<}nPq2Tq|!tIXxHfHR)%6Is}MDt(T4AYbtcA12ENihdTdTBR&z7fzfZByWc62BB> zd#Th!>w=#4DSA^&w<y-1nCK_jCc4T?*Vgw{=J_vf9$yL`-`nC+efWkzmAib~q?_95 z*;oJ1T@-L+dGDl&taB9(d{cZdd&P!;nS!42_IYw^Bi^Sx=v;mKTj1Su^NW^cy}7IP z;-`3%qfMlx;JJloKe;Q$tEVph>DQm}H1vXjmZwO)`P4IFey2Cs>Py~ySmlvZ>>yq# z8J`*6>ACR7Jk8FiJ8SMQv+UNq<kX-R(=@m7nR`p7p79wKWs|-yx^D`P6!S^Exh(80 z$FCQz86Ks6qVvv&St}0uh2<aRo;pYAmg(d>g<EzS2DblN{&3amtNXM${>4prFhODS z*=1%A*EFBc`W?Pv`ysi{$?wmplw}L)@b@bkXSi<TW;i1eb8zeT{dej=XK?%FTSy0e z(7VHIzNobHTYj+c%3boOokV5&g!6^(KR-5a`afm0y<7hA1T@J072uqF^x*f_sc&AI z<QX_Vk4}jTJhSW}Bk!{~n}7`>X6%~B=l<|xV_{$R|M})hr74G|?pYS>&!X^p_4z3; zJ}}?8`DJ10qqi>A_OqH}kGxjRtmepJ)A{|^Wl}Tumy$JRCQ4GCf?I^R_MF^Q!#82x z>#MOXhKpC2J?~{y%ynM)HZgg{u0=nUk1tp<W6_<Z)i*9|Hurk{O(S<|SIxZ@m(DwE z*{^-<X2bgpvsv^E4unq3l)rmjT4kBZw#fhOlTT#{d0%<prF7}G^l3eoL-X_Rif4G; z%AEA0!E3cey%fi~smi*QJL>gTXslNGV>@xdhR3p7zie5O=C<g5hH>0gm*iLT3WTlF zEO%Z}am;;J+*v17;`{j5p-(A~rnFotuX4Uvvw2?3*R%dwrZKyw1hL+Hd8S7H#Fp(_ zSN&gnnnmv3*6p5kx)-uPpZ{9>&-cuuACp}5oHnsAsO~x2_2%q~^QYcvw!3mY-;r7@ z&*>Ifd$i77;iiH|`^KWF2N?ronH2I=(!|$Q8Cd0SoAIlSt#8Zf)9#T{OIGda`MB@! zyi3WI`lp@JSYEBssAuilsu1(>c-re7uDTfp+a>w~uX`__wD<cVv*Sx|rOjlQpJntY zWbXxwKMRc14hI%<T@w%YlI<7HS$8GnkK+4)?+1hr&&pM9O%7bU@_?+7%iBc^N)KPj zoN+BVay*A`OJMKud$IYi{_w|@aXn}~vwzp!$1Mhl$$l3)dDDBHt8Slu?6Yy(Cc~%g zQMSE2iks>@HZf-QUgSJ2%WRq&Rih`A{UW&PXkgICB&XR*4;ni^rKt1Iyff|EF6PG7 zYzs?oSylNoo>sff)E;<LBV^`^Ck)ywVrjVnk9rmBo@~4{v*MU{nL$w2F|KR|E2~dY zR}P)MtbS?z-VfjISv|e5c=5%Rs&|&{uDuZ*ou8UG-`FC5O}?LFBkS_@vWc~g7m8V* zG`PeW7;k3Y=)U%qrH$fli|<-9lnZYdmU^n3+G}9CA~5UM{Nl@}=G8H?_&&&6R2;y_ z;UuAy)|%xXlocN0!S!Wt1XKP5!{f6%1Ln^V?U~eUTJm}3U#=cw$K|`i_A|B>Fx#Jf z{402ku)DeV>+n+6_CHrsnP2PFPQLxmT$B5T=cLLBnV(8{0()ounpkyhbGrAj1HEfL zJ({VKcfYiB;d2YV`MY<pcG;SH@P#Xs-cI_LsmXjQFr-{QqVihj+6xMHeb!GU>=$=D zx%2!1|J4m%!l4hF-ah|+|7q=xiI-&Dwl3TKgTEm6<b+hq0-Z2F*9$w{_N!<8t*=tf zO+KPpar$7R-K7Ga2{kXzExI9f^rXJQ)xs-9UyV!5J91~ltvP7S+*|BuskvZJ_@SOz zYb-Bpc8mUJC;HkqV#o0vd9%MC?roV+BzfBL{3joWot%z(l~O&rd7S^$zNLKpXZ|3q z&)Oz6;e#pr!K1Yof_1`n$aQ<k9PxH%u$FLoYY?<oV?n|M{nIM%xje3XImIsiLU7Sx zL2urL+>gJs?Ox})=KYH_->86O9Z$xL%7poUFXqS0`dDT<_e-g(8e@h{*|CVO<qZ6q zR!>`uAGh+iccwC!L>=Y$Fgrcfz^UHidAh39GvnTMx86K6oD@}~xKVF6+aj5iz`Kvk z!)JM4E436%GcvwE?Z95f2Ry1rPZ-(0n-JXTTU;g@vj5SVC0ZMECKSg{*b(t?`Sm{! zH$3N?!=B+bbEUagkCeaP#RCx>3zUn6G);~K*6$S7P-8t;GOvDbz=m1N6}PS^2|vRt zowWbAqVw16boDRORz|Bm40{@X^Y((5&gZ_VS+XrSUg6!*c0p!oUBCOuUqxk`<9JFg zZIIo|7JVQlD9mPi-M%wMw-u)7IWqe9>=0ZMJf$G&=U%V+ZbOE;B`-SPH!v1W_5aZO za36>7zI!i>mT#LITRPo-X~nN<xw5u*nwO6(dmb_2>VqlY|EO{EWv2@NdT}!6#h#Q) z3Y%U8Z*q$kI=81}!*;#(hxBAy#ca|S{+y}(<1gF3x%G+DivHDaTBfEX<>%~wV<XEU z>)G=TthaOQaC@>c;(EEmL9<J)hRYp4E?8{D$i;OdphrVB`GwW<+k1mw&pEzdxkCQ( zk5eTM^8&W;t*Cq#FS)CvEM`;T_ph&4D;+)jlVOUV>_tJ1<_}Lko_Bk?BF#wl^3l58 z(+byeDDLUq6+7=5XP?!N=SD?~&RjS<+1`!2(7N<1M+sxmslcVu)0CGVHApg_mj2n! z;$w8%BO$krz6;MYk{=Z{Nz9$`?B-90#4BEU$7Z$7|1;UH@0{A_y$8h2A1)Gqs=~B7 zWf|vrh9`%WB%XKN)pg`NmwK=9&U@XH-<EKtMoF4~4^_IJ8MTGus><<cp3Xj63)q>b zShDI@sl~G2VR>UY%T7Wl@MG4G8*9pM9=Q8+-b~hGy~m{5)*Y1K%k$<qR92HbN%-XF zT8^fUXG$3duIIn>5pLs_TDg9ugycux1vf4jGQDm*%d&3G0bRz2Q^a~LH`LYaDxI!d ztB`PgeT1$!Pc(z*yyoAh+xgvY^Zt>0(tEojWmD8SiL*=g{BwN}Cuzt1Mm4v1%A75_ zxj|8z7OH$IHMt{^Y^l5DSKNskw%-?SZ&f;O^tZf<@6Nlva+$5+a-qv&*4utt<-WL^ zWBoeq^T+H1)U_U;$i2erak|^|TF-R3gEvkVsu%ei-hBDC-P@S^8#kxX$Nth~_vgqS z+rFao{>dG+^V>3BKl%BoMO?V#p_POE-4CJH&&0d<Tw1YO-Eid*M}5~thfZ%xGRfKM zEYvkS@zGLm=1WI%WgX^E{PyzS)p<^yH{M*I#CVbQ^)HL#JYF-U7qF*Ie44UIzB&5| z^J<g*&IxCAn{vdBnloZIey*I?5*bmn&{S!U=usJetJ;kRV`Mi3RbAh^xz}tZZ_*mq zi9vF=_Nhtx$a<wSoh_Yxd&UDVjZZ5@uWz^To$L5?Rkuvd$DfS_J*(>u_X|z9xcchj zp81DlPaHZP8FV(Jed99w=f0lj`gz_g)Q<i0@%r4v5}VAZ1$$UoGLC%r2;TW=UEkN% z2MrOGXJZnUK5>|!zF8yB<Y{c#x&?9yE(YS>($gP(i7Sm?RQOTG&vr}U^_2c~NB^^b zUMHWD)^hS+#>w<Hr#W|Kzw^v7GVARBs8hCP3j30;a=*=2Uf;3QCRX`^_rEBua&N~S z9CDkMHSG>ilPGNb8v8}yW9FQ<jqSfrCU$;f;y?CN`p)lvi-l8;R2!)qnmziJ|I)-O z(Cihf2EX*mr^lXkOz-+=vSIP;EgHAw@+a(Q_;79FSH?+xiZhefoM~p2lBu-W!I___ z7%suH@Pols)5qK@SCs!QxEnwJ`>&3Bmtz%KjrHB!QnyAL?%Ot{O}6;v@xZgn|IP1B z?GL-A>u<6D>aiVm$(z<SG6k?KKl1!s@-3D#AFk;YKX18u*y~}`uERH-0^Pnnv}_W2 z@ip4q<z21yaT}e3nv-9iKK;Dvl;R)%)LL836y8_Q9m1ZxI<WKKh2~$ej#Jv__4aJ8 zy<j_OM_0D(-keKynm*T`l%1J*f%(nu{1cD9b90D4+LE!o@YG3H8JVLmu1z}r=kl~` z$|6~(4z7F?Tc);Yt7bRzwyoDpziWO9_$+(%DE|v4fwh@=ZtJIR;=eQZ8bhE;(CWKE zq4w4CC(D9PL>d(^U9-*fjjPeR!s*Rp_Nt{Y@Ax6J*8-BNSsl$szuvm9Q>M~aKYL~S z-*qJdfdWgGq-9h}-<B2oztVTU{vOuyEtU>v`AY&rJMPJD-_fm+vUEz(r8Xb)Oex*n zJ*$^3ve>1wV9j)Y!@Gy#raP9EJc+vb?$_;wTYkr|ILCFYzEOTt&_K7*r(JWI@xr@@ zwI%ce-H&n~kc`a>t`th0Yjq?01*3C&nfKy8vDH?p3vQmO*`UOj>G@8}=-ZoHr>-rW z_|ov(*@PXY!HP#bo9kIS8xoeL>QDZ}6lPxfprSATm6lSUq4)YVtt)t~G=F6}_12~S ziwge0BJ?=Y<ZXebkmdB=^5a<|KJ!mL7n<(d{r->SCReq&O(*AOEj2GXkSY}Q{`_Hm zle<hm3;3+Q@;%w-^T(sFY(q_@Wk=8lzav{ydJimry@~I&y~2IteTMQ;o#Cx@e420N z9e+=an7c-g<BL>wF5~Y>)_3~OXm4Jd<bP7(M=?)%$Rqw6ot~$)X3yCg{(SkA!-p<+ z&rxC4oN^`e+GEZ8^%p!kx5>Xawf*A9eH)%nS$?WiG|6xE<Bv~cO_uwdX<V9d)j>D= zvXS{@eSSkmmu>+WcB6-E0dxPjH88ARVYrHKR*baDIomg{^3N`Q9Uc%@=(6CU?yBRW zQu^-CcYL_rpnpl>L-N|920>}Ymw85J(K>!Vb3XQp?wrzH*W$5!^>XKnEMf(2Yy1R^ z-n2=)%KIaw#yB@!$X6vLn~CMrt(jJ$uCL^aBEN{-c)hE?&+YpIQ+_q>)geD~-<1oW z`B9MP=oGrY`;%M3)@N(t7F4B0OYqHYv09^T5K~-wKYXEXEmzxgff!R^_K0sAxE8NJ z(tr2IhRqwhS&!<j-^=l7flUVAHJuxezWi_xDNa^4mOa6~U2<>gX{DguVlCYwKUQuq zXuaq8IVM@@+@ub!#sy3F%%0~Qbn;JDbLVj$j~A!(E>6j3opRO3SnHCc#LHZxMRpGL zC8gf2QioP9{mXhzd51dtW78Xlo;&XR_D4%eecPPU$!#zA{UWC~YZi8_Tru@(pFp)p zYRAU=KK@7c&($<je&Z{VxMos~e5t^-*!NZs{(gLD@+?^^$ZY?Y#00gkeHShZGjGb? zkUQ(r{pMSXx+B-Dbv+b%`P4ScJ4aimasMl>)SFVY@Q<9sR^{qzasC>qE|Pon-`WH< ze*Lj?^6A-ogBL6izn{LhZq}xYFTDHs*Q;I*sCfCvc88s>reJ<XWu+5~jMvARx^0Z& z@AVk=eK-9fI%So>bD3EO3|7^Y{4MwY*tcL$XIpk@l4#-4h!qF^2ym>~clUvo=AX|B zr)*v<>YZ}i(}cU7N#d|nn&XB8TOErJU0JxWGUr~iNPS&jY40NY8o#+5=B;l}<?(bj zDy<4(&tSWmZGBo@)$*adqRPGA%JXkNbni~B{P<+k5f5J{X0{0*|4*!Z5-^+hkmCp2 zu=Ed)!sKnMp8b|Dz369i;#Bae7uH2D-Hkb<Z?Aus{BJgAs)tCy^?b?RIbwl^)y(=6 zI(6fYuCaa-`EkLEWv$UmuYOwb;PS#HN~MC=E6cW>`D?dLz_^Dw;|;^LY8x5;la{@l z7j7(f@VPA1d~&;-%zV{`&@)r*vwD(#9M1iBO5d_UVnTIn=bsPKGuA)6XudM}gUz%V z0bSc19~c^M6SdTybnD#Yppyq0{_T?X&-a<6@k#L-*VeflnrEF~a=r30nz4O}+69*k z=1+T>T%>vZW{DV-F?VKZ29;kanzjDY3ZG>!Cq}9|Yfp~(6*{Ff?7^kLrxEhCzPJD8 zY;Lxh7kJ>8?7uTS;bu#}hpp5sdL;9K)A{upp*Y?woyba2J86x4nb{BhceliMa#TI~ zf85*aJ5TtI$sK>|MD}v7{=VANW<rqailuwbA6=8kYS({C%Hu-M`FTf}P3J9>&osE# zu;5ni>Q<vV#*S0HYuCPiXZftk;i+=$uDVIC?`>5q_S!D@niR0LZB=Cqm!cwXTar>+ z|N3A}w*!ZK!*1kkosgDQKT+Q2ao8PU@ifo-=ZuUmpZd_?Y04bQv^RR)^T4MUzut^l z%H86BSG`8zb**@eyxWgLff+W8m)=MSx^MKip19L$56|hFTc%_(`F*$TlXX^_`)s@0 zM&mwt&fj~cg?8A?cS?1TbBfAv`aSFBk6V&`Ztb7Lu5<ETS+i*MwUftH=2d)He!}9! zQJ&TW->pe|PNi+nmhtx8yp_R>^-0TCE=#+mt78pJ<;yKOKc1Ca>{9VAX~FGMyUhY~ z_&a*5t4}TzE=jv-duri%{^|$5e`Vt}KIW_`5#w1Quwn70T4|q$>fDvvkCjx#{!Oe6 zow<00cF~W}ILquP-iHewhP4$-dqtcH319BA+czvD=Dm&LvW0b*w+T;`I5IWC>P)HX zlljWmW-gXBN>%!MD5r>%$7Zk2ha67zvq1(y{#O*&M?`sXz7?7)*pTjgo@G<*OpB)< za%HEUw_NgUn$-EA^V*Kj!;2O3cBdvW)v%_@X){gmOqg5qeR??S8#R|{i`sI7r0(l) z=@wnSE6064=dzvhdi#^ib?#^Cs%a!tOm5dc)PFpE6Hjh}uh+4Ap2ygw+Tv;$zl#3u zjd^do_e`GOz2C7bUp+|5de5|K<qZ{O^~-^tKlE}_uGShi<?+uo%-Hbu?B?lbRx2gx zn5?szbAMG$s=CJEAIAGux9vFh&;H%oRIbYZp(-c2b*8Ijmj1Vm+rOu8mj13qUCNR> zw*6jn%;{~w&nqI=_l939us&F}*!k9$A5pj7@NP&7SZ|T)9Lv5V!%KSlwmI$Ti}rGJ z2Aa6k7j-+${x(Bc_OYl~pn}DO9Tu)Fu9|0iA8B;%ZkD<F@=fNFlIeL1><T`YY>k&y z5J=yZ{^@J<!!R8e#~V|^!wmoCa!g71bZvKqU){a_jw=cGb!zr3OZ;hD*`(y@dgMc2 z-HMN#n>Bf6OX%HpJi51-d48ErdslZ(!}pU*+mcOvcijCX758OI*dK4zh21w6?2>)P zC!?~fSxbdEVq@}bub<~sPUml9y~NOb!k_oxlAPAS5ALb`lg@HYmgm0zPkiR4t4B4T z-+OR1!ZxaSQ|7UWryC!&Hh+__NvLw_=f9H8sH}2n|6bdWOXe#rD-^OAL?Tx&%zkcA zaWs6jwQTig(JM+*jy!(l{7%(M+*65hjna#);+5A_GngK!2<m7qd+r#2f2OSDkL`ub ziKgpBHcz}F;AG~X_Qz4~x>Mw~7p5$CKE)iDy%O45q2SNg6aI9qyp7x6+hr|=tp2(W zKCbcF(#Kt{wLWrk&>J=xUB%1F1)CegtXft_xad4QR^O}V+O_-C|DWO4d!wheEVx;t zbYj!<M)rLimt$=|e7rXGA-kYW@``-{<-z$(X?veAE?u%GEA0)3xJ<9EL-&EZ1*bz+ zEN$yl|Jr&qtKsQZ{(W)Md?EG8oC=D<C)M**+PCZy`C?+NBPRAEJ>g66S{Huf^I6db z(SjwbSXn15%#5!)_B3NQU&#Tp`$2xp6>l6J|13A(bF0I2|I|j6(>vZ<<VneSh-ZAW zx~zSC%Eaf^Q%zLnfB$+WEqdpK;B?O5|6iZqx$4N&!F2uk>%h(xxhL8#ypM^S?R$65 z26jugA58Keug}|d{mz=nngL=fSZA+!zoUKQ%aeldV~k>LDp+bSFW8{REIsSyW}fTC zb`DJc`Vtr<%r5sg^LFR2k@-B`_PTED`unc)BF?cgudy)8{XN4}&r)^eoar0adoPJR zAb5N6w$$1GPTWc?E4cO{=|Eh_j}Mlb)=jE=_f_=Yn0&wBbo9)QIs0Qmc3x0YdGD+G zJ?Q0Tw_WD~!kcxNB6ykhm_J^uxhm43`uMKvmNG#Vp;GJeqV-a?%~<2Q&*fU(X+8O+ zby=Gt@}*Uu*8FMN7Nyg^Mehgy{mC2!Ppw(aYMWB_@9fKFT`-qZmHp}(zgzELKiK|o z`LV{}100tgL<xJQ3R_=#Ge7=__4|w+3!f}#DOs=Zu5L%bH66o~Kh9Q}E3|ChVRY2q zP;2M;OGfFtW+ln%X<xU`(%}1U%Y83&-QEP#3tIQq^4=4f$})+)Tm0tZ+l%Bbs(tJI z&N)5rhmFw=R-ce9=5e0k=Nnw6Ene8I`>g2pkJCan4a?>CTRFdKi_8qxTzE^iSGpp0 zc6L0guHC&4yUn89&UD^$dh*C;y0+!MYPqM*UvEw8=~x%i{jb6OI2Y%c@aB3~<%O;( zKeO*iHoi@Z&3v01bHpWCmc1ge(yHfx+=tt;dkP97RG2TQ$n<#XOqtu`<}~B!t@8R$ z>FYE?u3OI&a}3Zt;kf1Wx@qU7(-Z{NmTMPPJNR<sTVCCo$hJH8D_2UP*OO^W|0L|X z!~SG0>s6tpa$LT)^OUPf6juD1%^2^zHA3>^nb*vVepdSBmH+<tv!Ph&-=W2UVSC(` z-aq&}ySSizg_uFYB&peJckC#?8of6;%s%F*=K8`pKff$t+;Oj`vQ2gKV&Og~`}sU_ zkB_-tu-pH!VBZu^!(;giHeUY!?&(aCQ<e8-*Rmc;yk<8);oLHB$>sU;JhgAn2;1Yo zep%_)`nJ_~E|g?3KE0r1l(ROKS*KxxLKzF|-Cv%!y3Rao(d+)){hMRH%gX-sbLUN- zeKU&XHlP05k2_a>_!Ch3U!k+rk>UHLEBoDR{<L@L=bxHXb-vdp>6^R6t+{p4=PfiC zjM&&ctWTbI3{RMMKI`3*%uVa$?}hN6TPk;=YRB9iZ}tk<-zeAfYx}&yNcwe^>ZJrR z;pSV)?=~(;=n{Xv_vVdnao#G6?=&r2)a@AQEW3Q_5z!b+<va7fL|SA$IUsEJB(wOc z$Cc!gqZbu_e_>3w=)Y>4J>~PdWj?RJ{qecHd&S8o;k%9d8qY3kxpr`B()D)k;Jtq| zGB+9i`mK3s&F^~(!C8(>&ih<yV&Z%caqqkEpwC@Z`N?a3_OsHrz6u%}Wqzq?V%_s% zzv2#$%ngexZWc|t;;8>=5$EA)o(kJ{G3NSO@A>r9XK8U!y^6Q7Ou+B#8tYBX4d)_# zOX{Wl^F+2gTzyjaA-d(B0n=ii8M~!2?+I|H>-KG&$$G&?vx7t1V291y-OG<<xN)6Y z+)y33oXKFOljXIq!X?`_RrTp}M>R_CzM*?PMbW<hQ17msoSWiiaV)EI?Y6x-62cs; zH9c`wjQO70JM(7lYJHktY<6}!=dCX9)Lb6cGw&LM^j@C3-1X8Tr9xC>g@32QyG;c# z_mYmU@$%CDci!&h?But?HsRXu{-{VF-w~~2(6Py-gjYjZFuS+%b!x!GjBSPe&7!<N z>yEcBeaEEbe0$mMPw|gyTW(BGSQvNqdczT(OP8a!U4FDMwt{2Q>SH3FpLf09k<Ks6 zZC|+~s&uh#s^Yg(dd7bpMVA)5e|Wt@)TEgE)N$qyJ<FC(DKAuCx;k@H?@Z6vC6>3i zKk?q3QqS<h&exmauz;x1;gjX167L*Y7WMaW8bAE;TJCIWT)9NGFyq4L+gDms=9m;U z-8%VE|D?=D7v)byAEK_XPO8s(bLDpR9M`;%RQc7P^897(ydFw5ygqzVxap^}*ZhUu z)tz_E6@H$dxagXj@QQs_w+tKn5@jwNi29qbt;a~5lh-Ztbg0E?Is32(ESH^|B^w&( zm@=z8TyWLMTfxU}vLVm$?YGuuS_Cj(<nsCKAW|N1c*(PCNnfTP*VyuFM}DpQAtsLv znwK7{`;=_o@p17(n+MP1Tbhn+@H1gZS#qLnpOTc)i;pu!PC8ub6VK({*!t8#euDY` zBb}WpmoLc(ykT715f%~6`Eqgd>dBq$FWUuX2%dWrB!8yl=TVOnGH3R#);P1k_dvkD z|1<Y-Rplsg|6JpAFYWcWn_ut0Jrx?R6<?|&JL}wZoi_>$P2cKWQj=6G?;Q4f$!EG| zh0eEEODpRaUvjvU@#o6YBYVHRSrg=K5K*wi+T@hi(*uDk9<0m{wtMwR_QAxLa~>rX zrdV9+tqE5<G*N0kufXZszx6JhJ=mB!=a324p@#`N>B@eAf0th_eI9l^ZvIM^-z#Sx ztVo-EV8_;&&&pT!{`g<Je!jD_vE#I<HrZ{vHr!i0>tMJPlcIAIXZzDtiPJ*XO1LS% z4$+Q(<9j!ffAhmm7P*Z@XHJIQSP}5*YrgMl-)$^W3>$WEvK~+lU27-NG2ydP{KU5> z_6g=|GM*Ft>aM+B_n@Dz)s~Xy)*9M>qdqPRmpgK^x$*Ls?ypLBp1x<-KQ&WJZ0eo* zB{JW%nyxu37Rs<K{w=|p8+Bb^d;Yae-dwy+w)wLk>P|Tl(rVH8>}rUL!0q52e-C?e zsU7+G+Qs%(9`nn6pI&BL?pr1ERdZvKq=MJgZjQ~4Cb7SMw6vJHuR5zHvDhoG+>LST zq9n6Zik}`&P+L=UpwWJT*&GKCu3KU8wR@};{pE|Et#!ycyZ)i<fAi$id*jRPrnr^d z^GRqtXOP@&H8=9U-LnOkTJ?mvUR~P0zDIR^mi6n9DvOn|TQ_t`M9%H4cw9C6{5GT0 z-krA||I#wqKRftBmhhfhJHejDc)Jj;Pd|D#IPEu;=lXsy>B{%XFV-La%es(}#nC6w zrRK=`FIDSn53<O%2;b|U%zN*%OCq1hypKEWbgloozBb>i=%B5+<l)An7v#>qz4Cv3 zSp^es1Gi}8g5b5=P6(CvuC6`BDZZuqfXNp@5u>Jm&v(mg3i?!aRMyON<zby4dnNfJ zvTq*p^W4F}?eJy)(^)sq3rNY|s7!h+pzHHgrLB*9sV=|9e`All#NP9h9v4&^_O{${ z&~NFQG3#m8lxR-3&7XLWdOd4@+{L!@<ZZzV+9t|>8oD**lbcPf<?8?b&+Hd#J|x3y zU#1t*&%W@Q&Y_c)H9Nf@PnG?8BC%+x^+L78x699%rKMOdk65%{r#h(R#6ku8pF%ym z^{oVs|51*5Vbx}4U-4`sW4`AJj`afCQz!dQ_!AgzHTUTSnP>0UoqM;<V%_;|TiK3r zH8e0!kMLQnve8=dhN5lcgL^S+?f#_%%g3dQCiHo%opjUVk^BmW^<0sUxj#**{kD0M z>-N4g-A$5`6W-`7O?Xnj{c_{n&sV2i@#d6R*LhjI=u_az<u|ortR{!CeY<KGSF2Zc z^l{-7`_i0sz7YvadBP6~8`Sj#hJN2I7Gjb;=k3W^i`zcWl_{$g$l`50mua3HuW(Jd zeua0E#DPl-6EywiYgIg&lyUY&A1mvWoAb;rI<37mVRm3L|E?DSS+etF_`Oet-FI)R zwFs1c;dJOr>xl}XQ_qh^DC=$d(0x<!<&*jhF0Sd`AHqMmTdsBR&0_I(Q(MOT(<GxQ zrt9FExwjW=iDhXm+Z1tEc-5=+II}xa3(LMZ-wb5lrg8Rh-YVH3l}%!9^=4-$=@;wq z%&yB`{PU;>!~dzWQ;Mfo-M3rEGci@wJn*2uykg>?nG=dxk12c?w%ZWpef#2C)1PMC z?A+xlFWf||n~TD>9$8-)$D=&qLSp>gD;tg&oed7z{^+jIdC&5N{pUR#qjok={>=4D zKBSfDbxPZgd;9M@R4%<Y{b&9JoBX1w`>bxv3}tqa{poV~gH9w%?iqcfUF-Xt)h@X9 zzCC0sm*@X+xlYJgsi!41o5DFB-bqQBawdr<Yr)4`K0)(aVh*UBIzGAfsPng@$(-8- zHH&wPxZmt7J|pq|=0W|qs=vGa3&d6&Xxh-~&6~X3L3aKX>z^@ot3vvB_?ieuojT^J zHhWR?Vrz-_EA_6kaVu&3|H-<}_m_7TU*5sprLrq+GHz;LsFl96Zqdt|Qg=J|A2}u% z;M%$3f@cT2;=CiX&N`UPe;2vpb;w2rLvAkl%ep@&u?7Cje!S^jy#I^s+t1`kcDy>2 z62Ync^tbHa>1^}W=9c#z+RkS1{`$T&tq#@l)BpPR2vtQW_=St@Y23-Bxcgd8$d{ha z-&ec%NH5;}V|P)no5>7=`|Ibp-&wMIquAbeds0tKF`X;f!Z0s4%Uv=;IPTsAUNPsQ z(hc7w&gd|`^H}<+M1tqs97m&ABh?d5+fuIm`*6CPY2WO?d`?EsnbWz{+D_&DIeNh2 z<)k=n{`Ef!H9U7EzdOMDaccj+C`In~Zo40>pY7Ll>)PF#y7p^lmZ{#k`8MNVmBLE4 zwr3}K`*^~6bbkgvG+XxODAze=`IyJ+cI=vAAHg?mF8483_bh3l!|&9-M_z6DKAk7| zueV)&%loPKtrp89|C+eUqu8mn@$i+B6Z0%uo_?6IWzJi_pK6j1ob4X8{*qh$#kS=_ zg~hwK%n3`5E$|Pz*Ihj~aL<0{wO1avEuG=L#@YO&{=KaSCw@^V`}-iWGUnfJ;qdK$ z{3gH3Rp0W&^VQ{`#suX@o*%reCtufDe~8~QL@`zV2g}@2zAc=+KKBnRO=jFy`}Oq3 zBnc~-Gu6k{IQ$IHxam(WxU$T4?u_W|63NRB9+<T1<L(Pm66U*F`LADQKHbSOCCzs8 z!S^}ohYH_!9NKI#`P1tN*7*&OzIj~C3|^UZx5|{~ZQkO8QKr!w-q+f5uCMqL;#Q?@ z*TyFJ<yGFhJx`bB=RUc8L4jxT483kPF*WJ^0(13PCl|clTEh{0R$1!(>q8v<8zco= zb6442kqbTGo%tfI>58}IbUw|;GxG1N%{te!{*w9DDTz`tN*_I^GrvA2R3}pX#VKi; z<;CPBT)*7b<|(c|Ewkp3ng8C*ko`Ip(;~0jyA{A{mb}OM{_CTTw>R_4rQN)#H@R*1 zGE=|4$2RlqD@%98g*?2y&ZflncS2|PUF+R)sS6tvHec4g_v>xkrI_Vsnykv4?MkmR z-qzTn;TB}ARQ&i=Mck?G_~`pxf1Fhc%J$B_J@cQ8`FCTn_nY2j9r)?L`kC#Vb%$$M z|BAof{oc8Xse)&j%$~arRp)2&o>6eRcmB|OHjQ}kvqwdj%q@+m3ir6VY;Typl&xfa z_}W8T4KKC*k+3*?WBb~3{)hi=iR3B$$$L1dkma9((M8rSru{FKHXe|Y310W`qpbZl z(?4R@yQcq_HF7(Y8_m`Kf4O9MT*2ZAp;kibw{GO!cl>c`tq}8;#92qUA}*K;`E9@c zQBd<w4Zq>~KBYxV1>Yal)?m0_BdW2;Au4ry#P4VQD=L4pu5&u<cB*m3R$(U@sj7pX zeA`$i9ML`Dl<Dgx^mc*Y@hz`^*VcuI2P&#*&UL9#YklZGUnb+x940N9iD3p>zg`%f zW8iwsmTf(eRp+SiYWp+A60akUO#CbTknP#zTNjcRmwD{2QaccMu**Ix>Uyqa{*Kb? zaesy8^tbq2U7u6!RybMz_KTy-R%gu-Fkp|mIr+@{zlSDO`G1s9)2p6ali&J~Kj8k~ zzfp4*TlNM&+Lsu;c+EzBuFWo&8>Vjgdv*81RUQK0cWt`;=b1>d<FnF6%V1-PNB3me zpT5f9cXV6x6~3?Ln=4<b9g<gBDN}P}RiyHp)Q?AwzL>71aQV)PfQy<&^4AV5IA{M^ z|8=5zA%iX7rRuDYy>1KI#cR&5Tz%_rM)>y0>`h-yf3!V#VRzJeo}t?EN1HQ4??$Xo z`R?rUsJ7>DtW$Kr(OIuzO9PdkGS|#_m)z=+Ws=i*eD%kkuc|kkI2N1{{=4u;&%_>v z)Qa%wb(#5w7SFskDV2st=^D+Pu4KTSzW>?dPhWn=ahNV}oyz)9Z2r1wE3OEvxF{8& znpj)$>P^XS7S->5-Pi6kOF5hgFlPRAVd*-#y6c8^H=DL|ziMtVs{C{8e6^4cm+-p! z)Tw-IqEEM<(5kgsJ^P;S1TnkG^3vM_oFm^aIw;MR(YfcW_u7jmMQ<e39^z7SFP;%J zJ9N*^hsQn|9NBm!Ag7Nf>8k1$wty!suTLGE<f~xZX<dKuDAT+Nyi4=9-;wO~&tLJD zal__tW{c{iSDx=Duxu+<*!KL^kCYU(r3^nZKOH=^`Gou9V+&oT=e~9Se0pbqW12hL z+rr*oHriJYn;UFRv10jkElr_gKYz8jQ$y>$2khsztm;Z#G@Xa_zlzJD%z!Qz^RSdD zI-)CfNIfy~4?CtUXPnn{@7Db416ue0^jJ^3{_E?>Ir>woc3k-ObIH?n!E;--t&fX5 z%AU*f$D^rwpZ4#-8K)=aua&<x`9hw1^SNbn*Iu%o5^#xkozkArGPj3n!I$*{4`c`N zNjRP<E=%zbI@aseE+VSCtmU|pdaktJ<P~SO1wOiZbI!4{qdc;XuZzWmg3b4)-xZv8 z_u0w4t1Ba_&TZ9LFlmLx;nQ8^B0JW8j(wqF(&aGwzR1ZKw~O;qzQ-J^VV<kP#4$hq z3ZDqyem9Z$fW0o?X60_y+`IPJ>z;&LPdRoQ$MS7cSJ%HIy_@q*+2UKj^ZrhkvEgmo zv6U<B#~-CBlUnAeF(@4k|NN7y;^pz*uT)<De*9U(_ujDwee3jD4eB$SR$TvNv+Hf% z#k7C!*)p3Izlb|r<9fbYs##^r_6B1=rVlD?SK98+{i5rynd-3V->$be&G<}gbF27l zk|$RGxxUD%zn}HdviTqM%{Lcsj5hcvtfSY-HPLrxx$7mTbf<+!mr6R!IN@Kr!JJ{m z!X@87O+RMzOF~+9@s5jE4*mHgzj&V6nx-QCcaCpT7B9RY%;}{ra%YO`E1{HwdRu=6 z3Vd!qTTwBuwztLmlE*^d^Nt#hnJg2y7y5<moza?SJaf?mjSJIu9@slqk6GOH34>nY zq(j{$AB{U>mwpNPzyC{L-L<n>TzAh&PrvFn@sshg9VX!!hbmZlCh32BB~WudA?oEm ze-(R%Z`Z>cbi3Q9^K_XN7ypxIUXeeGCFG5|jed)#->>t#8k#qoO}%_AcB7<B%(I;Z zHa||KF+~2hIr2C*;@h>lrKxlG^q6W*Gt1^&pd<48jcDDY&DZP%E$>ZuDcm9x_b%-5 z+F;ZEJx3~|CzL3bWd??KuN7aVxc&LVE0tw;?Uu2M_e`3UU40=;{fzl_DgJ}oJsz29 zG9|3v-gGu->4UVbsW!%swQtR?;W@y;8~<7Nf?g4yYNhUm^q~LGbPU}N2;J$}`@C<G zviEhpJ?lPtZGO&K()jEg6Nm8ctHlR}nN%gubb3x)uBdXS<3jznH#Z7dxMNoRxLkI6 zfneu?ZI?A4d|`?Z4g21F=Hl#Hsel$;)k(fFD~@OW;STt{-I}jXJt%5%eEOS@uTl>) z>wFDX`PF-q*Z;?tDw$@*-5mQX@;Z;38c&K|_??$+U3%+o8~IE77RGKo$Y9Zbd%5%V zgD$#G@h6v+Etj_~*LSRo&gbBGYLd@Aop0;?8wEV7D@8M=-?;e2`2JGmLPzF^hNXVe zb!+DH@ooQcR4!*ClgwkE>;Q{B*Dg5EWni;e-{d7{Hu2c^c}Kj<zU*SUyPrW+eplRD zL-uV|)(0xB1E#$QDqHe&#yYR);<TKC)dkj;&uZo^;#+dxOg{I9@U?w1+j<ttil4g9 z)%N_Ix<mBB|7=EI%}n<8YcMv*u4#D^{psZ`m)-Lo^cpm6nA6hJv3F5VYL&Fj%7=Tk zRdx!<pSXYK{uVR7CbkSi*$YQ4b{#sAQ8(Gx^Voum+EYY)S)YFS8otU#-b8H5nOU6* zZOfcEZzoRDR=T0%TUjP9*c)s2WLnJ99lHv5uM~-}udlM($5ZZH!PR0KD5ltd#=kR& zJ+;~W^YtHB&0n11nYirmi&m~QnYcA|m-(k#hW}gtN#cc=?7|s6BFyL64U_o7j<rmh zA(3-9Tp(hd&NX?y3)?K2Li_Vgu4#C1G~QmcS7uE^%8gTVR;b%H+R69LUUKU|>&K;M zR6dr9f5>^gns1WS!7_tqYUh9M(_iS<9GILfv;O<Cl}pNMZd+tr*rxaX>`6(@k5_hF zwn==@awg2-th-QTLA%lSh$jcW>XlE-h?bF;z4~&-!&5D{&i;JGBm9n^rR(SR*8LMQ zvwmFsyEFXinKkA{hZgO~dSCow=8a#!jaSQv@kwOt|8r1$j^m6NuN7})yAL@S*a}}i z;iP==?gRG6-FaJfOyZI__1RifX7$!?>)#=Eaq>_5)^BsvXFmAwxYLghGv|L-N`4<Y z*P4CiVqQ+Be|L%#r?s8zT)^+oA=5cS`R=kM$KSqpX>42?&7N1K`=*P(Q8QNT#CDDA z=dC;Td)l};pJ@5BJ!0CIv+|#1iau!G^(@o0@wbt^9?G)z_U#E}OWmFMoi9zEFWNOn z>df`1zspL*)_tr_J6iB-{gtT34_5b?&rYA%die6%3)gQw?>Hgz<l)AdMyHP7nbBje z<>}>mS$z2xf$LXhoY*r#^}_4A$dxP$y)ITB{jmJ!Z)*<YNwc*iTYJ7;J|5Y^A?NmC zOJrGYcj3ExHy5Z2wy%A(=<R*47bT8Hc3x4>FG|ZyZhgh@+rKYyJ_nnu3U_mkOUd6y z?pLPX*)zMU_f>(WSir5E70zneuaoAme~sbXJvB9`icQedF4JUfJ>L@({=)v%vTtM8 z^5zS?N?Pcdu<z#5nXlN-n}#j^Rnt>{QFAM+_}05~4NV)ptv<<|)7~mGgW;6_qTh$E z6wmt`H;-4&o=x|n<Hd(6z9-U)Ra~!F*M2=6I7#oq^fi@hlO*;X=}4T<etU*o`rqws z>~%_S7|tuV@_209>$T{g{p{3>Ki8g4{W-g+WzpUszVZbfqQ_rzDJh(f`*t8dL+<3C z=#TZTUDMuFeu(lFl3ueQD?rQJUTMdIe~*?wym0dMmMt6ds_t)oRyy@VuKbI)Url$H zu}wTEJvHy_g9N{W?`*t2o?bC`LjFDe$6vPHc~IorvpvGoo<VD|%C)NNI@MoK>iFDf z|57q@W}3L??Q`$WtGhn;n-=zNdG-n+HHmMk5l{BVFb1u<_`1j9nfx1Z1Gd<QDxLFs zTlSb~v2+S7h?%iEVaBt_Y0{6MT{1G-x!AsGVddY+7oDeN_&Uz_vtDX%?>O<Ya7WEV z%?<Cqo)7FWU|q3oLBXbXQ*G|c8E-3!sQs=sx2@nU$J)~~uKieIqBZBHZuflCo!&1k z=1Q%Ulw5IZ>Y5D6pm(gvkM^ooJ!^dTXi9dLp9;5hjg5o8;>l;(f(z#TeWiab|1w|s z<H=X|IY}0twyoF8?T)freRI|amk%5zHv${a{#jdT7?9KA%-UBrBj(|&eXg^!-&{Fl z<><)ev0JY#qc65n{7b>{7S|Ks9|^i|+0E|%vtxht1lPyee2)cq&v?x&EfuqqG3(#A z`_w)2xZP~QtR_4a_iEdXf4}2BE~z+ir|%B_fOB0}x80mSS?;1owQh0(i`~9d{=DpN z*T0i}mgEPgEGno>k5Ncp#@H+pc}q`u{m*OKRrk8SM^1nK?D03%O&`8UuXz_|5ybL* zotq5*=QUrihqHFh_%Sd3)r0DE@n`!g91}DwC*|-}%C2R3=;y8dJ@tZZ*h==#Yi}1m z|C*zGs(gxjOzv4_Noi%r6LK4Lr*3__?a~VQw;y6fFI~8?pD(@bqV<NZmP)p#K2k?r z8uv~AaaZS5zarlPvz4u1oo=1AW&0x-dgQKx{Q|DVr?mR)tXw)TMV0)Pyz6J}GWoiU zl#4}$+OC3cb5G|OTQA+J|2AyJ{Q1F_i(<r%-0`&(GSOeMHr_oUpqyp-MJD|Mj!j>j z4)XWdf3-iFv3C7wqvN}>dBrF8Sj4V%x+rtQ<?-xNn>&f0gsyrBSFx#Xd@_Bn+s{|J zw^FPkn%d`?)Cq4BHr=pi<>{Aezj1GFy0ppMn!iQT&?)Ylzu%+V{Oud}1a&bSti17Q zii-Hbye4rj#njkW^?J<v=Fhk&D$JC0sv?nbW4zL)%X@=AtxWB9d$;-p6RSauf2HwJ z(d++q78~iwY)!T(Qd*wfuqdnX^Zu*Blg`&J7GAvk&(o-%X^xJJ-qz2Z!(Z2^h+Des zThDw(u*!Q~;fEWK_}C9z%64DZ6WDxrYNNuw>Zh}!!=?QvZJ77p^!km`XS=*jJ2hUr z|BpMZw(I?wBMCE@lAbh&{@#<fVCLuCoXD2I@U+s9PJP{sV>x|m=9YEab+LTE6~Fbg zyq_5_U#jA^mKD$A_do1ct+luMWZ3y7OuwRD;<=ji;;FN;<=0%eEIRcp>ske;u<9v4 zmb>g${QBE|nc(gD@5HjpQxDq3d|>(cWd9a+%l+RsxnEnp&Hcc&IHq;a6GEHR?`!@_ zzx?FWa)upic5c%X+8iFPY*X%0{?%D}&y@LZ^mq%!Od5TeL^2t?-&P)&QR}hDTCt7s zL{MklhV9=ASUT$0%e;{O*E8Y4>*8wH4WDC*O<$b%yOZ#s!r;T{Gs~nk=X>(%_`fUJ zB$9M_p}~vbhnu!m1m_j`N!&kLp8nX?t0`aBd9nf5m7Ra@`z4i}W@T^T*=0Y&^>@|@ zZbn}Y>78fTKNs8iM+om*w8=fEEJi#j@{8Ts>d!*aiHbkX?0a<?&DgHb{-OQG<8^K^ ze<|CClQO<5nO*O1tn_<%U1`RWyXhC#%5aBU`EXU}PrTiIaPBG_Bll0Wj4pyP4iZxZ z{w|!CW;bVra(><ig-_RXr?#Xm=?PG+nf=dRd*$O(MY|@tH@RJWcE&<Lq^))*>*>wE z-*R}}>R9vm{*1cpgPI|s+=glYI@*=rR7{EeE^U`3@-W+MbHU=PTGop<Ocwo{{v_^^ z`9TX`9s#FoO-euG9tu3QO73qnXO=m(@@-q%DzWB1&8utJax<4)yKwJzsk3Bf=8vv3 zr<MGENmu_}F1`L^nzfj>cPi6lE<^Xp>;;;ecgz<Fy1nAC{Hg|}b2pz{`S7Cj{EjWX za;^oO#b*;bTb3V5&Rr5G!ICghdagsY(}!vEeid=AWMA?@Xn8p&|E&HsKMtqeZoPf? z?xo#Dx(xFcihlWjrrLkL$|?E3)~`G*my}&$@t-sIO{BbN!uJ}hZMwnD#b+k2^iF)r zSFgdqsyVgiTjVbl_gDQ^?2_6K_lcgky(6sqTjb;)8~5D&vB#710Mj<U<_VvbYl^#* zlm0%ma!Zqx=fBeLv1q2S;)3sHj&uGoim`UIv$rO87~I>|*S_;|XRCu%fQv-rmZfi( zN3GlBXy*GOYsm>wcDHQ`Gt^$0Z&mA+WuABJJ?|wqzB@;P`PFtF-uL|7Cnkogm(!m| z+2)=*B)WEws{X>bst4;gZdx`w>8?I|*O%uEt!L-^x;EKxe=}gdnY|*i&*$XZyf06t zXsok8@uWJxboQM#iAU#Vn>9FHP)b_wsr4uC&eX|^4lmu2C9LSuePVCx7l9h<2eHK) z${uZWms(vv!zYbdq-#oLbGnq~jxY9BDz85|FgulSas{NhP3tzBvm%+PZ_<?=Npan` z?;q%19m2hPOM^jGSQOjNd#nu)D)r1F`<SFRT{{`n9If_s>#4Lvk&SWI^UvMg#v2my z`_|QGw{Ik|w>}WFuB|S7e_37biT{(1yR&!a#ZFi^G4?`PVTJvkDs{tyCdS3RVGUw` zkLAy24qMdH()Rb*v|Gof91^iV-8A6^5BKfVyc_-8-d@}mjK%-m7pF?UH;tM*L&p5m zU-8a@uhK6quhr(KRJYz?W1D*6gIK8fPL8nskyZzm`p-0+q86o=ICI<8p3Wm1)jLcb zJUIKrwC5$f{@3>9^1%b$eaD=aUl8>BGQIk=3a3=PUZUg4%=MqT-hG<cZF2kZS@Akn zhGV^g><j1XHcJMD=^y@>Q5L^4Wo_;UuCDv$Pwz~haQ)n)xw$Ma7oFSfy+h7?{nT^Q zmfS2~6jd|L<M_2Zh8`*xC8{i=BvifB8@pNz_w#&X;QliIgqP`68P^}1y+RiEPpiHr zEu#Oa(ILI)UiGO(QHJ-Ho~W@Ca_H1Zby!vs-J-vG&Ec}IhSu9#RJnT#+oP8(3^^nE zD$=gX^v9=*{5vxjGJN#CHYve&QIp=$7G=fzwwG5ge!oX`6@Q8TkMO<^qQ6&nZTsP7 zr}T-%)?eAm^3Sa%GhGd5>y6JjPal}AdFw^-&-3}-#}6;K_ma1JLUy@Wzw@b6OJ}as zGg_w_BYQ>r#gu=(@8(zqy<ssH>Pnsver`X@6uVsxn>t>+J#1dzZT`k9yT>Q**Ns`J z2j6kb&G^6hY=OuoY1{lyx_l=6ALqI7EIWLEKl6{TngWUG$EVfAc|Wkv*=^3~wds_p z)pSe!qq+Mgo$L7cg4Z(iWSnBkzJ+1Te@(eV<nC+}P+-d{Taa%fv|#5Cr|dHB?vC&8 zmc2M#{Yxjou<8CHuZ}hGd|9sEw=bq;EOuAtw~hZZXGeUXuiG2Hi6;L4G-bAlA7uYg zF|*dJ_1W$tiBm3C`7dNWm1r~lpF8^twUy7Zy*c|^`J%GrT-FOqa;Iz!dlGly)4nN^ z9xqxmI+=6g53|_cW98`5{eCX~icXYaXUd(Zxr>ASVwz(P*;h7)74|Oh7VB~fRBz<_ zGJpCV{iTZ9=6<jLeZ96ReZsN4-uK3`CAms<jB;<E-T2(ArqGyf{Nvz`0G_X^l0^sR zD)Vfa`EZtAM*Q|rRj+5-laEP=?e==dZBQk8Md9o(rHT7qht&O=@F4E0aQtT9?~WF8 zKCWt<weP0qLiZh}%O+P$Oi|Z=kjMJz#m{&5u7z2xkv#U0FE{!4+7Py;&ou(8Ur%Uz z*yXo${l~JB#-p-#EDYadefYWVhJdH#ntrEXzpAP~k53o9n^&#g5Pq1u?MBT{%T<i) z-li4oeCGT?{9xi2W5?W70hN7|j(TJVT$le`aZ<GF`;sTiqa9xb|GjFu#*%;iA@(o! zQ|@(y1sv9j+_d(Xi0PvPUCYXgyH>=?h^BnjJfvZpvF^dj;#ckM+rCZml07u@-kEtu zm+$z+Z@yN!!Nc|55o!KS58hcapLU$6wXGz1!N--^iyOaAdso=cWqn}3Pr_7d*8b~z z@1{NR3w^PndZFd|%iZg~$_D#g+UIrS=hNTqhPKTg9%ot~p7%N=#&90@=P#1~LLC_H z*BN-e*swBQUN!jF-)1(e!ZMMso47t^%#O5>G|hbfvhUHS*$>Q4?z<!7{Wp8r)9Dv` zOls${xbfF~4)OPWw}eBY?$Ct^>1koCpJvZ_kiKsEU$(v$O%IzHDRQ!Ek)IdJxTk2F zTkX-c*mrUdqkP77{>PU&&oxUIzqq)c;nzf!4SyYf+&o>x`|9)p!$Vi5+iu|sxB6?= z{PfRC9XIWJU*cxJNqW3CKf&v}oZA!|rsE!ZLf>ZiDP%nGyYYSIiZ^R|YYoLGED}Gf z5!$S|=W6{dznr;FrT>~gd(EE}wE4SS!_$tvFT*o0@ilV2+P41sjnmteRnt}-<aRpA z-CsDz+LR;Tq=l*SU_%zuVHQb_^YhOfXc9bFt^fPXmCin;h`APALbu~4yybqrbh?aK z4bMH_zd0s86RS@wi~IdqfL%}eBHNOhE8z@}l{t@HKYu26Md;qcw%Sj5Cx<MREPek@ zFZvT3hb(K&3IB!frWp5Z3fh+zvWPkDU{X+)Li^k8SGL-v{P?r#<Hdj%-J!L8yG(v7 z&zhWJ7d=1budCAMRqEUyYqy%7dv!Fq>(=IPi(||#3%+rz{J6DgZI6zMMz2TB`D=e( z%bT|f6jnc9p0TXC<~f(2K68qhcb3DXMsHJz&D9?sf6<d!C$RXGSY)S~>HMhKFYkU6 z{guahTw|MmL-IS}O;48{^z1y?zeQD|w`F17@t{|i_rGbH^0Lr;b`w{F$(~aW4sBL= zsV8INQ}6sO?Lw`unf2dO-b<&3`SjgnTee;N<%h=f2_}tEt*R|fouBk}Ei!cL@G;w# zSmLF%s$8h}OYdW~d)pHYX0Nq4*ur`=jK^f-jZ4!?n_3pOUYWJVU~k0id3NmW{AVxT z>tAzXW8d6K%8U}8`x7I&`=9sRpCjUX`u+jU?CH@4vQw^XoU-2j)OPvJN&c=~M=g@< zBmXEBUNsM_GJB}9lhfv!1ha9mrSI&e!tWMaJUI96$7Z$jrT^ls;)If}uKfN~Z|alv zRiaP$YB<-ef0k$S(?ii?m)x(t@A+c{I|Hr=SMKYI^|_^OcXm^peSzbKEjMCL8GQ3+ zH$T4k<m}Dsn^sPdX-Rq(rg-AmbG8jnwT_stypkZa#=JuLH}m@}x7OeDYW_QOuWU&Z ztouDrIz{mVXYVfGM^fq5k8OAE-dgqh^pO^xm8^HyKI%9t{qS6yij*{OZd}c#v}x_0 zhVr5l+>HJQsJ(B}-ji^d^#q^D%YP4#8$Dh*Bbjrq?U5DbR_4-ktDI~0zH^-S{L;d& z)3({v*v+;*v$uEGM)hW`u>3Y*^%9pHlPV*vUB{EdO>>1*CTa+J&pOm6v)Qjd{7m8h zr5jvc{mkWVthZPn{d(pVNxRuIj5!)Vx9X`SzgXLtzFFc*<*fP6|Eq4Qrazf#bZ6!l z#c=WVed4bVue@>1G0J_xuGwWX(%3huPF;Da=f>J8U6X9$ejZOx@>o@PWP85y{u!=& zPtE*fbUNK3@=n<4HD4^XUj8+k@|9<@L&|ptGZSl>%$<o&x$%p+RJZJ5ICS=IT=K${ zM=ydOzO~EUQ6Zxgd9zcu!joykYSlLF^SdYPasQoowEX#z*<Gu5{4toDwoGU{`<~0Q zz6dRvzH+s@*q$H!-4XMxL-&3)J;<o{MmUhq&mr>1jz#PJ*9$JWe|4(J){n=ew+PGR zgzOi18@u2Mt7hxhC5yw0sv}-(j9yWCICR^I7M(EPLZc4_*G}wOeeBtq8&%WY+^qtS zo|*NEQ9yNb#-&sH1aIwsv3^o%UX<PE$v3)~n7%%Hxu`@Zb=^LhiFXbxSp8^K)gSS! zNB<VTfA;hDC6irCcOMX)+Pvsmr6GsoguvH*_7DC|c|U#1@ALfEwhHQ6-`wfCBRxC5 z#<aU;$xr^Eo^zjBH%~u&s#i&K#ZL)Q%SHQN`dN1!W#Ea*;<#kQcKxa?n`q$AmX&;x zmU_<HBOVz%_I$SRS+uH8P=CrEllp2ayU4%4CbZ>N>d#y_yHi%KXURz?g|p13C0&Fa z?oQWmTAxw4A-1?ecg62tR`H8H{a%0BueM_0mDhXPwX(`uGyb$Szbce}`B3Ra&9Q^0 z)wAyvh0oW>_i^59$ItThlps69i?a_l2nT)7`cbTCdm}pNUjDYjv*yV@WL<gYNic)m z`<vmsyT0p8U|zX`q5L|F{I^^lwYU!kwVRK28{hk;`Qh%(MSC8!Im<|0my!B2^Ge9Z z@18OykC#uHYNUJXP{fz=plg$#%!{&{xrpWYHm|S6ag|37O{zPwFXESz*v#wu;zcSW z>!)kFOzQ1DxWrW9j9#2ivCg80zXZ}}M~YoK^7OB?#{B&9j#m<zev`cQPBQX*-6k!6 z?9r`xYWWRUCOa2R<mUdp{OQk^GnQsD?lNPkSTBBBHnq4?qM&TXYLOX-^fEpyIp%dq zF#lGEe~ABt)p@gD&66_NtHp4tn>jOizuHTw^(+-<8<*P1_HEdoyl!Q=$nS#3jBn=j z&p#+B!_Dn6!^um&z1#4`x6haMytBM}oNND~8nNwcWxF*DGnaoj#;7k=q0SWbzFnWS zB{VhD`r8ki{ya047a_~-8wGr3G%ns16?)D1#oY~jbJe0uwrczTi{j^N5L&VMs9Kff z5xZv-ugfy=?!1?NSTj4R<@xP1(jgaacXovbs7<?+V3n)!Fx~0dlFuH=&b7<3R7K-z zZn}Nj;{B{-^@?xiZ;oGh$z8Ua)oA{ap3{t#R%`1wSKOFlJ@4_wt$N#;Id8q_&QN4Y z>WV+~bz<#~HOFq8>2mT3-2PD9=kr~s!nf&fA1^39vT*uBch$w4FKs%$oc-Hj{%yMZ zGfj$k9GU0sjeU42KP~Ny*UgJpV&xeb4!+M$pCfb1<zVL9d$U64vIH+({P|?hpPfC8 zvF(cDwp<*1R$AXD+&M4DU>AMCWqnB2u5$fDs|uPD|K7g4d1;d0_uToJ-s^m{*vk5r z*q_N{Tg~w}`N<LS+)CwZhxXSTS$FSBzUl59ow!LQN*kA!um;GSf4wblV%+)LO6$8$ zKVSOYZobXOoxgkETNjmm?+z7FtYi4ZP{U^B%8>Knhkew#KWFzp)VSx7Z`$Vnxw`m4 ziqopNUq@qqM+(_A2P9QH81P*zm?E=2zfJI)E|>h1((QjX-w1f=J@;PY;nkJyA7a_Q z?7teZImLk?c)!V+WlLmDo_?@@==^fkJd4WeEGL#qi6@g-R=6znm3KNDa`MqbFBuc{ zRTsR&;?^A!wpftbwdEZDuS0=*3TOX(vTnNN3f``D^^R+Noq3o)Tw>NOwoEkHaC+OP zQmy%GY^TN9eE+w=(l}?KQJr5i_mA=qc8OsxGo6GC9zR~;w3z*gmBf?R$)_hQ{usZB z<$QDK>D$x7`R3iN`|6)+GtGG7#TBw^izRMsE-7_?V_WZRcc)Rw`{RN26ZtlC7pzGA z_(9IsOz?&B+BIH07CS%xvzdE?x7}AL@ZZ&ElH1Rg-#Ae;=Vw;;y#l?{Z2acxCIPGO z#c)h~rn9c*Hs4XfMGjjh9CTOpJNRegua~-q@{N!FuzXa{ck$!u5}C;lG~Vd1s6Nks zc(!7`l1*#mmFCSBoXLBp=jP?-nQnjcJ;`a(2hp;WmdeO&H}9|t#K<k5vMa1gTR&7u zyG%WC*Dk-tL$U9aEXrd(Z55AvFzrg5on)}$q?2_%3`^55&GW8KKA*RL&5Mgc;*VEt z(0TW)GcV=OlbM1?JHIBapYdJb(OUWJ!&@fWUOy9ld-;9YDR1hlR=ttG$}&56?=9I( zbAxCnkK)~NkFHG1O;EIJc$px&ytz!r*4Hoa^M#myvuw8>j7V1Zab35VwSXZyE<Mem z^_qdol{HuDXKL8Ly;Ps>AMnF>SyoV8_u|BY8LQR89!!07<*&#NyA7u&lo)4UH+)yj zBT#jH*0Sr?d+V-TT;aTShxPqwP6wv>L@sIB`tIB9p1y6)hhnnd?AkX??>(QP#$+J_ zPM5yNeyuBNW(yoK<#^0hDwrn3BGVbRg*)LU*KO6L&uiG;^{2l&=rC==BJsPzED<Fa zZi*FrTIS9sqA}r)a+TrT#XOGU?9nC6HzH5yJ{7LNBlGMp=e?z-Szg)e;w26-F+XYA z*<EhHrtWohY4H!9^fq4a+&fF}Sjt}7z2qXNk-Ly!t>o^8C0o8Oxfu3q@lS=5&T*ny z`u?gL>laxaJEC|0fYbDZNKM6+yI!+uzd8GFZpo{z^5R7dyr;|puKKw%r&ft^PD(x{ zvAgXrM~axd?7t<VEZ^Dhq~G|*l(UN|z(X!`%gI@?w`6$5@0gbO-nx9_<y02d9UXTf zUn~ra%u_C(({64*wPiyItJSkC=2=namP_6<Hq>q6KBvvAx?21}(W}g$$xKzr%IkaX zsT!9gPrMZT=+|G*P463dCf83m$(~ev?oHpSy+40U<ItSzAQ8Om&4WL$Qnww?OO~DA z)VaCes&00%W5hz2gLWJ0Lo5DrG=&%cS#heZ>1<fg{W+KNL()zqBrDh@-97Zi%qB;k z^|Ghq-{T7Riz0$=JkNb9U$ALQXhH8Owj+wIOODR?CdFVjSK!^8$$mVyPm2owk`7>a zdG|$eL*#<eq}llowYKdKXq5^-x;|&C;o<TViTWGAv46V0bJjAkWs_fjU1xcGR`^M` zytv|J4D%kZT9v3PSZgA2qhO(k>H^Pgv0L`uwPT;kZjrIAcYVr7f48z1hfO>x3jO~( zPmg)c<l8SNC>Zfr+e>9pDX#&?Q}yo_GK~Ag-ZWZnw5l-wn;u<WDOr0ejY(;Ss?*g~ zn;PP#<!`lN+4gu<*s%!dm0pS5v9=k9Yne`#m&C>dnufOjT7Bxq8ezfhUtHKbRQ9pQ zHL%_4KlC_?F>Oa*cHuAGj@5zlYIa&`^xUfcw_kB_OnvCOM>1!klX=@eOimVK-DW6m zu~c2}!CUM0H1CfB!6qAL6@E2ZVjg+m<F=1y*Q%**`?EYe<N{aEXV15>Kl-0p77H`w z=qf$`$S<dP>6UQ}AA8r)qOEU)CVsnGxGIg)WKQ;TomHC;@AW9_n~^J*b9lnbK#BBU zF=f{_ME(A`Ui*;7muoErzpPYW?O0?Ny5h^_+L^Pi_?c<-%zVF_E&tO#0jCQ|Dd~GI zm0a)Mr@=ho^hDkBHJ(@Bty%m;>#+Pj$*9f^p((B1FH5JCmR{Y^s^wCV5o|EK|C;E( zc`vW8nea06!hzI-hUo`B9(uQw!EO7hGdJ`+KN@jN(h+@Q_uVAa-MP$barF$LfSoMQ zp0ZBi;C5hoe|omi)WieFi@knLvf4MLMp|&ce|N;>GsP$7zMF6>|Lxi0IrWY60@)sV zFX85F-qBbl5x@AMWLaOKZplq`>x1(yPTk*j>`1NBD!FqC>{Uj;V?JEtbr6v=U3cW) zo|I^XDL$>PAEqyh^W#rd{xWsS-lkQXtt=-R8vDjw$^9?S*Kn@vh3@gVCC8Od@_tw> z!24{rS9s~(NAFBNgk;=Z66`<4c%$(9@PA3R8~P8=Si@WSD)E*E+g8hDAMNnErj^{H zw>JF?%CE5f&UWQhpscIq?@r~Yl_z*sN4zPTBRxgOCX>_NMeWz~8qNLZv;2It#F8HQ zZT*ygEo;YipF6Bfr_}n_rtZ!@=eAAhNEq9-#h*C#Ht1+4mEIHi5EFOm`-k%jFI@k2 zC3K<h(~jT#bIwcnw7xsE)$SXomq=w*&Xv70P98}}u#0XjuXR7y^`tcJ(pu?d0!<7P z&A*;v5#RHC({iQ*tW)aOac>t%U)6cK%yi3*xR97RlOs2Oa-Fhn_F=pHZ)WVPe0^?O z`yaf~%zk0QX_l;AcfKy-?bZJH=!E^E-)UzjntE8Ri%by6dYfKxBE+}z{N0L6TYme_ zp5H2XJVJ!w^tYM(L4^+<{r8BCuTT28L#}eMIXm;!<8hjfEA<(Zm){CDVLiI7=g7Ay zpX_oEs;AGo>hbaW!lI4YEjz?NHHlAOy!P_-#rtcYM@B1654b21lc2y^wEM~J0}t7B zb1u3!PtV=AxncHs-ES#v?z)$^PwOa(SP=Jij_Af;r!TWwZMgEq<L8zIxvw@cSbSf? z!Z3fj(uN(|Uo$xz+HttKr=aga>F*mYOqN>qk{7Dhe@gN&oN%v9kM&KU;9GXzB^wu7 zv0YoYbob$lKQ8-Avwl<;EeLB5Uz6V!S9#}(zJrU?rW-%J@3blwouBi5?(`S&A68!5 zkYn+AfBIy8;guhjyeT)a;Qh4j@ay@!ds+6ih%RG`eE!L8FB_+*72DMBitBoKzIGh> zF!8zW;S);*EA28lCeFC%@;20c|8zUAcY-dC|KH`Loo$z~W@-*)Di7ZxwL4qtJfD)U z@uIUE_2*}7ajrU@S<c_NGRMzSc-~Z-Pm&8$1Tu~sj;VO?<m1Ube=d7;`fJ~1z4R=7 z(zE}Yb~o%f9ulZz@AYx|t*6$FQ-yv$>b76ata@}>=)uG60b7i6<OF_R<<MNa=h~vH znO7G@C)5-sWcw{iSrS^495wC1h4YWHw~EeaK2pAtabfvFd)tEsJ7@m=mGpG_<@2w% z#O>bnw)3yhqm<f^z=EATh1JvKvo3sDm$7%_m3@DXdhT0f!CGYGZdlLDC|>gThBJ5h z7U58F<~K%ZGdEULf2<Nwb^o$`Me<^yum#Mr2ZNtwT{N0}@eC(t-fy+FPZr#+E_baz zvwZXOCwCqFWjPzC=-ZaJ9giqDmt%EnzWRjMI#ru*tr45$@;{_x{jTt4t|{d`I;;QP zpYq-Iq15fr0?DwwQeH8~J^oEz|6%IXS6YtM#{{e%MD(`sZ}=wJk@jn5{F#({PM79h ze&)OSvP#64n5!3d=T7xe`s%X2+jdQk%!iy{)e@I)Mt_acoL%L@#m@b{^s{GUOwd!m z$?_2_O^)5yGagF3*zo)AGkdKa2@n5kvcJ80tz_Db4G({+zhwL!|C&!U{&De|_!}n< z?BH8>z`g9E;lay(8}&|3UwkduU%TEdflGB+>YHhEuP(pkc5+^Nq2-BBtOYs|JC_AM z-*225ZT>zyhp+entLr9{_osu7{_XF!5!xryH>*i`owl0)lCpOJ>sll~m5F5@EwnSf z{J!JUfoGzA*@CQGuTt&SW&WD7r7?fr-`Z=Q?hf|xOISYT=j~<RGQ-twE2lx&rp|M! zhd5?#_1dbI82sl|`zFTowd*oJ+aFrX-=4lJ;$!TQ1dGqx8cO0tQ&@MFuuT8h_3+4d z-4xA3t|y;fV!9r@?ZE-ZOM(Zw!xv1hRIPlkFUj)Eb8^^|6?#RAMyA(W8>TMrnkM>^ zMd7%@({6jlzQgyHv|ZSCef{$nz0Z=YPBMgYu3B~YyU@Wj)@KDyZ<KCysohNc&C%4g z#CA!%y#9klT}7Hldj#{c+?`x*KUZb?xH?EBy7|Yl<|m1+mkMslsoP#IxwZP(w&IK! z#cMBqI#~bCyRvPE?$lE!mapR3r|>VzaO?aXF8MC0zq&ilyyi;V&pu^&Yz?Djm-<X6 z+0#$>pT79_Q~qD_+Bd>x?dvDiUYxmOgGc=arN!@7hhG=lf1vNmvOj9UiIN{)?m0ho zwR?|n`ku9SG@ZEQckXkaZSC@QhU}sCcj>uue0>gcZke8+zh<)8R+;%%rmi#c+-ceR zT|4!;NwUaxskN_}UWlAx?K?0_?7;gALZ|Xw?%aLw*k+5E7Q>yz>C1z<<0WO6KmD20 zrV}r+y!;%eRpShwYY$AH3hp{Q<$}hZQ$C-+^<-@KI@Nq=+xBC84hQ*OvEBcuC7&}R z<)!)S_tg`&|G&8QuBXfgArUk04QC%-`e<h(dB8;f<>oUBosPI=oiC9}a!OcG)D|<n zCn1z^#r6#*;j`inJ`*ilJpbXZ`ge2LxUMV|nOV8K{e|eS$+PS>*3a8)9oTnc?W?Pm z>zi2rnw7VHRS)^gah=^gr1Qj&X5aRVbAh>+bd_b3;~2l~*4&YDuDoAh!$gt%PUaPJ zH}idZBzLQ1`Js<(zSbW@#O6=B&H3iwzmrUMeJTgex_GO(Rvv!vrSW*&&WPP_61~m) z@Am(C6Wq9ELnimiQdMS~n4kOC^T!@hmC%vB62>Ey!T(iuVRVtL#73K?&b{u3UIeDB zdle;O7^o~StfBESZK0LlZ4JKfpZ4U}ANUaE!@%>q@l>Opd;Pgn0jA13lQs!_3ck+O z_;4rlnvIW_v&=l<m}e%fT<~;PlMYv$i}%@u{K8>h0*)3=aa_i6L~#PgwP%{$p*AAS z>*_xJn3=uvl<1O)^Ol`>@knIb$$F2}N8zc@cm1@O^ZXRY{we=vEInOw^^5#*4i+0e z4^gItY%i4Ces-KpH+<D>9vL>PVufm?>kX0bnxVJ7rl-fgs1$o^8PQf6yz=qd4K5FI zi%$16v{`OcHj1y?Uo~Uj3bEU%+28-air=R)e>RiMpY`)UJ}|xc(YcN9d@@&K#swiJ zqw|I-VOQV0Zp*y5Bfwa9CeIG}RldPh8`4vQFU>hKc~ab(VBZb(Y7;%*`t|3m+V|w~ zpKF30x}Q&N^2^=0OX0Vdw4bQJ>Z4OM%%{xZQjlNK75!x4HRamZS4Bd~6V9*JwqQ4% zCOZ9i^>xdoDylC<mc*TM4iCKJk$x@DsB^jEQ>(D4Pkzoju_9M9TvR~Y&|M(+kLJV| zYE#~7nZ0=ami4=IP-{!n$3K4ZadnKd#SOAgvrd^ZyQOpIjg+PWNul!9>Y{yzo*!H9 zJHOS;^28#B@_T_-Yc7YbHMny0VOY}Unx79JZz%A-^7D*&zuTS7y&eu8yS#Y&bEchN z&cnRJCeoki$d+U?DWzpIyo`26Y;P}u+D66=x6BAVB~#&6RuKFPiG)4Tx5FS%;F zB@Xe-wNIa$kSn~r%im*<?mTPuwzx;%*Et{k8t)MnzP+zj#Xx1b#;X4_erdh0db(~o z=ZeV;-!^B?S$icc{9Z|(%FNh<PP012?NzdwMZC4V5BaHZEVN8xcUN+KVC>jv9HM_u zNqS3gZCZBC*<<Y<8*-%Qt=n21{pkE9?}*z!rX4*Sz|wl>g4vPjKR*AGF*%i)b5x}! z>UoR@_s)i_xN8cZT10oKt-d3?Z8cZZ+H9#3vw2=`9|!NVt&<G>ICsyV-`?l4Cv=2- z_OOkZou2li<dXik_lvK|t@ppDvqddndSWhv;{6zd^U*flPj=4;xoWu}p}2p#n#rPu z1zt4?E5oZivt~!6{@QnQ71!;p>+=u)yUiAJHMA~5c_+VM+~H%28}1!m<7m{aFM32F zXnkwzi-7)1YFxdR6ViUq{&6cNDeZjRUeRM`{?2mZy??~=v-N@PWqE=YerDzC1)6h} zm3()zxUSteZ`r5*-5trzTdyS_-t*F=yXseulH>&UsndN*zhz%^<T6-!Vxh3pdYhA) ziMLs}@)n)^TfO%A?nghF7rk7zLTZkmEBiCOKR>4*3vB#R*J|Ucy1Ok+S877HP3nu( z4abEf95|!bUaPd=v3F0L`~Ku~pA`p=<z4?}su4f^XD2WF&3^}C^qN-W#tL<}-I5Fb zHI-Yc^nRa<9lwnA&OG&?Do>9kZui?NqF;Q`cCG5oF?_k~C;#jZr$0;*KAX5SPE7c> zdFH3mPDvLzhowmw6RnmW)z8ejHuc@}KmCWA)omV`J>Bq2_<Gs5t*cfoyy(n(H|wgV zdZc6a;xAhKd!#nm?OA$U`t_6tY%>M+7H$20wqnu4BRrg+=j`5-{E<I>sidjo3bs3c z7ECgf?-Voql9tx=c=v0K`0s0*jGv$8&c0U9crE(j*1#C=g9*NGenoDsU1D}Rde7lS z=1vVq9E|3^iq)6*RpXz**nMaA^66Kyo&}dpxUhXIgRXdF1=G5))wy$9_|sl-985dG zIPb7h-DzI=Tbly^I|>sVoMC+*S6bT5VKlTTJ1=e+Y=xZ}z%>30E7ysaHC^C-sG zeY!C5UYewqT6BbE#^pYXzE7I@`<~st66u$B^Tg-tRaw2fE4Q4#9DD!Bv3`{uZ%^?# zvG#K<3RoI;rowoi_xm@88<Vpxc`N>O&;9IrX`i;qSFOnpF0&*QHi(}4n(#?^Qpuly zWm25?UN2g8i%q?IZPxy4Mo(k}u4`$Q$A$i9%sy@>{%uv!bA^@H&G;^|{TA1bsTJOR zB8~sgyVpD%O4nqIzCJ2n+I#2qT`k4d)fe*LU1F&>XHV2-mESmRQHR3fpLR2ydRQbb zC0@}J73?hi>n+aZRsD%$k?Vu<^~KMxDV%#Y|G>T1ndfbel&xM<a=guHlCS+?R?&y= z-WKe4Ie5tM^tr}=@1?GuW7erkQ_?fn-^6^gIyb*-<5J#~MU_|69AhL!qWC|rUhchU zb<Ii7slUxL8iK0@3-emeO^p}fHsE2n#QW*+Jx{fWGhZineJXpKuQp-XKQ*SfG7Uc& zw<@I#H>P{EO?>#l`2BItzx|Kxrrr>~klDOKKwRT*EUTc#<1D8mXZ&vKXmOltj{BIc zynCt4oo}WZb*Ek`TOD6KZT;P?8Z+iQO+9XOK&<-eibq9L7Fv`}KdX2tu;$Ue>Qnvw z`YSg^Gd%A;EYH@mLivJC>(4Mf>DHGI?7w?@d{w)9;%iAvL5s@Y3+1WIMc*FPIq5%7 zPxUo&+Uviqnf3A~ao5*2g=cmP*-V_KV;K;%bALzqFR!B^^Zqf4xXe|rcyQim@!m7d zSJN3vlHPQ+O?`F7V*X}*{mj$F+hu1N9R2QlG1)$GrOWs7>{Xrqmu%gS8fSh!((~BA zKE?UW)j)>Yz!kIPYcpIoalhKcZsc2%p2hr3cFQY`wG)+E=A|7nUY2n1baw`mOVN!! zWjpP&!WXVAox^tGYkPNmP`*K{!@0b!kMm9bB?mVoN9+BGKM|wXay|dS^&PW!@fHW| zzOnhG>5+3Ywt7S{-pW>eoA|b_rsT!FEk}CJc4=;1B0Rsx^3(iVT&c6$&;QswMWF8< z&%}hJvkr+Jo94CO64|A`exA?ox!XO@YFXsWIKTVF)w|mw^m*=?7atYa{APQ*Yf$}r z9fO@x%jZ2U><?P>C2PM^+%@-0O5x1v`Wk*qXHL04cbfiwwnxjgB0n=*hy}0z;Vu(B zt=`9c?W#K$(pP&jX(`r!>bDiwW7x9s?#@LIoGQZPm3j`i{Qt9d{oMA@onOrVp8lM5 zyyK}|h=k~_^m8Vgn=~^X7=3?V<@9UHMSkJ-h4vTs*q*!JopRMr=-#~rq5qu>)!h1W zUE~<#<sAQdPZzcpkv_ksI3}vX&E=i!KHop#irbHvocuha{d|dM{bm!hUvm^6dCw3` zYuP0|m#JvVoQa*!lQmb&J7ytMJ8ypX`v1$HrMIp4vHw$d^;gk-o`D4uzUoe!&%@oE zR<de#2#;O;x=HaOjZd_s-rLC($fQ`5MqYfEwcT~{x)ZUJ3WPj1R@s^!@h-pFdg0RU z+UqKJ_TT?1vwj)d+{#2=SLR6GUE$Zh8U%jy{1h3Kaa+!K%FFk>pA>9&JBTru{?=c& zd-m}cJ<W?V15}=_;EP)x<6^b-$!FaH-nbgM<cqp9&IvC#UbDHW*6+=4#rgC51Yh(% zE?z2mlwY3r{>Q&xS=*I54}6v4if3j~k5b*7KAUTofOhw@wI3oim};3s8a4@AtxDdL zIBS90K4(UygV%PheP?F6O8??2C({di5`CIgtJaiFp3DF9-^<TW3M;&hGH{zb__uVz zqgVIM3w-vjtC}OFva9f-jne_9lU~u;ORoCdV78s};_kAT2e#&tlI=_VSs#DQ&CLsZ zDD$WIb&!yGiP=1+wce&m@eIzBHDVn!^^QnnFf53g9a~%xIia&G^TcZprn4?LC!gWm zaLt%!fq}u>iFejreX)o&%&_F|<8}8}Ue}Jg^Klb%gXqL{cUxn>KbCnJ;B(8Jt)Bai zr1{iEAFfHu)@k%6>YlwInC*9T!sSN(6&eNJQ8hB(4pp=t+--VMuS`Q*yp30R$Cec) zT<h*?#HbslU0O7?+vaz^`q~t(`KLLTubonIwR_2NW($Q+RaUGSODb$bD_zbyKE1zK zw@+D6B1!Roo&WCY#veJeTnm~W3FUvhCvnsI@vGK(`q}Tks3t!4e17Eh>50o^gz^rp zy5P>UXT=`Y<LgexNbO(Bv8~5mOO-W!?t88c?U!=)eG#eBQRu$pajWoAtW25|)7!7n z25Wpe4#skMi=LRPJ8k3Al;3l|YiMM}m#9nnJP6_c;PrKDqrZuu@sWz0i{(~v?xH_L zpXL6U=Jx#!kJ@P+2`L#Dqe)5bd#W02)qXPMDykiQ|A9Bvdad!RXElmi1>UnbzjbLd z@Hu?kzq3uXyx`3d$zEfl_0gM8>d%*cHCJxll{r_ZZ4u<TkZ8kr^0oX=#l-=3XYcG< z`Tr<yKxpGEqrDYw8=aOfF?ygI87ondRCFo8Jo3cR`ezDn7B6#;X<P4B`Z%e?mT}4J zy^AkPHL9m;{&d)ubm`GI*OM-dDzV{B58V&sN6KH_wxdrvUA&9Q^3uwM9ml#R^YWDC z^Kaf@l^5%)*>ok`+|Dz>JmA&$%Wm?=>i6!eP`Nh$z>cN<yEtkETRyS}1?+zKrm6M( z_b)%rI6BFEUXhTQ*d?p}?lPCKQ$$+q1HIgp|K*o%$Y1(kzPh5nYfKvJ;>`?_I)W?b ztZ_QDtI<$<>;EoCuJ?-%XQfpH%ZkcX-fp{AtNqP%`;7lf)V4It?=|rG>V3)gcKK?i zM>jQ^uYC}Gdzoo3o6Fu6O(`jfN%cW#7x!<OAj-DYJR##|>KmK*Rc7vc8QX<Y9+gUp zE$ixia%!@w!j80?!4E3c_9krp?Kbn_Hvh)*Kk62Dwyo=4p1M5wsdyDz#Q6gYs$W~X zbxq6o<6QZoa<b9$@WomOMK&#*y7cU-+0%BLCdD66vwL@R)$#3W7Z>i!dLO`<>+~}w z>&8Zrh@A;D0yiC1*|{Ps&-C(^V)_187p|m4=*tFtuJgTg{&IAA)8bXj6Q1r)@7XPL z=8-u=n%Fa)KP@J$Z(o`$Kc2MS#XnH1Z_}47Z}sUiIi8n_a$4X1SlK2j+_3Ln>7L@p zlLHQXE&BUkf;-?vbI-xg-LqC~z95>h^Y^TYjPJreoGR3fvNF4IYum9|;wA5HcV=uo zdyFsTMUG#U{LhK*Y1uz2ean}bOnoG(r=+`lR<v=<j$P`@nG_NiU)_4<@{jtwcAeAQ z4+JF)@0HwtRZ^1lKlpl|v7>p{j7={&nD+}TzU@&bb^PhkA9GtLKeyX>`tOtJ(<fYr zY^w+;|N7wKdIrXw0b4HCRMvXD>hD|~`p|p-ySgi^^BC1SA7-l0E0378#A!i8|2bCs z-#=SQvh5`mbN-$?%COex(l^7c_cs<9ml-o03E!~#Lf$lIn}_Z9PKjz2eAvKpsK)bc zTI1gzwO80473{U_JUq4Lg2kMl`oF#`S{mgl5h8KjUy09E?~H&$W%rb~mu3bnStS(D zsdqnKnb$E;EIW$xzUMP%i_UkUn%ci=9T%_2U+%ER+TP{-zQol(&ps&m!|_teJI24x zTd?4+CR6)_g0f>0F4t!Sv8kPUmc2z;`B{4HXGi&}EgPMm$R2zYKb!GpZt-px!%8=X zRfqFCW@Z){zgqcLg@wa~vq1htWo>oXbmeJ<4(Ar?#{@rq=<$v_dGqHdG4s7HopAEl zAk=%O?Y__P2Tr=T<vMhK6{~;gkQNO#HJYuyFtLdt#XPh%@5{Tp(N%U^`4yM^jkv+F z@`t;7)2*AG)(fwdS<IE!-H{)Cf3j;@!bN)<`Kqn&8u{EMt8Z*$&Q+2Bb<N|Q%a6PE zS}yB<)~bo=S}$U;zv*pi&GPv8d#N<1l>VFxzq~58PTlH1<$7w}qtN<LUW-Wwc$_7s zyPT8fnI$omN11Ks|0joTxF7T0ynhnQ51;Ui1gllol6nGXaSO8g8uAC0U16Dj^3QEe z^U1SUPH=khicgb+uXDrdmi}eQA*wT`h{&bzp7eAxtP$Lw9U5MGP(ksOldO++Z1>K! zOL7;kxcxFr#3cI8nmySbN$VfJJm={0Uf9rS;eDwcLeu_-8%^D4mUVQm$m|sBsEp0t zFHhu6xnm&3o8^&MS|Qhe^kZ4yD$YZPLQ-T@mh4`!D{_YY*@@w*=WNTnd!|Hqzr1^* z=5neH`|Ij6lenV`l=t`^F+R9${&bNYn!kRgL^??&EIAVLOpbx;gtq6NOMj}Wu6nbD zMm|$q@Nvt8(kt!C0a^A}e%xO5YF>1_okivM3FS*{eNSxUIK9<c>`U`^9oAh8?6u+C zy=$1;_O-6v^SC|CPo2?mZdjK{MYR92Wty7~TVFkL<b+1qroMz@=4;rzTtj{Nv?Z6W zxwK{P*VgRwtJ_lBHwXWcesZUYaiPxqv-5oR?<@&@@npg3e~DiDjQYQ(O`LkA=-zT8 zg`OK4W&5UfOj3?Nk>~g&#?!ty$^Fi9C*yUQ^F{v6_>)s*z*SQc&^hh0{4EVmKi}|a zjkfh}X^fQ$lTNQqDnC$u)@A<0#7hEs_K%7`npQ9Ju<*B^TfP7G&+aE$+nc?=+}mfh z{$%`HR+q2V-kkYs6Sh}d)}H6_O*d5&3lRTnqWDK_rv8Gw52=YSofN)lKDyYdQD4`0 z<HhaXMx%4Djpkp~jF`<Pb9&{&84eFVpV?$?>m28$Z?xXZ?b;%PDb3P*8Mf)$Wa~r} zx0t#tygu8KQAGDIyQ8g~xo_{v<R^b$G;7T(iT&nUQX{=KSoKDl=2!k;m$|A&i<1lX zSH_j9U1;0;g{x}Oq7{8@FB)qK?{S{+3h3(Et#ny$@7jnPf=b`SI+x45_jCHOAvQJk z@xr<}Kb#M_y9L&FTnx#7xkXHg%l(>2Zfkx=>CF2#It6yTirT!UU~8_f^o6fm3}b$& ztTvUutULeR3ZEJFORrC^T|Sxl>GNv&h4tH9Z+AJ&xg>AF#(K}vme*a~C5XF<!(spX zzLemKv+qrVgA+U!iYP3$*m6Mb=!vBg+;>jal&G06RY=|$pl+<2x$|9i*Xo^9qE_y> zb~d<U!5bCVpNEcc?9htvTC!>PhJAB7pC6HZS*W!j*=>uFM@h>$?Fx21Zm;)y51+Go z(ZB72+>^^e8<ZJzXSc32TK-SeTJx^MdzTVhXK%)j9G9%!eia&axL1VQe<;W^N&Dat za=qPQW5}wePuIV;d^F2v-xx8+$@+{X>%7lWA}onb!lIoo1g@#Xhxy-KHK+XZqTYqk zbLM3%X`Iw0;q`jPlFS(IbFqItRqvf}R=>agkoEU-Q!eTRUvJj`vnW{8v!uuJ!~Av~ z(T$&`y_}dY>2_mkJA=^XJy|n-#Jd!t9e=be?ru)impSy6DJb@<@rHzZdCz}ICGEbq zzgjzd)qY;T+7_#QzE2)x^1Hp+(5pG;<pGDqTp~>TMuJ5Jlj?r*ahy}w-m_4_{k&xF zmtFskO)iY|wXK;sQNwh8)xGuF+2&7;Hi=hvm|6Hm{;&6OejLpl5~;gqZ&rYtDo6S> zX?ETJGwY804W6)Wu6}`8@}sJ+YH!7B*&?~;{PQo@p6IoA=Qr+cXJ*Y0;8J;iE%*j= z^_}Z%9A~2BB3>F6uYcILb#7_Il#355OIC?G3e0*pN%7Vhov=^mLPRgTUtv;FlAOV| z=absHh&3%Na&K1OStg+UaXrHkMRp&ag|YnaEG6_Nl=JQ97P+U{!1eus4XZHk{s%wg zO4eUpQP^jkFZB9RxUkUNc$LiPHLq6iRPR36;2mjE)5=)r#;$so_o#o-MuP$ao!~_b z22sMzPa-x?W2!p4!oqWT_l1tG+U|gjXT@({TP!N`@)b{u`Pt98j}=!bAO8L^pj_cj z2H!Lr-MCMWjJz^0Rn{*Gbbb0O`2UTX)eOR!srH#dLEO{q&ggDGxn$Auh3oidt)Ade zqjPlMb%v7W<Xaz3tP`0v<^6oMX;M30y7_)sW|4cW{QBmL`CMh~i@v7iOmx1rch1U% zmo_o8Uag$PA9(!g=5QIAe;2p$e}2StWc{)<<xP=(6#>kry<?{AE8~qVwOqWI!=?Z8 z3*ULWgfeqaU3z?U0n@qECHwhAd(|&+cU@Ul-E}`v=<!w-+ol%Tm$42jI3t-GxW37_ zxQov`S$tG0Dd1w*$>I{7qd67VANA}u(`jAC!PV-gx$wSN)~Bz(o=r}05ubj7r7Y`I z)1=$4b<0jP-nO=y#eMQ~XZIsEkH;e0zOn_0o!sl!zPo((&f*`umXRCfUCW+lFt<1! z**@|AMztp9Z7yX~cv-KQnzAI<oymXoWxHL4y`n@i+kM}S+Ebf?gYw^USIK{Pv0i=V zmn*?XKRwE5DscEz;1y}e{arkLlGGdzl?gwBUR`@S<MYhv??26xwq@?zANlpWjWEAN z(D|b^+44Ho7q&^cu)Nli-nHdh)Ym=XF$RK#F+7~QkslkM>sIho2EMs+`(W){K8KE; znTD=z*4qmNL*DTgP6*d~d)B3Rd;OPqTen&A6%utqyVq%ktq{}r#PvyNe|Vd)&)Um< zZ2D?nbR5EOf0jCzIBWW{{mqN?EhcH|YfCxpk~Zt-{jvJxid(0v4xKN&+B4m9!Y`A_ z4>II`y>)xoeYM0*ozF3!!=y83?Q*$%$sgWt)z{D7;`N|a=98FB)b_bn&8((N8-Cda zJLJA=TTve9DkL7okX3Pxk-=9e_UVdu5g#;8y|HlJ{J4NgZ_fLP|ATX%1ex8u!8&2h zl@C8IH7wFeORBQlT+b)ZrxzA+ut@q+c}btVmX-d)ovzu^Wj$}@cdXDW4(}4vZH)ZT zY+rt4hSH~<jPY-Lv;+*bUne#*h>9NUkqR!GRdD8+MCruPONBp}&&H-I9g{hdV7i>G zJH3cY%h`~lEySRHQq$Ew@lGD&H{m_)J|Z&haef?k<}Tg5xst=S;+QVa5smIgOy?Mc z9+p00)7};J@{O_MpOd*>b2_$Ge7|&Ymv3L<^ixiA-pciP2%nR>wf8_l=G)UDe&?6& zSbuK)?%<$+?!`r(eTqw5w=e|sEqJ{rc3ZS)Yo@`e15Q6g&dq=GSKzPCa_NVfdUpbR zMDxG29Pah#dfC_h$|rQ@sWwHqFMs4hrZcbV|03!UXM8Q}Vt|wWRrzx{-vrLR@4Ln9 z6QrkFbxGpB#(LG#>G^YFy^gS)Tval4Ugdi>)~jCc-|U+=f$2}GP;UQ`vnAomH>4LP zzw}&`w{X|*8TE>@n6}O3R&Lt)+^s~<^pTO(>Qvc+YwK1Ru05QwjYDc(N63k3a|A0T z{&p9tJ}u8EIq>G!TkcYJofQdY59&NVy`6Y@_n&M|{r<b%sxKu?3N|gg#d}qEX@BR_ zs%;G)YM5?lIk)G2o4u#&(Ph^zzOCODhR&CEb<cdmGO^Cu`l5m9z9dmL?HjzCFE*y{ zf4ik;N8=xM!A_<!=1;nw9B#LZj|JVG&%cU)X0XV*wq<2@M_nbAH#<6PQ25j&x<iNc zmF~NVkL#vA`N^A-)%VeGmWqJS^-aBEy3>yzQS0tx6FIr%!B6ECCO2k?X8YZ?i@2M; z+QFhN%16><X7Rf4uM(9uE-G`BCeLziwGK*F-TO9p(I>YvMXlaJ<u^3!_nb0uH%a}x z;${rf`w3@wY^ypg50w{vmr~njXJp1XVYZ;)4)bFsM^;_k_iXxy*_`(suN7S0lD+qK zX4$=C;XFSUAKF-*vH9z!hte~YBbFzW2mj5sS>O8n@swx(yAN_-WXfFSGGpC?=PO)x z9aJ-Cn60<A=ibh&x?4vwZDz%q9*$GIt3G)$)46xMcFu5JC=i~v=wjRIqXoa8MgQ|z zzsBTEZ|+nJ*?4)I--mbEhF^WTZbdhv+C|nSt=cnQZfttOSE{2ab4k45)z<o&Q0s}c zl3sm}RvF9PPcOK>w(x_A>7C<ymgY#be2q}d2yG2rslA^|X<u3Jw>MXL%Z<{Nye=07 z9lv5<dTo=<&Ry*%{%4#0zcX>k_QqZF;tE39i&p3{^S=LoCVk1$2P@VmE&aMSzOZ6> z@y+!wPp=E=%k?nfa=o%uakIr-X~P*((GSx8ysqJ$$1=CN>&8c(cYC7_I>|imKjtIS zb-$y<R@q%!^JVh~<~y6u{%G4(c#lndhn)UD?(&YJ&9x4EM_i|vYaaY`Y2u@;{ozs8 z9mjWX4Yka&U~=o8`^c5kn!mDmhViRg`_6BD{o=f0;(;&uDm~o{r=D=Ap9&S|+Y!N0 z6dfy{#dB+ep!1=hzCwqT^drQqZ}M1t&i*aAFd&2d+lFtQPcvMflw`fWq;&5q+cO{e zzeR!juNco~+Rhp%s`SJ)^p)#%uBoS|z6k9KImh^6pX8#&hi^Q(c+z{)r!Ox58FsT< zKR+Y!@sPeZL(3HJ$@jO%W-xybU{{`LbN${v4W9hxh8uEizvW0UtWjtTVKYcp;h4Vd zgUhUxzU6z@KKo^ma?Y1!*H^aUHQWAAZqc}x)TyIZFt2D*jD)Jv=C+O{RTX*5+vBgV z-}vDR^S3AK{3q7Gd@465`Xzr|EKh^U%+TFW1T)_&{XO%Lflb1<$@<fw`evV*cju?i z5a`L>S@(F=l#LSa9kZn5Ub2M!_cV1^>)nxfwv@NKD^+mup_Xmgd*@t#<GSIZE8C8v zRoA_x16e0N4QUf#=}uaf^Hk^DtvQzpGT+~oYf|-nd|s<Z=d>b2sojC~6M}#Bx9DF! zJB`89O=+j^Y?o!){~Vh;AE}>na1SsuzhmxJll4r6OE5UV?Q-2j{mSD{nKy2c)fP8! zZsxik7-f`}A%DlUSay+Pj8#@R=M+<!Ot#z&DTaCvCLVmhW73nog%|E_cgazFZc*cB zaZ80;WTKKZv$@c=6%T8kckpCo8fZ%{_OCkBekC_nRJZ9xuyL~3r5ct{vn1)Ye<LGw zCw++cE8$(gghMvucGb+D;-@+@PVkr1+)Vk)dpe&(``nh97a|s1yQ=kdOGm`9?akA^ zspc)abDKkG*_J=PS`%kZ`WScVYr@px^1Nb$FVhkvujN}b@3Hu4G~Jka?}3e8ADx~~ z+Vt?}juX!k*xK`(JLYuVV?F(>eE-C?DbM?t);38dtx@q?k(x9kh1Keq(u-ulr56`Y zd?dlE9{K95O^){BqG#cYeOmrr-(uFZ$3y$8Z0}QM$us8D<F~ClRk7RAdQOdpSM)Ti zxyt!&FDwmCT<=U2;q;c;5wV;(FDt?6#Z&Voy;JICBz8^8TD<f8OA&8j&Hiib_p6@d z)USMVJS4;F;|hEA0?}@JM**|4%H26R=a-0ttXW?0X5r@ejGNr5d;P8-4z90R@^9&y zvy1ILZio5SbG;RQp<%u7=!|Wyf2{w#yAYyu>~{6@xznaRUJ^9_@te|%x$!X@y5AM= zSk?J<&l;wlAK~7j$D-1h4~R<|`h2x`IqzZksrR|;Whct5UO$&qNS@AA)|%b6!gM`< z%@+9*v#w(;mMeCAiwrz#F)g62D8Kj9NwHZ?#k#GmN+M6@Xk2x@UcbOpR?BU-qnKL8 zkJCqWM09sO-0WTYBaETHQ$kI5+qRn>aSt>M_T)%U+)(PVFEsesqemgL|KHA?zNnae zscecC3j>$>=T|Z}E-U$3nQ?nu4ehC`4L^GLrN)x<!)JBWemk=AeVO3t_|Exw(kjsl zHd|B9vfSmbzqIZ6&Z*nP4%~Xi^5)xV-FHp$$6cSNSBN$ozO!bDeSX$P{RGv0{;sUG znG5)5<$SF4+4}j~M85~^oSpAHq;6h0U+rzZ;<J#M+zQ#ff4FM;5AR$QV|vuw$VGkU zU$t(wTg-Q734Qy@dh9W?X5y;YdhV5Vb*neu=2-0d$-(hljM<vMSL_(r0#iO1JU^G4 z{Kkpvc~Vrqz=E)CmR>D|7oD6mG`02?-izESv!i!I_5Z{bI_^tveRgB;6D-&_<=;MA zzrN>bZ@zoq6<jjY{#7U=hrIXJv&woAUjMW?C9C~^w`^U$x;Dh};Ol(`PZ;&?1U|HQ zT(dxPFW-CS%7s-1NesCaACAp>meI@krLfmgLN@i^;(N?JUwWEDbd{y+T%%Psvn_G$ zv7T2ieq*(Z_rj31VW-TtI4s$sRkNbkA?EvpD{8GzbKOHsLdvH+=<_W6p?7w6%n9b6 z3C8I$WtuzqjtRVD{?eEEx-8K9y;H)xM5}3&`>zN6zq5ST#XBAWdhT*}zf=@0;dZ#T z^OHn=@OEGM1pNbB3K}fFC4|+~8-35-bNl!KjT6(IFDoCMKV!nvi-*~}?uhJ~y)|>* zL2H3e55Fx9aQ^qAL9Syu-^sv&wL8k<UhDAWpWth1U&q6Gqk7NZD=+rQU$bLvePjOq z`ERD~Bi@>J6Mf9r@~yA@HThZSDi3AnlM5S@7?+h)yjT7pF`a9-*fhblI|8%gOJ<(8 zFDa9_tTlhlqW_bZtH-l@t8uM)YOsi#z1V*L@=e``Rf|jYtvm9oZZ@qE<~bj=b5F^; zTctOjUf_sentVc1`OZc6qlf#FuB>0S=fU4?`VuR-7?$XKJblmjOkSYC%6~U4XDzz) z$o{ZH<&m?K@_#0qD=+_eK=tk0+a5=*`AvC$-uZ0n;g);He@@ta_Dy4yK#})`Sziw& zJk1udk#5eb@w)Xsp!U;i3nk@Cv0J%<Ll4T$Yi6xd?oyhu)|<<+S?yAM<dQ86Tr)m= zs+#1{)p~M9MY%W+|6j}a-LFrc%Tm5$xayGaiSXZhCPp3;ubUt(aOtZwlda5?-l*?o zi5r$1{L=sSz`6AQ+#?IlzBXPlRrX^;gneNDeHXd8hxaDVUZD{4h^NwIsnDYIqEDxC ztq)%ISbd#=)gz7JKo84G;ZAM6)|6kVOZ+@)PX$ct(>U<s#>F4=L+qD?A6)i&wg0+b zMlH8`-{kynNjiHp@Mw=;-#Xi;4L-N;9(o;78{YfDy+<#uoj-p;c2kx^yKrUOyp3fd z2Kmt|)?9qycYTlg4$Xop|LjcV8%hz&Rj#v}32FSB=BRq@&rajuxR8<$w)@s}UX4qQ zfA!(^{Zgsw|C+AVap{7OCrr35{x35^C`BPpW!I~>-=B2{6mGk<Hl_I&i)E=-!S-_* zLaZ0A`mJGX)OUCN5j%b2<3)~MUxO_>N^93uiCvqxE@g{iYwz|~VxfOZwXMIY{dmFa z|4;Sg!-8}H>6+rh8{f(u7Uu8Lkou5bq*Cy_?b}r4<#qRtZ)}fbeX003;Q7I=QdwIr z=G13*9SC!po|M1T<Fd4^^sQySMiNDbCZt?H=$>{}vTFHFFE0O?KJm^E)1TMvb<4H9 z)KbhizvGqJ%~h2t+%saMOA>ES^Idwn;^~jAU%$#MdT7NM{~<J{W`p?@HJ3s&UB7oV zP0K%|S)5InuDZ=$VZu-5Y9rtOF`6Ir-s!Mh%I|IH?Kpc(EOK+*G*O=Br?oR5NKQKv zDZXlM&97d*>F!K*-@Mna**LB4YQf9$2sN#EW_|^&Sq#s9<u%T^)*`a;)@qeE;_r?% zMcno@J<FMPUV4#qH-pKS&2REo2A`N&awGc1yTH|DUjpaY`dd8L2wT_Dr5~&9K3zgl zw>Pd*BH-fOyUn%2OHZ6!%dD>Q)c3@XGvSpX4F|2XL>A1u7FD3}m_zbOe&)QS_ts)t zszg{0Ue%0sZslR;R*P`%R18vmWtUjwaZYxzYJtY2$fb)vx~-@?YqL~6x6xT6sce&* zLMh9qzF+gFKTCb|KW3GfNUO8>(d^u<yQP8-@>gnCZN7KKL2#njiqBjZJG{RLFhBe! z)*AO}_s6$pOwaR-gFEglDP7<v@+<Ff(Aj-z-uGQJ7ksR`_1lBvM}^~|sS?J<fg4v$ zu{7IwXO4vH3pQs*M}-a+vzJ1PTOvQrNS*V#K6twu#{%m%F45$Pm(@PC6u54-In(^o z;pd!Bck2ANm4<v|<MH8j33eA+!qJ<)#L@cDRm)%5ulEOD?e3CKUu)=K`0niL)t9U6 zcfL`0$ae0F<te}S7h3XHN}uD1T9A;nDVP6&Ux~-k6hW1b2UhIWaY|UZx>>zuPmAcc zya2g}cBd}iI&gFDvsr8O_|@*JcNZsnu!b*ush{(9|MoQ@!CdO6FJ`n{a0|P1{Iv3} z&7sdOGGCswV1`g=RPEK*fk%8EdHM0FwYrv`ys&V=>V1#y^Ew@`HTt~ghwu)oBeLAn z*fw76&@V{Q-hPLpuyS=vG~?F>y}G{_;ucJG%{-PCy!ie8Y5jkyS1_(Cy{-4;c*~T@ z`!2s<Y(3>k?VLIO!3TB(oZtw*diJ^Ik*>$J8)76L8Xnqg#A}wyBggJtdv${R^~MPw z#keMX{%!CzQsPUtgMa#GYYk?%soJRv`qr)AV|A9xeah1%^8Y?19jfMM-_TiZ|3rGm zo0vldpWoiHoSh`H;(On*iR+Wi%#1sP8Wyp8K6B|j^xI{!)UE_^ty4P`N|(L(^V(+R z!|j*$?c;tQvu54DYX{DLep)77wCrI>v|qnwre}8lX}@D9?mw?H^XI(aG%e{D=h>J5 zKMA)lhYsgT`#y5gWEK4Aw_qcerrWZ{QqG58=C5~4IsQJ>nR~`_n-j9LB-c$0x#Y!P zJkjXS+WLZm1&5D+-`(q#Tx<9zgw<O%U1fKvLbJ&(4z<Jjiy!u``Y6}SH(Ras#WMcv zygSwR&U8e6zLfJlJM#Qt+t7B-NzKRCRrp&ONR{`LH=UMn+_>JmTr<T)Ek2IP;oz=N zW0kX=Ci`-~sBPqDIkmE7cW9mkk9gx&sVJ+qlL>M;&(*ffKYUR_VAs*5>z}LrpL;s1 zk8AbnYJ01F>wj8rn6P|*=dEk1C*IBxe5%?t;dG_I+U?7(HSxE{)O2@AJn7xC)Y1DT zZ(+QmP9ux%xAuyEyj)sw9G~4T*M!v8o&N6Br6$q*BI@Ba)74tDucc-0-zu(qMkJ5L zSoh`41v|Y{8J}(Wed+RS{`a#dx8CBP%~$$$zMGSVp{khG#;>iaF1K$q+b?_j^Y4Ri z%x%?Xk*0^{vj2Q~=Kar2(J$whKWLS{`uOF?fPF7>)Y-gymIs&>eB;o%?tGN}&%&9n z3rkw&NJ+2iDSyDaIPlSgEz;~ePcKp2d^+#54AYGl8tb^b*;HQ6klt2v|4DXg?8UDy zbbl_}G)u^Fr;=xLctBrlbciee36CJ{Zrk6>9^PB8B=_|1nlFK`IKRnm-Mi})L$TFU zwmVJg5nd4*N0#5xZ2jsZdQ;i{^yGCn+j@f^2rgV_Q1<vu>l;qR;zx=b(|!w8yy#4* z;E>v86Sm;+C!x!AC!e<|&3&>?py=e!#gEeUPqS@asFlpR<3&EtCzbcCyA~Nvi)Be? zX0)#0*lNNt%OZW=l*<y^o;^I%V5`5_pYQ0}?tnO+`uw=*R~wQ#*YjlRpRNgd-?Oi2 z(Zo%A?pU4<%TNr<dU&EcOi%d02KE+lvFCn98<=mdW|LUW_OvK+5|8jbfwcG9jxte2 zo3GAX$GNieps&u={#&cQ?@{;3JD0rQg5{Wc{O>Cl9G@OFX|WaFBUdeQ^V{Q@TlxA@ ze>_|C)UK9;&%5Z1_u_l?o0p|6JT7)JP<*EJBjfFb7cPlBY~1x@$IiT|d^gtVJ(%A3 zoqxfMrMd39>mC$3K7Q~x*8PdN%kw9T#kK!yd^)p}-BW?DEwJ5~{p8usxWybI|0W;y zQIm{~`=rQsYrBw4#b&lQPrk=qIAQeco5S}S_QkK*<{y*m-#k$yeoN789=($yCvPlL zd^2bI4E7~EmHM~NF5V?@HFZbrVb_S#-b?b$x=*8*i}O_ZWomR7R;IaTG5xvMax8MU z?*00jQ#y-gMNV~i$-K#6R)mC0oO!{-bqh{kzyG2jnKN~Z?)z&WJ7W%(9BR!nnCdMQ zo4f4sXYa+bP3kx98a-K7t5!d~L$&f!f7%0|Q=1N4*k}H0;bS#pwd(px{-ZUe{Y{e9 zs%{_KdbwXV$xrMqP=EbW>r$nX;6lYylf-JAMSs87d$8tHh5E}#oA>_8XKL4#{p??` z;*|dC4+cFa%gf8!**>pY6>qt1^Z$g@t+mz4AD?mFbxsJcbcs5myY(9<&l1J2{ml|= zt^ZV(_*fnN_)Du>S<9KF?!yPKO2gO>yTe1hH{HIl=F3!(oeE)#gsN5~rkJpD3&t({ zA=GsuDUBiPcG%mt#gRO-5`~^$d%$6GKxFBR^A@%d8;xsk@l17gJ}$BE)!(IiW){}7 z8%)~qJtS=My3I|RzdtmVElBry{4atr=HWY)jwPl(8x8bd*8V?~o~4zzFr<7-)Pkw0 zfp<2CI-j}wJ^dBm`My`V0`8CA^Ph-avA<8I@`<;ubmznP1@mh6zp&P8%75P$ZXB0a zvqfO7?aFoG&lo-XBMyEO7I^q(&(Z`QKFtD-Oyzwy*tNDP9gCkITK?x-W<AsN2}v8T zN<Xjrqw!z<X7+Ur{ewq?6HnWJ@vGJkIWO+4%F^JtUPE+iS<t<Wy<VrdqkH^*w?ytW zoUg!Jnx4F7;=8SSZ`aSe74qj#)!B;U)vQ~U8uSbQnpd^Tb<W>EH7{q2=eL{dM9cGw zRr`6~9lTO=TW03x*&8m0Tc!uRS>EZ;_w{Svs|Lx29~n6XzfxWq#^)I>^0-=*{&4;F zZShOLUggY`DYtuFoRR(6c(L-DM}FI%iah97n{hMvW6qJsYkD3&oUwE5_pNLR6C@g3 zTc56;GL3U$ySlj0^o`CcvRAe^)+$JDy?dg5pG@uq_x|?veU5YEQdIuGeKDK+^@BOA zo7zA370R7wneVf;Pl~zp=Ya*gp2)gSUAoHT>gs(<k`7whm!ICTcN(K<aFOoKpI?3! zRV=+fBU_*6zOv$igg{@`ODBVrcD~>E+hv8tSHU~AQ}d^pnkTIMyZOYa3te&?p)*wS z4%xa0uxQ=+_t0`0m$Zt$f@9@xw#9uxlfG=++c$Tc7dHn_$GeT^+8!yig(Z}poOV)b z4dXSRZQhr@ZZJFlz&c~Yt8X)Q7rm-#%?mZ;bK?$={nDr|k#dc#<9=#sANLzwjvZP# z@ry-Ia~<wfm{H{MAaj!UC%xock;g0KCwyCWJTW=x+_j+i<jKV^H@@-q*?GQ^J%K@F zru;dxY0c^F3IF$AomoG1|C|r2kM7a^d-B+=DR!5<4HV{|F#Y;I=jQJuiNg!zruvGt zq~EtsE{r<wz4?Pe-0yDNEi>mve3N~flJM`RqSYy8<t2Udo#rjS@%^`MujKTjOEf<% zlDcT8v2)pZiQG8f630EuTAB(TY<Jvors#^)Da}2P%U4eGzTjop+UP9p87^Jia#QzM zY3aJ<8PAXX>umWGS!cIsqWJ2=?Jw#N&&&~9a4_P8Tkz}Jt8Y@ge3LY^+u9^Vgq;n{ zuJZr6tNdxhn??P-w(-_~t=9Jo$Cy_6c~0chJHdBWZJEFkzb*66?Yo(`X~{38NbY;V zqM!42d{R+9@OSPpxo$t+UcV!ScT4;J^LWo~xY?XJRqM0bnmOv*0}5+>CO>5|3fo}0 z?#IctuSGeBZmSxtNf*qUq%qa(!@^Z}Q&&0Ht$WwMRww@S^rzOR?H8YMl6#>Y{^^+0 zo|x+8bBxQ1QjY#om_7gJ-XnsOQqu3rPTapw{6)pPkDgO_ruv6^t^OCQ5dTo2ZIaJC z`G>oz!-Z7O%>9*An8Nkey+|~>>%H@%%7`zV$9^YgiE3nR3bVC-Q}~lb&4+jKwC0C< zW}Nyj_H)uErVGYeDf}N3Z#8b+E@aOg@L#*&vJit+OPjILp`ZsWw|w`1H@dWcgKn;e zFH`)6^-de3-iTW8sa=0MdusLF<z5zZpEUW^?A`n0=9?s0mg|2i)%Obh&v)S4!`Nmi z{ys=UaB*?$r1x^mT;{(n3wgq<n7ie{+!=e8bnmGPiC;cl>+Y_$9tNYeXK&_p^lS_i zFm}CT-BQ6&>a-~6_qO?(7c8zhC&}N^DpYdXt-YprbLLLJ@b{nBTUcnye|*U1l(kY@ z&4KmAvqfCd%dL1f6mq(T-o0CY`QuM_K2h1Ns^#0dUX&e_nwYwj?ScF0pRLC>zIw4^ z^?oIuT^4B{-{)I1IZC|Qoj84)kdx>RM&HWoYFtToeyn-%f{D}VfzSuRCn|x@W-ST* z6*l!l%`26&i*}}2B$cdTdstoVRrqvDchCPh`7?ymgxlnnq#Tlet#Z{Z@@?>$$32Z< zN}SUlB?j-Pd?FPvb?S!Mtp{amQ$8_2o)pk|M(fd>zc-R(D|hgDZF*(jXlAf@!NPv4 z!w+Tc?z`##Ds@>_JV$7bscfTL^C!10@ux1l>+lcI=9I3zEfw2Zm)LY~(xJ)Ps}{ua z?69+SY_=(N-*!rRR?>N`S+m`azJ96tvnF3Li1Uch9WJl+>0R-;EPj46j&t`vzQiAN ztVUc;wttnH^?!p%h0ccG&r;-7m$c;_f4MhJi2YDw??tIM%QjV3WQ)K5u<0z%zeTEx zHTF&j+upfK+E}RP&TOxZ4;p08rwjRSUphU=;K!xxe)Dnzj+*4$)#dtk(iWbW=<?UN z=iZ`yX5NWLzb8qu>v11a6j`_3C_16!?sN^y-IFX=TWh(n9JG+XVDn3p_2*M%NvVDP zjA6~1e?o+2CM(Vgma|dQ(q8PhP)nrpSBKKXylGqhakM|>;FZ7mJV=|_$-(wRs<8RT z0JYo4XFpihsq%TA#P-`=FOR(~U0ZPDBVV%TqD6CmUu~H5xbWWAqN3|IUQ66}g>tR@ zzwMBd$K%j14sB5`(G0&7tRfIMh8Y^AnVKsoC@2^w8XHJF*N<@f9hCI4D}q0*s@+U; zfe@$k@%>qWHabG@`;z*1UJ{geKmF?8#4eqk%jP5<zn?Iljmy)m)5c-VX8S%<5xy7m zf(r5sHns(JZD#d9cPvc#{`6hat8ZMmfAPG-j+k!uhrRY2FPs+t-*_O}%eTnsoXJ`F zrqfoD*Hvr(zHB_9df?>^yBmw92DGc28RmXqnj5xw(xe}z>W6sw96qmzO861@di9!} z+PU}ISM2Sp-!uJ1r(<c^L1FQm32I73UQ50<@SmIgqIdO@t@jLmF>x)u_En_UV(sh3 zsZCO6IgcN`QD#v0__1g@d(mNyn_J&a+gQpQ`d!eYN&k-OcE`DLOBXG@rE}q~`Qy+9 z=hX@qO^>a=cGBO*uClkJRB}P^alwic_MDTPczM{Hr&rhitXONt`Fnn+&x*%$?-VT8 z{WHUUs^FaSr&)bE=WXn$nr6N0|Gl%<wnr*;AHHU?(A3a;pY^;S9Wma|-nf}r96Pnn zK49_B<9|Y!gqJAkeyN<>rE;K-ana#5J=^#7y}hQfmFwz7nHvHt*qP4$`}}XCVca>z zr|DB~hyM%u?JzZ6_;u9x7{#b!l|>F2+DANI-)vL9%%aJaclQB%=p1bkHn#W)`?Q!l zgjeP1oE1xH^*X=bF^#?KX3OVAc7>X}>t`>DYZR)V8Wr?s?yG~X3IE+^dlc`w&s<}! zW378y)_Ly&e}h$8DZP<jwY8+|^HP+~8$V9am0Oi=CL@1aP0)YS{3MIN3sx$zaetOS zva#sUBxAX7k-{e7=VIB{m1UMiKAZEoI_aiQ)zb^xm`k<^mFsYdaNpFDF%+<sTV@mE zb*8*j^OLqg+p^7$JH#gETt02Q<IR%!**>lk)+#S|$EzoP<(MgcOIUd-w`|de=|_$P z9Pm!-{yXo`mc^!vO<Y@7ADeFEVyDM(pmXEe1t|{4r4D)R@_h8<tw^DQiuDVIeSwiD z-hXvtTVL#XEO%n_Rr9$`JD$wt<al-e;y+d?5l_wNCkuAl9u`b>^t|4)vpJPt-8y6G zJKdRnTG|Ktdw$GrII&Gc%609j*v7y~eLt!KU-q2}JyC7qd%ZE`+`8fmYT>Ef1*#dX z2X~9tIy_deT>s`<)+UcdXLNSXDBCh~+Xv@0FF58$PM;=}Q_p)^cq@nE$yDt*KmH4c zPqloUKQo;B(w*7vuivW1@SM2x^VuV<c$IZ?CjU4xIrCYNHsh9s{}jvo&7-z5<ZN%S z{k$dZH1`r_U6$y>tl=Kbja`RM?!3?~_1I&Lk|)Oxm+N_@JOVGSsWf+=(mh?DUS(dL z#PH*Tw*C6MkJt1Yf4{r%%vpASq4&=o&6E<cy(s_1e!3`EC$H?h*agjN@{Y}(BsM8e zM?|&4?@&$NyRC<Mi!-*VbDR(HyVAAiZQf4yo7;`+Br2wvK3jO+QiPxFv8H!Q=)&zP z-1TbxN7N1ZBxgVQzUH-rooCl2`#xDNnd7tB!~Vx@JGuDVnnh(3z7_4y%Kb0yD!BN@ z-V2-WKkZlls4?f{llX5wuG00MN!rc+tme|f+BIoYTRCL6X02J9P<-aQr1EpQ=M4W9 zPhGzdHO=+k5nthk^c4?|Uin*HaMg6qy@yvkt_n+BQMCG0vWs(%P@v$H3$rKfs$!dO zwd3gyPu0h=g3j}7#PwRAMN6%^e$@Y1>0Bqtr4^G7E_qNjgPX0z_SWp2<?Trm)Rw;9 ze0kMGXTH6z8#I=mUssm&cF9c37t+&AS2r%!d!3aaWoY`YD1Me=i^JQK&HeqV(K+c6 z8@{d-n-n~8%iWCGWk=sT%I;VczKGHGV2Hr3%T6zfdF$6JDlUEUq4~~9(fXKqZ(`Yk zZrr`8;~$i<`t?QkMY^p8VOPuq1d`td89h_>b=D1fyWanipsDrW6-OkB!sCi%<G*w6 zRO8nU|1y7)oDlo}jdGJ%w2SMGi7Dz^us)e}HMRKTJ(J$lV_eVJT3Y3FQoodb35rqZ zHfTv#%y6IZJZD+Z1E%d^>9WsP{%<~i&_5*kC**D1~GKYZCSuOR$au}7!DX{qS0 z(D(Z`sy{sy+00Q|X#8}~lD9fm-6xbksd%qxT5o=t)jo5}*Py7Vz-V*-iWM%6|Dq1f zllGdwebXi7vgG1I?b};o_x#zW^QzwO&fWXUe>a5$M!in3n&57DAV%YT%A4)Q_wNKf zO7t=Le$q^U_t@4u?xA}AOIAFNGLk;}QRS2RnQ+ha5~J088OJYw(`R~oWR-oi$bV@I z3CZG{+pgYkKP9unX^F$qnkT2-ORhgj+WuH})suU9eAf2MUOqg%wWy6bsaV<6u`w#n z=Kj~J=(n$Lm_8QQFq_{PV2~<Q@qN>6KAGfS{eR}gzxv&uJvsP&|CX&=50{(&+kU3? zxqEQhy!8((zaRN<ELqa8Z)Yy!s)OoQt1e8tEBxxV(pIw@ng$$G?d;mrLyQjd70#O! z6|Zc$^~?s#x$7jH4;?)BpIy=||Jrw*Bde!%C+|qj%$U^TRdc54{OVt+oL?qdl$>An zWbRuJGlB1?)lJV$xM^Rq&M6>v<1C)p7iM<Pwm)(I(Ybl&1Wj*E^phyN&70i2KYXTu zVnv;Gsj1uJPb*opyF-O8>~;M)^}(FvW4qjRj4EGuoj>dMpZ9;zee;%Y2OBpP-DXR$ zap_xmwYC1<VfGT<lZ_nxleig7w2E#yC%i2Ao^a(>d1Y!!=$hsV2XSNT?-N#B(3Ect zk?EFil(d&hI>N|saqUL8Em~1Cyey6{pLG3Zqlo?<X~qA=_1i>O95_1R5JShc1eJox z>;ipnKh0rN;3#?IbtU%DEQu>z5ldOGM+Y4JaH>Cy&8M(%=8W9?7k9{7$m^U^u$mud zebV93)x4{7r!~B;OP$v4vY0vY@a%6Bzpgsgblsuqxp$b-+r<uD6?F{`%YRPTv}sZi z)5RwXUF!R}6nTH{kx_0Fmp4s$F#SMrhg!G$fsJm}kIEg+-##87wqmY0Ykh}2kL9kU z_j^T}cC<w6{bS<T@#lL?@;<Xx#r}-}&QVX8mnF6TGl}~9&2EQA%P}1e=lJPbZ|${T zvrPPP$$tYw)1s#1?q_&6$^U7(FA@3hk=gnw<@Gg6&VReMJ5JcE+q~i7w>w5XDZF-R zJks|=qAr<5Ikg|_`r%mh$Ui4i`7X<@O&QBW=D$x~xc1g}0W*QHRqt;9>`B{@eRblz zEzXflGG~(&jQ+I;3;j5%5D~+7e@W$!8A+4xJ~=(F*n&?q{xbVpi3sEHZHad>w_p4} z`Ipy@&0aHC9r@Q(JL}O8t@(D65my$g7nRLA72(wAz5M)nQ;&N;ZC}})e;Sh8-}5(j z=8HAfdwQ>$CB6Ap*yVgjG3^&`RrRN?&*hKLUAEV15A<?<5gdBtpt5C@ZMo>hhr&~S zb{M4Qh8o-Y2<+SQ;%(}y#^om_&RsdL`eky8V%z!;-?Q3woSFZ?DP~DT&a(Qm0a<2C z)YW<7E5euh#x<L0+&R{?B&kDm7Jr6q@!I<Tvdz1%+H09qPF!67Bsf}5=cMXE)h5Hs zAI~3px}jn56BV)Kv>nr)C_Log3cR%^VSawaSF^oVMY%PVl9y9-u0H2j)ARZCnVoC- z#J#8duw`6ucXGiD{dDsgcXA$=M|+ESa%%V=aocohVb;Y2?MaKS2yR=a@y=(j-Q}aJ zl&Vk8cy=ZxAwgIExc*lEJ-7X4^?9$~_h3y_d8$-feBi3@52Ma4(Gh#>@W^V*d`q53 zo~af467}baZA}pgu!%jwJf%<Y;!|dUl-L)|n}4>S{19k!G~n>|-eytdN*?2yC$G!5 z^n8z8T9jtkc+DhLJpYkubbfzd|B}b1j<r&|ZmzlYIP*izQ@-As6Q@qcZvG_8YdwFS z;`8u(j~h55dYHN%wg^x6vhJO!pMOtLf4b8eR%MyToEwkd`|lSSGB-r>w|tp=*G(%` zv%-Zx8y-uY__aCk#8LIXya(1FQl50>y=wrgRMwU*#@|op`0E=<U*Az_wdG6w!3i6} z8khF{ZOn{zoW5G;?DuCYWtumcBuFUB91GdPU;WTmCGXvp-RcW0ziIa#klFcVf8@~( zKW}N~nn~=n>#A2gRLi;1CHbD)shAhXx~z<D$e2u%n#q~^_O0{YyR{7_+{r22^Q0x~ z8(nWd&$_ikL-_wg=jS^GK3CW7yVavEIXipr)AXK+6%uMeZ<K4z0=6D`y@w-hqv5=J zh1@rVyHwgcZkpz}%@&%GRC7DoI8$Wn<Ex=!5AQLbs`A}EYhh93UWH%DGkn*caW^X4 zGJnZcpRXK_zV*p*kGCGXvFYu_)EvdjCcICX%1%}{y2(B&s}9uJ!?N$m-sNAv=zj2$ zjz1Pwr@i~ar>@l{)z5b`|2ZqytdYLz(!Ya?>ICvbCm+_ReYW1RVTL+$L0Ns}`_@lY zk)nSc&tA4|KGE}s_50WP0SSrwPZ$^6%071URo+asXO@n)x>}=IT2^#BJvyc}#rOWj zy(RO%tj_un(tLjUia4)*H>@si6<962yzt%Y|5H~kWo<Qh@~)+Bg0}aO=51a#^5?%y z&RN3M(_Zu=I5fCf<8+5+y}*s#)`HqQu6~^Gn8~4d;mh1BzYkeWsbQ-y|G4Fz?s@S` z|K4o9zy4|j=aUx>@;k)7X_#xJnaz8=ab5h4Rc|z}t?pal(bqaVL1+2_o;3|SEN90% zeGc8|EB%(EuK0CY6Pxd&duHYzG?H~b@yJg+;Bj>EwXaK0b*8KBGO%yhb!FC7UuN4) zch_y#-Dz=smyk)&L*tas&3A1@WLK?d6u(-&HD<Hlr#r%N2fSYIH+GCUX8oe<+>Y%v zt#j`#X^84K`np}oc58U-ilZO>9S&wit*$Py*thn<J@NMexAX#C9QU7}sefs;=P4Pf z=(ys=u40F79XTc1&9vl@u;Zmetx3DrR*P&k+<E!?3EOvZB^%nfX2+YZ`nfqvb@#d{ zaUaD6HtxusdRx;hHc)hqp~jZOIyckxy#jB=F3j(pzN+-vI!=r3kYd@=W4AWTC7e<H zFy&)oMbc6)i+<_MPm{_!em<GpJ@K~s{q<!!jL+|Hy}7^j%$eHFc8{;K>l|w0Z9I4H zd+4HB$JKrLrK=V6+MP1KdD{9t(4X^iV|C@VZ%ajm8n*nK`Fh<U%k14973=Nw!q?4u z)Sc6`Zjz70rgfXTXC28u-Ch0UjQ3TJ=t@aJ=JxLo{?2TRtoor^&isw>`%?cH`OKwl zx{D8+KN9@;+*E$!y72VRwnmM=V=P@iiIq7o*UM)7{whIkOL#=xyPqv<MK*IE^<Ejv zd~g4))|m8v$9N+e6B-Nai)_-9m2Q?9|KqRViaGI--D^SImkIwSzxmRlqOl+%ep##% z_e#chF2MnR6^xIajn@?DkPJ&QTR&r$eL2s}j%CK0e2y++NgJJ%4{ln}W%9;u-J9gu z2dtUg_N%?sI-}+;|EolB(kI)e$38EbwZy7_-(%j3%Yy<<4z)-5PH${_!5VC0nzBDO zshg+X^=PHAiM#NJ^+8wcH2vO7OuTNLUG<w~hk44A>wAAZc`KABykNqMXyKfPj)xy! z%bgI*b08ziv_Iv0t52|kpKrx+_t3l()d#<A-*&5t?TNK>puK|a1fiXZM!%PYx!pI6 z_-4E5Uh}8S-Ypjsn$vDQsOkxSH~)g>F?XKpYkQ=9VvcSPdEqso=1Lz!8hfCRS>1$3 zyBr?;-nUy`u5aqo2v>RGolLWeg+gR}WL`QyU-M_)+R!u8mab&qaQ@E3c1vZQx4#{D z-Hz&a=x&uZ30^f<THf~q-*V4vhrL<14Sv_@F5&*5Q58I~h^yy$mte!TLu}6b_$SO- zIAtflXW{B&3#B)_-!R2VT338~{N~xm?(ce-_b_38{f)g7|Adsh{pXbU@pfC@>F?+F z*K5>-u2Kz>-(|OHTg*pM_Utdg-(<ORmA~w6JtH4+z%U}stM1XZ)r;-!eSRpg%c^*8 zs)w#XZ^zxDJ_$)?kI;_t*|`-090}5E>*lG8Z~f~r|NNF&b!Bg+n1z2jvwLgp)9Z<o z@2$ACBqa3AH=f-E2Um4GsGZ&_#H)DC{Ai(j%2^X@UY+?ahvc8Vl&dy9ZEJchZ}!XC zuNDY=2;tI6+Sr!3_N=L}=I_L7lCHwBH;;v^dHI_m|8)EBvc?C8cw+O<eOJm_e(Q^Z z2tW6(Kl48NHsATFz;V&ygVtF^?k1o4dvu?<28P!+dw-qjs>kv~`PkE1FA>j6`)kh~ zIve@8vY_&x?=#_3#<R+n^}30xJDTZ#o_SuL|BPG7wf>B26N?zKZ}wc4w0NwR>dgJw zbmJ*bzKL&)%e5vOp5|_Q86_O(Huqr9^te#|=Fn%lzG0G2PF;L&d7JUkjL2icr#v0i z<-Yyht<C#4>NJDe2AvhlZXcIcRlT^YY~{>mc`K9Pt<0xi9#cFYnNvTHH~pVoH1EW3 zYsxO(d$w8kx<$jQvy(SwujqbyPbs61@xA}ku+s16D!W_6T|CQnq`chD{D`&XT;JXj z=c8}zxj8bcr(N}7Tv5{P?YBagr-7+r-_)E8tEcUWN>LmCrQe#ae>gOTZI2G`{+Ems zFEwr|SiVZ>jEQBW@6@|1A9F9P*s<`VyN<u;`CF35clWjh1$4SR-Q3%9e~OfQQg`vJ zi{>#cA3guAy*Fd;i?VIKr)sX=S#aJoe|}=LNoc@T8H4+A89SA{i+iigxne5ve_en2 z|1I~{#E{-?JuX3M8}6D-VeGIp_Kzvt%~E`o^~ub|i#L5ZD{;6t`dx=(dnfzGDaZO} zX2!M5GMpQ5ASIT)TK>~{2POZaQ*Z9wj5Sbl|F2){oD$EHD6ao=cdF3g1^nMVm+iUs zE8y_G+{n~V&d<)A_Kji=c3b`Vd+hxKKl#q@zwwFHqWQ@xt{bw~>UC^%E&92<{cm5$ zJ0P}>Cuh^S&1{>#?U@wxUS*3;k(qbZwCS(Sw;$2D{@3j1qrJD-Oa67AUfSntccgea zllBWcwT5#gGFo?{Zkl`%o3!3B?<tE!<&}3FXO;;6bvn0a{g2P-#f<EZ&%E7xb&q}2 z@R+EPc>S>I>X_NPBY*D_xORK$;ngkb_5RnGZydY4Z~c`|21bu>N#xziT`XVXY<-R) zil@)@km8l@+kCbSt*_pPGu|zB?Vo<)mzZL6@2r5k-x{BBZL1gIsrEXN#8j@o|L4vw zPTh+NoK|WbF1dmA`{ncmHhgdY6|^z8;^3#pkB^_Z9n8}$_v!qK{dM|RCEk8Gef<BQ zNjh(zK3u_7FQ8dEF>K+I4P49`%TDQkt!lo^TCQP|7W_DShVNdd7rRz(Y5Viw)h3fw zzhxJf8Ci30P3#e2HH~#@GnAQ{Ao0k$KiHO`Uef0CnjO;(`<t`a6va+>mPa3(uJ~k@ zWJ0jn<T#F=<Lf7v<TF{fUX}SbN#}y$qRC=ip6<%K*mlh;ykq?Q(9&nk^7ri$KK!_T z`1TXNR(-2e-xE)?eGp%~^z_H68QBK05s{Ck=T)8DwkaU+^v{)Zx<0Y2G5x*rYl;3n z<#&Z%`~O_=cXOFEp_y;tW`>Dn7Jg2DRX-a&<>_GJdpuh#aCYvA)qO&bpKVf<H5EQ~ z?8d{2EB|aAD|c3I3@v2Mc+Tw-nHaWZey`YfrE?N~uaoyg-!FeD?slLheto@ig>>@0 z+LLCnTztjbzv-_ke>Oi!+jPIj@`U7kG1g=4|Lxzt;;>F<w{sT#IxS{hdC@H;*_(3r za|`<&`zCz+e(d0Jp0`{j3|Ci)e3|xdT2r@V`{U`3pZ9XCtG?Ewcf@{CHm7y#nN7QH zKACrn^ZAuL*QMX*y2^Q0sEA7J&d*W$ySvck%KF$Rx1~gqzWN`0)&2RM>P!Wd75krg zT=Fn^X8peY1%G7Bv1m83k_Q``j6dAao4KHecYUPDpU@lJa-A2LSaYh5XhoZI9j<V_ zrL`?|tB}rfr-OT9jwwByDYjL^;zHSzSKCq+GuoUtaLBahJh=DDdArTQst33ttBjAd z+f<m_&1^rvp!{}BGPC#E`}<EaJjoPD__}Aw#Cch-XNo11@Bb#fSGWDI-cK%(b?4*r zr-^kPTFGxy)n71wp`Pq9Mpflocjmjl3E#24<*s%|$gihs)@hvgo6fx_N8ze#(Yp&W z|8w7W@94Qvp<|af{idSsR1M#E&*%Mk;wUyLd*;H8!Cww=r@L(T>1!6b*YvJ%hhj0) z?1O)-g?`^q`t~RHl4)b;&U?$2{cJMqbB{W0#1!-DQ^=fS49||6Ei;Q{J{$A9OX;ei zD3=FYVtnmtWzFA>&t!hCldW4+`mn9#fGJbQ<T+k{RuqfY7f&_ZKl^jV*{@gpcFqp@ zb=&{+YDtkWqj2Ya63p_wHg<>aZ))9b#^ERP@p^2<y4ei38$Ymr{CIt>?%CH&e<Il@ ze)4XWJ@Ir&Myki_i+Ms<mE~>aZv}+2t>?N@vCWgY$LQ}7ftQCKD@ds|ubi|x^0{t( zy23YKNwvz_;|9;}?%QzUL+y&7XMx|dKP<lMU|^$XW4+m`Xdj2*wbWXnD$~fIs+l(z zy)8Jn%=y+cHqG;YUf3?KU%;|p{^~x*1y*GfHh%xI`13iN+d0`Svdd<RIwtm8KD>3e zNuD!sXCrH;`aUhC{WpXQPDm6R$>`S2V-x!1q<4w6KWp!^zs{U}`KLURn+uMIMJ@QH zX;AZbMZ9*kubta+$8&dgb=HWiDdJ3SJiTzom5y%~xAuLRE<NjJ@vUo%Z!cg<H`rW# zBDm*<v+~it%)DdoxP9N|RGp6ss=0N!{C3~*qdHbzLORdFye?$z7TCq7dCJ<~>g~@> zZ<-w~Cly^%*s^%F+if$otZiXOY})$`-Qz8`zPxjKLb=^e#_yBPoY=i$O7Na<v(|`m z)`t1Hy<|wqn%KLk`ctc7&U@uQha6U}`FD4+Li?p3eIMDwYMpbgon9~_v~a^2n<U>4 zg^zX`IlP@OzAd%;<k{u!MP<8=$M(<tv%7!dj3q3Smo4XGJ$YL0h3Cha7j`r*WBlG@ zDQWDM#btaq=h;WSm~HR&h7{cRCbI2XtMq->06X=6Z#DZ~>3(%n=e#$6lJJffZQq`W zTgt77sENy4eCF1%$hV%sGHoAT$$v?E`tQ)%_r)K~md{#}I6vxtOyT{nj6SpEic)s< zq`uc^-?w<$*#|GCwuPNd?_PKHyW51j7Shi*Ryv)^)?)AoE;!G6;rYi`kN%l-wEt=Q zJn5mN=-;4AB3CySR)_A&>i3gfmU+gbDpJauCtEqPvOTTQUFJb^)t+aSBG>-LYJ0jI z7LjOA_&rBO#s0|QuUj%d&g1yJJoC8`pK-*e*|qu)EN8KE{=I6jM$+$t>XzMR{1(;Q zQ{ya-OgY^yy6(oTDa8lhO$-VO6a9MemD7HUJIieC7+AUDKhE<!yqAY9M^9z7!t^80 zZpvL-l;D+LXB#&ChCipa(oPNgxxw#mee9m<e@eXGL0JCMoR2F?uK0U>6MNGtHtqg* zfqFHw6XBloFYh(=apI`w@{l#)=#TB&E7c`@)5%sK*l<mAtvY*BkL4C&i|mWR-;V!l zFw5Rvw&%f2U)HsDwY97Y8!fIMo;vaKoZ^y-kAD7ZA81{V`r*=fma$rHy;z_8<oJx2 zm6hRbat^ht{ZjWn*5!RNx!9;L_sIbvL3eJ^>t9?>t#QbGa&5_mzJn*cz2E;ka%q!} z?j%vRoJnrAvH9r_dzx1~KI-lIFzr%!^se_81TC7jtSu`yO<G^T#Jt|GRs75A*hOZ^ z`^A1gboAj{_4@D9<L;W%ljNk7q+M5VW~y8-l-zVSh;!dp*{Sm4FKQ}#&e$xd3CRB; z*{)(#5q&>r>HV7QdHa};JDB&b&k_A-`}T0i;#Q++r*kDYhVKq}<0kjwR&KwrX`V(< zYMvD5lJ8<JZ`z;Vsa~^u%D1rSGMn#30bkSVZ+%^1GM8J+q^B~l(v$tw{<M>x-%kFX zRJ}d(OV;YV+Kw0%heJtM7eCJxulm1(uV6ms5}Ej9^N5=bn(a~SqF;KH?H-=ANb@>9 z$xdW}l*WtwR($h5t@KgXa9bL2_o{|#xaAW`mW!fFTV9w1X4Sq@)Ld!uCZ^d|=UD1* zwsW`Z7CHy^=dO3Y`ZX_{QKRIh=2Cux&GQtUSbGbEg{^Be>lO;04h;2he;pF2?kjrD zm1DKC?fT>^pBrv}UA5%K3YEF`m+exSK9<QA%y8Yh_sQJyD^vM)vHsqB#injUoKtB3 z{imI>r$3gRcz9{e?q4zy>7SCkn-sJ8SAEJo_t9upWz#Q(+#~N@HghjoY9xJftJyi% zoCl|0{d4?tc8cm#u1Lda(f(JXFRVywZ1a9JvHK{$UhU^9>y>wt<Zg@Fp1D(Rxa?f$ z)KuLD(|nPuUSVd;ZVWw1y6Q7{mv4;>jCA|pw9jzv0y`mIqgyXKjJKy<R9EbHSN(4G z{y42W3)yd<nwzhieR_}lJzd-DuhYCHR`qOu_C6tXNu%XUcK;=xQWH<)%+;Q8WYfX9 z^Dg^nl-^n?m|4Fl&tcC}2S3X`_L_iQlU#k`tNhMr9x<wG5xW0%2k)|dH<Bj)IeBFZ zxA;s=#!Ys00n+|;>(&R!^w@-)s4wtbp&<FSAu1zmVX)(cXR-gMORKynoM`m*O!O=% z?WmIL4Zq#foPJu@923+qTwn3e-CFF%*<TkHw;gY4Q@6H>vEuUx<2H=XU&&@8SFm%! zlamwwU4EGH!c<|u<g?c^Vt?(MIKg4Zd%GAWmS*PXpUl>s{P%jUcAfsjzv_-06RW3s zv8fl&+U~rtW7gOC*Ct<B@7nPEdh3Ti+56|Bl01!Hr7<qsY_fUr)b5Y{{~sIOpXnSq zJw9+!U4RvXdd-S?Zhv++C~fw1U%c@2wHnzcE3`Lt%~`*}Vv*P5w8PzkPdBiJYo$({ z)qCV*XsO&RjeR>_%TB6j+_g*bq03yc(=&<|nFYkhJZrMxHc8+X_YV`Avid>p^UrVX zu5?$|XTKHv(dD{9x4q@RIKRW%c?UE;&)ssX{LGS@$Nzr5+p|ltc7ti@_oYP#)NbdN zzZajfQk7lq`idKt-kQqgjZ#PJKQDZu=-OL%iLXZejl=HKcc$hjH>mtMFEa5i>-~e} zeg>gYN>A(67Pn_<@lJTN_8qt4d*}034J{w?IkI>1e^X1V4!s*S@%fY+G6x@<y>2PK z$+}UfdGe19r2*QH=0D%O&gm$}<oeLAbz6UmJ&o!q4K}R!@W^N7U-m5<e19)_9)Dc! z;FqZ-npMJ&jIFo!9}s%%6TIz>jEuwsQQ;W@`>W*+TkuJ*uhlI+^+<eQ{TtcM|M=^_ z?D1AP{jIvWF7&0tr3D8cdb3${{QUd$f#{m<9iN;Wzw~X{E3oE#x^%hAMW%DV@|5Pa zA6S&EDWkw%C%RT^gK#VhtH<AKF4~K4on)F=obqPwmB7s|!AoP5wmLsr^DpUL{7;7! zcQ2-i`8hxS{F=pfnUpF|k9C@vTCz-M`78Um?JHv?dz}tF*rPr#w%(M>aoVay9$RYi zk6Qm&b|6XW-8DXe%i*WoHcr+QWxmYeY?4&}tj}9P^KDtx+59D|mnA<s+x24cU9UrX z_a9MAwBGXmO_t@im`hWn1Y|b9UpPzsqj{eSC+AkPPknsn9g7T4mL*J_vVBG2j@Q|d zX#(c|tpX=GbnSci;P#?VF4d<cJGS&a=NE83JM-zWJG_yWLUVlbRP#J9zVs6F+EH+~ zY2mCx^;~-|R?c<bu;<bxuZ#nREuW>7zA;SR;I%&Pg5Iq~vbBF-CGWm^_j8%|S(Z<? zmz?4De`gox>$t$?vaH0@hPA$$UpO=F`c<2KnX^zq>0?bu@7(v?{d*2y{vL3n#%AhO z>nn1}+OHD!PHWNTX_2WFjVa%L;`6)>NAAsg>%+;vha=&E`AK^%XS3N|!83(_Bo{6+ zW&Zm&QZ<2DWcyhM2IjXFpOy6vWgKV`{UZ6<{)tK>;}iAt`B~R*$IkD082eMLbN8!y zzV98ZtqBwUe2Y2z=EA(i2_6?u9FJ-{P%Ha>RrpQa$(h0D#2)SEk~t;f5b&LU_mkX; zCH0*x3%#oK_LtrjP1jtl=s)GpzfQdm*CoI9?Ytahrrz<{<94x4uF?bfVk3+1eZew~ zKi_9=6^h^gHFWZ_i?gfNtT+>?D8R=kQM*_5`t*+NF>-9@4xAGfYdy`udNE^{ht&!7 zX<DKT&HP8MIcqLIWz(pv$&+imbap(i+=P1%!_T?&FS#2Z&J*1m+on)z(kk~$Yvq<{ z6Z%evU2hF}7`BG%SIU<kJvC-LLh=u1U(6EvAHd4>wIR0GEbUyo`pSzD-hAptQv8K+ zN^6(C@UXPq|H0?EXj-wT;KS69b(=y~M@tDOd)Q|<eVRToE>V4c<I*W+fd~G!a%xQY zw6P<Dv55Vqp=@aD^Luj-^u@R=(@i=n!6TT@cti14(x)xTE}vg>{P^u@+^X}c^qs1V z`^WcPr^*iIt$ic5Ptt1Iz4*CHCkxp&9XVf@tNgi7DJ*{L{CA0eWlprOoPBPQ_tnsj zUf=A4+SS`8R!!r1WV!!(_cjMdOR*RsYo(W5N6XYqt|@L7v+w5Wsf@g_Gx$Z~eO<=5 z1qTn!G}`{~7_aD0MQ*Jy8<)P_;ZjdCWxC>J-zBBr?Ph9ccDbqa;}}oUv+&<8|8J~j z+<Y_s@cGG4_>$WcuS&Eed%q4|W!A+w<KEs=i%v=kO#Za$NZ9`0?r-0FUGur?bR>S^ z9p25a)@k-Do^G>Enjtak_K&d4fJie%GXd7C+kbLzzj*Y>8|!6@o|r0!Fn*eB5G-ED zd1B`M#I}h~5>>k&zg|$SA>Yb$bY}Jmjl0f5f`4uZny8+=d^LaJ`F9b~am!6#HZgS{ ze{{WSi{o3#9S?I16)(kDZgrD*eZEXKll8*vT^-ZZCm&7~S!3Jtf-_xk3cvoO!tGt! zH#`mnh1u^AS?YQFx#Q;}e8)L|PF=-zG>!fHn_n7AK?`nAJDB;_PLQi;hnG}tx2>hN z;XlXaTMvbGu9)*D`7p!ITa(VntV?~l;^C>*-isGzi*~h%3vIsMb}Dy@5yJ_cHphk| z$CFPu=#+2idSf3O7q0Jct?29w+tcsoHb4IJPg+*FgL(O~V@K!P{pp<gt!U<ru!6|V z_m9dcF#HZ*6KOPW?=`)%Z&RXw#aLKYZd&qfd+@o7%f1*GCx2#|Ua{(zW70vED9aCj z!%uc_#c4JjoWb+OwOaK_Wo2gMUURFQ|Jfh^zv8^1bs_DI`x0iYiU?ORR}VqkDeTJ< zP0JbBf7X0Bld&N*w1sc|hnKg{>`3NQ%RPVOX`$qGHwCV<Y=?Gjy<_)y_Rf3Jn#-C5 zx4yZ1Nxy66c9+zy^9+Vx9pYcQ+&pmb?7cpz<Yi7>>K;!5nQh#^Hm^MOKuSG)(xG)n zCs!orvv{`k3R!<k7U6h0TdZ;a@*Ohaq6PDH547K7-<}!WZ1?Wv?bk<*);d<4I-0{) zSrNWv$D;D{Q3Z>Oq9hWIl^>YYAK124V<u1k?kvl2rvrxdmW?jS6-*NsH*%SIMM+ym z8i~2DE_`=*PkqFjS@*Pl^Xz^8k$1MK=&6*(Bo#4*z`|X1E2I6cF`am_Pf26L4|}QY zSI(NUE{<N>prD?YF#poQ6E)F~R@~cc8L*GJLjJ&)|8d8ygMtg(QfdobbGob2dp}%| zIDcun+!Tkk$r7@LvnBtqy}Ya@{<K!_<ffi;S2h@{e45eqTrM((ZC|dQsJY$tj7`QK z*>ikvE=}t2xUo*<PHM-#bqhlaTt6ny30k~4^{k%KVU<@ewY(37f923lW)pk*UHhim z;)xa4-xr*JKIKr9`;28vQ@U;V{#klln^5a;;Jd<g2^Dh{CjHa<8<y`fpQOq^=X3e3 z1z*3EboOrh>d^AjxTP!4eLl;9iPe_NN~e45oU?vM`ssw)wf-W@_iwnmwD-f_J+&|H zPkz#QXT^>e=0Ykt($nuZ@WtvFC!b=ySf`wB_R@);Pv$}GqTAPk`VF0LuIA17<1{}v z?R4JR!|_EgChxu%{^fyx@Xx$|llRM)m(QMVy}Q@=iS*KvS$^v;I*5H@m~dhHgLccG zs;`;(gV^L6)9t<6(=u9^w#FK5Ri1di^uqsdPd_DEajt&wnC}FG)#0eW+kalXa6(A4 z?sl@Bi_KL3A5GcMx=tPVwyA2ppZ}yJ!N&(r-@eun=kWC2tIc=*+;CbMxN@~+Y3QGC z2jsMm*y|Xk_pH1%l_!n+fvkV-!y_!Z!bLsxbF-xWzK{E>{qk=}x?TF71sQiuCpf)h zG^p?_F6=pe(Z)um@%Ea~%7&&q@ea`^Yn8s7EWW>PS(p2QAhFdaWIoJJ{v}Yyr^qTb z(QC>l;VEByN+*XkKi59C`3(Pp5WXNYqpYk)Y*NDWYoDDycqH<}lGSgd=fu_tZ{eLZ zFTSp9+M9n9T2DOk{oW*?V18Y|!za1<fW<=lnXc#ZjMv|^n{(JfS<rB5;&X|@2Zy*{ zyx63lXjmt)XO(IRe|ltX-Rc=ux0*95n`XUzwea7H_U+0HE81HBOEsL{m9o0&jQ;=T zhJM!1CHspH8wFjkXAF_}Y}fawPNd-B$>7Ls-rg6#rKBl|&D#1Yk!^dQr5C3}^W>z5 zzbdzEKdoXN!Vy{ckLksAz7uK>Hh(r<YI6KMA6L!Y7FBkQ87_;uG6Q#>ZJlL*pyKUX zhXXSr@34OLHB!oH{>~Y}%d~E1{*(NODf~Z+m3GY)DGb=Q`%}%XhJ|4~*RE|*Zmumz z&7B)H`?p~9<f)BMPfI$jFL`;&c)p5a&1R;h>U~|oGk;F8Hmv@u@y1NcTKG)t6+f+< z%}<oy{<J%-v~&6c&cbOcPK4(wK0nnuRY6N;=j&5<R&L|C67|5y_}8RcXB@gW&z8&z z&e*i_Ouzi3Efec&(~jseYASG->l~Hcz9g?RQYc_vbgA~{MO-tA1H$L|-Bnm?bb9ZT zbjjO4-%Kx<d^o!C-(FwAs0DKp_k7Zbjq3ApJ9R*#db@#oOHQvJ*Qdkhv)fW_zW&j) zIIdM<Hk;{pe`3V6EgQF%SSjDhO>(o>>A%Ktce|NMS<bFYZpz|Um;Riws31W#G~D_7 z+iBkCWw{HYcG}zR(hK{*XQ1(};d{YH30ol{?=ZIIyib;vG<@}xKCyd>SMQ65A&Tj* zibB@^3Vi7GIIlwdM9uzXrhUD4ex9mX&YraXOw!cEQ&UCm?&_ZFbHynq;^DoX_cf=E zd2f+s{42QWxqi#L3nl@VvUnfeu8Ode*ekHb{?Ob|_9rt!Zz&q5t`4dCGxvUxRK@Er zx|(laGs#vJ8LVF5w>{_k+M9)qm(&Y3UYD7;S@BfNhU`UVFRq<ceDu-tqRPQ_y|e0P zNnXp;JvPH=vpM%lq44}Sf4A)@TD~D}*3@GH{~{J980Wrl$~dyY%JsqWeo4{Ko8=F7 zKMhaQ$dN72Ry-(RRdHhJ=E<RDtDbLsH_bP81>2tD2hEqBct3Zr68$!P!6*NDFXW>C zzpEE{w9HTW?&76ED^z~Y|KsfTW&702K74;&CwSccEfmMPiX}?KO6l1J_pE|z&F6w1 z_^6*d{dv`c6SgHc=P9$?ecaiy;~`u1gvICeR%Vo{L|*@4z~bds_4nOJvA6aGUiSN9 zgXStc{G@MuFmBi1S5r>QiN~s5UBGx^ZFjZmrpX)l@0%|=v|jF{srlFc6JPPVm9^j6 zH*4369clIFJQl28^!+c#(t~+Ij7bui;nyepS%1u|?YP+`S%aB(C$4!}Z?^u_-wp3o zLqrz2*eNHhxfOAKUbxZmjhh6DBch|e-pSJBU^4AjE4dOm)h1uB=g72^j5AASURC#f z82V{`veuN7&+516S-iNH@_xHw#JR0Mr&h=MB^+ZBus`<ixnj=5Wp7Nb{yFuwv?7^d z?P2LjL2UC(uW4{TDcoU^_%D50ZKVB&J$g2$1P@QYR6Akw{5`yBE2Z2YSD$k<%bwEe zvZh39jd#bd>5}@T#~pk=aD;CBWfxLZSA1U8vGL;K56kS7!}Xr*eezH3^84H--IdEf zWXN9K$9Rz;;f@=({C4)`ffda;$8K@RX#ZSpBN@Ngr{K-@&s&`z9jmx{hk<oN$(Grv z!9Vq8l+62Pcy8h}t2t|uS2W){dE<Cml~K$~;lJ7bzrVAs5ifQ;yhnI#{#h}usqa0v zN&V!%d-0sI-KLlBg&+T#u!gUe+}v(?;jc;FBb}&ZUn|+UR|0Bt7q35@yWzOXcRr4V za;^z)ua&&|nsh6l$3It*n<eBI>%lqCFD&xlW4>;-{9TgBK?mg-`%VAxSias_VYoy5 z#Ph->dxbtd$q$|D;C{$(Zskc?-`wV=7^RTtrcd6xFDr7#&5GP&{c(clHJ|Vsum2<{ z`hVGJ#~8ZkspoF*JAsNSS?<!GZe<o)iLx6m$ho&&?sDOisiIy*%?Fz^{ihmgdZZ;O zMD2{2u6&$LFXvO{q-L&i)5cD5mnB@LGY({W@7^(M&BxxoS2FD6P8$7_yz=I}vHJFp z`uimAU0K4jy7yP$-P^a9ygyT^v|Py{_v!5e3U{VCvF7~SVRh<2a+S-D&r7+uPROqN zd5T%3eYc3j->)5Q(;0Sr*lc=-oB7M>hJ@pf-}m}e&M#eR>fLyw`p3)aq$Q4=FS2>_ znHSx^xgu5bLeU`^?gOWI>YVb{XygcAa9SBqaEZy_%_HOXb4Q=95N31#u<5~_kkmKq zQ}0>&^v+HEdEG8C+30`%$t7;9jiyWwt(x!gPIz01-UR*jSCMn1J$;id^l}}Vth+9| z=YibMEB>xE*H^0Lzg`i~_Rn{Rh4$Y3y&<K1S~U|lr!V@J%@enHtz7u)Nl(>m5A4{V zHEUw&!l$jrxX(?|{<@i6a<b9H4clh)iHq=VyQHEq({lNgA3TA9OLv`-+*Nn1xkKJA z?!x_9+h-*8X^Kj%J$QNV+Rf&F4t@yNeSOrap8tKN&EpS$3{>xg6#J>J*z)P@7R3q5 z+YFbsJ#%zzDF1EqGpg{$Q{mIE8y;qbUgC(adA=vAQTpH%wiK<S{&7u*_IQOZ>v_Pq zIOt{6f}~W})HI3hOBm1lZhN|l$CABZp=*_K>KdJ0B42~ze_s6(%>QG?%P;TEug_;Y zKl5CRRLHV|`7M)`H=KA|zW4I%n>$zAT>rX9eWh$l>C&BVLuaIS-@W9Q$-7#4?p>?% z$vR6*JDQii=I7#W`Tw&v)Y8{gdJzN5cPGZSiu)T3*_OL8T@Y@+G4acSsEFr<9A~A4 zythQT^tuJ6n3heGczm^+SyF^)T61vb#o`0H$=gocZ~XsF_$I%u)sgB+-YVi-c<vPC z8ChptFjKG+ul~8U?sQV;l3bTX7Tct@&v4Z$urTmAmvSrY#@XX33nbOzW-j2{sCma$ z_a_^7K#=4ee$UzQp3)zpueI#yEG#r>Jeq03XL--`S*7bK=egeIr8`rWKfEJ9ul|{< z;(iy--y(naJDaXAUNTMM)q<GVa*=gb@_!UO*B*=BH7QJ=>ydAq_UHANM2>zceNf$3 z*JK?YY-(`%hJWv}dJAP=futEf+<jzBKjp5GU1J@ow#6busAY2ti;aYxWzq)*yYsg; z^Vht1vwWZO^xF%zl~rA9PF{9m)}v1D=MN+rrwD!7J7c$toC_Q8S!)Ls>7~nRSMw>Z z_*ZSN9dYAIe7Wb81?!F8X`VY_c6RF-<Er<I+1FkX*L8nBk?(fU)t1wCUrO8$&-a;G zxjbOzqMa%lOs&d7J!{m3E)*;jyO^T4s4|&Vm)l}bmiMGiF8hl*^~WbxJhr{}scZjK z=U*9}tG=~sw5|-|)w#RtTFNhN!(XiqzdKEq-3S-(on5)7GS}vftn@-l4(Fe)Cpwiy zKmWG#DLk;|XfSVV@566APIor#T((M_{pb<y^~!3OG?iA($O|pFbYk&~U8!9Xj7?G{ zi#}AZJu@@u3HvSX;7_lEuFf`m{xtOef>lo1j;@E=pQ!%|nJX)i=r{38@yP>M0+**g zRFu`v;C&}Q(`Vx{`{}74pWNWOU{ri|p<~3Bu+8!&@c}nZH#+;Bj|_{*)jd$P@wZvT zD-U^=q?l(5J&YwvUlnC?KhNxPI`5fb*376B)~#{lo^VrOuZZ4(!?7l}mdL!2jl6a_ zK=M}g+F;qv8H!2eR#ScpTynblxgp(ao7Ec0_MI#G-q#hMu~>7k<zL-`P5HdGdTS+W z@1`HH5Ha~Jp7iE}uEB@av?D9Zzgv{}rhC4ZpSknN%Ctw>)2H`ooOp0<dvV~gw?|C2 znw@sbi<jK}WkqM0O!3wLhC3^6`e-MF2diW>hA!d$w*UBgtp}SfY(Jpyep7IlfoRR< zZBA~EW-{lQMc1$4a^^1h7JXXTtGe^!o!&sU6*gBC7&iqy_n5)BrTSEn4PRJ^pzSRg ziA>R-U+#C@<aX5ct?IvNv{-Yo+t$(xx02gazfU<=7<45iJ+AZc&Ro+Esn@hBXNj)l zyIa37;dWK5T8Bes%ysA0A#$2}&MTk4U*a}Nj(6LyrQSxCar@%=@5Rm9*^_bBUD0*k z*O^u=I%mUJmZije-<%bqaqxwKOx&z1@-EGym#0cr&0N#HD}L$6Qw~e^F3*W6X14i% z`+v<(8EwN$_w}=6Px{uxG%w%g)Kkv){^yFrPa<@_PL@bn_VD8SfA)u(SeZV{UH&qQ zV{dnqD`VH67bkdXmpEODJ?Gk+cD`cLsYL-nYmVOvWo?@tb>(}W`nQF(3fGeF*R%XT zUYW6HUB5<h>w5vexAV<(mtQ~VC;f2w#;-B?f!kdRS7~3rlj8p}TTxW$vYGBq8`e3R z{|u&_uRHK}E#vhMFJB!$t6O{EL2cwOm;b(1=ZXvGeZ86Z;;!xM@0%<CUKerqIHw<B z{+DmbbGdT{`c0v}m+F$PRqP5CublEP{YSiJ27`{ovFG0&esfB-NZ%21eYq(kf3$XW zqyCF~5zjMrEw)^6YW~gH#v+U@d!Ag$e)uJ9(+1y~>@(Kd3%=fQI&eICY1E6EEeR{b zQt!_DCF~=x%4M_V?1lCd6gPM5VX)fpY0t5}TORc^K7CMHzGazql6tJljbCdb+tk)A zUc7Nj^J}F$=2HcfSLGQ#?yRpo@p9Ll?|a+c7V2G6l{n5bL8nGFXJ?x9^7o6GrTT<^ zy57pk-*10xzT2tfr4z2m7o<7$TWq?$MQLj|d-*HI4GMoN&dy}24@qrZn=R<;^;y)( zI_+ll+UVWIni^#@q-5q=1j?{WZ`ky>r8)U+mW_Q}fI`>aou_lSl7Ce_u3fMtPE>rW zJIC9~rhtD7oII6+=2l&qx@WV0o9d+2e_xn{TBXBNCQr;fB7A!5<~eK6o%*MI^+|^S z&!xRHgm29}YX4sC!;*vBq_3TO!BY9k-YV&NNkogWM$B60HGMN48$a9<xvp?m0l%4M z{?oY6eoKn3<iC~t*H~1a8MSg}eq7@sR$0w0)oNcJx1HvFbX2R@&ETob#7y=3-p7B; zS}3w6z;j#Li%l{1R;NC$-hVSgS8$u<ZN~cT$<m5d&urL(r}3)K;AkoT-Fp43{QDPM zj@IWsmVa^Wyif#dKeJO#saS=*)aK+X|5G{Yc3A~my`Q&McHt4lpYkjE58r-KusrwI zv%>7JrcCk<UgsZcJ22WD{Cf8PgP)qw^W9#_hUb)=_^J0-=CQmmV@a~lc5avdrGMsa zpTu2s-|sBL#49oP+fsQvB-Ni^;FUanNM6FQ+HZ!++g-Aj-@>1MH~#xfmZAE4_8Z>$ z`Mn7qw)YRHtJgocwdR4rPyUUn*V`0iA|4d2$hvx_dFJ}17Kaz{wHLIrojMr9#xJ?? z@`3rW)r@D|?X~CHC8WFYZ9d=l{Zmh)T9Kdm@okQI6XsvP=5RUm|F42MX`$bgET+A; z{{7T%lX>^5PyA*lM9g+~gk608^U&=xHczsu_}M<J5lX#$|FzQNq=V;_8Ilc?o8ry3 z^Mo|^-&obLxT+yh^r6>*$e?GFx2?Y(<!9HZlx^`}YJ=enma8XOPTCiTb4Z!DZhI;6 zQ0CR`nkOzhbP9hjS#a%cOu66jU2k&dZDe2FGk0TFMw-x`{j&`0ZtZ+Kwa`u7%J}k| z8%hfvR+|2N5$nJg`m-tLN4rP}mw%B)eWBj&lgrk>-nNlVb#r-B&bbpCczy|3sgyEJ z%(<w0sN?JL?yDgm&Z+rrs!Xuh&+q*y`yP|Ed``Fj<rDeNF2PX}at;kAQg4*Zo0I=7 zQjWDG%6InjeZBKO?^E+GnUN#wSa<Ej+ZhIKuYX;V*|0R|>ib6ySJX;=%`!Td*Dh<W z&X_)Jl~;G-hdb;pwn=T1d;cUFCvaB2J*lL?YRT_0!Lyn3@QkvyGpFvX(JP92{Ge); z^9A17{V76D>lT%^N#3YVxa-;|5|?oO>VZ-Z<&yagt=Gj<eW#{&{Vig>Ui_nDdw@4H ziwFY<tcy*JI<#5bvx1d@0fc!OI2jU4Q!?`dit>~73R03nLs%J@J+x1xYi!m3n=sY1 zRGT5pXuZpa05jPq&8HQzjdxGn<@7!AnY)=+-9t0==mMpq_N)HMUKV=)vOoO&%|8_h z%?bgx%WRgr7b-<DF#ZbL;anrl`N^!adiflN=?t?O?uyMVne`^Gz^(V6#(fv1*)0Kj zmUXqN(`DAb$v87>!Q0oKzwYgMd()F!@~`YxS?{o2?ow)QJ6@dswzXEX)?G@?X2Xm3 zwfXn|e|vJOa+=}=yOfO={_JOBZf@~1o%g-r!NvdPPZD=$p151GU1pVYp#}2`t;ZsF z17|2qdOd-Wndj|}mtmZ*^K2WQ<!u$c&hXSgsY$poU_p!HBl+a_(qfxG@gzIkxK{0R zzP|dh$?mnUHcj4e@#cpLo9&raN;lS;$*$dYD`RUYkE!&zWtU$*=xUVd3yZc^F`mWK zet73u%^RB<R>v;58s=5;v;XJm<bVIS3mGXZ8gJL<VvOlk(G~ZOoTlnI=@m<Z`#Q6^ zDr;^CDgKf+PQ5Sa{B5te_kHU)p5I{y-u;eWWcl%+bN%+7WR6LTcz5%&O~0TK<{)p( zGW(OSP5;T8IVQ8XX1=U@HZ6ExXZW@!M-FL7aGX=SbkN&XOWuIv=LaXl;G`4XsUrWI z_wVvbm$=&Vro>WT#D9fw*P^@Ao(df`dyywmX14FA^?$>=>iT#8AFUVmFZy4d)#$To z(#n!~>Bm-{+$c5CO=;qbudgcB2-`X@oUke{X^YdM3yumCe4{JdjJ0B<?G~JW{`n;z z|NHneFWx__-TR3reVX?9O<k8I(^h89OI)+hAbV=;x@kT<rmG^lE{C66=~H_2O7iK% zzzCj39v8DVX}&HI{a@V^5*Uv~GIH?n7|OBO1g#9&9=o)1+Tp1k!J$$CP74Fpgw2ZX zwQ^g2S#x&*+cnJxCNq8X`X`2IIIIZK+RIw@z-62CIqswU4g8%hueOCBWDs>RU{jRW z?7Na-@@kt{aezg@yhV+BR!m%CZais;!p$2z7ul4Im5k=F3pE6Iilj=kJ+$CUYExLk z(xIUw<Yge#!D7bx^8GS(hIhJ)E0ZTGq<gXDmB`A!3NzVkx9iCH%`JkTe|#|4p1pXL z?~U!@+bl|__hn42^ocy6ACwikVE4}0c_$2<)eIM0Kl)H5(ueEe1V@gbK8bi|$J<RU zL36r#dAS}=V004DnsjIZ2Y35N)=5%ELSdH-6t89&tT50xWb<G_qQ17vymPmb*Ibxz zr{M%g-l2VdE>k4g-`~%F5+x~E!xhqTwCC>B&b@^b{+zDAd)i)Fl70W`{P2Se^X8wf zJ-RWg;`Xt=C#AFE<_8$@`YO-<SG$<erTW)i_02ylir-o-GQPBbSEKNc+Q+7MzV@zC z>S+1r9Z__Fy>+uo(_Mk*#c7&}KaXCYd6@tGa`w~x%k3K%M1`w$y>pS!{V(X<wDxlR z<xBam{62)t@Vxg%Q_q%TrFp{C$X$MycmI=KQ)w4d(BZ<qgLCb}3YN_H0Oorf*B{K7 z;NiT0`A*BVf}V^wM?3ehG*u{vTu^;;`oxFlg|6kd8+8-QA9oySJSeGo#xgnAVG94j z>Mbox&t2F3zU=O90gKX^Wm7tRchpR*oab98p^&q}I%I~3;zOzEMw1i`_U$SyCmokM z3Q9=@#*_p(UU>it*69;DCrykuydT6TQj{Dd6YF_AbZ2rBi`w7XHK&-BM3dRfeB`$) znzA|P7&zKHG-WIecpf8YJUw=``N572HIBZ$->a{s6rV|b^u@%r*!zf$;mv1PB8oOi zCvThMKgU39UnT2Oz5Awr{ywTK-12GXm518{EiWf1%Ue7QW}Rcrz`%UO{PvS#t%Kbv z>9eY?gdEpa@>+JH!u9X9#*@a!H>5W!&M{4D_y4*enBl}*xjoE#;`NkEf8TK3YPCRN zg<8>GF4VHx-ey_nGDQXk5JoMli%Sww%j%oUpYkyNsyG=Cs^C%S+RHeJJ*ac$1QE+C zzRU*_*C?A-Wkz1)`IsoBF0x>Q|K8O322KlGqF%Q1wiMpx_^(!aCPzY?p-wIL{obV| zkL0to9?kRn*Y{`A+FsRe!T<b%oMx==S?;iyIowEN+BUHvw`2qFxt-56v)e=uHzn@e z5FWuFp`kN*YV%dMl&!fNZW%@7T82gUUS&((y7q#Z;oK&>CG45@QT_WCKTk2Y^i`XD ze$(_ds^KStHwdp`F`xQSO>xId_Pj@pv-2KE^5<PY+Ppj0>*IqoN!uOQUHJ22UmTpv zY`ep7KKpj7gJFiVo*#UBt#a3G3xD&|&tArzx4Bz&=JH(Uid}`%mq*WS;PlR$X?Nol zi$}81n-i%=yyjV0F}yjd^wHS*>NWp=w<}N9OB>7n?9cSL=5MbzwK75Ge`BNM#OP?2 z8>ftv;&p^<r2prdA1mFRT6i~Y=CO6Rvu~9~EAPrnce<YY=lQO+MsIie)!xrJKK1gh z#OrHP4mB)jWz-kzygl{%mG80kA7;wF=q_4t{$%4!Prph1HCp;F4|tn@2;$wN@;KOA z->$W?<6rRoa@{5DsSfj-UVYa5T3ab`@6YzFQ@_SdJkk0gxc2_l?q`!WyCkkCT6lBq zhsY-@f9-QIy6(QZdF#fc8HL(B1_oOWEO^us^R2Wa|BlI9iEpQ8sdk-eZD-muDVj0= zNtpB<uKCkHe$lII44QRGQPt5)jp6+ruj3c9K5;~H`h;DYG<8MFQuRnp&oHG)Q|nSx zWg|P?!m<{sg!w2hdLWj?y0Pw>t(3o?{sLKsm#h}-4yT?m1l<3==fTrV!`T7z9Bc1C z5=xpWz2t1e-i^n0Y;ekUi&Q=oHDQ(Sj)2<x_vZ$$d>`H89P+sDLQ~?_H6dRn&r#Wb ze8rMY+>0l*#r*KT^&lkB(@w9)>sDc6$faKH#gj5(B&M=FKD1(qsJNSIXRO53vP2Of zE^#+S!CeB%jE6jG41(l(QrMNx9`wjD2$IxkRe2UFagy8ZP}9US20_9-M;P6NnkLpH zsK`igD(^htp_SKK+rk%efBTE}8OL3-Z*Ry5Gm2{AaXTBmWZfRG+WU(nFLuseqI)QB z!?z3On|RJR9ew{X^uBmSSV2&f!0xHP_SreGM>V*lW$u0TTW$BD13eKZ*BNl!(-yL| z>}OJ3#K>KEZprmI&A;{?O9`9AVx%Kk_UNe6N45Pj315CyDJlp$flLZhDCRrHAu~DB zLz!h?fnQ!(t>Wak_^550nR+)Jn)vy{Y?~_22Ag>Y3gotGYG2q9%;S{WDxTk^(840` zrm}H|ZVQXNjDuA_-&E0%Jty}rSgUZ%C`y$f&HJ~XH^Z4_FLtk4>#QtOv3tt_j=9gL zvN&^D@mKOpEMd4V_|xooq2$DjbBEu5T-v}GAP}w`5iY@!r#xqS>5T7XY!CPLZr-!~ zD(8-#6W^P^Z<+GlNH}&{d%~vgAF6A%TRC3x=4OAg_s(NWJ>^eF6=s}^s%&{|`NYuW zQ_Dv0musfFC+*)Uo}qgnC}Hk_@~YD}O0Ba~S@u=;iddAT%1(A)pImwE)cWrS_UxN< z(m*)cK3kP_|3h)t>0y^5wIvIdrQDc){o`M&yw+61xm!2A@)PCASK1x7cFmng?dAVW zl(MyLuXKpJPM_8pu6_FDnyEqNn<qs^C#=5~c5U_4tJc}6R~D{Y=M`a*{q((rLr2De zLy_7G`xH5!mDV_Wd^p(n-OGhVJ}-T(&Hl?3&a3kjl1#l{o?-Ovlz8aC5-!vr(b(E> zxusRDqi?~6hG-F;q)35=#5bI`#F+~u_x6as_|s5-<CRt0%ozt*`Ln||hp;FpOwd{- z7xlKWV`<T}O$yvhD|Ht$EhuW$YzhfU<jW5G-1;S3Tbt>)khovcw*%|s45lSr<&C;~ zAkFl3(bg#5bn97;4ICa75e*&eVgi!WYIb}UjCmquruN%l#X-|2MN<yQ%D>tm*vwj> z!z;MZzWT$0y@A1QElC_M`g+sNxU*B2E?S<V@%-kjIotIvdK5{jeY&T6qS{R1dG2<0 zJ}LbrAtpg79s%=(-kiv6PHQnxNcrjDHCe^2DPsdOv!t$3%m$8DVTPFwOtKc)XF3=U zKkZ@<m{H)6(#%tIrD3Czmrkp5^Pd+xjgR|E8KuW<3Hj+;xM+#b34S^Av>iW|CQMlo zpkP<|>PTX7nh!_phJemXjFXg7>`HEQ9AwHub}-AA)6KW<ek$1>HZSkmo0oC(ZSGc` zygawx=5ED_U<Lo}?;4wrb^qV=Y@z1A(>)s&d9Uoy+ZyXs|LuWe_2Ok`N-cZ1omRK* z-Q;)Cw@4_aOjdZ}>uU=AmmK7#*4l9GKQ7nr{!)fLjfc-BQ)WhG=Kr;wd{^4}Y#ur| zvM0&is?VOoymdD7=UL3P8GLsx9Xua;vUyfB`)0u_>Q5XM#2*<S3s&mpj=g`QHTPDl z&LZyXPU5c|#h<NEcM!MxT3Pk^3eQ8yj%c}()iyWWDksE#dXV+R(f3<IU4I4}|0`+n zG)Zy0wL1jntJ~<Z?V6Brs8w$s_ian@O}Tn8i_gS#oVN=poz7o6Wh!^~vMKzXH&Z?> zoPBl^|LvSfwI|XxA8*e#GyD;dE_|%ra<-=35zDvErqaI*+!=RmIPu&$iBo=8xn0gh zyOf7DJ2w3cnE%tD|EECv&w#TJo;v@LyDulE>VKAJ-olQ@nuW)Lw;#Q{P5s*x-!CP$ zoVHhYoo|ku{6XPbv0RAhZ1%62&oV#0aZH-d9;?m2El4h9rp=CNwkuA`ow1cWVtKqd zYum>JyLcPjbrlm%NLOEo{gN>Ih2ymg&8ru%m(FM3JdfRQ9((8?xr>(kkG@yztBi^% z)_OEEcxSTT)iz7f*H1&Vrv+zj4t^>>C0KN7jE7FqLhdJr%8s|@^m2!bi@&;iqc!qk z>yHbqS_`><&*A!gPbR{rSnJV@;GOY)SJNy-e;-_VO>_CB{p!nuHc#UI{QktsE+;*e ztb&EBA0OIwto2PdcX(_U_t!<-#*4T=F5tep!cP3(mpNIy9I@w?tdDX2aE4K{ICveS zN8Xw<PX)A#lgkgizZ%-PWb>hkM(Sm^uZD7R_nup_Pv3912!|kVgvUdlYTJ_4YuWE+ zrQ2`66>)y;wpm|{nj|L*Fx(S7W!Zl9tmPZiv+dF83ZEaIOP4rfnRDi;v*NVk8(D3e z4^6z(d8(boLvC-MZ`%FX*r^)~p8D*5@nny0NYTBr)8}rlo$%T0_v1Z#KUw6zmVW-$ z=JB4~;=+4zbA$G5H(mU_%<s#+W3BgYYw0oH{4po|inGeWt(O;VEDSTR@^uTI_Vcop z+x%1m36?h@c{|rPZP;|=)AA(Gr4eqL(_}@wRgP}**r=jC&8}-HUs7gs#4&|wIvr0X z1gE<lQB-=wAy`-+b4O0R{rJ&^J9n7t`So^dKYrv=P#7O|XPQ|0(xWrC?oijw^X#_1 z^r&r%g@XFJ<ClfoAHVEe{PD|?y%klDLTqgFd*{tFclAF%d*Sluz85ZkzV`fOrS`*@ zm770**>ke8>fS9I+x(1q^R^4lo44J-|GYGZ|M}=?%b&k(UjE$o)aB3Dp1iE|{`6&! zWM$R8CL7y)DI42->v{9cdFIVCm-Ig$-M#$z+ot8qb1w$xI5Xb%JHY7EGVk`!^QF@7 zck=NI-zt7R`?ble*Pmv*F1qBs`sI=ZZv@&n&lE?Ts(khPo*&mKKIe0%J@s|fq|e93 zPF?YF>D<IUIu9AzCO2(j?w&3(MK-La^Q}SImK`rNqJ2uXc$k!gJm;JgciddkEU7#w zph%&lB$==N+nqBq9||0d1=-rNi;ZVrOuzr|&ePp<o?4$d*!+IhyqfK|RiEd6J5gCJ zTXgT5!@1i#k5@_;A1IXT{d`UAd8X*|RKDa~uAGIU6U-E!AC!JK=lW9CwAI4TpRRhg zRPJFapJH})_tfc?%~PiTu-z9Mr7}T!mWpRn;$F}7%EA+0f9hA2J-^jt-)ZTXn(eKL z&Ry2I(=VRAtF~+BO(*m3QZJretI2ye=lQc^rH}5Y?ArNq-OihncAlJfH)i*pD`9zQ z#^zqP8M{+-7oFV1FT)^fuKQ!c)HAoZ8hUkwqWeEiI^9vTdy7ZT+@q&^nGL3u8A~6M zVz{fqD3->w{Q0xI_jk76wEX_|>e<@p<va7!jlSpBl-&>8duRE^JJVNhNz0im+Nn3G zIYHrjtYq&AfrLc0IVL;upUaw?A9HX^D-1pyapQXErwpd;FVv<Bb{?wy*|H`@j6?9m z+O;~f=YL+e&MV?Yv6_IA)P@7keWIVQ6mCCT?`ra2uu}QY^Lc--zta1^G3s>v>Sdqi zU-SQWdXLKghf4iH)sAwlrELFS%Km>U_5b0UNBemixc?otX#HQb@OqPu%5`qWPJuo4 z{|hB2R=->F@s8%{ox);aj0S6V3Qrfzd}bNe@;ppn-sPxyr$gNKunHJ59l4Ylx_C>~ zCz(q(zRIai*fTeNX=v|fAC-e^5@vWbX|DZ|o2|WZmB=bS-d%ZLi|1ZbjhSvd_w}_^ z7S}VAjkGzhEqP|8r@ZM*{tw%IF$tm?ogZ%N)m7#TZ{M>$TKj$OwKseBKAN{@``1a| zx2@{Gb7zO*``lR8C9B*;`J~KO$AzqvFq6`fl9J+*`X)KeV2kmT=IqaM=gw5`*!;a9 z{@&@>yrj_RoimqasO|7Mn{rgng(pVhtpV%oZBmySHp%6%b3e>c+%KY#QvA%Xs`Jd; zvg&89-cvRGro@Ds6v<9}WA*)bX-@y^Fq!Kw)g-TP)ZG`|Dqgc(ymorv4?f{ve!01I z`;tGeDKVLIhHd#yKilHFdVQ7o!p;@>yJPR&)}8j<%=_uyy>6BHkF6^5lU2;Ouj;;Y z$70j>vWv&h`ko1~%F8nkzPV%PZ6DFc&(e%VyJuIQ4M{fNelF9z{9H#~-W<-mce4H! z7eD^CXM1-=UY^pevv2q9+!<|ZZXW&YS)A*RonNQVw$9(VbK}(6Y3AF1x%dani+>t2 zdA5bx`?X$mSt|CQC(i$2`|8~KqEjc%mvwnqt1g+V^n3l>SqJ@&CM=KJ5UhJ+rRe{S z>T8;>IlP{~^?dzn>AP<0FJ^w3`M<>PbglNZ|68X1|HS^k@<aSN$({MCpXQ5widXy1 zf1=(({PDi8N9%VTt*>-_|3|A?v*>yFOuk+EFPFAj?>{w#Z_e|g+0Q>z*X-p=a15JX z*nRR$@omx1ck>R`Y>(8t|Mu&t^62Uhch3dvyFKG%dGyl<)^E6e>SceB%`2{%o?g>_ zQ|$iJkfXai<WDtznD@SK+vn9Rw^n{$x?afRxpzkKxt522zPtHK3hraren*Rab<E+c zGT!RiPY<s#ZjD+yZEM`bIF;01{}t*=6B3TJ3mjO=@?^)fz9oJ-hZ=b-WgA(P`92&7 zZRhheSf9+kQkCV&HDPZL{YhC{dnPBwtN9sZeqDMXkV(HwZ*946TkT$rU0aI0cQ56N zvCztPx6<nGGik60og<aNz!4%U|DaKu$9wnEdx38=8Ip7yp8HDcgwN?aH)XZu)pJ`| zLaSR<mn^<@aem*n4qI7Mt?c?$d!2eCg0n+SSKbO<YxC=EMh9co5#_C6r7ERgN<yx^ zYstQ{B5-m13GZ3wR!w45xgI)|r{IICOljCu9udo_yAL+5<)3zZc?_FDf#igTMh_09 zDzwgi_K&AlYj?w)`Sbh}x1VeLb+%EGH}7Z-*Y@SpnIrXHuRpas>{`!X%bz0MPr{!B z&*UvqNHeyWaJBG3)h&wvZU;B916}^9ZWXRyH1}|wQmfIre^BaeaKz!0nRZi;Khd}3 zUe>g5Md;FY)%l0sf7G>}aJoa}lhOYJRSbtCL#r-KeC)kD;_-8}LqB%L-tC$%T`gd} zD|GImi?KE(CBp2och}8dy!&c#$>#FDcctepuDvUB*YfPe-jgzSv+S&Qb;tGPEt<CY z_S&M7?ZJI{*G^vCt7W;X^ybC6tul9i9KR^rzt~m!h`4>X_@67?eQB=lhIS=eBwlE2 zJRdl{W%1iNi?wGjmO6WJk8tsnuSF}So?cio&G{)mU+YpCuhb%qn6fDnPgeYXoU!`x zi>k*f`U*|nhsa!Y+31|=+J2~=Z|Nr{SuXMKGOD*MU!AhNwa)U?Jj-2OmaF#5oIRrB z9x6B0Iq}it#jdLEovwYmQrvILx!;s_zb@gvdG(_gyIutRy0EZlf%8MV_Ml1?8Lr*M zeOYGi#WNT0;4Ka*x16<J=IEO#i+7#8*z-gqu3hG;ecv-#_l@fcLv~xty1j9Y#{3C? zMLr8$ykoXj@>|Z^MQc+uXPmqkd-GzfNYQ<@e>?Jb+q}E|w)eN0_2YeeckRf}Hal7? zo$Y3I@%&uvlKXmWzjk)cJb(V}GaLKz*{}cH$?5xCyng1{^J_oPoNxWy(BAx<;-5QN z?>-ej-*9JpcSv1c(v<nP-#&PrH}TJ%oQd<RRe$f?+CSg=^^ct!d*>V0<(VFTWVg5L z@0sqpD$51V51;G35;jrDZBlc*e6e7|7b%@wHt+6(ml8IZi0jm{dRI3rU6_!m7BP!i z$Ct%BzhSBIflHPfN_cg6nZ4H^xRey3&#_$O-<Iz7yu3#XX5ao=T)e$1FYnvAvwP3& z+*$ha*|T$*C;ntUulcsTck^`nT+{5Im-SZPxpP#r{+5e+{jGJA?B8yC<3C&U)AGIl zeq6q0^KZ-NUi(~|$Ntf0_5Ov-3r+Pa6n-uEo>`wm-L3vs_M+L*;=6VhFPJU;`DC&2 z<;bTm%q)5J&famc3z)QX=k?9sw|!f*^wgB^MUzWU3Mx4kq=$BzSG>0^3%Fl?y2C}v zzd|-%)OGr`cJr5|qN<NWV%$==*FUaqU|(lvGB;G!uP~Nn+opS5TeMajnD%tf*RFL% zcRLM)_5Ipv7rwZZw5Uk-dZ&T#aq()|z>-YUnYHCgXO2`exlX^fbkCk$T8WCMW`5vy za$)ui$P1o4<<(?k)q{KbJk*)JOi#`%Gb>uYVZG`m>$i*gpPL09FTTC?<DI-@o9&Te z=ii3PR_DFm^1RIL%N@SXlPgTxB)nL-veVNN1Q-}t1Q;$$GccSD^|~miEz+Q4uOZLR z!_VE}@_1r|h7=Dc)5HiVW=AcJ#wp=VeBYD!+3v2+&=)M3^}qUMobH#6eEV1Xsh7!z zd<zaJuxh^gXZ_(Kt?C1b>)(s`>@1Mm%i8y<CFxGip(!3h%Qu=Pb5Bg4I>mtZ{o=oM zu}}0LI$w_aVRx7Ho$;^ge+Q>|em(x=v-Q2D=eg%Dj!M4%VnUolpo_q1NBec!<rh~g z+$#w0saJWe>CoC~buRDF9B#&^($(+vN|{?XXg25Yxhu_mGFPKzyOB%y43(p=LZ=>0 zklV7ME98lmruWPTznul-&Yk~q+>a@Balr$oB9}E64l;S@Y_tuD(KzRGu}Y0)p4X(n z`{k?)mTAidZkF%zXHn6=l*)a}W>RZih1^-Ex$~U$H7j%G8LZ%P^EPaZo@c&{i_LqY z2=|X2`+0an^qYcpZEo>;8*Yd(3aP1l>bSC^;`P$K`@We9zuslHZrz9Vk3O-yt6U%z zndkOGyXW@poP}%euQO3xDj%?;=xVp(p%z}-fH{v^_E=b~Ehu_&LV>#|e*%y8dkN1D z2L0LoKPNpDh)M~}IGYl<r*r0CVofTisu!Zknw-6Fg(@1J(DvlbZCsMC9dvGq)UY z_x?FoYDP`}h1Oet)*UUqlsi9arj3KckID4{jXTyjZR1mSVRq@hIpN=9)<w$`kMO#D zJ$K*1S%E#he%@cf<M%G!k5|92Hgk=Nswcy&(o;RWt9GB$xsafBx>MDBt>P8eiVXfj z0oQ2NS$Z?JI{$Eqn7%6J3m@+VUBeDlu64hzuU3l=d^KD9SD#SAKIMPXl8^4LHVV|{ zntggdljkcAmgqHa5;v~@IOB7y$&J7#3Wl@Rv0V%PHJ5*JS;+^NBQsP)zufF>iWPM> zV%f1$)b#o!#Z^)46HHrV|IEL7^yMnazqhP|S(&<3OI~<?T+AQ(aZ7xx|LiRu_T>+i zCZ)K9KbZ74QQqD&p#FaUj#<0shbt*8*}EosSK<5%0x>BJIafCcu8!}WE7$d@Z>KQV zx=5)Io-<qKRal-=KbrJ4;>~}7Z}rz}xN{$OwQBEXi!q(b8W67It9U6w(?(}P@5H&! zzr2=j;%>V2R`kv9kmJ7>b-vxhY_wVCp5fMSafbR*Jzg5+w^A0xupGGNHQ#%i<Dby` z22qu4+|vR~_wa}xPHj=!<MVuzoBXZE5-Xowu$g`-F1oC8*~Bf+4$t7SSy^&%`P=I} zVtb~4wSHjTb9>FFRqom^G!?_AJ<ruj-0)q=%x0@LgV>#%oHMnh)-jg~?=AOuyv=;W z_KlyjHhYV1PMWEAC{5=6^fjCEe6I;E+TM{`b9POB?MKC#_cmxS3A8kC-1?_R;8OSo zq1ePXFaGVbQ@1;=*kQiMXVT`mtLDA`KS%sDU+3ZZ-S4eWht154DCS<Y@y|!?h|IcK zd3V>QymsBobyaV59^>I<FLVp@(z-r58T|Xp>-g+)<n$Nqcb^oU%3<_2I%^Yab+1!b zf?@Fl>AByYKYhjTzdEe_PDsm!oM|&egc}=A8a}vsc<0AU!Ygk)aQ^dZUUT`CkRnb4 z5x;Zo{X5N<hUsvZ?`%!D8y3uEwIaM>O~=FyPmfs(EQ(oif~{+YwAQumFUR-EN?7Xu zJ`$Pm-)-r%qleq3)cE#1_LowhENXh+csX~;3)Y&jz;D;3F9aRt-~Tvt{>lk~i~jGq z!f|Wrs(S~n@T&7y^quq*{3>@wzSa3=yT+Qv<Q4a9|AzIy7HgMy-R*mOgRpV`e#g*9 z$MwJ4_g&e?GyUh~bEhvCtm{&np)JC{&_+e))YI8+JT==k3Dw)H7~k7^^0)GP4X1!v zud}@_Iw#&f`8CLNT8i}QE1$wjwoO_x;{?CKx7j};K0UniVdZBR*QBqE4F}^g{(Da0 z__DHSm5*ldm(N$GXf2V_-0t<a&$S?JX|2PZC1s)an6o!{_sR(bgzx@rZfm6xdbdGC zDMad68lSq?{i&yNPL$^ao!kG+XWh&?qpD56@9h5k&icQ@uDg@&#J8-vYoRoyCU3#o z>_dOfgsoX2-M8~cF{ih|?#!51K{xab&Uk+Kw~28*YrpEtm~H2?w^)7JlGK(_Zo1_Z zPxkNK>^y-d<78XP4xV_((wg=l@ZpX^$trRERb39OaoLvshLhP(POZ~SE$~vFaPE)i z1jAq%wUf#X>dC7P{M1SgGWGD9Z*bRd=_lr$g%?@G8dV>%STMRD3T*W6ds!r1F@2`u z!m?g3kNCYNFV>&!l}b=y4wPhX6*Q2LpCHND$SBBT&%wjoHqk+{xlvHDhkemS2g&wE z!G(u97<hS3Y&ap=a>_!Zr{Um_1}V{^HrB%&4!s9YcnBP0zPQ4n_wWi{ww-N_7c&%& z9nNs+IM#TBL*dw=1eSnI76&#a1DPjmuDLQ^ZpRGj9Nc6r4<AfW5qO+vz{K&`DTPJg zSdxL#3KOFSGdWvl2UCHDL`7qUtmFVAqb(Ag3mTcE_$-?PCFNTuCQI-OEOxnhwzb1H z_V__Bo9HF(r|O+j*+t9syegKJv5RgAZnauCrP-@uPmOxmyMnJ49+~Q=3JM(0i@sd( z$uHn%<D<FJoaa{lUBEhDd(-2mbN6gI+-<#{&wb6ckFTs2d$^x2cT$xP@7SDWv+x}A zwPVe!mODQ&cia2Vbr*RPBFV1#BV}{WgqIiGjV()`C~YwCEqoQrW#7E1`GXqoRTbww zP267%0^};}@3Hqpgvyt$i23pFRG-~t#b8UX6K4&qq}iVz<4aw*)-I??v+KW2L6B?T z?g#s}Z<1`<$T{n`$e;UWemVsr?Nt|=YhH_7v0zJ+UDWKXoa$j+b96U*-);rRcMBJC z+Uad`m)BEsWaqcf-z2?URjY2xwoZPoHjO_UTH2a^pW*E}e!+X{@8<oxjyAH|HZU!l zn#Ox;fn)nM5yM0cmt`j&t@S){^WiL)$l?_jbi9*8CQCh9G9mJ@cDwyHW~*7wmTosD z-04{sFJh~fb^mR??(@=LKVB{CO=@_2hif@od%$mRy~LOs+a@WM{|cSqD}Qfl%tEo_ zLg`QM-oAeCUfq8=z0>_A6Z1H$l$QC<^{P7MvvIQ5#Y2BYrd!zj_#o(RF-OMjv6n`q zf(c*OjfQ!jriX2-xhnbVwBo{7*0U`VlUQb02v#5E7A|VDG_i}ka3r_MbD>wNRA|$4 zADuIXO8EuHy{%quOw?Z=;BeghYrnMci<9jBHcp?5yLUV+bX)%-@O2~G>qM1Bw(1AH zy!OstGiBn8<kl6((l%HK34FfD)@xLMerja5)%<gM7CmpupEJKY#<j<Drsiywf^$g? zfqOldCQWSnZT<AubbH3ixG<rm$JS~bntkGf|6`K{qLPO<%s9E-^-%8Af2V&wPU~6Z zzr5+#{W>+>S=Tz}H7L|GN!D0LT;cqswn}K#7de9u?>7YmWZXExU2#eK!i(GY7dR~5 zsx(F6#CgWzeEsgM1#eWZ3p~pHGXKW)k4y{V_niM4U&nQ<LHA%VQ?U^Pr<-$)^qWXW zhlo16oWulfox?LGZEw$%JtJ_tg<Vx_zhlsw4F!87o;!7JwEMs0m>1`jzMU3*a*LC9 zDEwX{Cn33?K}oXV;pLPweA=m7zo}@yE^W~5)|HDdlT$dLQ!Y1kratq`G}aK_2oufP zG8LhgH;g%d_TMg_?wED9G+n(n?To@U7q*K|CIS)`vBiERF%M&2gdDwi;OC)>0){ST z2Yt45@HRQ-2t+EiWvEJVur;dYb?PWNPuOso%U$p}Yx_QfLyez`19Xp0wOaNoGA2CO zCS2M0b<2z#FS*MOuajn|RZg|M(4E1+bM4Qyjm1BNTZN2IvWI2lPduyi&PMwHd(-<^ zzqvUfu2!Kf`X$AugBo`iF56MH;h{|Sab~7)kFQ0ut|W@3znyaLKKo7n-|P0-Mx8Kn zl28@7`Rl-%kNeYtgkQYUes_Pu&Fd4Z!mNzm=RM?5u~oQr*y=*DSi`CqzqvatX9r$Y zjS1pf;-WM!$%<b-FvxOAD@)OR7xy~dT<P$=>yOEqR_?iT!+Co@t4ig{+fl3YLf@{C zf9NEee(LC|kKSz$wl^xBV-tC~lr4nuXx54MRwqtekDOQ3t`r#&pXKxR?-DklU9ARQ zWec?rFI{lz@V%?=_m`!FYjMnD+w_{X#9jO4E2h2G+b)Z}OfQ}@;dSb_v$|)SLM$Hc z%)T`JK!{$q%)WbTS?hRBQ)LxC-hA$N$|QBIz-Jy;HGzNX@4oG@nB8|}ra+t2BY92M zuJa!>?LP<A9XtI;>HQ1ccl*5RxR~yD^X+$&*Ee?h`^UDJtw7`Ntj0etIZR*F&kR1a z@aJozCr{m8EWE#P=cFC^|2NDPT&QtoQQ)7)b<F4I<lWTxxA5r2m;YC9Y~0hqdSL(F z2V%Nh53V=wdBM6MUo)@mP37xL<?Rg}Q#UYICne={d2YH<mX>(pph?=K$g=G!1rEHb z{QXCBr#v}%YZJp<i7drM93SJ}u9!aY#)6|S?K6*+>|eg=?v!6j7d}pz|AlGJ4dy$# z&Y?M#dpHXM___W?OHE?m$lYlz*ZB19y${b0e(Pp3ms$3y{gu`mo-NLivu@no+$SV| zQIc8cQp-}Um;c`{TbahPFj-Ias%}oT`RsG`Ue)(6@xxYja4>*Y;qCdKn7H~11H;Oz z3=F6%J5p29LSd`$N_H_9q#eAbC-b~F_x85Aow?D%vxRTZDL7=lE!SH3_O`iqET8Y* zo_Do>`L3|9>)yrfy}mi%?$+(o)-Gq0p5CHiXlSVY*<RrP^2137o$ns`!nsJaiDg&W zO|Qc?(t)@5tfWofmU(6<Ul3z8$e81<WNxOPog14~B*|s)d52%%&FbEl&S&_;?YINq zRWV0139}UoiY6wXWq0x6^>|P+!8o~XdtgtC9!rYW!PSW>lazw(8su2keb8o1NYbb) zosp*2pi%5HMcP=uNz<HBj@!#n`}NwJA5C_=kiL<9K2+mHp^lc(>D^H=8+(41Bnf{I zKhk)iz0#wl@B)|f(e8;wujg2-+#B_>C($VEmf{7O#y?jAS&#VLJ9LckX;5120-=tH zPi8(@d2s2u6P-)cl`bvu6ns*BNX?pYiT;imToOW`RW8kRdGSE`;Ju?4o_IdGap=Sa z#ifBQHQyK?6<k;mD|g-9U_!!bg9&SX9%|8iG4aq8&xZ+dQvy1cD!sY3>B9-;zmMXc zd{DjcBH(;<+`sRN)tp~`7)bE^*fP`0V?%-f&yS$LH3kI_Irdf@+5ETh5La;4)~7Nz z0|Sq~+Tro@>69#?6#^DVUKMlCJ+ag42PXpu-&zhQizO?3RD(=zI8I&md4J!L%SWGO za44r{Zcvnp5jVSY`OzYqDfPb3zg}AHBjU7Y>dnoHN~gLHNtcT$Eq=rGBe?1Jl@#_l zS^C!`^qahdO*<k!YL#C-a`w_g=@)nFijKd1e14Vd-!}<=&uRt<*RDuwE!_FKNB^mZ z^_3;}PR!QO4bNGgD%csfs^3QW)Whp(myhq%y7tvDWP!*AFPnSjH<+#`n#yxN4|4B4 zmw&o*!To#Pf*Q##{HGr#P1oDpu`iXQ%=41@3^t~DH?q~Oxth=I;o;-d4sQ)G^v(^H zKHb@9b&F&1f~78NudH_GmyFek&7M;gw?9ibByT#O`z`Z`8exSw7Bkw{#7wVTt)R2$ z)HKF5r7CNu#-_V(Skmz9L1Sq4xh==0UrI{z`CmDo-6-JM%A<GgPExkrVcfOhG3%rm z$?-uK_Ad_SlM~Calf8D#^78(u*_LV3#F#w4Z%REdPxoQmvL)>eD+6K_61S=4Szj_O zxcKUs_l7-ox^Xf3xy4)Bq@ObdZG4y4+homal^U2h+fs(ZP}A?BQ8@pB0QTUen(D^q z3Zq}Io~|3x-fIzlu)FxB?<T)J8QYh-%9Ln#mo9x7G<m&4+{6nk#$T;Cg&hOS6EEa% zPq``mid8gX{n4=L$6T(|yG>9wkouujX#4l&4xw+4uN=#b<6pXR&YpX7&ibAXO1o+D zG;gza*^2P8j(fhRH?VN7-n0H*-rV{3bQ6SCyjg4K*7~yk(&U-(XuDocYMi2;_l3@O z%e6&n{$YA2O9LC$7_%SH=E!yU@85Rd?}`gtEAOZ-KW=)fQ0-*&)9}fgCwOc~GP^aG z)hROZ_LRFn_M8YbSnhax3a`^#*?+lHJVT}#^M|Fg%FOIC`O5Y9kGgcr+?PLB9&f6c z`ufXxwxhFq17AH9%XQLvo$~u#Q_9`7cMtrU@ySTw5R-#%&lV<!h|f=tl`C~CigUk- z5Ddz`${{)1r88WizB%#528F~BuUCrMky9S>T{K#hK4X0tb3rEa>z-)~=WHp|{yt}_ zV&+4s+iy({-rx=oI{o2INp`Pglj@gCiK~qkTexWHNPW`D*l3=;_{>Ekwcn0S4V!i@ zudnY|z#;DJJ!NI*6WjLv@tb*)XMa-X=oTne+PQAFqGEh~eSU20LhF=+-%np!v$6Uz zw>B$tjNFzjHZxOo`uOJCthnp_-*blK@?+auHt}eDbbVCxebdyCu1NO~pMnGDa@IcX zwAHI^7V;<#nIHYA%tLKaC`b0qKo5o#k&eESB8wIlBaz%iBCkBmEOV8reFH)-MsVad zG;z5vlA2_aRVP#;Q5`8L5+tH;>9b16W}lG7+RX+H)$AtogTCrDir77@Je|7h?Qe_l z&WP5zDLPM<9A19#@y@%C;;-I3?B3QP;2W;hwLx%N!BVH`LW}r<y<E><f9%mND*s~f zQ4ceBryq^8{+nBDo?;W<C1eu#w{6mOPtMz2a~x*4YDx+SDd?UPcI>$3Xv-p!>OEOH z&R*vK*$3P6+A5x2$~<mW<$Yk5edg4YzHcXm1kAEbU*#~5;mJ0JcGG9NT>&1P`pZR@ zJ56d5V0gS*==PlTb?o==)YP7BT^aV~-L~|fuYR3Ne0lHC#|Kw?Engl}dHnS8@3h^| zZr|GTC-l#O`A76Fe2_b7wCB##j;p!(59b|{&I|9By}vj<_jl3Sz6{4?sUqcqKU;53 zWbAQ#S%0qX`;M~s!md{{(r<sS-6u7-C0*j(LZ-egtJeJtWZJgbOl0lf$@~6Ds>sKw z_wRl7e)IXiYa@1<d$-xxo5>o#Z2w*_yy^Mj6X(s6>V>b}*}w6$vDd$_lXE^MTBKN? zdpCp8@52+h>c5`JCm8d#B*bf-xF9q6^#8BA+r;)vubV6G+_ocS`u@{1PVPIO@bces zp`WjRpR#+lO5s=5fA%oD1P%qk+UmDug-v(XNk8t}`rlx3#0#U^n{)q6cM#d|#_?04 zMEv!G27Bb+X%yG46n<PcM|{n!$|-ASt^D-n-N!j^H~p1cKV|R6lY0GPMr&ms%ljK$ zXtHfL&V5;X<k`cIzsoyI**b3&8(Dw&JlU=8P4U;#G^_Uf&k3iW&w5_*xcdK%$6c-k zY`q#)?^e~y?>%DmS@ZGvj`(MRXP!NK@@v;AgM{npzcwFke*633?^~RE79W26-$|@p z=gF++KdZ8{j0&R#Hy3PPoBHDEDcg%j9b#kWK3}+O_nsH^C+h2V?Xt4Eb;~PxDof7p znB4Nz)RZsM0dK?qD?c{MUw6D+`zhc0zptJgeSAx)_khhZ5%cGpe(mL}{(alk;Mcd* z&pQ~a*D063IC@B_itltT>#DzvhZ?)&WP=sAM9j52%JAj!uTOIVt82cj&*TVnKW=s6 z)0JoSg+Jq08u{f)HC^K0`_}04vyXpEOLy-4cyXtp=lb}$McR(6J~Op><~_4KSN2og zC_MbO(WXsCTU6uCYNV3#Zq3ux(TSURCN}cdUB=sX*W;PiXd2ha+8t-}w>ACmG1DV> zLgZfNWd<+)cmICweDi+&?U+oBl+1>8$D?lt*Kd9O)$etq;eRi-NFL#Ip5pH>)-HU1 z=K^Q)Z|>8VJ!}+bo@d_na{t3Hk&_mwj<XI4I_P!2|1LlM=DrV6N(Ti}0uOvQ<D4zo z;P9sP#+%lN_fO|vX8HGd+5G!XPPR4Y-@SYH|1l@K_u=Qx&5IBJpOKwcP*V9de#y$7 z<k@Ws-~aowe)wLoZ_{=QCJ&~Lnsk=F?RNLAqikYsd}yhkt)O(kQGC&g`}1QYD=SKV z{0X*TJn?m>-v9c=hiy`pb)SCv`{z&li3g57`><i_#=WJct}X=vU#&ePT#XJtsNy;w zE4gpR)^2Z8qm-ZfKg<4Gd~RXH^oKit{ruTrk#f!D&i`fSWk2@LeJ*kT>-=_4xkD4T zeP&;C{mbDAu8}TNlODJniaD0)b;d1!SB6Sx>a(PEtlNssZXGMCv5TAa@8<n|JAb~d z|ND@?#Io=6hfP1H+vm=IHzVckf1cc*(-+r-+%i<Zd}+q-?Xu2-;o+{Dg_iGchg=L^ z%D?f)!4+#B<vdVOP!LeiyK&~lqjP^cm=Ezh^LU}~VaJvkEjMPgytvWPv0}vs2B(;i zl!%&;lA0q1f(FT|ISeconclUBSSrFJJ$;X+&*<CFU-H(7<%($V{44&?><-i<&M<qI z@O<W;<e5vmCVg-GF5A>pvU>HN-xm&;=l_$eI+4HmV`g34GP{h;$5{n6V^==wyXB;n zKIyZ=;YAIeC&~kFbmXM9yDeT^w_eEO<jY$UzNRPrw;PI{u;5lMOZG0yX1@K}{6pZ( zPbP_cuMbBR`j|#oO-mC!b3pF1!WBQ?>ofSxf7VKeom^h9$mYy@fvTgG!pjq#rQ~K# zWx8Peu<^;~h|akVFIP7<eeVCVvBvzF&yuse6WvzlbkEsc(`LI-j4wpRFlVXw>oB1+ zO44S$8GTGz1p&-EPprNeDqu7#+4DuPzs$uKA8lLaSSD~}bZ_j;%L@ClEcNVHoeQ2} z&XZ^T+EIFOo@do;&(996g*=ZLxV_U|KUU1_mUT70Y5aP9Uv$V9rOq>dB@%cPPjLoo zh?ykkUR~OF^xVf|pDyJwg!}XU>l1Z7(YEXD%1Zh8Ep;2`nO|+K{o`RM_C@r-I*IMg zjK#BFOsu)^Rafs-JhLqCQ8yELc2*ZI!PFf)cS~>HwQWbn4K>AwdxCkGjhVEIa{D~H zTkG3S{5V*^yJ(qcOy}w?nE_iX*K#HbSBOpvyr~_Rb6=<E&Mx-|b?r;u@Ap{#-gD#G zqw~{r4o&#i@zT>I(YW);PltV1{(C1)RbRAZ^=^kN(&oyV-Osg}I(I1es;t<yNnnre zhp?L;t}(Wp-7o*9?#XtG{8a}!3<IW359wNExb;`g>|N6P`Z{kiS5IiJeOa((>c)}^ zGlqFxe&Q#N@3mQzY5&rDRpR5TrveY&(_6DXmf_dZ4Wc<_^JNb^8)(?6)ygl+kvQD$ z!pG#3qHZSUcIm;1;2n1xGb6SnW->~}ExxF@++lUz+5OsFpBvV6TrYfI^t`!nY0q1h z-psX~cPzFZ^r^U1vnfe7S^9OuA(i=(GrJ0W{y%IpoAqyI;rm*K)<bW$?E5&cgRLVp ztTyX;$m$bEg5nZAXEy|0+*i<gi9>dih-|L)O`n*>tc^$4`L%~IEk5P(dAhHwe2Y=* zz3YtnTBbb({Vxt&b2*__o4Cd6srrYCjddE|-CpipH1jTZ@9S>kGxKDfjePw23wz%_ zNh(@g(JkNUDH-5tmYQXzb(DKgjr-0>A+<R+8X8Yc6L;L0<QQjiru_QJ#|v~%$tfFj z`d(X|@_5>Ap=_>&>l!%OR&^zB=FxSpEHanzWBb?iJ@3ArLOb8=XFfu=5?|$9{;);m z<<sZ7)~YVdq6NhU9geS9{Y@D@o!d9xcwLh3fmu#m|9W?|Y`@`C796KlKDYXwWKDYW z#3{Ol@f%ERTc<Gc`<0tZmc)eD{eD>97{r@<M5XDI%5gWwQkS&IEmK6~PFxQ7JXv2{ zM(DHQPoAoZM=UPySeQ~Ztds7|oY;|D=;^TO$p?=1cGDuu9oxi(H;W2=D>`d%R7d<% z#QwK20hRCjVjB*)F`v?U|9Zs}+0C2t*PiBkTEZEV`MdE7f7#?b&Y9CzWH_=MHLthN z&p#>Bmbvh*ST55Eo_4X8xLaJ$Bd4WxA9yDzB`UL2s{hx*FPo)!wg#s;JSjLSbZoj< zk!W}GFZO^HmO5#C557z)EnQJQc`k>;dfCfKU$mX)MkeKIAGKxlyP~wm;`3bh%DHCu zO^+$225iddE30QyjyYIt`Fp1O`z)FGM>nk^_qfDesyt)(XO-=__nyofFF&j^7W+~w zV6(;Wr;dblklgQkQ_JFi1?gOQTC8HF#&{=<v0VRm#Ck7PKf8-(-2X(+SKD>C<;b!F z`f*=0m2O6PF#Xp2<TdM}Qf9_`Wxe0?ug)>d{<AFN@x{o<Q(@&tEH8X`xqRuWlfjis z9%gzh3i`P;MUkP^Wm(v_!iD>`J?iD2X%_#4`}(1f$8wb#4*fP;H1{nCUbIv|W_>Sj znSP?*^(yfVoSn&MYwlDDHHmY131scy{*l$4qV5*%zp3-LdE1h=Z>AQWKFWSdxA!N< zi@-HcTzHpG5#!?vR{h^A-F<X@|5l!CmhWvVle1*DUpUv`^P`B7r{M3SROg*$rTh-t zC*3vOHTO0P^PbrUV@$Rg{SEAiUcPeqj3xJH1a5rG+1!0G<^TGw^iH*9=iBY8jhmgT zv%`xIe)jUMe0x#L{M=#2AI5PLJi@cy3$|z4eLtkfsjC_B<bi8$pW$Ztk2?fTDzyeE zP1_k8ZkazrS)A#{)?U5``>PAODjzDOF`eIey}6(1Qkc`7^_+A3J$(;GotFMD<8L|F zpupj4A>XGB=QS5^ag%k(>-=N0+oEs}+X9{ki>(_(gH}1*{J$f0zD|XLQ&|4{u-6LP zezo;qkUMn5k-;_b3;SFj`PiL7nIVh39-NEt{I|NiRD~ll=|1ZcgRD7odmnGqZZ~k5 z!uI#sm*Tyj)?Hus`&@c5@A8=(Yd+RC%zfu<w|3jaMzgx9Ys#P1Zw)#o*06I=XlU+= zvw!5umjApF{3$Nvyw=rtj+m5J@h*+0G&3e@DGQkOzvemltY!=6<e$oAYd6kZ!+LDm zy?0+OUUZ!jzN-4I$>h~uNoO`*S`pm3&}^@e;L9ns_D6cuI9&r*g&(}oApBy@ndvXH zj4x?A-;UU@;Iq#E9rD>pZ>3{8B|c=73gyjIJ<neg<yU(7VS<l<&E|&(tmWsw_*Ccf z=iFK@t*~=mzrCLnpEFr|;Jdb5OG)PISy7c=1ZF18KX3W}>bFKq2~FJ@Cpurwt*Ulp zs+!AKc-@mpW!L;uylW)f*Q~j$x=t{s*7MP?4Ev1|dWWs@6)L`mJXS1x?Im=XUB5I& z=zhQ^w%zOXgsw0AaOnRfh1#hf7oK_Tm>I1slzmI_t5Y!Jy0n8b%X2?%=AC$H_m1+* zUd6)k-cNtba@}aXVdteHhd1jtKNCq`7<BfsRlw1>ch8@>e#lXl>h-8|oVsS3UG3MS z`63k(m*Srs)Lb+BK`Y0M+bTPb_N#j<&3>_7=I^Qvhvb(}c%^Mut@2G^sngGb8INa_ z_8k}5a(?|gxi&`MU;Y(-bI))IxSUFE<6gC`{@H@7%`b#hShBolt(-b<p?B0FD;9@$ zHlN?hm1<XiK6}zfxPjN=;}dBO?>h^cCwPDG{FhU5{lQg%xjXk=D!uKwJLQ#=HD_6% zZi)YD4qpRC(LD~SYyLgs)m3CPSm430zr&{?e!-bv`kgkfV@%Brh1T6L+G}#H`IV3S zUP~7-={8X|w{yn)YgHyCY|ov@JYjjIz8veiqA5l4MwVX|@E(6@(p(q2{zZebf7`s5 zpEq|pJ?r1V^7F1q%C-}B?``VcSn~o6M45JOi&mW+A74MSV4b6Y=7+Tfd!3FS2-xuL z%17?Y5_1HNW;5wju0C<eXkUoRThG$h{8J{pO<r?L^-R6qyVEaR<zl(rbQF)++^sO! znPSz`DW|OHQ}Owd{J#Z0*H?3x@4CcL<9NkTe4X$b(V(YWe;E3*vGhz?ze3r$<;KjT zt&6xPD6c;<dG8lXb%)x9V>_-JX}rqVa45+)V3}0(Y~JvFT<VpFIC%|CbT0L^dx)%? z!hbsL^S&qXJG^&qDHn0wyyCg$vrE=zJLWB2miW?*J;dNoCi|@$rhl3C>RVJvO}Dsm z&3^TRZ&L!+ZrgoC;mU0PrN3Vaa>d44{Y&ZEqq68x%<iQJ|7CpMd~4-&=Y6Z^CExt4 z=C$}&k?rTxM-FXY8LIoS_4?wp-tec&HJJ)amOYT`OyvIA`u*Q3_2kFmvp*fUIW_XK zaQg>_g9}ex?(J%mXS#JQ?m-D>GUMcl6>GZoeY!EN*5=`Ct3{_AjiP+RWbSx!sqFvA zH#_~6R>-OOk~1eIPf^?Y=)L#%&iD_k`iz@at$Cc*yzuIZsJA&r2{vnvsUECc{K06U z(GJPx&A(Vb+Db6=STUZzl&#{;P<VR7nm@0W2|NDSdOnw>Y?qYY$(~y$UYECITQq!Z zjuSk=+;m}6lW^vx!rL1=8~vsn%Q?2qP2|qzLm|~0mj8d-IjfH~&})jgMfjTDP0JH| z|FADo@=%?xKK070TPGdXHBMcfZ~At5Ub7Y_lho^%FApRwx+By7S7KYnycQcisi<;w z<=(zGPtC5yzuEtB>o;~L<y$wGR@aM1EzI2Gpfk&(>*tZI_kllo=l{N;_mP=(GuxW% zUuVw6h&<@g=ahARzM_(U@v?jCk{-{T7RGhHF=yh&wb5Z=y(N#-?)R2*37f<RU9b~k zGY(&QwD!35v=ef|iFQYmCl+qBj=Z}r?wjbg(~c=?doNwl_TLhs=lJ#d^GVa~1rP08 z*|+kZ`SfMHOMb*nnQe1Vyo{&sw4K=c!s#ca-``Gfn6HzYvclp(#uky@6CE#Z`Py6m zH+XV$x{H5(nz)3kn%8mdHu0~wz8qM3eMPbMm4$_IVNKuKn4f=o7!=lDKY>NV!A-)g zY7d9NAHGBpQ@_ML6@U9a|C=%M;HsVs!JaAp3DUdL4@<|V{xjZLGh@%qbJkb1JOn<x z_UtSQV`=&&-N915EmZWqB7dXDCBE#FJ*quUqE=1ISgvMTOjl-Sl6T#lyrjvu@zPbM z!u@>Kr>)PZoSSmDXsLCu<Hf&!FS6ZGVs-eQboEc}#y>Ce&wVVek=<uEdv5uU*KavR zEhpEcOf=L~>bVy$Qx@a$&;7;<7h|`&28jU1GPOwVe;*YXecrVQth@K_%d)q#6}4uV z?qizQaQS5C>>cVm<yOi)kT>7LTFkw7)5bX|lb=_}elq?f9u~1|XS=R(^Dk$!tfK3D zi`LAO-e=6S$xk(9ANS?Mq85%P`QCHAXP>e2;cjlFdl^0Nf-JsAEqzoU=*XVY^FGX) zd;1FoCu66{mu0VB+F3APU#hlgb-AnFUUO0Fe<9JD=Iaifh}m-`@a%yFYyX#P26Z~- zA9t`==p(n}KJQwttc<AZ>n2@MShcrk{f(J2_G^5!V_dv;SpR;x>#g053$NWxXH>}~ zn*X_S#dQAJzUmorw%(@~dDbp=moVNmM{DQTnuFZk$+K=N9@|s@uxCf!u9~zQdn3JX zyn4FZrykLq|9)2CZ}vkSTfO>Duy_Sa9eKkdSY+GAW37;!_t>y;?W3jzX4^RuCKk>X ztvz(@Zo`^-l`WDFFMo1iKdTw|UT(@qGuHXNLP0N&n9ZJ=Y5rzvh{4B22YyW}JR5KD zd1BtPSrenxzWz3yUcaR4rRn4eyE?2xudlznAdP84?5vm>@`vsjZL#=1r!aM`)x4sr zImM+``iqyu6xx3>YwG*v@<VPNmt*R&*`^95D}Su{vEo;q*6J4LlN&trv@e8D$PAg) z^MOO~?Oc{KCR&Rxg?Vo)eE7+eC(q*XoNXI_yY=q$JzFzXcde$ONaZvBgS8hsPbl+y zd2H}BytqGx<E8AaXq&kYZ{Jy7)8ya&DOx#|`&z`aIngsN`7Q8ka6K!uW%}HwqPrI6 z{ivOMvOA{1wc)~!rPX&eY8D4IMM(vxnsY}jjZ{<HbGu|Z_p2CPMdl*Q-Cw>mKB?~e z?>d>QT%R}UTY=`;z@<U`+b8U*dT6uwQNV}qQ_^3A&wO=xN$6$wjinQ^BYkb6)^$BU zw(`$O5w345Z(rw>{XHc@Vi8MwsA-_|rjYyJH-2fGRVl9#xFpM9*`?h=nWsNiGr2vD zuN70uT(>n`W7E^6Osm@J8b!}evGwJUd#x$7jqB;E%ZFKZip{pv>6?0*Crp(+?BlsD z=DF+Bz6PA(<o2A-X#Mxf-QUVg0-vNcw$8Ly**E*K+pFfDKP5Gqi6xts{bpWKx#&yn zzpLN;_BUziS{ao~oK2bWeL>>#EteE#eBQ$A_`9|K%c-aDX5G3gxvX(9=c9#&Zx|(F zWmX47C3;_Ac)odKbKl)h7f-CwaeHt{m_PW|K_-r#{jChgQ;+2LmmHBx^gcRm*7+5+ z=No=81yA@mttstgd!Fj{pul&|i;s3qd~t4K=w89sbAo#(Y;#-QB{3tSt>Z=4@BG?@ zVL>Z?rtSJzHuIEGqU7~?yR|1R_%QKBM3Q;nZ0Gx%eeW&pd%iU?`jN{2$sdEI7F@i@ z%<{<E+h_0LzsV1e?23-!<v*k=lst37W5yKOMe~38Ze^0xc&_(n<+kh#HZOjBoOCoi z+3aMqO3K$437lP_-%AoM%~tw1SJUarN#`no?i-zKFHIzZY<(Z!d!l$^eeSm7SuFdr zmu~Uxd~o^f^pJVR?Yr|0`|rFIKfa+X!t~lsRi!Wbk9X^;&9>mzY5H;BZ+4=B@ZlwL z`fD!km~L@1d4sz)YjVK;_#-`=G>&dbU&xVIz2^Qmjo|0$eh1R(mY(VVr^zJ#Xv0a- zM{}CHADo;k?$WZAKXbuqvFC#AEo_rsPH(ol_b7{5WTT)@?g9(u2MI}U8P-|ePCKo8 zPrFiHG{jE4{z$sS_ob(`*@Qw=1ldxIs#9Y>cW`mK8}u)pS3YIasTo?$0r`TmFZWqp zRG9mae~wbTe`w#;_fq|?RUYXY@h<!#y|Z|)7hYri+IF+Nr*>8CD#vZrbL*8@SXZ{T zSM={$x@xb4;ZGsGbpcD040$V`v$=bT2$}BxEOVeLCx7R)7|-2?B4!CQEf*#kJUJ1U zvf#1#)(4k&X-Ig@_#vmKz+bHQ>T~c(v3FXBm#=x3Z{T`2En6-$GH;RQp^eMMu8Guc zJ;wI%*~|6qOV_$>yR#sA^F|wYhG$x-N75s5m9|~4D9&DdrgG`0{mfe~gxpG8s-`<% zuJxqo`n%#q%Ys!*O|9>hJ=k=;`ug9)U2|9K9Ne~HTlPE$zh_3XQ<t4<J@U)>{keZ# zhiCe)zx1m6&fiNNJ8hoobVwzis{IlASX`@6#Icy?x9}pfL*0rgx-TX^E>if=>Qz3~ zzu`}_o#-RqDt+!<HM?fFTm5JB-7k5l?#<>oZ^e__7xZnZH~!ezaIfi+^Ts3L%k;#b zZh!S6=k?KrIXe~pNtk|r=rl*=Q-}SF4JY<881By&dQrE9Ay4uI<L-x&pWEtZ`**R- ze3LKo_~uuedf$-SRV?c!*j1dL?^R^7(b&XGWc}RfG3P3rOyzeN`JSAp<RSH0d@1w3 zeV$1dd8W^)*gvuUinjG?$K%Eqb<G}L@G5+3)%nGFy-)LupH`QayH;#gVPO_!WiC}Z zcPxM5XVyOxluZn^g5TUarP^X$`}auw)-pc3WpySe9{8x$-F*6V+f5h4k1wVzSg=Ms z^_AgF%O}mwZ;!Eyol2HXlVa}=`P(Qh!n>k*%F+u<ChghLb}%QSOJW+QM6{Iv@277U zZdjcV{hjpH^ZM4m-cQQ)51cm;;?ihvFMBL~sZa9bF0q>l%X1%{Jehjf?DN61!2)-V zwPj`fS{g8SuaWjW-#ssH<fYsRc|BbtnElZXgX<jm&;LlNTRo{gp?M=^;?WI^$>#eK zq+gx+QM*PjV7eo#+ky#(Y>Z~JCmonQJN~EhO)0O&C7l|7d+wh#uhW<+lO&=)#UrJy za4*BL#v8Q)l4lJ~pUtqk)ulP#__|D1e20$EA?`JCDiP2A9KU`|{{2G+>F<+MH*s8( zb9#Fz>Qm8qtI2Qvgxz%&3|3)s?T}A*33C<P@}2+4U8}D-MiQ5oPI%*Yw%l!*vNT^j z*Ut5I%bx@V{Zd@%QoQ8V`3S#N+a?^<P}ThP+5Y3Q>6#pC*4(`zsc0B|^!l-%!m2m@ zE_z-1s1TLpY;|+CqkH9sGmqa`o~hj<ee*tZChPNCNiWzpS$6EWf3Wsn?3K&5_JZwi zFY?AN@e*^(QtOm9al7qzJNn-n&cGLrk(cg2Iiz6pKXXR=%@?zF|7V?g=dq{djXZaU z4o3+OXTSG;Dzo~PUN7ojXDXq)q3Ixl7vqgS8|&&D4_k7lXKAd7owvrcb>nZt9Xk$9 zlB(XoFO=%NS|nlCr01uM63<RIlH?Mfp%Z?oZc=c>N%I`(E0NcJwe~OCIn`F?7ps3v zv(di)QR~=vWs^59wcPagtE+pbY!}<dXCFS_V_vd)irwprThelk>!<DJ*ws_r>~>0c zb{ccxAxVvt9p%!K<QMx$2+NjRgs89aPnGJKpYnH^@gWK6eR8Vyw<V3Dt{Gi6>0{G4 zpqpx6`Fx^V7T=^tlh15zm=oT)x$JK#!`A@CeCgc(7WZ4UqF%|Fm%CmPitoAog>BW> z|6iRsti`K&Bv+i3H}%PSGT*G;QPA|2$hxCDCLd2c9dyUz`Fo3cEA6YLjKLWV+ZtDF zQBX*+uJT!MXTiG#^Dn)ge(8Y8_wo(5Zt}5Zrg(5LG|WBe`#5>CqT`*MnCj04ERPfz zPaXTSf!(`!(XqUlhh;ko-Y$8y?A}+axhl!Z@6RjvuJj6uUzZp$wfarzl!uAaQ+acu zisS6_yM8pz)Ks=x6Bbxo`|HD=iw~yXskIMowVc0mson8+p<g~*72VmF`SIRios;hl zA6TxHee%$m`@VPn-qq+ocIj<-;kQM0OG|`){$ITF-~4=^n|kUm&q>9!PYw4B|3CM- znrPNy<||7+pE8-wd)@MLgun4=_2g>%wcE@a_Rf=g|LDPrf@J~6BVyioRd)S;b>MTo z)&5w^`+Me^ZB7W!EeMPM!f#z1v3SeoOfC7=(D3*_Z(e@<|EtVn+v$?y49bFv+YVbg z$EjWU=Xf;w-IfYh_nmupO!`xprFQ*V-P_Icc&a1k70rK@{=9U7)#2oS`!4PgNH;sI zT<~Xt?fXR^{@-^zQ`ouroNw%(9KT1Kg75Ds%e-|U)_hM<{k6zB-_OqU+n-$#zsaXG zZ(o^*N`Ct9v^9mw=V$4J+n2r2u=A1WTNo(+f6lh6E2@ek@|M^;oNV5~^!vF3N1|8J z-0vHbB5M7=a=&hScIWc{vp4JwPZvdG{+Hjs&G%06ap#9OzxMpl5h~cAJ|X=a<5hcc zSuXqBbKR>xIUGn-WJpuq`A)Vw|4CQlip~E6b>4k!e!KkF>+N&XTqkGj+;F_Vt!(Rm zyTU($=206{+>Wy;C@0JB&#&*>dE55(y0U<t+`<bbb;1YQH*-98GBnP1<v8Q&ane(e zTixMt@M87__bpbfRk~Q*{aARhTLJg#jn&ic{khE{$u!xrDSD2Wd6{g9ze+%6#YTZA z@BQj7R9Izy<gQw|Q({Mkfv@VM&A&R=o%*^v_R6x}tubvGV)G;>7=~PKPOkkl@!Id& zd8-}={tEowm&BvB{kUK0ub#qVGk(M^H>e7kY<e=Z{#0-*V;xV+<9LRRo233HAF=6- z?b<T$(eo%-TeY_9d>>=;6}StOo&R2r{g$2aL)xz9z>b{(JGE}~@bGGe^~v94;S!PS zk^j!lk#cB{pz*UqFBE<#3rLE*QDFF@!XP4Oo!>WelF5Ei)xgZ=D;rO7cF&Et;l&lV z@>|;6L{TBm{|kTIPQ2@9b}~Z5XUhV67A2O0@6x;Prft4!wQi*hZ$YxLvUBX;&Bxar z<a3l_Nwn`utxyehO)D)fPAb~8?9aJ^yGgR*p`7Kv?t3M*gf0B_l`E`xX3~ORrpeQ8 z$4tz~c)oh>>!?kZe?xd`6-?Yh4b+kvTQp*>t+;k6RY2_-qha!)2BS9DlaZ%aEqME) zxA6R`yDmR>R2EpQ`JI=ou{e95qM@et)Rv8wM{ndY7^Z|8&vvt(zI=I*GJ8z1NX3#l zA<5sekKZmmvSp)dZ_AF(7jhr3ru<yb$H!+9J2PAMQu&6Cql<sL-#IdSZ9R{j=C0fV z#usV@KYw;A@4F;&#Q628y}_*ibWe2oZ|3Ul7C-9ND7ooEhL-JrHPy56g6i+598Nn| z9P)U3*5#nzlD($#lH!7!zV|0)WENgJz;<R%t#z4?g>+70z=hbwV#c~%4jn2IG44-{ zlDJmK1$=E0S?rLJvEtgj2aFsaj%Z8}6}Y%SB<W)8lT93^9EnAe6Ykz)2oe<$5J@%A z5Rr(;2<rK<VZjB37ZWU%(~308l054E)c>A2<B<_>rGi<8O<Dg;)%8JJPhZ+F>Dlu6 zFK#VVoAq-C-*hLX%SlT=9k}GOI>D`7XwI$46aFn=Ogqm#{oTc>z3;EB>gv9B@=!Z_ z^5Wz5Q}~oh-6I<|I4i73YJR|cU~fV9(yJ*Z6E8SUxR9`L!o`P%2^T#WJthbQ6g)8S zV363sabmAcVp7bDgG}y=+3#%;y<o+6Y};0AOUaKHgm2!Td^%lG{lNT--`QT46!n(+ z2k(v+;OxrIPbv<I`*gaw=cvl(!-qPYJDcz2rFcENeqEg><9SeI;qB<ix;J~AnRfCi z`)^)+tTboIGgI~?|1YdPFZNZ;Yf)s<Uw2_kd?|0}kp+66+%^=S>{mJvy4QGms@uMT z7LMQYnGcVAGkx!J<8Q0Sk(D8G=T6+(^{3KZ@1L5_e1FT&6WKXB{LLL@)5R3_9_Wwb z+qU6|)Nj9CQ<6OFCtcKdrmlDR^UQZui#_x%ht5v5W1c$wwfknZh(CI_zl8qejGOb! z`G+Czl-p)r|9gYvH^19hxHxvPiDyn+ywl|GKXe=){$BTY-{*ubwI_dVPp2(jztero z)l=!4zs-7X`P%FGG1s^2S+-A_*5c8AoLw~BW|E}i{K^?t8@yLrRc*QO?3{GEg?epW z#Trjz`>T<wt@bqVB{F?l<True>Q%u^zWtl}103BpS9NbaB5=&lz&tKPFfFI`#PKgL zryo36I9aiH@{>-5%7d5lUV4a?thyd<=h1h2@v(2;*&gppRcE~;e=;}pSFiiJH0fLV zYtsJi)9AbM?dG0Pj+$HT8m!xG*H{F-dF)zO;&yC}lDs*i52yDOE8XV{-b^_l=O?w) zu`PDl&c4+qUKbN$_@92)w!Gb`w&tXnGylX{pTz&G?KQG}HEGq7AI6>E*T-dUvP-bK z(J3C|ZWA7VJmIdn{-gPV20^+iobEnn^{Xench)tEnWH<QP9(79vBb~&HSPW3pGE)F z)fGKATgAn^uIk*=hfjSUH8*S)>~wsx*<!X4hjMRgp#EilFW$2m&Cl<}g`U5-hpXmC zK+p5S>+cj?*!SI+W-{iz`<aRTvw6)Mz1GV<_v2QG2i!X`cXpQ6&gUP>5Bb~t{j72D z?0n7HAEi3IG<cfR^X0u$4t?CUYV8ev%|lEF1%f6i9Q;|FD*A0|ciXc|8mk*~&K#<I zw@9O>lJAdSuCeQFt<bD2-E$RFmwkEF%oXzP=4B41E!Q-UbWXE*93L)om+hy}rIu^g zgDjlSPh_m{HJWuUEGze0<mI0wf&oX~ONn@%)Xd`kEc1N-ajVbIN=&!t^|)DBebJ7U z$*Ez=6qku#%N4#!^3^%6^FKxSgl#(G(>sz3Z=DOu?d>exF2}mDW^>xn;8Xhzc&0fV zV{|deXPZ?fo!QbI^3S8R-bGwRNkz~`$SgkOyl2<BV<LaQ>H1f2u}z5e`6yrTv9$6- zQQ_Q%vf9j5JlgygGh@`UEI!3*EK8gI#jWa|-oiiaCFxHOX0py)SjNxwbmG=f-k*9; z`}OxeJ!(Gr^rElDI&zGy@yETF75Oy3xPE-zR@-e|{91=y1y^@9%Ne}+S;;=9)1rU= zgPY~Y&(vqX+jlD_R(ol5**t-VYgx`YF8X$R_O+T<%CS0=UtQHSYKhvgP~n~B@7`;X zq4D>`MFV^9yD2>icu{_$?zrAv?G?|fd%Q|YPoAFg*|P8&!-gb@-`?GOH+_|>*|7Fu zb%Ii|<YJZ+1{vE{9@z44mHB4wKMVoVdVg-s-?2L9=A{DJe`<!6?VT%FexH$h5qRac z$Lkr3b(U<|H2LSbt>wozS9bgml2j`G`Y`Q<!r!8g{gJm>w*IVI^gDwweZ!L`Q`<9_ zIZ~!8P1HHkmigthy~P!Y!m8Yk8w#l!U++&l^7VoHtn(!Y93vzC8LFzac-&F`vv|kb zlRLLOD&4cX;&WiB;WdMOT8VA7Va0`RGxD<w&sdrZuu91Y$$D}Ay|h@Cf&G8lip@b% z`e|XBO#6f~Wbgh{eOVl!wBwX?hHd=C&4v=35f{pIa{^?9<#z012#r6w%k0zU8kZXO zxqB17EO7f!IK6u|*Rg+H^~?RA_VF)E$rox?b6ENJ#{EBv7hf_<82P-r^YLt<Z)=d9 z`RPKwL+3sxK7X|P#IIVx$DQ1&a(hE{tj-u*Up2vQ4eyn>?uy`RpX%1C+=`Wt+pyC^ z;kUKQqV-uG%^p?4$5+h!6)yW&Hcj{Awc93pIQYd`^iSsAE^BGpy_VJ1{-Jc-@*4@B zZhwO7_XfC~{Lk3>@n7NM7OgwC1%JqV%Xodz>!h?uWv*C2!hE5qdk-)1y?Ar=;cw2j z=XOipcJNhSUBt&eH>lv3w{K(FrAdkL)1yMNT1)sVLeu6RnVtMOHX-xdo+lNq4SVKn zo&NFfp9+stO_oeQylmGzw^4ugC9k)7U(qT5>q{@}I{Sd<)P3iY*ZcD<N;r<z{7CBy z5l=eg>9}fzTrBep;k&yt-o@;8kFao9R=6oI!Ncfro{P!i&DB?SbFx%#+IIE*mBnpA zwOhKEpKzIese6X&T%(%{EDwiRWZUO2O<Oj>are9W{<qU6@QKGWN?zC^tT!o1SoTNo zl-G+lOY>LjvMYZQJag~DGZl814=Lrp_ns=yDTydw#XtW{PkhqrPSd+8UCT5Vv`(>K zz3k+i#BeXmy?2f>?R|Oqj&c1glh>ZNCvG?+ouDdb+w(<z@rx_jy{0kF{CVaUd;y0K z->7_CG;hV7z4AK}BQ5PFthuH0HcqYgJnP&2%hrYdUr^xk$l2hm{9=>L_N$MX{tC{l z4EcKU`{WoqR+GlPM{J}^6#F*JW0<r3n1e~cVSy%AsqH(G-vwE(sC;&!t^3%i=hxJu z<%*Af-?im(K>E3`ygN@c&x9B$M4yP6_|r{z)s?HS`&U@DtvWMfdyt04QXa|2Y1(44 z3)ydUu<tScfAgyX7pI#<g|xQd`qL)t5m|fnOZ@cKEH1ipv}l3NnOS=K)+D*FI?hlZ zv;WCd?m36PDV&%1^tCpvy_#|Eh8Qc!O&XyJmVwEU?h9_K<l5y<uQE_&WEV2(3f#<I z%ylHgqkYA>nYZL0MB7Fm^NA=aNw0SkWe&bP|4-D7ogr^K6bg;ma_$HQJLUTLmz6JJ zn!UYXm*q8qM{k>!y#5oU%(C$LiqmrORU%K+o83(M*yg?aBeTbC`!r`|yXy?%1}ux1 zZFl`mu2#FN|G(yj%6_9wdIqN!>}#L@=tJ(CmTk@FRTnZhABkSAQ}=#`<EJ@$9(<T= zxA^(i*{uszPc($pyt%)8ZQGAGp2A^9Jl|{uS8lc6)plcXjrHV&r`!HyH;4T5_@B#I zb;|DB?%yp;V!79@x1A{cv&ZzeXqnc}e|{6<o&}d=`#d`tmUH@m<f?uD1eJc-*lS$O z|KYIr%HG|_CS7f*Y`-mXDtNU-SWx=o=6|WS8l@M69t1hIXE7*mDLEf;r)%G*?U^|Z z+4?UTo$EbY)!dq%+dWZm%+Y?S=ba_B;)6}yoJZBR!XbWF1)3|xLY`h-A<KL`a8s>) z%iZirrY(vW^BVb^R+!)WaxN)5@UENS?(00Ov@Z2+ia714vuf{ziN}w)irY*5(^TiR zliILlWoy$%&voau8%%EQ(a{K7{MXIl`=#hL+cp$+&lla*b2xBYns15N+M+jI>C7B* zL85X72L%|*|CY2fzuK?8Go2x5)q-isU*_0vW<2|)CPU{@iMKsl`1-$w=1VPlx#zU# zD$V=FqP$0Qs(12{4SZXl%)VTwc0=!4#UY(2S(e>(JO0m83VL^#T{PtO{f*N)za@L5 znVf7a_U+kx_2~H=u6Y~1m8RVmX+6nT$lcb|a^CjAg8PMMxX-VaKJ`sSd!_r<EpeMS z#kZYZb#=kVg4^K{KaK{cFPkjKa5i$U+au#Cvf|6PPHl>REN667?AhCb^vqpHKPPd& zjal-vc-tOz<puJaje>-<V>_x2?NPY+py+4I?2VGTCxv?(oNup;Fx_=Pp8Im%#k+5% zPKqBrbucV4?0f6NM;}W!h+H$CV!&sx;cM6SO-~mxf8Q(l|IA(cZ=DBEKeMvyfBJ5D zeEs<s;+yYk-FWTAs{F+zYjI**aM^Um=Di<-V<cy>37#?v_`;?t%X%y?{`69nHvzhv zUN%bhD&DkuJw5&W>~}x248P8v<>qugrb0MM=~Z8v(Se#zRr9lI`0M(1|H*Q;?mEux z-E?2V<JzXvT8Wnf=C<jTav4r~6!~w#>py2iCYRM*@5}nQkX3U@Ye(w3AHH9Y+Djh! z!)wrADcI?EM&rll<3|&ht$kLJeEadMOD|s;9^d^|`rpX|!rrr<9J;gDW}aig<s!F* zwQI7bpA9+{_TuE^V=t#Kf26tkxAIM{-EZA*M2J?nWhuJPS{qt@u~_e~-kY?*if19A z-LI3@EdQc;w>2yLN@mlirFqql83SYH6(9Yf`y^<;RQ>;Rg-h38U!$FJZzfaP+XWRK zDi;zHconq*ZpM9aw_aDjsBux^BEj4XQ#Ng3w^#aYv?w6!*!sTNF|Cf<i+{<ht`kvr zTjs@;cV0cj=#}a!R;#4DuXv00O<Z$rdw0pBq#bJ&@+T`@o_K1;zvEBmd++nUe#g)L zZqKr?Cgpke>_UvLzpTygJi|6SX=b&FRP}w++j|lv3Ru(({<mq$RIYz3*<sElYq;uB zXJv=Ql6SW&t9M+Tc`kENsCU=uxhofXM_VU^J<zE67u7Eko71?gjlJno^TqfF7k3^r z%uQS=QTyC^$JzX^v8D3KXY~#!&288@GykZIT2%PC`5VH1Tw~O|HeX<ZxU7m=nBYW7 zUF#ibc239kI`L>;@%a*aNW;qJZg|Y~Pr?F{FZ6yb{`#|zn}e;wJFMxJR+MzyHMMVR zOWca$ZvWi+^P>C8CpGE{({;Z-ooyv=yyD`G`3ecw;*Xx$n;dJa8P4q}*u0T>TV|3Y z7wg9xI|BoJT-HZ%?A-p<JIGb((b~_2eN4Y|w8fpoQWv-WVH5hjO^09UL`%tPgYFfY zGe5}+t@SjU@b#I2i1Sh9rxu4N6qn{LwG3Reax3eur^*M<I-I#Cwxn=YU5-1`<oB~C z+)`94^-5Y-@$ExK!;^)R_Rn=o()-tU>hX;y{~UjNnm$Rs!7+K^wT2y>ca<z7?dKUS z@5=G#G`~FKVAqv^M+MWb{<voRf2;M`DCURvzq!0rJ3DdJUDc-|AKha(8J>1ZFYlCn zwnVt4|6G-7uHcOM>r|!WQa?>sns<#&Kz8EE*-d<k50)<Ex#i=S(qOmFX!C)!FL#`a zN#F{f(A-tOxM|i!-gt2}W0kWXs(3<tqNjK7Zf<fFZ2qj#oqk#8%VD{B{N|6f{QFBP z>~|DK?Oo{_lv2U<gC)BBYyO=>W*vE|^QxDsMHa43k$rJrS;SA_$HmE_P6g+B8ZH;A zRquGY{q?u-mAkJfTt6iuqtEowX+}s@_6qT&>AjmTKhm%0i4y038Ty}{^Umzf0N*?R z?j1YRy)yZSZ>>&e`P4ZQomaW9Omtn~(DL=%`_|e?7s~X+{+*t4>Vtj4w)LMp=Lx*g z{3(9VbAi>i^sBEPo@p|iYqNFsDXXmoi`shDM>)Q+?h9TM(zY<0^TDF1Jw2c5UvPbS zecUIW_oTG(l^Kh^Zt{JUtg^Qy!gFqKStt9mi*wh#&g{w2jaro{o80o#qqAQ2nC+~2 z56s^G<}aRche2+K!qv5G{r9#B{a<1dc(bxdxzD6-YQ{?LSJU6P`m)7IO)$#%>=@=? z@bZP;ny=mY3Q?swzyGH7zqs6C&HG7wC%?}h9+|+lU)}R0l$5`V_dGhMUMK!n*o%ve z?VQHK-ZPuTr<-QVMV4;9T<ZVBW7aD3-_|<)I~J^cd2_X4)AR*r&T5>AI+<S^Yq4z= zug=fSb3!5}ACr{3%U^Tq%<4v!jrzhqAGUJ#xHc!rd{f>0+Vahjyqgz-1<ZI(&3N16 zY~#3dzWCBfi;vBX@v2*STeUqW(6xHUgtJj!n6167<}KCF=`z)Izm<LKh*{XK6`zGZ zu&>>Cc9~>SYvi1=(ybTmPhOcaDQAOnTZ_Aj>8++U`cqVnzOcJ7X|hz+l7=LSi7eOl zCF<<j@K7Vs@l?Hul9~R`ne%S^oV-Lp`+CAs>)dI}S|p!5_|mX%GgHyq$w%IPJic`& zx8T#WFGU62ZgD)T+q8d`{+2He342Yam`l94E^~4B+H-1QCH@xufqFmQ@BgQGe{H&! z;8Xb@bJe*N*G&BVL;Lc+X?jmfAH=vXe>3sqkKB#McO~oVcJM4$%4|28_3O`+ACfx+ z!}=24LKdHOpQ&&{)#VIZ){EXh;UypVW(I!s)@<KvFy+>2Tjgr0c?!QTJ0Cxm%qKQQ zA!k)R^Zfl8-7UK8(HT`o%7oZD4QF29>I(Stwfpjte~0#7fA)=e-c0YzXFFX|mOh#O z=(D_g>_3qs-<8%av|S(E`GEDvoika--%at)tea&t$DrxBlW54XiBZpM|J<>=WW6Hq z($37(r4Lw6DO;q6#_#=>^rO@7#___HhW##IO)f09mJC+^V|Dj3lP=$NUG*3#zYAZB zoLrb?^)=G2d?;E{*=7Gq@Wn!##izo|n^+Q$r0ufHJrkgBmAr1RghVR)8Kd{_Yd4&6 zTYi6mjP^v`6ORmwMKpOT*D?f&h6!sji%LapJs{p{CVf>yWc{p(h1aB}G1@gOOgQki z|K1q}pH-qVEotu3E8U`IOl+K@Ira7VhF;MxM>JWQJd?uJ9_MH!>3vn}e{Nc}>lP~u zmr+dO^6Y!;l|Es43!jB#vNu0o7VepI@1)kg+)C{emEQ{le<Xb><61e-J^syk!;8O{ zoMhlB=#|i&<F>d}VdKKf8Icm4SNC^%nQ?lZ*sp(Cc*)28%ieuEbk;e$wCmV1vHO#s z<~C(qe;!{lJNLw${F|JIL#8}Uu_*}nBAmHzaUJK2#`cr#4^4x_ruIJQw3;n(m#h2u z=7*mi=zgf>d$;w|4?oeuXSWZ{42@Nb>}N4!oE^dA5tH-7ZGrjbe^)qseC_NMPO{|R zUVGyA!v3a<MR_F)17{!h^mJ$}IVsoOzwg`8#QR&5rYkR<7s1)8*lBd=bG}B*y5DY> z!gBALdR8roU{>|LY;0n8SYX5BeL08L>vV_oc6UoNPwD=Bv*C$%bA7<m-)#HD5B0?? zth5MAT%y{(d}pB80S(Ox5&I)Ejot*!NSM-M->}W_e&n6#`8SpxoIij6)S2!Fm6w|^ z`=|x(<e0uHl{JTb{RbE0|8to(I>gO-#8=_C<mbWsi)Z{#$?y8XYP4dX%g(qXt4~{1 zKZ`q^7iaqS4)4@&m1RoHI&`m`e$}hsrFeI-R?**A4?P2#H&1S9x{&$&#iAWX%bhdU zbu3&u?=?#W7fVeL&!PvGJ54+vHg`A4Pulf2R`^ZFlWlE}EDp8aTCn-gw}cmwJe+3C zNA0UhJvBey;;GP_HFMpZO*_+5W>4i!eHqW~?Wfyb*4btCQC-MkQuXi5xM!a?7H;Ox z3AAcj@zUjHf1Hx-wE$c5!yNA1cZ6CwCh-5dFg0Yq*_!?vi}{pYw?!YenR}q_-?#g& z&1~W)5(O7IN~@eo?0aA8Ddzlp#o{;dRvddimT%p>?cJ#>4vay3^>+PIypujK=b3+G zn(XcBUgI94(O6LIr_-D^`Puf}#r7{VPy059Cn+|4nfWYPv1*m{nLqn4aO_;yA+vms zN9^Jme&190XS_SYFOjHgxO<lUjzzm3b$*)YY<X=%spr$VimR@yOcbzEkW*8dqiyMt zexLJz)<nS_ht@<ceYfu!d*?^VL+`3sn)xhBiyk`oK6I!)SYdjYN#L8*%a7dsMVl@> zzH0ZSk4IlYT~^mo?Hx;^$E8!DTLPxI771+O@z5}gE;|(V<I91?+{f?NGrT-1!^y?^ zNbD4Iz-#B<alKwIm3W+#c#iE5h@GF#_Henhbly&ewKiTszgHT6)=W!_srz;$`kCys z(oWxe58GPyg{Lm4%=p}*QI|J2P#|^Vx{XJl$I8!n6ObqVnCY~DpSDMr>m-pSHw*Fv zwr4$XUUy~DHOGjmHxF)}d4HkjaPii?8{*AF`m87RRqZfM%@j5;dOvBEkhG-p;-nnS zP#^P^SIc;=d)2#~{MmeO!QHFuPBH7_r+yOkESkIPz3SscRi7h{?<%F%b59dYzQ19@ zvY$^Dcdb6X<@TGnvvCi_9ri04bBMcFop`ahx5s-9k7Z;1veU0c?c_~@rs+4eNFMs- zU)<TB<XK;qbj#qA#N*SRi{9N0J#u#1_r)7`u|F@g_LH{!m1EDg@A4E+hWZt1(=Iuc zx>ROu*2%gvqb=ocRf|90aqCk%=9{Ht3O${y=(6M3pVacNi8a=T)Vw0ruX+3IO2`Dw zo#)nQZYphYJE73k@x}D)(iuy3)!l3?Pp;T>#+vb{Y+_i~%fQMhT8kIU*94Uu;JMg1 zLFn7uF9l+@29shhCFHg!25GI@@PEaM_G5?gqXN~Y%;x5E`%v<Bp>cuOOGTAc8gpCu z8a{m0ls+2sKakDbDn&DYFWcLN-yeK1IoMR9>9Nvw;^pAu(lZ<K`7N$<m(M(@`^!L& zwZ&4MS0$RW)UIkqtk<Q!qw$gp?PlAVPd?c??Y8&DS@U*mQ%q2D|GY>fHst@Lo`!q7 z=Nxel&WPCMJHPu}l6z>cXzlN!SKpbg8m{GT>~dUxFf7${rrJHRtm>`*pYA_iSy#na zGFRC>uk!Nsj_uD?zji3S5f?R+x6|A7eBq^c{7atST)3#Rao3JRcUSrzu77yvW{t|B zp!TRe2afGDuaZhg*Z)<y;VIvwbIyw|OgU|JcF9p0HaquQktejI>up7&vo~%o{&`2j zUO{V`jntD9&9Z79`yTJMHJWSm_QU=gzm_RnY)U=7E%)~F+}qpWXzk^9mfz3K?)X`u ztz}g9tn199`*DF=%UgPSnN$kHTOZ%qbkZSk|LuLu>=nl0J64{$b~NU}vx9HvX3UBC zwQiHv_V$I`6VH5StT$|%^7%^Y=QpgP|KwgU8?08|ZuWDRg~O4UA1Y_(7k0ZupLx`9 zm8X6}qR7E`_NYG#w*7xKH?>58C-U%xyT6X_cky~1T7OIA-2VOdZ5}?H+_{u#!+fcq zqO;3p=t@<{OE+Fw;IP<~)kPpV>gAh`nr9u#GV`0G<R<trC497dQ0yFOd~~ylX^EV? zmx9{uss)kX8<$yJbJ{#{eSXlR+i#bxy{oC6S+h9))SS6OYZ^X0y}hUM^!=U*Jk2T` z2Kg)QOgtle<Lhp1ZUdFcN_`3Q_NU#MFZkS&@BTG@O@EcReeNAE=19$E%3}+;UhX8q zS96q|=jEGQ^CQ<!{v<A8t1Y88v!wrAVbFT@m76(2b0_vM?fdS2Drmj2NYH`>?#Fh| z3ksgFZNdtjCPVe@?w%DFW0tobd3f};xsG1xr#P_#Cw^R=bf!ckdP3#&^!NWN{(PBv z^^lzS+DF><hgFjsPB18c&)Lh>Dm?w=r9|$!pe*Mq&WV+#uBlI_xh+1qxN>G^>DIHk zZ?8R4dR@zS%%t(h--|c)Y~+y&$n);pJ8$kjy(!IQ>+b3_$7osTSeO`Y$UIRqG2KB) z(awsS*Uaq_pUuM^#lChn$^2(7JpHWS!s}}Iz$U^#`&626qPj@HgXX7;KDs<vx>LnP z`Q|1S&M%e*0vi^$_VK2=uMVr(BY$g4$b7Z~&&ns;O*y}<+S*%HS(BUd#U_JWh7y~9 z`Q5WR^Y+cUEyvWS)~vfMzeMyw^C5xh*Aw?kUf+4{i)}{Dj3NV<$^)%@Vmiy%e^0(B zm3lvS(Us@c(f>P4w%=)0TWK_jYm2PrV+*w=Mbp6ZZY6rUGOL6%8j^%(%+35|#v!n% z*;rxv+r*`u_L9w&&p2o2oef$ik#(bN8AHvDvoinA)rheb^C>VK`y**IVR87y9aBV& zpWDfmFild}ZLe`a;?2s?Oc$S{JwF?Z_iUM*J?HJ7dzE`5V$Eh<OySwiB<5(pAw~A- zg1ve7ZeKZUp>Wje>in|>6IpwiyX0SbosbrD{{F?{=SM5AT}^pC;ZtVZE-PPWU|M6s zBy(YQMo6^F^@fAaf`6YqTB+tUkDYVxw6C8Ou79z;{a9+PgRDfrj;B{v1*Ru2{kLyQ z08jGN^7p6Xzg4f7T<H1r_1Ci&Tpbr0bF59y_5__@-!tW4{Xw2-l2?QS5)P_O+3fJ* z^tXu~58o_%rMzN#_=A_Rn-v=;MZNb(I5hiBI_q8T&zJR<+^kApJ;z+c{GIM3iF7%u zB~=Ii>v$}`tgxuP=CDKLJ^zCz<IUz2)wjQUKDjw4VdYZu7<c8h-F4i*WWKe#onFM| zoK$_M)NpRrHnS>`y2I-O|M2~mvuRv8xpZ02gXaIL%cawAFD=&1{<p>W-U8`fPoBWj zwjVC7V!xL#MJ`5e!Yi460j+AioEn`8s*_HCTv7FHPVf6uPRbKnFNW9kEy%wy^TMjw zB`nN=A9J={p0594{${PcJ^|(vx4O^R!ZhQ_rQIHzA{Y5&_RmUZNxhtEW>eOgnRVFK z;7g9Q__oz|!V{E)J3W8j{VbYfw#KpJq?gXqgT9A;yyL#P^)%DPw$ne0{bCjJ#jofm zb*IXFy!0o6ZI{#3(kV9;H(z;wD*Kadw%X$Pf2$v*T`DlK`JwIJJ=49bc+n+~2~Pz) zyjxw5P4a7A%5vMJ<j4y<+3qK==S$u#e*JMD-_k!{Pl>$wsQG)zTnW(_4yl3*>N6ji z2QDZ&$M*V}%rDOS@g~B-i3I}B<k~hf)Fi4NzmqDe)%Ws{^~Z*1J?mc@ZLKsdWo1_i zlK3C$ReT`T>ufm7tzEtUjnA{Q&d@iXpZ!(6c%g;Cd%4nc7t*XNmvyq4rM=x6aQ%er zb`=Rr%Pz~OKm1m%Ji=92A{1_rB=z{utCwj!F{+0xE-yV3v*?^?e9B3i+3OspJb!d* z_EAe;@qhJKl`cwe2ySL7`c->S_~LC<tJ(EW);?|!(~0$|TkE)bbHVrZeebm<J><UT z;CS&lb1Z`lvjEdA*{SPm{I;bXamhOO?Tp5(S$++RCO!21`_BBN-MpnQ+gp8Eb)sK& z%t?uj%xb-QVAHKI<0BI1%A3k>^*<JRBc>C(^Y-nzNmW*v{BpNiR&F?HBYuIMp|z#? zG#k4!-%Ca=X7;A%t=<1ER4><T@?IpBrV*zlR`~bR3f(DF^4nLt`Sl&O3%z|a)jp+x zrIAy6kGEEZNl?&R&%LUXa<+U@kq;HMi`r0sckbKh_O{!~GSB4$IWj|SP6n*%i17cx zIk(4rQo)3RJ=OBP>Qan?6Q}?E_UBg4)1nXZT%5N(KCqpcGOhZyr`8^M$wr3*hm=1a zJ|L~m#xYl=QuXUk+0(3fKSB;NZRWh4<0JS`{nybOraKRwIif!^+$>4?;@x?vcM=P9 z;vS!mG5Ou0BDc}~#M6p=(_9a|sbv!jLj1SHM%=KB3bbN6ps;9>#`Noff`WmjIciyI z+j!;eL{)#~Oca<o+3jnhTZ{8Q|K@;DM;9N9d6VM;!Ue^`wq41JJ?7=JxwfMJrpl|V z&5C(*kH~9zhJ^_J3~t|Rb)1VOSt(MnwQE&CqLCsS+oe!R$+$e_k2BII{I*M;{572K zbI68o?bB!f{`KI&0SASWBNNs%`}a)Z+RyRSLt(?B4}nWvg_&A?Y~>pb673aOxMEmi zEp6|5D5xA#*q0w7x~la{p|Y6lwp0g;8K$;1c7+=MH=0DcE#qJoxfWA<Oa4f7Sn;j; zmiHI8JIs~hKDZ-fE`!nrsSgdJ>N=YD0uH#@N%riLkuni*Q!rB&(OF_}jl&^rg;dn! zqBRZsFHQP!*vM09V#|d^N7bA@H0+OOVB(T=yE*p(gMbQ)(3)u{ikRekFUu&;I3TH> zJK;o7)>r47TK1E@0(Yh_-jyhl-I4I<`}gY)xc_OqNjxE|BjDZ>l-l92nM3?d?CXyG zTed#EAJvk-ZIaKQZC5K6Pr9&s{h?MFC+CR*f*xUiR{Oe7uaIHlnGw<%S}7SmRpg(d z`q$)JSuE}5jW1e+51E*~4gGaTZ(~)DH0#5R_btVX)Hr|Z#=O`y<%ik1kE;)#J}54z zFT!7AuuQ-!_EKD6$NCG?i{E_Sa<x|Yn>+t*Q_a<v1h#s`y5=r5va#7D!x$dn@?-yo zn^{XUf2QY{9BXblD*b=)jM*<HU!MKjT(tM@wb}m-ZiwE}ZF&$beE-Z+?q_GYpPk`I zaAf}VMZ(~?h?3ivmlG~cQPWa7bS|nhzV7wfu2rkH&fPk7s^C&ViDO?Z9&SHnZx+<K zKYGo*34Iz%{x3ci9CB`{!>{SOLaSHY`MsX8SKGy}t?|e|UH@g@O<5Zn7>W)t9AIGH z>cL+akm7ULm9e3Pk=gNh<I$D|<p$>#mf!3gAq6Km5|dcDxLN`pIM>$J)u%oWO)!dD z*I@thPn!yN(59uoo|v52dHoLSEsKnu8~dymf2ugqdi_pC5zk7CC3&5F0jp#CMSk_D z)rI)K65C;V^KVbutl83bAAa{#*XybuiCWJb-fH=g@A>=vS2=d6%CFq>&O&}_dQpnx z%FCw%4tJ|Qt%#FknzLiK*OAceJaN{38&g9jd3|P15I8ZVzCiCMmv!5Ii)-@}&BfMF zF<M@HIkPBZdc<F+U$Q^$+iIHbKFCz-`KRD(+LT4LY_UN$nayn*6mHt9^cl6Bopr+T zjra4YX^W&ebXz`~>}hBf*cfCi)8lsF$g(HA=a?Sw7;*A+o=h}<q*8X<JL`V!<d5;o zs)aW!oXE>jQFGVdUXAI%f!eo!PxBcCelFiXPf_vssuR+#OTJy5$;8|hC_l+N@cqiA zFSlw|Z!_X*xwP1OL!<KMiyYd0(*=ybpK(i=b4S!xJ)_M~_`8oUizT<o<V7=BB<@YG zWOZO@-dC`E?MMAGv0VaFZ6o!jcS}!`bewjvwD?v0JT(F3JpZFd=H6$SzGcPr^FNt` zeS*J!Q1H`Q_vp>$$IQR~oVHuFV~KBj@%NVC?$93{$JxG%^9%jnt+02$*^E14TkJZG zJ_$$#y#J!&QvE@);Kr*jZ#w4IxZGGS*jeyhccR^!){5MQtJ{tCe&DgWA|&j@Ft@>A z`i&PK4~EWG_ITqT8o&SS=^s0PxNR}F%Ude4au?r><Z4GTMXSnWTaM)7<fWf@r+P8P zRkY50_j>9FEwNhxUwdZMDY8Dau&v)Aa(?HA-}ZO?8+P_>tv$E??{fPE+-CR6PA0zz zaGVhLJDl%-pH^auXkpUTg7fjQv--B&3orZc>s?xTWnQGbaAT={R<uM*Vem<r7fWW{ z)1R7>wO&K~E4M{5`;tTRmaGaFp1}TIBek$+y-iZ^d8KYceNlD4<uWce0?jzy{tAe? zlH7dn!?juWmvBtKpERL$ze&z<i7P6StKGd5Iz)|o%nk`exhKXc%&g@!TvjN4r{?j= zlDD%gJ=6H!C^Sh<@HE^SE;O&vqjvfo*`Tn_*)iY0YTnx6$r@>%d9U_Z^2@t|cgwU> z3(l_isxkfF&K9{PcbQgRF0KhF)C*7-S~yMEZH|-HoL%9S5o_Yp?>emx5!}7<-|mX9 zlFT7TznnX;x9?D<YR@GH>#L0k6YY0=_c~W*6f-G@OL#$%%C9L=3L%Q?de4fQhlb5O zbVZI^XTI@amz1@q_=|K^`dD@u#hJ6FRvWTBWwzRS>9_2W71z#P{h|J=-@4ny?vS<O z4eLwugAAEiHbfhJ4v+}0y#1-RMeNXW54JPgJ43(LRn>dDN1uLB;kD=R8h(DuX@7Fx z7+ZdcDoQn!EkCo2{e_TF>EC_EnioBHzO-K(nk4P`I%dO9r`mGKPv>mryLu*fDxAEn z_0(HMKgjmW>XP0Um)7o`UG{bVzjaynGQ^Kb>gia1DVLpeYw0=nn^$LFnU?crr`XD! zal2nSM5msxC=2k4h+dQPVcA0`bIJP^Z@nkZYf`q_$j5(Qpk<|HTl23<&REVVRZ8(2 zubQq*JK#TUxyB@xunjJcRw`_(Q)Ab-{B))J--o4{EPYmG`;($`zQp&iEev2aiHl%x z@^z1&zjM*tjA>o|$Icc9pDR6_)7B%_Ty*7AeF(F$%gw)AZ^x&EUTk)G$)ITcZs)tb zYqp%)z0TkBYse<`IE!;y$q(N>2yOO0HRJL7gegtVWyjVZmWtLaIHMol|5H76u9dch z;MHjy8jCvaN4$G|I*_5}wQc2DgBdT5{drv$F5ap1b@}vrE_U0s_q?cbTv|HS@>lcK zJ740}%YPo6!a2`&4%<gv9^Iw~@dvK0{P2(A1HZC~CePcCDm>TTvOYPPdV{U4L#%lw zTk}NO`Mq5J-YQq4Z!o>lKbx>HxNg3WC{yCGMa8N!g;UlnUcdSHYv~2sDr<J$Jr%ud z*}bdmX&Xbf@2)QtE&kt7c;qYRT>CS<)pa*nKG!U)IPksmE&oqJ8`}+zKV+EOQ@p)g z-IN}E*l@e2<UeQl<%@|T|DAIlcWhY4{bcjR2-O?$51HG4x;90dyR;--@byXMDCQJ! zjN7*7fLNCwqveq#rinahJ2Tg}2k#L2&}a29xM4}5{D#l&wojiV3CUQTewlMZT1tqK zC3=aVQ9+vqbBTjVqm{?~&c<ic0%spupDvM`FguTLisY{;(*1W^+}gh+1sE?imR`oj zBJk3r?Ou1o;!c0dpVRCPa;pX!NJ`HSV0NtkWqh}3g2JXv^UptZ(VJTJ+iH2r<A9A7 zQ;XEzv%OUadG~&GbwvFJQPbIndVerE2MH!mx*W*4`|6I^f0xt6-=9j=*s;H03d`I1 zii&@aAL?!^)s*;Z5v-f2Gv~?7R~_4b2szEqy(eT{e7a7QkGar=)62xJCiriy6T`0Z z%?x}8&iw9P_PCC9*12DHGZsrK$?Ui{d&;!h8Mdp1!nBNyCH5O0Jjzqd@myXYKDN;R zRLU1SdyRV<);CWcxqjuW&LPQUadk8Mbn#_L4s&@Jx#!qCe`)ycK>ExItBrXZ1z63N zSKRs8`kUo<UBdKtfp2^+B^B7;_$PK)xyeTB?ebd>Uu>=Mo~dB`!LcM+R(j9G&F0Cb zIdj#oibO5b)v%tQv87zjQ!(g`s5|q{AFI#)@Dr3jyC~WIfc7h!4I)PKc%-|8r*C%* zkZWgAKNr=~qRqK<bNg=fMI{G!pJ!Ds^kAMgb@DyE2}`rr9o<n>vzl#QW}EER!!B3F z{BpC`EX_Zzdd#i)Rq~_LpC7z?^h849%bFXl`G;Fy-QKd|N=v<buMh9;6C&~Lx&M5Y zL>=CI_-?UcTjJdUffxR{GaZ5x9*DM<f7x%9TPAVgo+o$e^n;e~+9o<4Ty-e4^N4Mp zk9_MMwhv4^Trt!5RcFWRzDU-oJ@ooUma+Kn4^_RlX9UKv$S0m*j6U~dUc~<H+|&!F zAJ&@*Z4f(?$J1PLiN)cngG+PbjNb{DnUc0z2k`w|>c;sn#cs!L>q&0E�(;IIois zeIrZogYm8h7RkL6S&wVon{w3n`x|93>7OTymfqdmq+h!(`I%SJ0d?Dkb&)IucBOys zKe1^LiaPY*hDYq$ie0NaZ>_%lH2%&O-+7yuXB7oo{?k)g+oB)Ma&n&{<E&kkcRFp^ z|L(KQnsq$*oqqSd0yoXy4yUfo_}21Hh;gNhU7dTd?YSkzwlnv<=w^K+x@L`cIn#=V zkCJAlX+D1VD2q+ESNNsm0_|f=pSM2QQ5}`V<#x4^%}9yQM`ZJUm20Pc->>)=S^7e6 z^J7=nbC2i7S=H=_^Iz6Cx$TpM>z=UP&a1mpPFbj0pS`#EBiqaGxy?8KN`E`XdiKE- z-RD)&u3K6T@Sb;@lKYp<;M^s@Lo<(Luw><ZI#kbT8}z(DBtnF>aoKz}v7-mC9dW(? zqiA|oSZ2sZ4W&Cp%x<|W4+-6SkkYfixN~{$8kLiNKD*ApyV%saLMlZ+AgH3|fbS~F z**jj$WSj3ce_{4*_m9Wle>#6&X<5QCWix|Pc|H@*AMZs{1z%VHzarhzXYbzbZ{SvS z`C%xB<FEN_YL`kD^Iqs^d~-sI`^ej;E*r1cJgnneW$(K;Uckxh`v#kq7dwAV<Wroz zJDTB@?V?21@I>yVSH+YbPPzC{oa;W<GC^5QLAh0hd<7GkG$%5ppKkm3yzQgrGKCLr zE=Sy2bF^PRt$ul2y!6-H`pL?xy??Ul7xk(vp1Gg%vVQTjUW=(8z1okf?!0HXOZ~IY z>J)v;P1<vve;VsGE-GZX9k!G^>{J<_j>TV<!@FirezfJ)bmJ#?N}lr_=rWFN_ByYB zI7!A}_womIV$*gU5w)7Xe!J1yb>HV?o2s>#ZDqb>{iwiR{E_g*%CPU^ea<1;2|G(` zWU_-;jr>k8Omq#JRI@^2@nrqy@|!1~_b$|*Ilrq|>iM-Pr&iS17cD*^KkrM(4&_fZ z&)p`S(%*aG8Q1xDT2C@->_4gf56sfqBUo9Rex*|64wu%UO>DPU)-GXQdu5({W!1DD zT|$2=_i0ahp0?fnN4lDM@y2J*1#N=!q(dSN0xsk*^aoFR{Ica@T1r@b&$cwN+OMZ1 zXMI@v)_G#gUAY4r9^81ZkoxrXpYqwyKW^0K<(kEpCKud1DbLJ0HFUqI>XU7g^VanJ zZ_B=;{;^>Nhiuc2ZQN>lZf+uMzVXX<f7+`5N9?Ar#M+hnp0{26)bvFE?eu7w(y-G{ z1as%!xEN6$8|s%BBW7l!^TBJ`Q+wU@SK^;suYI!j|I7x>_`k>a%>Fkky({`RCo?T_ z%Aa2gx1PGAndH#5*itL3?s%eB;k8GHTEr_uF6gfPp-{i-`u+>Ij{9G9aC_zZDdfx= zi5+uQgx>u*zBb^Y*X{Lx<aQ_h+;WOLaRPfu+4;1q3rl^KI7`Z_6!$dP*FXKVfhktG zW&1(*Bel^FTJmofp6>j!gPVKWV(GsA`EC9$C8PeGG%&tvmb|r|Ii_w&@3BU%8A;cY zSLfYWStaDR^xuNjJXZOof`$KA&h=e)|H{ca?bF^rk5n-KzIpD-T9flP+k{-p{@Cm( ziGP+AHLvDxU-Zh8ra}|vuRgr$x8^dJj43Z%E<3MYE_moOL-RJT>3gp$Bun>CxXkgf z+T7cgd#?V4E45djZ_*T6v8nf$hvm%D7uS!mtUWN%U!c9=k?+gxZBsdo+!W8POntWN z*zaE-KCKIElr(dDx#(H%<>^g%57xhwTkl}Uy6vT(ga}X2EA|tu<_BJ=Wj7jL**t4r zbzyi;SsLG*wcqY9_u$A4nVf#j^5gxdu2VO<?b~wd_D`DwZDHvxb+12sbo%w#c~LdT z$_*W_OJ@B3BY*Ep(?%oK1DwXLjQ>1lu`^$8->@MtQ}@6IBd=Gok-MJFS~6$L=HJaH zawf@o?Fjq*_wC<KpIsl0Dd+WM&DO5md(Y*Bzvtz~_cktbwZuCoF2C|C%KFWb38{)D z*B`haO)Z)*amM50jL~hnr)tmfDfXG9&Uou@G%2y{m*c~pP5Z+GU%Yy|jrH25)~>Cu zjZS)oFlQCzsjZHm|8`3E>21xoAKp(CTehU=Y5-&W@g3Zsc{n@czULpwImc!3=!(ei zeRp>yuW7oSTcdL!Tds~ZgmLPIq!+>&qPy2L{y6h<&fEUAH#WB~+G6Axz*O`_{%QJy z<WGU3?&ceUSBWlo^XtUyjgud12K#^A_;J(kBUjq|4^B-oYIj$^I`3JP-=kM219crf z@V?RT+Wq0(?xNb<wHMC+w%z&jfVcb2;6*IoYrIwO$(H1C81x3LwEa{b)Bh@S<5Z^p zDXYbb_f1Jj?v-QT`8kR2eAEYy<DM5k?efw!59j8c*1yt<B|h`p;p)S)YaI`E9t{rk zH~VtJ&P}M<hi$1_qaVM%^qI{oy}xf+de=>I{?qGIKlO&Bh|RsD{Y~=jIoX1!ZC01+ zojUhScoFKe^ymFVMb=9Zn)|a)zAwA1^kTY;v4Pafg`0Bo=Upz|5+)|DBi^E$$|W<& znqT!%iIA0K!e6%ZTYrA!eqYzKJ-u@Agy^+N1yQr!>{W{OJls-hl_EGNJ<Ds6&$q(< zkh${xn>&A{WlYRB*t`7O_oT^YTRxoL{d>vNWvgfZ|5J1S!`@fl%BQfsmAGfo<Z8O} zQS_>V*UEL)Mv3pZ#qh9r4!h(7g_M;);~swc?P!ylc&c<)c7T0&Pg>7|>c=l~Jpb}C z*t6I%nK@1>KeWS;bAy#iDU(V4j)xYrySyGd|K4)`(}LC6L0Ws%8!O8BUvX}_oD$Bn zbKa!P#>gXei={*ylke>;+?TjaPO8uInDyqb@6I*|E#0cCe%L_bTD`N`)@Jd=mo~0_ zyw%QPmgBt3TUnd=_dUp-yoHtT)|U*<giZQVmlQ(|#+cck@7flAE$$FQ`uwvlTrVu= z<lkFUe6%G0S;n>USxXvPT|HV0o}E8f-1i~k|AoE)51&gJSpqVeo22iS3fw;5IqewF z!Btk33!m}YlwWDimHc<L?Wpb<$@-a6Azf~dHmp>(ZR*jf?w-=ib|c98s<fRgFK6wq z4fjF|L~`Hn^o_Oq>0>r&=fsZUZYgJf0W-x{$DVmiuiy}Qb3NSlz-@sY+cG6;mrcH+ zYj5-By{5zQg`s6kH~OUZu#0&&&dI6J{&--AwDPp;O<pIQwhNRTi1qxh{OOQ}>q6y2 z3$muM++OT!xQNB&Nyx?(ib^%DqP!Cq{P24ix8>#BuB1<g(-%&(4L6kOx*EGAZTg=1 zw!hsAr%3N#$$e*mfPcLB-8W&oS>;P+8GdkAEAAHMoXGN_TdDVsa;u1Cy=Aq2fRp8c z><{ak-)wlch28zG?zR@O-lNOI-#m!!k}#O3nec&4?OSW}!#NKfTh;$h;=dQKB_`!; z^KfIzt2;HCCqlk_;t*6{JnPBo)@xzL(?fEMzizdZ_l>?Ev+cv|7yGX)d^e>mbH=0E z$NCJdET@mlJIP*A_!J<$;2fL%N8agQ*2lkHcG54sJ2;bNwa@8Ad%y18=li8o=uY2H z3BR~K>BhY6+vQ~5#=oqSdlu(+UBu{V=1d-?cVA`tR%ir0Hg0fTeEfoaNvv5xb-9D! zW7F<m%C{vWn*!C=-C>h+(2IHfE1Un6g*VePldsX|f99Mykakkz#p{)cEX!E5vcqp) zuvZp7`a5dn0Y;B=yWU@V-PrS`XX)95t67@;o44vG1^doEtW&h^-Sq`a1Yh!1eD~mB zinu6Od-C1i6S4*WPU!yHR(?V(W<kqU`_LB(PFqepaV%BTS+?DTwc_U5u)o@0^*Fa4 zEV#nrbR%V+|BEkgzq}H7v-PE4XZfb=l_C6(-sUrG-SBmuf41*ayC{d(=UvT@F-uhJ zl3gJkCiI}Y{$bkOx32v+RrbbhTI+b0$I4bv@m9~L3l}Z6cNhO)Jrn2exmA~Ik8Qw# zSb;4spXf2)Z4b^^cgJ*|&!m!&SMNTb`+wrprELq#<H}y0Y>Hoaj_F&&k`Fsh#FZKC zkZL;1tXR++owd&WxR1fo!|T?Z3am&hRjiovQ+R%om*D|J-^&8st#eJZ+#a6WQ_vQt z_u!mHR@Zf@zK;6fz1QPU3UjYejk__K^OWS%niZ?7-id`98A(b#y7S8-QeHZDzvnH- zY5N^G_Uo~JmUwvj`hMyA+$UQ7s#mYqYq*fjJGHa(@85e@wm7;dw)lLX|72@i>$=Zr zR(sdIztXBMKf7$rj(|O{SFTlF(|^cP<@Q;Vdrv0R@UGo{tZ&o5lscZywRv3;4_AM0 z35$3bt9RhpUXESYg*I#wd!<n5?-o?T&3lbcy8EQRo7dNqi_3ULCpJX4=QH_TkJoM} z)7G1CqB2pSvY91AZc*uP>k^?eUw@do?J!)rUt@;Dt9a&OE)&rO%$BmRT7A2BTb_<- zu0Joaq~q-y$NqJE<+_#+>KRN`HqD+T(jcp~`hMU0n;9DlEp90@{}i*G;8y!b=R?-i zLo;ip>M#0s$NJbJ+hFDl!`VONUY`zlTJ&7d>jUq+I;-2or$v5>SY;XCmEXVcb+(<j zXWzcg$jXbx4W=LJrXQ3jo4ADO$*0yY&WG4m)UKP+@o4&;Wmo@hPS)jD*N8ZfI<GJ2 zw$x#+3U3!56U*e;=ih%VVzzn1$Kd~Aimly^$wzoIml!_$S^A{4-!a~g^$6qr>91MB zljTh&y}LYd+pmiUd7sZbROS4Rt!w)36LD2h>K}d`y_qH-y0t%3`|Fbr2Arb3Wd~Si zZ<Ne9VdHP|exDiF>)js&3<ErNs^9+i(RljTB0m3;1N+3P=kDq3f4$;sqQvpM&@%}= zjU1a_blv&Sn>y8c!!NEE=DVF=6<s)Rpe{)0__V^(da*^-{>n^mUcNt}^7G5`1=BT* zXGt?}mfo#%V1}GP$H95UzQ?D2bc;wbSUq90-Zei>CgTgU3_^GM9q8NsO{MFc!pUXN z=iNT8X`X&?!n6Z(*|c|@P~h5NGe3hteet$$d4*r*9TdOUKd(;LT_eow^yJx0)e$i) zjjSSK!S-jS9S=Qmx^LEo@Q5QnpUOo!>Zq|jmY(yJ{m?v>Gs_rfu6<VdIrB`%;Vsh~ zIv;SHe<`y3*v=qJTT%P^&)OmVZQp0iHglQ%A;GHA=@6sfpXy~TdW<`BkDh$vr26dl zgde5%wBF6Up?ESd;KWHz5$4YSd15XT&DENd#5A8i<Pz?%E6y{u<QJ()cNX<s+f{X} z?(spPF2SN@4POi!_WL@7KK-zo^;x>$6-67_6Qw&Gekp8ME6o%uFaJ2>x0#~bBc6uW z`Crax1w^RdP!x8UBvMfr@_Fsj^tjneUrar>C$`bVDS$mqb#LB%>A4~byLlrbKWq)r znAN{H;>Q`Qh8LgJr(UTPO@4U0Ibbi>{4Bu*E3I$jUt>@ZX|p%*6x8V5ZLxjt@7WnT zELzW&-g;wv^w(+|qfm=9EfKXZFLs4>aBi;)(mktg<dne~xcGrmvf;`6nKrjKWH8pp zOx<5*pK)t)YD=2QHlcZ!CK>$FI<B21aH40Wc4_RMpU-B!%`4lvbA7QwoL9s8gF3U% zF@58B%4lDDD@VKb2{(JD{n3}U5!WXs9XGn>BpKInMPB=th2!(>9OlnIoPNQtenNR} zQF8k}F>{v(k84*nD8>o=uw1LN-X`->S?=63`|l|i80`xbd}>o0QfQEQqSBXP8pB?Z z*Us14)*jl!aaojW(uty1nL>9pXHOQWWb(Z$`Q>w2O77OlEk~xc9z2+JlU<AXg~kN~ z34Kk2eVHw42Tb42uAl07VanA>irMimw7*!@+!API@C}M=?&90^^Ws<LlMDFbz63R& z(fYv|7C&i6Sjf`#?M&`hw{n{7IaHF=q|R9DaUsT$*R<01)C4u9oGVX*7cKEv_u{sV zpn;g*>c{IQZ3<U#37vm*hS55kwaS~1-TwGy_c9C4BCp?P{~WH|J|}(ebEYVRV{V3< zU)`{IzkNgIZ;Onc_&wcEcRQ<O+x|4*>wA~8Ld1`KitG`A2=y7u_s&>*vC*l{`IAR| z!ZvP2w*20&nJI}*zaH<heRcDF_f2ov)Yv>P-U8{(fxdT~FCQsbAXrpbXC|Yn?_PLr zZqkx;)g4DGzA`5@n8`#rb7#!UJ0sXP{V}i7<vGH(ZIW#4z806nWU5R`8$Qi%{O@*o z|Hs^p<5i1~6$qwEU0(e${ZD73{?|1kvpZ@6>=-_O;K>sXw3?{2WLeJUcXv4xU$Zld zT<WWz{aUbgvG|T@A570T1~z)tIc()H*r-@oAo*=_aNNb+1rfUsMfhkQ_*_@-UuDGn z`u9gugQhKPwV%tT_jz)<_b`6?<KZkfr9M~2l67T7IYajDrNZhjx2<SD8M>%-UYE;% z!G)o3T5aqjJ(oHhIoRB=d4a5K&han-jT1WZ&lvMr+2U_M3~4m03wM@oyv(#_f2NbA z&XLoHw(@<MDtA^<*kiB0xXpqkxlFOLk54^)acsKivTz@>19NrnoL)Xf<m^0K7PVDA zAyIC6yMI_HpV}FA_gTlyiT08j^3Dt9h^_Ul-NM}Y+EYp5G~Z$-*`OIoA<w7n@{d;V zjG31F^xtPqohx<kxMzHqDe#{dowZUtW#+S!bJY@+do)UQ54dmeOgPps+mIn`&+jjb zg5R!Ejx5{8TX|D9W$wEz+yy><0huyuQai=e!&ls9Y|Pcs&03`XEaS-LpoytQT5VC1 zS8bF}-m@vX?9f^!(-}7D`DcS)#lI>}x<8q;)c8Q(<ad*~+;Xy_Z=E>BKI6=ei<NDj z6N7#i?K-sb-{ha4*&Z^?Ezx-rTQIHaYDD1?AJ+1o>K?|@4~AY*LcP=T=fB&xVE%vc zGQA7G7k%Z;JDaiC?vY+i<G$cO!mgj!r+$q&eMdhbW*^_~-;CW>Kj#I_kzWw-bbHxk z@h5p|(H$QydiHgw-uu5SKH)(^n(|DR8C%*M6;xL!AMAa<!}w}h@FVGxu+-bzq!(@L zNRR(IX;H|eKWBYe8JPum<yj9fwlII`+`Kd4R;KMU4r}TB$y;t|e!E?C_t#JBa?fu0 zs|#<1to-uncG|_X=3lOHlWgouDi=FHTk|UI;P$&Oy(Hw-CVNB*^(ee}mX@_@(Wm<L ztpdUotCruIHE&JJFTHJ*R?jR>`^`EV7_?G*&#$}QJp#_Z?*>17xA|sK;IEt~*2izY zT38jgd81;l;>^syyKdX0?m3vJdDF|rPT|Um6>n~5?p<MaR@U#|^}}-B(bvvAb$+^j z&FiMHw9Pkqcbz)*e*Z+Zs&sx%Mutx>`r7AQRGaA0VSnkKE|*3^!F@fs3-5GAFa3SD zHE(I%Pt`K-PKhJui+>my23-seP;hl~aBUW}&AF?g5V7q;cZ<W~iH1pzuC85DyiE;^ zGi6!(`kL4*WM#y-g!m=6y1JU!oZX$95A?RRbaiwz^|dwp|B<WKRyg@?2eUob7Dw(U zi&qFgWZ3Z7A<%)f(SeJfo3)A2_SIwo#a?F4qR+~PhgJFcS)N2I*!*}e&cn0j{QCCu zpC>0Ab?I#H>0l7%Q}O0G)DZk+wWRj??f12Ju3LO%=hoEHKeHFT<%?YX_S)n=zRMTg zo<3gx@ml4#?6vg~-)gGrcJ}PtQezaPVb92P#IQJf`ISwRkC)s`3GVR_HofK3-23wP zk99Arl`lL=+Q-xwBHU*wrXaJUBH4aHL+_kE?)5X=vZjY+aV)*WVfsbD_6gTvmn(~Q zOgI;@DV^o>C(jRWmg@RC20s_{zn>!HIg^WPWy@BP-c6e}&9<?5{$-)i@fAsnH@=Me z?7Qtr<<_;lk(*0}JPsQ4l_+hqSi-i*>*Imj8w|p>NX%lbU#)WgS>s+C_A3G(kDpVh zl{U?`t2N0}xx1+T+n!_RmN0f{u%`rl>e&2_(LI`3=<y9_i@qC%^=kq@HfFwh6ryXC z9vZ$Y%<Afd+T)&2cU^HcF>ah<P;SJrr$XV!%0_m3V-unBWf>PA?AI02lVTCp6Y~F- z`Ds)8VJ`MajRSn@nkEN2<!u>iQucqnP}3U4zJKAGEV-gtOYe9rRVgtGuD!WvWk9^V zc)QMntVO>Hr$+q^?>KgAh9skq)a*?vk%6D~vo(FN?>GE&{LlXF`ab{Jwf;BX|G(&~ zy;bYl|Ff?B|Nl+iJb3E9xkt9D9X9*Gw#(FdX3V-}r)+Oe@jE>4u1xQ$?9HCRw_I!U zS0AfiEO+l#m#ls_pNY(zZJKOnCM-Kr($oJ|>qXFOnO&ZYEbBs#G;*I)(JX)It)e)a zvAMW#fd|LgC`NACuj~vg3(ES-pZ_mEG=ovO>~ynW)uG<zzl;nF(=IUn5Da**$Y17? z=ZYi#41s?f6B8B~YzXMMkl;}eAn-upfq=k?00D)9AAtq}2O2tgZUkNkdgLqnvz{UH z;}y%?8}qN`T)q`LZ)u)zXSnI32_G(;3l@11+!|niqGy8fYYSmH@2;9h_q1c~#FfAK zUCzGHD5rBygn^dhHPOlXjH%wvq8p#|Xtlmpky>S&7;UJjbx=!-;o2o{rmrVX`&TD% zo#rmQb^nHkw{i8!uuoHhLN&jnbcIR0`ryLntJcc%OOx~NV!IoTS2W7}twTO?oZ8f8 zC-CBg$sXobHy>SIJC(DqzO0>jgx#mK_uwR3xkoc+`w4z5dVI2eztxQLhGgHk!%wfi zVZFukAwj|<IPxd&^*{g8Oe}QlF3$LI-}j(Ykkq5wNeM3wOnx%!$@<snA8x2`$x{y# zR9$@Py~5+u)?G}cWjj_Ze6n`Vao#+x%ms=z5mBe@KmDG4xsN-ax9Y+h)0L<AS!XEO z=Zil1Gf!Ug!|7ukzuM%d&y$`WbdPgiPrWDi`HR_7x@R7~`*Uc{>{T<KuSzb=zWA_d z?)|_}uDw?|C-?ug+3^2}<6587vpMHJ%uaeLes-?(T0sL&;p-C<S6Q2X3OJ-+eznr< zw3w)c!EBMO!7VFq{ix5GrFi!F?5aDHI#2E4bv`sn?ZN%_(sLf4cdVJw`e0k+N%hzx zFW<!6ymqtB;(ci1ZOiqhC4b9u*PeKCw<@;h+?}FbyMFF8EsfLpdFAaE{TD5F|J}K{ zYtzq?yQPVnOGDSbuXuCk&a_j1zZO~=l{&wD_35^(VqaxL!SOzCJFgwjj}~``OCR|r zA$EN74r{k5pA}yE37)obthsnJ+e2LX+$V``N1XRqyUKhLcy~^)+s?V><I(IB;?l>y z$@Cow-eK*o@=WnotcqMMU-|Uz!x3uxraUwZ>Etf2<}`nxc_&siu9ma>dUtTVx?Rsh zLz92*d)mbRD4TVL#~;4?_R*E?o%-n&Jl03scJFC>|54!WJAwW^E!Dq{tavXdf8c{8 z+tFz|_q0#^Aox~JVC|mP-N%n4$O+1y_#mlvbk@#&Z4-a|6Fd<Xf5<ku$l$%;JI_i9 z^(XCC``TVsKFxhEsJG8$clwhAdBJ(EKcenl;a@W~cgpUi>(^>WYm|A-i=1vYG1tV_ zNPmrX^vT^z=S8-g{Xg{oqw?*nvgo_p-L_5n|I@hLY-+CP?sfeCFNOUVw%gM9PkdWt zV(!oIxAXV!xcc_*^);vXbFVueKPhzJ0*hLHg8uLK{LgrQTwWFX<^1n=yAMpcEA>tP ztL=v8akn4#Z@9bsk5z^D6+gdSxgVO&op`%edbZZ=e$5A}`8s!7?dE)0Fw;o6*VJOg zL6?rq&806_`9vOCSN=8d+BET?>o=M{78M*`5Oe)iTVHHnlv#pvjr#H935pT1hgZ&u zU`t-vCaAhD@wa@$lHL2;EdHF{SsM`VT9Uas{nwWrJ=rD)RTjJL-fU%E;L`o@^_PP; zryrlZR^ob+qekxGqp?=Ly{^Tdb~H^i+;p)0dRf|Q_S<(hZ<m}{rZ?;IPOk|&&1IzS zTv~ah#qDII!zG75>jZl?ZsLs*IUCv6Y;Q34;F&#pPHf_dJH0nqDEzTazk=m0joOb7 zH%>1WJu^e!TTo)6XJCf&ogGh<lJ5v4CUR6hIN|EE?cE=R+6UfSCx!|Kg|mcBQrNCI zo0a8QzsTOBc3t-Z-(0e^JXu_Gz9=krq4APW_e@ocrZ}wi+@kvG%Z<2c=We)9S~8`9 zXI;gn*~|<UMh{*u_;l&Fm3-Q^=`0G*(mqahlwzJZ<<f>$7X8>y)+e9t`toY+T95Ff zrvmk^N|i6nv-;*$<2-Nq-%p%IhnV`<FCJtTi~B6=*Z5?T+z;iu*{>H)<k)KSJz25* z6jye<dT{6AiK@%k?@X$ETNGGVy6n#KO-)-*@YmiJa+=`b(m!K!neG12h(imft>;Z# zEgZwexb}&V{UVQJb|qE^RKNK=V4J=o`@662$zYq1II}Ogi7P%&3~OjBaK6C*`|bq$ zle&L3a}W6bWA5@Y(=MtI(JRe7wUp(^kLO~SMbFP=S@<e*_pOf{yd9@*uwGRwUSs2N z-MRBuu2*;amuK-a+m9_g?ObqrXWv?1-Or4%ecWf?N5#E7UC41u(C67t4eJRVGt-6S z*W}JQ)cwxG|K1XXMVo(z%h_`2sb6)i`}6tOL&bPk-v?ZCUhxLsy|lhJUWIF>+S)~H zDh_;aIWNAW`K$Zib@hMGZxQ&ZbMi%?;hvnC%yQAiIqhpR1DjPN+EUi9dY&AAUO8EO zLb2GBv^##6qh3w%4a$69l;0m7%yvM$BfRpOW%hcDQn!;)RmH&qih@^W3;2D$Sr$0g zD=3addeiNz8xCc!-ei)>nra)UdORoZneGGr-?C}09^dk_+qZnNyYy4c{=u1|rBx<B z?gg?-E2k?tX}KMk`mA2tVcxCER<ZKkax-;;ru*FUvwG&N`=C01@ubqpdFLaF4k>() z4eO~nxB1qr>xHVSGInK$9|j)ZWboE!`{Mw%3kUa$KfWW_adF<MXXY2WJq*9du~ziD z=J!v%7u)Bj*ij|wIKf(d=CkG<9d`F83$6crc*jbCvz-%c7~jX}dw#R12o7obAmwxR z)!o&{b?c5a-%0;!Q1r1Q^Ml9UhjXXMWgP#YEhiDSzsEH+?G=OHoBJET2?q##-Nnfe zUG{i}^t$l<@#59b|Niml3F;KzCotXGGo*4)d1H3Ulft=gHXP{>{`>vd;+Jhs4d?oT zKh3tSm{YHNa8K7BPSfajR;$aW?-W?mVpq!&!79daDdFj7_lNAy>^@kBZ{PRnoK;c! zz0H4(a`L77r!3N{R@$JxGqvcvk7rKc<Jg_`n|5ktr>a<Imx`V_S>eB0yXwt*&z*mk zhzkZCt<~9m>D=81L0^PCx{goolP+Yc*)u==Q&9V#rB^nJHb~cKTct5SJ9B2coI*&7 zuKZQAJL{^l%HzLBv0r+ybpJ#DH8&6M%Y0MwH_C0Q+l_?>d`ur&8GKu}`PaF<PQI}h zuGw`Zv))YpcWt-l!qCG;8v`GG=#o`ka_VDF!o2hA+yiZA#GEi${Fv{@b@pkm%D3Bn z%X-<isUYR6N1Q<U7k%|VT8UFi{zTQk`gXIsqJ!6PNy7R^t1j0t=%owUI_WoM22|=t zFN;00RMqn7q8+mPe9y6{zqPBleR2AHuet+1PDO>S#ow1iwtfHTz5IR1i9P#bpKun- ztbHM<{w>|Q=WSbprbxwf#oR~E^=sU-CvI(@IK@C@<GIwZS4%I3&7V6@dL!#2=NUVv zO|hBDYq`ln^i-m84c|neJ^#4!dXhKxZ0zpinJ*uuwb^}*3eU>s;85GFm<1;fzIHqE z>A89_|NV{Dwwo)W7)`n7`ES@evGKwt^|pf(_r|$h4vU@Elx?cQG5vGL_sqt<3D>{t zR>my47N*PjaL30PT=}OoybpW7<ks9Q!gOur!;cFL)62xxPWO4ZPR0J*Ud1QB)~2MD zrq&9qyt&HxfVp`0>;%=Nf$c1F&5T~Wx@{Eg^i<h+vWabEjO<lSh6MH!O^?F)X&gdt z>K^R$-Kp|cPO(}pMMJIqXvW+Nl2tr%^VbN<>6DsQFZj0a>x*Ms8eV>NbrC+-*QK@G zz=iMFYPB^joj=c2-D&^!IO@O){g<;e|7IP?`>-L0DKY8A^v70S>o!j@<Ny9ibAoT* z!N~Uyu9$0_bNEqZA+$7QRlH+d?G_ETQ%625xRbqy(<FMX>u2MtkHxubL)_z&LcQx3 zcRWzBiu%85@xFYf^R15p70+s9#69wVHOVtzYSg8Q8SVR5+rRPKbT9h|&z}^Y-Tyzi zaP?(RxMFksa<+f0rjz68o=+Usj}^Cl?p~DLc8SyayU<O3lOtQ1@4tGg{CiDi^O7?j zJ>Q}o_Q-LYm#d|uqzUz}@GRPxpx~U!aZN7p+)>8DJLUU|Z!>Rw8M69}R9>^9gv~{l zFQTdiGIut{6|Bgc;_&>${5@CVp6%rQ_IYVik80s2`;AJ|b22Yqx0T#*;1;*C^YSwn z@@rMJb!8IfO*XpmyV&uS%MryHlc)ZCm%8k%^8I`L{XQ;RlJ+>fdw$ty{n4cT(|BX2 z=^tOW`Ww^aA30_5YxdlFved6vT0ZJk?WN9$pYy|%AG{ZM_E74Zec2=Cn%m3~oMIDJ z|1mA(ZQHQ^`G>vVHx+sYe|%7^9WuZDao|R;>Q8%j{bF1!QKRQ6o!Z=cZtAKJ_ZIyS zi;3H|^U>5(pFWtrTq&(1sy^><g=(YHpJ%HyFE7(KTbv~*yuMVnX6y8cc`YJqi&}f$ zZis)c_D!#JnR`4#{OljwUhaMLKKRA)UCS~B&V^0e%plLXqVn$^z3h_d8OJAt$gTaQ zR6p}`{8s*l!U;~sQ!NiL);QQXRR3h-DZHt*QDRACLPO((z6DL9$Coyn79G=*=K0W4 zwxREK_K7J^9z-tEH)a<3+&V`qdC8K^T>oFay|Pc_QR~D9nl7tM>gVQhK4V&4*{xIA za&n&iy&r6<_w%n@XFqaT>6hEDZqw>@rn{ab=FVN}o#wn}^So0Yf+qrB+)L>7obI4= z#Wr&4$8WnndhXS^&6~I=Yt`mbx%%1_wMt9xRXPZ4Gnc!@^Kw;N?a3W;6F&vdeKuY1 z@`>WNBH~B4O?~+9`P(T41|c&QY<$<>%NLmGn)f8@a&P)&ouI9H{Xblk-kV;%biHmG z`|8bnQ>KXDH}F35ecS3@vA8;6sZ@@}H)%C1p5^@hAj}>ntGP<O&?~6w%!>BLgTnF= zv+hLfTYLRFC+FjvrG`&!e;3M~zM#I^D`)xV1J`Qjw1jp1ekI{+b^q3Z|30Cg{FnWD zzPMpY>la7MZ&LdA?B+1-51677xueSKm2l{%AA4IT?vvj+^H@}qfZzI}zRSLi5&u8; z>TYR2bwOhPIliA654bY7KVlVYo4Ze1M<cvoyU|P?%eFVi4t>7Z?xMF!FiAUU2HW|m zQ7=2B9+_ll{WsXD`g=+{Q`q91t~YGQLlQoJ`1tp!a`mzWbz<|%BqWY?9`o~vId8Ym z^<(_bDVas5gLdfISor?bxw*PxU9)=Q{v&@@S(<AVowcg)X0BFQ{HRH_DBRrqtLVAl zqu-yXYgJ|B>}ZZz+OnXh-}CdalH;a9Z&lcqewEcue)K+P#?1BWl`O>Obw7UN@_3nf z!FskV_9u0RoOWv-Qr68m`7gXv$XxcDi&^49FR@9-LoDs%8SPn?DO^$hFn^<k&2FB` zHIw`er)pW2?VcVjaQ#AA%&vD=kMq0C4|AGQaFO4(#qh^_ZV4q3%VcY5zVm0UJqgKv zV;b^cN&3n6wHvu=Vkg);y}YgQ!*K7jzMIXFT|P~j`=hSyx|e0QsVe=0{-p0G{@xIC z__9fD$s49w*6u~K_Qm@4?`<zxZsF)_EbO=Xe0-_b?oBt-9-W_3w7ONKfLHH3V}fF( zjqwq+S7%;sxpeu97s~_th9kQ7OogiJRkl6zODj))=>GD);?z&(g@G5O_Ux!Rmv0}^ z)9?BrR{8L!$5s|19^VeQO;Kv!lcXcH`1rYl72UGl-w%9bevy6uOQvMS?5oFX7!yV1 zr|oNFad8in6l|Naqd&;pIQ>q&&(qxnbxAF!wD!imyYGJURA7&b#eTclAL44`-k+Zp z#uOY{zF_VDxLq~<m!|AG%~)1ftXr3u=oOolzeDv~(vlEqZ38*YQ=2UWJ72c#d~>+U zO?PtIE{@P`4sR~#wOkZ)+E@BT@Ivs*fWtp;mvYSIVmji)a8-zL<L~Daf<!cRI2ccy zc%iajw{rMXwZ?De4QDipJ<>eR)?HrI8pzPd&!^JQ+T8W;@5Xx^SyMPKA2C^@=`6GP zP6Jyv?*pq(?eG7Y=*C;dy><D<c0>8-p*Bk`{Z|Fb2@iuz=569_TQP;*swVLD&DeO! z`j0Z#zx22UFBIx~F}HG#QrP5T3pszyAnDT**<7W4It8E4-#75^mEoQHfk8w0l=xTW zC+73bKgqIQ6Fu0gxUg$p=Q*J!(Phi*xfX9dW4LzRF1xe-6F0xjvoC+1FIhRMTu<(3 zys_uJm7T{`&b}#lq@Ta)$fx4KwK88%7kX9(8wDr++_)yJ`^V8;i>g*gzuodDDtnji z`L8$K?WNDo+8oK$Rmr~W?pucZ;@+75hfnplUZ1e#zj8#Z+xksPGIo4ykEd8)ezs?0 zVSvsv1?`5X3#ZS@^NtU-&pLic@L%D%GYchnEDv&Yde7Amu2ZvHKchTur-yd__L)vc zWKYhy`Kaxto?DE_x+2Y)lecGUxGXIBnd-}}ebsz=(B@jbS|P3({S(w|@=q+Cp37U# zC-a(d_W|Cl)`y!?t7nyLbyQyY-R}8x(|y@@#a>T1Xx?>l+4ioz*UuX1T2Cwa82hn? zasINuT(iYQVy8cPTy|PEc&?GW<K@@+e6=4h#xC5X<al6q(4J?xTb`|deCqD?m#5bj zcr3ggYsKR(ZMe4QmY})V@u$+wssD<O&G*RgdfR2i!|*$enVJ3Iq;prbFHTjwmTeK$ zJ$3rUYt{+hvVO;GjQ#n#`1mB_tKs>wFD}W|7wGI<qry~sJ1yPtd#<64ke-U_>M4_3 zH7+k*wN%8NxBlkTMvfmPZ@3!zwpoa3^YKV0Kc9Kz!Y89ilaJ;x1Za7iX}e9H*>&Su zc=2x&_SRFYue^B0kRmO9V4ujOr~ToNQj7k`{HVH;wV;e$xaWa$f$rJt4%3yY+AELt z9}P&#zkL7h6NN3iiqu>mRkr5w#awmvR^Q$k5);3-c9H+yBs)tB7J>IQX$Mujt=(%` zZ`YgrO^cD`W$u;x_GV6Yj79LRpNAB#CyRvpG$*Yp=@(kQ=I5#CCg#qIl4}l02E079 zIc7CmS81S|M5VE?qCugHl7{F$|ARlm9y0lf&XKrp5yGOGU%EcZQR;2U^O!ru&L{j{ zn4he%nV&Id(S=L0#|s>DrfR<XR>JXV$yYypUFH>Qs>^z2AM8B3EU+j;LD4rsDN0=B zh^GCGe}a2TF9}^`_t?f*>tDjPLS|XO&eI8BZ~K@}E1t8Xa`82j($GUU%k!$vnCQ(2 zX<B=6vEp3;udEWSJ%`u&>{67-WO}$!@#2Zn?Y^%n4hh{$ifzv`P<VV$*G{AC!@bS( zmp+U<GL0vH?fkeqWg<71cQ^Ew=*)Sm_jkgPklbm$hlFP8=Ek#Vir>&_5;vFW@tSS3 zp>I#r$|d)IAJNFYdHR|4*0wTv`v-cf&%8Y593WS?*pz*Ldwg*GQl^KUbyAfz`4#)- z-!^w}7C2PYQvUpK@jA0v?<za^8g)0H{F%JGfBxr_TvFSwhhAO9WOi=PB!lp0cAh5> zdX)y|J)XR*=gj{cpR9m-nYt+}xLGu8_0D`<#{7N%zc@pKl=X3p3ykiz9s4rfFoC@z zq~X2@`xAAoe*Z8XU%x$@T!NJH*fN+iSU#8~9Y5lpbF-t>^T@WWM@|(J)6*pvZ_K$A zY4%i#*P+Ou_RXTXpL)cM7iZjAJU58H_=5eU6pI5bMouQH#m=3(vFzd|&y`N0Gq#(F z{dpObd+vBk&GBy27>m#!(<h{D7WKZX;V1HNN~VhD!xjGi{*L_Yi+>+4xHoG_K*r*T z?wa@m&sT*OD_#hRxW3kT=C-TL8mFF_p;B(*soFGG^P#W$S#6JpYd-w>zu_z2fkiB* zw>^LyJ75Jleqes??QOZ$=XZYJ+xb)Qb9hNexNG^^b3GX$si|q3@3?8tc$)G#B42X5 z@pZS=|I|79f690Kd$@nvn;mN(8^+#Nw)!Z2yp2nGhk*3ia=vByv)}km|MfU)u9&;* z@gx;?&0h}n3mODY*t&47>GQnUSD<jgW25x({}D(2*SGxN*ge@pO=x$a)v~|yUD;W8 zCrf?Z|L*_G)*t+rgM)(~{{Qgx_wJinm(w=S^iay&eN$?4>7Rb7sSffl?(=zYx0Kvr zyOlTBfJ1%qk1HEbsdufMAokk!(w3WPo5hkgM{eG9Q|(FC?X=0hJ}UDnt5uhVh8tas znDnCF_fxM-z~)Z(wSsfjX0KiGe@1E6+5VX4_h<PT&#AdJEqvRW>#~Iw!Y^+)T{2cu zs0)uaFYaEe=oHzq>BzdUuK&jv7Jl+jkYHeAR!ls3!?;4yd-<yc&bMdjbnEh-z9Ag= z`)_a2QOWWp=hnL?{8|1;V|VJR7xu3IU$S#GEIYU_HNZgQ2ygPDnZNRx-L%si4$kc4 zled1e_2=%h0{w^mn3-jk^%oxU`Srp1U)JXRr#F0kwfXm};_luuR_T(Pk<~XdZ`-DK zf4sQkp~c0dPd9fkzp}XTyutWYL-W3?SsTnmb&I~FZqHPHxkGtdWdDSzjGR7SKBR5; zyzXT6?!bwjo*%*Kmyc=htb5Qjb811gPTwB8W0qa<I^uhD`8NI3_Sm;;;v7?+OmQKl z1shH>T>hX@Fl+Vhn_M!^F@8q#xfA#n^t|K|xZz>U>audtp|(f-ES;<e9i105IUjUv zbZTg9Zg4oz<m`O#z(FU6!wwBi2M;t)<*<tJWxx0Dsuk0J;~bk$Y4;`zy;#$q#}R$6 z^-sxn-)Vo6Lnhltxc-@O(L8rw-DOYrLk~7HCmNq}KlEWEcdGFl_d^?mzs=B7SFo01 zblM@eRY|q((Amb+6*9&TmOeaY^zGoZ_~(mECx^}JkXj-<DS?IizUREF206)nQ_6EM zOxNOIO`j0_d3UYui>L#ojl92C$?W95eXeT~OKkHSlZzj&D>NLd(e?Tx)>NgG9F{Wi zThlQnot{~FQ#m+m61-B(uc~ZYE#h-i<=3raVm`8Z_pg0>p`E(I|JSFxrB`Y!3VF}` zNnzvP%(wgY^1D~n<}it!z1FbM;?FAGxSKqy@6337$jQ7!-%kB|n?yw6@{cDaV;D^w zCrUoqeDL!-gEwiX-P;xmd{8i5-dH9WUVl;fw0ijKtu;1JxgWmRrZJ=TeoC3wE1Uhn zyR`OgNk0>`(8mARjN=a<t(Bg=>XLw1$iaCFY<)asODh`|HtFs4J#e|*_Cm(zhupjG z<Xpe4KEL6W_LTG_W=U4Jsd>khUTg6_>6w`?bw$u+$)mnhi={?!{W<k_r=NVRf3S6X zZ}AS%Ct~ToCp+Bct~+fha(&L3WZAooTxVFW#s;mMKmAVYlso?W_zPM2&dv|B-)FR1 z>eeYK-F*S8)7&odO?k7Hr%U?no%{d%)t0gzoXWVYlHd4?akbscWQW*ST3-%*soC(z zq>7#0ENJIFf%ED&e>mk;ZgTW7J=_;=m1_Cm`|33noq8MVL|>U%%6&|Wp7!OarKk?~ zcBh#vvXdOuY?b@Wy1d^X-JJ5NXk-7^2WRRUq;6^6NmY?)c{jIX;n%dj{4L#;Wi>T@ zhFbQIb{rBH2zn}zvzv93f6$RD8I|e^G4-xn^&;Dot|omc{CzEUhU~opow~4f%(@G% z=j`VC;%z-Gy=I}>$|gCh{<TesA8dqn6~DT2M=8B9@6@!Ky?GBm80#;zHd`3;`PPk= zz4tt?9ens{eKoU~p5fIlF-Nn|%1gF6<&TP$*(Ny(F-HhJYR=jB<$k3n+tb6JHpCoS zT>N}$1IOgh*=b9~O5b;so(<(se(gDJ*N%>NVHaZlu*_&(d0^ouajAoR*?BelYvfG0 z%nm$l-F$CN+(bY3%W`}(f`xiN{mDMI{&JHRo7)Wow?~bFMxJh09@*GEtYLa*^T5a9 z6YDzPWdgf7&G!9u=<nFc|9{q!KPA&G#rCJS_<Y)XFL3&$=^GVz)&8b4O3&RQux*;W zjdSDsk7`}hFB~%6zJ6k#yFl~L`RD)M3!bpAqEK+=I`3x_la}6?Gw<jR8O6YJugz_n zBV4Aw{^hz}K-uVoc12yTLCPh?Z<G6+!`qrlqCCuwRamTCJGbQR)Mp{zJPN)EY+u~E z%d{%@U)HvK@rzmi^IiwHChHzfI<w}%`=o?#jdB?Un;Ar7C9BWc$hj;!+x^Y`!9si6 zzk3<@l5QG=-Tlbvp0q_}?e)VvK}}1A_G$z&EDt(RaPG&`*n=zfx$StvSI_XR*huVq z;%3cyeXV2PJ?eFhWEm!|dH!Z**7nTl1{eKrWNDPIK76{tXZlq!o{j0B{5wx?c%1cK zpqX=hr$V3hJiAi`AH&%vu>C0cdwhBU?-r}XTfQ$_UfMCHl%__x*uH*m#P2yfcG{M> zmQ9wc=FII~`1qCHx~j;(tVy~yk!)5`b2KFUUf6$MtDu-37}lXWKQ;KSq4nW?$C_4) zL{9PCq?{5dymC|H*<BZoa5`(vPj_saIAxA^X8V`ct@^9pdY?TnSYp_kv|_EIw#kX6 zt&VMz9)$mR@aci?G^^(6tj{_l)E=#VCb_ale3@foz^4j@SG)bsd@Ak~EwoH^__5S8 z$;>1)bn!{`KDOK?*`}Yi|4!U?{f;GH;ZdW-b5z2EKUg<^`0($~G$F?`%8zuFXUOQz zUvpQtXv35DVvm*1|2!onzz}ilK$pg!!0WERb29brznztStl(<Msf$7re<bq$X$@dJ z=J4^mJ@W=Zw^KqFIJ+iaOG{YMXg%Bb)~u-C^VU?Br5@OwyOYt(<^9B^)21u8sqpXp zw^;e`j+xIHUT<Naz`eil=Qj_{vb)El73Xf<a<niaSD|g$%>6pv8TpGBE#=>^AjHDp z$SVa0WnrJRh0)gk4!)h$$E)I@e)!C`HC7uOyMh_H_<BXE=km*iJ!8>r317<-RbS|R z%xF^DhAXkRMOe)}ru%61tYTH;OM5q6#;Iu1LA%@KdpedqUlc!M^>V4M*7P1m1|25d zO$wg7l4slrxmG_TPf+tfV#iLQM~tkK@60;0IsLRXtIy)6d=B?#?QfqYzL2lfc$TS~ zVAP?OwUL+ih>P*h=X8B|!|!asrpKZM(_DVcV?8JN@oW#ra<vCpuB=ZIH*w8a@c)jg zPO^J}(mf83P$R)oPd=ZyaeGrHcE0Gp@{a#hfm7+SsG_r0HQGXQPEuz@|1I~Kn!T~( zoLI%X^A~RZ$a~*n^lwFY&4FD$b676M?7z!o9jnG%<<ZW*yxw1E(t(5VpKhG~^4|Z- z_vx7m@yzdb3Vl4eLYd=H!<oht#RmjtPiRnl;%9Ezx~Zn8?#sCqody$kYIV#q)4Q1z zKgFeB`LjuuzmNVp&~&M8#RZ)LFR^VBOQ*M9FwObAmXT-w*}j*a!Ebn<uV~3UWRM|s zcGk~pC-?)+*S}lRdV9w9y310W_NV_Q{@rH~!N>L8R%S0tcS=?Fze-M?jTgNi{ygTx z=oIx))QGnrqCV(ZFxTb7Di&ddRt!~NQw$dtKi8|`v8xg**UJ7Llx4JTZpGme%_&=! zd{OSqowRnwtR%e+CVl?at+j?0Ig;PkS}&Tm(Qjd7>w2DvC11OO{u=6^|9yVe?T4ze zv#)x4bx54g*}Z4QB<YfzE639lYj(V7c9_2;_qWE9{Pf4K8zwhyxS48Ja${x}>$18| zPx%!I4l?1vERXgJeXN)yDU=X)e43ei^tofZpD-Ts*z=D`a#ldw(%M#avHtuE4@3^~ z+;7<Eb;|VI@<lVgI0QZyQu<kF&SEn4{_+R6ckkc|;??zKZSQ2?H(QIr{%h{P+Mi8s z$C!TI6geoGY+%K%nKijYZYO)*<vfNHOV)G-Zq?FH<`Sr{vyVu4^1k=r_9O3@Sh%-L zQ9qPYcIT>^T$+3QFK3gFMJw-2;Axy-Rbn1@=f6~W;>pyYM)AAzU2o2IY(Bkp(S^v{ zr!#9-HT_I!TNcU`!W(9{W8L)kGk1TootSiZ<r4|ZCAQy<A1^uJuzQz{)#2JrDtk8- z&ymXNV|lsW#DPiqevrlg+!H5%xv<Av@NT+p$H?#Y@zZ^-SgW$H_Al-ynC~v_vNW;m z`|@O`<>^~<*tfRwAD(~D?ypYR!>zV!cU}Br!pPV8;G50M2RU6I;?4_L=PugNY&+}V z^s+-b1_`3+3U!xV7@i2s`k}PH)LH1s4S!~{oKn#!k1xMvKc%Pd-{5y^=f|kOmQ2&T zPl+Ae#;@0#=E^s*?Eey{&xx(e4{7DBdv<@hYzuFv{KOBeVW#%)*G-mM_i@MG2LUGv z1FufZjsKx)qA#?lWa@!MB9<oVlP9n^gqy2{u3q-<?$)n&?7a`h*?e4;aBx@C(%U*W zk5_VD{jx>qX5o*``}SEf=1sq!bhyN5$>F?c)f%^Lo3+!M+UBb5*||>be(AG}IlH#c zo@?y-ekFJ4baU(1zBkXEzke-I@u%Hhxu$O}%WV&2_CBz6=uqD^FI9#k?M!`<$(w`B zQ>XiGXF7jp_qi+otd|xm?fvfXV!{;0i8k|???=@$if1j0%MN8f8a!9;jLA8L1q_8Z zdo25I?+eZ~E;Ksfcf2n1``NG$x91(5lu-Z9gokhOzTc&~)B1K9oOF*YGQPj?Q(#W( z{^=asj@;mVmU6xDs=#{X&#u9}Ge2$$>@v8u;Lx)t)Ad}QudOpb+o52>7igD|>9?rW zIP}l-pG>zhcW%Gd-Q6yzGt)_Bxuqpr@~S;)k(OQow^C1Py$U(<SGTfiuYvZNqA72! z(zWG3y%0QcyVm!G_;K5YD+W_T4lX&NS;)htS!k1T!l$$7^lW}TrR6gYlmxQ1oz@IZ zSmo1v`H+;ls_n6e&h_h6_`KOS&2Fv?`kx>xcFr}kG%~T#d0Uc<-=-tezI$ZdF77#& zI%z|0r`a2?m2YP_T;HA~Sz5<p=+yN>Pt-~H=JClZRutq&GW*K99KRB~J^7>Sysy`* z?U~<f%1-v#@G8-)>(1qW=lhPP&-!m@#b>);X~XoOH_PYu=U#MWi3*=}`|S2#$vIhZ zX=~Ve*G4T1TXIP>&or)e+Ok=_B1Zc4SMTTizw_rQ2YWHQ*P`i(j2-*#EuF>BE_=9c zf@jRsh%c3Gd2+KJNgnC3a;p<NrFZL~<m!D<2}uQ<Ghddj{r4{a|G$tE`A_&SUJ1Xi z{bh&nnf)7I25TRXo?X<cv+ANVPnS=<cY=&gu|Hc>O2KvBc+0};>p62j?|%^Sk?r{T z*|TlydyA!?hbBgLYn>~8@-(b#T_yitlgHEF*s`;V%0zWCui6+Z{oDPF%A+~GEixr% zCY@kkq|jk=o>_JO7rvmj<2`OGV?udr9?Y3_RzXpLi-#v^%4f#_i)C5o%OAc@zPt3o za~r{Z?+=*FRqSEl=d*dP^YNaF=(C=U*6wzFmX(WFPcNveec>LTx@~=5D%17W)=a~M z-0jc2#JD;yB&*C~Ef!G?ebl_3$%XxO)5O;6Tu;2@o3=KEe5w%sc~I6f<JRluhE?n@ zB-S1-Z;;S^r7bjn>Jr!Rt9g^nlf06ow70*>o1<;NPg{2HHQg1rf|Ta_M_um@&$_y1 z-TtRjv`sQKW5RbQ>)!ph>g}}<r}8ugy#ur2nR^blAL=m?u+C=8P5FGtH1_%{o{g=7 zyrrgV?$&m#UAOpUZg%^g>))jf6EE)#PF`_VA(Y$tW^Z4I=e<8Sb!wc>U;Om#+$?p| zw_5WrmaWftAuqArtm%B#k*Se~Kcv4F`H*>Q&%VT$ZW8@cYu7VO>|+-9s(e;vmul&E z^x2o#cM0#fXI1X9h&r&i^U`bmYdyWMUbEJp72!xLJL4*6(>Wzk<w5Ai)9GdJf6QjQ zF3K3Ut3J*3!l%db&z>%0)l-<+Ty}P?(yo}CjFydo%eQU%x98r?p73t=Wi>B1zqobN zR(E%n)yi8FlV3$l+t*t;&-s<MUg7oj&UBedtIB@GPWO3HVzo_`=Yv&+%)eX-?>in; zt@VG=#y0nRkz#e-ok!edWuO0NIMJBDx4`P}#<WKM{lQ{?rX4)6f2YXvzmhKhqU*P6 z|DFBug*to4|M$XSujD;lLgpM%Og=GvrcGeUeZhThPBRVc3KqQS$b5NH+)Ah1WkQJ^ zZ^x$NIc%0Ho3t0~Jlea6vz~iB-(Q6-rJNQ=`D^BHm>cXjQ@BU`(wxiZC&i!fse83% zg~n8+dc$yr6O)RaAI?-@nIm>TbB~+Z7TLG|ltNF6x~yHAvdELQ-ATc8yWRoG1HqMX znopIs#FguR<(6CRa^c{v?bELLMXW7#&kDPj_PllT{&f2w-wOHfoj(*+*D}36aJ)hP zyRg09tY-n<yS}+hIFw>M@AN+j&1)b2Z90GYtKe~q^~(b;?sw;WBjT*3YISQu@9Z;= zcdan(ap1bBt0aE!-1&>9dpPfvaewkPTWYhbcH?wjMis7qrsmT;70iEgcPZ;8zi3|< z$n!Don%QL8PS@XNtv2Pl(hi6GM5JBsbY1cL#4ZqM9T?L$!8fMP@}1tBDz)!-KCRtn zf4}zD6_22wPp!W@WSn+kDN@lWsLN*%pQCVL&&;H?A|C>Bj;)-?J?r70RRt3BPs(pD zU*jO<&Ax<buKuMRTc&yx{QY`ni5*kwrfHK@N^F#rmhS#~fG72;#)B>TEOocmt@y%r zY1@st4F#^x4!GMiT}=&m-Y=WDNW#oBPVm<L@bI+^&d-YHOl93{eBAPmL|!?=qvkC_ zx6|xi#TZxEGhgg+{jt0AjC_|vTa2~CtxdDrJrjAwYkgRMa?Cj3!WNYiZOo*xinsR2 zRo$!BXBj;gy=%08XTASLE|0WuI={`1>z{U<6qXHt`!DsPK~}A4s;A6R3;${-4~e6l zffwgpa@SuH<}>NVeRpN<D?g-?N}Y<U!&lwUn0IO~%MC@7PCLhK>o~sem>hK9<x)WD z%0=F+2Q^r~I<c`?xVjhY^yJGCFlkz{!7C^C&9U|K-bNoubKKRdcjnb!)~B}WLidHA zJ?-JWxwK`;vmJ3FAJSE)eZRlOG-GoF-_zac73>UIO^dQ7m~0GNv6@p}^$(}@j`q6h z2|b^D)Sa>fK4cYboBbvF@#me<7gpSg4$wIANp-_E-|OuAGNQN|+=Z`wWV$r-%!^O! zE}U4Qzxt}PjoJg-SD%~q7F_k8{A|Pe;3+NDt~XSVEv-p^taqqU%iQ+#t#1wggpY9k zpSMK)|JRbajb8lm53Z@O$GC(Y+swAu^s0osG5h316WNUCId@|+?tOfInKA2_;87Jn zjkHJldkqxN#T;1myKY8;K_=VpT(6rSg|owDt|gdk+ZdSkVCBvLH3o?r{Z(0>Z;#1s zi&U&uZb-Z7&TaqD<51}#87|kZR3=Hm8Ns`~?_U<OdOcx|{T7Sg+tkzBnzU5e%WXG^ zWww+SSLJ^>RT5=lch_C{iP*Fgdp523?DjnIqSM{WiUM;VMl&A|WqrHvxljaOjHB3( z7fl!B?IwQnc$neN@Z0GJvwP!HmXETtrsQ~;_ohsl^?YA~?fjtRiPwIYzp8YLm~7>e z^G(fA>80wNmJ?YTtz5F{PS(wv|3Bjjf0(EF>y*MAePJ>4HT8u@T!Xz-I*r7i=l48} zXxV*o^*QHbzaNDZmY!G6G~FDkJWXfwD|gcgXOo5OGNR7LtdG8vY8$n+V$G85irw3n zY`J;s((l(EIiGws*(5P~dNBXlrWY4%`u63714q~_j!P~GKFxV>uTjPZ-^o=9xzEl> zh+J8JB5F>s()YDdy3V%)pMC3{DP5Dir^wYZ!CZcW-^|6+85#b*iGHxrYo46dNfwTh z85X_~xAj{}wg>!h+q<K8pU~p(%n~!3Uev07ZS`9f_NC3xL41Q--N}!FvT^KnkvC^p zPj9^CDC*PpS+|`n>?<o*Pw1bNJ%4xanjZI3=w;)Mtt)#37AamSbE*yDXYJd$dh!Z` zxl=c`zTOmiF5K<>ZktP0+5A-s3ZXt~Av(`l-Ucwm@||3pzw7tipvP7pOsl3|vpSro zf99v<W3j&}JAX)b`|&GH>?oYqv0*}lsl`7PHmAO29}eu*yvC%y?@@<kV*j5f0-ydc za>=TE`8PR5^2@HvTk<xn=Gb%6c-B1M<KA<_5)+nO{qZznV)p^Zs~$^c%rh$gd_nY~ zj@HEovOOF}GMJoRWlg!U^ca&~dW^(|C7ec^(|lX5UT4?m{h4;4!l*NP(tp{nR-a!h zpIU$1L|@v^K~S3Irtey(+7h9l%h}ddN6t->Rx_KMx8&K41w~3J+$&$*GW{Ms#XR_% zTLDLoPT|h7imR`<9k)C)(kLjKKZU`j<;v|8k0Wz(b;OVD;GS!hFne3;eH+z9DzBy% z`%e*I+1$yp;yrf)m+ph&fbIWXTKI#GJSh)lGIC2Wi}rv0;E6@up0Lw)Z@azcZjHVu z`+G(Hif}QZZAW6oTiCBlb{nh+-(OLgBXBl2;H-C{!_L^Le9_9@>9W4M!F=pFE&**- z>dt!~T-gz#cIZjSce{m$!>($baErQ`R%QKliN1Pe(CnnrFAru*ud{!C$C8`d=T{jQ zoAf=do7`#pvXUb>7yB7{eR8*6vWiiw&W6(`m21}XO^I1I(mtzw5zyFvd~VF4_6fUK z4=4%;K4YJKYoAu7#KO&;()LD2HW)3non!y<4kxR@@rx-bS$jNp#x9ipmG}PkLJz@{ zog0qY9eSubQG1Oi|5Q%<xm!1%{8+sDWlC4^+^|A}uw*l(6kU7C!`+5LqCvO(b!Ku` zdu!#I$UU8zDE(B$c!%hhYX>H|IqHT?T)8yyUzYy7n}6cg8X7tDpWWE3;=5tO`~;DH z>FH}8F8LEsr@gxHV#C+UeOCmkLtCx1Wb6Y}g@4H|aeA=z+~@T=3#6mGCtmVAt2aSW z&h_5bqk*-KbDF1ZGxR(fqN@CV&6C@|I!{LP3jaL6_`%kbGb|V#C(T~>&@rX%<_aOB z2Ne>hk8W);6!83%a5*5kdRBq#q5q|}-Hn~1stgG&NBNG&l`#e^Fj#LEWwK%8l#eU! z&)VeDR&;M&a~7-Q1;zs+2V@$;rt!5aZR_gNVzgX2HQk1pJxs%_gSAQc+{8P+p;NYe z*j`#AW8NrzI`@j=v-gVZ-~TJDUU`CH+sh66KGp~9u!+~(_NJlfm;DP1<N34IA2cQ3 z;w#s4xu+7_V<~L^r}W4BAa=pb$M5xKrcM=EdeWn0YwD4!`O!z%L_bzosWTceF^Vzp zvGPc=$+aEfQHY<oF8t@otF!MfTU(Z7(6o5=%$2iNtvGQx|8?2xiAVp3tG|3;QMx-% zHBqI+IC*7|^PKO|TUgiaRWlMUo$XQ-^4L5?`FHqKm7wyMSA4Uy{%HG5zs0*iOVBoR z<Go=2`@3KNp6o7k?1k3d7w=!P2YOXk{rSCrq2hn{kM$?IS{oIw%<O+K!F=+(1zgg5 zrY`LI@m5Rs`~9QK*Z*(p+Va=j>&UnL3zhy)?l-c2T>l{8>y*kRL7)A<omz7D%<8j8 zlw;>Vy|%~D=k^K@$v}5OK{LNsewps3hL>0#T<9)J=-(6cz?DakV`8Py3Ab5-Q;wQD zq%{0fWUS{BQW03l#K<Hfuz-P)iIb&eg#$Aam&gVMMRp-e@gqi;q#UO8GBDn3>-_9- z+-qsh))QSGzm^`Ib->RjZ2B6l=)k+VTV9>LR5I&B{HKlV`iGV-J9AENYEhfWtCyce z&$(^;yK`pH&b<ux&y^VezgYOEpz-Vd1?B%3Ygpn;{C7rQ=~sN)v}>=FVwGHo{~y^? z%NL3)+~N3ULX2ORy4UwTmR~L>>}@^!V6OX2W3TgDER}6fyi-5!QugWH_n$fyyKgLK z7PO8oKX-72$=<b}GXtz`%i9IY%D(q~eyFi`{qD(0Wkvq&jXKZPtzXWpS#^8&<byh| z^!om-(XqY1yjh^c*njy?ofO+Se={qq%>COPO8%|u`<u!9-nD{rZ$3lEZT<rn)oT9# z4y*b9#^0f5Zuo;A3Uv*RIra?4%M;qxxfd`M+BaO<Cf~3)`^UcBvNiR`J7gH7_P*C$ z9;MWyz$mZJlj$V5kCi>FN83EBHkN7I^7IUrWh>v5NiltnD3XsomGov?ld;8z%TcU1 zWsh7kc=p;c{6Y4$eHoRqbMw}(dd1CAUn>=GH<uxQpAUOozu6np`-_(JhWaF2>Cf-H zC&_c)epb$xPVaflokn}&SBmalzTxea)iS?4R|z_BXK8Or@phHHnCo15qkR9JN$=8T zM%QlNFL>^PhsCz){a?gRO?oxgiT%gE`&)~D{Vgw7T*efuJLCEf$F+<XU&=4PvbN#P z$2n)K<WD<&O+9Iwems4(h;Zh74YuN7@t$DSp8E8IWk*DKLZ|N1*4A?6I2Q75t)|iH zPsjTg>lv@U7FPNusNvNa&KF@G$NSxtmR>xyfTyp1n!th`Jf{=)l<ax+>d?M*EzOt3 zubk*MZ=b*B(|(3^_E~!q3O_s+cYSfp{p-&A;YYJCpD&#r$+@EHRY^lD%hk)vUZ1h< z3QJD7H1V_w%TKMA(lz(@$o;#+IX!aPKEe0SUh(%-l><KocyC-KUzW5q{6O3T0h#Qq za8?<iE&sxp9!))RM{BdvpIQ&`B&{QdZuqIqaSJb8QoQ-jXEwJhtDY{iRZly+T;TGA zc^{kRC5M+x7u8_5c^mR~!T#yrSeCD-S*BTXA!S039mo9I!^gArq};<6u6W|ax=(-i z)2OqXZ#<s3Lixklj1Cqa6X{cHy6W>zyB=D(gU7}6<VxFjYj)pxA+gis&N{oWr7cIw zi{EUGab`{Y6?>e!XS=e&GRdNTIsJLxEUqN{Ig!zN<q=00+wqEG`;$jsRk0`C=$6@X zOUj7FR&?&{Ct9mNck^Z3y1lz4;+(@pp_BT?MVsf$6ncB?!+GN;({4U9_~a9LammGi z>|1hk;#cYPiErP)%AIp*M|3-5L(rz#VjBz??rR_ZBEM^P4ks_ui%a)*q}TI&ROr#% zFD<m`&$Vxy;ld&8wLOjLb!X?jEj?u!|NOhsT{$^^ZI-BSkM|!GdFjo(luhut<T9so z?b(u(mR&7&lI&e@=H{cT>w;_rOdkCaT%k2fsBbCP^3Z!MM;~TQ&ElC}Sk7v5AeP~2 z;-Sk&7B)4=|7hi^nZ%o{!?2;tte{DH;kC!BzBdHE{84i&Q6a6FUvR;F$7D`{r?+l8 zvOZyWyl{uI$N{!#`wqVTP$skIS|V$-fF1wF5B=>y2lOvQ$OMYVU%RsQOHrVVsf44+ z`$(zq_@6?P%6p7r*uB1rFN^q<S$a=BsQ;KlN33v;(>=?knzm5ZC^jh_XJ59}k|G=Y z*Luw4+G{R#>}<YN?bIB;-IY(~ZhFIFw!!(%;p<0dD5te=aht&(!037+%l(z<l=5{e ztzYNv(sHnue7gF~!`GAD(k+U&_5Hbd@S0}p9O3Eq&+e==Hk-Sop{cN5D`v`((vq5M ziNUJxPsm%$*}VRk(59qU0oMxlzp`0${%Kc98xzYvsicKWF51ryE16tcF4;9xCVYcP zq1~bCpqyugYd*=Z%Ffu&x;}TCpwz6prz@&Egfp#{cDWk_h;RO|_r?<GIn8e`9=h_R zXU)1Po9eG~GPD*7|Ld`wdz=5ri{%ct_;_V6MapNte`xUac>A&qu{&P&msP)gsPi&S zNzp+vR9ltZRW7sT+U~Ur{1%*UzruGW$!dS;EMuqI8_l0rh%Izn$}h8Z{hZm6kJX|# z-*npMV5?-eIG1Os4U0%G&*j@QQf7NRIiK?U_!p54A*)VI|I4*kZ6AC0Ez|miul^<Y z^L<Epb0^t-hVz3DQ!m73x34klk1{zg@B8W8W~aK%AFRJUt7g+^OgEXboAY?b@rR1l zyzl+_BI??|UpLO*{Ul}Go`*U7$ubvQ%$Bb?rLQc?sP8*<Dr-le>N4TUn^V5eWfo-g zxtYq!a^kT`*{kk(_M*2X^rskgq&Jl2$1~_3oxW_{7TJnl-cGweOk3}L%wd1S7ZJt} z=?n7TpX=l}EMG2GqgZ!zOH{hZshzuzI}1t#H;O;qTQ4!g;rt}|uK@ygKQL}DVz8KG z*dk{T*7?mK(QavlmhMDt=F{>&=J|?PG1<odO<40Yzr2{)r$6V^lu|djsU~SmY1di= zB-?fzoEx%J;@Y2*u$^mV7p3P2Db(8uozSWaRp;S8A?yD%SjKB{^|CEr`XhME4@4PF zIJbXx;nDEjkMpB$m4E2%?XkFIeNEja>#>mpN2uD5`*A$dk#SMW@2{|az254x%!C&q zs)yMfSHHQ>lJCvfVW`5iG$8zV%>Ene+gy)nCM?NY@?}x6f%jLz!*lno^nHDh-F;<< z@iVa-M|pBeChS;Md&w)Mb<gdsd$-tsTHhkoB(vV<X*HX!U_gM^!Zp5a%suxaI1X(~ zsL}bim}^=3w{4;(FW<MmRbIF0Z{y}SsyFJVhF(}#GBs05=*<zM2d@}!Xzlp;E%ZiV zn@oA^vC~$+JB#C!mY8bo{P}LV{VL`sN5m5fj@chH4)N3EYhk?*DP)oL`oa{g4V5$X zg>5)^bSx5P^KO-LDhQdhZ2HYtbMG8AIeFYQO)KJz=Ig(!{5k8nI&SW4mwtD1df%R% zmsuQ=98Bu+qZ+rxZCzj<vY_U)-R>0s*u`<YC98hf)m96yj`59|d_jL3Z_;#z@22*A zC!`Oa*-&#*w&vxQ=f`4g?Q9RdIkd$8g?hFI=S<n6BWY*no|BGCwO!uAuYEjY)#PIz zOZ_F*C%^7F!7K3j{8{JBoXb)B+gFL+-WuQV`*!J~oH-IFBi8kspWCFs&z<vS8Be#- zCVS>Xy0wWWzkjUHf3<7*+}Vq*?y}7YH`#Ewm;Iqv&r(A%i<C2WS)a^In9;NGkljVQ zj)hfw=bOjv3Hs4?Wo4PFb1kpG$?BfJd=7_i>=u~V>Z4e)>5-m_?T7PCjft-&ZcgTB zP<W%am~o}(#uZm=O+uVSgPu0DJoHXbDdPIAv1<OIlpB75&KwRcx;O40&Ny>`?VG>- zv6zXgPj1&LRd`bUPR6;kbu)YWvv1B~zTQ1b>g(cH#WqDu>I-QVm}k{;@NVSi_1+ij z->C23vUb7S_22HCmOYVWyFc@`kb36vdz-f_z4g<{Jifd8{FB~0zb$t-f91^iCjY<f z%ltFi@8r$Soj-9b?_s-rak|HG#b(Cm0=}x7KiaJcj!Y1WYGlaUFZil_8x!|N{Wb2( z6IWa^vlZm-NOqWZ^-#lw204M4V0K?`>G$shiysI6y`XFLjVosHdYzXiy$UxvWS8nW zL>SFGx>x0JQkY70f+PF$?a$I`RK(UkxP6f4_KVn+HJ|cUeibrSe>kJx>c+dx0&$mE z?p?ZIVbxi6bWNgloZ~lX%V*&ZS?Q_X!r?n7-1z)q&l>gH57c%X?*4CTp0`5v_Du6d zhqK-+bIVKLW)j07%yKzFzyE_%UJlol?VX#aTBaO*&F|#N`J!8KgRO%8?1?v)t-7Ne z=;r3HG%Y(fZZWTfNWhuJp}QyExm+GINwn|LqUtv;dSBBypIwbScq;Fa!&1?2i#ILU znswRYd1irC%%$ZHJ&p{_A9u~>*IR8krC;&h0-2)%4c=$nEb`J?>YuTnI(~cC-pAP* zifUWf82|tNy7=kbPKFt)8%iUOoIl*cIW4G|`|0GhOW*rsJdx3Bj^TKhaqOnmkC4-< zlS@y!O|99~bN{((<bS^VPO+uIwQ5(lDZlG#oS`Aoe8S_UWM9LaZF)T+UJbSanfZYy zKQ$ypO^MQ1$~s=~oo|bKo!+_`t3!J$7y4Xa(C3<`P|*6k)m}wj(LA70QO~MVK=oF> z!{zuqd5Z%x(;I{@X`c5wuJcslQuON58J;1XO{Z_2u>8=HxsO?^WB$_f2eQxHoUlPU z!R|!6h2aUIB##B0S9up)`Z3e|M(OI6HMQG&be8AKr1yCFcwG0~;B46OsZnhIoyo`8 z^iP=VdH42JEua6!-a@`l{iU}~&0lPOtaZ@~!88toTTF_(lW)yTGU&M3YFPj5=r4Dl z%DLafT^8TxZ(F&?a^YHqk4tR@Crxp1Y<hEMhRn5;`={Q&>HoJU$(x<^MKELH)V)_1 zt<b%Ezx?u<t64W%I#V1Pq=Z~A{7mC`lq%N6@bS&Ty}7=@eRo#9_T=}hcqEgO(82K7 zLGqm|>y`uY2RL%h>M*Sp-nzRyO6lpZN#7ffzYMrMW1H(<w`~Q_gCv?#R6N#wa9#A_ zk&|o00_GVS+e4Qf{2pcYE7Eg)PP(B)LqT%NGNzde+*<S_I@dn*I#90m>AsS+i%-B? zpQ`VRw$}UD9=n#TwbX#=*%HB!e@hohh%RlKV8d>au-(|F`MZ@_ecBRR!*iPrSrj}b z^qLzv{Wm=5edgmc>Ccas|898sGJ%n4WfzyDa?aAlKVRyc6ko{dt=1EwC459+_VeOH zH9LOKW~t{|Hg(h8OUc@Aaz)CsCDc;GoHLKJO4v;kb>y5~bmM1|Z^iPzt3<z-PHzxg z$!OfR;AIDAL`mC;s&cPGc`7qn<m}Ra6|`tEe)_hpt@UN`N1i?B-)il7!m7;t-TaoI z`^ToKyY-v@#`m(naeJd;%P>#s?1U9Rmnfe5wQ9Hh;_nh4@2+3zGw1#O(+4COBpFsR zxVto7KX&o&RKXOlm3}L&y;SBcbJ?<-_wLi5BAfcJt(8db(LT%BdFCVI7Za^TpPz{& zrC5qAUSgfW#+tE6r>A$(5hulIlQti{c~d1*Q8A!PXXZrCNmGQs3qE0E-Pn3*p8mJl zZ*#wL1-e9CG>T}oxMIEb@H5}(O<iujwNnLij(Dvs``zKb=;hU?LOO>zTW5I5i-;9= zFZO)d@c-nKfS>&T3yuEz3$_2>u_|xNtv|C{|Nn~;sot#dF){Gbah2npz8%8y|Fge7 zQMYONz|ed^;STHP7~NOF34x2c|Cr0RtXid|rKI%e&y_17U+j%K&xS>vJhC|c-~a8! zfrk$7IkLO5aNCU|8Y>h&8VWqvJ@?y%MxDbqOSD|FgRcC3pZmR@htXt@qoC!5wGUj) zZv8*;|4yrjT8r4KwO8VTf+r_=c~~yHbU$jVvp}xTMS;j{b-Od$l(x*i?UrdeZA(S! z$NnA8UxF{T%KNyxUzKOitKGL*(IFx59p8)jhdPB`?^*m;y}0#|z32bWt9ixJ($bQY zloqvy-J0>_=zr1Py9J+Zly`p&E!-PAseAG?)f)#t&0X7WRuKGR{s$+4hX0Ru{FeTH zyXtT3l+Kl!n<iF$k@mZ2uKLmcamYn>`-Lr6E*2PW^7MYTs3o+8Ln$EeWyhD4B^?!i zO82!oO6k|ui+0yX`R%)J{XXbDpM>*c&NeZ%77;d{>t_GlYcse0P@ZQtd+p(GuRnZ< z4La#E$7Rm_cvi8cGk5)$^3KVR3!lC0QO4quQ_asmbQ<<DHi&to$alN;#4-l_X>4p{ z6ezf`LP6ldg9#S|1QZS=EO00=NZ3&D;KPE11sMh!7X;cn*RKg?WK2*{s;vF?WafLG z)DQQ?68D&jAIOhr_&>vrwcz}>r3Zh#IcsqLCD()P_J=A~pKtpAf#LDtCkOV+9$K^3 zxB31DhR@x$Y#;o;tMXR=Z$CWa-G23T{(qid$UAYk-r`Qsp6_Wh-~ST4_jl*=Kgl)! zZW|r>dH!gHiTi>2h=!-W@0oWztmZrTvguoJ$-~_r&c(3q{hsCizBKXU?o|bQB?Et) zdlCCpy6Hi&e&OE5-<#^Jn@=pf|LtGQ``-GG`_^vTChe7HyyKnk50zDl2c0WRJD*K$ zv)8=G6(<$4<fXw}v1RdhZcdl<NV0iwSMvT{2mN1rzu8`%sIe^SO+c-*w$sKpX$vlR zpSh`8c4}ox<Hu?79b(-T9ox6E9DB3UF2l~6*PJQ4SnS2zJjL}TrJruqE6hAn809!+ zQ{JO#=@W}M^O=5snyT|`-mKZyyH=<5J%2d!Wc0%@kLesoW+uM8e8Gx;z1z(S<;0m9 z>)t%%JSms5#LMOBhwrna7OxgNIJNJXlEQ-7TA@|fng16G*3A|<A(Xi5sTjwCdF<V5 zh0kWyxqV%j@-uMPlePQnm6+zOWoxN<s@tfq<n{eV@&~)PM+Y5hUUsXR$=eF#hLnB1 zabV_w2VXX2-{If-Swrdg!LY#7tZypsN_4GQ<m|cg)v}C;ZS{85^NhF6(h%NiJIh7Y zN%L)BI^Vh3j%+<MzA{}d(V8yD|2&?b=iDkg#b(#HYmH{gUe3S1B6_ip5%Y2>c@vR( zfv5wvOIjt0><gwjFHT$C;#7M+^^Q{9L)&H5Pii`uzexzKOFEJeS|rW*`;?Bs+t=~R ze{OyeGnuWD;>ow=L)~fpiwb?p60L>OOTJAw%J=kQ%fy3+uFQNir)+jg=UV<|fgsxr z%?Br?F1C+;{NmZR(^X+%u|`YE)aL2SoLlHKd&Z0p7ow)+7~c?zk!!wH`IY^Kid*xd zS^T=u(<Do}SH890@~_WVP}1#n@S1W4o{q2S`VA{h=R1q7F5Fl#(P(P@WG>6x@Nc#i z0&)D}>!q8;MP!wmUWU5gNt4^A-tF1?c(3Y*InyLg@3pBpx4v}l_Gz<M7wyT{ToUr= zbcz3$5cWCT&DXv?k<ghlW18>lhNUa)zVz9JoH(lJIa{UEkJ<l5=gy{gS`4jsPIND; zzk6&}MMdnIZatTOj=x$|*lyQ`u}EzBxx#+oZj0(e5eYSS#2elpuReQr7u%(?7NyGU zUz#SLe!Y3dzuTD~xHnF(V{*UrSCnJQ-h*<5*Y7xqeoEMWsG(G6`_e*{O&er0kKAAE z88_QEk^6(g#hay`#Z&fOYS?<gzBxSP5YzeYUovU^&4zz`B<st|->XWl3Dqe#oisPL zfj`eK-2VO)J=HGP)TGNAa(C2oX7!|hx_tJi&zHA{RfPO*F5OrnJh^inA78F>w!`B0 z>t7yRHTS%nmigt$jM8^}GAGU1^XtpB_zQb`tDPiU_lE5<xgG!Y<%1mM$6uapKCo4d z*DWjYSIC_JmooiLs}xORb}{Kn1swjV(Xw%B{mGn?88#}r)KnKI&Z-r<#ly|;NG5sa z<z+z|tT^6JW&AXqZ`b1WI~Go>=6-ZMq3zkpc?<O!_GCZdZJ#mi!?ziiYL<8z98kI% z`0>)jDF<2FciFQ(nliPk^x2l|mnO%aF8tzKWbD6ZYo++oM_L=cssHAFc>YB2d?|$) zmyZgj3vPN=y@J`?%}acSZ*lVtrk|mgpD2`w3fIpzo_Sj`b&AT<fcj%?_6&~Kr<!hm z#}wda%hgf0w?VG&^F1f6QhT`>MH5$en9X7g_FHBVdN|y!Gt|v$Yd>dF+=0nDhtE!o z*7N-s|8MERnnMff3?vFnSmx}kn7imV|H`bQpJAI=5-W4UZcm-J&*aIjo^*4A)yhlu z?M>wld3)DYZsA`hwbs84qFWxeXF77Lt_%3?{~@V(NnyiJFW)KKMKd$)e3#d4x~`p_ zx^vg0w+h=8K1=ldoAK%3z1!6jCwx7@W_&0=_sP>mR*E%}FMZVRItf1S@-Ruy&0u}M z=t|y2bH5pezbg-}&v!5pVq<-1)W=zGv|#JQCSBuCTN^tVbQW!UA-C7?Qpqg)@QACP z2OK)NA2S|U<Yei+`@lkTKdmXBwcek2>^JLpSM^~<-H6y!u6;b461VzGWm~;C?ebdb z$u_CqY!6FKepmbojEKmYnB!3;_|x2?<f?#R;l048x3;Zs_`C0A-><rOiSQtUjStxE z*w5bd%04S_^bXG|-YJXhD%|Ger%0<@o4|kl*PhODg}x6{Y9Aa*o)WGlayfWrbYK1R zt*hK!t2>v>dNS{B^o8epIkVO>&JhXQAzOZb^|i0ZKe=iut3O|UbFI##;B%D<XSpm{ z{_}F`$2A}LZS43-F^>7Gq|=%=`OfDB!WMt9Tow_#Cc*ZD-HQhb3Uh9y*Sz9LK6ty0 zlX0ThckL~@FOpM_h%KMgbX6`x+(7HhO0MvtsA<2Ww77+zR&MfmEC2V5fW!S+SNprv z+Cq3NXRI~l+7`o^aN^H5g@z8DDbmX|cC;1CT@_uG-g5G`Q02l6o*K73_MY|Kwes|W z{o)N-5(4jHBF?+*eK^OQWA}C5yjSfPC6}E@$c&k>CRV68sMGRF(~|WKiPsjT%S{z( zmktrQ_4Lg2mRT2L99|#lvc9Hua9)&Z(}S~13no4~bE;UeFv*a?wAA31;T(;%MUVa* zS?ajZcA8s1%WHl?*Oz%KEw$%$=sx|HZSJ13?|L`$kBHBE`6}L2?{ix-x8Mj<dA(<l zVz@(_7Q;>*k^1HTn|`Mytk6rz^|VO!y%^BOb-?P+hn=g%1)c_Hnm714RbJ1ucL@6T zV|VT1Z7u=^^B-_)wM~-Z-}FqxNR4fRtYVj9N6a+y-%)(gk#4?A0u{t-ndSuruA9U; zBhK>hNzaMz*1SHuidXaStx!?#qMS)5ogLUq%D)Jf2vxav9A~wgFtH@Ct#O+Cs@aW~ zoV$MXN=HcA>TAqN6?ZPJeadOOIMul`s(sFtZ?W5^_AAU@GT~H{)Edi&CVv?po4yN~ zx6ny%htA<<VN?A&_4Yly;=k<{xrQkGFxS~}yRNci?t<no8uv1{?h(6FRGX8d&!?r{ z^EQ?*R3%>QkS61noJJXwvZ5<(h3|77U3eBzbM>dpa|y%5_TMQFmvD5>RLGHvKYcCH z`Rr^HzltLV9GiQ(t$h<d6@*__a+X&&%`5nlcCh)_vAd_=1YK=jv%qtbsp%G$eM)Og zWBbybdKRWFmvMc%M8?^5iHv*f@)-$N*UZY1<US>LfpekSA~E?zeID2JN~YOHIl8%s zpOs0}P*&Pt6_o7SJ88qx!~C;tY(33pm^^DfJ$sIwP0i~IC)12ldpfLaO83-1e%Rl= zNPf9uS;hTB3s0Y&C39E(cA=ndbiks^i_TU4UzxLI<BJG=HFxhflP4*iVD>fLw&Fn} z|HTxUuJ8P7?zQ{0+Lo_c^~g>w<nsq*!KY^Yi$c2`TbtYqHRUX81=!8}xizcy{P}!& zL*v0oTg(!F1=>uo>sb<YR+;hTN%w-rDyAyu&kfsZoAQzrHtt>TfAFiv4q^7R>z~T( zpPyIb{izq=c&@9`*1cP&^Lb090VB&Cv*T93lbxQ%J4~D=D>3&#)`Z3PGXKw7sKoxW zw=%77YwI+*pxZ`AAC*3sTzVq8HYxJf%bk^42d*#~E>~TBU;4bG>VCiTPAq#r@&rq4 zl?q(-ZBqWT{(TGWZ4|xY1OIz2c7NmH^QH6!@9Z;=qV#q+c5iUdwpdg&qh5^VJeOHY z%rBWaH<vytwJBJybI8)>sOT%-sQ=d@PX<XZbck;aHJF*L8R=M9Tl)FU%}H5N-<}^@ zB&nV1veu=xbaU6yQ=8q^tt^_mDe~3l=<Vw&>MrI@U27cV_aXme>Qu8|_e$z4%ua;f z*Z3pg^I!0=J9md}x_C@>YhH%%i<r&4r#C;hmeN~Qzgj2aO4gJ4AAiPAOTF&*U26W4 z8|}fjwz;1E9hM`mR<w7&;npnI)>da*LA{wL`)c2or*M16g=%K)m=rzF^liiCFW-LY zoVR7jn|t4qjeBEeTZWig)FtIRtKQxE^Kq4pn8$(N)(;L&t^ad#UFWw)XBqq&rq}-$ z<B>K!7_T(Tj4i(7QftVuV^==Sv9~+OrF+DF+n1<S@fk@k1=O#r^YBaEK3r_W;2pzP zczUk$<&)wKNhg=zk1W6BKU=L_>P@hX{L!@qA-gN>&o-);6&eSu`E~rR_L-#3uU<3# z&i);9UQY46$~msAKYka!OGcLZmGtHKah*MNC;g?<71o()&9U=?kIQc5y17_V_`s^i z%MW#CcMDg~R#Wz>?~^YneEaTJDC^Ula?7pTGZu7){{6cxH#cUt)Wg0gvl5epyYu#y z#mem4lzVZ?;&lEfxy^sy9=tA7d9&yJ*`L$?W>|moW)evJGQG)5{G>`}=iHM;PeP*j zI@=wZlCFxboO;1WUTuxUe64eP6w4;^_$p~9nV5@qIVfIkIlbiM#1yxA77wJ$LyXcI ze>=@MTI`>1^hxtCi|9e_i2ivqeJrMNwVU;Dn=UWTV}2KE*`3y~@p9A!j-E$t52kW& zIVi<Fd$N}McjgBU*Y4YWTyoKT_PYz;rtj(Ae_?vS=5`)~VDkreb=(g2?{u7ey_}hO za%EsQH+!h7c*Uf0hs>Xkq$-a-wSJ)z$^P>GIloRf>o*2mChv=WbPLU&adJV|@u_R? z@K3l=q%d1SkwsCcQF3EU<FT``O$nZhnN1yX7pF|t`^i`Ev;A`OmU;K&ci)~O_@VIg zxrIzsd9h+GFApzRJ5O}Moku=z*UeM16WKIXVZWV&<g=(r{Q4=pItROi&4u#a3ZjG+ zPjJ0oert{QGP_3pdmrRB$$mf8@bpCwi&0DJ+5dYNo-&DWV|;qtEAUv(U*Gi>^DBCe z_dGn_%CzJ`U$TVl4tr;Ik%%Xs+gm-)@qP*p`umD)k$c834dI`4B2LGxE7DJKeDz&^ zMpflOZ=>}?#VP!@TN-9tPs;r)6W65N<TKA%M@%H0|H@(kL099w7xSk?C#}E5RkSC1 zRi%bp`r_Iq(TZFyo#UEU#q}7k8c+H<p)~x_qhq%e)GGg7*OTUs*_bBEdFj%<t)jZ+ zRflrJx2)+A7n(nxsidRN^2Gl251zd$)?{z$xW3n-EO?cj#q}>WQ`yek{?}GqD1Ou8 z%%pEV+wMOU>DBt2sAP~IqMX{L)UB8N{&36xiF5a=-)frjA=q%iM0S=noH=hc3;g|J z**SZ5n5Z$IakJyZ*P8sYe#X65o|=SyuuJj#ef~G|){0+WcDI<mH-5g|dfA1dC81k3 z`4-&^lk)$v+hyv)o)>@f)6~qS25k0RDLQM~X32&>VrmDs-AhW??CQ4vuyo-e1(&VK z21{H+0%aBb_vKH!H7i=F=io#IsqY*4)RwsUY&w+oXYF6ljeC>6Msf1*l2(2!R@1!D zTz}%-*5(^cU51xamq^AhpOKkX@aQXVTK69wridO<YwM3aoFV6Kn@&7_?q12JXUl9u z^!XxPck%ZcT|0k->3!+d)OHUeMw`noc+=I_E-cLBx13Xx@+2>((SJ)(W^ia{gLqh6 zWN)2Vkg~GH&2_4YKcWsPv9CYxXZ_<qGmrSh$mh-;?_L%e|M&h-<KJ;6DL1wu=w7lH z%T$w#^3S5Z{q8=#zp!G1qM`JE_FG~d6DyeWa{v9lDHSAl*u@~?`;Qez-0JIuJr*tW z|MK|hk7r^5e0qtTDm~hU%lY@6J-ybVT}0kvXGYJPHvdA~!?RRMdSidJvoA7~dbY&* zdvn*37t3xhI=pOyQ_$hl*|C|diJ>Vs7cbk>z!J3Z@*H0-3*Rdr>_g8iJQUU8AEs2k zyY<lfi6>IN8M*%3eujr%<h0SZby0IJ<tq1;dxdYD8t-!<c+JYCuWkoFC{h2l^3SL2 zrFtv)6oqdGZa?`c`dfaM?vv%RPI>bxO?)z)pEy^TH2jwIxbCoavirNhO6@51Xyt!7 z?!J=lKcvKpp2lad+VpA7)djsrzaP)A{(F_Z{&q`%!qg6X<%JSACLLUPfx9eDv3y;@ z^qSa2p?4b=TQ3QWl9@Rt@73Baf!Pk{p8r>Sa>li^w%5yNf7@{*?hVZ1-wc>6x3Jj# zO=v8smuzRex1+?l)MBI56@T|&N1x;C+22K<S<<NaD0lO2{k1oCd3EoZA-{h8nO|nk zYJZZ<gr^_&P&%dkZ&{Z>&0)7M_WNIYcwgp>Rr5Z!_`A{rv82pU&Dr+5HCUv#U6u%# zu)rd1{~yK!4h!`KT9Rr$yyCCskJhScEy*vRe5m$8Gs}OTNUdE{pR6dKyunCb^pw{Z z7wxT{r^AnXCi6}7OElQZ9(J08J6yQC@o=I*>6xW-wBB75*O9g9^IdoQb?nlm!rNa> zoqBlr1387x72b}z!u3x}<!b-UW3!2pxvafgpiP=<LFUJZ=&!FItLQb%?X3F1;_&8F z!MUZ!*J*IRa1G}ST%g+0;nK7&cl!Eu{nO`WX|Hc?R+q3}bG@%)*P^Tm>DQyYSTpbG z-cV7<^<QNDx+)}$=?(8^l^Y^={6huIE-dFPS#*L|ck7dfRa%vPOb4<UMB^Qf1p8c0 z+V<E<YtQwKF+DPiV%X;=uYSEHYSY4=2Q0RIQfnq&yUMe|Q^fks?q#<>cUfsiDCYT0 z6jQ#;*7Z(0<Xwhpv7oQxo)xK~yo_HiZ0NR;ezk40YOB!suV1#5Y|ZVvni4N?==Y+1 z%6GoZmpR1Vu)gB8`};+uCu5FC%D+5S7yjh&*PJ<}64I55?oA02+CuzGeqX%iIAOif z4e#PPzFW=j-(S1rx3aka_r!n;Wzy-tKdC%l_<G{mW$TtG>f8IqYCq_IWF56Mno%O; zX*q}Ff*vuavU@(am5q<RYM7Fpmv!fbg31g5+sQWmQSYAls>XzTP^+H!K=hz|?i>}f zgOaM@Hw;Sr&gLI+@tyl9ZP`Me!)=`N9G``(h@YsKbTTFW;Mcj9GKMU#A9utub7ow- zX2f;LNnkRkTKc*J%TF#fw6b*HF3_-+@#lY*n?h=DGY|2|`Xt7h?%4I{_S6>bO&_|R z*H34(d{U~k*UWE=+x9z0t!H&4+AFwUu?mW3ySrCg!D$Z5Z_}f5&zyJ|>XFgW!SYpk zMafF-NOj$1ZTFw0iHXL~nj*OJbHB4|T>hyb-&JPrt2H(+ttu$bign%k#O)(1JEO;H zS+moZ{G;Bd>CT?<+bC%6#+mnX7+6;N$wq~_mM`Dp>y<l^W!GwFU-r_8wtQ!df6bjS zv4r6^SJNrpn!m02n~E)z3Vz4UP~cRUz@0C1V~W+YvfG_`8CIX&omXs1)I4@8{;p$0 zPDPlpxvP_cf`Xy3VUoE5h+&v&Y1+^5ZQpX$4_lToXJ{SQpPk2fTK4DGNy-siZ=`1B zXfE|R$ul`nVfG1u0!_vmh7AnU7-nvJ^~~5%Q1IjbT<Io-6<e>Bm4}8J8w(2lzrSzt zyS(!7P*Y=JkZkm!<c{z8zZW<MWas9Gubnls<HzsZ-(uoHS4+~AN=|&+dTqhAsO;SG z^78P|&`?ujV`E`K!G$hI{{OGP{Vn(RwcV>%&C)Rz6#Td?znuS+&zUX$3P1jrUH)H| zeCvkU-mOQ|PksoQpL?NB&x&1c?p2d5)z?n6rZ>M4v#jF1A6UpCvE(I#u%~3}Db|Hb zsS--36nEM9%o5<^(pr40LSqH*MD4@DnVZi3d2nHZhS{8+<88%(qUp||vw8fNI)={X zef}xrdRCDR|M5?2)J|-DSXiqjAR%Gh+Iq_QXw$_2H9jF{)+ENo3v2YOX3p&BJgIT4 z?0v}FGxCBT&u<BpyuCMgt8u-{5&Lbg<{nMj_-5UzG&QA;l*2n!P6o$bQk|P}`0+0n zzLjpL|NnpUy>{E*&FBBumw%VtT)*%Czx&^R{(JILP3`Zt+T%UjBFf6kEvLDMf<hP+ z#)5`k-WJo`51lpt^0(%DsFq}AM#Q4YN-vv}yG{fMUScZ!;IPo@8vEjfAqG-j$1Z0n zohY54acJ7lw7|lTAGfycw>8YMVijWSJfLdSvBO0m#Pv|Xbk;7$XGRUY+8TQN$M)RR zaxs=nF|e?co!II%VHuBUtOgJNjF(J~p4>c>PP!dEnp()jpe8pxHL$SJ;H8If|M68m zI^1VloQ*fG;(eW5y2exK!o^cORRs&&bj)=6+gQa7ZnLK4d=Hsh+1BxWdG219!=4@< z2HRG@I=x5mWBsDFmDd#%9DVtOt~@z#<K5g<bAKu;{hPT>OE@9tyWiDwe*^{ppJ}_W z!uY40lBeTBgB*5eafbv66~2k;kpirmM`V5qA824oypUla#eVo;fPw%=v*SVs2?4g& zLk$iJ97!5ok7ukq6LMan;m+e%PrHtF*HzDaW02L!7dH3Ulq4V1KiAJxXNFw3Iz^>9 zu4jG~W5T_I4Dx$2el_Hnxc&~BdPXf^`f<bAvfeD8kDWPex0vDco7gqe<8w{ymo_|j z;^b3(XCmv4S)v@-UiC|Cw0GBYpSrLrdB&ZUC)p<C^~o`ac{RS6)AspOQ}@NghwZmi zi@1M~-PN;iuCk`%wl0m3zPe;BvHvRqLmCd)@9)w-Yq-6m$T(Z)xBSVf{Nt_MiWA&s z$6X4&A<y~hi`%(*{5%)7eKf7}7kP5sEq}Y(`>MxBp3P$q&(*6?-k~S#<nME|Y|5=a zh7T)syLU(HM4w--`|X^=x&vQ6ZQd=kr~K)<;A@x5_RQmWoaC=t_&8AcPnxN?BlASw z-8KvSPXvaPhiI~$a((ysW?*=&pAdIIefHJ18_S;^5%|5~ZqtGA#iATFn=&IagCB^U zc(z7PS9Ya!<<UcPZH~X-Y}#MgI{BCFibWDvciK$J3wW#P5PtVwnD?3ktE2W+*{+CL zaVxlM&91%g4?cdjQHaN${p_np%F7ph3y$uH;m!GG=ohx*^qhqrhCT0GJinb_&Dxr= zcQsS<zlP0hng63Em%C-0wMfp^l}_(Hw#)e1rJ$l?QEOAv*DPK7q-Sf?=}il7&X8Oa z@rd<k(rTrgpsoB%&KV0<e6&3xKF5=FN^DT&8}^o)rv6N9llPx~cs6*mX6GsKj<zZL zmmJx&);X~9>4M#7_Iqy0-)j(Zy>|L6eL>;4#l@mb9J({LZc8!5_|5&m<GGw$F*WG9 ziKtBMhx-2qO|BV!*PeRi)d{sl8;*2wc1>~F^}Vw~CPlbLPH)kTqCMT#8vHM(*A;VL z^L$Y=@%yx2D~lQ<0!(z&|3o}0>*}5=&&3@#K`ed$s}yC+S0~J*wGUiMEk63{ceid6 zL$-Urv+3MTVR8NJw>S=7@<@2tsC=TrreckYjAMP`yx2|K%@Y^vy=6`Py6l*t-we6Z zYgZJ4_U+@D|L<{B?4qCPDp_{odvZ)Nu1}otcVl?;3ZDA2S#RbaJKwXW?VBYB`;^V8 zaZ9?6PvW`eb#&@2`6n75c|~3ych6A2dDZRuyw7pw?)`20QCz~mraHgwwY%=*C#<5Y za_1=5y-7_wKa}$Y{K#&9l~}&}>x})I*$;#+5MOzJ2eZ|b*_KT*u@1G&=hr=3y3^KS zw|I+!VDh6MTM{le8|Ph8NS2wuS<!^&wNJcXgLYffM9D|;j4wqqS7m6|X|~NdrMhck z77tsBXXB|FU*W~S?rhxRY%b*OBR!kD*IU=lzpv*}aYMD}wvIP@9Ab5~d%Hre&R-$$ zNWa2s)8@S@ZaXp}<dXY78oZn1{A=r<K9R!<542vhxVZ9fiSGU#t#&~ZyH9Y(<R1RM zf6u*zp+9UqmN=_z59#oj>28<nJHaK^w^K9Ja{8)m`m02_CU9FZ?_ZVOSa;TP7q_C$ zhSNKKJV>rp<N0y3R!?Sa(fqx3YkU{~TvU5_`ps*0ul6wQ(DTvBQDc}RrK9y+{luqL zJN|E2_n_8j;k%3sF7c1AMOPj;(OAK~u+UcX@kJB1|IhqCR|Oqgo+-2M?0i#3{j<8a z4=u}j!}i>N-}3C~4`*9@_^EAvzNt$5hwzS~#+>{jfqfjI{v|Ue7_I#osx0}p;e*5! zg;%d-zN}S?+48gTmHFb5JGQ#6h2Q*-{am|VR!>V~j=!SQb=Qkbmpu(8EsTCN=UnnO zA@fT|U+Z7_G-;`maplTYQ9GV@-+nDDdHmisg^8!xy?T=@_p_c`EGTnj%jz(@0!Q8= zrM3f=qCx+A9u}VuNUc6G$<oq(MncH({}H@5n-<=R`lQl$xI1p~Kc6RSkNZBh`?cwR zO<{D<N%tQ@dqW#?3yikrh|kg%@pSO0U;9krh!X3IP^MqcPQUC=u5PkB;PBk4J&Vyl z_pgtR%p!J2&C92M^|I>=AL4gNeZTId_vP>QM`kf+N+*2`{E=laW9j-Msb3{~cVAq; z=IzPq3o6Z@9<Ex_n;5g-_sx&L?;<BU<^MSO_Fk&ln=0=KYj<Xzxf&xRnAQ7t8o&NJ z&DLjS#>Kix1qxjZy9)f~+`bTHbjv{YV%g-hg4JDW$DQ9rJr>ga7qham*ZvM~#`9%o zpDtbL9u?vK;v!3fYhqK(`X5DglcfCx<aUTHJhqspVf~|L(eY`Mw_g7|iJc?=$zti4 z>6Rsy-V$w!J0`FG<NE%dSN)1PN}9S_Ct}2oyj^u^r~Qn$NUIw8l@HTS-6&H^(cl+k z3O&@<7_{(($+@2g#MJJ~9W1k6z2%=Z&xAGHhmWuxi1_9lvGvv-iw&QTEQ&YkS!mkJ zwY1==e|n;A@o)LmYkwawa-KAK;`&Eccb#K(q=5gy8LLcu6cy#T%+!ok?|fUao2_W3 za8$qZ=jDlJrVHhFN(7m7c@-^{WAQ&Q>0)D?_^y-?5zd1SUPrzjx8hXacX0b0lbk}| zJvPpAeyfufizl~gsLCvuQ*E~Ozt83)JC(lmEk15KY2J7Dvy1Co^%s~sy}O~^G;{8* zclXw|q(8mJ_(p1){XMrx^OIp;yzjG?9=Fi>GD~AdXXkl`=$4a*167%vPCs7v#ldGT zzuu;Ozi!RAIN|Y=>}pZ9_o3OxKBn~cP5d14e$}a8d@JUx^3dC@`+SDxPx&RetN*7@ z+wh}veaBqhYv*cLvYcLV>Y|a)h5w8B{+Z^L*d*qfXtO#M-}+&GL}!t#c$f0zjJj>g zS!=p{nlzs~vn*%+x06lq$qnB|kz@69MNB<rv|TdwHk`U%HTiJSa^>F^S|82d-jaTv zJEeNz;;_vd7iu&`Eo@mk{lMXKH;c;mn!a*Rf7iJDrHP}#l^4cG&p295l3td<W5~O_ z+i%<E6-##<Fe;8}l>2%vTt3w?!TQUd`C%+Fent|Cmv2^|X1aXj;`;d+MP7MgljA!K zU!GpHYH8rvD~H|JR)#fgdabJOyX4lwn8SzE^^Ci0PDC9r=}mgN*u-W^kAc6)_e&|I zepc5q%ZoiPO$|57n{FkLaiGad$<67@sYly<Kgb<x{}X;t*dW?8Nb>QvnW444uYapH zS2pZ>X~pBMxnER(*;^wdE7*R=7o+7L>`G77tlanas(xYer*Hn5>)xL?e)hY1=>~t} z)Su_Rr&QV&PEy!@V5?NVi08RkC-**l_`CU%#g!wcB2AW?n()s$a!EwOE^)r}uZ<-V ziWOdhS8sOj<B{B~C-i3ZoYKqo2g<ZIl*FAr64GBfJv!1!MR7);v(TxUNBf-&+Vfgp ziQk+3;z_#GALG3%mOtZ(d-r+&ll()*90BWcn#*Rz^Duo#Q|a$?-?~L<);X@DS&VDM zMLxOOS}zNkd?>=ou87IG+g^1_Nrg}<SLjEV5*^(mKUKfX`)%8w7%%4)#(w0)g1qS` zMQchnIW<<=MqYaP=S6Ny-KWdFX+loM4%T1u{34fhSueWvWRjl$*{w@vEelvQpTqrX zWXlyco$sC-*#oAF>0bVKP{?d)O~Th=agm<(f@^znxK8IfPFQi(|EBq&XU9ap&psUL z-x0NoXR7adfmg2@g?a-2{JMJf;Z;$eTIne7dnb8CTW#$E3wHmvz4~pB<w}Q@-$ixB z+yi_Mnw)dYjT3ol=)>sr<jISm*jdtZd-hu{RxCA3Nl7}d<|g#`$dQV*c4zeu>}Gn$ zS#Wiu!o8N*|5L6O^f(nWMXu*njXbu$K`AnBu_fQcINq;99shzKu4|He)$PeK>+h$p zY;C*c1)p;3o^6vp{NcXJKacK~>UH*8ik?V$ItjUbZS{7@RC>&OhS!XDRfm&kLrJA< zOY1a-y;YTaR~}j$WI1Ke!q)na*N-XqzU|tj_21qkRhsqD!jAKN7dwu1RDC*sv3^Nb zzF>rPDAU8E2j?AFxr5_in9hPLk$*0mZFIP}e<w#N+t)SG-TV2Y-)ty5ux(xJyOf;N zjO^CuUCi=7C8Nz*Mb_N=%jfbSq#~qi$B%bY5}X<9)PC=84vzTuTh8q0?g!r`tyu$H zIWCs+cXIEyf4cAAH_bN({R2P8?7l3rYide}z>a_oS4uTGH2gH9Zf;t>du}Wf*R3y~ z7OmV_$%V>r&AmA#&mo5Y=2UaJtz~)38(u$_Z3?JRvZ}ks!m!}y6IPFBKSY?G{CuM7 z@oc9E)03TPsg1$s)aza?=jwAW)7JR>j(yM5Z;bbp-h9h?$IFso#QC!G*V~IOTHnlq z#eYw0DVTNF)a_#~Ps>exi!-z06Ri^zVs0H?-g)z9so&!>EGsWcu68l$c3gUF!$1E1 z{r{vtuUnS8%s<fldyaz0+#10fw)+w+U$b;v3h8IKXVc|yAiC`$Ylg{|x6{;x6a`ow zW}o8QWS`W~v-avN$qG%SOKiWkE{t?e`{j~!`BTmQ9ES-}b$>4Gt)H}s#Xll()2^%T z{FSkRXPG;@H`gv^Z8@uS>fei?+f!9Psf4z0arB8MU9@6+Xrw)tuV=x8FM8R_Bjc^t z2?+G1U2Q(i+>$c?$1XDmiL<BNMA<k_+Fc9`ZBUqXwb$9B!9ZH=?^<?&MP`ro9%XP! ziz|!eRN#5j)pnYJ<%-SSooo&k2FkKp3<5>Jk1T)nKOrT|jBVk6$4xO`qQA=@P%EwO z{?~lc=4Ij0dV^bn{CeN{H&t$W9Q8k8i?US63;Bf4CGMgB4qogj;c@!YoHO&s)_wLJ zz9+VceP_REcd;<FUSZZnUcJ}+X`h!IH~o7s!`)`Z0{ausPWG+(*?h4tgU9J7bIy!+ zTl?%KW>3h|eZ;@1_R(Xme+eea(lPtwQ+_|$^)^r>=w9kwlh@hqt-lXmTvHWrwQj;2 z;dtj0bsu`CoV@m$eY$Mm^`yTI(kmygyTX4jZOPu#Uz)FVMeK63-!b!KmFNrh-l<A~ zrF99Wi(flr)EOB1{91dO|B=~|-L5|!)AqgDp=2-drps;mWA+<0u{S(8IrDd$|GzU~ z`IRdbA6J;)TFqteQRg_Z<C_jA$3gyoimxY~%l+^tYPv<Iuf%e>k3HqSTCBIHK9YGO zbi3=4>>81?CF#l8@0xja1qyhMbT1cvy4bQwd+$rztKS$OiyqpWxNJ51R(`p_n?LFf zPW7^!D?f4Gp@rM8PmIXAru(Qf!-vW9oMrVdo|$<@sR#d0_gc^Y=JfvOwyCS~F4*t2 zsy!35IW28}=aw9M*LPldeR3^*X)-ha3vFi<G3d|Wd^=~_|8x5imfCF)u~D`R@MdNa zVE}=3v8hq7ydQkGWME(bVSWZqhQ!j8%>00&{A9h9w2G9Z&=6JzW)JNX=^9)0|0-Cu zGBSiP@$sHHv-X}S8v_G_1cL$t69*$3$KBoAzw*Aj`~Uy$@7uoeGI206Gc+VP?1)R{ zfmz4F0J2UZbfK~!0|Ns;7$aMkoRf`Y-Q&l#-}Bzu2AZ+4v2n7ovN6DnVE`L*J&4PV z_cQ|o7^Aql2+0tTn-~23#>l`M!8Wg;k4sNSK;S|-bN}0VtJeX4Y_FI;t`Dng<Zu5h z87HUa^1&wN@jS!I?}CmRvtv&(Fgoh0>Z$7$#bwoYeqDNXdPscNXQ4UMgW{_`3%&AQ zuD`SL<d>zFrhCL^eHNNM{n{RrUz1*VXT@>Va(-EAqF=l3$<LtY-gESG_bvG;G;8{~ zJyU*8nmOGdepk)OPfLyTL-)D-y!6C-lD_LcmuIRcrXSm*@@%PrzU{szKY|{6_vq{H zTk<35k#~o_?7oyAK@YuK^m+HG{1BQkeczrZPnYWH7glDT_HNW?-1p`Cr2F2r`k9rT z@0aeKzG+X()1}(_FZWLQE_Ba3EN;~&p{di~#m)LO>8^LFzGr3UyQLcXj+L43RCi9F zw@2jrq{-7C#8p+F%w2kaYEAg7*v#`@d$eChW|phoo%(4_OZw6~Q{SygNmsoyH7|Tq z?8-9LTT|1*C&jKj=e1G$;5wJ|rPrrETk~Ysq&ZWg!job%OI5E<eYA!ped(2{_tv!R zy7ba3FU%-*Wr^ygskhb~Nn2{F-Mda@*Q8lfox+)7HP3p@*REW5Wv9?{ui4s}>sr#5 z8f&MnOG#5bGgU8qQ_RXUUQ@LL*O}~`^u%kT_QXieqNRq~ospVny!y2**In5m^w_Ig zTXWr%9YT-1+O;Luh3pV|=+&&vxsD}mslIk)<jT`tF=4kh1wHU;(Ehvj$@ZZ8UQuC> zqBHZC>S!lMzC7hsrTuhm%l1iAr><J#lDc&F)MaZ@wg=ty3JO~kt$E5TAZ%8&XWmkE z?W1d-q^RzgdM~W#_rdr4HT4&MH{04j_}e&7{>?v!@9dRz4bRzk+VA+xY;Aww@4>hH zIrRa*nQzIT`RDMBy|hl?H}eho3qKFO=709*z*qL7x(mOUugS0Z=kS%iu#Vw5`%3$Y z&&*fk=lnZxmfxp7;1}~H`7J*gXUnhodGH0lOZ|dp><jG~{yNT*ulaZ2Gy7co2Y(Je z<2R{qc*dSyH{mC<vHgKR2cPom)CW9cpK8D0&%r1BD)j<CnT_ll{v4bk-|^FNhP=(c z10UIY?GyeSe8ewOKj8=S5qX(^2R^X3+AI7y_<*0Kp5YmLeBFbm?6GwRp0Y>R3H)F_ zAphs@f%ojS_7^@e?~{M?SK&1OhCdHZ@qekC@SS;&e8f-3sqz6o58mZp_UFJm_EP%^ zpO~%0;}bQz?xkdA<}O_O;*gY<R+Ye0_JBHr@60>pZGJl5;h*z|;T?OveZlR6@A+!{ zo9;Tk=lk}ip`5)+=FK~Ya`wuyhIICw>Tf^VzAR@i4++nIkjh>r^WfcsGWKoOEABGB z<x4A9*xhVpz9MCh<E*=<Iu6}EaFX}Y6P^5m%AmKN+jgkmw0wDvm&^Z9anQWUCH`Hu zp65OHm}l&9oGz_1Z_2DGyPI!J_F^^nkIJbLNv;x-^faG5SG{uH7tX4n7oJ&eoa{gM zoH(aY#<p(iw7!`<Y3o%i1$`Gy@=9mRNprAnzIA)@le7=zmtv+!{<!8l(`F^tytsIU z>5?TAi!a^fIq*YNPEF73T!O&o;G)Z?c@i$3Sy0S2FKAW3%H4}M&)&44oUK^@L(S%8 zWo(73cdT2#Z>@31CgvNm7j7PW&G+ohfl~IOvJ1bMZp&_Xmr%;S%DQ7SvxT+C-Gj4b z7wmH=V_&YnWAEY93|+JCOYS)P;4N$QlemWG1|}QU8?xt>CG2XxBs<~Vg0p-#-Xxsm zb1PTa#cXQLahLH0-=#MXirME`zqrHroX@O$!A@oqYm3dzXJlvGWPHY_SDvu5*~ogu z9mc18YUK($n@`A2xXJj0@7NoMGkk~MJSb%Ev(C7~_?S<ue8G<9BeDwb9u%;*S!>*3 ze8|UEuCRmI!1~7~W_{}yo0#>iZ)|ElAj|OXK|Xt(^^4n$(_}xqO~_}DENe(*4=-D= zy?Kx9gSQ7x@vVB(aEk9;nL#Rhne~d>jZ<VVyj3{Gx8O}e9($2>#ir&RvKBWP@9^C# zdr;PVU;4+r1>f1K^A1!q+nV3l+xU+6(;bHMyhZs1&)LfI8mgObOW(M+;2YZ(^BH>^ z-|)V=qwtMwgZYBu=IhdDb~e7|eR5~PSGG0g5qle7@jkl4aE>=9|HCu373LPT-EUgL zBm}0i<>e(*HD8jRaBsm`-Wzuk&honDD^xL?nse-Be8GF^&V$cv^UPoDVSLVOmcO8q z*~HwUxcQ9qjGc_nc=hrVDw~bWSL|VY%Bz;IP}zJ!dcsb|C%nh*IGo`<bmzfGwm$QW zJ&cce#qt+aG#`;xxcA@#TbsGY9>#~fZ21Zm%m(H^ikS7yUlcLxncpaCJ|N9-@4<Vv zI`bF18>dNsxSQ~vEi$j+DO-5ng7W4)(hu$)IK{i_PQxkQcX<X+*~-jU>~5SQec`Uc zDc%Kl65g>DnO77w?~u0G$#{qNUfzSUOYc4Rsehff<+;ke$zSGdsh;%Cv(oQSanL)@ za=%T*Lhn4ctKXa#QZ4k>bF=!%c_Gi2+?br;zo_`qYtLf8B3sXMo@>=N&f8Ko>6K@p zUsG|=E6;pCC)<}_RLs?9&I_p$dg+<#msEUd)?_z-AzRP0o(t6*=S_LG<oskC|5rAh zpO>7SY~t@!d}-!nBY&e}p=X}c)g$MHJX>;VvWEXG8_!QmPE0;Aho$n;jLAplh&)?z ze6oyxmQCl!B}XTV_<PxKeq3^RGLOHOP3MOt2Pd=mGZkN&K6%faD^FGQ)N?I0Kd2m- z{Lk-`^~(1uy6PY2ZYd9%HhIIGlBX(rCx7xgWPS3KXQ<zzqDyx@gZ(yHuY9MXseW;; z$Ws*!^|NzT$}dfx{K&7UNa&7dzWUCy56ap08NZl&aGvCca}MWu%F-8DH`^E=FmAph zd1q$hT*(LL9+a_dGhQ*5@hwkUx`K7Hm2t;h#y31K&O9h(D^7c0#cXMuF_-Z*Pgwc_ zt7Z%12IJ-{l6z({zT)vqe~`wu%vfVC<4c}fXBy7(TsxCc!nVjbz_|H>WWzayvpja` z4=kI{Nfw+-C}x{w>|oq%Y<yx)<4nnfa}SExrWtD(H=mLWIG0ewHp#eS4&zKohjR&M zc#fP=IKv~C{=lO7n54nEghIA1V~;tEk9hdf6D*n!NeY}xC}3+cW-xBnH~wJMtY`ed zs9D#TV-Dj3o_}c%%$xT~X3S)~&+{#9LMmHCT0tsXmGOz$jQ4ofoLO*+XT_NZd2GRH z3FghaBt2#_-sM?zhT#;?vor<sW_9C^*^QGW51f6F%a*7AqqgxqcUAm`=d645FMMvg zEB<1i!g=lwdlbI2md7PLXWgN{qqgxacUHW^@1~pLC-yBk$DI_<@SDj>zoPcw9Pu6d z9L{k^#UJ?9bX9!CK817K5B4a0WzCOk_|<e-d`D&DOKz`thhI%*`U#(#O!Xyd8((l= z*`x4<b%DOe=ce=G75f%^HrTRpy>N!cwiA8}KvhkEON!*ShR>|C^)J*g&Jv$f>G+KM z%pQi%tkd;3)Hu!*582o7iFLC6gc`>u+$Z)hd}2+GQ+URj828}^({XW=eGMO3yY&NV z93OED#25TvIxH@-ui*o0v%WwL;|%ed%Esy9C6$cR#d9hhA8<3ofB4R{U;N8nhtu3Y z;x>G5($PQgsYzS^Lbc;P?hkPZPgz6b9G<e4>(BVav|IejUWZfMFX9BAvIfK%d}rDz zZd2)ahkMQ*hIg#_`UTMk-*eT3U)bGbtNkFhah~X#bq?jMm0=C(tUI-LY-Y07J`j8G zEmux>z;32nqG#4Ql(CkE3G8ONA$lS5;A^gDYYvpM7KL5d#dJ+{%{qrt*1|A`bk>#H z6`Pr^h|XDe;4GI<c)%{EOQKsM8E1>Gi9Gm%%O!k48tX!BhFHg0qBZLd6tm9Neh_o; z8J9_TLmF#(*o2)-#@YvB4nF152@gnPovOVc=HL@9m2iQbOh(!bF$ZUec0@YP5Vcu% zppdm!J0a%aBQBBf2|JjMh{~)xP{7)%tq^nY0T)X+LmF#**n?Eo*suestkGctJD3iL z{#kn<pS4!|!X~DDqHoqJoaWlF=D{hhFJTk5Gwl(Lh;*DP8W4H#F4wX<t<PK~mmSDs zE!Cc|iD{RpOC;kI(KBlu@>u=D1hzA&Yd1t6yv=pT??W}yec><j63%m0_%C?QvRnOv zt>Zl52bPZSIN!}VaE`OUzo44ww(ymC4c}Nct52|XoGW}}9>X`562A{sO_u5fwvBUy zx6Et!%CcHLz}9h&@BvH5SDg3eFnncMu3k{gbXj=HyoN6<xqb(pv1I!hR54u?USa9@ zg44l&!ZVin>K|+zpL1IHZ>VHCE4;w+;7s9^c?ZsL8u%AfGMyHlV(IvlQ^Vh&lIf(d z%e;m&oC^L1&sdWD1fH?<s~6ZfKIWA0-%!DHR9Iym!$+2Obpsp6hnyV#1{F*Pg&Qmn zP8Y7Qbet|+V0mzwFv~oK4=nZSAFLhkb8eZ_@Sdew{X`Lyj{1%wroF;X<}$oz3G)kh z$`ayNP~N1ezQNk@F6WXt4ewY2{TQCI6su3LJ~&zU$lL=bIUo3aC}Y|#_^0ncIm=$< z8^%oc1YbxVe8*AZJ|Uf@!fk?eleO{&W2QTTclr*Lv20adAbao)M~ZtuI?G1o23f|r zf_wTB&T+)JE2OimRZfsS_=+RMT_ByMz-@w6lX>~V($cvv4hd;-F?2ALvMg6FFlM?e z=p*U)lEcIOLK;hs+lDlj#mWM*jk5$>`WQ-BGVL0yo6ZYX^erf6nWKC|rtulag`Njz zIE>sA(pY9F?~rMH%5kb^K@rOo<ry-KPdJp^9nx4PC`%YK87gxaHyszW=vz?8(xV(9 z)A)!($i2a$>9C+g-+}^`7G(*U#s?e+dLEqS*weG%G{=sfgwq^M?hG9d-al1qNN1_b za=Ynspqy#d=HL|-ju*_CbcIj29583REBHq7gb|~`I^_dG+SZH*5AHiwS0ET8*?5oR zlUsv1leY2-BPK279nuG<2;S&jkjE0_=3w5Wq1<59v{Udz?}L*ZkK7)luoNg)NH^YQ zud{#fyYU|Xo1YBt*}v2zd}rPzf8sNvjr@tf2j=qM_{s2&J<mSjcjGPo3v~(Km^aC< z_}qAd|3F>CIrcbvgXhfa<R^S?yvDzwF5xTlD*2Ajj27}9e-F&&Ur_hp3v-@b!mq|l z{1fUHoMpdJlW>;Z&0gUbqp3W{UxpX#muen-W}YYi;t#`fb~F10KN(HrEj~A%;h*u7 z;TgN0eZtR1Bl#777@o4L*(>~PJi$NVC&Lr=V>J$E*bmh__{iKRpYey`F}s-kf**}X z_!a6Nd|+;q*Z9Nmke$t5;RmCE{EttJ`tmP6G3v?R_|$lSpP}x-d*(X%7rz^(@qegI zc+VVZ*YK1%+-|}5#y$KGY7d-ZUscm^iv69P!Bgfk`4zt#rtn{=RXD}IpeErRbCG<- zr^X%p7C#y8u-~(Lu&wbv?~l9%<;>M)2X-^sO5eEK@Q&?M4#Ro2BJ+ZD<}$N}-Ho?- zZ{#f~W8NY?<8H$nwpTd{Wy~9-7i@04&U@x&!)vxDISWde*GNa)ZFt4@D2L%3TafvO zH0Bl37IzzF^UlayP{O=KI%6}VnRLYF#*4fic@AgUoXi<^HJV8OxYO{Q&C2}1&c?I6 z8F>t6+0NxC6f>upHSBCW%^Q)o;0&9Vxx>!Jle`{z3PsE*W(+$U4W$J(HyTJ++-Z2s zCS`tLN8?dmjXZ@y<_>9#I}H!nxXc}PG#=#T$YVIewlC+vX|`QC4X4?*<tP*|H%R}u z-Eg1nm)U|;<{If6w;iVOCfqzQmG?!iLOyea^oC81TG9(PHSXs1x!G`+?Uk8ADszc+ z$0kM%=^3{h?y$|vQOINVGh^7^xSe-L?1S%2`@~=DJur{^L!85T)-wGC)r~gd2Z|f- zaNpV4Fqiv5+=FjS+r(GwWq8Y)rms-lXeHjUm*EZTi<k#rnTquuR54nLXY6Ho%^Ie^ zpsLYAyrH=93iqC!46j)I^dCH9S|+Zsm*FMrt(b<htk+@^zA!Bk4=8TDz}*n1aF*3h z|3PKrIqrhEgwIT~#2tzojm1ywX_(2K5cl8{(=>67;>J_l0dWbRm?nvL>|vP6?GTr6 zhV@8{!WmXM{Rb6|$G8pR5<W6@iF@o}c*M%5pHR_wh+7~o;R92XI74xxzW9ftMm_Nd zMUA@R9D5iZu>R9~P~NzYJ7Xuqeb#S!6P_|f=oLI=suDl3o8cbonwSNrSXab6c*hj1 zmr&lgi`!!-!(G-zF$||zpXn)-H>!(w>~5IMeIWM1Tc*7KzxUn$T|Mvn*FW!G*UdZr zd1w9ew>IzY?|XaQ?(O+~Z?6Bm(Ozry|5x$7uTN`UZ~pgcb={oy&ny2w3;h4(?w*&^ z?OtxLG~55oJ^stpnitJ=v;Nnde_wO%e$83;&*^rb&)PhT-}iL-&r{3)oSgpWg!<<R z_Ro&i%&4z9o?mk;zUHWZ%@O;W!}>Lc<ZBMHe~!O*y1Y_v|5Il95C8AI{~dSw^WS^v zl{)oLzuLY3c<)qrrB?m-;Ct_0$DO)dJEi?ovHo{|yLWSK?(E-to25?ufpz0O&Nnj| z-m`r1NhoL9C49n|(MI^h+yiqtZ_H$P$C9U>VBL6&^MYSO8Pg`=6~>J>I1l(WoMVYo zH%Mn%Cp^Kp@fzm_zl2hzRl*&{j26Nka}UhsT;TVhgegxg!K(2R=LEk6XIXCeB%Eb& zQ&+HJG!^EU%kYBblFx%;rg_3I<}f^GF;ib)$!H>MVcd9zbH+@DXDoW^36_mU!Yk%5 zJY`W+SFmh6!8u_j!xNTcJ`QJC4*5JNWa<;nn8WaxMNEBxMdJ}p1-}OcOl`s%a~K}7 zu&FCpFd7K|Fk;jfeqqF@Cw#-G@c<`---CRnI^h?y8>Vr7@J+~Pid1VzWeQhYVBWZg z^MUVyQ!J}|8cwmiQ!_|qDidBYyI~6F1z&|zEDL-R@|cQ*D~uX<a9Ye{xWjT!?ZLN( z`|LmL7JO%{mOD@_HeH<2lKG2G!gt19{3kv$*zljIJusL3MkT{L<~;d?-wn6eFW4n~ zW8B2Q;&a0d_5*ee=a}Q<4W2Wu<Dc-k;Trn}yM(WdtN1%U-?+}X#bB+WSX4xY#<mS? zB6l^ktemuz3>eNa2g!eU#<+stqPAf+`wY7UUl^D0XMAQb<B#~<aFM;k&fzSxlRU$( z1{3}tH4V?1t>h2<Y&grFVaITm`J9cyXT~(S<b$Tk49}SL<P&~281b*DVR*`{Ca>_b z;RO4HN`@!Q$8yZt7HO^F=JnB8z2Zg2ne3yTdTSOgT4b=+(1gjAb&pTWTnF!sN^e*K zCkjrQG119A$k^)ABadF?R!Pnxm7}K0p?xWy7Ly)(%v3(wH^oY5?nDcBD`TNq6HShH z^ogXaT%8!^UL@;z&SPzgTb8lVOOK_>GyATjE-_bLDAzY7UFGh?13f0zliqmbxvet3 z^uVLQ%}RD<fy%9kmwJw*E;&8X&V7>1%R&`58)eSE6pKsKC%)_1lDg#9#02+OGAGY@ z>`=bh_r&tjTaPu$Py1XfgJw<?Qg-h$x-_*v$^O+}kMr(p<RA7u`9ATT`zHCjbt%sm z+sIG-x#HX6yA%J}ZTj0$zGQE|hP~70z}N0YZj+3J?t0WJAMItaxOB&(Ksi*hlmC=Q zlwH-?v(lWWJsRcjN_xImzBO@E&6ekjE#)Wvd~xNA@|FG&d!x@6pSkz<%6I<k_@I39 zaKC`P*Po1Ui;wn8*cbiLIOE<d|F_oUnevH#C3~$uCrVXz_B+`#{eAIS`Fy{X{i8n~ zpU=3@l)w1%#QVjk`*rMB{n0q*zEas%vh$Qjxw5b1$#;tn^zW+q@>JPCKC#Z^*Tq@= zw`yE|1-^98vkUrsady9pebir$G8J|CtCBC@F23LYq2|fy?-L)m*UDG^>^SWn&0rt& z$0JYqin)B^&le}%%jF-|ifo_w#;w4t>vQ0=-XQZ!cUP1zzT3a1#wAtROnPS>ORBQ1 z^vAlA)Wx>aE9*?YU!2nGXMX7O#M^E~c3qn;&h7tXcj@+v@5<`ZSMyBL7vJd3F|WGY zan8M3`e5FZw8eLN(;k}bx_jdLVlC;lbs^gYU%Qt{Kg>%>Uwp0qp4p<i9wo}A(o=7q zC|-Q7_d-rd+Tt_4XL4G0PJHS%T{<(*WS8J`w@fppyBZ~nFZKGE|GMK*wD@GNlKG-L z97W28(z$t8(iR&^uf5rE#%-$f)0-V7i*9#buo0=4IH&trj7z%G*-kU{M{`zuR=VE( zF6K*B;A_`4;*~pByjL<1=Zq`ys0e)M+9q5%YbVF&MW;J&<&>l@-qrg_Es41*a9;Nt zpDk&NF5c;W6*Hyk;v1Lw!b@j*lq|Y%t2;-3*Itft<vpEOd`g}z+S&cYtVrdS?yI7} zyRK#B;(<F?oN^7*YufE`%C$;7b|=Sa*GRovyF2*bFY>Vwe;K!>dg8q9e|n#Gzc}qW zMcg+|rBZN8_o5h=?SV78Kk0ex@%XstXt$Dn)}9j|7ai|D6w^|1aYna-zEZK^W0&R9 zig{CZOnl_lCT*A}Vm@(pr;obU-4%t4kN1k1Yt7L(=h82I(|5}8j8krLX0K**lq=os zeCG4SYT}&UJ7!h4Ir5a%h4<!_lwZsjeDCJ3=5#kBPgz5F<*&Fa)feaWF3NG)KJlJg zowTeUOZuWKo%eE7%qKo|NmX05r{l{aGx3c(GtRm$77p}#vSZ@ZZWsNa;=mWKQ-v=Y z9x|%DIJ5hbMCr|r({9mbTyr?KopKA&)7pFDi;}tc+&Gopfzvu2)DO)$amHn)_`<j^ zY08??e{)$ZE<SZ`;Qy;@_vHJ8$IiW7{Jefw%qLE3=aM(7dGTT4p7tMdNix4`SA1G{ zqTNRR)~5@z+wV;AoAOlgQ2R9-lV1VT+wJ5h)nt5HbiUh0epAhhPYchrTgcy<)A4@c z-OfjHyFLlbZog%t@_WKF=NbG9D?QG;H29seS@JtzUMGkArKax_rnmdZpQ`crs(7#c zh>ggzh3*#@hHvkDptftaN8zF)?K$#`YF~U?c(pxB{?Vs^7tYCQyNm><9clNFx2okR zRSGiYKWi6aJyGtFOS`b7-xsrq)2;ZA+Ohl=nA^!Ef9P|-to9)JNuMsv>HMa)sJi3p zLSz1kl^W-qr|=&vtbO7A(kW9cYqP-Y=4Uo8PZwCQZ<GtEp77SOjBio#h1X8ma!u7I zPC6Iz+g5tKTewq$|DtY5+QOa9Uu2Hl-aTP*>l3~%TaT{`uC_1H5!p3iR;!D+RBgs7 z=W_0kdRx*L-n-v^PpoV6E`irhYq?KGo+w>t#eGuGWVgUur}f;SdLe0wr(5;JjW!27 zbDGS(Fw&z~@m#BwI8*G4&t-~tTHid;o07ipR%_W^u}?K8-YvA!<>u8>*a^+5*z zEL)E<#Y3$>#EfE3oOPPQov62E=Y+RT6=Ih*2i$Wib6mk6I#akMec|@jJAA)vSDbT- zPY|yvn()rClJ8cm#yf@k%@0_1%BiF;)Z})wTv56{sH0mz#^3K!Ysq1YC^?pA3-sAj zEmyo(FlYZOyXC2Z9=ocXibPB6#|7u~>caUx6$w0aY-N{Jk~86`nDB_HjQ^0eMn2;{ z?jN=<av66Ov7eP?dAdNupRdXK#9IY*?iak9q7Te#UM5pgE-<xu)eBjd?+k`aDeNtl z4Kvwo><)Zn?B!3WIq-;CM1H~#h9m4Ub_YH%w(={~9C*OYBG2%QF<$P$Q^r`i15X*F z<ph2(9AN)rdm!K8K7%gv54jEB8+7;&d}`3<zfkRPkNJaK!c)djIfv8(cbS*j9C*iA z%0J-~!!CB0N`@)yXKWqbG5X61m>)RFXux0alVJz@9@_)COYTpsaepP7dER4>@=M9g z?+ics!Qls-|k;B=z_yOD9gti}gyMMeR49JVs=<yA>l*v(MOeDd$)-~RJr@7=4f z`TtY)MDBuJ%p!6J@)uZMW9ZnzbcN^1Z}uhW3$B=LW@hAb=}1#J+i1rANM=Q`!udu! z_ERz(B?~SzUf~I`ydeL?A%(3==0%~xsYWUGNi{|nmOOTdVS8mXVS3{}9+otPgN<zL zTrw*P6b{#a;Ayc4c;wK<tjl+#!s5b|-Hn@gTv8RZnG+>foU+gTm#DJ#@A9wm!54zB zT&eeB++Oli$>WFrf|>Pt|1B4v{OO-Qr~XpFN0UG7ALY&e@7Q<f+RVLwkMrfdNqjW_ zv3<&mSIhPsz5DIeqD^gzFF}m~8|9~cCFv^G$`AWWtS7zo*s6T758OB?b?cJlEK{*k z-rHxAu5x|ilb$P9La#kmE3X8#F)Wmq_I*iHxiZnuy~ubHsEIML?@F4Anetl6%o3H0 z6R-4$SP8xG$aMQ9bFz5Jxrr9;w`4qvRZNuUN@^CX7%M0Cl~_)C>XGWUN~W`D$;pW- z?p-pSXFMh-_ey%6@kn&5k~vwp<k&<B_b3_9!X-y03b<RzoGe&!Xd;KZl#FMAih**i z<jK<>v2KryE=`-r<jy4XGGAr?#9wZgjD+rcR4b=Sa-Q}Gce^C5nXj^E;+me2R241d zyS*l<D!V7Xf;2k<-I|Op-SH?=ww2V(Q&Cqw*!#t7(rx$s@;~dY{JuD^zry~~=Zo*$ zcga8f$#LF&r~KWYC(gO=05xfT2flURB7e3{<#*uR{v`XRza8h?*UO*%dE%?GrTo^q zBfl=ba$hBX^e4wT_m%Q{e{y_Xe7XNlO$w;}uta|A&yKV1*>+NYJ-#TL%D2|B{0f}a zZ)gAM&x+5==lad;Fa6;->z;0R>d%QY?la_L>r#FO&g|E+U-T#Alk&-aC3~gMf=}F& z?SwuD&ghr3FZ#3Mqw=wSG5erD8XuL9^z+#p{aNur`A|Qbz0e<yGw$(rpFRan@840g z<>}%B{S5ZMexG>1cwhgPnj_yY-gA$%JM`(|)c!Aar+#z1SJsvf{n>HKy<Fb+=ZSZV zcl9r+arquNrGG(<$@htO-2LskexEq$ULe1>_Q|%3@7?xEf6d#Hu6(ceOU{<v6W_U2 znjP94_|C1|Y}01JcW&FIZ{~&U7JTcrS^8vN2&lo5V7_Sc#n*1dW<_^B&bh6X-k7&# z*Th$Dg=S5g17Er2n>pQmQKD=vJu@$4m*7jcT(hLj7iaalnG4<ZIP11hx-oA`+T!!Q zHs-JHbQCW>+iPO(wE5!9UL$j(&4SO|rb|cWg`_P$)vIAX3)FTw(R(6?W#`2iy+?9H z(iR`@l`+q{(^0tiXs?L5*By?+#fN)&%(d=x6f8d2%VN&7`Qr56JvmoWmGz`^Z)y}M zAL#vO_UZPDd}UqfkGWg62TtqVkW-SXytnt0*`eDfPPv7eE!uSPu3NC#rrRs>lr^O< z=8B{$Ye=8XRoQ-Va_=LvqD_K#-14P&#(t@u_}+D|_}iTw-<52|-^MMe4xHEhA!bVT z#kt*YVp^&%zIELuel^ae`r;ecP2yK~YJ5|=(fuOkOV!0W-7)%;ioxxuwQ)<T0_Su; z05y+hcL(TO?LBeUb(#3oIG3ux+1)o{wme&O5!OmrAii|xi_c2uyRGye?aBD8bhi6K zOv$rFXS&bCv{YVv>N-t)>dqCPlumW4=}+3D@kz-@+%eANnUbM+>&_P+m5z5y=_l>U z_^5QWTS(t&&x;RAhr7A-mG)$OP&(LsAm+<cC4KSIogJrLqxHD<aC}&_zx$8gtKA-_ zU2DYO#!h*vq$3`?)8qZ3J>4JlHWdX<?OqX6Qhsqt_Zz)cphjAWxa&@iQ?AA0Cu6@n zS+t{jP7F)=#oMlV!hijqSO>m$saAV5*W<j)ZsC`HQ__`egrEAAq$^nqKlCfHp7_>f ztMElX6YGg@TuRlt=5mxNSqbm;Gf7vv-ucAmik0ANm({{6{Y280EQFW(eMwWg(&?vO zWIXYu%TnQqepk|z%!Jp@%qUU1*m=cA#7gjmOQzbdIVXx2o$Itvzct6BSjj|q?o5qh zC1c@4zY<GGTWn58(V~-`D(YQxI?lLE5bmAnamFQ4t!mDR!bQhACDfzlfSN9y0_s+C zP82LU)XAYPHOHes$w0Vv=84lTv1*TuE>7!YQfHd;B425L=P$KOMuPWUs)bW$a-4Pv zSGzP@BVTDx=Ng}oR3$CpyS^r=O1nE>sZBBxyz3IE)?{??j!TiS?M#h4C3WG0zF*8H z-ge&4|I_Zu?+f$VE95VIzVOa@7yr{rj`Pkt`R`VqIOn{B|E^ui?|`??Tlmk~sr(L@ z+nyxfRNHaRc|HHx$`fA|E%~?F9r<<PmGdh8qm>-zoLBPit>pN+@N)Ydo0MMxFP)e0 zZ>{V&>zpkoRqOFZ(UiZ{j^$Uttady3Pc<t(E1qjNlfP8Man?Cq?o`c*GtM*kW9?FY z2Fz^Nl3!Gl@k#MyyOO-pXMrcq$#O!U17@_#$rshE_^5cST}(cxM&qO6k#;_LqnZ^T z6c4qt$qUtRoN<nq`}8SbdixHWEl(F7XlIcBRej?9!hP*qY>s@taL+kX?$D<TQ`^7D zovP+|uc*x*TG?^Rxt!m(^2ED^yV{r7xO@+o(!RjP<okp>&i-;;paxt4|6bcC+b+C! z+Q<D>Z%ex3z1A-}TXs))=Ts?nXmh|jr*g4Pn+4uEZRftJ7XoUYZRS3y7m~j4Mr(rj zqRkgxI~9u+#d@4`TFbprZ_BO;ubc|Snl=Z#a>^HTihWU{XwE%TFJza%OQ&41q|Fy* zwYrH5#d@4|TFBj~HzjT1`Boe8S1}#M3(vNih&ye*FtgQ2+-S4FGpFg?k$NF%3s1Fb zh|h}gC|Y=;^@I+~&I>bIkLZY`Ej->TBc2u0QMmAEtBAN)3`gO@!>v5xS}`333lFxk zh%;@zFuiq;&XrU}J?`8{jRM63t^dS6MX$(L)aCxDyJdU8wAKweC8>&gTR({%iav45 zDO7CHrVDqSg2gsPugFu><i4mYlB%e|eO6ax`-RD^kHm^L3EXkY=iVv%rFz19$Gz-t zEjzv|*s{NsTT&e`ula+_l<Es}o8QQ^R9|@OxQ+d)oJ;kEH;$XwuUcw+Q@GLmLgq`= zg*nYJ{F90UUOTQ~Un{qyDqv3Y1DPpR7iKpH@LSoQIP18KeX5*GRlw}#8!}s-Ex6e1 z!XH!|@WOEc`%=pnpB2tGTk$`#$@r{rw)uig$+HD#n$O6zR9<-MIE{U(<%&-Vr<&FH zC)sFxQZQn7lrwpzV94HT`QoF(@n$LhB%6$n3P+oT_?>KCd{8*t%*C%{lkq{}VDkZ) zFHaTp*-I@uPCG{PaoKQuSg^nO58o?mkJFAd>~CeKJXO$PkG1r8zhF=E2fj^30aKe- z$dr^{n9}@)Z<V#jDaR6aS4)mlj>YUJWxqUGu%mg73`_Zi+m3nMKVlo+GgXOiNN3!` zePMIMUDg+R3g?+V=qQvkmWw5%Gw$Ht5!>*VDNEd8cf(EA6M75IF(rvJ>}Igyu82J_ zhjoXZ!#SoX@dLXWuClJsQ#i-;Ku4jJF<-1<SHor29gz($nY_dub~TuBCv0vo<(7zT zc)@f<N1=pq0k_BIhV!fydJBpf=WySMX?VtTLFd64CL{5LG{za+J7OB1GM&;{P{cTe zdqzyd6DB2bhcw0s+!C7^47oWrHymfR&|6T**uxzW)9{E%NW5W3!(mnly#)n~E!+|@ z4G)+O=sY;hv`1&bX{H@I38$Hu#2I!p>}UO<yC9!2O6<V)hP|vQkqpyVV<H>wF?|wi z*v_EMy<!uC7Wa<m15;RU=q|`(3=(tL-k`zVu&H4u>j~WlCz&3JJxF0J;I4>nxXoC{ z|Dd|z9`hSZhWCtLWD>qJ>|#Gr%wWTQ!uG&i<{Op_?-=v=6RI0-F<+2N_{Ok_eMND@ z4dw%K4d)o+_zj*jtYe>0+;EL~gIvN_hE?nx#S9kg9<~Q&GcS;P@P#3dFQKa867vMP z1!oy=$RwO)bmLd3VlZXruw{6`cuD5LXNGy~FKif|Gn(-)sAMo<w<vBn!#u;1;TfYI ze?n!05&H@ohNp~b{0fx~CzvN#GCW~CCgX61@sP}ej|_e688!@$8O8V)R5To6R*-w} zfuW6E!-nA@BOAX$1%m<mk0J(r_7_DAdh9of8V)cs$US(^P{;nlx?vjg2ib)843T^d zPZ`4b7L+&aVSXTc;1uI3nTAu0@AwR!GL*5eux^;bd_h*>6ypM!gm(-@>=i`~JD4pj z8SXIN<9lFs;l0B?=C6EP(iQGCe&N|-J>i{0CEFq6fOih%Y@3V)-Z^Y%zR4G2E%4T1 zGxJHlkn{yN8WY$T8DDtqP|Q{&>v7IuE%QdcEmjj=ITW%r83(*_$Y*nseNm!d&ODPZ z#7f|$LoQpA@r7B9ZtOy`9%mgEGB@%~Nn3Ef(T4q%Oh@s8vyCR~PR18zHX5-T84Emf zn9dx@7m~K%RHFv_EE$iY1t%I$@UU23n9+EIM<i{*@kSZ;ESZkN1xFi2*u7*p3Ktx1 z<YCv6=_pumu#ttG$@s$b#yvb&QWf-=b0swj6b>~0WBVk%B40t5`6KTZ^MGlM8+b}m z74|lMVml;#;*>)u+ajY2cO8P+Hc79@Q_y6-$Sabnpuv2WSH=9o<i<yAMMeU59P*iW z{{8&B|GoX*|8IV_f0wuY|K{J~-~RLJKm3{e`~2McH-DOcpMPt=?f;d3&cDyUvETIn z%1`xg@;B;V{Q3Or{G9rj{}Vs^zqViVf6c$ezx?OaKln5G*ZJA?0sk%k9zScp?EjR1 z&cFO;*WdWF`Pux7^)CMdKl{J1U+{m)&*z`z&(~Z1fA}Z;v;5im3xA5A%|BCr=1=p_ z^H1%k{h#u4`6u~P^=kho{!#xVZ}i{cpYbz!!~ZQmpMR7;UN7}O@lX0k`J?qh{~iB4 z{~&+3p6kEjpY#v%2kQ^~`TSH~|9{EP_S5#!|2Y4!f0)0&{?EUczuiyU*ZhC;ck)wt zo&PaE-QUmOQ~%-L#!voJ>sS0K{(gQ+{hNO)f4iTuFZu8Cll_!^@&6NlKR=njqkhgG z=I`fk+volM^X~C(|Mzy)-yYs|KX14D_ltLv)8%b`KY3T2E^qz&!Moz!{cr8I{=V?e zcz6FByV7r+ciGG2t$y!$XPhp7z4pnQ%e(kr+pYe+;+=51yv6S&?>?u=U#a!`Ubwmc zrQOos6W(1;lQ;Xl=4Serbqf}8?X_F<d&N!l68Q_Ym)?Bd+5g;b?(c$kn|Jm<v&;Bq zx!M1jUD~&Ucb*r?pRPUiCU__RQ@hE(J>CiL<eyoq@Lh6q|BTv0Z$AIHZr4}+_VNz? z$9CPnHQr6$!T-pv{kO!sV2f*ZEx&o*DevH)QM>QW<J9?jzYA`rpSElK&G7E?_Wt{J zwZAiNw&%~^Tf6B^bLxEU-!I-y-p+r|F6`UNP5e`9-+i0;D0#d8UAv%f3#&8dYy5V& znVu)Vvv%Ga;qCpCYae{8ynXzwnsMbxFF&J6{W(vz-Hh9PB1^dPgcG09gu`_@%s-CX zy)*1DU-MYz@sDF>>kNA@yHy`E>ND(#ui17}PjB{{jYs)*A5Ew~o3ws++{Uh*euiD! zuUTcU@y~fAG`Zr45}#p*{hNn;#TAF8&Kb1n=R91!cHOL3E0^|3y;?puZ`Mn$;EF>+ zeFiPl!+ss)%PY9V6StvBxaR@0^o|4m4;Ynew_J1;w%u~Uk=x>c!~Z+2%UV;Dw0*LB zSjBHiaO;`q3n!`zi2S_p%R-XL>6Gl|@0KDe4USiNWpZ2!Ry<S?a@0N4FR!K)v7?|= z(P^TrRfT}1k_tyyi>6GLN!A4oCuMCWK^fO;O)ahlOfARx<<b;*6lU(Kb(Fot)vXc3 z@=%FQTyY17LHCbM9QxfaHgV{6-`Lb~z?DJoQNCbZ_lxM3X|5l1lkx>4#Truu!^IYE z@7Uw|K=;rorBymjr<C4_8Kw%Bb+3qSnc{juSLu|}0-dBh!J_VpO&vR2Eh1U&DBTl# zSk`gh`G?%1?*i3)2dg=3+i%#myi@!n!*pJ;h`;c;Kp9_Sb;oVz8*+=j32bSfVcYUX z@s*6yH-Qc93yM3gJD;&^d9C<FX3<xHHSH0$Ew2<G$uON$4C4RzOkhR3g>B1h=NWQ~ zz6dO7&nV_FYmX@Ixaiy==X6%liJ!5m!=(L(P0MpdEB=F^c5j9p(^<uHGD@EX()b!H zJ5D=C$SpdfsKxJC*>Td@Lr&?FKnfpYWrtzAKyimbdxcHQV?`<cgB2Y|oi*f?J_>ZS zTiCQbROI4!tmruC%pu2gMsc6aq0@@HWSUMZZj({^AkfhM!@A|Z;xE31PX%h)Z&<rb zb55{4GS&HotkQddiuMgf9a`-RiaK^X`&hQzReZ&#_*9^zy`zXjqkV>T%N@meGD`0R z{P-BlJGMLT;C)msu&?=r?2&nnANZWkE0nP>wC=EJK49E&$MKG2%Us6?e2>Zmwl%Mi zWqGTR#;$1HVb$Cr%koCy1<#{Wfnv6YRvebi8L}*|6~fpTT6I`7HyC$Zaoi)x@=C#v z{b8EGvStlgmX`{*c$&^CT;oY95m?k5VBB%Rv4KzNtb!f;L(7hHjs<*4#R9XM9gI7S zn@`BJ%ydlPdsHMaty#mk<CJ3nUs934q~;D8mYI$Yd`V{%j_@d*QIKPQXwh-Z(SR?h zP@t>XLx$y%0v~&#MaLmW0luUHfu?2#;|~4i4@Mn&%@2$^belP3SRN?+V|!@cvClC> zlI6a_H@1nX0ugM5sRC8aC!|^KDXigHbV^|b&!aqnV75f_j$Mu(k}P)>7V$8hQh3Iu zXx^dT+#%gE+3^7Hqg;W!`X7Ir-^*A1-|(D&PyL0@?RV{8{8KzH|KX3~cmDE!3D5a= z)bIG){8m2ezr*kLoAxLEEj%Zm^q=83yH$O~-@|k4cl>iaCm;3yz_0eJ_ACA=o|Av@ zNAW9v{=bG_?U(I${A_+H@Aco|SG!q#!sm9=dWpZyFXXTMQT)Qcpx)zi`+56{e+xhJ z&#Axhr}>%ug+C9^$Q%7nc*Z}Ye#f8Yr}C%%Ed0bjrGCbr<|pz>{~ez3PpFsp%x+lE z@wxrDy~V$UANhOgBmOi$k{9~l@T2{(y~MwTANX79CH^!&kU#L};c59je-@sW-|;8$ zv^>*)h9B+w?SK4T_?|!N-+}M#d+k$xGEcLQ`PqC={?osP@9f(3D?YJn)$jOyc#8dv zzYE{-2mN#S-mX#K@Tq;L{fWO1Ps%^~_uvVCL4C#V=G$_0-yiI5zi0dACi8o_FK-gd z`FB;H*vxKIed6xnxwbcMGQX3{`<}47{g&;8cZp^Eo2plAZogrB;9cW6xw!8J>HO=e zCv0xNX1n2CVk!Ts>W<Cq7S$ei56`w;@a|y=f8Mu*UG0}_C%jvDR_?}|#Itg4-xYST zn^tq&Wqu)d>CMAp{(03e?l3=>GyA?^C%Z|t#pd=iwli)rKa<n@p0KmssCva6=BILM z-xYSYpRk>9llh6<u{Vxq<PN=gSjgX3opFcxv7FfV1v}c0*ebkxSis*_t#OC>p&Z+H zg&ph$)ju||>sP<n#I9F;V^jM9TZVTJ^ZDzlU)*k<X8Yl7Vm^Q5w}w>y@NWyYx9_oi z@b=&-xm9l(PszReW{}EXR=whO^Ay_)Zxv6;EqIfd$6r)kv8jEBt;J2|J978FJt%9x zZ~f!m!tZ?5?+#S6+m_$h+x$-U(;de1vPJI;p7WKxYp8C&ZGGe3!f$+A%4h6tek1$p zj^a1I4dn}p+pk-n+1dPB_Q{=vU-{OQN9=8WCHv?O<2l)&_aC0|tthwH+dSKP#=V7K z_?DDs6tkO^M-;bTwC=d)cvjZwJwsKyN%@aG&Cg}6-XExJKWm+FkMXSRxjTxV`O@As zRJNbCj<~n*jI7pshsySo)*km1Kk=o!W2kI5EEgzlHz=>z)BIRg>ivO=_M_Gs_Y^<! zb(CA|X?`fn_1>YP{h&3+J;pP#`|cb(ExYSZ<7wG#cN9PHHI)C@-F#p6*SiHz`D)5< z>~@}Jov`!pRO=Ua72oq!ly4|%*D7C7)V|x=XJ_+W*;nrrp7NEHcNDQ}l+W1Rd`EWP z9mRKie(xB{+qYZqIQy`iZ(s3?xrgUjemLiNUZ(8%0_%30;seI*cP#JBY@TcR;M~J9 zzHP-T<}$yPNqeqf-ELLfF_-y`%!@M*OZkeQJ+NZ8EY6tA{8}dL`2wqUi{b|3_A8cq zW-`B$@q7Ltjc-}8#$4u?GPlk&o|U<FCb5KXQE`BA`vuE}bBbqW?4CccY(HmNa4xZ! zZ&tB`al3Kxi8;+PEfdZ?EaID1tYO@K$}-?wViDh@;*L4YGc6s?C7zKvaz^otjNJ1F z7VXC@4bCMN@^uw^%wc{c!}mPFqWzGiz`4W%zNTUZ<97Yx4@T{J#Se_yb&EOXFh7v_ z_w0dr`##HznauZPzCD|e$`|phAeFDG_{41HdopXzEIcK%;>^Q5zTjsG=Iy&IJ!UfB zm05I#@s!N7XA0)+>ct(in<rZyIQuY{FE9T`ZS#BSs{0$B^X|#N@VV`-`HOvu=cPaF zQT)zZelOuU?~eQ(wass(v+g_mZo6rIV&B4Z(n<FjezRHSSJWP!W4>db<2mW5`v-ot zT{T~^Pw|}egFT90dGqfz{A#;wzN51FrL@<5hhJ@G`3axfO!Fmbn_oy@*`xS{cR{|# z=eG0a75f%`=ADy&qo(<p^o2bS&qy2HPk6>VBY#It^Hb?ldlr7;osvJJrum7q(tU?# zyc6;zKC>C-b9`<)Zf>z};YZ${{D_+7N76#~8-BDMHka79@B?p4zC=y)1L*^M9-fxo zvuELH=^c9#PfIi1XZX>!-~7kkh3|Qz?j88vw%0tRl6jhWOl9*u=}-3>zO!lPulU5K zmA|9<@D%eKdl$ar4Z7#>y-g#(;ZxgA^Amd?o|Jxc@4*w^g8Yi==G#(r*B|U|yJz|) zlKH*Vmo<syyt}ebY-Y2`J`sC(uIY_P=66zg*AsTP-7>wfF0qVvQ}&9@Z8uC0tZO_c z6?ferop)XKgw1W&OgF4cEahF5-LaX?BHJVO@NCls>mHWy=3PtJ)pp5r!n%cLrEaWA zJS*jPU11lSX*NeJ^9!j<YaSN!&dYug!~9&z?D~S8Y$n+jo7>Kq&WL1wCZ%^hVP~6B z_KFzhr&4Oy6?V3rFr5&|{6y;58pktIht@nS<n7DOh+%##C3bzmj<zGF3hN#g@U~@Z z#4taUV!N)egUulO$0j!Y>=&EZ^s;YkYCB-cu<l_#Z(a6_=;mprAJ!)3^G054NaYQ` zwqSeP9@7VF51x`*wWjfu)Vpg2sk~*`E25jHm|j?`cuH!)n#4TbqU?%IZ97aYBAM?< z-MjXntnI$>k9iBf^HiTZP|aqWe#5r;o#dxEjOQhb&KErADLdCt-FDmf#=M2!c($a^ zux)-L`D%{hH=YgY3yRyW8=tXkel7WA&cd%eYtkcZn_o#jn!|WbGU)t=XFMy?Eo_@- z8_$@x@C(n9^o(LQv-F7Kwu{Cc^Bm7gI-O^zYBNdyVblCv((3$y%C@t{8S@y=N}ije z_?ai|Ttj8sY2%1_3(rVuop-2gJ8A4OPw^8^$~lJ0Hp6s*;x>cy3Y+G~l2YdnRJ0v6 z)|jXGk*6cw!lwD5B-eR|infEs9P=2@NbZ|+@U-NvIgO_!x6M)fz|)Za!@Bvt<garJ zp7PYB->`O`W}IMoc&hP>xr*<3D$+L;wP~d<C~Dhn>|@z{SMt?4g{M3v=^aIE8tF5v zo9{@@o1^%S$L}0NdE0j59la0BdG;m0kUc!l@I#;Dd5N;)3#{90k`EZS-7&l)**w?q zLEpnNo^8o1WSQSeq#akVZnH}6kY#=&@uKHpDNpgS2UcvB$r-ZDuO-5cFR*H}NNzB0 zyJEOUlKGW{-|+`&Jj;?bWSL(|-0EpOD{-wSv4m$)a)5E$1;d6u#j_H2#~)a>oii-x zODyJ@mF!^LW}JLNrg^4eLf^w8o@vP%#%-qz1Nst+cqS!x$S}_|bm&VwBXOih@r;Dr z@dp-d#|#bn5({~{l09UYA4%{XPq1h^WGK*=SisYi%wXK6pZvk7O)vR@QJZcuhYa%r ziGRl)n78dS%#dWhFY)czgjAl0V+E-^Rmmr$neR!g=~;M6VnxrxJf7fV3Fd9P3_T>7 z?@BD{VLT=A?3jXin|gAGbn|4x1HBJ(dGg|a{B3$KUbTP2bM8Iy7e2S%)qhc^cwYQN zjpBFi@_h-<xp&0x_}lbWJZrzh@7A08C+ZfS6HnUD@SD{tzT)qpIr=;59M6eI?LY9V z^{W1gI>mG14{8*@a_8@B_|<w@e@CQ4Aj?7S`da3HDbHS=3Z5UX^Fnj4K<ern+{N)L zZm;^v9VE72;QM5SDY`%E4!-3sh_5i-^B`sQDeh(Q8h@EyioMI3s>!}>Vqh8fs_24T z=UuE9bdM~&>ooU<?wOlTFCL5OY%l0^n|yXotMxvO@XhfzZ!%3ksD0UNP5i_>$5V+i z55m{QAI(eTo)Tgez2P@k8F$Ghv1J((XBY2k-M9YUk&An?TzU68?a}i4s8y_XQTvRx zaX?3W#%ETu_=wM~7xg>p9M6h7?PvJaYGT}5_wY0KJaMa|F%R}^_{n-!f5A*mzsCV3 z-94r4)lCUILhh<I-J9}b>VvD(*Rvg7p|@P?^VHk7!waJK{?z=UZtB1ApUSVx8E?W$ z=SkH6N_w{P^4fsyUbS9dS6-dZR<C4I(^a}U?AnR->)!a*Ej@ZVWO97&Pp%KE_sut& zzv)ibxv<&Mfp%AaTuFMEI&1xhdAD4ee_nnTUKRh*cj7(U&|g#UhE;~l7g&2IpmnAf z&y%T>FV7dM51Mml)udA&G|$i9WAX3Hk1Nl^c9(72l^j~_x_#=~aQ}ksQg^d@pZPcV z-@KG}HBI}{%17IN-RZjgS@Xtn4cGO{Y;LY9S#@G<SmerOKB3R8uEsnH>4;nQ==P~I zVd)7^mn}*X{dM(DNaQ{n*R<7lRvP+GeOUV{@bk(eYpd$Dif3Dj#!S7x{>i*ge>qRz z3orHm==ZX0<E*WV_D-7gd%Nho^?#1JIGGtmY2L{y&~|n?s%f>_b-R!8!mx_K)Kza{ zT6c%WhrSor)+pK@INjY-ZfUfsww2^o@0}s3E1t|;{OH2OMbTcxE6u%^>aj}y*d>y+ zdg_DF4JA)<5}B*AxTaQJnYPfX-^s*Q>)i_VVt30+ysgW<W*^WDKWMq?!>TKb+1j&Q zTVL(<nmW0ld`0*TtIf_AH}O5Snlf)O%j&rGMa5HJhAuCRdCF6|Yvs&mtNhQeJ{8g! zXX+;8buKjRoJ?zZ$i2{vRo6ly-C{eLo^qF}_s?D|Cja+c-=@{GY)-w^I<7zGX3<qS z@q?@P)F^J|j#qxmY8-!HPr*;t)7+{10yN!4&H3jA1z+5-fqP2)j6Y3J{MXta{mFUG zf2IAze<8mvzw%#IRF|~(Q06b;`O<slf2+H+=zFmI-1%?jJt^C@d9rNGz4LRQyg8Dl ze*OAfpTKgj-p!L=`K`9z`0h)Zy7^M;%6C_ml`c2gyWe^z?>mvS<;MNifmUW&CtqK_ zlUc0pXW&~>c4&9=?Ay%IGj<EloNJW-=<dn&eD8hN8t<$u7FLkCI-4ooDfDoQdcM&_ z9;F$^(RsfvFIJxOa(mvg8~QGFjc3Fa_8UCoPTD8%jJrR+V2<Y*ajE?WezYFd*Qitc z$lZ~0tmff`?@bR|59tfkC4S&;GX7e}c*dtX?GW!~&dA8Lf@Q}q#yFolsbBN6X}W&N zPp0V`xTE(8{9rwx|EKogdv)XZ7r&dP&6nGM;CslE;Q8@4emhOmPxyIgs{V^w#rND5 z_8b4HJm(JESM}Rzs(!%FLwCc&*W9W}JQcjfKJ(_PDX$8&j_BX0UHDFW@A@CxR{d$3 zvNmeJ!*`L_{%!FcpI9~GXZ&uuBR;Q2@g29{K8EkD+x2(kw(e@Zzud20=uXr7u#NV* zc~f_`-qU?^lj(if*60hHTkq<=$WuHo_8~{HoV$Em!t<5qbRXnBEaTo5z2Yv@Td}n5 z3cFjaqC4&~y%Bqn^RSe=c-w<rtd`LkcbQ&`g>7H3tJNa9VRP#h-90y%UWxf_e~`w# zEL!6((@U{iIgMw<uH___a4(7u*xY(Sw;@mQteD;Q2RmEO=@#TA7IV*vcG%o%9DU+W z(@fojyoW{H)1oytx1Q1s$V)8Zo)q12hiRs+Ltf$;u_HN(XT;>TKiJWFOxGYUv5>nf z+T#w>BQd`12|HR3=?df}7H~I3Gi+|vkN&W!RWJI%rdHi(jyp^b#Qtr2u)TGkZpKZf z`(od=O-SXA*jA9rT@`)eHq$+^H8~4UiLJ<an8zKwEn$1>E?tkCOn1c=<uINSd$vts zd#ieM$L*%cx(9L}=5pu7|E+uaJM?{c^}a`cz0Qa4j(=G<^|`i9{L{M9=i1is59>;Q zPkkG{HU46q>F=p;!b|sc{pI?mZ56+_&h)wV_4Q9`uKp5z9lkn#Wu54AZHxG&bzh%p zUs><Bzv%PSm*Gp}C)Qnkrfn9#_Gi`??ThQL)QDPLx$DyszF>d+(x0zBYoA|lwg1td ztk2qK*I%e9O;bC%-l+YRaO$TuU7x1TT)lv&^}FaZpN&4d!l#E%i=X;))hF#!>(%y8 z`lIzp+sJrg9qZ4lGu9ue5q-A$_<9+3<@Hj_i$04!4k(G&y%$ox^vC44zM=cP{=EFA zePo|@XLx(^KBGUYK4>3OJG1)TI=1~ndzgxaUxdfIT()s*se1ZU^VIS;Hl@#3-dVq^ z=IB$+yYr9OTz$4$H=ebQ^+(9O_5b#L`n~GCwywXgo$61~`{C8`sXw_+hllUGRIT+% z>%I1#^=oQEpK5Ex-}Pnv9`e$EX0UDLsjn+7<9&B-nyvM2`RU~q^EdrjmG|nC=B4Fl zY+9db-e14OruD~__rbG+_u97ZG!LDoy=(oV8rG+)cdmc3uj<p3S?eD;?JxQydM7+T zIJEAmS?J7_ddnAm3YoQXl}~D_)}EE0mR+jmDp`GhZO!sYw^w~%d2{VIo2fshsLfnk zvHjBKtM7tS=Sgjz`Yx=pZqpsE^TGYmPwkHGxH@<38z0s*?K|^N`CLt1bzr&M_FZ>R zm94%tUu*lKyIJ2fudYp*?^VlnF06E0*Wb=U?HenX<hZ7<+BN@xkLlCp4tn;rH(!;m zwhWfFQ?(9#6jC01)^_WzsjtI|wq@0JUF|B>GK^m9Cu%ABG$eW1tT|jo+E>>;%3*!J z{KDFx?NysaU->ur&;7R~ZIwxIt>4xiS6_zo1e;E}dFrhHV*5_J)?HIyhV<KS^b>uy z+%$S>p6jkq>08UW{?5DgdCFV=hG50KqiL&6qkHYP{t$T=+~vR5&NW^8;@T@YqQ6Am zge{0(dh^xgQ=iqfgC+B>{+#?QY>s`doZZ!5!jJqrqjU3??i8K1_S~N>KSG{|&5WKo zvnyXqKRVHGY3izdEB`Re&${!fNc;5KQ#qkKMW2RE4lcau^-2BcTBYqun?;|5C2tej z96DpI-1ee7s|vM`trgoIbVsXD`^Z|p?M8Q26=)w?%hqpI9P%uva9&r@ZjpCEWz#O* z^(xT3xBSk$s>w+)r%nf#xO*;hOm_RSa*wuuYGoGx>EM8At2R%06I|e38_9JhsL7jG z&vi%0!=N^AS-sXBA&-JKS551>8>{tQbI-2jZ|2SVbR~A`@-;f8>DmU-wKq?l4vXFP zXw%hcYniq)-FfwX#p$(ww!OOTbvmpj`fcviRBfH;*qdJYtM{z^ux-<((5Y)z<dkl| zI%VyfZL4m3oeC?7cD>1UDy%sAWbW6L)jQVC$zk1o^>$cZ_}{pv)uHc0tJgi+>vcYK zclgV=sn4}+!k@;KrmsA{`i;)jAJtQy2K9wMj4Q34`ZjcH_{D3XXX8|7KV4<z{ZiL- z*Okeq7JrdBT76~S;;Q~nwy(-Gw=ccdcPn<)nWsTByk}Z&7MZow#d7+qm{Z1QgBEzV z>Mh+d<w@Ww_qB3It3p=44lM4gisgEzabs~(|El6CZvr>FUz7{2p7J)ZWLnXtkUN1h zyie+yZWo!dbdip0dB~i_Ph>)?ro0Ty>$9@u`ntm0eW@I4x~3+msgb_YbhY<Jy{o6T zi#!P37=Cb<o@x5Z>r1!ji2m3vGJWZllE_!SvzK~I*DBq7<z>(cZ{0Z8bj=$}O*CKf zwQnjGnYucz-Kn(2=xuKE^A%d|te@njT3ng8SfpRc#OBn=fc4>Lcb@vHWf{IT?r7E3 zSAjk5rg2NFLg!pwe5gmUIOIua!8)(Kug-?9=y2!dd#a`Wb=BpC5$lVJr#uL3b$+?? zREfs9^H*1UtykK+>TGDvx~Sr-FG3fEuiUBiMeD*sC)f2}#aEvP<gNQ;^D1w}#no2r zOnbXNuR6QhWW7`I)tRe})*BUzJ`0^59{DQqrghi*RYu{Vajumj_hjxaUUMbRw6pq3 z@)f0(>)(b>3U`fjeWrC{^|2V$XR8d{Gdqi>+z;&!x0F+Ty29$f;y3HE_H=z*b#%4J zdapfPA6Fe-&9h!>PuGW42UoMKXDYrref6H0t53D`!gF_Oeb73v`ro=wyH~x}(hdI@ zyS07U=Vg~xZ_07X-N|)2G`vk|kJtNEdscr)`?SaNY-rf3RTgcMtKKg&oRXTiX;0Uw zl&g22yh*q^WoFGxpU*L=<)L>&gVrsYz4H4ijc~_{L8j)xDp%${3@w@yZadTS<EkB6 z>fr}tzm`qC9kM_8r{7iUtMgV?Y*o4U<!OPIZSY&arPiVIR(|lAYJGL?$~Qi()>q$# zYzw~X=W2cRO~|I;t24FAv~H|?;q%q%>YSA^%O@F!z7AOvyw-1NmGHfg!n$31J>RS8 zW#rx3)A@Os&H0rN{<Pd?u?l?^lK*AP&m~TKU!L&|totR~mACBv>{rXI_MYr|8<JO) zzv*}8_hr^LWy_4_t}4;GwDOkE(X>^j!M%Q}R#RuKbXv~D%B&o{!DDLLs`D#tmcN?Q zRlMr#N|WVI##d*qG+J(CEcz^DdT^v)Xxge%D>atSn&VZp>cq+ue^k=7POLoUqnftL zAlTOLsYU4Hke*;&zoizTk3u?vW&KhuLLY{-1oQf-T8PeAxzFcm>MFh9!kJm8LmGn_ z{l1z{y&qB=oH?^Af7RZVn|xYRS7`^o^qp!hdM_kw*(xK^sVm>r-TD;#AS8I%rrE3V zv^0Y+`iiD%X#}73RW-jldF7*JMMk1`Lh^%m+J5~#<$ds8|F=Ig-)r7m{>5hN?<wzs zE9V{h9P%!>eBP$d!PAx(%-{8w^MmH?`Ty!Z{a*Qg<*nrz^Jmq1eOqZ||Mc&Y@51+j zH~Js6bA7(@`toNsPk&9BGhb+a*58*OG_TF)sn`0``C<9N<ze%KYPHV!H`zD-e0kcx z$NylZ*4LHh_8Wg@o(*1Mzq0bx7fo~jnRcPSL|z8x&P)1yW!7@H`9gm+&je@H1=VPM z(Y&zylFiqjmmmB0*_-}*@>KKua;y5Nny$|)&(7DGf2oG+Y;gL#Q#Gf~1kdn~wM+dO zGIP1s{6#fcpEOS{SE@JqEc`4uWnR;tm7kWMUVh9*_1SVG|JKS^A2p9Jmztkcll4*a z=yIX?PBpJSXda$#GGFUY=4Z`A%h~1&)o`5&j-U7GQ^@q?J8ZT-U3p+R!~9>>r{1sJ zw|tAu(eGF81xL<1^y$jf<zMEVs^)sHsqG(H*>x(o+~2qI)Vr0tmM^h!{T?!9`2ri$ z?^Ess`_JpDK6Ns<z<;mp(`{GY2krCzs<$;=^WM@gI$L*7c^6bU?a=0scR}UTHf<Jp z7qs2`re5f7k+(scy-(_ermwuQG-3Lp%~xIr6;CUQ^*R@{)_bGg)?HIx1r<(f+8pvK zD1Vw$?5h$@bMKjYp}Rz02IWpm+I(f!Qn%?sv0i6`7J4`8O-);QeyPp$S2111E6*-9 zneMdt%FLxk(~UNZJPVrc9jO<Zw(`_cjp?&uyoy$ySb9Q-b?22COONP?rmZ}_RAzcs zOjqH`qf14md&O`St~|VyXS!BQSHa4IOIfBfZN4&n=^mY{shWD;xsh50ng^EtoAxPs zRlcUK_eb5W+e4-;-JnyNs=0URr)h_xPn`-1owjJxmAgT~(>6t~%G1>JzNjmjs;S|9 zR#$cVmB~vVO)J_YawjO?d#CKz>M8F7_qxBe?E0=@>;6`5X?4iF#UEs*R$rOB_>D|! z^_91Q+uX0pxmI6!6S&F!s-@O9jT?(!$b7B3GG}p2|D@uO*MV!?*UBxe3YoL`fy~sZ zE3+2|^jq1UIvcpmeX5*mRmkkcH)OUxTXAu*OMg&t$cw-Q?n^CSebzX?*sA}LP1a|P zvx_gtls;Q=X7L%B*2*hS1E;x9wOsW{<J4lc{z*1kpEQiz9py})X&Ab<TE6<IaeT2< zf09ksM~$P4h5DUrUVYFwyqK$B$tLTA#=*r0WWGMt(04Dj>^dD7-N$9a^<l;S#ee!< zS$mxhtZ{!UJN2oCj(e=7*ZUQF7Jum5R1`9G@d}yJ@+(sozv)|L?R6@!#NE}B>r`N| z`$^faPgd+$JV%DL{L1aXJm<fBPpw1V2UNE`lJz<tu-o}1-_&#s8|SBdrRf^h&JX!Y zt*5*V*y?<d&(wO#n}E``E?KTJ4J+rpe5UCd*B3tFxoRcyI$*W)N<Pta4GZU`d|%Tv zt}OIxFEXC;GGM9mM82zO8fMOGC9_I2E-t*nBWfk`A|SKvm&~c+73UUOwBM5PD%LP@ zo-3(UtYPe&$X9AP<!L}_+bWr^q7^3>s<d~>be##9;M^<ebtWLOtxD!p;fiAmCEBB8 zyb9IME);5a`XlqIK;!U2uKX;Su7VW@7qYZ78DE*ca1YPbR1H1n+?^*sE<3)Eshw$x z%&Ygyj5ay{<h^P>SvBpM@ARFEH|}-LoT<t8(r2mjN8YXGA=4IaIP=8%%G8Bl+D=Jx z<*QvZb&i~w`Ke%qmUCd?6q#4=mN~A_G*6X0mA7Ko>_t4PsT#XxzxmeIWh63X;j^|$ z(yvYi6gpc<a=lYKwD4YD(A><gYTIWoaoBnH%g@X2{rA~_{kKK^v--XHU+z4qysRN@ z-qmB?`ESeb$?yCt>kfSme&=6ax9PL+JKxZ{UABuWgP;3vv%mV!<@e<`{+sNt{?z=Y zeq;U%o3MnBFTXCgwBPwp<@xe!^CRk`{(630Zef4$r{>q?=JpH!mHe7Kd%jP-*5A%A z%gw;WB&f;!Z^^Ue7v?+EdwstA+<%^Z>AxdCFF*62Wxw#}%QOBn?Pvbv{Ji}1e4To& z&%saqr`Y@cQ~4SE#6P93=}+bv|D-yu&(QMo$dAj9{JZQ`|F!(M{LsJ6Ui6>KkIN5W zrE^@}t53qy=WqKX@<aH6f4%+BzgNCbo;LqS-L2o6r~M=9c72+B&%e_C;op>}%eCwS zf4+RTT+{yM-;nQ<r_6s**Y!zw%KT?_lYYNE>0fAX`IGbA^6m5Ql>NGUvV8fyx!>L# z**$sQ+_LgZcQw!ZRg|5&`|_M$S=phxFU!<#&&?`dbT_k1{pQ?PZ$x$rzws+6tGb(c z&TpOd$(t)n)vwJBD-XJ>dCsq(%<JyUvwkbA=e~QArha*@SGm&Nm1q5O%Az)3e&M&s zdgV>c67>snFTMG)bMkY)xz>g6w(Okz%rB$NYIE>2zqGPLcU~5$pPqZ_O~_8+r+$;I zJ>QA!6rMR(p<HV7<Qa1hz4@|Z@?*bVYr}V2c1(Wc*J-Wzu4KpLhkmWrg6~XrOn%^( zQ1)xn<mq#Fy*ZM)T-TcQ9m|f(_x<XuzrJ0Ps=jaTx3W{4gs072_h!lV;Cp@*){!?? zp7IMR+jTqhlwX<k)we3C>bvGHdc%^seCOOJWmTJk@A&zZHQj!htG<19UH+%NE59$Z zHUD^T$@67*XP4xkDi)qMyC{EG@#ML)3-WjEJ^5|ft=Sp*v-Wy^TV`c`^d3vK@Z8yP z`Ll{AzxFN4%i7!db=lR~A^Ba!!gFQ^<yRF8zw%vfzH{fvFUu~?_Q=mF7M?x(+8vXs z$uE4f^0@YLepzN>UVHCJW$<&~Ip(?dmQ)JQntkrhl*-97XB*`2+H>;LG9&ZQdoGoi zpZHEPcfIHGOzp(%V|P@ZEi*8;z4xRd__1$~x$eCs6~T{uJIrP8rBnnz^ldTcy{A$k zJY)90J5Qc2(=#vJnR(i`(VX$#m-5N?eQV7#cXqyCws-cXJ1tL_X`8>iJEdIso^M#* zsv_a3v)|>-Dw=%Px76HoXXm?R8s?5WGvBH0oIUT3NcrT+vmfMD?LL{i?EcJ}^jC8; z&-?5#emOI<T<z}6PiI=vm))89?o3L$+MSts>6_-REK|ERGcA46+?D5iHX0v1=aRnc z`pjo%o>)zuGczhZX>Mkz+SQql&ak8}yE60MnHH<dFMaaTjOMN^QM)wr)|n$|%S?@X zHVo;A}cooTM-S)cjFmFKQl3P1OmZJc?oC2g6paq790G_^A`_0l)ZS$W21s&U{s z6U)g@d?p%CoXJ_V%+R=Vrsf%+eq+mXS1g1d`*a&?o||GJ{K%)>Sn^zmh44e4W@FBC zENRR1jVph$M9rAiy<Tt2Iz#<6Os=klyDoHe^_<#s;KH#z8(O+L4$atg=1A%?U1QdB zEEbpV`_vhKJ-Z}TZQsmqX{U^Yr_Ee<W{G+5J)a8W$eAlo`GlnHnw@#dr_A{3S(Q|^ zT{9P*VM$%KbLNw@Dx=^#K7MIUvtQ<_ZP)%2cknyc-tZg6toO8E>^$^Nv}FB+=Uf%* zCRDdthi@omy`z06?%+4Bt>Fvy9(p61vOeHB*T(RMy-ahp_rxWh6OCD~@SJOHc*5R8 zuS7%E3q0p4ST~`n)jZr`@1d8XcVZ5H;aVE5u-9p}_J*B@W@-1tIey{FS|?D&dO>^1 z&O^^dZPs6?WId;y6X*DuD`Q>3Gp?E82F0vrv}589e&R}97f{K1O4}#S@e|j?aD`&l z6WTpHn`UUY>^$^XRA&8!3f5!VI&qF4xjMrg_8fXB%CkP8g7uI#Pn_ciu7q_To^r*l zyYQ4NX5EITT#exjdk)<f{j=^uIje5?gWZSjiEfHvJT1B|#_>H@W%z;JO;fc)b}~)X zz7v~xO7zXTfO1yN@CCb_rf56tJak9&$+{0uxC+Ayidc7O?}<H_%e6oF!(6BLBHw%( z%ektSZLns&tNCOm(>%>5eh<%yyzyZy=h_~;VXo6#k&NXA)~q)*ugr9MBa*P(A)RY| z@C;+t>zXHKI=vQ&SiZrE^{VEPnM`vum-szAD-y80z>3v8*kG>HOA(Ld7t*+LmTgGm zS{y7ew`rDUiyvbNSLU)0maOMBOZ*y(xn>7nn8P$nbIwesXCh~O7>l{42XC0;G*dIg zud#@0a`1#XPESNm_%If6B`;G*<4Roi!GiU;riou;Ay;>Bz#OMXA_B_`ELaa~iug4a za5V=D%wd|LSu?X~x@O5trs<kFGo2oYFf9LI&bnXoi?8Epksr%8n78T#A24dw4!$tk z>7K}kWeKTVq01anxyplQ7_siwyyEM4O60{dfmE)5Wd`P~J2h=)I^7YO<HMN8l^<MC zedxV#&HM|$TWtLw)Hcmie`DwPowIUY!*kA^{yRRiSo<HSJ@i&MXMVtMmRss)>>R&w zmd+FS&2mHiLgk^?!q03De&sBhci|VyHT5-ij$b(o=P^9zT<Kr&ndOT59J_;Og?;7+ z{9?JJzNM0Bw)&dNLob9~<}Y~0xzL}X)@hb{jorb|oOAsj)Es&yY%;&$8E5*u2|rnk z{SVX}dMd0lKj0bXRR0Avhn@(l%oq5{V&vaYb7+QoN2SvYbsM{bA31yd6KW1U5*C?1 z;RnkRbs4*ZA2?h66>1JW5N4Ur@QgEl-h-!{vGWc*<&2&u@Pp-m`XAea?>TGzFMMLz zr~bxP@wD&;n}?@_zs#HPon?=DM5WVI^?=GlcZHYP9DK)F>ObKV%Pw`7N~S65XKWqc zar(~__|Bs4-%x$%w(y;4A9l0cSN)=wcwVSt`hs-M-QE{so#v@Nh;(`<^iJpCIiZ5- z1-n^pt6tG-EaTklJt5X<uIdpz#xl;5X&-jASb7)4HqBApqSsi;x!OA*)@hFFfk>xU zLicnSOF5T&7i?y^tU5)nv4k^s+JQ9A>}dwOST3rrh;(`(<S>0g8s~iP4>3;9g)F9T z*vWEMbwT8znW`yz2hRu@OfT5Ua$0ptq|;L&jp+tESx&0D=rx`ZQkZU##+futAdR!% zyCBBtv5>^{4Lewls;cNQ7IL<G8^kz06ylg}u!H5GYD46q>8cfxPSaHjA`eYdWzl0S z;H>xl5bbndXp2r`K4-P}iA^jz-a9t2>{WfD%b3p@HZ35PGh|x9_7+X=4be__g_h_v z=5Yp2V@TyJ_MQ-ZXtL@N-Ge8E9!&eNjb*#?AGw3yIrh5WC}z2*{KE3kJHe9v3C}qy z`X*GjSi5g1X1Sw$NABP^j;-zsY!AH=Oz97J&au(G!Io*R@*cUwbAmDb3eP##x+mBk zdL<arFYuhBpl?D|i@Cdl?V*=~cVrHJ;aKXfVCytnd4uJlS;{?fj$b&k`UI+2E+{Xt zJoG#^BR)DNIwLtQ!qt`e)1E_Hjww~hE&R+e$Nh#)(=)*fG7rxP8uceU<Cx*T!=~w; z`o0}qUF(jWI&wizMzr)nQe}&g`wAPTr-Ewz3Y9G$+Np5~l1dXSnVtw9lW{yFcu3~q zM~*)C3>&7$f@1v(Dq4;xE66?kz|rQeVZ-!LkgZ>#g2iBZWh3YiQz5NOT_2uu#PwZx z$`RAI;VDO>JA=)k`+|S^E|jzAx<9Z!bWd=T4C86Rbuy0cIV#-`ST{{o4zXmKs(eQ_ z@s#*Bzn)5vF-lrO%?_0;yOpoVI-U}I(I@bfBS7hltm8Wl|F(e2mL19#mP~g9@AW+} zYdNR*hfgt|V@`#$hfLFZfvWZm=^T5UcgQr&Q}p0#Eacejd_mS}p5g;Zr*{JHcn+Qu zC}=ORX1T5ShxefW83CEyJO@t+d~2JK$}z)v#nRU3L$Zf%3*33PXUcD(dDB0=*|+4m z>b>dTe(d{V&7$QzA$ry4NpHM2Z`HrKPvrNdx894g<B~pKdhNYhf8)L@uYO&cW2V2d z@+5E8OYi(RqgqYVbKa};Tlb|rQ$09c$u#~}P3PyO*5{{R+Oq|8FtJhmqH52s+g|(h zZ(iM7@=W#Q)T;PJI-e&!^`5SusP%W<mgh@PPFILm`h4lRccFf!<Y%F&`=+zS3w>5S z<275maNU)ilb(Cc*UnrwB~8^_`{X*4-9m4@wrby8SF&5^nb%D1xsjY_z0$&%Vl_)t zO|?7Mne4hGb!TcwcvS4m5>?AJ+IJ&0%T;Z(pGJC?FTFc8D%=TlN^w=#rp-d{`HMdP zS@4a0_a6Hfe`8O+eS1(&b@y&9?Z}@8Wi86;ChQV=>{YBS`p@CIf#IZ{d(Ec&d5N#j ztlRfb;Y+cqmAZe}q~DA$yeb-UJbeAbo#q_w+F_w)c$sI}61A3_iYNFMo)buFXRv0m za;}g)G)Hj<pW``!sP+R^Emsv+@F|`Xc)+7r%8}pJVAXP2aff8nO98KT2dfq{=LF*x zQ)da;rWXQNcoa)G76@G8d05Ob&-sN6({ll{_63$KCe9YdEoT&GNHRSW&}&byY%y|P zA;a`kK&@TDvgL&01WBeR0>^k9&j=jid05EN=bRzK^jJWweSt;G5k&>QhXovM&KfdI z4+YrT6)ac`oPQXx=sUkKV$pNHVbpR!k%8}FK1ZGN3+bk5iXV6r^Eo2h8d5pJ+ZLF& z>`{Ecd+?ONDxStu0`J-kQaQ?;S4cNaQM|ybcuHUaPhuWNk#mJn%ML{gNv1mj_u3wO zyY$|BpZ?c<TR`dS%bqR2C%yBoj63u>=$&_Y+@{Y$@4UC`-`p4STj;I#X8n`<LY^<Z zF+CxE5h$@0#}(CTR`xYMm)LoHM=_7}@dLKU-Wulg2UhdkN<Jg!{7s^?Pq3QjM)C#A zW3LUL$sGPFQPg*_isxGL8ad~$5`}$?&m~qKuPElZk~~N5@L5Bj{=h1pOUYX-*=8rN zu{`#|(4~LjGl_-A8EoBVCD+Iu{wy)~_ye0`&kRla8=py}_f4$iF+P64=Gaq1o&LaQ z5>t;ausQa`P^Dk6lE>(HgUzuS$sLw%Gm>rO4u6#BJ)U55?2(~J|HKNOBgryyhd)TP z9#^nA_P~&(pYfSQeBZ;T60v;;pGrjc30CkNNd6;x_`O8!@e4&f`;y<tDxWsoAoKW? z;g`ON<ve?mBP`vfCI?s^yKA^i=I}d-(&H0~cy=YbSh7t?J|pY=PQt%Wu$)Kzc!Tw^ z+lF`AK3enKPyE7{eBPj<ePO!9?!y;k-R31ekaT-z@Q&y3IfH`sLTjGeiC6fV%Oo}* zo*?TsH}MD`bD2a*+efP&%fkh-ZF3U0@HLl8tUeqd>ozCxfTY_igL^#8r4q{z7Z~$g zPMpHmTq2R%b}&sMyUoyw=VIatNw*gU4($`uB<3IfAmjGjz@mMlCC}N!1(L^RCZ_Nm zK4W0eUTDd4I&q4m+fxILc0)^^lZh^T&1Vc0+6~hrlG+5*B>E2*$hbW=kZ9j%!E-cG zg^#&VqW!RejN3y4j&?%}o`Z=ElE<beR!F){Pb`o;HZ75bkGVji{_qEBxBCWLc$)Ji zst=zq;?X(0!-!{Z;uBuxe2K8Oz*LElwnFnB&BGg{-R>GJ;c3p32yA0al_)+uLHgL_ z#3Q_iPZ~UE`)J0qJ^s(XL*K>s?!WPw`(FHupGV*6m()*uE?!YL@prfN{tchG@5JBv zcj%k=*8L0q9(|*qQXlwSeB=IxzpQiP_xwvbryo<V_*{JL{)E3rU+IU`3qBVwsGIn! z+kC&n-=i<}@BBIRMSSUgg}<(|<2U>~IxD{CpVJrdtUAG8+!x}P{5<+x-=_ZJPwsQ^ zIscqKi)YjoJ`<n0-{3R%nfRE0hdzm?)&>6LJ{9lt&*_u+#Qh4NxlhFR{A`^O-}3Y5 zV||(Wi$A!J#q0cY`Y7JH-{H^Ehx$DAfj_tp#q<1g`XHWA_wlKCT;0W|;xTm_pNco` zXZUmUzW$%Oi{H6*_doc3^q&5vKTN0f*ZpyNFJ8I-!0*<n@gYB1r^es;n{-P5O<mx3 zZq5A*e!EVIcldeqj{cLnk59x4`)lVqTz+(jNm|RP_|jF^V@eKz7b4%M?=Pr&*HGK* zxwqgG_m22Ie-FLgeE)on{p-Iu=hOGhfB7@#yYb!gpK98kZ@zQ>9nUvYwMT2tY)wD& zBIX*GbhLW+Y3|o&&ZX~|f445}cjVjjE%VRTsr`<edp^m&`ESp;w2fP4-^^=Uz5C|8 zvtM$;p6lr8Z`yqQ{Ii;6FMrLPbKb|knEB_;SLsvdFID^;`8vISe(TLQUyZMwH?r^k z<MYWl)Np?0Pn{2&b>|m;{3JQ!JdeHgojYsFjpHw!zg08s$IJ)mkq_*G|L}a-tQlgr z`qRv*=Req8{{7~3dW0SKU!Sw-i{|&%ZF^>HHh*ee*;8Y?L+82dqyO|=d~3Y>{5`wk zPmy!(q!-V>TKjB=<eRjOv#)O4%QNj<+RoW;^Oil|bnR@N`R>h;S<lnw&9AN7w*BU8 zi}{6l+jdF5PFpqm;LV&ad5Ob2ubLM)e2h79GpE@2+_|#`(Z1%*o4POWihc3w=Hs-@ z7tCgF{As=U=Cre7=H8oU&We4Ir?%_nil=EQX54#Z{<tjN)P4Kxoi!Ws+S0_=t=}-) z^QP<En`ceRC(fFkUT(a@V)o6qN@bfh3hQ_8*u;I-xU9G+qm0pNR;n=HnS_UWJg=9; ze5;=M{-K^)`NSRE$6YmcJBTn#-rUi>CpI7~>fIxw4~62<dbfM;y!mEy_w<Jtwd(eB zX?wPo6{$O#pWZAv?{tjq=N_qPf%lw_SsqsX(tk#LN63WSg`yf-lWd~@`h4ANG5_FC zov)kC=P#@)`!#d+c^`Z2zdc_zo6X<&Gv{pj;`yC*%bsn%aNfb*`}0juFqPIF`+4(O z`mFg2f4(`BK6C!epFE#8pFXc+ul+glY5J7;zIAFpBcG(F*fsyjIg_4b$Nl-{<Mh7y zrgg`D+<cVYHD9%^?Z?fB>232x>)d|ae30HWzwzgr)9G<`uRlpnKfkR;?1$uo^!oWf zYp;EuIqm!pyW78YKvBK>)69G6mGd9graj%PH9zp@n|GTv=ijUi`#y8Z`4@KGpCqT8 ze`YuN_nVXHh4U?c^1R!;{rnxX-*?ZHZ@zc-Th6iFGv}QxGrxRS=X_d)+3CA)&ZU)^ z9lraf%=q@%Ec3;8bIOcwo_&=gwp;Q|T8UZp-JEl2>t>(4xu(?k+SxGk;JZ5K(hAJH z@4h*kwqo|&yk}{~m(O~cE8ksnHZ8|2dh^W}X^UpBys1-SeBtb+oNqg4K2MuFyD)Ft z&Y92BGR&+uM?OnSGdq0eO_A~Gv!`;xc1k`?n>^byPi&{;%(DvS(wk?_ID07P+m4x! z(|Ttc=55<C^HEyoY{k5?9Wx)MwaymIGutuqL0W>@?@cqOpWT&nEOoQ)Y}P!s9XIc% z)y@8zyDZgs-`Q_wr#DGXJG(At+4jhLX%(|0Z>~9&7Gk#hcFw7^ve{R2)l!Xjon4f} zmb!W8*(YYzn<DR|`I$A}ev@mwJ@(JLL*?Roci-5|eJ}RK&7<%1O3Ejui&vCQ+}&-x zd&6e#JF$1(9V!#wx_iOhqi^(5$^+BIH|}n@%Q`o9&%2~^dNJjS>Edg5C)_>yN-v~b zFkQT$Y~rqN^W6@2kG|Bq^X5>A_|n}9cU@=4Zn$}LR&38ZrxNk3GQnNk7h;#(Jo;SE zru^bg?sKs@@0^OoGs+6n#Aog{*vx$<Hs;--BJtF+z@6NuVtw8@6^T#Wt+1K<L~PH^ z))}!aH;+EnlPSNrgZo&l&O4_<@y^{2caA>P<0%i^!F?!}=bcl5ctY97RPng7i>cx< zWgAn)8+S9@IeK63Pua!o+`79T+&+3wZ_^v5(|YUPIOU61?mlq4b!u$LP1dQgcitwQ z(tA@DxSd;b_k!E5Q(_%%9=)UYr0ipgc;W7XP24+T_q;vycGLaSHTtjj=A2L6GyUbx zobN_=Pk)MOd%o$;>31<{&yDV!&ePw#cg;7WTc^|XC+}TzE_LJdgK=)pH(fvdEaq9& z%sHo{^pp4Id^Ngy`cVwq^G#Py--~Ihy7@9SPtSPonlDC|PTz_-_H2{s^xinNs+qG+ zJLxm;)j69we|lxywMxn7sk5hN#<e}$WIR1JF727onbUguoA<0alR9;JV4PXy%qOW6 zr%&9;^J$ae^v<0+XHxs8TgF|hkbIolJzX<yT7~4J)b{C;abXpb4^x|`bH=ef+oV6e za_5@UsWE!Diy|MSHcbEf*Yw!YN2gD(SaHHPJn-VhStoDAn3+pvu9V(nwrlcR#=C2R z-Ne~Hef|E0SLXN$H=FjBm_J-^>rOtq^ubT<`mY&tj=%Y(C$DY7<5J_}tu=q1Msa@9 z{(Z@XMcrrh(%iq5Z0$=|OnH*BR5dMahM$f7dV{rwOs>w`QcI`bj8!YYIr-#?7`0R* zvs}I6-Dggw7EV7K>t=p)mhI%1O_wI_{cQcKO7c$1zUht|jU_W*zLYkZJU26Cj!$6G zM*+h#Gn@=2m)<nGDXtf;c6bx}(Y#F>lTZ4ZmH$5Nz50hlO2(Vy4e3T_ZJzy{@j5x* z>h*+~wSPX%c$K`mf1_R6_Zcz=!ww%mu%+^iz(nqs$t(H=?T$U$Xb~-UIf(WB#ywWH z5#Qu4SNG(fznxst{qx-?JGIojjpqG3?-p*l@jlsK>~*b9o?*E4@-0P0;?1!<rsrNI zt=~F#{sPd6#cCd>GM9FxCu-c251TRL+Kro?hFch2op+>UsJ))Uv-#8KO&XJb`hAl& zk9?mpYjR`UH?x~d?xt**e063{*`_F~$rESxlo**$Ug&3L75OfuQmuQlRpjfGb-O3K z`lVUSe4LV`<~^t9<EDKl5BY3kEigKCa+lAur$)My6L<E!-?aDiCZB0fjdZ5R?(})T zY0v2odYg+Pr=DIBQ&xU+%5f+8;LkH&Bron?TlwbOM%(_cc5c6CyiG2aJ6y~2#n8I{ zZl%umjkk{b$XnO)oJ-!+f7Y(-yTr`nA8d}j_;KTL^4$JJyKg@uqzwCeD|tQ{y6W~T zy2<(3x&4fInw%=Px#rEL)5()|^}n@S_I#sF|57`#v~t6HM?>VRKh2nO{MjNKH}UVY z(+zK3JDMe59erktQIGok`*CrP7CcJ|7F#XN%B^Q;!V?o86YJ{Acx=ke*s$`MQ%=9o z>n@U<a{8Ix<lS#TDa3Lo&$~_APv24dJ@-ucrh6y9`5d#JIqzhd`sKMg=Tj=wPS1UF zE~QNE@Z2|LMz>F9sV|<JQ)YDY<SQRBYsoh$C2G}kbIzr#n|yNSno^@{C&Sc(=jxnG zDNysC`{r!Qipg{Ro~0RGKIx^dJa^66lpM8a<C`y17EN9`Q>Vn}!pTcM-z;Z7PnkQp z&~KaN%x5VXYSzY)&r;IV4$pa0WOVxEDW5P)$)_okCwuydSxU}4sh}=xJafj$Lq6X? z@zy)p&~KZ?%ttAmlNJ5SEM`7TX`L+SXJ#?;K}v$!Z=;#hPww(LmbyuIGOHh(#m)OE zb(6pPE=x7qck-LsX(P#LC)fEbGmpHNQZYGl=9*I}A!@s4=bTC@n|#$*E!Ak($wfYF zshf75e4<uu6nQ7bPpx_On_Q#q$Lr)j*RJ`#(YF61C{peoFOfg}Sz_MtBKh5)XUsib zAiulz%(soVj%UcvuJ!r0(W?Kb9ouh-xyR$=XMdjYI=M(LySC@+#;eCe<hwsh%sCz; zU;SC)Rr2!wot0<4Y`k>bLq7Yn#O&kOY|MVmc#)hX$6d?wWur-dt=+Sq5zmw7^yk_w z`zbN&_&J+tKWEH5ZXmzA=FF#!M*X37Za;54NuJd2YUlRM@Wk<BHfql{8uZ)RJ^K;y zIJu`^*KXO5h)2mC{jzpxKO!C`xAgPcsr`_caeSZ6v!@&N`U@*_PA50^GunOoKI49J zZGUEE&-;ygk8iSRd%97(|E2A;?-KWt!{k<fl9+n@o!snCGwvpr_Ip<LyxXYJ?^v1h z&T!}Pc{XC-XG}i+K(4y_Ozy_}M{C4i$L5?*+SC0qGN;_|?$J*=ZRs2D9DS#gmTq|G zXrB1y*fnK_w~nTXPmW!4E@@-;K|Qzhjn|Jp(|NXQ#+;*3;>oc&rG{6JKGI=J-+1Nd zJ)O2)H(n;?i5bVPDKWfs^p?)Cw2h|Sy?Sc9X3RS3B+eYGb2e#ycctF7of6NJW_M@m zwWVz|?oQQ9OEWxkR8M?!%$hSvQ@aE8%y!Ork~Fb<VkA$|M#JvTNS!lD{oR&&*LFxe zPU`N~)SI?L;!#q2x1?U!4vB|J&E1@OY-t<yyDKBtoKA`nyS*vmK~h8aU)^WhBkm_f zi9L?a$=|5cof!G%R8m#<Q{A@hGo~J0rQ?>mare<>I%(S@?n>;~w__KJh~UlEr(T7R zm+n0(3(l2`7YQW=i7k%SIh7P3HaprUZ=-tmQQc=LhC7bl6DziU^WEV7;VS;y#S-rm ztN3o)`h4GD)Bey>=lcfh_M4VG-#6SooWsA`w&&Z1n}<{QR~JXTN!-wWQIfe$I6fxU z(bZM!;=Ua`B7eVZU10m>>jumAopNf=#WuEYl{;3&eLZ^1y{4}lt{x7_pI8+!=kNoW zX;n97N6)$U=&WwQ;eh=%_ms})vgJFjsNmL*%{#bbMmhK1z0nyvS>vZhpYc4ndiU<u zySgjxDCxcVVqo4rQ!cDZ;$>nkUvlw{S%=;Dg>8M#CN6Aml$-W!!}-HD{I6|#K5saC z*o5D?_{Pk`M(n4JXS_?;-n>^XEp3B&^ISf$bc2xV2cI2~^eHt6zIHH*U0Sy1Y(n-G z9<?foXNl9>Bjv)LZ8&vUgMT*9WE-7N21f0Ua%RsA4BJ~R-xO{zX>OH!W)bl$vA0=K z?wG}lr-|L|nsU=BBpxL!Xr62N=7YiE!(9BzGCD;Drw+663(N4FO-$hXE%fZ^2EFz| z$us#I_8;CRBUT~tAfdee>%XPeGeiuuN_OwrS6Tm*?^{}G-Uh9TW=qL8Cldny{aRYf z-1#f~NkVD+U)g8n5%&|L_#Rv5yx*YHo@n{zRAN>8Q`xri8B-6hl5u;wVfW!>9CB&p z5qA@V_!e91oJtJfn{Dm$Zi9OJQQ2ot40as8$5*`j=zHCo{EOAyw%Z@<ZJihW=A6^^ z)_1y}?l7I#Ey^!^E>@P;SlxX)`o_IQ-!_PC**;@$>l@uyca*+~ZP>n`xchqanVqe# zb)Vc>^i^!l_K3Z$uXG>XVLGQ9l>hOW*oy5Ids}Bm&$zefi`bIw8O7XY+arp*FGhFV zb2_W*l+RezZL<Bxp4R8OR`~}jyU#{v++#Ycd+v_XXR)-r#>(!~(Gm9+ozd0GcdYC_ z8SQaT>62JW9%E&<;dX)IZiDR=ds-jsO64D{=sp^)aZl-^SjTpYJ*^LQx$+$=x(`Nk z++#YUyYJ4S)4IFvG@aJnc1P)hSi|-oyIb$;{>oeURIFzEjoq%(q7!x=of`e(uF`w4 zitQVUy0x}1DC*uF?X$D>uI{Tm#iwE=+dGQ5HMY;#-FioN-W{cPVt#py<=xw(ckq6* zp7B0mZ}VHpo^k`*=C^#ytRv<f{J=BK`o`RYZ+P0QZ@f*|)_j%E&HBchgiXy?C3VUS zZXA5U^UdnUoP#m!lZ_)@C#-2+%eTxbV$Q(_JkzXh%sv>vZY_J}Y{IhUseEo$5wj28 z;Mtb8;o?CT_F&_P7YPfRmrA}VHaLIKiv6)nPO-t+gBN(p(l(qqc!sCV^2XDIY0Xn5 z*Ay9?I;h4z8I;<LnjQJf(hLlnTP5EV8XP|;#hxsaQ)qDXpb)#W%$ov(!w0$8m1S}Y z3=SSV!1FEDK)<<EvgdR{G#j@JPr-)$2mi3Wmi9TFP}BUDcUr1}PIIiJPyU8I2S2cF zHj0>fa0O49`Hd+D->|Kg_BoYM((Ee9b1I>@`6TbRlnpx$&f#G*zi~Suum119r@zDB z$5;P*^w;}*{O<af|E7XQWuE>keXeg^|L|Yw@9A&jx7J_$XZm~koA}ayU4Oa1>08zB z{b%}I|N8zXf3E%#e;vQNe&s*W=lT})OaFa+rhjF>-~Xb|(_h9ft)KYs>N9<_`n5l^ zzvy4wf8~$pFYy=gng4$MIsJM4x&0RZZ~gK9tZ!03_owz}edGGXf2BXCKaEfQx9U&# zr}ZcItNic!(|sm>LVfQ~?=$g<|Em6+{<!|weu@84f4o1gKeAuoztx}9AJ!k*&+%XC zkM{?CgZkQ^r%%Vn{(JQ4`n3H_|C#>0ey_iO|F3_SK8fFtudYx1$$dIL{NJVD+VA!E z>|gUI^r^m9{oTK&PxW{2fAw$DC-J-Sf&ZF5UB44wRB!uJ`<=de{lULqzfHfbQ<whG zy8B+_o0+Wdb-tWQDi_;T&Z!|a>F+J|GvWs$*YN#v_+@ibecf9%W6sGb6Vt^i(k5DW zTW{WA%zY>F&bdQnVp}&an0xe%PD*-Uy4c3e4RcxNM(#P6bWSHGT`_(AQNG`=#e#P* zbzh6z&^P0VYTCwMou+TLT#H_8aWrOi#nIT!H;(9pqzk5t6{JnH>Nel(F!$(7ojYd^ zm543ftT5MgcI1YcM`uO$oO3D>%Sscp;=T~MWaiQ5IyUmNU7zb%q;JeLX?eh+{o}>_ zM!pRV&0@Q_dmS#Gzt?Ig7Q@_i?URw<w$N=#Z(L^Hl;AR1qQn%J7?tccGet%5sDYl{ zCWjE7%X3&~Mb4S&`b_7{8Kz>f>6<sqah(|%a;~XJZ1Uy_b6lV3oH)Z&B$k|}m?oB( z_R)g-c%;d>rb4mq%>i><AL$6B7g}&1jubi9R3O&8Szr$9jL4dqt<w)j{9u&3v0Sx( zJ=eUsG9tSSHW?a$FD(-Pn{Y8zEGBJZs#xP@hB-&?>-<T(XwI#>`N8a?_jER$VLGj| z?u=8uSmovevs<S|hRkH08hPhz(kY!cX@Tb4nwuBQcAXOGF!SggohNA@Q^X247Z`Ex zh}?7b(A#wj7AeK;um1V&>hA0F_EvnqwE6nGxLwsxZ*rfH+gW}0=IL{BJF4%#OWhs* zHf~Gx*>|eD!{_cz`rdT6`&``m>a#abm+D(qZ+&-k*Y#I%tE!LQ<USX-vU=}L?$Y&_ z_uhGvx-0x;+>+|8H@nZqWq*^p>s_L6THX4NbyxVTy>{O}-C13%e{QeY_e*!U&&H*H zJ9X#unYbC%vF}oMhR@uq^?lKu>>~Y>dzHQ`Z5DqLm;6m=bNGzCa^H*YtS;0)wpZ+X z&>ihU{Udw%z8l?HU7&wxFWYyaJKSgD;=g^`6h3|LjyGFV*B{u+@cq~A)A{T7?cMU` z==SUP;v&Bt+H`&D-Y?%y-R92M*RBqgWWFwbz5ivWz8<qH$C+aR5~7N+8yeiirX}fk zduMk$1U7C^UawJo(RRDIh<ZW!*JnFVhkoaijflK<VDIi9zpnIb;&k2I8TrhJCuMQM zLUGYcX4QA!nm*ODSXF)VZfjV`7w6-v^Yk^VFTNE`)z_##`&M=P^~rl5eJk1|ekU%! zdgtA*)zja{?k#`2v-`WAZTZ`KORK}@?f!6QYW4NGyWiYtt-k&?c3b(?d#=^j-^6Yz zzq(WVo8FDxFYbJ;x;|%j%=<~j;jd%Yl&`(Fv?_eg?gw|KR$ZUHJK(+5-qUAemz7Vw z=UNp$d-shyTc54FxZCA@P;vN+*ahWFcfS6tcYe3k`$v1SKkJ>{ec?{&vvp^7pSjao zdHreZwDPGtSAWtwwOj4|q&?c7^o+_K@0mW+Gc0f2`TC>Y@!eAIllEkP)H}Lc=)KdP z*B|r_@8){1v?u$6-of1m?tFc!r(a&Wv-@;x^gFIS+#lBM-~H#^tKHtGV{6La+CKYg z{M<$ST0mf6__W;{?vy^&+q?VIyF<HApNdmE1iqKc%P~Ym@gV0W!;Lz6`mBj;T>>Sc zJG)QCmY4hPJpFFnuH8%SxR!@c*}dS7Y5DX!vHtJ6cAq{OTTs6D?$d8w_rrheTl8JD zI_}_aF5BNdl}GiSXqUuKd@foMH}Q9u_4*B;x$cDD*>~uh=+^ZMYLC9rPKghEF1m4j zLoMsv@ICvI&S}TQD?S%pyFQ`z=qv4zc){nQ1#uI9b(ybss6F~p`_7(2UqqL#SEzNJ z9loLR=&bObeNJCQv*HAQaa{;sQhD^bwoUxSpIqm{bM`rX7R`t&d?q?`y}@TLpM(4M zJLtvAs9GATAFME}Ir>yvCqD3*=+yNKYK}h9R*4t<$z`;@q2}m}@QzB?8R0hj4t*5u zU7t{M^pUnm{KOwzN5W<H9r_^Jx?Z8?=mTw*c*bX<@o^8IipItrd@33pC-{TwK=_}% zhu(|UuD|e!YhU=Ay-KIGH|%+IO8ZOP#P3{t!XqkOr-lbq9=)r*Y|o*0qNVF6eB#;_ z?o!D*CH%}@r+1?Maf08u)YmsuAHA)8C+y>HuKS^1)+L?Sst8~Bd_%10?$sA!UFU^9 zh;)6Y^={3fb6N%B)o;Yw&mPL;m3~&xZu=)up#8@YrLe-?T(?87tZOP0-Mo52tn1v+ zBkP#TL`%Xx?&`8!T@c$kCv?lYrc%+>s{>+P=Y$@JbbY0DZw*ta=<?MCo4GEBPFdGf zBAOd^FikW&%y1Xi#n2Uzt}nD4!Y8JQ&R_i@#`U?DMfk>@TxUZUL>`?PnzHWD87+h7 zRU*uimXVgz7ptbJ%{sgDhXt#2cp+$)#FR+ar&=1}hC8`VhPte4I-{i!ZkQ&T6egG^ z+P}IW#`Uq5MEJ%XTt`Dy)-e@|wy!pbaeb)85pKAH>tJX@<k9J&6_KvfLkl90P77sO z$5bF%zxqS8>wT>)Ynt*!t5=`c#HF)($0n}5p-<K_<%@=e1*VFIgcWY@(p<eE+V!s1 zk~K|vqJd$IsiMWJCqy5e9C~E!p_5t<!ai=}+P<^y`KP(7%h%Z!e>}JJx!x9rm3Ga) z1>RlWetyc~S^-f>+3$kPme;(Ss{e`}%a=F!&G7H+R_p2SVk(~<G7f(iQ~qp|vG}`~ z?Zr3Gg<6ZhjoDm$@?2>8x*Izao-Z=K{yL`kS<zhYb1`d+H=f&SHT_jg;j<>=@K-VU z&z$DIF3~eDo_Q|RO8jL^?z1H0>$7&cJr|nmeKuxcapSqEY3t7Kw0Zt&PIvLTvpY?m zI~iY}xzp&mk+Jx*nCZol=R(ugo!Y7KeAXQAqID;Bo;bs5d40ytBWFa@)*as|^E_)# zcj3CDJ4K#*&EYOwcX%hybFDeu1?vv(WO>eHe0}=PJ!h__>gg5d&eSf@JFxTLvrn^E z=j-Vfe>}U@Jbc>D4QEPI_4e-k^z6{=)2CuWpDi-Fem5rg*{0d6^Yk=}FP;@m)zc_G zdsfx_`sAIDo)sC1--*dD-Z}TtcaeR|U)Ua<7yMzK(|OG@|Ap0EHp>qbcijoTW7#@4 z_`$qK-$b@8Ut!DoRx{0CvAWA@dB@z7zInHgpR_CdZKG*)JNx&Jx1YE1-*ICrn$T*K zqO>}8frf+D8_gGMJU4gv+;Cm6)5N&4%Xrotj;@Y%84Gt+t}f6u*tonP#rfIVlfgZi zH8HP0h$LP!is2UesB?Jpwv@9MS1p=a-gQ0rjOCRlHb?Jk{_<l?)A3s4ucx_d&(j}a zccb>^+s;#cu5)v9(dHQchvwJjZDu<ENxJ*{8lB}AintDJUNGm-SCJyWi&b3Lg4fJ* z`YKZB$M{@i<?@PRt}DTF<{dh#>Epg|b-GA(jr+%HuI<5lrp{{;VST3g$<MKzOS`*2 zNkQ3Nv9e2J@d6vxdzz~j%&1X5epQV1t%iiBd$_Kw@x_p`x~5NguNGZ!R%E?iCQ_Jx zxAW7qdAqcCFW%tvoMpp@&iB%Z@8`KY^eJ4Pwdkx;&i1rW|AWs2!yoNA`s><k_Zc_6 zYPm}Ui{(B(S$oa>#NMq|&qcER46C><2Ct~hE)m?-eWSMJnWmFJV^x>Q@*gEjm9>6O zd!wYLeg9XDHt(Bjvs_c=9r`R1J$Ly7o1@P(P5c|5iKP2YtmHCYe!%AFQ%xQJz-J;; zmoKn6`lL}w$yV2V`GlC)CAwF(2Kfu_6q_A9!IJe!)W+=ANbWOH+1G-KyA1P1=Q*9x zJT&LgN0Gke88)nsHO2fF{<!ueGR|*e1=o>a8Mk#)w~O723OhF`w)<36<h5JT-TAt@ z%MGrqD!TSAa##9O%kFcUT;~tjtp2QXZnN3>TSa2Cg9YYYeWr71v)6eq8}5&54sYf; ze@UkM)7o9z-`uPEBsMjeXWi9mu7g|a{28B##QQybs(Wm^%>AmE)djkTxAOU4d@2%i z%}Iv)Y{b;%H;lrbX)>LkWzG5^GV)wgQP?}pf5(2wbblAATmHiO^m~!0b6hgn=QV%$ zZ7lE7S$?3XOMCeRYu9_4AN&%ZiiG+(J{2inKBI_hckq?DPNy_q_z6B03Gg#4=h_); zW9fQFbIu&5cOv=A3#5;}*Qjy7Xx(MI_<?NeyudeoPURw%ZjI?8I~VUT=CWRVK=$Zc zjU4wtYpz>?XZoDVL`vNRt+{RlUXVQcTH{&Ip;D0|w~JO>*8<n{IhBeOx-q7UtXy1S z%ylJjPT!%k8b0oUR$P|?w@9+i4qPL7^o53t`@%Glg^L+vU1tT>^c^Y|nY;Lb%+Y5W zChm=CBI#}uExC*rACNf;+FlWuCNg#L0-2*vG*sLLExC*qH^>~F5!fN=IwR1g?@*yg z@8SfRqmML1+$UOa9SM}_J5(Ujx>!Nx=mQNFcg8f4c(;eCBC&1<Q$?cP1TDA@1petg zlrK`d_<|AFzQ8xVN~bk8^gKGH@x^VTIoF=R2uatefdP_7?`kaTIg}?-x_E*S*RDVp zN!BTWXL_CTMEu<Z&AHSUH%K47t#RkxuiDe!*WTOyZO_r))8=h2yML)x`+Ri8y;HTX z&qbHrJ5>Ano9^xHS@##!W`EPYx&4)mQ=Z_i{G)bGMS_+0nrgexMX%34TX|&a_L%#V zK8L-IUXyk&s<+1b>spKagO%E)f;;l}Mjm+=y`p<V?dvbP=KT#hHAj{#o#TEc^2p2R zW%*P0x&8{9z5T|Xt<Tn8-0pHe=yTW$<wy6J?s|Q`_FPFzeY=h3{Z}>J#bWVsaq+IM z&g~LrrWbSOMz-j;anDTKX5fAxH&$=a_oi0e(`${Qr$$fdzVVsEoLe)XpjB6+h{0mp z(yOi0jy`Q&w&29U``4aCPs(@Q=lV?d#P(x*RG+Oi$hY11^hem^=$?GteM^6YJ&Nwg zm))28BkW;xOFr*D)gNLrw(r~X^yyl?{KCrY)6tFjjQhTRpLRdGHb1kn`~BLz+c)iL zeY#dV|K;AP-^K1lhuvHCNo?x&clTy}nszt3G~ctb``ucNe8<Y{ce*>b&)XyVecI&h z5AIb}pUz!-e{0S4SFzdWqxNLKjLa_Ay}R|(n%4BScecJ;lbWu3XKUW|O|h%XbZ>1< zyFMv)^|`2x*$3CTrmwxe_1T)IyQa<A8g)G>HoH{!>efeVSku>D*?MnH>#l1rqw=m9 z#jY;Vy|ne#nxkoJO|yH~sqUIKYpc_BrdaK>QS-Aa*InHy_B?8KcILX)w6(_Bsq0eH zbkA(nyS^!A^_i%t*@5d!cTRf}H8Fc)BzMtT!|cvT?K4sR*_P|B?htz%)t#-mZt4!P zM^WwBlIucuh&_yI&gNXlnzmLyyE1b1>8O}%w>E`6h-%3GyY}h!u=`O_*B(V@=dabt zPK<ngDyk~`>Dt!q)241+wZ=7d?e4A1)}(F^yBigBZBexLsi=T!v!cE8)~aV8UHdde zcgNOy*NUuPf7iLcx$69_VzKv;Rp)NmdVgPIlm5_B`}-Q}^qZF4-`CvUoO6DaZTGh| zH#eu8UsW9TCUQgiMa$P;*I1_SoTvJH&9%)D=c8=Bzpk-JKWM4_b&YxY!g-}t(`Ik> zIj?2g{bh|=`bNv_vyqF_JLfHZw&ucShx1;=*PchtOD~;wwDQ`s$XV$NEnlCBoS8n; zlKb<T)0=h9YZZq*jhvG1J5RMT>`7$Gxh9+JGm%N>xQeemj_gY}op-e2+M~#>bk%vS z71tg{wxx^CbFH}cAhIdF(em}_$hdQ_io~XG-Zn?HLhM0gefrP2SIehO+x+9)Eo<%5 zkrC&16-~PrS(*NDZtBxDTIqq7uivfFOusodw0zo>%`eV%6^TvR{OsH$>(?hE3)3wv zx!<kXzWL6vU$Upm*WBCqt>>uqw0RrLj$e}1J|9tW?3C>5a}j074#~bQ)49Dd>-Zws z>@uC38(;N^T8q7jC^=Ron|&@~UGhoE)ulSuHijJ!lGQ#JQE<#l_Vw9_70Gk^o~G$s z-sp8)Np|(wh@4|l#@Aj%EJ|J}sa>LTVdJHqua?uEN6bww?AvNN?O8;|F)QP+XAx<~ z4#~VO(mB2HR8Od-*wcu~$)0_pmSQtEDjb(Go;G9Sp`Nc6(;i3kCL8u`wV3uOqBB{s zuhe4N!-&>o!9G)qX%8Y2j{P#4Hhtr+o};O2bdy>8SS_yIkEl!j+PgGWXWzze$4(iE zP20GxXQ_GEy@-nBNXgZwB0`SslFmLAQI>qQS2a~<*TzLXtf^~uZhUgA$|&qkgx|3y z>DReB+x`F49r`Z3cm9pfocH`+{5<kby~KXvbKwfRiN8Co=WqDTdB^`w-Jx&7TjwwM zd*qFJihba7;f?bf{<6&V-&2=#PCdq6@wxEY`3ZlIyiyOb7kn;UU^nqsr}=z`zeirG z->EtDMR@6ag}*Md{WttPGRwcG&gqMAmYv`)&I|raeja(QZexEDbev00ozrLG47<W- z!ZYU^eC9mkA5(YelW?kC;7`s|{yue1pM)pQSNP0%!oTNd%MAaPpGO|6%h+H1!FkMI zr_Sl4aOZr7KSv&_^VkRe;5_8dQ|I(SIKl4YQ{gzfi%*4P>^43XZk*5X=g58aKXw<t zbL!52@cYO;^-VQQr`6ZhIK3CHoPXeV%T)i6pDa`T@6;xpQh#F?_?=U8{(|2wQ~Vu% z9=W6b#O~u0;llX^pE!5;@2NeME4+X9hr2HC)xPC4l?zvyZQRXy*Z0XymU+HU@*bU2 zdy~UdF1&sAhPy6r)iTTtcXQtKy>ip#jaq`aW4iGA*)ukCUiUq5)8(~Vg!#r@oL7C1 z++>;KyCm<?S+xN3!d;x^vkmULyj1frznCVRW419(c=2q3yDhVPTk@Dngfq=P?&Li0 zTawpQEIfPmg*z;>eCOPBd8T$IhpAY2`s@vNTxR-)<TVuuPo6#Dj>{9Z6FE#p!pUZe zX~KzSA9rvb_ch6DDirRX9dO6xk(z*c;SSEjz9M-|1;Wj<1@5rS@U6MoGTpc2Cd+i+ zoSQBW)ELY^Zs*+Z`z6=uwAv4|joUkQW*^wpsXhC`ZI^p$AIuU{g+t98Q-#ZC&)CGd z+xJSY(<!wVW`e200cM8VId}Tn+;q94HYbNEPdI;e!R{mPRcrJwR(IM?f3UY@p7)zL zr|&|QdX3M8c23_>%xOLSz}_QoRde(Mt2u9ZpNVt&CRC~?Sj~CE`@+s6uT`JL9QrC$ zq<683^P2aXIH#{dg?fz7g;q|lDCWH4JtywaSydnXz$(s5-dlFE%=TWh^T-QT7yX6L zgceR`*y}ROyC&|?XQ8>%AM82uOw~lc@tIJ%-o#2y<LL+X9C@m$qaXN8XzKI@dyYI& zRnZr$<TRSzu;<7O?~a`=GrVo$4t*5rou07g$Rkw|{fQNvN4#a?4t)@6ovyIw$OBat zea2@(@p=!R3dQOjd@2;JCs@IG!23_^q4z?y(=QZp?(=>Vt8`j*L(HR7s$cXbmUHg$ zj@aok)jMG4k-MtPVh+6%DxE%|h;x^>%TAUl-e+Q+-U<2Z36^uJPjA?L<hJS^wU5@E z_dUP(C7oBPP+yoXw0rV}xi0fOAIx-lr}EC{&^eU?^+IdT+n!han#zPWPo6N>Wv=HD zKc+IF619(3otBde=C;i7+~U_%DztiXz+9I(o(E>Syi&R6!&EA?d~$&?=Vi|+eoZAp zxoQW~gtFBPtvD}wu9)faLd8LSVw%wW$sgvpJXf($-)PBs)^owkBQrfy{0^N_F;FkG z<UH*;Wv0th6%BPmOU{#?E`CjCR20+=(}a@L1k;52Cl}0dd8{I#zR`m7sHch_Q=w4% zWP>>_4^=qS4J|kidN#~FGTpOcrpt8Cf|*CAd9wI16$sT&{xI9+zRDJ#rhK95$tR3B zbtdmH;@s=`#Fr^wC`>IdRVYNQ(7aP~@`l+icU6}7H022esxhVt6;GZp`^aR^Bff`D zsytBpXvVqS{g2(D?}B^#Z+zys=l-Jd$UEf{`H9a3E955r?y&CP@R{R|`yIPO-vqbz zFQ`58Mma@3@VVf|{)SqXx$b-HlFli|$SXb<T-%>ed*qdJh`iu)!2-F7zdFqO9cquf zRK8<#=!@XeeuY|>+3p)EkIZuKv2*$&m?bCpi{pa(lFB2`m2KoNf-YLhv2*$?m?2mA zOmJqu!Do&$?lE?UJ_)AE1^(nX<?dtW^ht1HzrtsZ6Yf2gEi>F(Dvvx?mXW{sgX5UH zj-As-!Onh%nj;UDdE^6sa2#^yv2*$$m>~D@sbHMk#ixQXavPrtHuf{r9J#OjNABWx z4&D9-)kp3rZ?a)Jt-Q|0>AhfO|AFe3sqP__EK}X@*e0D)ej^w7okO#KLAA>icZbR& zca)#VeS9KV*kAC8V~6`5+e5j6`@28Hy1ZBVrqfg|SS7Y`H^*JqCy^}kT%YJYI;ZqT zhpAj}d-sM|m$yn8;)c69Zn|EHba|tcAnuqhxW0SFW{&HwCn8;5D@BNJ+{JO#^++Vk z9M>g!kIpIuh!^hSFz+^qb$O}eA$~DUFh^`-n&9GYf!LN=t}S{@C4!k^A9r$`cP-It zDi)mGeIbTrmg}5ImuE_6beM_-r+06Nahd5FqSsU;IJtYmAFj3D-K^Zgnj0=0I2NEF zYI#9eP_xNLLTX0Dw1!0-O-c&lhG~LHVuERc{oMsIE{~NY#5eBXIO?jR$5bfT-fh6+ zu`{`@o>AhL=TccNri2&ln&mwyuL1%BE?$^1Q|wmP2H{j^S6A&rep6UAxTK`Dw2Y*k z8_gEHFpxKQvfIC3x1up(%a0wY9}71NzrK4s_ua_|nONcbYWus7irrHw+cxc-Z^8Qq z7h6>WT#mShrOTT;$!~X*H+PgbH;DXEbLY>uTW=n-tuS}HHQoICk>k92es6f^)!w<Y z=z{6b+(pmJ4E^qGV03k<waaU<%Zp`M{bL7fpX<BFWzU~KUS_Vgv;X$Ie(5<gR!ynB zv*d>9$Gs=#9nCuGQ<(a-MO2ja$CpCoJI8Y`9QXaO@k;HT12%2D`fpFKy|d@d<7=t% z=6ZH{(ccQW?;PhXkl(()_RgPgg|BZP_dRWwSMz(v+qaLWrOKP@{N53J^SE!m{PsPy zcRqY8+`OqjdTQ;R6>lDwZSTJ=B5%IC_Rgzsg_A$cxMP=B(tq^rW3g0ubB*6S&c1!D zw*AI?yFCAzJCD8<7H{g8zGIh{Z<m+nTbKXn_LA>v)w4d_bMc>iclL{WD(8Ja+)??i zR-TviTy2N>&b^&)eY5hNswdx^ed6AdbG}LWOx40xiA-G`$6mC(G^^Zua?XYYi<I11 z%$s%yo}9hop36DksQg2_CaRl9-tD;W_RDP1Q?*s*ou37d_N&}uIp-Uc|LK|93UkZ7 zowH}pxVPks+7ju+J)W~?Z`gTqR_}_ul&@-w%?0;%&bs~Kj9B^P3pcgW7thHpGCMiD z;aph7WRuOj=hP}@KhK@Jz3|?)O6e!LJ2ng6d-7Rro_yt}i92ovrB@eEK6mrnnQ7*; zXU;A-$7U@(_hwxB?BdC1Z>~GD%sl#;uStH>Gqv=*NtMFJ<_GtjeCn%{zk9Fe%-JFL zT0W^wHlMi1^NH_?J1n2nlJk_FsU_xpst`Ut+vHx$N40MAz&)Oid<F80DufTu7P;5* zL9N+ba1ZB<*)=;mr_V0g$vJ&?&Q8w<?hNKd>B{S+8~1WPaM!bM`YC84fAP<WXTJaP z9(|v9u=mKlCGXXu@(z_x-a9*GC+D=;F*`f&`F_f4Di_u^Us)uqWxjLw$tkmM++C7q zc5$}HPR_f&i|(+T@_m-4R6beVymNQw<k<)AK6$H_XZ&++=X;;3^iAn%dyFp{Prf_z z#W|JpJ|E7gl&h7eC8ewFFy1-0^Q})-x|8+fn=?<GTXN1PDV@n$*vhza?#Vebcbs!M z=M$BF$ZGP{nJdnzob!2bMx|6OKds4X^5vO3W_G^x@k)2HnrvpAXgt}}SaNRX3!f`z zR7%tq7<(E|K0mYK+>&CoImS2VbUyRBaOTMwAEWf7G_@Jqb9d@~FgtMjUs{o+@adUT zW_mvL(MUJ46h1lA<y^}dABA+IG_|BOp)|Gr?Kkg*RZG9kEy(lU`}S<^itTgn9eZlF z`}V7}+r`p%bCdG8i*G;9?b~j8&n#7~&p2}q=VKqS^hFkvkIYm!_oP6r%~*2|=R+Sh z&}Ci*#y^dO^^IQ|3F{f(G@5*1Cd0WW`D%5>FK2g7oB82vO1@fTT2rc8c-kWK$$Mr# zID6!j&#E&mr+nUp?KYaMX}oc^=UtyAXIk>q0@IjM)ryTL&OSML=8>~UPWn7Z`(!4( z{VG%V?M+hCukKniEp_X)t54QE`!(tQ^dI|{d{?cGJM>$~R{v&g=R5CDdsxnU7sVGn zS1k)W{7LA}^gH{Gd{f=Jnsc4m4ylJ(t*a&1sqK_{oD~-5^jm7~^@RBD+O_AhH;HfD zd*ZEYfqv3+rE<MPl@oVdeHOFii_)_7iIuviv#ZyC+`H_lQnlWtYQg*6fA&2q6TEaa zE_`-OZ}HZ1S8c+7*Z6+kdiJ_S{Og*vXR_PZ&)hfd$E-)$-Rm#z3;R9mZT9x{56`ig z&z^ieB3`?ew{Y9Jo0rb8Sw+9hS*Aa;w)2hmt34{;R5$1^{5<LU^fQ&6uf3n_S@KnN zjecZp=PU0=dsxnS2gQGSrn*8pvgYJV?>l>rd{JGhuUP9jd-{gTle4Dx>~r~|niVJX zOX$M%C6y<id)vfc`YCj-cTet-T;;aynUyD><<{z7tl^v$pK{Xsbn&cr-skqHd{#}1 zYx+6qbo?7xX7NJFc5j{Pn|qd=@z#oW`Z?+3bdP;1pHx%gn0`(&)EE3b$w0qyj@x5z z8UNdJ-KJcAFlX5_lZ%(#{F80hel@XNzH^>gx>S#TW)0_KZ?X7AKPDZSuCVXP2h}!x z%^J>!-fZzoKZFeQe|{3u*MIp*NKgOfr%4B<GwgfvUbRmDWp(GY=^yr{yjP8kYkI00 z?v^aG_M>EZ=)A<Ik`?D)eB#kR|6^0n-P9|QZ0`-<=qxUm+;{p%ZQFBWyZIBJNiHay zxA0ew!Fhq2V-JkJ^|V>udb(()@#3wTf2DN=PZ>(}A6)T+=S1oOz2<X9ahmfT-9AZ9 zUjB4m+w+eSGmmeuZF_8((QnA@{9U5>_=?)jd)}Yon!XEZ>#zJIq@};J`s9@9H})=h zry3OJ^nH?se&eS}JEx!6`{bneqqs*;R15Sgt2=Ld)rCLWJ?Y-mH<6t0y}q32GmEyK z^)_?z^1yjvl~FS<>-b-`etXKR%>Q)Jt$Ud*%SGp<Ror@**>PAx=Sy_wyUd8NN$IK; zVUu=Gvew?XS?JExJL`^=sczL?7<=-KS4wzLy6Q&l##qj|Q}?V(Ip-A<u9U92Ry#5F z<SVa`aG`Y7g0M-uCYftH#-4oXb!W|y64j;qf5^5;-!Yi;gx5J=b;;Uw>nCexZWc1r zj@&%y;?xel$EUomtWhaZU7+o`dD8i*73-E1tIpBB8Poa9>%y8RXS|Huont#^PEA<% zq)2s|w&vzZr=|w1ODR&Fq}>_AIdiJRx|B0sN7ksE@sbOFv}4k-sRrv(3RSzbJ!3c@ zdGUoO?U;0Es=&IG0@WsM#?6!TwLfl}q^JFG(<EJO&KS-IUjM=#ZJ)GnYDOgIeXnm} zlTuY9!irK=tF%u>bKdh>vu4RDuN7;a<f#USC2gOyEAx*pbAeRj<r_x4ddqjt{Z=M* zC)3ZbTl($S5~<)YrBtat?S;{vQ>HpZo{X81`DU$4o~nPC&~_np?Z(+{Q!=mk9?nzE z*Dka^`QEd}|5EiNTlI&wo%1HYndkCdrIPKpl()e3tFFhIR-8DYKH;=o?6;4P7I$pz zSAJku8~m-&hI8KJC-a`1^L#Uh<-5vu^^LZkZ#^^ojjDxiPQGI4`NlKB-|4x^di9yb zLf0psu=ITG8R5UFO6cn3BbJ<VCNG)y<g90ae^Hf?xw@gP=Sxoy|4YwQa{M+uQ(3Go zXxlk!a?3oHFDjXSpDKmUPcE6)@>ylJ`b8VgS(E2jdOq_!Gl%7~%5?ROHl8ylhs<mF zq%v83qK)Sh&l7W4KB*-8DLqq3^!rpHbbPYOyq1qD-Rglho{u~Q{EI4t4o?=D*YZK7 zSzXYEbH?Nv%g*VOODs93PtLLQeBjC8|EXMP|Ku-oT~2%c@Y_^ANk{!)(Ijp4i`Jg^ zJU{p)Jyi+yb9$;$u0FF!X!qnRb6rk(zVH)zsuJL5R4%l0vW=zZ9nU#)Sl+4Rs~1Y2 zeD6`?e#v^0t@1<J&Uq8x^tqI)RJt{#tL#+XX)I)|d{Fk}TaO&~AZwvp6VLRyl&O@u z30Vu>n0P_*<ZBPVO_Qc8XmBmiN?O1F*`Ze(+WakS%_dnY7s__dnYg8|rBr3La-gi| zoQVe{Jzsg;>tQKXS*~1YEOdF|l)jb{m0Y(&X)4)nMpi->C$5n6eBt5XJ}FIQzVb&I z&*vT%?wc%y&Q4q)d2;5&l)fWpJPh26EQL-_oFeJ@)I-DF$WrL!M3=snGad@=MrkTZ zZbE4){mO+ho{v2w+&5VW9i6Ds$5N=$u52jd`Ot&I-N-`d;KT;WlhY?wNP146SRi?F z+C-K<mI9S}<&V;y_dT}swB)N)E1xtH(ox=NB(!(plU|m5l`yxURFx37BJ)X_${VFU z?|LlhX~|OwbYn_YDOR2+eRA@|BfUpXdOUFZWG1w||4-eK@5+1SZ+;fM*Z<<@iFfWL z_LH6~SJ+MZJ<(cz<7dG;{dek)d{f>kzwqygH|{C+LC=*p$~XSynA^XnF6EqijJ?ux z<+bvOe^0z}53v_|u3TU@>DNSadB?veUb^3@Ir2q$DgTTE@d?cGH(szBTX6bzb93`J z1~!~hULv3QS<p;A^7F)t{T+2KXWgCbnSM<)k^lLp<GH(){h^-|&-Q23v7B{3SEKS- zInA!==fu<f5p_$>xNF%v{hWBR-=j|DlX8k3)6a>9@`9fy8pv1v>3HleWq;_$#H0Nh zbt)f~JLE0@bUbwDvUmD1@nAnk9m^T_eKki;yYH%LIqkl!M&*NYgZ$6m9rxXT*)4ji zTqA$;x5u>pgr6s-_P?lAd9Pd{zwy&VE%}9?ChqR{`Pp&T{gs{4Q{@u*&QF3G@-u&T z+;N{*qw-GK&yMN)#O?h%a-Wnd?~{Ic_r$#34|y)<-O9`t?Ve~OeQ@){JH2;qcFgU4 zkoTlad7JdgyBu%b(#(~1PqdQmyvy;%?M2R$QsrW^N4o?qr8DnxymkvSU$kqYg>>WQ ziC22}+~j!W=4bvWO?jEL=3S1LZntt;&bnR8NhwiYBptYU;)ULZJe9L<cIJ<EPCVCJ zke5=dJWJYf^F(9mlXp61_9o;#DN>#$t+{#PsosFRlp^Ix(w%oWX7)PdrJQj)lB06Q zP0sw$j)}*54f0Y7mAj-p?{GYF<1<g%G4W8ZKwe6La+5UU=85{!A2&_ZlYY2qqOLUO z9gYWX|I8k3pSZ6#<0i*_w{K>XQk5gjic*!Uq)*=FxaYPeXUQqI6**7xl!MKZwolyE z>v5CguG^v<mQ!xe%#^lIRG03&-7&fMK<<-V<-F~G?>(!IexF;N_js@G`P|*xU*4Pc z+{|YC(|cvl&8)XSyjNB|`)%&l?HBKvRnLBtTbkFsm-m~Q)%Lyj%$}QFzy0LSwJPb? zxvRIYyeIbD%wqe}d*7a!UAgU-UtB!<W$x1L6YpJnW@fg1?atgUW*2W?xg%C3{USFr z@AsawpSPX6ZIOR_kMCzQlkIbN>V7se-kx}`taA3#+|<0)dwM@@J9%3rzk5&bncNB6 zdw2St$xY0w-gEZjwqv&?@}u|oe%yBCwm`o1p0gje9lFhtFTKb2gPFnh+MQ=l=f>te zF1kJKHd8+Hp11GK_TT=McezOVes1;l)SbMibHnp4@78^9w&(VmJ7G`Fw6@>9YX)xl z=1ne=zMC7E*Iab_PHxe5+nu`a%+$9Zy!)+e_U)Ygn}43WW_^3!&5HEP#<$<)?ArWv zChz&2oty8@JbNx@$L71|(yXK3=4{z~_MDn^^xT_C>CJO{&*iM&e0Jv9QZviVThAS{ zy8S9=)#jr!dC%pn+`M-tZ|SznH}9ND12qPhY~DJv_iRpfn)F=X5;N1yt>@URqG#Q- zOaDA)ZL!(8n`Y^k=kT7*Nl!aH=j@rB8JlCzrCCPLgm=+yDy1tMOFzj;P7^kco^ewy zy?D;rLbGEx#nOZ4=oXqCxyhGqJZEiz*`b?k>B4h(&*a3XeKv}oesjl}ZK>N1++;}q zJ^O6_wtY9ZoH=HG`(93D+F_&HQ*VArJ3X5>-%NXR=*-?zIpv#uXP(X5w(I7SGj8V5 zQ*JIeV`e`4PL6+C_w2JLa|$-^J^Sq2t@qjc)_>i%?YZf_>tFV4`#tMjc4ge*&r$EP z%i}hGmU@@Hef`aSVZWu`W^Z19a$nf<tv9YG#4rAQ>veW<Tyd@Mx$L#;H}2c^Yu2mm z!no$oQLnP|<D6^Xelay)KXYH$FR7Q=xpB##Z_T>y7B5`udp3LF`o?|Jo^3sU-6sBZ zO)sb!ZW8bO`PR(qM)Ag<rJiL^Umv+I?Ag{+*EQm2*Z6+gdgA(tJ#0U3&A5JKkJz)V z$FIx8XV>(8+<Nr7NW6Cq@5il&uk*xf*Ytkadhj|+JoD#U)35K@bM2|A-um21-4CV* zuK$bsT)p<asqXrZd$)a$ns$A|p0cN=d#`_rJ6wJCRCZ|G;!n5kW(UV@u3r1jRCE2s zy<$&IHP)ZqtM>iY<m->(ia$x+$<ANDGxo`MrG4Tr_nw&7{UOffyla{MqUwn@;s=W- z-s!%xvtw@egSaQ(l(vbl+{^LSHBDcsdZLwh=U$FCt}kMqd{rvedsHQ8DW18P<F#v; z{-Uah7UGS?6R&jd*~#(B)ldJ?Go@wXntM53y55RuIqP~YCgqFLBJsfDi5I#X;#AJM z+UY;4oOrIgATH&z(kyYu;)%xMC--#B>`sV#@=0l$xMuOhQ{4e^DW8-kiFfYdnAz<R zmvYASNQ}xES2_Jh6%&tj8^oo2RO%A<+{5w6l}|sZV&b80fw+_pN=@R7#S`_#KNd~Y z6MtAVQCFOE561)7e|nF~C+_Rc*vWC<^_$+Lr%DleMNgHg#82+#xaYbiX2~hn6){iV zDFy2#l~3H&?Xi>NuIr*0mQ$|J^pwgcs*88-?wH(tAoj^yrM%UD*FD=E^**aQ>~XB` z`K;ZmU#^>$Zfdjo>AJFXQ|r|a*Ol#_^)_qk>Wk~lcF%f~RT|bE%Ufn@wR-P5vvkwz zSD&o8woB@D*6P(O*NLT@TC85W?pvDam8*W?#hYin%v!p7;<{^Tre>?xM&_27Uc7o` zjo2=!7g?EMzhlmVx_K7iw_|*ZO-)wMjnpkRHC~;#u59P5r&+0Ct7CeLww}DI65but zdnRkb>fT7-Gg*mY)iGxaw;sDH5gr}mTe$VeRe^Bpn6m|24_)O5myYo*Ff~|R8+rD0 zR&3bgO}D09WeR7Gd7E##|LU)>%bTR`XH~CGjpRL@6&`juS~uTx&($?+!ctAOR^MG~ zmTJ2D>Z`EHo22e$1%@?mx^*Y3XtiymZl0<7>Vs>)ZJTx5rB3~k^~8IfZ)S45clqLz zQm(X1_@uF*jqu62C+2qEn91?ZB~Lxcdg86l3w|kON}GgN8c)2@dBCsboJ*X#QM%GP z;fcl*uXS$lODR=aCERH&Xd&!5_r&bZ1%6LTl=9S)tR`OSoZz?Qtji6bl(Q~w>Pl9E zrox<aIbOJ2@_ACMG*9^D9FFHMX6lP91x<u4jVGSzoH3K*nTwu!lI27r;gxeZp1P>1 zD_KrF(K%rz#}k)hJ}zfm4*5JORO%DXoWt?hMNEB>#l$0>3Vu%tl-h(f=Wsl9VN+MK z5Ht|}X(XsG{L)BJPxz+M!~>lSeoyk1>V#j;?wHp3!8aveDN?N|RViF;k@>_uoezAE zoN`&^({jq?otjaqQkn3|*&S0lFZimQa#`S$lBZN8Txm3MN2kS1jyo>*)E<4CaKHVB z-IDK$)pCb^3)u4CtnGN`{K<ypymOI!(R0Nzxu)L}ZnxjCTk=hD3;)d8jyKM)Y*fA} zZs1?|dBXMfGnE~$ouAk&`Kq{vKeD#tmGdJTmUGTQ@}Hh5uHd(<?U>y@!*0nJ#U=ci zp9ReLBR@~L*xq61a@N^Np6S;F6aJqy9nYPu<PZIvaJD_ej^(WLIUAMFifM99KPQ}S zkFZ;E##u|=>F0!#?H+b2pA=K%n0`($<QM!r!GOQArsJ`*l>DI|6OOiP*r|L}?BKVo z>3HbOCGYfO!ohY9JC-xf`)rP!cHU*va@u*Djmihb2L7Mb9rvAo$t`-SSi^s_+GARK zLgk66?JsOq-YZt{Z~Qbti+|y#3A@{UDm(5vzmijWs#wC``AI;7e`a;Z9p`yAD(@8i z<e0us*xtTF_er_pKJJ&XC+4+&&~rKOR3^S?_XHd6gPSMZX}uHKF}L-B-jg!LZQLtk zIo>*@i7V}%V8z`T%kjqPh0c>w#bU8Xy96w`Gh;bkJB5ia+BLy~yK(b`E3JDXIbJ#W zi9bqHT*j>#%kk3bmQKrAr)xSXC5nr<12<2&(AuD<a@NUC{L#({=UNN&Qi>I4aXW6F zV9b3orekJng5HxN#cAA{n<t!V4bV#|Qk=xy8N)HN)j==ijMEVvl`~Fq;*WMrIM!;Q zmr|(M#qAlx@yLl!JZZ;-L#+aODFup6+>Dzi=yQMEG(nI1;id_?+?+8S51jsqJ=#8D zUu#Ar$9<=7Vv|x8BgBeQ6|1;UMswVATBEb%l+y~GCwYp&VoBR4>}vIh<hbj!NQdQ= z(=#!p?Gx0wJEJ=$w;s@alB<}<{?oSOy<-*srsoQK*e@1OxZC_fPUXDg2N{*`3gvuB z&lPsC@3ifB>zKvwR6XHl^9i{n=NyyxnW_b>*eh*M%xT^s=W@<5ivLj6gsaUf<W$Z% zK9EuQs*umuR5jsp^A5|7myTZiPE`}k*b|E<n6gXScD!)BBBSy}VF9~m@r3iu6>>{H zE6icPY18q{@q)~gGmb|5NzW8!u<x|#c<OjcX2~aoDeN<CI-WQx@jE?Jn7}SsEMUma zSv=u*vxVG}j|x5Pkv1KV9EJFsDkdCmmXKTWL7|0R(x&5q;{ll`rycjmEIIACLnh_4 zBNIPU#f1IMKV+A@SBT;}R6b#EbBZO$wB{Jgj(d)u_?pTEwAoh{323qJv_3JV`G)M0 zcM3s#PURCc*c*!`>})<E`{bnKBfdvZ6bjfYtvhZz)UiLZo^Y@6jU>l=hc7%S<qEr) zPZ|r@FrSn?F}LxCB*#04JoY5(3AY+A@THU~Y+_z%JmE&;0lt=V4sq;8=?d$ZCmK(< z*0_N$rBq=RbEmO@1+%B@iP?<{_@0y~<gq1LO}NxJfp5uKhZ{U8XC2(wm8=9znK@-S zUN~Ihc~Yz}kNKqx$8!fW_C=NgCd`(`6V5cwkmPvgpvRtMIl+i|r3}YY2Q_vj%LykM zCrENUaX7}~a>n5h&yzxhKITjrj>isS?29ZW9BEYGds3j##;hsB@z8;dUCBbgfcdA9 zfIjm}BLO|;n?@53G&1l#$ycajekt8Ct?>hIO1?rQTT`k+INKug340nJ@E$qku!^VU zl*2nVqf~`5=9SVNQyMSus+@9Iz>|`vP{dqmG+{@hg(SxvhkI;~${6;uKd5Hd%f8_` zLj}LXdB!(#4euDASTfkKPpD=n=R0tY@qyfdw~ROB9NscskUQ{(@r0biT;>j2h8xTa ziW%0k8$4%-;deO4xJ8cPHRBq&hF6S7EEz1=CsZ-y^D%s7@Z&#lmT`uh!%M~qat^bZ z6N(wK`4YY`xbQoiWo(gSc)_^Dvf(`Q2b+d-%nxiD&N5%HVK8AYc*bDFf8Y#bg4}_p zj1h7UGnrS|Fq~qZVZ(5exx<FRh@GL5A(_wN3}cIB!*S*Un}%b|2{sK!nFDMZjxame zG#q9&uxU8NtYFh{kXgWn!GQh4Q-(b<4%3+*6fs2eDSTjH;D7L*;Sb+}_Y7NP816H^ zk#(5He4vOSoX_Ds!zVt5_Y7-f9HufaC}JpQH+ahMhHt?;h9xo#cNtwQ88p}p${C8- zKRjW0#CPB%;{n+Nw;6Z*ef(X1-~ShXkI$?B@Xz_YecAtozx!?eANbsVr~b~*_PO;B z{yqLCzwQ5uzwB@A)BY>|?zj5i@t6IL{fj@3zseW?d-#jr@_)u(_Sg1d{}=x1xA@=i zx&KQ2o}cWm?EU^fd?vr_zs6tom-e^*G@rG<_9yv^{G$H>pZhP=H~dpRYj5}e;m`ha z^#%WuKg-Yh@9??b`2UGN?KA5W{yqLAKkdK9=l)am0soRe$xr&<@rQk8y~DrcGxkUR zD4(&H`~UDq|FL?5f5{)^yZ(FpVSi-L_doGR|Dk$;f5{)@oBlI=?$`hS;Zwif{|BG? zb^mkxVSix%@884k{rl=OezM=U|MqX<Q~8L0g-_+H{-5~Ge$Rf*pT(!_SNwVWPCocw z;`jbt^&UUj@7gc=!+gsA*+0ea{p$Zaez#AqKk)bQTlu`-Kkl}_x2yWTF<pMo?+csz z@7BI}r+nV-!yDyt`SNdx>GC^%@3`Ck)-LP2<L>^OwI|*!K4+KoopCq6)$fYC$LG}U zc;|f1F6#TiUHw;USG-d`XZPTZa;bd&x5i!lmuq+2Y=3Fz_1$q-zuE7E&HbjoCGNJr zu)Fd`xkP@!Z;#FW=W8q8EiRUy^ZUk~_Gfk%-aI~IXY@TWO@7Aj9e3KF+MRl{xJZ7= z?-_U6pV%pVcTAI?@LOUtzu|9=&Hcw~E#56Il<)Z+ai{%}ozVBj9sP%ECEhJAkZ<`d zai{%(-GMicPuuNzv-q^#jyK7t?U=qZ?&#lN`{V86eEFzv2e<d{txdVfKCL$9X8S$6 zPv081^K1WJv58;n_m11gr_|nfyEsoi=$qsAevRJ^oBDUwo_PECq}`)$4^!j|eplRX zzinIh{$X|hz3MkR+27lKxs&`|ZrAq{#r!tkPwYKDxBA9T_II{<?-Q&0Z&hEom;6m` z)Atp{{Wq!)+-p8(8~5Jux!k(%6N>w<Rd2YL{8et%_l{zIi|-zLkI$}NaPRRKxx9CY zRsEN$C)`_n*7nAo<g>PJ?-i@~O}}&OWq)CN>CWTNa`V2w*u(zZ*6jVlN`8~?7RCK% zs%PwEe`c%qKC!aj==+L2>`!gg-YZu2pQxU&ll_V9u{+LZY!BUe{86s&d&VC2$F^ec z7gqEisaCl6_=8;Aca1&l4{g~%?FxhMKZ^MEzrQHr*ZY2>sQ*AU!@bAv<?6n_*xf#@ z`orDi_i~Z%8lTFAzgt+|zo+`a-NUDBSKVnoW&7@(;ZwP??<;n<PpQ6eSNW9ff;-9Y z<chvm6!q_@w%Eyj$M)X4hi3ivD}S6@TrOAr?4UKj?dKbF+uzxII>UV4rs#QLx?I__ zM(h6Dl{d~UE|c5xdB)uKH#V=%D3{4?_`JZl|9a(_neDG_o}5`+D!1ly#N75*HjmCQ zpR);i{xMB%#b=AT?XxRqoLgKXx8!q%F~8a82;=^Xl^y4t&)PUWXSC`!`TS!}`*Rzs z=LaqO&sJufV?Jwh?u>G=T-vin%l^}q5$6`4vC(?&XxV?V(&L<RkzC3%M$3M~&jQB% z2A?bDv_H0ydVbKN|7fMgIpspRj?Wfz+8^3*J$JO|KUm3ej`@tuzB7kU+w3~ieA;H) z8RY`GhR;7{x8Jw<^=x6PT+Qbjv)!jvCd@oOwerPT<$Sq{&l`;TwLUK}>fc@IGqe4! z&8ugMsd6QsJB;`>KF^rle#d6s8Ra}Vzh{i*{o5;d?0x)QcHjFKwa4d`f7s`I-n#7m z!ry&1?+<+LyHkFrvVCs(gME*`$!>eUqL%%wb=rN!-+fl^J8IeASijiw_^WL3y@$W} zEZ=9;vcI+tyT9;PpT+xz&wW?Q_f)dKvi7_G@R{tg_ZqeAFRgFwX+CRxZBOzS*+uUI zKKETHZ`h}N*4pm=!=HWU$_w@-f0mu~-r;kf@%s}s?K8_0_C5Y2JMF#3=e|?r0sE3a z$xeFTQNup7++knx8S5i^l+Rep-GBI_?^wCPzT}UxUGF_=*dJN*-B0|{cc@%oU-Adp zruPh=`}E&`_|&KO{=ug{-S-?d><_H}-Fx`GZ(n&vCHsBrZ}%oXm5sPp_*Ay){fTP! zd)90AEIwtuV$b7uvcdNfzxVAb_o!sQYrSX>^C|0R_Y}YPslV^2Zl7F!VDIC%vU#t6 z#J0b;s=B^0U3Smw3!D4ymcCf0eBSEA8s&1?@@t9dvO8Yyh;4ssm37^5ci+v@6YCbA zvr4+oxSP-Fbw%v)Ii)++IiItNx_)q1-__C;>y*z~Jy@e$Dw}_;aaZ5v(jAfQFRi?; zJMQW;d!4Yk&-ArKZ2JqVD{GWXWEZ^l*xYx%v|`=jV%a&bZ^X1ev%0Y6@fj<l>xpTy zGhXkAX@6>UYR%#z*(tAQ#I!%LQo8P#COhG^#AZIj*BqPsj+a`jTU;pH^Ex7?{gIW> z^~N22hf5{aEiRC4c`Xss{=n+Mn#ZTD_N-Za+G@v|<kMD6*BN*8?JxbYc5%LJ)U|`# z`}UTmM6ypSjfrf(XZ7h?<90sn*DE&hX}#VNeSAvkjkSyOWP`3bZtv50-LR=|XX%Nx zk55`Xy7n+dw%~O|bo*_~y7LdK`|cILv1EU5`DIS>cbQ$!PZaanJU?N3d~Wd#OZIn` zdFK<W`)(Cqn3w!bX4CT(#eFx556o*mXBl_i@VU&o=M#$it`%>Xm;6;`)$@*GK8xob zw#R1|FPQiEi%j0R#Hzka#S`W&K5KbnPV!kxxATfse5TJiY}sE}UYhgxv&_8bFKpPK zTbi9;SjlJd+@iSeOz{j$_GgxQ=MyXYjGnKsVSj3=c3!cv??mwgOZF$0$L2Vnu{<>A z@kg1y=NUHak1fT{FRbW0QmioV@duf<=NdNb4=vfwD^~CsJpWO|r~mv#5ue`k8%2Ew ziW%lTelJt^{DpP<wBiqQli$lko@;z66Mk-CdEcJm2XhafvRpN%`IP0mbB0f4%AT*V zZl6+oVXpEi%LQ|i-^mm`uPEx<QEXw!e#i3Oxrb(b_X~gYEiRX-K6cQW&-U>R+4gr9 zpL&?jTNE8HOqVG;)@a>#yYNQe;xd^nk7vlXzp;4Lqg*Dl;qe0FzUzf&B->wGJn30n zDzoNsglzjOi$^`o=PZJbe@v5E@z_GPeRkoDzQrXnOCDz!^O-%4Fz&lp*wN>F*23vH zqg9{D;~z5Z&n>KuAGGW{TbR+ueAePzk8-h0+ObB<zSD&feT&anXdQR7>^oWL(WhJ_ zlX8sFvd{3bfN`I};|iJf#}-n@4_fpcE!5~!E|lqbY$4PB(1Po@qeb7rLXJM>GZy=L z4xhHz)zf_1Vq1@LflR~WAJXmjEq)zam?~5A_=dFmw88|*<5LS?^eX4eR6O2b)Ti}$ zfl=S?LLbTYyB4pGDW=MlJnk^!(|9~Xy8VvDydLE|8NXwU=6%}>cho-qF1_#mi@(R_ z<$tJiK5t&Of8p<5oBIbo_uk3B^RsPk{)4*5-=w$QU-6git$Esh#oxVF_dEWwy)l1L z^Z2WD@xF(@crEW|{AGJ>9=3nsuU?D$4WE0j<nQ^(_R8FE|HEg}%kFFZWqWCUtETy^ z`L&wlFVc(d2Yl|mkl#?JeAe7<|HGfX=kg2cl0Qq&y6^D0*ZBU4KW#Jf6Y3s+lAd;7 z<8$w+{D8XTPtud_cl=?SneR}Se8&7pjq(|Dx&04+^d8GMs7wAR-F4sN58ESizWs?m zdJp9b)FpqAZo1F#xmW-GhflqF_aA)f)xFR0hwXv+zkLtC_wLKj_{nzP{M){XPo*RF z6+V@&x_{y~+dcC&HH%M~uc&$aPC9sB;`iQN`5r&n?wT*EVLoO4Y@g!yUiJGOzuP9~ zAE<r&RyyzYkGpN}&8oI<Oqbqs`@-hlySXp&l+T-e$WbnrF5i}zF1_RSj=ODd&9b&T z?(V&rdm?Y~IkTkgjJtWQZdcqrHYaySp7S}gsO<-L^<K?gk*9pl>_LulsdWCf#$COa zb9dZqduisi-Emj1+3keQy{5M%?zX)!yON_^BE8_Y$L8MixfOYfi>2q>zHz7Rnc0P$ z$7jrpwkM`Z&$zwgPTNznQ#p%^q^I1Tai{HxnbLO0H0cSqB{uUK-safcdpy@7Z*ie? z&+UjiZI8@^wm0tRJ)A3%x41yM<+j9~wg+Yhavq;H+mo~SwAqfF<kMzM+ZlKC?$7;^ zyEtDuYTLo>y?b+0Zn90wjk(!&&+OB-#_hb?w^wZ9)w;do_OU6sH*y!}Ne69n+}^8k zyJ1uB&fF8Zk58IC+V(I-y5M%j?Y7&db?YBi_uk8Xvy<(;>6e(~?^3(2pD5<Fxqf2r zvANkdcCx)Q&0C*X-FqwhLR|7UsZG~c6!+f9J`mS@&NObl;d7~V*C!PBUd!GPm;6;~ z)%A{IUW@A<dymb|UJ&>Ai&Wma#H!v)*%RUxpEbP^lYG|HZM|X@ujzG;y=*T`FU36m zEH&@?i#=@5P0iLXtmHMhZc*HOCVR$Cwr8e#>k}(`jjpfQ!}iovZM|Y;?}_XQJK3I? z9*c24V|pm&@kgn?>lu649-E4-Us%z5BwHcw@dv54>l%C59-6YPSFGSQxc;MvSO5Bp zB3`}gH;Q@>WHZD)elJyb{l)IKY1toQliy24u4{ZM6~1m^dGDU=2eF4wnXZayK4toD zo#9idvg<2$w@t~u5UYI3bU{q=JE@}U6-B)}vMqM9-7&ql?x9)l{mdVJi_4{|mmRd` zwY_{}ZreMPPd?1&O^TKmrc0GAYqajYoq5A=ahcSX%QNP-y)k*^qg*Dn;qn6G-s_oX zX12XHdE&FURBFxTh`DXAOdk0#pEC(s{xMBz#bt}RZL>3H_$@AxT5>tVnAhxbgmLf1 z%nm>2vnEc<8LfIvF8`R*_T0p3`9aIxvzZxw%x6u``6w4lr7df;>^+?s;kWpViPmyQ z%ifcj9)8M2QYp(AEqe_w3mEqrT&|eY_Si&f`9X``qnR3h%7s!Lmo4VBJv8B3?r70_ zFq6ZN`HaavpTnn3cKI})HreK*Tp-nO`N!<G`zF7ZElicFxqM@`+qBGtna8GPzVKDf zm#VnD!Khd3@&cpY-I+c!+wPjYTBevPRdTt*h*#tCjM;5>Oy>D0=Slf3V>IvGp1EW0 zx9VB%GxsikYuWqV#CG}HdCRJ!=3V|UXIk~GxtHI}X{)~VHgntZtMlBdZ@tOfwEU{2 z?l+SgmtV~JR&{I6<rx3T#Zj*_*DPN<Z&_8;oXZd9Osl#z`*MK4we8unnah?>o#$2+ zHT&|7IoqCXxp>*dKe#yRMdpI#OD*4iHaUOU%Kx!V?q`#;moLmId$#4w<uh~IDsMf_ zoVI+b<=Rgsr!K4cPqxwhWMZ`3ah};T6T{`LmTy0r9KS5(pKO!+(d6i5A%AC^w;xOn zU*_^xw#ofqa`5tjIp3a|=r1n?1$wj}w+-)yE&DJ3@q2CUdpfgb`P;eEo|@<^kG1rD zzh%$m4}P1AqNZM6F{iBj)|AU{{8n50p2{p)?rO<<DzkX`$+_R2Y}s*n&K$P#Temaw z7XR&gW*zlDquTAUtnc}Z-HTuLO-nbiS^TuGEZxL<@x#6{>sfCzwl2QdXJ$R?O-89( zw=8d&iPhr0eP-z<*DpTlxn?ExI%D<Xm3?CACKijA_I*n;xpL9Zz1Vox%Z#OqC-z-S zGcjAdRx-E5<l@CEJz`c;FETRSe#@LK-g54uh5Kz8-(nM!#d9Tfi%pCdC-#+D&U%`W z>b6>@w`j}Biz@EjGQDRqCM@oi^gWZ2=vFOrws6a_ixTe9GQNddj$9OQx0X3uu;tK2 z4tHr8-vSeZ#kG=WPiMrsJvO>E?IM#qv&`Fkll>Qexm`Arx}Q<KI8~DObVj(_Wog}f zlRX#L^n|6FXf3|mYnE!V`{FCN$wpFlGXmY3jc(n^C|YbQshek_zW8A8H?vu{jqBz= z{M~ae{Y@p?d*d%Q$=@Y+oj>uJ$L9Qr+GBImZ&b3qGtQfz_`By;`USh>Z<3qNulU?^ zBmIC~^Eu<V`G(IW*PWm6x#wE?2D{|1lB>>leCDw@?@@bfcKQOl$6qA#<|Y2>xs*P^ zZt+>;8#c*jjos!e{^Bt`&r!?v!uXQS<Ij@w&cCQ(dv0ttf8kFalk*mzd(NcKsAPL) ztT#XLXOGeO6*X*6jn(EW{_HuCKB1EBiSaQT=QGBKY#x7<>^q-P!}i!%Z2rO@Jx9_N z>>huRY&)+}!}idaZNB0U9)t5gK<mX`eB#kNf8$fnfpiAD$L}TU&cCQ`o0k5;Hu=3| z<h;hGlHv0fe(%|n{=oL|DdSZ(&8Ljt%`<!|S$2L!b=#Em3%1Iqj2GA>zmqIFU-7AD zN4iBN+a2S3^B!*Nxu5z&Z*jR~^|XV#d2CPLh;4gk^ht;Lyiw8g!gR^9X^p#kZl~VR zTU;i&<@Ai$wl_wvbd<{^H=JIux#xQ7naH-+Mo)AWmrAZV9TD61%IJ{}^EsoS=^xW1 zSDdzpZJV7sLvL}3<dV}Fn|aJmM{Mr7nA)M|eAdWmI^(V$lhZ$9+MXL(O+UD^=WJ?* z9`jkFb2`e!l4;W#clMl4jnG?s#z<?r<IbLwsUCXDMUpAg7<cv<o)*~LV{p16rtPtj z)bxWpdXA=Q=qVRUcAU0|X?tkIHQjMX&%sm<J?1k;`*aSUHrl1reA;N6j&gxy!|5N< zZTF3SO<R~MS#$bEwA-}Qgveu4Q(x#R=Sx<c-ms}h>-2(6J-btVBHQj7y_%+&Dp_*6 zV-t_Y=^4>&cZ}xgDCbG~O=H~Nvpsc(?Bnke`;Nb`JvJ}-gPiku!?ON`)jjRKD;5WR z)6jFN=<sk7QS1^(X-mtQRN^e;VIUyE*47@X_PmH)*ugP+iJ_;!Q4N9SDTb#coO=|N zprCUS^Jj?=-|E?x;=1SS-p?%!z43)f==*^SH_Xz-^~L6I(7l-O_{OaX8h)1&5;WAV zU9)_0cjK*f&dWHDZuzIk<MnWPY)qkcufr{)o&DSD_v?LoRI1n3lH923_+fMFLYuu? zk8HV}($h0#^TNIReyRTa*tKKM+SbYZk0S59-SqC#9py@{ysgm|+fLt{CUvTMQpeg! zfy#4y7j!>O`>ky0p)<>D_5+r43njN*Q%iH!uUKouk$C#r&d=`Cas{8tKMmXVpV>Nn zcTQ2g=Dv;5f<b%3b>((lS#YVhFW2qE-nfMZ_KiO6UA%KU-fb4Q(JR;69eMsw+>vz~ z^g7R4@=IrK=TTELs%Kx_Y8txb!Qrrf+s`Bl|9>MYA-3&QQhHgPOXx4IMcir1Hzc&K zSh=w0aI*h-^O1A5a`MT2-vn>En7%M;k@XR%*XXF9c>Ve`?^P@{yuEz#+Uut(={;WC zdFNB%U+3;?UMpN9C3=^1tg=ks+HEoST1m>Z2OBbdRa>qeTDV|qPV3X8lHTj9cko&~ zKD<_Y>FU%@wtm5ai`O44WnkxBy?xL3uN~KwJ|!C%cZAl;#r*!HKjRl;;*+Yf<F}W8 zYBm3n<;W51nJ_U?Np|(R$emS+Jl+RPvXAVJ=|AXue#7^*4V>F`=VnYe=(OdO(A~2R z&0jYEN_h9%$j8`Y)>YAiVU`-+ja@kzOH(oe7q8=d_IuwB(+xL%#p#;a_I2Mi+<o+2 z>z5FQ2cdWBd_HZdIQLkP(dI(^iH(`}PL)pn>SB9;tAOv%sY0oD`+`puJzey3)=8K8 zOJ1d`@5nIligG+G`QR(?Bl)b_T2+qtl848>hr6Zt26#VJ<=+|YyLD=y@Pdh{daDG? zlT%nXE!t|3W5Qd&{md<(HG9`2&C7Z-4o$N0t9=^AsT{K}aD(I>uE#8Ig|)LxqPl`Q zTb5|v-x)or;+8;|H*4&=c%StC<e0V>K}V)6Sy<6?Aj)yx(yeN<wmg(-`u!kU^W3Ui zrwm2vpLAYQnwop!r%=!)4s8#!TU@`}au=vnGkJN*q;@nZTz7P?*|GLP{MrSEp&u)J zEnX?C`u#xGsPE~lYXO|mfB1AhB<@~owPQiJM?`?uy6ci_ye<^g+&*=4ulveVn|?%> zTfJO4HQak!)WRv(*tLZ$L*iP^ezP77TgP~W+4AO=56A9Wr7dTYUlrzhCDHS6PEm_{ z&yoLi$*&deG~O(&5MOp;ll+&WD`y!VG~PM<tI5Alna#dp%gqxLZ%uHvoMn3~d8XB- zhV|O>Q}SP|RQA_7{<C69+k;I{7O*{)>S}L!%x4+(T0^C*g<Z;WS?hMI4%1t2ez5KD zyY}~xbj%86%M~K(c4{jYZ7F@g%Jg+&+6{*CDLWO98@v`ccG$hO(sD=cTIc%@rXHTe zyDUpB)B4)E8EcEuk6mj^^_g~kA^V>6rbHo|fW42yjy{Tza`KAsP7GEsEecf?sYsG3 zxFf!0lcvdxNr}%tF}1B`$eeU*{(T(=?goYz;h$eEed2iZ!egg{-zUUQ%U$w*WrUuN z@5Y?g(sha&(`0VCpWpXg`+MXjtAeZii67t3UHfpx2kw1KIkgvTmcAGDhvk2rl5xAF zs<FLO=#*s6P+3v`qLoLpRx@h%YRAqlF52VvTX*HJKRT;!_?f?%wzS15*Ll^vD*bbh znD25)f4P0&=JoYg0+%ES?%pSMX6pV=n=hqI-1#EeAg^9|cbNB?14`Xo*KfM>CO_T6 z5cxEfx$a6_>P@F)6+xyw!b|xt&edJ`!{Ju5`Y*xT4kB-EIdAWoQ={`&*1f;cbDcx& zd&z^lzs8no{(Dv=JgJy->3gOlZ4Jj<JQiM^&v`<rhb3zfcUR+sD+<p=G&dR5xa9Ev z-*V_{ZnFIH!&5ExJzDU^r=xAfA03U7Wf^TJjy|k!zHq1gjoP%ni$?^nDRW8fInvQ! zZPvX~f6|-X&Z!MS!m1{7%r^GaZM68H*;dkS6Z}E&28&$gUgc8@Ha%F`;=N@zbH`89 zYspsAE?aMU%fRvXPG`x#v?B{0u4phd&9M5Fk!-v9?EHP@(>(9|ZR=uCy<qS8#G0|D za$&0|zb|9ZPr>+JyDE|AuNj`z$g*3-yZfiw>i%-D`pwU}`*r&NPd?3Ox;pNwy?M>^ z`t7kd^=+HWL;hRF+^yK8V8bi2?#=fYHSYIsjv3#%?Q}1luQ+ewzQ{w0-}+wZ^XHd8 zx}eVbcwxQPI!nFvH*BtG2;SPQ)~BPaV7>6+ivC~!A3fZt=XP;!j`7<yrMV6V8*ati zxK^g95+JN@XOMfX@<Ooht3#2C1r%%<{6Yd2CZGEy+0q)EWgeLQIyaz_>y6sESv$Cw zugT529(Qg*-t0-?vsbQtd#du*`zts6d|q6cdSlk5-Rd7FpV0C*Hw{$0F!7dXzgG5_ z{sO~Id`HxFw(TgiNZ&Nuo7HJf)|u9tMLwOLHF`Ox-p2h%j_RCZ^naS8%pO*r4Qm$~ zY&o)kZ=tHYId7-;y2n$^xC&oxTJgzWT&An1NA(m-g@Wq@8&#eI%fA+E46rcdvM5sE z+w-!oe6eQv>%48z=5I=0r|qeH-BGx`uea>=6{g_brI$q$qS;f=uARu(u(4D$Yh~^8 z;(#r|4J<b1ic(%3w-)KTPJPr_bA+vtL0I0ndS;f=E0@_`0ZAX&U1zP(w9HWc)UG0S zM&(}$hrq|>uNN{&)rP1khNKEEG5WrB%hUt&3uZlu@D+7ECG9`Sjs3e`&e~_j4|SL< z|98on=g8$J^C*WV2yJBVX7s+mBgK0}^->_yd?`7lFl(ldiBs9XKDfc7!@eeQ#%u3S z#ob0vWA=I|@bhh84`Q!5oHA{e<HDJXd>mYR9fO6A7$k;2zPH@z)HRMZ49*R@p9JD8 zj`+(gx>5F4vtXWK+1>P($zskEGs677{5s72z=hW+aLZMvz%O^Z7cq1$TGK4-8l7=h zW7R2*s_^|9ULJ=uELl%^U)rWE8CI;}5!bhEZrTeo<=B-KRbjU^a!XzQJmPpXeM#u{ zjW^DFPS`KA*2-(~ZJv_YkFPrYq#j*=5c@R1&{I3Mv1~#yyGZettE%_5&f1vmY50Aj zlJ0Kn?YBMn6?HPNrruh`W*zlfYxdPQ8OPVXve?ue#T9XgY3aHu-Xm`emOtO|iessr z_X0V#z*te%rFKby>kC#kAG&9*VX{^A^7|?MmruKHIxe!V>UmJVwDr!D`;zZkym3ud zcYWQxW%9~(+Tn73(~Y;Ud(ZN6-BNZIy;p04{?}dR?z(RN^5(R@uj#*%ULKHIeWmlY zvxUEC?Nc}R*(LKo-njSCyY>;|?hh00`C7@l)LJ(`p1OKzyM*<cUw>Y{YEqE+|I~*4 z)e5;)-nE;yrQ6zGS+`wM_1d0*y>6>l+`A;5xpJ|j$;q0XExV5tq;oy|yTfIzQQNC8 zw^j$lo(nq9xy;GX*|Kr#Mb(K@Py6mMbu7K+vC{nds>vmnOczy|{P0S#T9qxvG0lo! z%YLmXN2+|oE*8u3iwzEZ0k0Z2=(9G>nLH)lchx!5CWRQTD@Ls5FC&<1zdZ7N^3X_A z!Tg)>U%`uiA2*+GKk}WY`idXZ5C2C#4gc0^^H<zp>oaeD&+<Iv?HaG7^}E;Kb6o!3 zuOmr6f9hnezRSw?%e621^;@~+%=vlh=Igyxwf8fAh%mb}p6T|kxb(d6#}#3pRi$s{ zFkI#nUiPf^@}Ah!)75R0>SoLAJC?b5()$_A{}(?mdv@ZKK+CSYmuI)cxy~(K8SZLp z!B8Ky+<(5>T(fuA+#O5voK|rKnoD1O8KnQd@T8H#tC$~oA74}yAIafa^}lnv`<0jR zsZL+H*3F*s{jzCfV1KP*PJt<7Vb$&P+qIOYy}W8~+bA_@v($3-&&9hY6~8W&Hm-fP z)l=Uh_PKCf&iS90m)nMm_FKsD?R&oH1?Q#1`!hX0coh_h#PazDsa;xrOEdJMal_mb zKZUkhKb^E~>E_=GmC5U3J(J`)Jz9U;AGTfeNv3#K!e`EBJ61&J=d|1YuDJI2=gWV^ zUyIHj{&FpS>aI;`Qmf+At|r%Y3)|OP-spbz<%y5{_W5~_zDTX$`*O{_d0mkH0srM1 zrGl%Dhc2INw{(T|#JNHG$0{w(eKFM0Ev@)dvUbIvDKEnfm(Ek1TXNNMm9b%<Y@F&& zr@Ooprd271ObmTr$-GKgsC41m$-7Gv6TSDY-kUcmYrTwK>Ak}xwprf$)}4R(cDvrp z700JorMlE6o%d_sa{8rvVgHA(yDz=?Hk<wGIrfnI(^yyE>;Ky6{m$G*Qn&P<Wc6Od z=ab_CUmY#A{c&^lm)W0nzUsbW+FZX%P9TEOl(DgVWwT1){k2ybZ9`sV99ZJCl3VfB z%kK*_jsy$6zwke-HM{tMNy5tSYOiXh{^EJWz}O*t-D>H-{^w6Ut-HQzvQ4<TNASe! zFZQ(uUV7gB_R{FwOW_O4Iq!u|2+R0#XTIGZ%h)Y*+r9Q`e5%|zmD!@FVs_pHhpwgb zcFsLv<bUIw;v|7tPXse$x8`1+U~n~HSwzEzNXx_uSMiP`SG6jCY|On9uH0pA7WKq! z`}5-Uz5>rrCfY4Zo_o=GEvsn%MV{%l9a+~W2^D4i)%Cb_q}9pcz|!8_-A#*&LLN_R zv$_9xr-aO=vIjgr-xv3+w2s*8TEn+nrhCp--OeeeKi>MoRnL0UZ|<X`?_cHY6aF;A zd;NmtiOf?rcSikO<Zz;Ef+4rY?5Rw_$2GKE&Ftz6?mYXFo+fuJx%za@^EFOO5BVy7 zQ}5``d3wZJ>07i#Ojyr`uKv%bvahZZc$syhVVlzH?3s-#Wxv}$w=uO4)|s&J{jQto zUw>cQVyyLxMMGI`irJEwc{+?fN$nqb)2l6?+RgFZ){+$+aw^8yG`lB1ZsP)%PJv3f z!*h-vKK+2>0Hc%k-luOYJ+-Q@2<yLnuC@D+jQ^(j6E@lYZwR@0{=EIRt3js%ce?i{ zE}3z%VylYG!?mYsGp|jkkv|>x+-wTZ?Y6|i@`LT>$?v{j=$M^&!Q`4%-D8h%hG)h; zlJg2TzC7~&(vct?8QX8Sqh|Q6zUFo5=;YE*mqRRfSD4PVk&-&15R&rtRFZO|B5%r> z)>|R_3J<;2xsq62KU+xGG-DdGdXv@p^yx0)6GN>Ee|2UZUXU<-#xcqK3-SpEB@Yxl zZ@L(<Z~a#}y%vXO2JiJZv1aJIF`e)ZU&67d^UYiy?p50k7{<t*`gD70|I{bOg3TKu zdczKDu?E~q%Fr&4nEN7RvF>KCqiOX9_pg2x$)0zv!1GR6g5a5H=Z=;*>;GFibLs}k z7f=2fS{Q0BoV)RUqLtV0V^hxZtm&=$DZcE}g4cl>{;TK7y-s%cwa;E$=Vt5GN#XzU zdagJqM%TaL6Y+VFmGU*><(ebwg_o?Vij<#xw*Oj<s4SN`SG}jdlbD^3>#T@lF4gf7 z$6}rw-4_zEd`qLv$x~~4t)!|im&{$0X=CN?%O4ow>UZSBl0$bmD@8ZIRF(<+v^7i8 zM$@b$)lYSann<Vm>`4E<UiEb>RUKvqyRYXrdTqTU5Odn^k%s2OQ`hZG<=2)^oAM?9 z(WHF_OIC*@^Q1ptH919y+u26L{7%ExG@+Lg;y(9Xw@y8I>+HrAd6{7*e@#3pjRog# zyDuJMwbivme$Dk-@uiOssP(<vvPMJL^QU0$6|wB3St~V+rX9V!t}O6!&_a!cSu<@j zRgNt47ybCKxy9aWbJ(2FilqgL%Osw4Glu+bn{dJ)$?3wDJe4Vec2YCA)mCVm9m-;~ z)Ya{hTDxX_#qGrNFA9>LC=`cw*_ArZ`zySq!|rl{&ZQQi=~HIC_+9TYlYL^2$&MFR zXBN+qx!%91*zceEOvdDO?GcYQKCnKSBD8AJ_e;}03q@bDpJgJ%qrUjIhs74R$OHU} z!GWft1&fj!ofhUM8t6r3DhjHYJXt+2Q`KDWX|&Svungs!-z$wSJbd%Cr>pnIjGlwc zh7!ATYhNnNJidj&jbFLz&|OxcfS#VbQw&dTy70M|b2-U!&vY@BuH(P_PIciCvpdsf z{x**&N_c$maE|dMA?}PNJ^mt&iw&g9qT`eTC6CN&JF_97v(0Y)wr&RLA1U5mCpNVI zPuTLugthm5N?25?$0tvpS1kf*`;0a%a<{0HN>ZI<+ortpy5NVzxkh3O4e|meIv+gE z!s1b(d4ox7a_FJlOM*uiTzYH6cvL^t(S6P3C0C|pD|JLi9<u6t=dd(@M>&Zl)n#pz z;DtwBniGzQuxj)Q>|4Map^&^#HHxux-^+=*-ew6sE9_b(_`VMRqY|IxZpvEvq4;cD zpUL(&!P_$QRFzu8Wo4Jfu1MQ8i^o9KFw?*#{@R_RFN0qBOB5Te*Z-h?tiZc)DnrGZ zD+?ngRWx%-?>738wt|84c!x)t$W5Mj!FRSCfj#~~Wiw_r-xHbj+$LBf)UfJC=Yo>b zt4c=~1s*t~vdTccWa1jTD3$<kW)=|!5Lg$R8dd!K@@)wg1_lu3XW(Q=EKSMG4=Bn{ z*2~Mw$tw;GVP#<U&_0o_u~q+X!VT6^1%_po$Gk7Rvyd&R`N$A2!@wpHWy=t6b3nZR z0NVv47T%B@j{+r2Q;Ijp9=OaX(YnQIQ_ZWy-&b3h{~u7lc0}0!%YnAYJH67EZ%i{w zWsc%t-N&$vVHJat^tI%cEvu?aTnqPpZgV~_UA4*lZ#sKNns(%beC3-$713`GrA@Ir zeZRhh@5u`V2C3Z6kJpa+&XMY0`~1z$g*U4zT)fNXH10fLBe^AxyQyeT@ztfztx~Kn zik^MBL2-+=M8W}+Xx6F%2km|PzLmVRye0HaRw3#w%UTKRbB*%1(r12|_%|cIdgZQX zC2G=rdMm?s%wdUJH~sTY+xe?knU|MdzWR<OcGWV@ywj<>-u+V0cpBKT;c(*A#EV?q zYBSXI7tPD?ycX|b%aeV|q406GoxPfL*Vf>@U)`c-E!K@*AR2ppt?j+^oA+!2Wtiq| zU7QnUG`m(z*?Hp07&GDM&Wko-%*Bm2W!Mt7JmuPw)Udz6^6O@wx@rFQ#iBu?zSl#h z-&@9FbK+n?bBM>@xo`eox7w0iy=!M>m`CTiX?m(_SJk{03CdUH&a08V_3~2j^ha$M zE-VTVFi}|IwR_>N3{Sp!Rrdn6E&t|FD6e4etheNz)Ex6GDTn3trpcx$yth8`;nK?7 zc?WBDCALe&C4DG4%4dG(%f)q*8%kcQE1j)6?XjM(So&F-=kb`bOPkIwD0ySLLa+0O z=i=19TQ6oU-<5uE)joaOU!{W2E}Fi)nLHz~{EbjYJI|`TRnGkCk}`J#`|Xm%ELSpj z398O8{P(f^Blka#;}7yngzDpOx2uJSv?fT;n;v{sHvj6|ymYTEecM!K&a5d@^4{sA zdhAmK_nW5%Ggj`-y3%@o!<W<P`e%!zpE1b?zMON|;@5M9Gf!lzeG1*au6T4Mxx1OC zPv;!Zr#q?7wP(atHf)N#?(y}t%)0h1?-KuQn)PJM)P4OctzO8UkqKYixGrd?=c(X; zb48!TCvOgjdcJnj?x<T&jn1&d1zDeJSihs>bmolXzx70GQwsJZP4~NFG-FrFVzWP* zDt|wPd&~~^%)G%^@P)NAzr^IM{fyx7rOtff4rc5PJu4b*l0?mvlpH6Ch^X{39#GQK zsuJ+nqLMl3*o_2_96oso_k&wS%OrR0<qoMz-_;QO_L)ZFTI)itIa7bLSuOTl@~5_X z$+9=`D_$-vU%6dnq80bU`YCDw8mp8;`Xm)rDlk}CF?cXpOfw5qVDj}^u<fq9^7F$h zK4-LK_onaP#b3+qJx$2Y>x8J7`jZpd-<AbC%ly3hMR?)y?+adhnafdo_U<~9M{m=) zV`A@rp7Th&?%4B*+txm?XEEsM_3@u;UCV7C^VsGR!-kiddAUDgM7PB(6nFVu_*2GZ z;)K*`{0`kySwdMKHV2BSMz%ikTy;z|gc(+va4>*Mlf=E(7@FJ|8S?Vk7*I=-l+-e$ z(xhi^_yifxZOh7+mxg!$Y;?=6d_QTo$zv(qSeKM%%BuoiXqq)RiE~}H^*1QGc}#Zm z!=ktEwwk_^-f}G}d+k;z(fn@a)I-Ys^EEclbUXV0@5917hwnbFNJ(><>@Q_9qjXo| ztMB{&KYsuJ>Hps=Ps;!Q`~LsS{`p$}`u~5K|Nlq7edwS4|G(D%d42!?`~QFP?N7E` zus8j8+`jHq&cE&R|9$QM|Lyqxf8Xu@t^fb__x67;=l^@Tc++?7{~wS4|9rgur+H1| zrvBL>rnym9m#uPr9X8eXL*m?kxx)K00={{Mt;ma=9r*80<jwi3Peq&O23=iMSh@L> znf>;RrteBot52o<`0;oX|Eeg7jlQYee_npx#1^WXrJX%X{QbAO&aCo3PY!P?Uv1R> zYr&c~dta>*I~)DK&&_SkxeocrjiqZfCGu1MZ~0RktSwy<+P#0#L-ka7u}4RLr{-_Z zxx@0lCMqoL-`SJ%>jJ*{oxXN<*2CCfXKAO|ul9#syAoo$^2qIFH*UR2v^Q=)`|GsN z!mU4@q-Pv@CAIPC{r}u{RombAbJisM)BZ4VW%)YakLtw*(U<voS2^9Bf7(tfOKs2B zxSP?(zRc@9kjb_ux6HWx(C(c#&hFo88d`CG%H3D*|9^9T`~S!K`UknU{jNUsdg!nA z;7t5kW8X<XH;djgb3Z*Z`p?JwA1f_3Y?q$8@W+|kvz|I{=j~koT4v|E357N3QHu9v zKUB_L>bvZ(kLa6~b?U)a`;|j$zrWseUU~1bv++{bO*|j0)jw_5{bjDt!}&AT&3J7u z`S9?hw5s>FH|cv<g~o2I-^AYYZLN=Gz1h=0L6J8%ZBi5e^jqyoR!zdW%x&9KbMD`c zo3j4La<R0k*tE;@P993*u9<ptXYGE&x!RXI?4#nhMW-FuzD+v)->*Y+R^*hkoS7J9 zc9J!@I{);woomngWNghy={o=I#F>5eH}=ioU)yLIvDWs6|F+F(OZ3ks&i?!N|C%3< z<^P{36HVTj+hc$8z#I8(pKsV_eVlgp@B9BhnE!`_eLI(5|NpwZ!Pd9)_vvIu#9iJ| zIs2IJtSd8~ZTWBF{eW*J_nqID!&B!^eZ^_Byzv0Hl*gT=jfb?QGWMu7Puk)Cii_VP z_Oaqh_6nC*igJ^yg#0~hTbD1Hcg)x;f9?V4An_L;#4ZY~^-V5c)ac+Y<*{XHqf@n1 z#+K@CF0V!6E49C<l=jLkky~KBvi*hIE6)<uuOho9R7uU1-TP;|)bIY6H}&TpJNJ_5 z_uRPY*M%+om>Y#nCRlhfw+5SBu<&J5Eo`_V$~S4(G0PzSf{rWBGHPE{`X*FyxqI67 zCQr$$`X(79ZsBd(^7iKS426QZY(nN43koK)No_x|K;_%SU56@zuJ3So#i%!_s>6H9 zyd$YW{tvlUE-(D*ukl-B@$~;|mu4v&K7FjDXmY5j|NqW6yL$pI?g(w&xh3p++9?em zOYdYECT077(>J}hbZ0vLNQa62k&cl2BOR{ek9DNdleAPWJ{P{X@PhTRg%`Dt2`)(Q zQ9d$bhR0+H`NtlKNj=KFo^tMqIqUihIdVRlR9~!^E1E7iLpFWl4B_;^8Pe$&XUGRD zcFr~AYppco>vc8kV+c-`QCxP^V$uw`#~z7pJ<7c^1>JK?y_Zi`*<f%?(Ln3c8`VCR zW5*&{jvb9uQ9BYTqINve<lC_jkEFsL=S0sQ=j6y9<pZB2+&dBt`&8VHSOiJPJ@!c6 zay)Pb>$js8K1ok9zb%S<5HrX1LCqZ3q~JNOiK%m3lS_SCRrrosi0~b?FyT9H!6egL z*m6VovBx8q9_7|x!#<O9b$^m?npd_*8d=4vG>X43ih1yIxBb-G*Uve>)byKj*gW>0 zP;pLLB<>SiZ+MRCnMF@+`(|s~pEmuq!NwteTKkLRpH$d3*|ak1_%F6U$-VfG=}o&< z!+X<g+Fh0RNaq`9``=Tz*k`V>N729N;)%TTVL^{Lqdi;s-u#oA8zHyA__T9L;?Br} zn`~O;bo>|puHI>~f6-0-PBYFo=brzSbWE78t?{OSwfF7OCWF+RV%EmB>lSRdDQXf{ zb7#Su$7=$z!>%lw&@1Sg;Or6@As%RQ&8mwx&DTXRLNrjs&t0T<@=u1@W_gNdKBfOq zcXdi=c{jf%{#$6+imWKzpreb`8&}HzT6y3xf1SqfTkgU${wOxHE-Q#gGHDlWGn_c{ z-@nHBS~lq`j&ZlKRh+yauwG)8OSM|s=7Pi(ky|F54U7)`Iepdq|C0_B@NxGnD5$J^ zuX&~OOyXvrg;}BIKZItS4rr`QxmbE7<%Eow#QDU|TA8pL=Uvnc=P%?^mRx1nzL1g4 z*yM;no0{R2g_=D{D?Bspf2@1s-ENj0cX!pcO_ir_?O*tu{r<GtK(5)h{OhY~KKR~z ze)Nn_`m*OU|35NlJj1Aa>#O5CH6PimpsPDPLz&z?V;>x0-?`hbZ=S<+eouu9Q`v;3 zzg#4;?t;T(EncP=<;7EPIKJY#?Rj@ijfd?4tswr7v#v~j@k4Rx@(Z0;F29IbHU0MH zg1uY*6jh78n`YK>Rd`0^ts7z-XBIXdiILJcv$*k?gA~gPziUp9<RZCSe=k}0vEd4{ zjmlR6;S-P5412Ex&+vPddFIE5*PGnd-Mchr-ZaiHUj-WLSTxVSxqRQ5g>8z={#}KW zvo;_9JteR2W8Id6##Kz-844R_unBc%EZ8uCO^Q21Ai+*@txJ4R_zUM(QhPmZ9rT0v z4X0e09O3s$Q%Cu$$+T&&o_^Cgqg>k9xs*5YD@)v7cc#YcCKD>0nOnDgF*K38Sk3an z$u0ZXo$4v;3Z`E<d&TP&qt&FR?Jpc&dD^I+_Izl#a{0$6dtYd*+%{iz_Q%U#YiDtk zD0@_<&3`ASbm8$|{ZmpRli#p@t=ST*9X%sEEtUJs{}n7Vw3jh*+yC0bb5x=D<6eQa zZ96}NZO;pweZZAp(Bf;{lT~w+Iq%fmZ)VAJSsl@?vaY&sNx@-fle{XAhG;pVN4@Gz zDrMCkT($NKJf*fTQa@%=@5{Sxzr1I2mR9|`6*+T$>Q_y1wF-9Ab3ebyLo4&EkJyxx zrBzd1&t-~DUtE5&Zf(}tu*YxgUj%Q8chh%|&)R=#zVYwSe?4_q>ZaOHUtAx#g#T~Y z%^M|gPNEVXD}<UkLK6$N#MZ6W`M=hvQE`c$!IUeiZ4-ALDhRrs;r@zoo~wR|r0tR7 zApb|MUnXT1UD*{V@;0*6<$+VliYFdS`n4VpT9?~&ewJHQaL(D}T$M+Iv7FGOE$u3W zH=Tnj-YRD9>FQH54xbtL<<H+oe5($f30j<InYCa0!5R(ahzD2OcS@Uy_$?@wJkGjn zL(C%W)1tdJ#w0VGW<FEYmfqsM=ULYJ4{e8~a(5nLwt4!E@8Z1M)}4GZehZb`FTXhQ z;2P(dXB#3l4c2DmPt{A^dBA9zw@&)bLtN9c*E~67{#uf6b|`PV>9b;s15AAF5hot1 zq!n4T9+mM+=4^ePCp}}M&BVh@$}7XpK4wwd7?WUhT6Nimm_)79rpq?k94J{=CA8RL zjlrzLQppxF2|Df0J&y~79$U^i#KO1Su<2l$&iMx$4#Z}$oqx<?wkamT{Iu$~4Ka!O zr%k^FZcgd*&Uv)qP#Rx$&clL+wK9Agdk=f&JTKs~wwQD59Dn!b=<e>kPj9RD|4@sU zOS>jnz4%PsluJ82Q$xFt-T1$-f9hk0FAb$@c9rHPzv)clbluQ<;O+Lkzo#WnufJck z`TgAOiw&RVd6^wPIH{s|jcJ&`8k^$dn<T|5BC~Z$-uX&iTe4@>wKS){bF9<EZdm81 z-HQp?<j%&SQ#R=$lSe{tJI9)@0-dFDi#FVIHZiO4XoxMjAmp8~V8awPsnZz(36{K0 zXO=q(X=hG2q$Rav<1Ci6xZPgNjoB%)Z|r=tubso@gFt7y+@cRBoK57aJsQ+YE~KUU zF>f|l-WWOk@&TRGnF5c@cqi>}ZWdX0L7}&L=>i+$Fu%(V4+=78r#LXB+qgVf)9x|n zvq0--xkZHsota{mG*7c|Vs2e*a>2r_nc;Y*z#|skNfw^XB7PSYdR@=mZILlZek!!H zT~d4cNwbZ~OOLyo2ueIr6l%70N$hCP;Q2a%;qaW_*_BEcXR~qHW-hqc&Bplaf`UuD zl*+|^Hq9@~9i^D<wDujJz&O*N?M#N`J7*?kn-g=+^4Y0;ShPlDYI^_IOYLX0?lr%; zo>t@Wz}GW>bNSh`6L(FI@i2B+FuS;WJ%dojYegpiInq+BN*j;v{2QU5_WH9xudkd? z!s6oD=Kd<XE^Q5b;l$i~&V=JaC!3<xr2}g|WlnIamRfSLkL8(9o`QD9xyMzTeBa1y zIOEJRt*XOQ-^L|zV|#|qrwI()FBkZ3a$#=WYH}gMxtU>gX2K>3sTn$LY?^BpI7*%N zcC1WWqqCs#z^Y4TCmUG!DmxB1@=v_+N|Ecg?U6NmY*Y@!S!HxiHVLqBWbQ3IcRaE( zVcqmEa*BNy6*?E2cs%6by=39TWE}SG!htnqpa4wW($2y2U7#~jZjr$iXA`zcj|R?? z3qrdy7HpWzCS{!=kYLSwCTa$or_2IJuE&`Zj!8UsUe9x)W>vb|=@5CL8P6P_<@kM9 zY?NftIxm;KjGyz&H-+LgmUb!z-}jzav}MzxMX8Txt-7^e)!_VTmSq()kEG}a&v=q5 zCA?SXfPg-?;i1!$B!0$yWapWd`YyDmtL(<E^Zv6J=9+w6t-SW)x+zm`_lM11xVL@F zvEt{S^_-2$osaDk-}y7(>ZAJgYgU|H`_sD4<xyDaj^HmDKhNhJcg>#q|4dof7IqQ4 z&9X0RZiTe??0W7jba=63z`w_LcFHX>IOuG0tlFdD;5@BXiPtyPI}PO~9m?SMOrG-D zF{JIrHo0$gAItBpiTPo%UDB%l$?~-qRcBSF+rQ0iTW6Bdn<wv@XKgi~+5E7>wC%@E zOqw_G?}8nYX%!yXXZ~n>zuYUM`HyGk%%AL=z4)SYGJ9{Twx-*s=e50*yChY-(&)3i zqxoT*Y1@x6t$K25{#nE46&~3>-P@}3T)y_)o4Y1AG}}D)>2kMnqcw;4wrQFO%#h(- zz^7tx%9&+Xb;ps;7fov3Dry&xhtKd}?&UP$Fqp@tc<iD==SCBcL>}Ht60))KQWv&F zKAyii>cNiBhBacLTRk4It>l(bF6~^rWL-ha6;nRps?y8LEL=<~OS6klwYz*iq$42V z!`$g;5+LEh+{<`Q++)*$GeNpW6J>R@->RAVu8LMIIN;3k&0YMo<)i~*@<KP>I1A0T zX`giCxguA!txIx$JIjr?ii%;C9zxc(Dy_M4la5{6bV=<0+GXpGnhWjz8<reh)7(B| ztM<h*$w>!d<%M=Ub{5)h+is-o$8YHE$8VVJ$8Xr}$IrR(wDY8jH;S5fzE3cDH`P^d z+x}29vy*Riy~K8So=l5$Kgo5S^X8HR*EJ#^XsLR~EKF(jzSybw`LDe2Pgg09m<5eT zX1tJ{s1sn}`hH>IEH=h-7Zi>}N~sj~u`HW<?y|!JBVHA`3l0wzc}?UlDjX1&YN_yQ zR+;Bo9r3R^ym(P(+`sDZ&5JE_A6AD?uJKqfm*3N-CvC;g^(kjRu`D#W>}--&;nDC? zPAF+syNcme=b#yn6f=GL(hl8RpJH2~|NZy6RnOMeZ{M$1J@^07@G!v}pE_52<n(E9 z%~t9<cFezM`olGsc5N&5<qrOLce`fn1G$ynchpN;*_W*Q_~C6^>ij3AiK|QgKJHN2 z@Nja6O5yv(9Vu?PSC$sq&*!}AxwFc)YBmc~f2P967B(*b%msy~*UtVcct3P|-nZ}? z|2r$*=6{jfQM!5mn`)WTnahe_t9>f1e#bV2nMprG;o~GWuJsuUKHge8+oXN(qiepi znC*%dPkR=?zj)G1>A;g`{PXUn?90o)(@^BF;H|aFgba7))^8>kUVP{i?l)@R`{J7K zOy+y#i?^MhW%*#9c`9F#{;NwBZ?5@1eSPgBuLe8Ixt&wbF?Kqe1X%bm_bR`uy!>o~ z`Q2Ta#RqohUAa|Ozdi4k%oD#WOFv#&JNw-Aw=1Lr_-2%{@T&A(c6i9bYvQ-7l>1Dg z^-e{$Z_=89o{wfmZ$BXOq%!1E#lvg5C$7JpA|1fTF=tuh5lJbHIZGRlId0Ee_*O5^ z)v5a4ofU5%_r<xYwnkr+JzG%rTI|{DvU|K5>^?!R%$?mP2A$HpCVIO|y>HpQY@1=i zzDIDX%Do+>-m{ux`gfV!s(T}2K6CwTQS${d2ArC_D(fyDkeS9NWqxPZ(ld#-cPlPC z!?@m6)i`(OVxv^PBGp%yGTvX)ox1+EulWKQfeJU~&WrEfOlIav&RAf0ZLa#|XB%?w z3S55HFn#eP7xwLWnYS$$>Gk&I-M#d4S8p-%DaOW+CKF~jFt>J^T$u62udppe|8D;r zpVM(WtzAVr&k4FN^me(p<3&nT>*{Y$$~9sWxK?`msFt<{E?H-I*E0H2TGaYEpA~YK zl^(R@zmyjBeDS11J6EKvdU{4-(}c{k1+y&pS<)^YSn|dsV1_SquVvodH!rK+T{}B( z{pP$Y-&$mg(*!cl-<Y|=`|*zG?T0L9zh(=u$o!n4U^t(Ri#ub%#tUm_pWQNVSLx=N z*D}qsW@pYVc%5dvc5mSHof*4IwNs-0-f7^P8I~^PQL?bnDO@U}q!=WBJnwGKGSkbw zx6-1P-`RE3=XFWQC5y+`)=tPQEoatBiL%X5xHy@OYj4Jai?_iR>;zd53AUiyi_7?q z*oK+cxYNs~wEC>>mkv<8{K}iT)6XQ}g+FsIBgpL1dmyue@2tpOR;u_aXog5>=AOdW zVJEh*?P3%)TI*;sLBfZ*Rng>v#H(v()1ut(uE<?xdJv>%`Xas7kAc(OcKgqHEM~Me zG0&mZC)<Ko#qEMaq6)9cGO$ZhK`zlPUA%4PwW%w-6SJ<QiuvW;<(e7Bum17`bEElB z^*2@yk4$;bbWLHC(!XEyXyeRlp&&hL3qg8Ttyr=_Nh`CY4CJD?9UvDyH!(QPE2Z(K zaym;|<WrEFu7d(5dz<vuvs>1=$8vcu((CnpSD~E}<$uS6d*-!dsToggc}1*t#x9tU zSz5Q-!g<s5?Wg_DrL500w<{9uoGo~CO_oyE&LXRsVGmDTJGxUmbjOBL)zZ$qCF?Fs zzGAw|+H(J<nb&fk+i1+rJX?OQdDF~mb60pL#$8!jc-As{)r8EgrJ%%Nb?Jc0Ba?s( ze`e!au-^louUeL~%=9=Yu*?_fwYmmQKbDj}Wu?=yt(SRMOvqfjyzz*Yl*XE+jmM<6 z=WWxDD_Fd(wlE=Nl7zrik+9vRo9}*{R(+4_Z{b$yfQ8QM7BwF6lA6)k%_j93<l)t; zK^~sAGw`<0>ijE94YXewb<U~2$2Iesk@*6rWm~7Pf!mlf*rd9_%9n$bFWU`L?tW#d zf$pnIFJ6Pvk!}en9dTzQY^s;i&{^JijB$IO+2vQy+;WZA7Uo@<d3={^?6Sx1u~L8U z>=HFv`xhL|^1LeFE**%unz3LbIN7!B2)yl+y%c1{G<Vg_HC<j(&38e{W5LRqc~#0T zI3!y0nrs7wOjth1idnBgRvZkRerVSU@8pi??M}<KUIm3q?!v|+X;K<FiyDu)ffT-a z4^ntC?~2*wSE8kwK0Uj9l%AiP-0G9P3Y4Oye3?4~O$;QBcum}PfKtB#DE;(;)7<10 z-Vd{`r0!}32TTDdU`%`1gq$-I!puxAym*=t^-ZYY_Q@@8L>KtGOnf08C=zEibK2a0 z+pTAYC4Q5ClH$>NvR+~3Y;Mh!vs*P*&VG5?GWu3pl=U39>t>gu-Yf~dl@{f_c+#O& zE0z=<f7!WfLgrUcTJZUN(P5D}uL#>^hvaq9=Cd>Jmj0TtZRRyakjrFyytsZZo^;H1 zdtR$gb|1(KvwWF5BTWKkcry1&f-~iPP+tB9ireh`5TohSS1kE>U~RPG<yr68LQZU1 zcF`e;hgW3T1&8EK(dO1?<aU*Aew?#adaG>4?ZuN0ZCkPA;?bFoC5dZ%i<q@|wsk^^ z#g8TzB%Xuv%i%j8(~Q^pg2MBbWT|FO&#p61z)8WjC~RtFf>_(RE=zuuXO|A>tjJhk z_&-)%ZgysG87Molf!x2g-|JbI>{OFuJ3z%2H>lXU<|O5zv#9apgv<pSkAR%+1}^8M zz{-2QxOOkH&gv-w<>6`IQYF>IV5Kat$g>L$$xA`O!&~aSW#+YaD^xbBYiX|O_mcVz zPHUmy#AC{<a_zzam1iawBEIK+oO+FKyW=_Y@;4c`-^BF3O}H%-D6-8>q_^>$=x&2c z*Y<nATy}r*t|M+i<|ma`X6KY;rLf;*SngKV^R{HsR_B~>m%tmcfhNDKO|^Q~bNZ>Y zJ~VB);v47sitDgPZ1Rp^W$P{9tW7s5zuq9cRyM+2sq0W*N7A)Azh17zSuMhzi2=PT zrIT4F?>dwbWF9;<SlN03pOxvR$C*2Y*UI{}E6KGU7Ib~w5xn?Ngw$)7APKL>9<fO_ zD`%&4T`^U>p>IP0lZ;Z11K+D<{UT)9KdWa#yaNdGq<=N6KQ?v?kB-;PRe&a*3W{ z>lM*ulXe|5S?*Rg^U~GMv+IKV+(bH6{d(U>rDh*lyz#`!z{FOgyPZomILvf>C1mUw zn<%z2`%Inrciw{4>GLXszyDd4#<}K$bK~as&*~UMxP*5c3ut`JGu7g7K<jLtt2>Tf zVA%dwbdtsMcCP1t10FZYv+a1%uBi7jQE2*KgI4Xj8OK8PbLJk9wHMj(I@kX9;#;{q zqBqV3bjtFC-Z&Z18~bm9>bsu{57pY6Xp7nNe7L~xZ1d@`irnu+F7`hLy=#|lc*hx% zX7cWvbK}PR>09FE)C#t2Dg7MsK*CwI&p6~Ei?gYpk;wtg`qneEF7u0i`?>H~ojuQn zqx{Y?Uk<DA{Y>O)|7*~z9GBttIMZI_#k*X4YpMP{kC!vKOKKJNEL1z6yy94A`5wjD zf9~;%e*3lX<j=nb2kPv33J>s4ws_I5Df8*D3E%$7zZKKiuB8;@O)~vkeq=ez`MG7k zH}v=y+nZ#B{Vfh{t+gy#ZT9qA+0CEZGn5L>Ijfwj>Nq6Hf6?M^*t_l0M^!%8{tvcG zF_Ms<Wbw0)edf#q+ovBZl)5=Pd-)5dx4zph+oe>kU+-{PV&7hqbC*usW54Yxp7Fk2 z_M>9wVY?*-=gM_@oxj9=n|9SvUTDT^XQAgWlW#^xve#74%$(#_wsZISc9w6yCP@CY zOL-<NZ?sdm_l?xoZTy^fJ}OS;`!V4Vv;3tU{>r;I?0N0Xw7tgT5mRl@n^~Wi<?HuK zn`~Nr@U@*v!P$0|b-yMY5|zKS!$)~{%WjYBr`J8z`#Ir=YJ4Nt>)Ptfm?hsgy<WH2 zPQ~DIyNcN5<D0xUz1+9g#B9Rn)pPewtL!)s#6NMydqu9zwl2whk}=P2A6Z=EkuZ;c zrmE&mftmS|`XAmd-8jK*o2uB)2?vtojS_!MI22^%bX)3lM#}S#PfHrh<l-MX3u)J$ zSTnoUBiXd~jm^Ge?JU=RO*mpDZ`6FG-DKK+=dV(?C8SUOOSzpix!oh@n?S3s+@g(B zRkq1)tL%u>uIh+Xuj+_YuIf0ZHR*<Tq&&Z%#7jjXWgC~o9qk!B%AI?=c)toXD#|Gt z-f$LStL!-DFzE*O4F-O}7w;8?{@S=KT;HDYMXhshS?@Q2MngFz!)wkW$0|FHRZO~Z z`Wg$r;EWfFLX&M=5;wJH_$YSnP4fLJ(3mNww6RI%_O~L_!<+k$y|sVpar#;P*+0>D zm%LhEc4hvF`|NsZYPSDXzAss}C$F#e&EyQrwOX@7!>(|xIph9Rs54Y<(S}>jCfA-^ zpY`njw_WjDPI)~D`1iJFX-I<SKi3u(--MKZ6YsHzy*QlMc~2(n#Zi|uquxK#%-$;x zDYeZyt>etBlaSGIlE*hm$T|4N=?f-q6VFbppPuT^$NgnN!9(8}k1cf!`m$c{np(z` zESZ%ivFU|X*0OXy?vlqFj##c@ezxI|+bY-B8;(e~X>Cc)T6%HXLPj}5)%F`FFR1t# znY1?Y_$DX)^POQC^<~1Jdq2$+tqeX#?1=k$J@(qhKg~}!PI7oUGyVAEkbiHRN;N)P zykXIsu<O9lKa<^M?#3u)Dj4*z2_4Q{V9>-S^*2)>fk|?0r18XEk#>`I&HUkMdtkz! z)w8?43Iu!B3tjfGZGE|9-m#vby?5rdDlgI7uyBjwnt2DTq-M;S$tIMYk)UU8a>2s6 znPK_K4Ap6qcOBvix_-m$72~!^RUNuZ<{e23@=p|AxqPGD|0B=##C=ygvs6xLL%YJ$ znYCrr9W%SU`|{;i&OUJY&u!N3(#G3Am)BZWG2PBo*wD)+6rH(X!%Q}**%<-}tdeVw zBnO38c)XJ0_pm)s8^mAOe`WFx?^l{KN?%RNrhrDKa}-J&zk)}m`z|^>FyK`wyX5dt zf!BoZg2I71qo~fUOV({@yK>fR&K2f0N?!$}J@3Z+@~~|cUNZ04$3K%>K2_bFZSZ?a z&-^>H4g8<apBVyj<GIQXN#~#HJFiWA!}`_lU*}%So$q{SSby^GJ=Of_%xr`0&*z_v zVmA`M|NA2I^ZBc)jykjGJ)gfyN|Dp%yJBPdyiaG2o^uvCSJg4|w5`ekQ-02inpLMh z_50<%SJd3|Wy-W;-7h*%+F5Na{^!_cx$}kZjN^-iGrkF~un_ZAovS|QQNWi&q2DEf z&uHCTANp~&LHe{e(=VPjw3v0%s$cTxf<M|?GBJHO<=uV-JoBBgnIrVUIrgQij_5j> zKDWH3H`9HtYQelKta%f59dQf_w{UnR)$C#G(4KK?%0*`z)xVxL-F!d)2;b~;sSf+s zndAQXd|3AcmUX`-91!)d6w<bJNu1lBk@Il^L%EI05oLbEwGR|EV?IqVS@%?a_cZk~ zk-4Y#FJHCn!^@nzMOz}7y6sXo9JunQeY1#Pg3xJJwaqa}T&G3dHpL`MiSIk2!KdBx zY{M}LK6Z)I4+S1u%sEoQx7?uda9Zbygx@(8eB5UeqOR7dCRv<jwb~T3Ncgm<)#jMR z+~WI=`0#0;d9>kJ4j=oB!w&_DE$1Au;ahGn|8S<xf`YrbjK*QRiy{_CUtLu>$H9IY z_m}jYM;NAQ^Q7$D=~D2}yX5%>Cw{){FHZ^@;$-+P&OYo}@}PjL)?$uZJlpJzRx=JW zInM~&n*8;ux{P?m*`iK!o$!p)a`B60#rGWv;M3-Lyuq!SkG<j-XS1`-;{u`cmU9kq z^DY1QY4RQ2J#VHrterD^zHr3s{j%|1tk?EV)}FN>&G&p_qpXaXwl|;ln@1at74orH z9KPb6B<L(^W)PCB?97~#l+kgP$2W=FIrzq@3ntrsu9V!B`#D}mXDQR$dtc3#%I;YI zS2y=~E`MHr=R8o!lGuCC=Yh~$$yFB`7fQ)WN-Yp}b}mWF5Q>$U<rLnw>|*bNot##U zc08glyiHQ)sTK5E^j7K=%snh*Zn36d@?oj%7BUaaE>;#tERqk-ZvDq|RpP(}24+dA zBmw8iJI)`Ob4avpS>aXxx8mO<wF<7^pHjBL?(v2L#eCj-9&9+|&6m9=iD~+d;{mOw zd9GF*xWK?KnI*QK$M=z;b8y9>3nugSeGb04{i*5xKTFS^-@1Q$W?*da@9DEnEfYOk z=eZ>_>~C}`Z&>{+(=B;7FG+~~=2^6^#k9_OTZ`)aG`rN*#yi^M{WiU+)8?3F{laTf z>i6iUELT?TD&2C<`)^wgXLfDdorlwZ^4=7>Ry%9w!W5oR-K^VNGSp6K*ZVzqv3#br z#=2X}rfLdDcgnK#30il&;k(@-tI{WIec}z@?YpvBn-pbB%1^AiDf>;pnq!Vq*$VDV z^Nr0%Jd@gYO(+wx)|jJE7I0Ix%))w0mYP<yiD$y*&CYXY-F?WhvH5^nQu{6|8MU$r zHyqvsgtt2%;p39-lzsK8;K1F5YSNd)%G=k>x|=A!vH3_9$hdF9)-zJ3-dL!&WbgdC zh;M#B??<U^Sf%!R`hhFE_NzR*`giGuMep=`Q%mbvBVxaYcbJyet8QHPZ1N`lGx51n z=Bs@Zib@l`6DlrFJJPX8w{@axwAA9RZA?9Sx3~<KWoUP9ysGj0MBdc!?RkNLGY`y~ z)~B%HlBmkGolS>)!Y|x-z{Oa$)2YLE-Gn2@ruCls7b9?M%ZB@MHlMOPR}^dr;n}z} z#3$+Mdikk)(_JUW=w{CR9i0&LFTa!X=YCPOXaBFwnDgKIz?47t6_-8x@0S#H`hUbX zd97Lh?kroh$u&2%*Rm{EdUs^#-DQQYuBofTa{vAMd|veG+2~oGeBbT<&Ocq(H6wca zs*{$pP1fb+=zZ{i^-!oHihuf%%~d-@<gOlGTKM_$|CMjt@2M7=-&<0kes4*k^*xaX zb$c|AG*<)*@jv#}ywhdA^y7_tni^(XS7qFB+Ojg^&ZQKIsTQ{n#8i71PM*~je_`*# z^3?cgn`WH~o4WPI#R)pwmu<b771}+I_bf|jS9GYEv;M9dVf8DsqPp*WsoSbH$4>6b z60X|X6;j)6R#a+#$<ElcHt5Q(rI|auzAV?$_{@8Kt>VQ3quT|{E2U2DYLj-EK0ABI z!jL^imkaczCLB$ZUZ=cwe(nxGxfH2&tNBA0pHDY2;=SIQWic~*$GH&J(0vcB0(h5i z;=MjE%Odo8!P^%_#k|+2t?D{uaz}a9!;sj+d-ELLrAxn?%09EL`la(#2}jd8r%djA zW^*~bGUl*twnIq3bLn@QrXi)<9?lCey;92ieTJU-^@98rt6umV?p<=OeO>kr!I0+H zK8Ja~D_kx(T(d&Td1c$>nQhWeUoGZl?>IP7FZ6oSw|JWm8`JhbNIhJy`Fr0CQ{8<b zVG*bM+Wzl*Gym_jW%jot%^Kf+-N?Bn^N0MYf2!A}9k^BXlg01aY4yT2adxeLzkbS; zSvy_-z{IMbPBQDJ>vuYT{j{`TL)<=-ZC9Uf@yuE4Uue`g^Xn(hGb^V1CspmO;kx|l z>E#(w`tv>YUq9tGTot!ZMeXY8>4%O~{k$|IVE*N08<yG~UCDCn`f2qId+ge;-iVQp zZt(rOvGqoTe6*1Ct7PxQl;)}%mm;oTII9yI9ooI>)+a5UZu8K^uhZwOtb81{^_!gE z;rj4z&G$p@9$CYbe!PlB?0A)m*v5I&*3J%@lC1c8W#*@7UrRBQKhHP4-y>IT`TEn% z|3Tm0@9A6AdgD)9{1j6Svs=;roNsbmPB7l9aXX=SE9jldt-vC#x0;r{e7$u#zn6LB z1qDv^RJ>)fdfktun>g9rF7?=Ke_uTBXC%wEj62IxOMP>n1?^rok=<-%R^!&*JFBZ1 zZ#|2Aw%BxP@9czFW%HdUFXv3!v~OaymiFvzd7(2SRa5^N)vIjKx~9Bg)5P2Toc>Ic zAN!`QmCv6fA)Fs1A)S9oLN=ev!0w(%lG7f|&cct&MADATzBEI!I!q<(h*-+HQ=1?8 zGHrhBD^&c*m#g@(uhi#9zKqX~&vw%3Fjw7ZaBs??MHRtP-O1;>4t=`ObjG#ZbB||2 z=9`NqVaKbOe0sjN%#eHSnH04~y>;crc_zzDip1r7v!%?}=LAOvUR<_l*`iI$mhEEO zEd4|0+5gDXYuMhgF1vDR)vBZC&uSSOZ(`7qm~CeE`92RHw@$``IFXn+2i&G{=OpYr zQZ-F`P4doTQPbEX4u29@9Xaoa*0kjY^PXn%Je?Juq`rOk%zbSeViF8atFmp3Nn|)} z`fIa|gZ;WHE^CW58|NNo+*W9DB#cjeW9wnfoM#16+R{5D;~2O9ithfj>K4ncBNi;b zj##Kv9k&pvI%;83Wmd3U=J>@W%XaNNIluZy70WL3g6AoRCLcIbG5J7C#pEL!ZcI?w zb+k%k*Rd*-U&pGLe7e83%#eERndG%bz4heAc_wP61=p7@owwgCFYGGI<2}>49{*mu zYndlg@?#yQ<VQL}iy!N7Eq<gU#r;@^vF&)IQ%}Z+(#XY1-l49Kr*)nQN)CHsmL!`Q znkrT{zc%z+B<Cux1;V^6R&{Q&$NtUv66rjP!{)0%r@Gvt4>z1m<SIQH+U0~EO>I{( zJQ6%#gss{`s`<sSi7y=9C+S5o+qxt)w0nH{F3?&hm(;eko#n+FMa8OW523v_Dy{S6 zCb{wNDipbOdH0Mf+jqBflq}}HoZ;Tgkeey+$k$m&<>M?i%{>borOtZ>%st>d!Qp}2 z3aMN2E%Vgd*Zy^V!OA~z#|uTZa>d^}{|3HLJ#WXjuEL{ZwcMm5ru?1{ceO7mT*r9R zLa6`cF~h~r)M95ynr^pod9<xv<IYEc-raIS4?5agZah}>eDigJ$hS%lsoyV;1y;D2 z_eq+vzc_Seg{6!5vWqj>81pVD9Ff_zTHSCuo93Ctj#9go<!w?Ozdd`Hlf))4v-`Tt zqerTn#MkdU5+MHVk!e30)8~_iZ**Tb;1`s5rzoUs>+(>>kVp5T#M7JV2Qv6M4Uaib zl6bAC+4FgViQ0u;<&6#Zj`AGtlv6S|>8zqw-El~S|Kf~SifY1NCkR}&Rq0&)#na&M zhpzS|g)?95ITW`i?LxcZ;SWNwGbG!@Umh|MySQB8K$28Tgj2K1v`Y%DsU{xDHk@aU zzUDDx=cxW@<?CZ2rc!XhnWe0{<A^hVV8sJPsqeNb2W<H{3vW74ig21|SpD(VbH&Uz zs^^#PteCrqKiTc9+%5y-tg_0EBYymW5$_eHmfNTt$mHiNJmfsd;+>+V%!dgkX%~AJ z7fi~NG0r-6v2|vk@iaZ3$1Hd4nPrwcb|jm4JksC|y5ZVv!sos(dlKu-?&~)*j+~9Y zeZ7M{LRdCSjD<~RxkJVQLoO9w3#qyFIv!gMAHST?t|7C8Ycu~@Yo}(0_LZA1ebe}D z<C3T!I-}O$9-|b?4X-Jw@nSj~Pdkg03ALu)6W-I###k1d%2_=nS8maU`C4b}m`d4s znR1q&n)FTMx~<DYsn8j<8=m`0&G^!z<$ZH;%qM|X;gG~{w|9nTE+}keW7G>~zxeG# zx~<DYp3oV!%bv4JWz1Rb*id}Mk*WH}Rk=kQy0p&NZTl?3%QR<+BbWHf=9X_CK%D~1 z&>6L9&(ow>Za6iIgaxpB-a5Sgvq0<Cki>6|bEPu{5}0{|BK&2K_~<j$N?mkWw7z}D z*Ol!VU-Dg864Y1whNP5jdgb(f;vt1s3YpTMyjK~`{Q0)EUBl+PKyP|TO4&1M57)Hx zeRU=t4@C<Feuw!ty2~XAY4RI(iU>wL3!U$uDe!=kH)w~etjmo9?<zVX8>>4GCBHH# ztJ~|(Jo)}bh1T6y9GCE}VK4lpJMqOkMXtLY!jmgcrsYeqyl`z6sS08bG}~}aPU+%F zXA!HQ_KlNE!=+d(Jeoz~>c0iw-0r&IhvT-H;UQ}nvIQbIzBf-_;h3D-quiVQ?RaWk z`w=BOuGXV_9FsZoIW|l&Z;3c2Jt^Xx<eT!T-#)T67RPv}vfY>}(SGc!guB%A9oM$` zx7eRPp1JPv9>>Qf`5XoF%v*LGke;;Tgyfsa*SCLWYm|-gPEG4|HstF(Y{=KDY{=KU z*pP3tNk`$5!kN~~zs>w){qtJDjib^gWuMy^sw<p3_U=*a{5$8M-RU}ke1V8h>C2WH z3BOw-(SGENMEjv0iS}b(B-|S^LCXsZpI`ZRF7M;~$mT;z_ZDoNV9pY8K-%fdb6(Fg zk9oemWhky_KH#@*X^&!Ksv%$FS3|zZ7RN1om}DPch!B3vvBB!t#AB}S*4#E%e)HhA zccOLvg&W7D&m_$;Z@F<udeV(El5eCX+di{3-iz^O{kEpOuaLv$|CDl%k{(Uxh0Z<B zi)(w79d=8&ONH;)oOj>tVt2lN>%2XVi`nxzF3dG=d2vj7(u-4)Z=wz2imq<aKU{He z?#2uAzD|sZZu_>TzoXFOOJ|`5Pj_JkPj4ZMgwSJ;$2#|A(s&P;uQ<eVZ-L=Fa~6r? z(oQ{3c|Cg`@@n>E9Fe*taZd8hZtq{k?Hkq`yga_O^wPK4eKCAfxBOk^+~d5cw&$=; zTJoa{8PdUS4d#ZaOA4D`n}6G@Tl@1{(2WDqCcBDfPTOmFrPsXW#VP4YFAhluy*ML% zN#fX7>EFj@K94bd+nqkIFn8{zziATX`;5NtX**`2(ssl`gzdP+nJ==B4YF6w*tMjv z?X~&0Tx<WI--0R*Nt@g%e17KMLg};8PCbu!J$s(;rtN#ko5}O=S8uKV1<`f0zLh#o z-?`22c3rXdF~tJwV~U09#}*ctA6r<ce@yT}U5|3_<(T~&-+SyjvVHm?*Lw>J8_ijE zoRW5mdCcn>^PE@n&I8^|p44By&s-b$X9yXsXRbN1Wvi)5fyyz(4cf;P3w4eyEU-DY zuu$ok;DeMN<=)jk`%ms+`Y3omj?2BW`B>gPfdu}1j*ZjJRW|mUFWE5Pd`V%0amu{| zr=?l^igR^y_P;zGBfsYG6Awea&VPn{t&E0zy^V%_42P3tGGm_q>fP&H*_N;0x_OV| zW0`!8g30DBFHXj!F4^mRv)g>hh1upyF18!rsGg(0L*cFMx#=<cCvLmn(^bgP(^u%R zCn+rV+QJW3#}<B6J0`dw*GDFE4#-6AUtRh7!s_`KUL2V}iDB`M?3TUURt@$pLd=!U zT+J2EQq7jld;4#-nsewpzZG?}&RF%BqJil#MMLXj3k?j9Ei^PgCYX@fquk3KvwvfG zRQQLtOE*k1&sg(_myxab@3DKCcTY^8a769ighML#CLDWWzk7d@w%LuRR}`mt%cSf) z#8MEGtaRGhC#_OwwM5?KU&1=mXPhf)HPpF2<IpFD$q{mo3@+E1HQ(*{zxB<1@sH0! zeQ(`3JE=W*#>MTs?!|Soe*LDkX65$q<lMb`8JAtXEuECJcduv9>h0#OmS4XuGu*x} zF7wjvs%qA4S8r<<Zn_uOn*8-!mdu*%<_8+9s-1IIZa42-`}Nzb*66)^Gw-ZfAFf)k z_Fi1$>Vo-Nk*{>CW}JNLA(nXd+++v6gSB(oO;h&AWxafP{!Y7nR93xpeE9+&e%}P+ zN3l$^Up<_3BY=PUp<h)yOs*aLdsF-2q#5%0K{LejFU<h8LbdOSB&F@q?3DbtOk~-y z*_R~be@^v3{j7fND!u&67LCRe^}U{V9N?W5vhBdx6XKIzdzgl~$i+`*{?>Xm;$^$q z@=lxV4WYS@XWhD{o4bAc-Vz_@?^$6jv!m^-KHZ*gWB#V8Jv40lp%nh#J41Qb{f@}h zzSC#Zqj9`$<)3KR&8Lo;KHVX_GWXoYO@&AGcRXu0ow`4%)VbW@`2x$8-#9C;?s#um zG526g`T2O4$*;twUfuCp|B#fA;B)C+pF?v?mu>Zau5J9T++@}I@TBU!d%14EdVBRo zRDOPg?$>W^H)8VFpPcpjt#4xf-o2SJ>(^g@!hZbKTTzRs{PjmBR#l7gUBA7$aK*j2 z$?{ijODEOu-Rt=V6hFORzb)Iid|%vT`8VsfhbM%m)TZw}r&{m)gfs41z>X*VIZych zEn4mBTDEKM<pZ`3PpT#zh`Fa=p#O=dcZFi(Q$xN+QA55?UqilD_KDIhf$hisO1L-N zHtZ89Pm=Mx(_6TtK>OIlW0O6Wi5JdrY0u{~wzhf7pY*IH-H@;IxglSxxFKKfbi+P| z^+_^{amOttRR}-!SeWb6z4T(=`<Gmoe=421kSG6G;Kc!Xm&L;Q92+{!TO!U#Pl`As z9Tag&`ci~gC7bV&3AJTj$Jy%jv{z?@-CGkmyXg117Tfva`P?zZ+f>(C-R26PliPdx zTv>znxw4M#b7ex;xo@W}^M25_S-0R}@s!$-?XlVSPnMh(Wyur&mT_xGtK^?^+azDL z%DyRoEWG167t?q9{D5zT6DJ&a*r8OQvdBZGS0hbUF3{wg+Y|<MC9jUjf~uXtf~u{T z1yy^E^Hf#jc8D48oYSkp^jxXy$j^>N1;&dqzWg~9%Jii}Lvfe;6qQ}BQ$%*TO)=S3 z^DxBe;lk<y;|S~B)-w;@)Li6I(xbs8tJLN8yF=(f;FAc&Tdp>qTY5D#_jJCQdMx|9 zPlimnu2o~WpzDKFmx&dEfg<zVMS9Z(RS#V55PIb4;`wN!bV5Vzx`au_;U13~w3ifI z5WQp(B9Z#6zW3s`gblZunqwW4CTMH$l(6>NtrJM-&~B0VRe5-mKUY&Hi)_sK^)Fs< z?N6Q|oMpMos;#K;;W`DwL!u&XyPA$^gmV}i5OwM)bkg0vu&ms6|Dty7KYbhhR*1fM zDxt-4Y-iJvitvCL54fa+V-*jmgmW0)6xA|ZdZB$yz*VN;*rR$M=l%-4@yj;<iO09R z8<*XBPyKu2ksI|OQTchr{zbE;54?Zze2cU2p2BY#N*Au*T-@nWA080#fJ<t1jN*Z; zaE^_~Rt5=2FD+a5JbX)e>-~+_1f#Ad+<pG-;-N^z`pNc<+B4Pp+*2A)_kuR3D9t`O z`wHv1$-8#yP2P2gGwAvbw^xjL6RJ99FPV2lH^~2?*~;aG?OAM{-c#<z9DX-x*8$t0 z={x*irQLOu4ST4hnjN#?3Bz*@%OjdzevedCXM0<!&VF6F*#ETMn#pHNek}=1WVms& zr1oONhRHA4(xVd>Z(h6c<W0T}H*fN7T$*8%{`_M4Il=m)%IUw$bN=qpI8bDxcPx$X zZdeV2acf@rHqkPR+fvqZ-!kl;^HyMYH}jb@^Y+RfUtjUi<%4yY7<)wHyrX5t#eU`6 zRMRYOa~aRg?TtKF)^PJ&S%>AhGSz2dXPIYe7SB_jAz55kIMqlvP2Am3mT|8A|21ze zCmr>fe!W{eEA903bKl<22#fis_98@P#!M}7tJ^}|b8=gcp4)azDBb!%P`dSzO^+5B zPk!?HQNtOTpQmpAlRe$-ZD9PQ>rUC{H?tOho__Q;)8aX~ofFS(JH(M5oxEkUY(mdw z*`zg_=N|cSs4VTQ;n|yJu}@F$GQPei@2uf*QI<6EZwA>tEXOQw3klE3ZGCud+cA}N z>jNq2)<;Crtvgq?GUWW4dVnWfV8+kXyOAq}g<c2;o^dr^<WbV8!Sz?U>sUjFP(p$W zr=i9o6+??fOAJ&NEit^Zw#CK%deXE1iGr>PNiGv_NCk>)a}nu1E2w&4S%*+kvWsVu zvx{e9t&3;!+-T0$b?c6<NxbGJ(r7B^npEl%7$F*H66Q9AVY8A~hp3=x=Tkw|)>J{& z-lch}CcAcuZElMc3S<#;7ct)IBGTF_=$h>4!f9Z?sAYzD;G`L^*(4V1)^2(6kjwK+ zNvlZJPA93o``7FiG1uQEqHge#+sRD!efO>_hb9Wo@OhOM>iA0Nut#j7#>#9S#jgy_ zo_Bj(gZz^eRxUSaxN`Z0ziIQ#TN=A1SN`>gUBJK6`-@s>>%J?AXSsSNnH~!+YABqN zqsKC3&JhVOzeE$&<%X}icb#54(RbOlXWf57=AOMWC%2RN+_pn?>CwsaH_Ilh-z=Nt z|4z&$?e>>wpF)}aNo|||T9=8lNAyJ=y^)f&drofW+;iJbUYV2I`}SN}L+-h<j<rV_ z(~P#d_B{^QDcM$k{MjQ0ZG-g^vwd>sZr0s+^k&$NCpp<UCEJ*D3br}hlyB26R4>1- zxjENp>+Ze;qpj6PLr<k-b;}+tVe$F9NH6%brdqJ{Lz$Q1XUewKAOHKP%lzP-q#UEI z&F8iqVNQ>JxN!5@k0);OeYkOx@8c4S7e33j9Vq<M_4C}f_Z3lla*ej8w>He4z0YoW z!|dDp>TchhR`D=Ldr!$W(>Tl9jB(bt74KQx4$X+(!Dn**+uzK-$Khv6ww<l|dwNmB z>5bbYX79VdZ$h}~_S*f;o7Y~rc=OteCpqjE@27}A+ORpcRk>9xf1WJ&YT4uKBcA5` z?^}FSH>UCB(HlLj$>+8mDNMhu^L}n_@8ol34Z-KiIxe3pQ$1&KJJcdtf~#yd>p9CN zN$K{k)iWfewPla?^@_G`oEUoM#*3#iFT-`px78nSebkoGlzQ|=PjC0RZAVnoqaSYC zyteS@O}>JwH~9+B-duO+>m#p}W2b_Sf7G64aeMz`w~yN-wavS?*RMXZR^LviT7C1r z8434Q=SD{MtluclV*Y!nZ{_P{_UZS+qc1OeG~Mi3!Bk(N9bdQ3=PLPmtWNc~v+#@4 ziH&tK(_WlUY-N<W_TtP#hGq+yB!l+J5{Dj&v=v$OCf@&XJ7kge=FKNF+lnI+SlZ8S zntGUPu|<y9RvEMG7{1v)?T0;k9v5)=?@ud`x<0dR)rDTo+d6G|2C1{BUi4j-)BEns zO`Z$Q3l*!3OgiIud>5KKUw-kqw>GVG-mL#AdD1uBgYW-7)y%T4CUyV$@UB&cU$lSw zZr2c;$s}Jf^MLcGghOJME%$tHD9KmOJdnK0Be6@y=e=6)ME^ZL4>V(!n7HySDi7Xv zB0Wy+!yLV!nM&-Jc4tOE;no+f@DyL^JCXUtU8d+Kp8CQ!+{GnlDE+>CV%m=T?JRr~ zY){OaUH8OqW^nO^b{4*gPj3oe?!Kd09LM*2!U4feHq~LjCRwNYoeGpUIw=r2&sMee z#9X8FCp-8#=O~=s{Cu(b&ftvGw_iImEkE(eDLAgYX!#P|_gnZm=O~``p7p6}lJ(M@ z%Jf=~2Qrayr>0!*y`!0X+WNgSllX~GmzM33i%*?)uJp*6Dc`blIAc!UD=18DTpgd5 z$LjKgg>S;HNcD-kjwl9&Tll?_YWK7~AQ;47_%+(g{}I>9<pndZT;B0T_acwb^%aL_ z={!*i?eVnNo3QIhWl*?<+bgN(9<~P}gZK;Eu1vP@eWf`^>8puf(AfnWnzPs@Tg+L| zWhi;LaFs;w+>m(g4c(KR-z<Bg@-3){>$iqwZ*F{DT-%<U_lCFF+3)<@6(YLBd4*6p zXXwLw*N?Vu&U*H9?sv_|2aKxTHcL`k-Is3qd-Yecmso|<$z{)jHXYg=bo1Y)pO&iJ zdlsa0PM@;o!>W*N`|E0bPcr3eL@s)3-m>Pxx{z)Do3s5-GRbO0E>c$2F43C$*7!18 z(53@YUehAHPjbEXO8uR(?&}nt4ULmj&4M-^S{8KEZf~ZsDtFGpl+LwN)@)cCvd!M} zuHQ)}YmLYxQ&sIZT2tSicP$UzbU@B)+70iMT)+2q_JvuOd=p-E@+wE@BZY-pGFp~% zwmkl8pgLQpdy;Bj(56G@f^M?ePM^f87PRSzidWc-7_HkM?OG?XE(_Xp#KbFXMjVLW zJc%_eXwwlLudo@hApY)@OB2fW=r2#{Or5f3!<LY3k83$qxpkJMbh=Jivta{>M zW_e0y<&-rB+d+Ij)!85YPA=mQ+H`1Q(9OQ@ho|I(sZPmh<5Sg^(U|(S;=cdMG*7pa zX?ub<9hx_3_2#$t)Hy>F{7#<OHn-Ylq1GAgm-VOmzTZ_1yiuN<Ag=2DW=YCq->Ij{ z_T(>5Y0RCH^DNgZEFv(d*jnZJ^eY$pPO!FUZJZDwDmHaRnT4KONTX_t*2XCTqSL0X zDAUkW4`~!_(b_mEKs0RXiZTg5g^<S0s<~<G4>g@aEn*klQmp6+@D=k}A*9X``p~B{ ztNp&Elc<IN3L$Zh(1%H#S?%{!okVx|tPl$42z|J!*nh)^$eh_l);{0rUoL0jm((hp zy^u?G-={$9mbj|#Ta{PJZeH(F-KJIYEy()*n~!qW&sn=mYJHrwkSl-BmA=>8?yY$9 zqwe6F>`94f@sowCSIT~mmC(8{b)k^?p1_+wA2d$CRryfOnKjQa<Po2<=)0<wbIsow zhdi)yR+TdhdAM=<t;(mI&aCr{LLON<pSAkn{oCxZ%-WSE|5M)2znxlq=$_y4b@`Fr zxuxE7ejM9pcq>`(W>R0x>FONI<2Q?3md%-NC>QO={w=~N_}n~+e%<FL)jCr(pZoP3 zpH@8m>kA*t+czspmd)9mW9hhTj=P~;^tt3~!fB^&o<Am>cFOYjw8>|td^S0je7f>b zRnW{LzKtK37(Jbne%dqrRHek@jZbZUw7vXvGp}O)_8UR#3f(8&3@n_odg__JuH+oc zn+17CD{?H&BmB!kWYQj=?CavrvAh|0ahk5Wwbrgp^WLnm02vXJ0+Q+jOU?V5;(oLd z<V+!H|FV!heIIWW#ciDTX2p%;$0o~)PQO{SY4M}Vp5xO#_q_ge^WEm=-C-%!=R@Cl zXjyA*E8H4%GqA7~Wcs?}6*-o3cTCtk_a|%k$DH_mXCpVoR;rgT$nm#3Id#(86ZsY0 zx=Urt7vz}VI~|>)xqJt6s&!%dx+yvG?+!(7imfou-?((n!=#gC532VZomO=D=Q0Q1 zXY#*SMlAAmO=Sw7n{#z(TI&C)e-o`-SJ&kQ#wLHeFZo#D#>c6(Q+S_dq=x;i%eeM0 zUbNnDF@vkwm$fotH$F|hY;x2>{LeFs?gMK6zdL+>NK0yMI3T{@#F70I4l{Mv9PF(5 z)BRY7$-737OZtCM8<);!Rt@8i`p-8U;EFFWlK+3oqWgeWe9NU{`)4g=%C2Et<?|=o zQpe!F_?%P6_uHLQ+Hg>O;iiw}CGV7Xge++Od~}J@D&vpapKpkKU;mcV@A>0=>2$%0 z$KCO_f5aPyESRl-KtcGw0v|WeS61;SkL88Z1ts2OKRj@({-$_+!sGo<7SH^kZxr%i zR(hNc&%M`%e2vocP8u4Q<o{ZDK-WL#W@Gj2g-qo(g`#b5?@F{gEMNcffl+hW6!lMM zX1sl48GlppcI!f>*!{~MYw_F&pLc@$@z&kypUxD#eb~-qYr8R(JzKwx>&rKnIEhuY zNis~ewg*$$vh3|eUcB$rwf12*2uWC=zV491-uIcG4@t;Ad?_$f(drgI>#>Teq8Xhv zI?k-eDj#uup4i`C$l>#WMf}zY^<N7QsQBlc^m#H_Qp@0yaJZ5D&npt`2Tc46Jf(k@ z*o(|~*r_|UvqsICHLdcI*Hh*BvX2F3Jm%C(Ri6L4o$0g9#-+v+{S#LlDDaPYS@Yy@ zk_^*pn}bVppH%+|NGMk^oBY6BH$OP~=BjIT_cmA>Id!i*nV>v9vVE3J&tay?mO6&r zhq;U`*BCY*W?W|cb<&L!0j<0|S0m0}V0bMdm89!DIpXjIkuYPE-q5%#`@1ub1vIX_ zx9rTG4ap|$XKjupc0QB|lQ@{zJ5h$upljvyBO+~DK1nN%xwNrK9J?U!SaQ}8i?(G3 z(-vm-{Jdo+z1?B<wEsEwGYw9joUS6U<9q7I?NQtcjmC0H1-G14{3<&RdGcShc&Ny@ z?Xsh{%;LsFsZtp+iyRxeO*jf0**xbgcjS7WG2vL`^UsTJy_%M5^nC5kzqYPS-}ikD zZrpueto#Fqspso*9y3Y(c2%pwh=t1Srd9<O4%K|^8OI;0+$yqYHILc6<m0T&;7;o^ z=Pvb#^3A>~-mV%~6!DO?-Bhl?;y^K9d&RMbD)WjgTGeIz9vfa>#<hLs+)$=|3!M)W zG@~DjIWyl$$>@;g@qJ|F9K7TF1(RKM;pOUo^i8kszbU;ea@NN%lf2Ntt4fWc_Qxt& zp8d^`k2zT-bVDLv=!QW4qzL)^APMpOOA-?KTm~BVM3M^jXm%!kTqe?XeD);?!Rj!T zu;XGWX<ZjTs%`G;G5750HP`g%FrRru<(^1F&>qbrPbz|if*<*6`t+JFHB|n#MkA+F z_rw`f<Hx>fOU0{AdgpGO$6%dgtGMlWl~11hYtO{uHR`>-_Sf!i`%<w=_{F!_9@ho& zXYPdME?eTbQLgdeM!8PKjdHCEH_G)UZk)$Zn`En4b-ZfQ3(?n}3)9!A_sSJ6cUqJm zW0)rQO76Ab3;EX*XGpycoFVl3;tZMBhQR@A)Q=pA@D~zJveoSA|GLCb?_G#SPp9@V zAG^cJwoJ*7`IZ`5olCm5t#F!v@?#y(o{r5+3>3~yI(Fdwt2(opTesx>n%;HF>{<O; zp^DFY**W+8+4t`GVbv2QFXd}|9!y>D(J5}X<io9Y6RUp@92u>C26UXWo8lra@3n9> z|I&+Ro3n&oymV&TYoi;Q996h>I_H|tqM8>Ew~LtlnsBU0o@>LQcBeI87OI5(4B%Q_ ztI=y}f90<K35CU>TUTt|d(Gt0cN4?h7hignCLO3<o-MA}$bSFgQ_nBoWIPx4w`Y9$ zI)UM@jmi;We-_U>A18>stMZWgu8}m&meb~oK%;xe=ZHxMvgL&;9&7qP+SoorbXj|b z&DRMG=WSGu6!UvNYHeTg;ga*Eim$OBEl&IO)o;9=#`NalnWCdU3x0nuHGk$E$@KP5 zz=GM^Lpry`ExT~_w8^fD$cA%qVv8nESG{=gbkK_jshL~88yZW#`>=J}Oy2J`&ofP| zW#c|OH?F=Hq*_)z^N^mtu{MW3cfqyOPIo?SQpu}|<TBr*)BBob^~T%F`tOyl6F%zm zVAgccJ0FdV<7&^$IT#(MR&e>WN?ygxLto`z<rzMm@pslN$wf0~Kjw@%c{gCmh67LE zzp=hoW6_wbBW`i3s8d-d+~Q18ud@z+!Gx!Zd>d>!_v-j3e)%qAzWV$7na`%~I3k)K zW?;PY(b-q2Vy9KpHpe9Loi=^8(dIzSwDyPtpH##)*|fUq_$NzV-@_%o^O@=DNk?No zrnzov(T;n}WM0NJ=XpU#v5a4me0#9P!G|V&lTFv>#m;`nsiT@b?=jQq{oCJ*e)`11 z_KB_0agSq?L4JV5A!(DgPi+i`E1WwXg64<rZ)_8B`=GkSa8hn&r)Umi8lS{bX_jA~ z**XpPI40`kUywL1&D8UpS5fUln~K_}HW9UtZ6<1)b=Pi~q<g@JJ-DLzK*%=^snrp3 z38tr=*CbX7Wk=3CR5ES3p~5WNv>mCOI$h5kE7dj_%u*1WG1KL5K*DZ$ubj`$t!wL6 zY&^=(ob&Cl!0bN;oxOE44zbz$B(K~4RYk0#++=a}UU{xHpPf6m)~(oZjNdfucVa_m zokY@7d9{s)_=6+fwLdd@muuVl^PcS4j@Vc2&tARNy{cjsCzjAQy>-URRL?WtHi<l| zh?LrV!h2_G?wj_duTQe<<Kxcx(J1xVa?Sz2Y20TLcOFrhrtOoq^O(pq_8F%>30#ht zccf(6a)ailnP;BN3Qv;UzFTtt<_JB5*-wRpBi9*Bf4WS0^PQ9zI_8pAr+wSb6-{2a z*{1hs%xA5fM`h;BHJqnerxhK|&UseQpew_-aq3~uFV70NZd=SbR#ty@)!XpPeG{&K zk`cG?QPZxRcR=r+!iIU~9yyPAxuh$bkFnhoNRZ9v*w|{WvT>^UjL!$8&&*7Sj(Q{z z-7u56>;qfl+&zv-#`ysjr=(5JeQaYeuW;^|y+^S#dXHl3?LCUU);ZqVGAY~!mk-9? zQz+=SZ92v{js3>?PXfOq<{gQfwtPeT)66%0_wJm#v&`hgy}mu~+nM<Ht^XY+#wcuY zE}-%Aza~|=UkeW@+h3`8)6O{WM`FkFx*11|?R_5ZlwVeOmpfa?;$b_J`rfzc`3vF` zRva*_KPa^PPr$=1@>wxo4l_*uV{jzZ-se%5{IY_p{FirptGz2;TYRC8FQs0m@R_v` z$DCyie;@tTl$!DRL+oAW6I=)SdW`J_HfjEE-?jXxRS{FWN9+TImEJN6rLBLjB%ZZg zn$kLX%9_HaNz5|Kp9mb+u<TqsWzL~IFTckh_$U6$O8qTwEtu$TQg||;dXn*voGqKv z3(~k&XEkPCJnGXpOUU5+?@JA5ZrpZ1lIeCgZqePh6}!D&F@<}?CW)`qmRZQBy|I0g zs9x}<W078b1$~p8=PZAsq8CuaC9Y}N>wV<s>W%+T&RM{B<mYP5Is1)|{9Ju<{{ELo zey(2p?T>HwJ;$tjzrOoiOcUViuj87U&Lmvd;UmL3%|YH?MB<rlXwshPnmwP5dN;<2 zCG<>hm3W)#+4E_Wh+9>plyc1(p6-QlY6eHHXOuN;`Rv@d?Ou>7TlLIC3i_8NUZgUz zRYZ3Di<{<RukXEZ{q&_5|Fxfay{!0*ot01G&3@HI$1bhNsDH3!Pso)SzmxA8a~dzI zRa>ZAqP;LH=l8~n3sI(@C%g@vvS#C^kZplF3sZWJs=9A^#@Mpz;(nK{=f6w4u8>mp z4*Bh~FS2Eo;cl0$=PyRPuaL_24*C6Nw_?jG!!0gb&#!*%zC!A;cgXLaotZ7G4EMTh zJ->2}{|c#YuaMtwPO`SF+W6u4BNf%pPwi))oqf@rxpAMpSbgv^2B8;^6q)AQx-6R9 zu2J$upqEchXu;n0mL1P)iq|+y%6NTKz14hP?WG<6nQvMM)xJ1%=GQOA*cp)#_Fn`# z-64x)=2dt!ESD2{G_zgh;~nRqiie7sdzMJMFEqbvk>>fQOYh8@*7(b<nO_$DPH&iJ z!f~;g&9h{=BiG%G32x!fZ~yuk&p-9~KC|rn%KM#PIqsC!opY!Xsu0T;+98@hsX{J4 zs6sIRQiWtbSAqULkw>L_G&}q3kIo8uA(HR4__Kduy6dB|9FH`c@=n`XlDA?X`wHEC z<jZyUv9FZvBj2=|BeR`KI?Po+YVDgFlp!A<B=t7weAl7BHx|$AT$20d;+Z+0)XGw_ zY&yQOR7kw${HSql@{z|8{#^b^wwX4ib@CyvR{A{%ndAB(<;=u4@0<CKRIz+JUZwKw zXqCvfV^t>Kj#M$d>HFGZA@kbvQOO$hR^yHHOwJvf%hf4dcyvbPi<mX;4{Fx9KT2EU z{?KiW`{SxL>Ib$)_;;+{I8SBWk*c5_GOs-!2i;j2U?CUYGqXnTSQU%j5m~Rt5pz@z zT(t1+P%fONQg$>l$U-FD^YMdz`Kf!;b-%syJbmXrlX8@q&hI1t?(W&PXJt<Eze6|X z%dGSa<?i{Z`FY!|IUzTh7RO#*-&w@d=dnn}S!t5a@dX`AP8k|0y)5eK@Nl$jb6>JS z=CD%GCK>1YRnbA|OTo)7CB6nfTY6~!mdu-f)^GBC%yMl<(}Aq;2@y{@mL1ZrKeZ=s zyWfgqUGt6J%bd$KU-@hGkxxRt$&q?)i$g=F-(H;G>BTf#d6MOWWihj_dtSZqBlzwu zgXJlFyBY)j*B`sL%72oK@8`XdXXehVO{*>|PpdvZ@7=m}uO1b7w(Ygu|MEn~iCH$X zqJp9`ubP&M#;eYR1IzxDyk~kwJMaJeT_1N+W#)sa6RU41iH6G*PfQX%6PnXi*>(2& z<F2#ikGkF_FU<LTN$=dP*c(Z=wbmVbKdEclfhX(dhP_;OQod!zg#5OzUrxr~(J^0f z<HdS|6+ce=F|m#Q*w41x_k{V&swy=-?=>bXeuVrNnOpUUpL^M>n_cz7GuAk7JQ@FO z_LsdfjHlz*i~DZ-Y5wx6TBz5*hFpg}H7+Y<ci~it8H+pD|K~q>@rZpU&sOo^IqQ5Y zg%11dR*|Zk5i8_tbwjUHiEoqlqL#m(RoY(e_xyK%w}xqApUHtsjmFXLa%q3vVmAC! ztd!Q?`S6JUj2Xg{cYDnE;JVLi!)NY7$==m(Z*9-c$`xq(vEa($`_Tm*(rRMzYP(Vo zD}MVMms5D!LQ-Lo>-O8MO^^4BSC<BBJP7D>x^RA;<WaNT2R2;RtGJOWUh`%fw;<n* zRtff8rpLLaSM*vWK2;a;y9rk`t($+VqC;=~EJ@Y>LEnxQwLGam#a5TKZJ_{{#Pr1i zJe%ZOlbT`^W@L!l_-x{~Q@h>D5&Xuf=cC!d$Guli>$SYd6t0=KXm%{e#gl3s6K!wl z3G{5*nxt^+d-KxoT&?fLbJe~7c%Jn59Vp>;gO&gA+ot}+vc~VOyAS+c6x(5NL+^-0 zvhWdkwXfT_S!#3EigsSB%FSNuu_Y|MI!&bZEt9kT?gtC^$9^z8py%=;Q9P++JBQDg zwT;szpLV%FD_Pj({;X_qj%Sg#TsT<2oDBSNV{5vb;e9;=oekV_Vz*f3FTZhWNGwx4 zQm|Vfu_d<S;$gLpeWo|`1b8-V^}MuQ?zskw|C^bCU$%4e{C?ZiZd-QnINxr8hYMpn z3NNd5C_Gvjt5A`)I#K6Uot3+yMe_NcM>}H^DiXzQVm5Hw`Q2#cP=D*x6Ff~cP-kKK zTAL%5-fr_a9M9ioZMr#4Owfr@xmcuW<+n2$dbe2Hyvq(APTl?BaaSxy!BxGM8JXfr zXEtyfF1yVlIM;V0H_PPQ+(|C!!o?y@p5M-Bq}^m~3oJW$IAiyN<epd#gKK&%66xYf zJ=?hr)o!#*kWXG5%dzp`C2!Y`P1jF3=WH$5c-$iADT})f`?sSfBND|q!lH^7S!6xs zIlku3VJYqJ8*iLq*4g+;z<aIDAtUYnjdu<mU9|JmDbBEHDWyoG_cvIZc3+#LAr@(Q zI7<8bhI6NC*KGg9Fnf*7ku>f84M$HU-`Q4B==gBnRN*_@O<XjhR&0+|c#(a!^HJke z<2_r7_}8zwbKF#$zu@jE=aTK8IBeJ2xUIjxeD}jCtFIgSrC4Wndu{k`{^;U*nXL`X zdNULDE9ZA5^G$uY!ue~#k%hl3-UKMi6s@QcpB=5L6t=il&+^E}n#ec7^1D)+*!K(i zH$6Ye8dLs?MY!(uiFuFqy?(*-J!Vt;ZoQkwWv9zL*yhbwcv{tc$Ad}9cTP;7ygKsd zS*2?~3iqGQy_&Lg{^Kj0&vtEEF7bMj<gpzoa*R7t<QK;jHB8i-=^mhGc}QovOmf*i zopqbe6`y4J{5g86s`swo=i0lqtGihBW+t|If7@_E^>gTb)z3%otA3U^p!zxBfa>QC zg?O>*C6j+TJHDCX_w>BN$-0%*b*t5PFOyrhICxH(?A(Vv-rowYss6TjK8blw`ANmR zT~9b-V@10!zTIA#-8SP|wN*${+|S5vHTf5lIN2;eEqn1cK=PMG2!E{1s{T4YQ>XfN zsbq(|sVg`v*><NiDekNdIKjH-%-h3G>J?L~m#yTmZrjy&?!&FAw+<&yfAhH0oA1LF z)%J>Klay`BPa4KOIi1v5J4L_S^;566TE*=9FHS1mH2=Ruwfo7tKVjnCPpq$Bl)Se) zg<n2qQ-8mnrGt9-MW>RolY)9Xjrt1>&2Nab-<xXPb7W%qvsFn`Z$1og&N&}?wD(vp z-_(Tcyk6VFRo8c<>|-r`;=A$aLZdZBE9A^9L*%7pvsy~|Opo1)361Hy@g{)N@41<K zQRbs5-f9*vPt1PQ<D44tKEO7oU`5U4nYZ<iKJ8x3XL?AqUFvbsIxVxU>P6nF+qNAq z4lR89dxo>>jmft!oDBW2S8ry*LgoCf#e7pAb~t}6xUuk;#ghPLnUWQXd=^(Ye#>U{ z)b3o>p|spOq$zW!@600;4#yfDtZ=_45-h8ASfu?`;wI;>h9?)g&3F-z-1B2?Mfs{V zX8*H&+kZ{FHf`6fw*3$OzQ4M3y~;K7Yg=+x9N&FETKK=tzGEHRza6pPzNGW`v^>de zSN`9)wJkTh*t>Rj*hhyy3-aG`9Xun`niSS|$l&+wHUFGWt_rySu6_Q$-tX;)?>|}1 z;x3~lZ+(Bs)%^PrYf^j<^ZUwLUHgCG&PVZ*|8px=9Tm9!_sWF^?){?vPduVx7dAZK zZ>(_8SUAYWRPnGd^C!OFH(FTAeLV`#xA5@ucyL!_I5quNTXN%9C!^#%$q641TyL?t zWvX~ITi9ri;ezl3(ZWJ|j1`Yo3merKEQmkgE-X~?YcHdw)$9oiyE$!3Oc(a&sqqw? zv#ILX%*OMhD#@wI{g@?Jg}j-qB;V`{hZTi??MObvaNSqp(JaQpOzUP%crb}mQ*ZW! zhh2=y_w0R7Gz$s`$(Se}PG&yFYGbH)FjzR~j)CIgv;LRdR~bdjQQGy_&*rfD!<3>I ztL870|M}#?(H54uz8)7(w(!V*<$Qj;sr|Xymyh>a81KzAI1<hLiSv0cCuiL336G|7 zO2*B)aF|>8*N=ol47R<yCJXH4IibGso|?*wWT&ouYAG+$o%sLyzDRE1WacqR>~Lgz zBK$Z(Scu0^@&2FL6B1`|+VYq$?3YvHDY%xABKBw-<Ij}eXIg3+W=we0$@z1E@xpd@ zwJ#qpv@pueFgQ}n{E73=JkFm@8BSf+YAF`^PW;<_UOZmL$$ZBkvEwz{6X74G!a^~o zibq9-jbh9ewtK66DZJQHv(PZHW2zhfL*XBV!a_2}it!mfC%%iTJ-N*#{ON8B=TEgm zEj8~G9QJ9vF;_g8CLHv}RPnH!aMg`mC;8nz5(&#Wo!=NJ#Aj@qJt1K>XJtXEQ=6{Z zmx4nrH4n`a8*Z!d6uvIM^(gd?bj%-@=sic)F>L=jb={9|hwu7dvc4esE+G1H#Qhs$ z-*cL7FyGnE&BFhN>8RlD0|kF3mt-q&`#xG-Y+j!p&XM;-L*#m~NL%)PVgDxYt{;6T z_<c*i<`zDhTq3XNt^3uqwDhg@mZOdSmvWb``FH2izT{e^`Il~Q*|Fbh?R<ufn-9(O zzZ4tp%zgjm-!t2_bB_w&d3&qt(DwWzQ_mkUKN|A4T|TQ`!>X`7xnAJE(cI?;iVse* z?+CAzk;^sw$v=PFPv3Rk?R~yy&weeP@r8Z!nwirrt{$Ijb(GUR@V0r`iu?m|?v^{; zqx#tU%shXcJbeBWzlzMQM-#2Nn~uNJ@rbr5Oh352_MJ{fG|!J_WA3(#ePY6VxrV3a zwzf~qW0zOH!^hb6eC{<XJGm$>i$_;D`K(L3oc-oLToC-d;K0h?H{M@ie)HSe>IU!J z?F`@kDelckSW)vu?yTr-@dB%O6^q%`7rxo(*uM<;nesf~XUp?|pG%$x*v6Euuv_;e zyd(d|C)YObpNrbNe=h3h{+ZP9`Dao`@z10d<DW@A!8?<L@85jd%@SLD+ka2Y-LngY zO3GJ=*ve=*`?tSZINkZ{MeF@eBDJ4f+xGoj)L!><QUAZ6Ne$<ICUrFaOloobnbdP| z=h_QF-?`hKsQuk3*Z(<fLff)i`#-S0e4C!>u;BGs*&`yK%=9aZ+jlKHR>gB#JF=MF z?)aY5(af`~x8$!`yL$c6-*^7h=dJv`Q&9fXt814QD%(Bt{jan_aANH8^K<!F=Gvdv zOn7zRxDPA8U4d3bK*{O{2QTbxYHyblwW;~C=7HdXaMpf-z1kHnFM<y$yTz9;4*pV{ z?#Nv+`Feg3e^a!mjYeMhhlCx$2M-^<%Oz*`F7yLO!Tu)q4t7x+C7U%51RqbV)-bqJ zl75h@BIscAgO$G(<mT;^t+6S$W~q2s#_{peTY-mDZz~?l4!4~w@y9Rj%j_zFJO6aj z<0n;$6s(@aeekZAp2&Btoga*&PqxmV?xpwVOi0ng=gTH>+gneK`SEk*lSAhNTE8u? z2v!ufYv(z8+bT_u<-7J%r;qC<aUcHer6*?>QuOiT#!21{ep9nVlG0SCbKKV6dE`b| zVv9k}+6kQV{kDY^Dc*=V*_srrD&E#RHO3}q#gj+LnX1znUTf`aiHJU_dT5rHo?%#c zMm_&(t&~Ti8#YbiKG@}@CvsYQr-9DuCyx@#RmJ6ZYwcvY5qVPeNS~LU;I;6gPQz_Z zzkf@FD+=##_&7C&LoK9e;f#ort%+W$;{3tdJAcdwJE?l$i<h2aT1Zi+!Lmu-J&~ff z><_%*n#sa?X5|wngYA>JkM8l(<N2&T#q3BEmz<zj*oTgd8s=+$K3rb^SS}*wmgm%x zeT}E*1!_JxmaaGV!LH!tJDy#UTz9K<pQ?wQT$A+tbZKAb{QEZqr+=PwIqB2u%9ELs z{shGph56L!>RWnGivOW=J56g-#sj8v&%0Tk+nqK$uq@(0WV=_vt%X5z3Rm!Kw>zsV za`bG?#D@-br8aj?_`iHywNK(f)INzvS^Fd&dhL^VyeT$kU4CZxfh#o=6QcHc7~00C z%=nnO(ztVd_ungb=2&**-w!zR^V(A5GiT+Pz1cE82CkcR?N*=ct$$Y*HgL~>zMAFv z+N`_%N4Gqe(K=|jdzRey9nPv26L|$ZMYmUHMcuyMW$kct-d(NhTmNgHdy;Zt+l+$? z=J0fFI+5_;dFJX<ay4m>k7o#3&iNZt<7OPL{{Nbkc)`cdCHuBncRr3eW$kzSQ6bxt z)W9pQVt+S#%d9-Dzg0F`D$4rLr3h^~_BGi@M3*1-KU?uDv&zWj*hyW}pN;!g@As}= zzD$k(ZOlozr<$FQChq%uQ`f3f=+35qE^e_?A5J*g?%5EqFM7)bhQGQdM;In|iI?e2 zNtn<Y#Ir4+O;PMs;)>Q^5@`z=+oCf%1l6A2K3ON`WpK(#(=A%#umty4gKJKeHJJ+; zk40y6aH>7My}nb-%iyGwrdqUyb2|6e50~E5)_nhR%87Z;whIFJK3Z}Mw*)kmi+O## z=Ok$tv7)_S?A7AQk>>jjRwRDpy?FG?(}%U;+^R3q7K+@}owBgKwdzIYLc6NSjD~w+ zQj7XMD=qF^cj9!5(m0yMof?t6Q0`jPivChDsf4|)Z8s7wZD{Bf^V)FINz*J^<FFX_ zQ|ol@uN%)gG3RU#*mv9{Gvt6Dx9W}5g(ACkrzG~bR^7;6X!k8Lqv5ZZRMI}rpH7xn zohr|yEVPN(6d<P>xuSih*sJ7et)F7&w6@Lo?D>neO-NU-Yx?H)W-psaK7GmNFq<P) z7o9luA~cRxa;I9P2MJz?);K81z0@LiA<uH3Q`4tU7W3M0-bwRWl*VD1$)8dawzUeK z*%Z(<Q|y%gX3w7`ws)N>zi$laS}AtQ;OLT?DA~k?H8(c}bajfIGC1gD+p|4j-<hb4 zhK*uUNj;uFw^-eBs*FfjD59)8C2>=0)s2*ec4ko-4ZLDfNqaqiZrOFtiSt^N#!)Hm z)QH?5yT5BU1vFKPd2Kx8Bsp#N6#wAUI#Uu>wg%~J3}`#L|MK$5kDObJH@n|eiM!uX zdF)Ma&6)zQZz=Je>E}iDHfTNi_W6)ZYeHb3(?$#T#E4Ixzs}5GWYQ@e*1hRCV`*nX z;yjm)3jRqm=I;#*nRKLe#Uti6@6GNUqHDjjJlynVrGu-UebSCQ84n&Aw`uo$o@kS@ z!>Ia7(0#Y}KMrXL<Xmsf`Ml?>o<NRi%NL30H%tf9LRV-{&APWetTADqUdqy_1o0m; zja$A*On%EWUv0l{`8rp}JyXN3e<@DR>bh%{mp1#h&Gc<MzV7^yR5P#J!rF94?8W7} z?^3QypR!xJ_{t-PZ@Xr@u08xO|B~IZ)k?3UWJ*q!S}ZyBNXmB;YrY1Xknq(st<&}$ zd2@F7oLKikB=*#F%P4EJt@&B~>NlS%yZRqJK3}WdU*GmfYe?Oc*w~;aMfdo7_q5LI z;x`GbnZ0x0#%;?M*4}11Rx?*y=**v}m8DAC@_z?=xA&d>+FSZodcS_cYje>Uj%~G* z{dhKcd;30)&*Hi<Z?)i?6PGJCmOsm~Y1k&R(o^^AJ1;$!>P_3#Ri|Ido;g`}ZCI6d zane2y!-v^leWtBTU8<gaSv)Ff=k%OEPH$C@uJ&FUx9I`5ZT{BjYYab4t2+7W&E>nQ z8<T^JRJC@BY=71L<<S&h(dpa6o~in;>tB1qdtR(;^g7YKVcF9@-e2Xa|7xYhyXdWv zSy$5}SM#1(6mzb+XX5rNI*a%Fu2)!=WR&{x<+Rh1s}4@f*RtAr^jZFuB#+7R?`2tg zE?2d!67KnHRU2_<)%I&!a(`vlGCp4Y;nC)Ow^!%ZI$u7|>a+4tcfzW;yth}*YOgpO zeI@u~f}?F|>8q92As0S}`QJ@c&VPADR&z;Wpw_hYKKhs0nu-FeUW#XSD0eH}(MUKc z{*pB_(#uJ(&O@+1Vd1rl!TrCEok_eX?zZsK>bu=%ou=xStT*MpYNjc2&}wr)?p3F? z=G>|_r&@1)Xtk2<y1H#y=sf<9AH28f&(N!}J<_Z{)zt3ms@z{IHzwD5I|ui6OnV<) zJgMei)a8H!d$sm(ybF8iTDY7`zwLHtMdgmr*6IDT*Odt*>gTshubb22e~EAP>JLg0 zp{?RaPigIu2n%`mG_g`N&Td-m%D(%H#NS_d`})<<%R8>`4{5Jo_5a2~+4C#-y4RjP zkt(;@bnD~Of4_f?__B1@2a(!WulI?k9x#2)=ymaY;dGyZr{2jKQ&aEV=iU)>u=&BI z>Blzs*;ti4aXjXl&Dx#hd-wnM;P$@U!iV0;UyA0Jy_J4_SwD2n_H7LX8TTW)i{oz{ z+ZOQC>%yfz|A#XY?VY^;_Sei3559a;zxU>Hv$<aS*{|y&z2429Y1|+1^Zc$~e2bW4 zu3Y=O=rI3R`z7vn$+`EBc*wim&-JUH=|1at=4(%}gG%R@Gz9C-e6VCI%gQ-l8ihq| zIMzko*1vgZp2>A)#gEHgexADa?GB|j_i8(<s`}LIs*UpElHX5-9%b#A7k{%V_2VYS zs+v@5O(&gq&vrjgJ9}KUFH&6io=A<4$ySxSb(^;y=G3e`vj27XwqhljBO={;@sa!o zp9amZTi<#rRou{W;pV+voqufKi_D#4b<q9wk!deQ`sY|3u3rA`;oRWwAFr)suXudL zc~99Z!ML4YrZLGyAJ1JTY*X|~gnzEpVdmxU9<~I3|9EgE`;Qk_oJ)$X**m4bUZa<H z?eoXYXY6*=dEV=b%#*cwR5g9apY4Yh3a#0~*BzppFyCA4#_LI%-*%+5-R+wcxTa_Y z&uZCK?Rk7xk0-WEJ(%FkTX<oio5h2G<TvMY`_u}5mNb=K^+;{{s5didj(3_w_Ehir z%cMRp-I*p5{y6hi*tR7dM=P#uf2K7>$4Ml3zv*;?QvQuoX9ef2bUC>-+$V7TM9%5E zw!P9zx*qO!WyQ{p*dyoG_pIosUb(x&^xB*+u}8jX?cvxK`p|XbRxbTE-O!548!@fZ z`+e7yd6WxE$68J;6I^^Y<L(C~2~dhWp|wZC4U{4)MC0t*W==NzuJvBYY=(2_i<^2$ zD<o6IQ!~X4kMu0u>A$TzBHz{_Q+(q&RpB*%Pf5h2T6QXwhkQ`jk*cTJ*&Z>m;PNg1 zE3rp-jb}ZvyQKGMm!<SFz9jL&vpqY4wu%(;8Z=uy47%qU^Y_$@`d62-<^QfZJKr~^ zcCDrN?hd<ad?2Zf+wzl^?Cyw5<C$N%q%vmPyeF6R9!>IoCT*L|`6TwpL@qg&=WE|i zf1|`UTf1pO#pOAh=apxQ7hbEnw>kF6%$U$ON`BGRsk^!@Qrj-9jJV@E=girtH%ilH z-40uLYIle5wN;#&cU@(+&l7$);f+#X<nJvLylbl#?i5(AcT(@sioIP&H71sKwffCX z=n7sw<INRGHOo?d;kmo|IsI%8R4kWEniJe_aBb=5*dryccbqM0%JiGtuIo29u`Bqy z!OfMwXFR#We5Ux7;<}l;c|7|IuI_oF{pFEfQcrDxXu06xwav>Vc8eLDS}62oD>&UW zc&oj5KS}e~&Xl%^eUk!v3RdtOmR;4J$anR4K)X~zhcmC?jfHL!PXdy8&gAx`h;O{V z$189eC*O{gu4cWN4=04`y;b6y9X_vXdz!)BJFZjmUL~~c>|Wt5rr37$ncgD5yRJFL z<${Nt@44z+`s6Psr*Y5q%~Iawn?h<P>|b7{yJ<~#)AtMOyhX1*IXG`k#oevhoin|E z`gxkawd?udai8%;mS$|A*0goqOG}<!XSRy=x*n!`_xPJqj<ZW+cKOAxXk74N#nrqg zI)7hnHrrqNyTkT|knS>{yIHfdqO4LBA1CE9hSj)S+2L_}jt1XS*Kc3NU){YtY5Jb~ zv$OQK?Ryv<&?|lLPu3lcXQ7Xe8Els_=PzDW_}Or`)OLo=s|vXdH%o2jFy2=*x4C4! z<=vU#nVT#BcWd3@co+KEt#G@Pd7Jgs9hEy`duR7YU){mFBeFNTL;C6t*NUZQug{GB z#c^GFy3dEO-B%}_dK&x5Bv<p4%%${GX_*VxDG8rBIq~wLv!y3l{NJRW|91WR77N44 zn|Z&*F83>N?|u?$do%9q6}eQS7!J3Z+;i)<o|?PuVtn-KiMf;9YjfusPmTR#eOgGl z=G5&c6XT=(Q!34^|73r?x%`S^WXrjTX%j<Mug+ibvo*g||HgUOZ33|y#W$>U&fIPr ze0$bXIoH(@M-p!tE3Wi@dHT=ht<p&|&lSsO<v-4Gwo-`~v%YjNes*_`*5?UT6ZU4F zuD;axbLZ#z7JrY*-}-Y->AJbfbBpX0o)em`h8y0`U#>Q>*1lS>i!qh4HrB{dzWVU; zBF6P^l{K&a)OmD8^PXjC+jqaahuN3ETfBL7mB{zE#SwvN6%Qua+LWHOo44}`!}^$_ zj^}!ohq$N9JT9x-ZPM9$$j-YU@*3witI{r8zqyIiRoCg-vA18pRh*r=QsVl)p!uh! zmKe_6b7kHZomE~jyE~&69k~=Uu`+kbvnNr@@5a6Ov~}l&EIXxbZ?k4De|;o1U31&p zw3%97c1fGwud|g|ZZT!q@8W4U%sy(h#@noWm8ic>YO&~rl~U$S{nIqg7A&p^?v-|a zpT+m;VXEoQpA|v9(GAD1?r5zD>5Xn_pLS#0n`y_k?Va;g=jb-?eQOT&F32{`6S=#p zF!@DX@9cKDt2=(ai0qASxOa6&>x=l_=$6K5KLU0vefU^my3YmI-Ha1XJ-zX*DYZ<L z@8)lzZ*Ml=D68w6BbK+wTdg9mbNQ=N-}|;@UFR^i?9Sb8S=#h=TE^-%;`#ESMT$38 z-o5V;yW~dM^u5y}b9P7X?o>Ou^yIrWswE<JPj8g<|8<#`e0y*Ftc|&`RgF)lsBZJ! zs<X{D$zJ?sQpuG#2hDA^dG>A>wZsa$|F%p`x}834X~+wk?|;9UolCmS{Bu)BE`OH! zNtK!IQ`_J8M)&3NKYxC%{!y#yHqlLTx8+W1x*Be?owZzT<6FaO!7fJu{-PM8gHhnf z_Mc;QFnGD&$1^L9ZOUKC#aWcf>&ISQ9PxaT<h9)?{L(R-`c3sL52#I-Nm}gP_u-)G z@rpV3^?a4K)n<13p0+wzx!kYt?n+~ut-L25P5E?4=(gC>q@3S(gl<o}=Xk)_J12Vi zv2D^i8`l;DCg1)VvR6d^-ntc{@oa~J-)2>;`jE3BZ1=6WX%*W}vagv}m#pUZzFdFf zli784KW}b-d3xF;6}9!x@2?8p{NQMC%z^Hh-Uq4c+&*fBCs)i}U0E@C_0Njgt66u< zUfsH5@!hW{wk+w_J1x#R?RmF|_h;9(sXrIBhyGmDfAwclgXqtsj=$T?JnT8PM_0Fa zU)tpN)usBg-yW`+bxSz<uTIy9uFrZ_y}rzT%av=}uU!-Wa6(ns=1QCJ^sD77vOa#= z&81iRA}FB!jsGKG-;b+Z_oQrnw7X_%!qf7s3CgQ)a)+JP{w1)c?B^2waNV^poHxAM zed)^=ZvK_h*4s=>w_H<MzBuyBDch&!w{@%zN-g)>czvbu8}09lH^t3oTgQJ&-{Y0i z!K3+JTeZ7ytzP_H>zBZtwJ)3tmoMT!{PvS{)g=GLQ45%#mjC@Uhl4MyY>`FC&CZ7f z-%gre)vPuC*5RybF>C#&;Nmk2g=Ef$XLDOT4B#|-wz`ycP4Nnr*SUK&s|9~dTWg-0 z&vgHc=uvmA&#q0ccPg!awY6sAgRFHYw5wF(Rd&S8dv+?Rvb*?ww0HT{d;a@UUSGP! zed@dRuYi(u6*_Hx_oq3DoP55#FRRlm^r7p9om~1|x}g<@Ia{ah-MLM|GIjRzF1s4$ z*tqv9^Xvb*&guTWZ4$@Y<mNA5_sqRNZClu`@Q+vj$8M|K@?t{DhQnsEB40m!XO?>+ z?;7LwlU4fEddt^*_Mh#3UQiXbIi>&j{G-QJi*vJmJ=G;1U(q~fRoYhQclWTs@^{I5 zg82;&u56$2;)=3Q(JMo>`sW#OUB}lwTgvKFnCj2D%&N32({FC#uHf$%F0cGu@m{CC zf#1*ei2HK6g_A%3Ftpk|Yx=`Z?dmWG@$hdw3s!4a^E=0G>QB_OJWw!QCaJ}{&)|~k zaf#4AVP4DXDXVXnPkXvz=R(JvZx{YrpMIj8HYKIbr}#x;w*9_@t4n9@(S9$U8e8*p z#r5)Om8&bxdZpa$xOYEN?ZKC-K>6E+-PW5_4!wPSh4a@<zPM}LGai>I%y?b4V8-*Z zgc<M4K5RJf_CfN(&C^8w&b2zsu>4)(g5d9lCswjcyt(3hrs$Pmn)O!+<IhqWw_n6; zUfY|>F1L27ep`er*N%vT&Bwb$Z8ZGCKO|U09z1+_C6}CC-VSZ=!lQ2w6x@9K;Nhg( z2amIEcW(Hc*Dmn<4xiw;U9w4YP8PoiINxl2Pdn{N>Fh<!2X)K&M7HOCjQ@1ku(D`R z<gFbh9V^3gE}f2lFXdM3+O*KjaJROpO1z3hzUbxTz7yh{$1ddvhY6kb|M1B4<g6o$ zy1Hkr_t+*gRd&{?aG&@|IhLDF9$mdm-{acRT)RgK=RM67Rpj=+xPPeb)usBZV;A4- zHh5}pCLkW`T_0mGdsj(Qq~F}6Wx?q)60hG*uaDW#wyZbf)uZ*y%bu(H|KE|28&@<- zW&Y&pHh&*Cl~$hYu8-MZ_1boo&c5Rz2UgWgOh~=9RVRs&TUP5JQ@fYp{e?znPV0*t z?W&oWSh?>-V&uLT$(j2k5<K@wB<1asNG#kZkvuV0=U?H$Ej1JK<v+Q0Y5r6?oHC2= znpmdQiMW5dcIES8GbY$>VgGHPay`%6F6lrz|1TB2_xnAcM;&&p`}04xY@y%BOYc_g z`Kz`!Jkw(3_t1MO+snJAudKiHe@dcvr9xy$+u1MSx&QoLI6d8RA?m=>^QFfY_n*IP zucp75$x58Z!*GVwgm#v+B6;=R#{p}8xuw@={`X6_3zM#6ye6S@D5_U5ZoePv+>aZ7 z_eMD=aalxZD?DV4$xnIlaB-N!A?_UqKX7ljm>_6YJGt&b@P;>=lWeB_KGVdhS3B$8 z&Y%LuyY`z4oASc^E?zw)xhrC4`?;`pizlz0AtG<THKEPUET6wP_r`G<X+DG7ZIumq zhXvDa74%5X_e^(6xcB5TubaVJ<CW1Ok&MB<9tKBSL=O9&FgV#Fr#9=tahAm{B9D2G z8JtUyJ0^AJxI{CnMD7NG#XQHpe_-o2bkh97Z~u4p3|qC+&U-mH)oSO}wL~<r2(R$e zJa$6ZV`7kxNpb26eg0cYUoM<)vHLa4z-4~^mczmmGxNE>UO2rV>DrEObMNd9iaNk6 z{cPi}@-#UfTOG@4iG+oA&!RILD#cD+&*1JYysN?dG<8PqkD$1=NHM8|-Ky;Zw|P!g z#NS9-$g+5<rv6ga9FwTt&am4lC!3R21)8aa8F#O5Hx+x8yh*j4VX}_NF*arP{_Dw2 zf&Bhg9(RDr6{`Gpb9x_FCOJfF$X^mW#lE$bt>X7qPiLEL7bMzyC;j|$=k11oxaa4; zp8C1y-0@zk-;2(v>r@8Vn0P(>Y}J2#IftKZ&*lL6WL=X365PCn_lvf0{QbJJ{j$XI zo=<FhRKG=O^mp{sFvo3=6^n0M=ysARZhNBGtK^PWHi?{tir*so#1_sE+}?0Kx53dl zt~=UI*D0=>*=?WV`lF8AUkgq+)!y0iMEXISqw>9df4S9Ecl_IWZ>iG7%WRQzG}lEM zrR~UGD7Q<JS?v+)yR3zbyCO0=&WTASF9_T;WBQuO52Zg(sx|Qv`#h<3!v%&~ou6$L z|F>>i)On(;WuI4<Yu1vBrAIEvWR$RP{Kj|bdYs^=KBMB)7uv`FxxRSZ8@YmEy|2Wh zshr6^SF*ia-+Tyq&(S1iurJ{t%VV9&fISzYr*$o@>pFV<uyObL{^ep)4?0`hD)JT@ zs!6*GbN{tI9KPkI$KmOprb(>x-`6DeA!dFJ>$7{mB$p+9+?y-oud}>dXUfC1c3W0P z_`Q#;skPZ~fuUb#ivLNseMy#cSM-Z$iJj0CJ5_LgQgi!pu~*5vRNEPhbxe-<aQA*Z zb0S=)^>oj!&ZR4tPi&r%w)^$MrUk5f%#Fl0zjyE!<7w8payWteX*MX3vlc40MP~Hy zyPZ7JVs+<(sPHQeZr%%bUmQw$^(OH`gioN`=Oc?M<s@4Lj9+OyE=Y2lo3>CcO!B$L zv$LD8I5F#Nx*(wawX^F}W!ZE)<*ti6<L0MNKKS$2ie`z~lY2I5rrq!S^~Srh)O^PA zq>Arz8Ewy)cfU$*=VZ<?PV88#*7MO#-%dFA6I-p9*c5&HUsH4p4r=_JV{E9p{=)3p zotl-4?{)b2oSdz5<#-x5?}npJ&TF>G=pM@WYhqv+$Zvj1d)h@)x4Dz|-eXyO?&K${ zYm?ZIMlBXe(v(g9_|@QwQ?1X|3k<Jyezrw`!d=p`LvHWY4AYBC&815He!a9#vI@>I z;#04BmB#&b!%?SN&`9&%trrBWbxn>5EG|lt-gS1xoCwbA(o>Tc71j3GDNZ|NdF<g4 zr)}pYWaaGdlszs;s=U2W|6Ws2i7}sg*xtF*bR?chwF<1hm&5&a;{hjToh=sxx^+#C zSuHL~ir#TfV`c<*x%5=;Z}oFmvVNX%W5wnhuil#Ushh=D_sn6Qc(i&kciWxH^$%sZ zp6ea^c;r&$Ws7+?dfpgs>TnUu?|ppqPUZfGGThJ8#nRhU-L$(!Up2M1S*$+)ev!2N zg>a^e-TYF|ozHlc_w4GteDQDwd*H2ozg8(T9Wwrvq`-DiaWjJ)-|P#=1%=rxvYg_A zKRXCk&2;T5j9x!keVa|W?vw{}?6$G$KA3ZK=A%7zTsDT<`j@1y32**n!u?cnS?ec( z%TCU7K!MM`_jE<lI=kd4;d4H9yFHy$To-zujl-wl<|Ou`s*6P)v79&Y(mD4*hx@DH zZ71e48!re<*LCl{5dFSyp2gYlo=;sji`Us*V$n@l8~FT5)a@#7Ig#+5pbpQ{d4WlW zE6;5Yuv;0WF+W7t<VfD>i%K?z=QhvC+Aj4}H27^Ex9W_=$4@nheYlX(nZovNt$xS6 z9ZcJgYs}ukWPWu;`;2Mg(JT5@#iSBewzl2Kwv1{qck}MhF5vI6>dwyn-6JR8VfFn= zvVJ3Hm1L<{__J@)O9ie!;EPz%A1fxcV72Of0e0PehPx#9B}wl%^Wx}9vw|6c{Q*~! zx2W=WIUoCY<lTpr7xR)jtCJSm@kJML6dZAKmf3niV*Qt=o-MYgzrKv^xt;7*75Grx zZKq4^_h=2*@>*R1=bt<FWpzxniP*2A%Jzvt_?JbZ8h^p17Dd0A25$DY{)SQEFKX>M zOYMZth8{7|F1@a@<mJln5EqlxCD&CJyj-=r>%`MVbwNi$uhjnP)i2yyvYt~?Y}SRt zna2L|-9G0Tx_u>*IysZ)T>2~$&fxdzx!q2Nf_o)PBUYtrHLXrn`?BFqi`})E1_!u= zKds%*nH+OsUaHuJg)e`9+xqBa58qnewYf*{o|l`y^nCP<l)7hP>Hpc%E=&GO&HsKo zg}*kosKNMVwx!w3@2S&e7N*x->|7D0zufP`!_QxKTJMf?cz=ElpGfzn^m}XgMD}jJ zzF@7@;r2K7Dr>Fs?b3N`z7)S={AK-B;P2e0-5*{RPnSz>P_Ey|DDfiWfXbBk2N@2A zuKP<w9L`+RmsxHwbI1Age(6YAjd|Am2R@uxSzYn!O07-dD@Hl1uL8|7x;lq8AM~?5 zmg)ZYsFJ~viE%9X;`>hKKTzqBer0j=WRubT!Y<d0?<X!YDV>mhrn9j9q~G1+X3OOg zb_ersJiOBV#>*?oZ;D<d<=%aJh4Y(*lm(~Oc`Ls_p}2E87P1;?7Jd^uT)ta%pZIX2 zkjwSVuX`(hS3JMMyr=Y);y26F;)(l%`wPz*dbs#(zB)0^<z&9h<^Umm`I0$@Rf^>1 z*ox+tFPQPn!d2-+-P;T$!94k*u!Dyam%n@55zJq3Wo5g?vn$Fn<*y9=EJ_5u=asx- zSuT^Vu+w+{skaV>cMI8EdaUk8GPSY#-97BI{9JRy@^g<Pm!ETvUM`ooGPr-^nT6fn z-KS-FXT+q;nJw?xbvj)5v$JcV?CO4RJ_Cj7%h%M}BR<Y_?%QCrKQF{((&taF)$$$% zpE>znCM4!d^jiyuqV>lL8=g2lh}zD4@Xa63IE#QYMPd_^YU*@6j{b~%zNV@DtKZ$@ zY|G^m76<chJh{?cV#eOStgFd6WlO}(r);x`ve?<V<-)}Vr5k%^%b!u_H|jaPk1h8) z_l*xLFE=Kw-pnGBEW11)M)&RuorUebes_<jEtgB^4(8u@b*1}_XIGMQ{+pDGbQ|wD ztSWq_d`n-(zqx&jyRY4!V_)C7yYurWb&bO4!o8~t<jQuZ$XCY{HSE>1JQ5RrQK{$5 zeCwmSr)q!B?9Q`Sw=cf<I{o?fs!K21pUB6Qy!2dmN78LaP_@LnNwsH+QsoksdGi?_ zR&|$nW>wa+@aLu*<$mYS$K+Tq-<h)SeR-<fqUqkx9v1!M6puc}{PVHO%@4UJ<<*n+ zo0;x^k+l1&@&25o?WG3$bCQfrRqcy6PM<aBzU1V!A=Cdfch_E!2!F?OICfKeqTbEp z0n=p?I=uM`Ph8a#oVN3cgzcx-y5H>GKLvNcJ^$cOm~WZ=?oZY}o3>j{xSx3BTAD-M z*`w#urmZfJOWU0yUmR1^uv5>{sXTo5(HYa{N4<%B_I$hdrpGtt-`9JT^l`zTGQseU z-A||c#%RY)h~GBjdd|b;FORDVMeg~1b)D|@%-?Qrg1e^fTX=ori$hN*-ppRyrnAq+ z;@rZZo>TWGnO=F;*2eVhncU3NEY&eaNAsqi*>GC5`o_yiwQmYeGH%=bMBw(61M5_# z-<x~y$ra8ptI{q}zqyH(inW!&S1WJ6TB(tey?fiq>{F+?=5POb<mvRizI(T9O8&U_ zrD|(^&ga!<Hh$S<_5LpN{taA;yXvw<m2@WEf9QQb>-plGjl9A-6XSh!9x_dfvOMUc zy*wiCDUbG=JBPirzi&Jh=F@f6?97H^ZMJK+Cd$9gu{dBX%~yE5O<88!Ly7NpQ{y%Z z&oKX4+bnqJU&t==&=gi1bH#()!a;jX6c1|)S5@RX$>;Y71@1AGIBeZqS&?rbFU}+O zV8%fw8S{u1VYcqVpm}-GQ(l%Ww^8rP51O{DFJ+glp8tw2on^O$`KCTf$-8#4WcOiJ z;hgf5BD-UZ4jWE?^Kgatx56W;>=th(Rc@HFH+kKur7yoN2v)avaOLNrw^t;;UHsBo z6{>%>?_}p*-%I76i|1CbAH3`IOR+&l{~~8z>V5W;Ul;BOdYJK6+WLxlf?=Wf9?u$y z7jX+03F`-^s(0<2%71)&!_>JpJ!{@PNfwzH=GYy)jqBaAOOMh%pAI{?cdBgn>Id`Q z^oQj1m7128>ayw-&pmpiJ)zQ7UXDBDOJYRi#p8!pb=ld4g@57Pp!VCS_v-Snnkv=b zc70bD?-P7Ihwm+S#QQRZ8xM3`s`rKDu6f|NVM`PH(Pvz8JkeSe6*r;|9zJeXo~pTR zx2#0j4%rHWH)S6-o_;Hku>H2;5xMQoEqn9YC1%gz^R@Ars6TTy9}7R%x@~!n_C88v z<!^Eqwb76Z|Bz4-bnx)u%Up7H^LA=`f4uYdz=uO`A3U6T`{42H?amG6dF=vw?>;wi zvfu99^Y7fp%b*29mYaX<l;e)s9QDia|3kg`UsnI)j%Ck!dBEI#U8r}Bv2V@u{}rj~ zP2KCB+LmfP2ojBBnZEkNq8(AK;)l0t?Xihjf9Uk_UadV$ch(&0e&4w2Ls!9mF8zJZ zt7@7w-i4j(5-V89rQh^7v?5Su#i8zp38Hawe4!7YJ}wZAW9$ogDEcTvG)}ND^r2{S z{8Qm01&fNp)-+5Gtq`2Es>Xr8Tg&>VMeI$@12a$gDV_@}>nd2cDY)nJ)LRNSRrlzI zd{e3j_xOM6%+t^Vzq9Ue*o8cH`?yicyv=V3mpOm<s*`8kr)L#$f7mqZj#JE<W4ec0 zv+n$mT>B^{aL#HaW4rdbYmfCl@G;F3S-!e3*&?)ecKhV3JAPUO_C_}(U)|BV12njL z`s$9O7I8;+ChdrFO{=lm9o8#-@PgJay~Pqiz0!vtWZkjhS#|8}@dH_Rm`c_j({;I@ zbw}yT%4522>$TFn_-$98IHSG5-87Gdc~#-!7h%29hhJpfu{pE;*xBQIvhFaQS$RzN z$eXM?N@rFb(>-=Z>)h0S$<UN%;s$%A%$qW=?g;c*d#pFn%QR0;E&TDb<RH^LMzyfV zVo6S>d4k8nAB!c&h(6aka6`+8n@b|NSK9G;)*X#q;g63MEbof6Ir3I(kJ6nrhqRCJ zUT3}eX8(zG;<vW^{Ql_hc~+l1&w3%Bte>;Z{u}<3F?qgtwl~|smS_8OKhJ+<WwKo? zDw}JD{50Jr=Zp8Z{yaZ3^g!m#C#U!41kIW1yH9(fFaPt@%g0%7@)QJ`{VdX+T@yL= ztVH;tB1ug?ZzcZNb*I!0n%-QpLvJ>ZdCKL(zBj)VdYdulOgt-aI@S0P*Ug@dL0Za( z1y6n|2-#F4GkFceaaHXjoF}^qf;J`1>ALW2|B|q^J5FryVr5yqcz^z9b0Lj{nQk20 zvRaa6yGd-zK603^_s5Nu6B%}!2qkTIQ+jjJqi1iHs>ZbydVhG{U*@>)liiX($MjHv zpR(Mpqgo#?#ws&bWvICL_qx1TI#FV8@=_t5?5k0g5zCq6Uxx}LG;=xSggCbNYISUk zT$eQY#sOZw5GMnTANjfe!qiyzd^B~r;Qam3<VAfFyI!7f4wwDtJGqak<XT{dpH<g~ zK>hF@{(VvMU5!yz_w4UwzpP-dT&~=;-74wD%I8lL`}%6mTnlW7wBj-hb{9;0p>eF_ z?H>c43mxtMq&{BsS7!W`rQ#yr>+)i)pxiCC{aK&OH8X#B{<&SqSerCg@QbO0t9`TK zmwxZh!MB;%Jj4zj*kNM3?P+b^lpLX+X}(>Cn@$>pnc4PC_LbK^C7d69Z~MCwX&t+t zT5i^L4PWU~Bdi=L*XA*;f8iw2gc&KV5_*w>ZXRJh6Q3@;zb)*{sqd#2hcGn#ei}Hd zfhjM$<-t-njkwH~hg;oj_FU}f-!8@Z!B1K7-fGq*6$_Z!+O<A>@L;u*Tdi<FShS^L zRTIbg5P`=#V>YQ7R{LsyztJM#qszZg>EXipeHHna1dnRS8unb7*e+=G$uP!!kMRqQ zBh8=oOi}34jJheQmVM-~O7Dlb<r5i%O@xy6xGD8q_UPH@xYGZc*oTESY+0Ok)7U+9 z^Y`ywm?Cs%vTxV#O(#D%nAzG)@s;mSF+Pxdljoz0nev?pXC=;`DoodPUH(a6{Zr## z#V4nqf2N-@`O(rGqdk*+`PZjjJ}!Ne=R=s8^Pb6PIo_vEUT+s9DQV@Mn!;K$rAG2# z=FKHH)MxYjPQ84X@#dGp05fKpNoNJxQ;iP^-s~w1(OTTD7bLltsn2tt+`+<|ODq&; z^Qfm@KCF53%f@gs<~bA33ZyR$`Y}WE>wX4vRox@HC%X!wHznQS{O>+{zyI1<)278| zWm|oi$@Kit|C`VDXU_Ou6{ENQ1?Tg1&$r4<QcvO9k#LwrJa@*Updb9X|5mrmK60nz zqPfCBR^gyI=8FApfB)ZF=F9c^r`ziyjoTvOBD?v*ml|DJ&aJoZq~9a|Xwlh^R+a?4 z$~H8c^yx%YMoi_krxWry4dZ6Xzj|$;sHOX5>({r^lbQXe#MT{9xtVh;<z&te?qyTX z*Tpght9H9De)>=IpLcPc<<cLo&#-Rt+!$acDRy#M`_WA=lRI<RBD7{J{yLr3a&D94 z;_eW)u9uTeJYTeKljj9rv&tztvw3V&E<5{cCbvmWdYagi@^gl2Bx9O)Scl~#(ZqEr zM`wsnJ?8K+M)ZQWJImfumy7Q1Jhi1y7RG<Me|tek-H(+EliR=DH~(aJMN_MHc2C#G zifuM>vuAauN(P+U)KQVJLb=P)>e0ekeHD9_DetR!)uDgw>ATxsuK$`=#_MbUxF<eq z(=#SNZ?S`#`%8|^s_eJXn9ahTGC65~j?tURzWmjxmygTc<SB46bKW!UEXVp3<73_{ zdqmV#Cw@O6zRB}LjG5-VlWK>9Z+<DbHrYqsKV|Cr2b&}xP0Vqt(3oww&LcJDhHhs~ z=^p*rHm4@|cJ1DDvcTQU_Rch4`Ryr__0=~?K5ENx+o3nxaGhuBmx36fpRX!H&3<MH zc&Hs^y_sU6KU?nH$z}b<n<O8s$!WV0)OT)Ht<2<8A`e!iv|1=d3i5e}^*H~t-}<xt z%Bq()Htt)xF*ml>-L5^k_(Okg-BOK}1qK>N@0{J5RBW_IriI(VV9_2Kl?4rN>q9sX z^7RJ1xx#T+ueajHT0uJn6QP9lZccA5d$jmUbrwG8_fpkxTQ&88^OFU$I{GI$OD(im zBiN=a^|2sC`Olk7l>>#nEfxzWa;TdMJ)RQ9*%p|k`a{BMt=gl*87tj1(z03-r@7gj zxz^EdDaC0JuB`YhQ{_-i?~#ZFVTTT9Y!#}Rpc^9mv2dG*vPie-p$#s|a%mYyjvMu| zM68=ApnZku*Nwn?>$n9HHorQ^zM4xZC)BYg_fz7e2Ok_Nou>&j{e5biJW*tWuRDuy z=@Di}e`lWbk|z(h^wrF{6xcA?>QQX4yI|jIjbn!XYx(b=&rp4u{AR_pKK@P0R*x3@ zxbsApK6$vHuSVuVV8dUlN1t2!l-^to?6HlimH3wR_2J>%?bG`Dx5rvN3ZCC5QTF1* z;WF8uH<l^yyLdUUBi*X&Lx{WKyo|3OH@L6nm#^&KT2k}E_LAUHXW5Uw`}=I}T%Xuq zZN*g(?ymSQL-p&%XlZT6vP_jDe!VU?7EYA7-F)fU@}<tlrPlmO&J+|Wb9UbLIP&Z9 zAfDMANB3TNWq<1A?WEqM>q&3ry-NkR-OyMY9P?_!zy76vL%S<ZX`L)@e)NUeOsmZJ z+%)08N2b3_YN)#CEncORcXICk<eQHcUY5Tc;S|9dGs$;f{}f-l>Jw^*LvMa5@HMNv zpfsCt-RZQB-J2vI?#nrLLx1YTM|~=vW<Ctqc3N2NpySOY77CC8%nnj0y_tAcV0Mb} zA-$VD8;y4dcpuT-c{`}n_Rb_7d2?0m1H31>3LQ5o&6&JLLVWYm#^|j|`(`a*YI=Kf z?;;VA0!L+*=cZ1De#$)QrjH(OaI2Yf&7&b$inB0CS<o*-<=DrZULB#X+*RE6hmV^$ z6@(~@xSJf>;H4}lmT}~GO)txg<r4*pO@$Ks+>*|OX&(%i6|K0jh)I5Ss6fJYE~l6< z#};p`2ewXn9+NwG#nO!vyeb%`_)eC5=y&s)rSlzccM<N=BO4st<(|Dhaok4sV}rlD zqS`BsLpicXXH1R#<tL~=ElmENeOlJb3ig=`mAhVACCyl-%pY9(B)O}P$>&;Nho{w} zzb@{EWtm?e=B}D+cyEc+L)}#q8H!DWk`_-ZZdoeTx$%g<e)xn>x;rCpuH7c2JR{Sf z>myt8jJSE9Z;2WBZsJ%rZMl1)ci!F{p*53zyS8pRxxvlMcFi<jdG1u>170_uIPM7H z>e8Ha)F5(`jn5<<d0|!U11u-G44pSA<xE*4A$_Vj=uW0q!cH!ZX;a-ZnYbk89Q~rH zD6vGat5GUZVu2w4U6V(P=esd|x$4nj_cYa6plfMX=g&3|RyncN2aYR=vREu`5;%Ni zv5Um2CJE;!lT15rt`6RLT<m>qqEjV@s3&7>iHm`cyNGk?5d#-@Ikwj)jw{HrN~~Bc zz`SMBr;iH-+X|&V8iXm^9m`NTkkQ*Bv2-GbvZ+wAOWDV^j_9Jjrwg5R53YXmYr+Pu z89{vWkLd0^xyjSe(@awB)Ux)6n_edG$zhXFpRIW8R9cJSCduS2A#Po9lTM_63Ebpq z5M-w5c0%p&?oTWVc_(cr`pWmG8Xr);$@4M5Oxb3_S&8qbmG@3txV$7{U-NGDpP%mD z7QOkzaaGQziU6}p2aVY_N>hC0gifV(yxt`Fa8}N-9a>W_I`gmWsR=I&|Mb*))4EMw z7hTOHtxhj%_uKUH@!~n54g8xVAMFj{lE1sOSbD+!l-3z~k%G%S!+KWM`{;iaemd>W zWsAM4>WTMWZ`>xsm2!Li_KmZgtGwU!@(S<Sme>_uxGUSMaPo}V*I3z9BJ!QOc-2yF z%*a*n{5b8->4<HbaT;Z^^So0v?oO&!v;JsW7Nv4q<oeQyaq->eL5^PApWI8UzRl7# z_nEb2sH|Pu`L`U#chk#Gz5B}fjoIpSn(;kvNs~XU@|(CXxZIiadeYqz)ko7}t`~U~ zdas+GBlKmuZx`dHlLi51KOd>jwtF{?TQTqC-HB%fwx=2&(!JSJ=&5CR)Z6n(@kQR7 zDm&C>i-f06e%O^$wL>TM%!Sx2F1rxb)9(H!xh{Bba{4lD4M(ktw%h#;CTyFci@tAK zZ7iv@W4&@0yH(PTmCF46O<#)GCgz{C<@0*%woi5YG8?(g(MA5JBGa}%nfI#W(ab)H zd9P0#=9c|gv34;7|LMEiOT6<+e|EVSGp<(YPRJ|k_ljWMGs)M^`Gne0>6<AP+Oy^E zom|%cebdQwpPM`%1I?6erk|CVd-`>y@lh_%C$^is%d|ab)cBlKb2Y#DWUilC<@(9K z_ui&V{%(EqiLAewGSBq05~r6M8R<+vbt3$@=p_Ak+3-_pN40LIMCi_zyLMt(|JF^C z33GGWBJ^hqnw?1NNez;;)tL-Z><dzCep>CQ*v%)_RX4wE@HMN=nSPeRI>q>i-%1g? zUtVDib(2IF?N4#7Q2csDLVxd<X)+h{PwIZWw0feds_3+;r8A=M_&&J3A%KyE`|zcr z2@G~NCQcioltr>l4s8fimb;dD<Tz6=%Z&ws`+Bc}tImy)YgvveU2Wx`s4Jy-W0hc6 zu2kZUb%OlXCXbSryD`1F<k4|gs&j+8vZ30lsR<Elc6~53iBM*7HaYa&QYukmnV{U( z>?6lHdRZh^3hsM*!K3A(RHvca+Lm_JRowC^$)-*Qe#$lPmk73TN_{l&P_|RcR5_5+ z+cIO3*oEUkqAU^1ngmXV3M962C9RplAI!sddU~?ZA?3rLq9RmSV=f6EOqC5>b4BoQ zsBGnqWy<o`OOKcfS#cExyW2=y3hXJ4s;vlEZTZn~+txil!~_p2$_C0@6Fe*^TWPUM zS^jv5$b%hyPIE2<woJC_DqJP=MXlrb<EYvrvIV+xBes>e82Y>Od@g;G*w|O2b0x6B z)rxClguCFh7aGTc*4oLLWqy6AoIi6~AOGH`R*yEe_DMW@b>gs=?9Yg`iy5*@M3UBq z1<B9ex=%Er+pS{9x``amO@$seh2Q$4vi-@jwxzBCdsWSKb&hVhq`ULw&Br~Qx!sao zvE4JD9<bW2YVKXQ^6;BC8&4kb+wN5?vRvLEeB*4x^>bF#c;^Lgv-Z)uBDP`jNwb4- z)BGG=cQ+{BJQT;m;vDK=7{kh=9P(h{>RBuM^RzfFII}8Ntx|B(7d`S~?UXr5^B)~Z z@3qrXc(IzPt4=H7#d;=w=8y-;3%Hngf*d;zYIPX6uo~tC?R>)Xd+Mx;8H;Yz{0w}< zpg-k{B3tTT<BiHZA#Wu18d>jbIlg?pPVGl2<+2o3om;GDf*23_hz6VqU_4wRS}|i8 zlYDTfK*9<xC!ce#W-`d-Xqp{%I{GYle$ww5>u)eV(=6-Q*(ICUmvnqa?56rmy)9w| zkNh|J7Q}4S?9((m9C-9wfy<_&^2IV?Is4i)&5k-AO|w{VLvEYbwjcaWwOwbAuS*Jk z6PVL>x9e@<^px)PuiuB{+|w7CowPg2I43xV|F>%NpM|$_kE-ohdPBrqboRrxr0N}d zHy<T`xGpmL!K$R-n82L2$z5+BPD<(K-w_{@)5P87TNt-d@|@<j_RW)Y?PBZPHgfuD zn;q3WnijG6hMd?x%~-oB+5L+*$3@=$AbycsJY}WO?Bgu;UhRRO)|u!Zvk?_I6Uum0 zM%3U;SYvynkw9(vGOmi8wM<<vwGw75W8x3qJZbytOcB<*OKz}8i_Ug$>N>k2ZsX5= z{+nDSuWOkdR64pWV(kr{)hf|F%cpjoWfnV{7P0O|&1C(XNq=-gbDC7Ud^bjHl>GH) zbIxbC={bgVX<B9nd5%8QU3X*8LDAXUx2JS}G<My{sitjqRORTi-_}RJ88~h_`a^R4 zjXmBPW=A8Arb#TmA?N13O~QV$$n1nANx?k<Ic*QS-X?ytUw++bU;dix+yhJBT<S20 z-tcPv<#!tY)`XPopQ@!0v5=|jsa8V7GA4fS|CYRBtRDY3N;=&*#IjrTbEF=6&vyIq zXqmvpz0b2-66U#SJj-fH>~pj63F&z8L;l|qp{DOr3oDijwr!XC_`yvv$z#toj)U60 z0eh}+9Omw=*s)l^&|+R@%Y&6}8uKz*9&U8Au?gysP~$gs`rx1}^4;`MfxoicJ1rNU z8PBC29^6u-cVvO&BEhzUpt3?S=>^Xfj)M%n0bj0jINSGDyjU&p@wlM;RHN?w+h4E! z8Sv#2hqHfg#fyakAJy~jnmS$ZRThagJ#@iUS<dQoa}duIKP`nFbJn`JI&6INU#lVC zCG<h!z5i4BCjZgDUDNwvYJ{=~x9On`9?EiJ+AdSdJKZ>@WwrRbN+m`t6yz@!QDXbX z*89OUOj%^M$)OFA%5vK@TzKBBl~R;gFWBWM^)NP4S*{Hvv`|V>VwvE+r&l=c7iS$g ztkK%hXd9)>l5BG5x=ybF&lQgO4x&nI-*|cj&RpU+n$v5r=1NEVS1v*3>bY(l*D_j; zn@T+t&iHn~+skH8aEHW=d{d_nZpt-T*EkM4_f}M_6ENH`KeNUEtklEc&2B%gtP$kr z-}Jmf=#X*U5~ikkpEiijt!&`>(HF-m_v>Wu(IW@mtrl#ulUn#<tzcW6)W;8w>llQY zceg)MX8Wdnw*Apow~B~WOFwN0Q(UB)merE5%S~fhW=rBKHyfY84v8C+O`Qxpl|_V2 z4jK3<%eiT}@Vt2^_3-mvw;wg@1?}!<x19gZCCI#cvKxn;)><vLZwZyM$w>+2*E`zt zK;o<2ICf>UEZXcQVU>C0u=~=Mq=fnl9Q`{@4khdL8rWRo=-&wn*lf@sh@jmD(?iR7 zdOtL-osg1{-NK&(VuC~;JzQ&};ksN}TmQnM^SyzLM>9kXdV(0=7Zfe7PD<#%z;Upk zH-P6d$Kin952jv<Cl6fXIH=GYz;lJ;Fhg&}i}eDA8-8cDELiWR@hhWc;dVEhFF_p{ zkLO7#?$Dbr;lJfmX0O4VOC9Z#xdfSix43ciWw$(<;U>|Sb>y&MYe!>kgfdIJiPJ`3 zWgd2uM~VBLjvTnRT(D`c)WRE!1>5dQeca%!n3VAT3J0i9nsc4wuw!pUg$BRS#WVaN z4h8Y7BHu#}6oj+Z$g476JhVO3p}?C}#60vsfitVzxf2d1?@UDnVnP{@ii#S<gf+H% z8wtFv3S?yw4{<1rW94}s^5Eebo+B|o<N7p?gzSu*7k0#Frz<Ouama(jJv>KDEFD={ zghL$+Jz04shaM1rX210Nj3xU|XKSl%?L4C;;In~S<nddk_$_an+BfRd{&N<av|2j3 zNTeyylI!RRnO63<mR;=+!(4s#9xf7TvbE$o`c9@bF}&|l;_gtv;4j-`S{D@eJz6+9 zRPgdA_pERZyC)hV?~6s`o<)lqR_{3*sB!O!2G9Cp5q|x(T{8mABC}`ZtlhM{%cS*T zou3r{_cdKJlI5Ogu<#d)bh%q{^#>M-@cXannlWMCGYyvWMIv3#ExG#l7m3`v7Up^; zZhetR({)R(qjzOmALjNQs-7Au7<~7)OzZc1GOdr2`wl%$%jWl7_c7)CTum;02l3qw z7q03Blx*g%u}=`U`LaP&d#BnJV|PW1{M9K%y)P2_4i#v)e=NAMs^gdZ$v}?2XBswQ zrvoMWo@>bYMTi>8&N~yx;rCR-=G>V;iE~dhYTj<sO5(DezF0u!M4*P=6Ad2mViCDX zH?DbKWSRb!>7eLthr+9R1~Hqt<<{M13H&Wx*7#sq?1CMs;u3kcS>?=bv20wmXCpU@ za&EKd8neZ3m_Vn8B+l>^@IK41+u_1}y?`&9xp`{eHnrQCIj*ta`<Cfo!fpq{+j<6P zHgU_P-D27J<;(_dmdkIMj+*Q~U~pBh0(3OXD&wPi0Y2Nfc_zPUYVR~t<Xrfci9hXa zQ+r|DuPu}R%sa69jpy_yp6i&lrG397JUhYr8;93Ly@ah?9APUPl9qBwgsnJmxJdLz z#Ihy^?@)oHPA;XAaL1nKUcMS;+I(9Y(nA<o{6if+y0F^32yAS3*ZS}=fR#~hg~E|q zQI{Rdn<UDoPBPXB^E$`1WATy%mhGVj)OAD+<^(miOKW{7jAdn9w^HFqsHn@1MNJaZ zr%tNAv0};{?FW-4In`u)v)0TEWIS9cT4AxANj^PP;6V?UQ%PXe#(v%|*@V4G?Gejw z2wu}F>oL{Y8~E?~ty|aFcuwBa5lZ6@`~Ug=mY@A!zi!F>`@LpgzLuoT2_0#X^{3bl zAHT99e~tEoRX@DyFDmQ^Gt*c%owv=~^{{uJ@rs-el3Q~Ecyy(C4hJb)o|`4_&35qC zCdZ8%atw65de|n(EYF_gm?Ti5FD+s_t5RE}_nKSVyp_{rGJ|Hh7A;wr+ME<|GeP2? zvVEcJ;;qdQtJbSzd4J59J6T4!d6D<cgcs9ftPG>9MJ{Mxo#f2#vDt&qc0*18kDfG- z@MLFox9m@APHvU|Up%}1`nqKs8<y%Gjb}N1DPH9C<@g#i@A}G!ng2d*)cPx$;Q34Y z$gF9gIfftWugzk!TfZ+)Cz>T+rTfv^6vI7}b@<<_o<7cglIug@CZ|0)Peg^nZZDfJ zmHnFg#>F)ZO>?yt+*r!gc311e26tAwZ>tmz*on4STsSj*rjf?H>!tQm6CUm0w0&cg z*br?0Z@Srk#{+Fl&&<>JbBax=`>Yr9>uhzw%kAw)m)^IVHl^;f>as7^M>akCfA?8^ zU3^W=nyu>$f6Dh=oA#~u{`PJ8N8ZH$x6l1|QR~xA#=Z4_>u>#;9B|ZB&iLcA`2YKJ z|AqJIO!}^?`rLYw(`)~;S)X#3K3S$S>ASw_^MfYFef#!KxiiJ}Q{&PnHZseOT`ZqE zY4#=$yM<Hcuy5H{_+>6w?RhQL=ZY)SWoq3ucWQP&G4?)L86YX;em}}9@08NdFF{3e zVr<7QZl40yx^{|9)>G+%zb|Hco%D@VnIGjPx4lUG?+a;fF!$5zEr*3ZT59TkY+G|! zYLSPPrS+y8*|TNK^2$=Wbtd_1sXmuZ{e0syM014KIrGZ2_Si76O%H;K>>6jtmeqls z@!0$1Pq))=HgJQL%7=TM+wPUt9vcBxdLyW4-%Ug7H;aObg2hg{PoMPpZP(32@#$bE zu9`CEy~`QC-Alk;pSbi%&E&+g8%x1{?LT3qbN`U^@p+R=Bb-G%+tb~}{6%NTmQ{kC z$FHrbzV^(U4cZVpCyCDauA%(#)S3rv8k6|WPO4g)79TxT)zoETiO;kt?>F==UUzO1 z$dk(6Qd?%#=J-y#BH((-F7ncbt8e17H!lI{IyK2{ZQA{)=^$NO;-{J9nf|`|OJ}8G z*AnKsv&vQryYl5?^MC#}tDh{j_N%F^Rnlaix@Vdj{r*^nO%%8zAQ+YPVQp86;2d3b z)uU&golrTMlV@q%m7O|MO!&-X&q)c1mW+p=ZaUM&DRxrDbHjo;2M$l&^hQ+p%mmL# z32By%{i{=N>Z{Grovd>3Pf-(t_-VI};#B2BKQ{?JcH-{eC%jlO^Siw2<Oi?%Yu<+# zaqrM%+O;WG=R}d9ikE@r>8><O)q}FCsZ~<Xv@|apZ}fV@ZsbwusV8{Y_2!oXN3+^F z)6X(&ulo}^d%yO~mn-wbB8pDveo}P4GhuS;(UjRwQxXkts#!+1R2OYpF(apWj*gaE zw7z<GVYr`|_~f-yCm%hUl0ED8mY?76Zx^0DtMI0O)5k~k4~{6`x8I(>^7z7M@!NmO z-!IQuw|wo^|52B3MBKj+b^G1#X}|cs+MHQoxV3HnmXaT<f9$JY=Vq|>nR#yA+N@H0 z6Vq+k_t&kQ@$rk4p{6w7TWhneAAAfJyZM|7+vypzH0oRWbiWOM&R=_+Ud1SUhmU3P z9X^rCclmgf@AAn#v($Fncx0uqPT4EDXV#_i+;gfLGW~3ige;d!><aET{CM7teN}em zx|OT5H4~QI+!@rH5Vp?E&^kPM#^lwNGiI;;Ib-^2)){kFw?<4@?HUpB*+2K+!3!?e z<5NOk-4fqm;jS1F^m+fSKjpK79PZn6E{LD!a?##DNn(O}rNj*NpAu7o%et0Yavk0z zbM){hnWM+MWK<8Fl2JXfN=Eh22N_j2`Q4X74$Q9KsLite|Iu4EzJGM?o}SF{>z}3j zq8+oRcRlo*_vB&NyeE${{cdW;J=NgR2ek=`MC8vGPhmJ;G(~{FcnSx9(G-dA|DVd= z{&Onem9*iFs$WsB>JEOezdh+sOuwS({4GE2R|Wj{u5G_H>)5VYeWqV^b$xXuzNIIJ zde=_6ezASl>L@L7@uP{R`*T0<Ut4v2+PC}l@%NkVnJP(z+3b5iL*-q-{RY8jKOSdo zis(Nx`G;4!U+~J11Ak(AA9$>DD>MmDwwSiM(qi7~pB59tS-UP)3LTzdbMo*Fo0G?P z*k~U3VWWAZ#YXec5gW~8Dx1R#JpUYA-|>{|MY`VNNq!qP&h=h?<JA?(vTee*^_}(0 zPEOu-s8mP%-Q)c`N}71LHBT?;0&VhZUt1u1`Ow@|hDX|#Eqk`jbZfolgOYt71#0n2 zEVB7FJ-fyCD5Z0~S!~$~mg{GBY+EC?;oKaBQ$`29r=L+zoPOrRE!FCZ$CGOJ{4K8A zt6^rDb#}weg;h5m1=Qx0u3+3|c}2ilCaXobPwME6dADVJliDxdR~6<lU|*^te&O&+ z;ga%KB6sIlIfpNQw{UXs_lq|}ZMz!vW<LD8-vV;nRJoqzfrRTDoq38*3RZ#E0R7M5 z`SYy)?^5UEv-<2y9mI{VWaVXObgZaJTYGc*jw?a;{Z?$w%H`~wkoNz7&)(8GZ%!q? z|M}6*!)W8=_%}z&go+;>shqw2cIcvacYEhH&a-@LZEU-J`Ev)cbC+VhzCHb!CdqFM z2lK<1s;%_+_4uCg*WdqEg)Cw6)YVj;lys!>R7a0Wf!$h17WK=kOt?MO3a&VC%4uc< zo359)+o2oyWSa};uPZM!UG15-Ubyd&%d=u)^je3%lQ*tk6nJ{Zg84$9ODD%GHc#mk zH$0diyi6kL&>NSKY2A-Yih0{IHP^olWb-n(=%5*=*|tw;Yr%Dg+&jhr?EO4fjwV++ z1}v%RjBZZv;@oiH?=+cZxmx>G+h-dbjXioI@R-=V85??+tG#(JlXLeD-Ss717T0H` zM|YK)UOMq;ebA=2#icjaHONjjRS7(t^lrt^$gBLW5wYQS4~c*MQ&rAny;z53ch-`> zoe7=^ZcEw|(w4L*g)KRL=*<e9;5XfyA}j<_Hx`<ldh{S-%F&}AHM-kb1E;AhbBzp| zp*R29&OX!JudS+Y=SZ!b{&S0gv#LSZyz9(!66Q<{?)e|>%9d^Q^zzJWua5aR7w_T| ze)4)6tGC|UXoHXWOLMc<CFjQWi9S5-r1@;sF6-hgr_HV<&SNu6%ie!Lq>|x-qJ8&` zl}7QQl|15n)+^m6eilmI%qphE`)2*DoZ{H*U*2azLizu%4zk@Ga`o_kt<du_sb9CO zK9?Gmz1i3ID(9Kr0)aFA1p#Ne3pNM`#QmEllM^J9x`1Uh@06sejZqQnmhI_^<kkz? zw!q(*Q^zczNtMlO;}r*8oz;QY#FzKJ{Pt(>m($8JEZRykYtp{(nT2l%=2-o8=b24k zr|)~WiKUx&%A>#Qzg#&qAzpL$+WQ}+SKnT~(arSJ235aYv+&zzmMwVr>5upW7Ud~6 zx2I&Dd-D3mNz0&_w)a9UWtVoo4ZfBec51c3{Ow`eKfPFNdeJ(he&Vy5o|?19*C)nr zPgY*VxAEYY@Jm-$D0wC9nkIPWf>GDZsI<gs({9dKo67erV`lfxsAq}url}gnpAL#x zu-eFM|BFQzS;Vp=4=U*{p0OxZ?B4&N<2falk0t+(*Db#2dfKMunw);8f7G-?$K$hB zojJsE_u(3rxYv`maNK(_X^Uatbq7ARmlL{AT0Kcz!KZ2%+8#7xnU%I>*7>wkyIAi1 zyL5!}o~C!i^u)&pL#}2V{U)EwtDD=qHq$4NcXR32mwj`8sF*~08n4xT8Kc+8zyC^b zliaGpH6EH(QY%{HK8ZD7JmzOQH)>UMbm0=W(0j-HbdI-&K9+YrT6$5DH&0imhi}!i zrKvH~bNv=?-?UcB`lGA%{gdy)LnC(?#?DSGmjCs~tFS>xx285}y%xVC_d&U<pVlbG z&Ru=7EGPHOmV~ugFK_6bea3Mur?!4+E6d+66Bg~y%F}V!ub$Mf>aJAutXo%qYv0OU zRr7nt4V&oDvxj+lTYp5Ge3bV7XukSe^qC2{XHA~Z{=C!e+07+c3ePmZH1w{zmH$co z#-T&oB&D<VMSo>qv{dqS=*;YM&u&g!oh=o4m@njN+))RE6FCbca?B!LtdmNfWxYM* z`olG^T27~Ba~+jiC8f40=kmRX2Y0hX9%pa6nm={jD!0$sd$#RUY}8Zp`4G8}FX*7i ze4iVK+;zYCUt?Z%?@jWxKKU($f0OoJPcl<c|8TB_^WH3jqte3LK19{&tmx>T`=jq# zfQ@k6Df7IpwrN&-CcK|7rg;0`vrjpn%L1-m?&^!#rq=uXYJJ?-<mQ9x|2gmfb$Zo& zNuQ;QW&cgpGqhVJ=KUpP-<@N=uD@I&RsO$=s4ex?3+`54wQ=QxjFq`Z1F!CgJFvFq z`saXs^TZ#p@b}Dlw9n}G(%a4N|GD{dnq7IVc~p#f>xRW~hEZD+nzH6(bM_WL4rNx| z@BW~l<8juK-~W3y9X%Ccsvxm=)|9AQqIzsSVZHNQUwmJhyy}^@R79HlD&cft<Ja$% zLl)2G=T4Pe_v~o;1|f@eucTA`3J#sn^po1z8tj($cuUrsyv*=7znDWO$9Y@t6VRCc z;LQ3(HY~rDZAG3d*@~TWugZxDn6_f&t_yOtq2cUaSKjlAPyM*L_v%iceZp1RkDq5n z-DKNS&=Pm*-65HrpPh-Pj!1rCZ<=@N@*;<utFAj}R-N9yQR3_K=iaMUT8Ga~=Wai` zDne%C+XZ}qI#cokMJL2JP7h6ea;2Uj-Er-sl+{=MiH62S{@yg>bGGR7jrQl#Uq@e# zS1SlL-5kQhay_KD?B%9+rM4<N7PgC+hdy@CUY@q?Nah)n<Y}w6S$yNJ@!tCN$&{mW zR(8L<{^Uhe=sby3W)rhj=YC)FTf5XUy=rZzuurh+y<@)%V%2@thCbQ3_G*1jMVFOQ zy2#|v+Ep9&#VeWH?B95J@*I`pk2>-@)m91a-)!#K&T;Iuz)=g?O$K4@IXxE@*|VSi zda$BkdEVTZiAQJ0a_UUAcAF@ubJ?itX;fNb>$IB@D^vNxPVViTyJ3y7$opICC;7j+ z=F@zC!yd-)Q&$g9%iQ|s*oQ1xrHDXnTZI|V=TG%anAs+v6R!5${(G_iy_@0!2Ww>) zRV;88lY2Fxced4&2OIfzRxEOsoA)X}=zNJr>vPjrRf{6luXN<jHaT)Eh_}$d**WIU zr6>=kj_!>T6q45bpWo)Q8qKfjw6Qy1J#39xiFSVWE2dpxwjQOQq&a6KDT<NcY*H ztE$@~x(Wp5^cHMr4QgrDo%}@A<Kn?<CE_6$vv0k6ZS?i)zjo6Cr9+Mdnui<<BjdjR z>bsP)J4!B)X--0Kjj8tg`}ZG-f8q+?yza#Bo(-)JvZk~?ikfotP-$qUx0u-p)dJ;1 zjvq7*IU26I_GW`YP#m9#%)h%w1lha{?l@?+Nv>#J`02&G=jCOujL*)zRu-YXzPIMg zd#l6_o5MdhY~3Z={B-5T^gn%qY?^LG{|}WgF_(5EG`uRXOOj6f=@dLsaL;w4F8-*r zhl{7({IMjJFD`Rtx85zIiWjR=JxeZc64~{7RnMaRdQ!d%+NTMAxnk567nPQ{ecg*I z$E1Ef_i%moV8yhZH<qT#^<_s2sc+F~J^g8N2W#5G`Rgjgq^Fj6M&(#sQq5eveqE!? zmy3$x^F@pI_uK5bwov8XivX_tslAi^%(rei%=`12dHSEjyLR^N(6l!_lu_xoGx-n; zzt4=M?VQmS>(&&vMZfysBe}I^|MQibJpcT*^?&j_r1nYtq1rW`+bSMDnaC!-zQA_M z{6fxz`uH7wrjBfRY<I8AEbP^Fvod)+Z`H<A-@Eiq`mcN9U0zxAGHct>==D-pyth~8 zt<G9jGW+>7xlK32_La?fKO-}5`pU%OqoqGL&Z{}T?3GV(ZuGjT@magl%mltnTp!EM zE3)@28~5HbY|^%8+1PE*u!-LdExiyjH~T^K?7S+E3!(g)yF$FflRwMO-spHQ{CW5H z+_)80jxP><OZs`r?c_oIocy4#7Z0?)_5U=vfYI;6#S=HrtbTRmDfjLCr`)$2<M-xF z(T`=X`@HF@gYKIt`Z>?7w<T<@Nz{(tnf2G}-_@vl`?LNA@p9PxUt3~2x%mNaWKP&z z-=*5OvqPUde>n9`EcAEix}5wV^|&3I_J&@yXw<%a`wIJW=PCOekC$Ctap%&xc(Hc@ z>hJ7V95LP(8hLf;=}Xa5exBR1#l&z&;7onK?v2;8lQ##g4=%No-z3+Wd`j$drrq63 zvwm7{)7>fYQgMgC%Y_#5FE<wGT}pnuW|O4R+Q_h5#-}b#TQ_xnSTFy=?5DS<oDJC( zRu^<rDDs+h*W;gpn;Rc&xam-MB4<Ovg`5qA4yK~vJTsqtyRm*vdyH>(ty%c&XVbra z*L=OPB(i>eqtF|}fUfROd+q%$Kh-iR3Tt*dr*+{(<X=h4)pkFhWi<TWs{a3tQT!gm zjsC4%=4#(w39L4dO?vI}(=UVZ=ibuYtF}+e_gORb{cQ32CokOZE$q2-?M(S|&Nn6j zO|fjJjB*!<eOuA?;o6t!xtaf2n`eja)JtsgRrA?+u|+q>AW{0Y?~Q|2!etwSuZI1* zEA>z0M{cO{_OcTu<`1SB-Tm}-+U!#$-rJ+UoeJN{J>}@0b=@z&_wQbnxwvF^>ijHK zksWu7TuY@`^R~x?t$pLQJzDSN{u9qG?7v$SBD=9*_fsd+`l|tqVs@u@Z@asF^*+&% z8yu7PW=EJfCVuW&up!blKxU4hi1>2WqlYyeJrm<S9_F{ii=R@ef2zcGt=(6oyfs5C zjH9b}X49jDbrbr!Et?)CPFkSy(aS@<b>7#hY$wf3Q)gRWHxc<Z*>uOnfR}Ss!}ge; z-JaVowJ-c@F5~tqS05BQFMU7ti_GhbHD@Os5N1}b$XXzBo@Yw#T;40ky4$?Ai)!kh z^<KGQVK@t~d16zdnvda?7TrB-%@d@ZeKyMSm?erg``kEupzYL)kRwaCKbv!1u_@Wg zr7)&lXU+vhY4y?*2Q_7rmM`V2w748_bJY~V*Iz7FGyS=)^!!T0gAC`Va$W)|9|D{? zer*jF?)oQ{l-SUALt=#@AKTX8v{}71uP#OGSixqdx3aPMbk>7MT~ZZ0R<U`;1UHMU z({?m#JelQS5ES|S&sTH*S65qj^`)FXdN}LYToaJKYie0=!GCUMSNm75gonLSH!9Y$ z@x`rd?4F+W;9;wj%EuVqpo%bkzK^B<xbxXoSIN%5|J$S3Y3~1BvlZVSxwu{Ne7te^ zuc<%ZmQ78U7Tr^vy>Bg>b8n}>9YZxIuFfyH;gP9Re0Z1U@LYFpTFd(=<YvIR{~cvk z$E;Q<-TqTrwRDHN=uN&4PTSlig%vGQeU?OgyZH9V!%Zs{-|NR0?1^jU@OvR})Khj- zfmeIZoNJ2g?IkCUO3HpJh;5%_vD{hn4%Z%?Weq>9C0=Dq<fP0_tC_T@=-vNyUy^H6 zwdLGOGygYTlvt@emFLYH$<-~b-enc~bGv1>U0K*|6!!5fzx)(QEr<OE^Lg3oBmeI@ zn4wUSY!<L*>bd@=#`yY5^|kvXl&9{Rr|kP=&hJuNhte2%(bvCfn-?Y3Ft2{i?-jA; z_0CHMXBAh!?mrcAbl1EkX95<iOPA(p?LL{td@y};<D;po)_E>mw|krL^@F<qX4^$Y zuPV+g2`*jiRI5^8@}W+Jag*tMu4!x=t*bl=Ja45G+<3KLc+GB;qG=M2{GoB&I*p>M z%(NVuo>qBm4B^++x#leG{pG^JywKS{CM;UMZHib`=FHxEQO_3iPunT6ELF}d<MYfT zRl44ZbNeo`T+5O?D5bkNVr{BeSmw;$sh=tWE1JET+)FwhY~Tx&xunR=UV7pfqioTJ z`1Y7LmlgS|m)hnC{+xY5kIm~s=&cv58BD>xGZJQT2K$&NavS^HIOZc<W^g({uPcu& z>tXDJZ__<;zZe`Ty>Qtf_KQ&f|6X2`&V6jN4vC2B+9}OBAjhnFLxbOu^^|_nn@f&$ z_GQcEgx*|m?s{95kvLoKR>U&x?)&qfOKKdfVO|=Mus}>qYDKT>r7yoyV<(EsrQKU( zE)sWsbL6dGAG1C8aGIT5V&N(`&1}-rpC3JHpWX|fu;Gl^)VkS5ixf{eUx?4@I?%s7 z%xiMygYCiEUnV5&l#7bE<+o;)QBKzD6Mehy=O|btm<7m~NbAT<GLqP_eV+?Ir`T)7 zqe`}0Hu#(hkrX>sdm|@dZJt?xjH$GaO!o2n7vl^*xKIAU`pop})a-Nn(h^G_7;VwF zte7OGA};TG@^E^fSJJ{6HC*1Wop*lFFFwz8`$y>8uZvQWdo(O1^u6@dx+k@3E}C-0 zB+x6-&Gk|I&u8Ym*Fv(^Xl&$*(oA(+Y@z41W}WNFV~K%98&(BfWj%RV@#54Yj)7he z9cI<HFVOjT-g={JsnwL||BvsftDHTQ>{<LV;F68age@xXyra0jx19OmTjgu;$!xDi zrdgintmfI1o;_+?vaxs1f}i)RrkXTqPfB~ZX^G_yl}x>DUbEUmn~v=_+!nNgr91o( z&&iDBolAB`sAbAc^NJGkR?%%e+H}nR_pX3T9AX@jTPoSaJ>9x~PD)Fjx5RRWcBbAl zk0_zds=BR_PH8gpX1;BjST9(%eo5pF<;)y&)l9uI&sptrCrvxV=lFPj|6R3Ames93 zyKOE@t12HA^W3~K@={EWkjcN+8@z`cZR#ehoNBmPBWTTZrP~qenR?f}qJ*+lbX#vX z9kb`Lj<(2~boGmm=Vyc1OF<I48Go1`39Ftx$m03g!0%F!gtXkepU1a8=QN88)pnWP zTDmADd96legszw88lj~kVQu^`>%D6#Yge4yG3`LjNso;_mvnr%{SE&;KQi6Jj{UOg z{O+TZ^gCTAO*_Qo_}F|lyQh?Ex##AKzL#Q3rfyN$#UUkMp3YJEEdJU&txPey*4^{| zTYik3bp9aw$>)#uE~%{0&h*?faf?VCx72@u=f<AJAN(%a^oX1~-Tqu~(zHVbj*p!` zzA1ai_n80aUKQn|37(rTIA6-)nP9^HSM{u`z31l(;g=@8P|no+B38B2J|%uFuk_Ce zcbEHJ(U~)?#_XKutY+>>&mJvWQn^DV(=%q;7LmTIZN_i;Z$`5y%WqomJ*zc(QrhEf zODuONXX?p$%xZ6+H0_9}r+4D&1t0ah@~4@Yg>tlhUfy+h(!``?1$rOfclA#<kp_id zou(~6yQ*%dvs2n68)JV~<@v#EoOhe+(`%+Iv6N8G)H~)GB{ccfA$Gry%;my8e=a}K zZ#nZ}{iOZVOtx*FXu>~VrMI`Dbm7fLeY@%op@Y_nYUl3;Ty!&3+#~#8@uS~Sj++c4 zdrmC#4=gean_}~Y`+ED)<!cKc26kj~+Hj>`e{?x4UvpFI#Yt(&K|6j&)ZBWd`ZMOs z6chfts=A%$gW`gIC}h+~eh9n7G0#)#sIX`9^2UM~yQjTVOw49^&1x5%H0_AsLy0}y zK4Pz0w|_4?nV#77Wb?+rPnI_{Gxgqi&T8L1Y1$EAPw$6rKdx;3v(|h1hdJpV{nh4+ zDt+Ghamf<Zi@sg6ox&X-U%u_Wkm=`_Z;qF8_DnYsf3MPe_IJ~>4d*@vUE+vqY3Es| zS`cm&bZ5E=_jT2?$9O%HXGeGz7kXcsv_m=N*!)HFxZUhrZ6}zp_p6*eEbQt1XyuZn zAABq}*w^|9%<A+Py{vNfScqq_f$Jq3pGjL()VQQ(YZ>@U^!$0bxIJZwgnFjfF|S#@ zhLfHpY+15XLN(K~XUY~4Hjb#SuWRQ`a=YMt=>~&Vrr0l!S-txvJzFq;$<7z@`xK7o z+w%yiHXU^GiCaATP%yLo%JvRJT^><Y>BBB34;BO#75eztb?Ppfa_Ct>`W9iG|I(_x zYx57uPRZufnckD5t&^E^Sv@o7x_TzxHIG@{S0_D7oVrAHW6-6b2*r$=rYN;cmg3e! zKWAURIcZ{2T}9Z2pKG={T*}dzVzMoB%B5mM=Swzcrfd;O<CKcOe`cadlctm7AJKE6 zCp`@PFX^0_ZX(UCa`vE;XYodlOEx;wwx~?=h~n~Y_4%!ST=L+F^L?i$r9GUq<mL{I z%p7CoOucj7QC#V)z3~UFbu(F(xA^?NeN1-J#79e(L|Q0jnmyx)ZOfPL_qOA|t)kod zw&~dOTXQG5ZScONQRY3X)pk-^^7<u~H?%VKzIo4TXPz|eh@<0U@n3x&QU?PUF8Zta zvnyqZg<Ra98#UJ}B0k-;P|4K$<{8EHoTWGa-ZD?A*|n-?j~@6?kpDTm>h57@llKvi zS*7)FZT%o|cK7KN!)3iM7d?5nQNpmZJ+ZUAyL!<PxBUg@KZ_@*ANnnOFEFp$P<M}z z>b6c{RrY+B&fJGCow)`snyrEloj%DGY0c5U=XkE=_TBgLwTC-DrrGNsE7{;YB_>B$ zm4CL2W@qf8DTn55IHE7i!;#(;uPUMEC34v1<ROQ^O@;A3_YQgo7F`VOdGWCKh1a90 z8cRRe=%_}%lkXNfc%;Dp`}Ef9F49LI6zKg}RM4Su(?ZLwq|jlC%^TsRD&M+-xON|o zJ+S}P2ctWcKaBD{eh3-GKjjrwWk2lFxz^kD<l$h$pDOdZgShmy?%z0N^89E0%b42I z++D@K%f-a!@4paS*7qVqw_fSt;zpsGAB+B6=yUkl8^6aqv8jC5mcQrQ_nQVtpD*$J ztmXNj;8+8vU)rhjv$P7B(tGFhvby;d-a4T>$EZk}z30wBMdk9s3nwDW%|EGpldj~d z_Py#|cvWQXrk~eO+>FV2B67Uv&Y?o(?S+R<$i|o#iJSM_Iqa+K|7hC8<psAx_KK~W zu_&7Jj$u*LZa2Te^Cxugm={U&_uM(?tXy7r_JpmC(I*u-=}IntpR3uAH)-ygdmva@ zy&~<2$obwohq#ruf4p=;c8^h!`1;;CzE79EDl9nJ5*uTZ$S>|=(fL{J%(YW9Klw+b z+}WvjH{z~l<I;<2O{z2JhyPVMbmZGJ!x$Fh<Q*DmM+zcm$Q@2ij^df#ywWg6Z`qN8 z${8|;ryf}%u}(zI&0OH<qZdnV_!ud+btlyDh#%b{p~t;BX-7^^@57=EHf^Vmc1h^* zYbWoR6d`(e>Y-OJ*fwp@u+lUWU)%XmDMI?N>qfn{(}#XZ=t)Z_?U2dod04bjr>*<# z`=lL{Zpa*-dT81UwoMxitTfGL=k`8Sx*>Mhb)#+D=|g=IdeYX(J7nH;JuKR2-FEu$ zycb@j8x(FmNvhj&^6;LFV4>p0zM5xFPKkfD*dX=q{8pDp4!iBz_O|Obs(jG8<ov<t zl5?T`rR0U#YNwJPC#G2O$+=X;-0A*Ou|xW0qV{<Y{^;6m-5Fe6{(&bq%zU4@q<yW6 zvTcs3)XR+@G%h74CxjGl{F&#o<oMAS9{ufs6X&V8xm5*82$qIL{E!GOF+0xNZzVGO zVVi4}Mw?re4o~No8xk@v3k@_bJ$~exa?_Tl!$-aI-^6($zg$gQd-(%zCT@<rzruOr z&Nco^+832CIqtOHqrZ3FL^*a_C0o5+?o~D=-K(6~-!DEUC;onsj@&)B$VnB#sh$r@ zpO&&DdM9sF3_fkUmXqhF(j^yzAKYiZ$Qh_!&~EEK+qA#0EbvRu#A&T^hB0Qlju%+I z5Ig*IQ8v%?c7MZDGmadWSSMol$7)lf2AgYo_t}j1i}_DH+fr^ABa)xIL#L$kU91?J z+w2^k4l~Oa^0`G9^=~~{n7>7{)9&Ijk*fNS;-U7Yv#qYHe6Z^4eQ;I$>H8~^Ih;Hh zJ&%=N$e(t<s6Xwz)BOlLY5(M@haKlfyxjRh^z`$E={oU3wn;yOUfh#dd{ZOtn5Le! z^X{XTI@a#HkA2!0X*TWrq1hID&mZcxFq1z2_=ZeLXK=Y*+mVfx5;Di99a&(pO@!^( z2GdQk_tz)ih$#MRwM$a!X5?-CY5jAzZmbcRZ(LX-#&*Q3Ki4to=Z#zD)6O4#5MgIF z|Ckp0?7-xoH|EUhyqF_$_fbm!`PGGdr_--R*qLoV_G#l<uQ~V97go#6?v*Rd;j22f zaU-K;-&yDP7G`Fbk8NCf;rsQcu7%0F?tN$7^Kb31@IW#C^}S;Mm;Ifs!F}*_{B&~> zt?v5Y|6G@}KiK&(;9u{b<$+?-=X=~ARkyL|xm0nS3lCdt<<E3{kuQt;VqX#U#lB*F zF0*rF`phgXL~@G?4Q@So=&(hz^Yz7LBJbR`aUI=xqUDB0ss1gO0{dGo9~Ew;JWSkj z^4Q{x$<4<vs)_qA@|An%GJDbv*<7!OVJWL4ETm^nn0nxBhVa4O4B?|oGbXoYUsRJ0 zU+l}b&SiE?OrKfh4vAc^r0Ol2t+Er>CO!5^aVlfFy~vj(da<v_?nS<0W$v?c-gKH- z-jL2M+Ngi)Nn(0Rtd)iA%fdpfOOF%lm#B9NPn;*x7cQ0~#UHPwvcY0nf5OG}^?_g0 zFYaSWJ7z3&rXzjH47u|eiBUF-dslw!;ahgZc-IV>^Dh$1Y?6hdA4vw^kXg;DDR#_j z!r8vrk0d$Gju{8#bfj<DU^nmLv0W8z?AebcV|6;yzid#OXV`i5V~^&VuB%OAVn@6t zoL!>*SdugBh;fikfBKdUI`b|byHw%EzWA|ZY)?n}7Xz(%hMf~X_Gt3-UTrdacHC>i z*<FVpOLDdyH4f_OOy6Q)Ht*uG9Tjfuj~`3M`hYYThSgfn5I_AqDNkp9yXD3jPoJ)* z-ig=NpMEdGn{z+=kGzuI@7bTSEf1eLq%OPZV_dsT$={`HJ9jMae)@1JpXx`a_MnP? z=BJtj{{^2>k8=AEaa!YDSln%G1Mc-(KIX(+J63G)X}Z<1W5T-Li5uEJ#wUNfXw($9 zUuXYe|CF3ZS4Eos|N7LlOyE!WjOV63{}+8~QuF<H`c!cJ;&VO!xld=#3i=Qq6?egC z+ttfP+a6vpVsGDa=4hSn=MO%oCsnLV)zrCS@GtqfZIs&v-^)$^^li$sl!J7x8f|-b z(MWpsmNN&_bc;8-p0>%kYP4<d6{BsduNeG0ynkiXv1`9(d3~;zt%#DIm^5$N#-|ge z-Q2M*l`k)AX7}x=XAf6SQ~l_1I>=&8;-BT+&$skE*fT9K=86&b^esKTtx?YsCQjRF zu};$M2fw_3Mx;>lmds@ZLBjq2)jn;RCOGFJPjqYi#VqBZIaiIipKm#HtWvjlgTL{& zPw|m)SB`l<nlWu@LFC~d>vh*>alPLk^SIAU>8Pph=7QMMId?7?m8oUU?2e9_b|jbg z@&1c@R;RM;-qMq_cUt6)1*v9cSu>me&N}vV|D!u=dXIm57d7or81Livzi%u{WntHf zHxY<rs@^K7xh;EUt87$S^73hx7Asat{5yQ9^j3vjS$3pQwMozP_baNFo{SIO=-;06 z=DH#~d&!BTj<TN$JlZE&tZ~+q2^8=7wDp6kuJVg@a@K#%ZNFVG;=X>R_woLp8<(5S zYu3J1q50+7k;mD$_C=*Fo<Gg<#rjk|wv3tWjZxEF%y}PsKU9ozGYHx1@KC3*eU{rJ z?}v%ETy%M^8%ZzTa^_%$Zn2@;=}9}*pH1iDH|fzYm(P?u82q*7a3qub7D3IttSaI7 zclRzENw43UX;rZ}Rc}{@R-1mNefHy}9|8|o{Ons%erC^Kzn2r5_gX!9)WTP3vCcVX z?E+^_nb7IC^5?3*%GguL_i94xWUC|BtgN0qTE|yevBFu?ChYmW`=WOO-5+!RXSYgu zyp+$fVyUxU+=~hAzpbVmv6c0D*d_Hb^sjE|%)jPSa;~^;E^uy_iMgyO9$tFlFr%zj zQYYWi4H0vz>tEW&%J#0`^ZfOM-knxY68iXd&RFX#m-aG1DEVrKe*f|p6IxGNr6f<{ zvz)QQSx@c7g!YeCQ;t~3dL=HC`uOxqo@~<UOxYysrKh$(JJeC?BD<+DuwCX(nDV|C zcNd&vi~oEt{JikLN#!&3q>ft2ZrTvmp0nnnBKzvn6GydVKWzwVpA@msSyShK>Q8NH z%cG@_S<clYnh4DKyXeouilvH;*@ApcuLb$K{tEJ43s#ab%X71kxzkm!V~6a+4+ZLn zCLX!V;m-B_%v8U^b8}dHAJnxpKX7bmew5g9_|RgG_TJ-yeC+;8GJ1aQ7B+8s3RE`g zADVb5u6Sv*MZVu5uLS>==7jQ==A`hJ!-u|cwD;x;^08Yh$>?o!v$!!w?xDw{gcjvi z=VxBq3lGj|nS0<N2m8T^9PCFga<sQ53-U=HSC--PbFqk-(^F7kk-E-+UHmMY`1CVu z{L|02sjQp9s~NNQkDYt!gU|j<$%}kh7HjWce`%J`43WdG29|Bzx@VJi==5|v++?WR zcKYBK2|aP+q#ZVAdLDWuh4F-QZC)(hkrYxAlw&qa_^_*id0Tf<9MAODpN27JVn+%r zBP0$#P0HYz-kxX}<H^(iFzNQ<7i^mhjI1=xigiIPFsZ|?8+F=FANnMrC#{{dLuO6S z!=&!#5_<frK}|N1!&48<dcn5I(9}xP?DX2+he{FRhg~<?wVgiH25Pb;?~us>wZJUf zP9L83!mHH4?ADW{m@S=eCocB&^yx5LYVfi9eR%D1+5ZiRzUy|aEcOnr{(oPm&HUej zSJhkr(=+`IefV>Ea{mNgl|Q|~^zMvxPd6{;+`RF2%gqyshs@ke{soHG#GIDN{rcxT zi<#+Zt%Jv&y$}1_xI)b!N>#WgXo=%S$AwW5>jQGv1h3$`rWGQ-T69&rDVJ&IMlRD< z!=_oQpPCvvEfnerSt0URWL4`!N7KXm@13guW#O_=h$nQ#n$H>`+|8n^dL6m09&~7Z zmDJ$$^+J4DG3T0q6)f7KT1T~7vl2U<wr-4BXce(6AXX=Ah1@i@&}FH!D*yTguV4ul z)jC?ynw8k)wAC<jq1B8f0kJ+IE9BHpXsy1l%QZD&wbRlY%K~n;1ueXFV@<%#V}T2I z-B=l5`zA||H+HLU<-N7Di*g*+_@>W_7P=8DcU{F}!|IJDA#90ejc>CYHmv<v9eSUS zd0GV1-&rA6j{ns(7oMxzS9Z$%K|_N3WB%3q^c$v?U0wS!W|v)KFWaf8#UHIh_lGar zx>Yi4O7JANg3wDE>pW()Uhb`r`Pwe3Yw+*gMS-Thz4bv~-L(#FyI|!~;4xY5s2%Ud z4e`!7G8Y8c*-eieE#&=J;Nv{WVwIw%OyGn=6@SB=Iqqe09IfWv_+i;c{?&E%9zWbq zu$y=)9Xzn?)6QTqVT-_-v8S~Ty0&^1dM=FGq4T^UX~G<4Gx-yXdX0-p*wa%l9<_}8 zQV=IL*+R2hGlrvd->;Zo-v0{UpF6uYC*!hW(^)H*jh^i{W|_78IMws_?DcHUlKe{w zO?g%>7sK0izHs*1xE|p%F*XUBTGAxBuyOesb%Quwj$<nsk4BhoFmS8P>3ZpP_mIib zbKi8l?j96b`Yvfx(02pRmFk9pE0-HA+@r$#s`urqU*}5S{E5mr#M1p`M{n;RZr>RR zj*sVu)rKGP_1)^rx#yBmlk~z)y(2<9R<^Tj|1#lGpIp?Ab!YjHdh2ff;B-32=DHF4 z`7LLT2J3$Q;BtCW#oAQOnBcj`=SEB2{PcDkpWvOVie1%KDG&GY-K<#P%qRD1Lbtos zlZP9xuvS)Du3vxBB)KkQqTrDlrJ3mqM2fFY`8#o)_k!@r^Mt;b1$510%UbAuxqtOP z6_=a8HrQyXZ`gJ52xpk2<xwu>?Hhs~>AsD+d8;S)eD=>R`;VO9oF-*?)JS>zhL~r) zy_r#Ymo0-PPq)m!a#PL6P}_t{L~KsY$G75d7t0CNTypL@UzM@YJL6pT!{Th;ZEI() z-*&xaR~dugnyZRkrB+9_eP75rtv{k+tAX8#iJ#U~S-gshJ8$wN+ADj#?Vrgti4zmb zcV9ZSQb}z?<U*mGpcNw0qFT}EC)gL?Q0*2gJGH1+cGHW5y*j&Y=yl6&JDDUDood*s zoAhq>pXz^HQy=8)zI1d}!>j|LQ+*0tRfA)~PKxPi_IVWgEsU~QACMapu!2udD@1&` z=&E*UF4NA}TvN~5zx8^~9v*o=St0iBto2Wq-;J|Xxv{)K_R`TA=3ghQWjhr*{bbq? zH|5Dstsm+7a?O0u;I-_=`boNPgrCMVy`5CJYEKLAiC)V&)8Dk*KJQuf==OQ9vQux< zRjlQ&6<O%s)Xm$ka8vhw-NuzBE(LqdHi++Ar<mg#CU=PC5Sy=TlJhb(+pcJ-q=(zu zZtPgB$d{MZ(VZ^!=;69Hm5*`GK|dBKw9ZUk+_oVwz*)mAyQ4L7;k0Cx%MavJ7Y3=% zh}&l-d`Wo5ayFLFQ#Aw6guD_<(<qe=p0cYs)9dchkfrYuw*~np^#%DS=B>D#nxS_3 z|GJaUgez7%v*b_Fn4elb^SYJGhgC1nuc`}i{5SjBPwDkH#8xg{yV3M)`h{HIrJ?N) z5-0f1zI{5|inUDkO@>x)GSm61rHA}tQ|#`U)-^WYI<dAg=2GguwM*yxT>JFp{qG+p zsc&!)<D7Ov>1a~qmJJ$LK67n-nQtDqit(Ve>4J)NY&oArtr~9}I1{q(mgj?j9hO>4 zie+c_8F&g$e6T@HNz-M{icXJ07vYIwN`4nywiJX2U(|A$Ba;<VpMUmzgHyQt`gWGb zUnV52k&BX8)NXd{#lq%`QZMEwE&ehgVToK&&jn}h!(T5PGq5c&*s`)@;f%wF9QHY0 z;<)B572VYSEGGW(^%d7wr8Jpp%}m<rwQYmem4~%EC+wV><*>ntS7X}h#@5uJnL0YW zrLOBr*Lhw~FMfHhbmlis8RNvJ$7()>hgx*!Ofh<OpTqCLEGdDQQ06Y}tb~U}8Acx+ zr`bnr^<KI8Y+umw8;h^#zR{ikq|W_u{S^_vD>u((IT^S+Ydp*9X#FXblsv7?V#f8D zS@#dM?3W5_=h*d1z|~xK(}mdfoEkm<%}hlXoTrx8KbWynk;T}gC23b%M8pC`GqJ3W zX4h3hhKI7&FXt2da!Il4o>j`ie!iP8Ry*_knmV87!*6Bl<$rcpem|w`<Dj-<{UlAf z6)D{Or~mF%GJhsivE&M;oOWrKy4T%9!Ryj*yxP8Q>ifr+wiw^{x%$A7S=z{D@5gSN z+Yh#;NfqpWm>z0><<YsdFWF+&rq2#MIO*UDwlJT-wFh6Yh3%g7W_j`Y#;-hrKcD0s z22}|Yma*+)e5$>A?^pY^zZ&~K%{A=%{C3lW6G=UfDq5FEA2aJHJz74=bcga)(feN0 zUccHF67KkB`jf_x@RqArEmp4MSikyXP;B8%|L4=Dln1R@Jv%6NqC@Q4iLbOA{_e6; zyegVlYU)_H$0^n~XT_>t;;oTO;@AC9cl>|neb`^`|L0lSrvF!y2>drYDdlHA_u^Ca zw<Ti#-99+u(|x^T)Bb-mSofz~=<(_L<pv9<uy!%3&Qvql_{Us2?{s~z&96;gwzq~q z-JiQh=l|OWu0Qj|&!4WZt_b^Q-MRef{@{vFsfOHQY}5EsRX^-V*~k$${r|ET!QUcY z#QwYOw*J$7zN%^e%Pubdv%US@)BTfQg#EK_HD9W8Ln35St{MB(|7t&CPBr;{T={2v zYyZ>zxiuR9-#*CwnJ@nSRDE?tyw#NEgG+anU)=s>dAs6L`^gefzl>WGcjkxv75hKg z{<e{6G@pRa>AKagws$wOgt0iWoMu++N^KN~4rg^To5teAC&uoiC&ua|C&uRFsl(9{ zG$a0`#Oya(9bZ>eHVPcP<Is_mp>RaXR3PcB6vxIk-j;~VY))$mnH8_?X$;_s)t20B zu(&D0zWQ<ur(g-EL6>3Ugw~6V6M6$1Cp1rNoX{=UIHCPvV}Q_MriCKELX6Uq(^xm& zGglE%l91sD<l$<$ktxh^Q$m2l@`XId#yT;M$`|35JDN96ZRox^wV~Z|s)NvKtwxcs zwECd0%OA3<W=n0}`tQ`{srA#6znb^3ZF4t})(D%$n-l-3cm5_%m-LJ$J2QF<1h%+X zXzc4Lh&b_g%`5k&X8Vkr)ACQ7if`xrsln}ddw%HO8#$g2_IG>{_`^R@uIs<yrR0b8 zU0-he`0df(T;K6!$B*~QwsQY=d-w~*yH*AL$X`6GX;E#6QBK6~d?j0!|D{XXAMNk@ z67fS{+1AX;y-FrU*;a3jM?bsNOV1{ksvr*+)7DnLz>^yq|Je6@5%|MCQLd|A_T|P8 z*C)zJ|F2te{NVnsFFSs$_ULbqcd456<FtoAmwi_jm$dBSNr}PN`IT&0>ZM*T{4v?1 zztz6)%Z(p}OO7A(SF+X1Qnr<=lL~F+vvQ4$`4c<k=;3;)RL@8D-J6ztnE!vzEA=Sv zbLOfWo=IQSYubAMNB64vZaal;h#hw;Q0VJ@lpr&^)wwXojPLkHOADdn&ywn8X1CWC z=6Js8P4-Uo@7cB}LR{I<Z13B?WaS&8$K5s>_nke|XJICN`|%AKo&MxvL%qJUhi_PH zQ#p2I<5B}Fx9G?Sd1XVh|Gizw$`X>t-3*ob&K~+;VJ3a}(G3}%-sECKmA<owA6RTt zVLQ5U=>>DQ=$khLlnu=szjY=nzmPrdc2T?UtkZc5GwHvNZrnI#)OYsi9Sbvl=A<j@ zntKgn^vsSHRDuRmk4%$TC$g>X@$S(3VljWRBkhAv%Kh`1l>M`Ji+%V>7W@BkSIb#$ z{{MBAf2x&Z@06bZQ&*==&1tqzmYY^NQE11-H7qRVKmT&DdOTR!CUEDPK-Y1pq=ysR zZd9yL<de(j=>9GB=;6FJmBMi6pdE|X$#iAE(#TQUyH1g%+N33Eep|$i^@?VE86C~d zQjZ=@XsfVTqv$Dfae_$SD(&NkbW26dN@Xt#G(DDb+8FMvGv|tc^m3CU2QzsK3*DS; z=3JeiaxT+@>-p8G$&Wj&xMpkXWpEsH<z2XAiK3WZc1N$U)T4y$Z98@>Qj}Y_iu=`r zsZu*CR<g;(t#A}Ne^sG1{p_E8!5krRGp9QA=Svtkmbd+P=@$NB?=#~;y?J6+y-_0f z|J9tgf5iLTIQaj6GIQC*qY*~@|2K20f6Vu>XstK7qUCCSdE-rnpZUHs9+<27eB8S5 zLC&5xzk20geNKB=ZyX@~e}1FYk9yuKNB<`;ko%X<?EC1y(FKuz{x5e`w0`Qocljyz zb4TWbTk=0d&#rQ2+N>nQvRPS1q*zHt?3lYn4o^>k#SHO>1%~#A9ws`rD0j9B_KAqi z)SjEP%9688PN%OxK&P`HK&Q7LA|mbFidN0E=H8QO&CQ-^&E1`8&F!3N&O*j#+C<Xo z9v^38j&`ZPe}Z%0cVTDOPtxn|n@DriUC&wYLwEDRL+@wsn$_LTDfl3}`QTCcS-f)l z63tXTzMsjf`NuffH@N2d3<hh%e>-nFe9%9`)>WTr7V$$lSxz@Za%XS8^v-5`gCcQ* zBL4mPPdxw3o4EXg?n||_37;b$+jkZS{5gKfH1*)b1M3&*u+&SZE?m*KDPqH79kYK* zryecPJM~COHuYnGe5&Un#Z!}<c6a<*{-a+>C^6kj?Ud7p*BabM#RJ8-eFb-JozPWq z!^6!&rb3WUJg?<&v+zTYNBK%Jntwjs4!wUWCEMzH>Z{krbAPTEjDOD3`Jgi3)US2o zi(EdaeV@DP{%ViNe+S+Ndn#8<d;EL#qH8l#*K|H#U%X2)Hu`5)w4>GbXScI1to{0Z zRb6}XKb|Ni|Dr~PgO6qE>()u6Xl@C+Zt`jSnk`x>P5ai?%s%==|I*88CF@G&u>4xV z==eVD-vMFfn=DmR|Es--JQcbysfwjJ=l<1Sze7V?7sM;}7acS>cvogs-Sqb8Rj+Ff zAKu{bLE(FQ=>GTIrBh?dGN-yUoli}VKKj#BjMMHHf1}|<*$>V?^P9de)p{DM)>wW} z;o$9{d!Ji3-QjQLd?y;EAY9SaQhzHsXCdn$ql21}&*Ya|tewPpPUMy0VSTet{-!;- z+-;o4SP%VCj(O~y*u>dr@PFN<TLCi?Diz)s?$Z%bi-_X-yn0rTKUXWK8rz{ix68eq z5}RCe>o%uxUALT>m>8iDAtO>Dq<xs_;I5!qe{QK8*YLGew!C}WoY)lCXt4g)zJ&&k z`yFOnf4SVDYLDM;HjDL_`x(!@-csK1Iltm7XY0+*d(Zj>XFlp*C^R>Fqxt)Oc1F$K zwUzZY92qm8ecN;9#{B<|SHC+gvAn-;*GAp5*3TJjRV-Gti?n~aa46AsOQFj7`Kv<@ z99nsM)pC}8o+*zuHbzw>Eijw6?UVXc<}K^2;^$@_ySS(RRrnX5V%@$@-3t-z>Pg%t z*S4laUCME(n=d};+*0Wu{deu2IQx1>T(09$fAjy%w_uCJpv+t6A7-g7T=w?Tveq@> zuM}O)ZMR&A<<I?+!5v!2`pfsX(j5jxuY%(an&%`}v~sg$Jr3HD>A5nqdTZ;fX+L~# zZ}!b#ytd{25it$(w`&V7PYSwx@7(Xn1)k#d^_5F>rzAXBKE3_+kIAo$3T0z5xMf%W zX+G_&HTP+vSE8c8C1(4@HW!|qzsU3Z^h}rMvwZe#HN4!^{!}iW<&U~Vf6BzU`IBz^ zV9k5>Gx>euMmGP`qM?DWjoyCL(SNdN!S)B0JK4GytP3ulxOJKI$3-?B9WyRZ{~4s) zrs2N+lzOaSYT>@n6NdlKs>MC;F3t#8dvNwA$*3v&Q;Hjw1b&%n!fmU1)~(#L_`}N3 zD={?_O!(ic>UM^=y861FUV8iS+KLI+Wb|6H=S{g?@3JHGr-X}vo0z7Xx6<nEfe*iZ zKPuJ3vwi>iPv73_KU;L=U-IF%{w?3+<?{YBJS&OV@z;CG;)M^tJ-0u(LnyC4DC584 z!*BX4OeJL2c^$g_zifvrNB;lKlhPi}TXJ)UekPxs$E@!3NzWd(Em18rz4NceC99t? zX<6iN{xjm?Zhy{k#B;vY*PY76ba3A``xU>^JU`fP+Y=tZ@vTK<pTjLp4Hj!Bu6UJP zagnB6#a8_(+qk$6PMdH@+<P`_YeMh_fg0QGhB^W{9LGM|&o*}t;CR+1GVhF@qv8(H zpo){rxw#JZOgQ9SYP{rw(H#Mirq_zC`dij>avi)n;n4n?V%Go;J$BL4{~Z=A5QyQ? z+_NN@r8S|*<DsgpmIljnXRiFm#Z68HW=lTYvQXB@aTX4$_&Y~Lq)Aw@_4@fjM@0*< zpdV=|dlv}2;nV!{+l`&I^+Acp!>@Oi)<!745DUqPJ8#R<np@z^wf;F{lhXzJB_FEx z3ES*C`}${|$HT8R{sA2C+C}2d^Ru)*Nc4F4^^ZpYha5=2pQZIdCP+ne0LMIbf5&-q z#S<GJqy{{gSt%;U5y#FtZ>o7>WA2(}rt>FuZ#ZD~%(2n@`-=?+(snL5ApP4pLLov_ zC-!+vE>m#yVXlKFjtgh#X^5pw+4kt@_wXK#T<-4m>m=m3f_b=Gb$N7kga6LI_Gvnc zih;`|4mNKo*L=^-1|FAkc&3=J*WT*dyvTmy6n+0QI<W;Dr&u<7X}|A!b6$D#RI7tB zt>?~9J?S+!VV=|T=SOOm`fYGn_?d5Kz)E4Apci|p^}=4Uc#BxQU*kR1>Y!2UIqPQv zOV33GFZ`Tm6|z#8XY%aU@aF|neGHuZj?R~N*D7ra<eJ+bxLDLGf2yI^+}^`QWj`L6 z`>6`|Oi!KngJr6ZLEyAXX1}15EbS>X`&D*_7)7g|Fv{O!t2HxWz0T)lx0g@i{I*?; zU9N7Ix#9f-8ypN)m^A&!pW(TnUc<*=!4`otzcj_o(xbh)KQ}})pIv&i(&1_MXWykq zD<jq)Ja(zgg6CEChJ{-$=}fe0`Z~#Nqu(W+HB(KbyH(B}%<?SW=yl0v&6F)FVN>*G zPBE#s$;~+PB>VQmO%bU#Z<$P+Zf2=EQGdnsPS4G(UxNZqZ)SA7q#@=#tJQQ;TJnU5 z-#=&h{VZ7~$$#Y5cgEM2JG8|V&T|#m$21($dmFbyS)@F({IKl8J>I*8&v|*SKRB-- z%&Vca<?cfv!Fz&bo>E8sJU4G}zLb+Q-Gu$N%GslKp2@#eJiQ-P?NFW&;J!m*o%_D; z-j8QR^zTuQ5uKbS`><=p#=e5cOB#BfvsxcdN_#vf;`h?Nf}k~ji`*+T#Tv?6gqOw^ zK5U&o_j6>}i*u6qWYebW-SO-&|KDZyPhe`>riJN_FJ~<{A~`2&*CNrTe`3{BjAH8< zuWT&VYOKutlPRj3-g!R!*=*~Ieaka1>p!VpW_17P$C8xjk35`v7d~-#8RhtbRh8Y_ zIQqk1l^mXXD=++9Q?jF=UT1~*vmaBcTv&hHso7%U+j2j!$VYW^Tu4@3{JQhcew?1i zHbt*I%w^m239emrn=%&Wd*+-qF`qJRZSrkzrP=>eCnojiL`huV7ZDTBqm#<MigEVW zD-B5-q#`61v6;24YHUt?+r4}$e^aPMjPs3Kp3WL>Sskr`Z@X(xsxO^fRrC9iOT19p zRI8-G5AR<lIsLxB?pJ#6+Z%OzpO&xsSD9?>WVrL_^q4pQL9L6dC+4EvdD|8~+CHmU z=yu7++o$$jnUZ_%$>yEs&hAjo)ZKA5-_%$)S#-V1X3x$0)@>BF-um;$Vuc*;C5j@( zu1;Pn&t4K}x+&#k*zTV^r)1sgRM8NfH=K2oxU>$Q3^NlD4f#0lXWO;>u)ov#jX2k= zVBrXxHu=<rORH}PMI5~z#d>vr_rA9G=T0RyUHvnu#xiDS|GruM?34U9EZZ7!peXla zv`CG@`yj2VrE=Zn`p>wQ6ht>4|EaOW?Ns5jk2jY({Hbh|W&ixM^xTGHtHiiZn?_IC zoD&wWu(EPlpYWvA$b%({kwG41T@x5SPM)i?(&nb;AFfo#+^_L>Yz%b-d^k8w8b2mB zCb-ReyQiCJwe++Tru)SCHCV16=JI~FY=OWXt?Mg)tT`OTs~8df&LihVL_DW}j{b4Y zGv}YOn&?f-UgT2o_sc`?{tdrWtGvVFGWUL}kNO%Lm^!=r)+@K1CzqXO)ON}}tqY0L zp7N<E&cb2g+FhKLiZ=SOs@msIf4)`_SF?4-bYG`9j`OF}_np-2Xce=M&hw8~un-oC z2%gKw&9eNI?mXRXKXw;oi-|OCPi$5F>=wWgH~svd2gb^?MYRr2>yoO-N?GlsdL!%| z$4{1R;Y=*ne=dDK^<jG>XU^mPjUg;eZ!PDY?mBQyx#`d~r6#9Bj{qGRF%fBZmZJv) z9SaM60&HZ&r>OXGcyXy8Ze4IgaNCAc_Np2z%UN3=^(=_6koLQA=-h^c<nV}s4c0n4 zZU~Fnyy4~ce8bJH`R052rA-Isi`Ly#@?g=A`Y}ObiqH=IOqTB|y^q!{iQJ)_X|}6Q zGmCGR=d5nypcwx7D!QHhlcpVF-<TnC-c>sL;P**xAN($9-1C~%YW_%3$3|FH+TZo$ z!Q8;2j}cRBY=oAo$aMvA@&DNxT)j>G#K)&0)nT&6Mf;{4+SwE``9R9Qd%EAmvzK`O z>D2$Gp8wxa_m9rAdSioKN5B7=KP&sAZQWBp-IJO!e<ta={4h7UaqwqC^p9DtzP!># z=l;YrbDVo6aMV(EQ^CLIIowN~cA7*tet7PZ_Gjx&M+4(S2d5<p@^Nqe_X{)-Wwq&F zaP9t%4FWbjn*wY)Hbw0C-z^{dcjJHF$wK^3li$Qk8XS}mPCJ`_DCg||@SJVDiSx|= z8Lr{B4;OCgmU1eLan_0XXL<XV*OBw?O_CeFiAVWa#4mq7<@497yh6LT;yF9?-YTw= zzPad6Li+}%0-pelJ`S$gD_M>nQ*=x;p1NQ|Vr;;T9daRAx1y{Q)7j0er%$<6cJ=Jp z%(7GOf>yBn7SWo0LS$9zU#_di8u@Y_Xs>QPE-hXvWZKj^$!((pWOd$Wm9q!WOqiCN zcYoILg?%}ha@VqccHgw#BpMm{O@8_k3Gtrz@YwL_-U)H;fyy)VGj;AyN=sb0<Yt5l zi1{qZ^SFcbwU0XbZ%?Q_-*m{V=(H2l?K8Uk+$`EoohdiA*hB@~(A3iqNoGBI$ii`> zVO)R=54ZfBq|}HF8?<z8M97NC_;7IRwY9VID<5(aQa<d&wb+U2cF&jh0zw>YZ2tUe zDh6Hw9B$lPM<pCL8iWPp^azWvKR(R9TtY-lhlh{btgVHW-T81+cVgnf!yh(GIMT6U zg46eab3Z1R2s=&V%Y4Hf7Go9Nt?TG+b-U}V><^9@kz1+7Gxxu~vv1d0<=Nr(B|*a5 zp1nS@Je$=sp`CBz*8O~eHSdnFCyTziGh^NP$E);KwH~)hNuJGTd1IBPboB15j@DSI zq{qqXcBxt(ir>vm1!eDCbSdEy%+FNXwPUTKTv?Wf(0mh>*56r|COJ&sA1vIY{_0e2 zVr{}?KEa$Tid}j2%il2TF10K06~B5YSa#D!$99=D*Qd;SG_frrVx6Mdz16cfExp0M zE?dc}Vnt`f8)kD8!C0FM0{pX0RXW$r`sVX6a`}NZ=jVS~pf}srzGO-$x4i1r<a^#h zTyme|LRRqUd1&qbSQE4`XimTiF+Qyj>CGamnlDZ|l^^pxc!fx<=&DvbuB&eOt*;iW zUh&I+op1OGk;@{hT32#iJtornDq+)-U;Cv3SBN|oUDe9Tb@iA_>#KxGOFps7rfGz1 zdlIlhEKNH^x>#gY^Ug`9<flZe3DBIT5yI^)x~eyo>*_%U&!_&^N&;7~+!fJsEpN?Q z*zUCTV(>z%7pg1&B=&=*K{i*28BI-Ea40)*g40&Rh=o=XN-O_xE?CGlHDQL+Qi*i| zx;+6a%-pm>*cYozwLezmu~0}RY(<XxvH)F~&=qEVnj!4RRj2j`E*H@{nAz%8=(aG* zVs$|7oZuCF=e)J#cj{?}G--29ebnHzb%X1|TM-KbWbX+5p83D!h1SK__Nu$076z>e zTp@N%D@6LW$g1YMlTNwswB?$bu-<9ujpYG1<H8r-l2{xN8SU})!0~;vxu!m7b6UD% zU4U*(@CvhaS|RM=DpUJAri*GFRBiPt3|ttsV?jW!P525vJJzf5&sR(TSuJ?mx@P^W z+m2KJ7Z}I3=N|madVTh$(wV<_l%uWxY<TrsRcObI$jA1HJNNCKcPk=$0pGREmH!>~ zHVWk!1$3Qd%Sv9|Xmw-R(mnET%>tTi*}OJhcgTHX9>C7NWy<$8%4}W+=N&ZFBsHSX zN~~yJ8FlLUlSno%gWC?8&m>l~?qoZ4&4uk%!oF#rENdn<3h9^yY};lSu<e*(z_x3K z0qm!@PC5T`I?t5147^hwO>K;-NLyegC%vNCJ?hkT4u9S$4|X;N?J)@8{?2>lm^X9j zhbZr#vmP}!3hglr=t^eGdbp$UmPO(MKEEu@_~tpr0ZpITyb2FG-0ZvPkSk*vz&^e7 zN<H77HH|`Z3<J6@vt>P;(s-*Pe*xdUOwIXg<)kzYGBYoYNL?TnCbgnhmhDwS>$Fch zbCxv<oiPaLddZfRIIHp2jGP60eA$}wUzSN~9Q0#e8j-s|Y@76oUR}0V3C_ReLu&n9 ze{R_5#-ID<vNJn->4f<=+rL~m#$;QvVS+t>L{rYrh#j{tSy$MvTp{!&c!kJ(5zUAn zD*|#$UVr!<8mekK`}jM)`|Ey%Y0mN9zU*^_)Sk?%v+`ct*(dp0buH^@tD60<tW|sK zm#p2jZre2bV>j0?782kIV(vPamGIEBpfQH!&$qAXOZ`50PE`Ltagkn^@{fM8xuM4s zeg~LtD2U?CnG?j!e*MaUqt2!uHiXW-tMYA?BiCK)Raq^M7Jm|$@|Jn3W?<7@S?1aO z3?2y^+XQ?r3Ur;6N=ls6wn24qo5hT!ih9p7I@)(iO*&G@>-n%{SCK~9ipEyctc2vv zQWh4=*!22VHMT2fO*oQh>hZ8)Q+ULW1vd3JmaM*Vvt;#^*gc`I<m9x!s>Er375Syj zHQ{N0s!vGCeVuxR<dlML83xXhs{&0=rJOc8Ip1hmuV@yQ+0lGe>QPc}TSdfbMb9;t zCx}d2rQM#?AQkaq5u2Ia=i`r3A1qjJ)z)p7^<d$2DV2-Pyg@HCn{K_DRuiq)yYFDT zu*Hhio(azEo+>va=16ucMF(q!G(~bvP1@#^+C0T+t6}&;s~PI)JxP;wqGl*}=P0Um z^DR5EsC(t67m2fU)C^<Af@df`wG02v%GTxVx@%^9rIY_g-XGCvRUOLd*}10m*WH+| zAH5f)!gAN7P(v^4(T24%+HP3fS1jn?<T7hhY38=mmlT_{ty~Htp_}AFS8V8er5YBa zeL6ZnQssqm_ZyjMuH4g)e9K9#e4%A6{c)M|gF^ZEoarmiKb|<nL9H=-lgEc3v72e} zV!AfdU8T>f7|uRW6R8rR&@JMfa`8}7<d%&dBA-ho&xoy7iJyMdsqj-~_R7`gmK&P> zxFWcJ^J%demsNTbsthhRO*;@3sS=^yE%G|`;vu%kEgJ*HWOF7JxfnWM(s?u8<eInY z*@K#%#f4FqZ01bdqH@kFitD+{TGd9~Np2f_b!6&)JuKTG$gOlxH*(1i&2F*06N`Ge zH@$d}RiOO1TjciAn5Y|?nPz34vzpn1s`TD@&uXupH0?;Nr}sm@_5;nlZ!hnxd48=d z@>_jh<n|irnrH4u)|ADu&+iu4*&D7l=SVSg+S;Gz3JUI-<ojIA-DB`jC9aH1hp8q; z=kweLw>B_wykk?J!jKU7WBq%PmIvF~0&FfWNqe}m?Z%F!o@Wo9eNeT^N#os0@8pN` ztlD~izmiz<ekJ4WuvLxiyI&=%eDsi2ZawaG!0NEEX@cpRHM(_^;)Ab=*<W6t#c|Zy zH%shZc1N%FtSd5mLZ{8=Wz@g2G*P+Rs;zhWD+%yU!s}TN9`5=j;khU9xW~iX;|D_L zd^;;4#I-#k<9L49->~9Y*Oo}%sG3>7Xs1BJ>nC0fYkjpDxo3AbmK4V_-QBOl&Y|~F z-b#c?WVse2w|aEI*WIlS>HlkG!nr@j{6ApLt@(-J;5x5{q;l1SM1R8v@)hoejQ<Z< zYcqC5PHkwNS+`)~kK>jv)E}^O@P#sov~S)Y{?)qGA-$|iR@3Oe$L=oAAMR4IoB=(* zCQU!ZFXB~tYWdmLS2j=8AF*@zg)@mf*JcbeJ=##eX5LYjKgqG*vM=y&Sembz@NiE2 z+`0vu{~JyD+*;B4YEOj6#(=rowHUh`TV1%W-dr#7fq&1c84dLhrcPyuwhCbqd-hB9 z8}r=1<wtG4WUdR?-~3nPFT=qNUJY~2>h%6F+>RFOtbZVPl;sce_q8k<zrvZss(v@} zwa(i6Z22>Gj&<QoBIa6*-2B~)|1FBUIDZ`9`Ske#{s5WvEIKk@v#;@&e2b6WvRz<( zz-DzWO|h(R6{-$}YlIRyMVS9J@{0(6;NP=XXhB3EXGFxi>ucmSWPZGvULW=K?zCGz zyNYvbolC8zuRDA7+BWa&brbWBEnk1*fa->}5@Tig{l7e~&S&fRANDCG+I!#1tqXUq z)of(^pI{=uV<H`)ZX~U<=U4UOgU1%{|KGi8J{!-@oN3lsn|I3mZl9_)H*@~F!|m(; zt$KBycbnKYjT0v3AHrYMeVra^KY8xgt3O{IOXzyP?^k%Jz4=cq&wZiMvp1g&T9v== z*Y(i-9UH=g>SS|v&x%>Lt}&Ns=3>e9`+jX+B|p_8ciYO9yLPTywsz~vS-Be}pYLZq zetP}CJ+F>8EsxoMRKDl&v2gnpzvg9}5(}>QcNH{Md|+8g@I<@cUAe)mQD^R5ez5TM zrMKCe&;E?rHZknE(bt<X?XP71w;r9GFq_r)XHM`kv%3e%_N@IEef;B%7iX64&+!&N z)$1Prch4(zuJl~*WV_2p;xi6$^|i;dT1~xlb>A+-M)txSmhX>m+)&c(JA3HvgGk8@ zsjoJjIoVa=o}XZPkY{`IS}_BYXO+BnFQq8ozj0}j_w{ruu~mPbg@fj<a~^xQ=CJQy zHGQ?TYvC#1-(71zrlv2He!6MKs)w?le`d+mdwuw`s^F@m@z2%sL;nVBGYc)xS^4hD zjJ0XaZ<c6qA3UPLeUxRb>hyK%l6fZ{_@TjlaEAu>(TLKA-pXzpJ|thUU2J*#)||83 z3=U^(UAQ#1)Yy9RjKq+Ff;AGij)=+r6uq6wRwEg<e(`_DD;#Y9nQ!a0Z)NfO=O)DI zcT(wSX5^LvH?iC~Q(f7g*C{{i2wgtKoBOfK*<%%+#RiU-Vtl5U@K09J?bQ75b|vV= zPydGpSDD7o&Ck}I=TQ2xW|!IdWj%t2IHL=uTGijY7H=WTz3sq}pt3UYD#wF2!wkQ0 z_*s{(&5274F7&mUe&Ff-6`Th}c^B?jr6{&8v!nO5)T0M&Z96O$D9V-nQ8PGxb?c{F z>wTwpZ3*VT$>z*|v(1_RCYuX??wh}7`&a$j!Swp}tnAM-bBZ;+fBVcx*v}c9^Z%@T zNbTmP**D|d0&cWDGY7Q~0upy`UeDUOV75kJiKyx}7jaeT`A46~)(EMBH+QlJdOg~! zvGjwV2LJ8JoNBWUL<cHWD0_*lcRhJXIdD^<_Y|2sVyfchE+-Gi26{c3r?IpkUW5O3 z2$x#7uA58egM}J_cSKaV`&~~S6Amo;5HcmkMp%{qysKuX_@XI?ycgP-^>L|LH-25@ zVh}P#qpfpN>%&DU$t@a|5}ICmZk?0bFD{yLL?X~Du_Hi^{W#0y$p<U~l_d1Na&9Vk ziK%r>>h)aoBw>@r&KXKxa=$u)gkoJZTh}>N#>j~K<T2fK>0Gc|Bk+rWDz|Ox726n* zDJoTUvSC3lK9(jhrEd-`&CNEh`m45K($gfX>wRmxFYP?0b35_7SwPeI|7HeWT_%lB z_j9hXRGo96_}|uW-SqA{<>eKbPjVh-KQW7w-q~#K_U_TziPaV9PjYUUeiGSMo4X>e zNp#t()T{Q#rr31bUpt|BOmb)IN4LD>ris=wGM?zEN$zYv={E03hO&R+s+ComVY60E z_pQ6^b8_>{f?X4X&lnVO8~5HhrlVYLaQj3ok697_Uf-+9iJ=9pDoN6-eQz9;5-!_# zt;KeY@k5nqGYhz+d6K1E<DFBky^{8wkuaGvIL9oJJKE>Qu`uDX4QE?o&lo52U-q%+ ze5p3)5RdcRs2AxSXQss(`_4$1#u<FZERnm|=f<%Z;WC4(EwN|J6ZyG)EIM1&<{VOT zo_p&>e#e<<b=|%*5;{49bxae@HqP46TirIXSy%e~EQ9Drvp2NgR-1D~SJ?02v+KXC zN(~MK=xzJ6C(&cJuIu{Q21iYWw-sD%$&E2gWS{PP<EW}|TDQBf-=ikZ<pp;Fmg})e zy_%xi_+9!^+UbYPYCeS*T6ATM5~bUHZyXd9E-Sp!Vk=|(Q03dq0xor)(pQNS7W(aF z@sqmrjl*xI!O=|NZ3V|#a_5*PvOo8^akNtS+lPxSlYgWf(yTGNk}1^8TRO{U!D)L* z1IKXTWiQeWiS3%bp;u1r&4cEJH$27n`fR*ubiQTsi{wL^U;e7s&Ru43EnxXdmS2*W z`~<(4Cw7UqB`w#nF-Vl=_q}mYS-9-ujTT!Q!-p#KW)*Oq-^UkYEmn|ib-!ocLF@WE z3ir-<UpHO6e#6c6XP?cw`2O{QuhDwjOv0|G6ziP*7hk{r)$PPdt6yK~iHm2=s}}$A zXzrFYo<CLvf43gEHS4l$^rfvle7}D6uM%kZGq1w9jU(_+_0qFf|MZ0!w{d+9YRWxp zC#ROOG33(2c=mS_jrOkGwb5>N_M?oJj;zyPjX%9EI5=ywPWR1kFJ-j9Xe^u+wQ1(H z9OHgd*Avd(+f2`YEj@H&QhrY2*1(KyEZ3wp4zex0p*ZV+pRn4E)I%b>eQz9+6W+G* zdW)=%X`*<s?~TJY!hT8nIG1lY5U^ZCns?$&y}X5-!a7EYT}Rb&5<59>&qzMRH*MyI z?x$*R5?eXdH(qK9j!0b*%srb2q;@N(@EXI!uH%Q69ue5K;kP{Rjbn1cWgD)y#J(|3 z<p1q!(aEef=g`6WKjl4p(`U$p?pp9Oe)IWr*7vG68(Z)Go${k&zH2CBlvil!YOf1- z49_h6ZRG9D{P^9ScgIdhPnf{YDarCF&WX?SM783Rz0QX?B_|yBO7c$$n`-o^CO+lw z3%B#X>jVqWiL3XWKA~f~+)kQ%Q&L#rZ?1E>VTIORTTVABy)E3}@mogg5@UOJ$%%<d zvV~g|v>9h}-AX+1j7fUqvIEC*bT@F`{uh0f{lu|vri;&Q6kqL@Y`pG@`Lgv7x0qaC z&g^;mF#qO*Tp52t-II%*lbwH0PJ57*cD1{H_x1SGEMGEn&ChqYoGn&LoNjuR^L5?f z73=oyHI&_ZeP4uWLg?Q5ls37eGZNhmmwgWDIqr3IM%x;RVq?+dyd_CW+fFQ7dgQ{Z z^JiE095y(*gD1S_`0K8Zk_%=u2zD8I#~z)*8vXUQ`Q2sy&!gj1-9)R}FSg!G?Rj&% zx_qCT*|uM$Em{9ppKB<*x_(6}lia(*)9lyJ`ciT_ZC~Zatg@?TPhUQE_Uh$hc~keX zUOY2ZeD$*RzI$iOzpi?}a_?6E=l0$?tL8mE<;W+!`u^>ee6Ma_{1gydd{}s|w3VFi z`g>>EUYXmhaw<-Iy*mEnDlTpNw`*U%ww4dwFB&2HaY1;(+@K$?`Wm--b;{K$>DKM^ zd0AbOJ>~V%|8J@eemMLpC;aG^tM*5-p8nW&tZ&<{duKHhL+$#fUJo_OT%!>7%scks z&kdqKc}n(`UpB8T-MDz~){Pq%9_hK|$R{6SewW>m*Vni7tL$F+{FKOjO;a1b#6Ok# zmRHxZ^y>Q<+m6h<nk7pYm(QJfaQf-EB+vdwXIM767wUCai9Fx9Vb}8)pFQS(y5E<T zlc#66Vwd_FBfe(Q$3`xOa?bH<qC}Rd$ttzoypZ^#JM{E+zlfwY4zhJs2bbxYv%V_y zUSPMKRjR;!f#Q8mlSkE!$Nnf>XpxWKp!Md{u2|E}0Xq`sA6Ua*C${2rY-80O;T7j= z8`=ISU6@ekbW-UTx5+c!Mzu4W-fuY0V14Dxqjc^oiG8(9^99X#Br*?AFwd1RJk@5n zICqA@v8I>dLI3YG@qPYp6aVYW@r(N_jxFPx&wb^oabs1Cz>4#gjcheq7bX-l^;&3O zm|@K1Yw@=yG;w-mS*!1A+tm37w%nS`RBEXh(Epg}>rM3w4YEwUH#IMG#4`2X)V<JR zTh?m(?3Sq6+Hc9fS(aUWx7GiF@$o!!#?84BMyJ~ZjdLZ6Z?|#A+kGyt{@cq_v*?qs z<L`K(*29dEJ7lJ`9#@R~Av4YOfM8^c%v9GS>YF+&^}1Vx^+R<NgC^FAE}S`8Kh#%T z`$y-twrO9ojpo}-wtm#P?MugH{m`>s+7`9xyO-`f>0@}F;}hrdee3qV?MyqjFXXPm zEqTxXi{d)p&P)qh$oJb={QZ)&Cx7*s$|N)rr!xwDd!e5=zfQ>P@BRS0%{}5PBKLA% z(YeK%b?p_Csdq`^tf@O4lKVJK5<k?h%wv4pUsBKd{GV~?`#a&Cr>d^{Y<;~WT>FXQ zyK7u4pFfXM<o)x-=c>+TttAULt}?N|##hxLpT&OlbM4y&Z2PK~WtA_{TCs7D|M|J; ztDfzNjIFyo=l1!Kvz40}WAhgmzn;0uG%?gCd;YF(&vqT(@zdvOpVKk(vMmM9noc*b z%``n%`n5p#(AM--_ol4h^tM;_t*@@^)A!p=zP`J>yE%Vq$d_C_{iXX>`lbK6|7+K^ zRbMlodY8=K7h-%O(d(_k^p#tiZI)f-`&9XT=_=F6U-#F&+#mTazSganFFfRV(a)Ky zT6aXUZ{I#MX7;<!LC<FR-P<Yjd)F_!(3n|&&P|HZ*}$hgf90!<(Z8pZFSg>J6MT1O zxOV%_tFpygy>@@8oVn#o<(73Tm18fNPrWsJ=6u`q?ZvYyzyIvXT3vtV%je$uNvmew zbdtI{<6d{j*%Qx}M(>O>es3SV)kDj=*zWR%*_Ha)x6V%5d*{{cnICG7m3%SvzkQg0 z`;6qes&!dx7j8Z8-1Q}Uq4>P@ujDT4e=Di|`JhMVjEJvT+B7w8<G{(S=M5uSWTv)C z@}~$E?)bIaEcfO2EH9Z&GY)HZ@2tr)?AO<M_Ic@1CcQ|VC!3BctqZAVHv79R{d)Pj zUnXH?rOWJtxALs#%BVN`tC#wGdgG=1ZML8DtNwDEu6ylmr&e&w`2V`=`@@)5?-NK# zT5cEowe-rC&#!OsWM!=}|Nr)K#Q%)<%YOAOySC5wZLiPDc|BXc*PfVT==;6xIqRDZ z-+r#*TPQvKhGJ3sT%~f$-*b-GmUVx$t9Ft5urb7E`c$EB5sHcPp9=9ss6CuuD%5vF z>EVpELVh>G?(PlQ@KQ_a(wX;HryN#4A6}|28JhI5cvf-5607O0t3F38u$upK759wq zbAni>*G9dy`Idd^dBZX$yUQUbOx8*W2Ahf+y9RSkp1=Cl2CG}IX5GH5>v-AUf1TXb zRW7N2zxp+n&In0}RTGQo-_E_}d^D?BLP{gA<R71_Gon(h=jG(4czpHRym;+S)3g4c zmCye9aC_D9FCTyP{W|{o_m!>FB%*BlUp(VG?DEbi=84?;?mN$UC$jelRGwJys<rBR zv-zGXkGEA%+HAwO^1ZCPp%(gncBy^ZdyXrqn;dMHaa>7X<-oiwG+(~V*2DSjrK&d@ z7oI<E#&aY4@C5%HiH+ym4Cmi;^^*AR^!<C=EIDo4tKUL8wd*h1R)tOQyeHdjS(9!! z{r&$%uS)!Wmc;*AziNZXOy}=^?9QLz`u6?HFKy9l$Is}VJ@n7f{Lb>di_8Be`%n9L zkiEIuJvw;liR1r_&daDpoH=txH|<t>Tj;4jokwN9MV@(jCB7_l`@@A_&R5K^e82nr z(+P*?WL=57e<kerlJw#iifYdSZS$W8G9UiDVj^ed7lX&2UkDz1dU0{j*~RyIPA>jG z{bJ=8iN*8YW_fD9`W+DEoA-B{*1N*ZcQikyeO;(oB=IvRTx@qh=T))dh*U#k=M6Jv z%0-+x!>c3qq4B8Gv`C$#wxdbYB6Jc9_hsoRe@c95?IKnjkzqKURqXSOe8c&bV%#&b zH%_n=>z<LjaYm$=_l%kAXC0URX|VptbFt!x@3(D=BeM<rO~pR{ypY7WT32k-sU$&d zU9ruFk~mL)&b{*dYmMn2A^9i#UuCC7o=IJK)aKsy8RwI_S#>r=oN(%P)!7hnrlwo< z%;|952WxAtHVGAfZf9FoziGzlobJjqn`WH%>1I8%A>xEkx2w#?h%<@Zsxqg-byH&Z zWhHht|8#b4XBI2InQz!%`_tQH%~^Xk!|YEQ4-d;!o#2t%S#0}cgL(PMFVDNTN1aLR zJ{n^deI|MG(HgTTorLD2PS>J!9_e-;<=Gr@=t9la7`t=rGvo3*j>@n_pGlo?)P^nU zOnSpnreD!IOXeR{syn%LO1sbc^7~1g-#?jOI&<dJa^t=Cw*FbT?E3GUNqY8?XCAwE z|NN7%vEjY0*r&NimEJ|@Jll3uDDUa&XP*Q2Wi7kDd#3%BFIDC`&x-CQ$(8Fq`#i6- zx8bE2cjfgY!OJ>g##fU#gFo>aC4}3Z`BF7mH!ba-Sn<ph!~RaO&y}~67!T`;868X# ze5@;Gd@hMI`Ew}u&6)hhd+$kfTlQ?5aayCha?i~DE=T%ox^B*M=e%9CV&9PyHboK> zcc<)q`L)k(ZaUxbdFNzbeRBJklQwUjyPf^Nddrk!d;aWn;(Hc&WTA}_v%j3GOy#a@ z*Gm^dBCGzavtG7#>n8sKg?^cD&nKSVXY=XCRChb;&l(Bta;-V17k8|!6#0Dc{jSsZ zu4Rcu=B#6TDQ!D@(}Bdt?|0R4FJkgqb4sv%s^z0iO71q(o_Cy|Cd0X7;@%yn+jKre z%x7J8`czXxX{5j=Gj648DUN5_BPVRIVvh2XsV_Jm{Gi~`%U7G0=Xb@N5NtnRbmZx0 znU6n|+-vronb@#?lX$u1Ojfz&n+`lL5o4KivT4HKNP$8<?j*ajO)a1O|6h&UyUQWj z`1|V3SFU|f5ns(bFXPF*_q*o3I@Z?LJ}+l&cK-8s?{}Ha;aOe3W!=u58&}%?HMX-q zeW+*VCIjZ>I%i&JavQgtQhG8?M~Q8ZLf4E`{gT>w!K#<+FCM(^fBM+dNl7+(+Q-s2 zCozR-sHH4TQVP>lODp|;*RS`bq}cuoTPtTZO?%c}ZGUO|+I4%}cfDv`cRse)=w`)| zl^cu7&q`LCZ{F~G`<0$|fA;=my?Z<H<@-ca+qjpO?Irt7=Ks5R<x;S(|I`_`_8ML} zDzohG`gL<#^TLw)cxBaYUPztCd)De!n;mzK#D)uPirqO98*j83dgsj8aAnof)4Rn! z&&V@0Zr&7e;I|m}%*2fyGsTQo*LJI(Ik&OoclOMqGIl3I*X_~$aXLQY!ovBoFE8D1 zlVi?#VSJ&Du{lS==ti5MbB=`Zl{U`9Yod1PY@czQtNZ7gEfEK_x?A_;ZS2^6_xG|` zooDlo3cX98^m@tm)ki+~_@27(WkvnPuV-u<RFD1DpKaA6zTz}XW7Qtj0OOyc83$Y% z+s=q)95HM>CL^A4h;#R$w5kl}enGP@l9`7a%yT7-PPGXx&Xq7e)|R$wUi-`Dl1puT z%Rhb9vG<zKedXZ_huD20D~^XVech>Xp<z9%RFUTb!Rf40#jXoD!{2i4p0jP?aY^Z4 zbG9ZPu#|4A$vWKe*{o-0!r_+ln=Vvp)o$CjapB?ZH>;*4cJ{Np+7P!OElWF~znAIj zO_d7`XPJ0!Dg|s76UaDY)~J@VUHeVZ**3ZBxi5+jx1}9TIy`|tHzV!2Sx;p4;g-_M z8FtaDb6;#Qjz9ltbE(4uK6chuMIH;}+F4%}yDVS~-@LbQX4@Y93muY7y)!j0v_#(g zxZo4#6+LTix!t)hHXm<el)r6|vYuDjZe!vZJ888y=bgT<{Bm>FT-_Qj*=H%QBimH( z39mS8)VQlgAz(9;=!)}Pjcsd$GLB?5&U(5ymUH?}voAB!o#*?<ZB97AWNnnPkXKpk zra_{o+_L^-QPNgxPKU4jlffgr;&4IZu047I{pKvMjGY!RDzi#`TIQha#%Yqa#X<Pk z4bifnC)(s*=f2piU!Q!*J$a3+q|u2s!RA~E<0EaH&YLe(Yt@>t-MWw%vu$2#L{gW7 z>@w~vsVg09&v9OP9^BX#Bam?<ovHWdopmjzE2OJ@HY_}U?v1QR;(^;`DJNW{-F!AB z9(rQqBj2l^`{G0BKCh?lk9Rr9=5btky3D~gkMqj&Z4S<LA{j^gnN07li{+f&ZT98I ztv0*epHBMC{+()V^kfaM^1h9Uhqmf`neP?-Elt`g=VaJQ9`78H6^H8@cgcvYI4;=u zOGY%~2xsH5Ia|74Z@SthcRT0BX6xD4MB3hLR93b-cX&(cylo2)&#ZAgty_HkeeSCb z(F^q4S+gdo1{gbVnmn;>bkh;dIMZ`KdTN1WhI7B8nMv`K8m`OJERXc@NM;@GNHp`Q zu;p$pIz1=Vx&7u%t*MEf{H(7wxGm7jW_`8MZ-JdWr%BSA_**7vcjBXtmPV#rKbX9c zxAt7><ynU&JS|H(a>~Xh`q_~`S1(Wd>9=pe(`h&37w~Q0^!bTV=}z5%{`su0io+KO znzKq7AJAKOIz_t5XXC>2KWjE!dS-UKuV=>W*2JBuyjvC?UXT-YYQvGdMm8Doj3bea zYI8R0KQlhk_N;Pa;u-168Ta0v&wa7Mtp4YxyZ-_fJi92c;xtp^EO+MxcCT5bHaIR2 zlxCIM9K3+j`pv4HI$IVV7n1&^vo-OAm$ciOO^Ih->GYgBo4T6!tia6nm$g67wDCRP z{8h)<*pB1M!wC+td|X!^H#pRO<2FfJ?~rV_t^4(-mAtlmsq#BSe#vZ0JR$k}L&xPv ziRqVjsq<gvshb}=W7&m=yL04&+W0naD%A>}{+;EO;oW`HPRss|l5^iY)obDTjXFN3 zp4MwupIZ|1@8`{PmaTlnn-6<P&gz`(5Njv4;<)4QEqcW{8NW`~1YZ8+U%R&Iwbk7X znj1I&{(DdF*#_n6V_xDvoVU%>G}(TnO>LpsmmRsz^YwEi3J$j^UeC!`w%x2}XVT%8 z(|7Y(${nY_4LfUV7JVjt@=>N^5js!ymG*X2i*@hJ-q<p~NGI2My3Vr?rR$2G+NC(X zE1Np|YK8Q!p3Mu7M@awT*^qc3L%OYJQ{s`?W<8Rbhg$@9`YhA^rM>!DT4S2w{LE_` z8H07jjE*J=PSzDOKAFUspU(Am*?fg570UdVf0t@(*3xipZ=M<I$ZGXQWW{N|#;Tm{ z{;!J;w8`bC%=>BdMe<|Rvn5a0Snrv=H)Q56AK?|pue{l+wLK%{Th!F*FUxpq-BJ@% z^V7HR)*eeqOpUZT*=F}XB{6m8rh9F6(wjCI<=>h3lKt}CokD+&-mc!$eL{cc#D!<P za!xE$Zsp6rdEu$7bk&^g3tzJ5N)()IQ@nr6;1Rp@v7Akbht{2GpRQRlGym$H$8*oL zFVy@zGiPIirWp6k{8;6rjYpHhqI42{`<9-+n%8al=4|LX-Mz-%oBUIkF7@BO@U)h6 z)tYS!Gwsbx_SP>G*E>96JEUK~Id_JEe!2Li_BX<-pUEB9c~*QUiE+7(n32Wh{Y!O{ zb{tLm7NwJzrY0D-)3)&6)K`3qnY{N*p1C_D<G^mF-XBKB{;MyvbkF3Oy7D}iblaX( zaqYAuxn;i3F4sh!&V82l@7Gi5KYJaN>qIgRo&TA%wEW2`-m{yt56^fVIpbaXG>h+D ztg`3Qv;*2yx20Z=TE^JUDplmMK=3!qtPO^<51+c1Z!gXEWqaa@-)22GlMc76t(;-^ zIsFr-$NL8_YnV=z7r5^G@zi=p+yce@Den`WpL?6psMd4-{){jC&TZzF-f*Bzkvmsn z^O-hI`IN*|`){jrVy(A()Sp<>pk?yFy|L|&%7q!#OujqjOTIXDrDxAgmXI>P<u@<b z+%oH_xZh@IoRhI@nvGBNfxa51TbEd5&fQyO#A~5+VZvgjUQ5LbEtAUxZP;#KNT0#W z+;-a_sfG8f%#AkA{ItZ>`^D8}jIq%ZZ$6ryc|fhPEk_JmNp(LcJlJN}og=Z~Mw{U2 z9Es13yu#C-C*ERW+c!%)V<#)me|a<Bir58;`5Y!`Ee^tN|M*vP$hH65&05QLb0TAN zu7u%{Hbdv!83sDs+P^1%-!wNNwf<Ayw#brq{(Yyftt_rD+T-A?#$obEw{h0h{#d=u zW?K>uXh^s9Y)d>NA+6SP_V5<gKXd#YthoM6@pq^c`SET4ZIcJH9Gus2n>=cB5Y9`B zc1SHRToikw;r*Kv6SU2Gc4i%J$=|eL)6*ZxW{gvHkIQf3)Hp0;pVA$_EG<J|g`^aV z)W^*Z!tZW9{j@XnaKmjgo}I~uXPh?k`C-R@`gg-mmL<9mcGobS(tU6?hgt7(13!z@ zrkDkS+AOm+7*%i9uAcY(%^|is+ZWC(x?!+ve(kxIe~}S4W%o{Ao6U7OP$Bw~5pRUr zg$cY&y*D*3wD^_@+JxP_kiM0d*=|eX5h>|oYqlmH+69WFx8O*6b4GlB&E|AYlP9u` z$9%*x4vFmMkei+RV)M~9#^_v$jc3~oZ|Ba~pv<lP`}ForoE{535A@`GJZ+M&%)wcW z^U4}0)>#I}^_q@{NY9$NfcLD=g*L&<xe}k}@e1ENe|QUP&18Rvovb?N#P{D}n#N(0 zveF^>8n;QJ+qcTKZ<d|kdOGzQlNry9{KFlrW<8M^hg)3#FRpqT8_9F--}}mE2I|qL zrc9lY>D-=bR`T;eo80N#46(arJQ10PCxn{yMC2Zx!Jj*0!?9IMPsemup4mL(d`<UE z*O^Dp%sP`K*sUYB`9>0_cacu+%xv9f8%)A?e!5|Ds*P`P?u$>$cx}~gT}YqA%Y1KR z;)xV#H=m7(XF{aad^TsjG&+_fmmhg1z3J$gHCK`pAM1!2UQ0@QmuF~Pe15~JPd{V3 zEq%_1tUKd1XT!q7p3=MKY+86cQ~KAOjfn>$rQ7CgPCSw*eQeJ5#6zsBr|G?qJoC7= z`{$nAjSc>~Vns)i1l@JTiq9l*9xq<==jM*1GIr-f*Zn!OX8Xd!Y|^`Owk$lZCjBdC zTjBvR>9(A$3nfqHX1Ene&zg8=)zZ`AVxNCpO0tWO)OoPzsMEe}5l2+JkG|OwamZaV z>}Ekkx?y|l%u`b%JDbf)W~4e#m;bk%)z<9Rh4jh1%x1R@Qo4DSx7{*Go6Re{?f>~2 z-Pp2d)9*Ku4d?gkify=_q&Po9Cu#oCq;JtW4;}iZem_){>NkJp+?}VU&Y#I_R$`Ii zJl)ysi$#v}d}T9}(^}GPXEr7tiIF~bW^>}9n$^?vcI!Obcst2XT1V`|#-mQ(qI4b! zcOQMTIpWYip4B-QbvDd6e8}gim3c<ktqX}$d1J$FUwG^+{cFv}!~;>%ZEH3s9&tA_ znVcl8_GV+&%S|Ve<hpgAZ9bC3=&dWZ=}eN~W?iw(cak`@i`MMP+A!nrcCfSF=e{Vo z+NNiJ>%wDo>0f_x4ma#J<Jp;hxZ}53&(EW6oPUkxe_DFs>8#M={?}rkcP4IZm@US= zGj(IfZL#j1$s1eV8?D*2@~VCCvs3e~HJo|Ab8d80y0NLAb9=E_$<Hfoa?f*L6klm$ zoPX2cNwKtBP2%Ah`nfYcObsob&Mo%YBF%9AV=-=v#Elasi*;M1Zk%yh%-dpe@=N35 zi%ER(&$Ytq()OKM6MOo?Lv86@F<TZMzi#$rXUgG*(`Gz7lfdovpU2ub@26e6p;@vs z<?5Zsn~v73i_l5fbks>N;(EuAGf9T?qjes3^Q_Kcj@vxr@K>Lwr`8;ileVhKah|@{ z?8}cMZFawNBsSb{Q)JJPD7?^S*q$?^;Kr(@r+K?8?`)ZIUbma|&bEjXvfZvV=^JM# zi+SIeoBYyv^XVi$=HjW^_QvVC8CAy8v+kW~lMBy%VQlreU|Qk<3F)?;t%*l0q>uG% zPdwD(BkjNNbl~b|%gi^=czISQt;)E2=DjmXaPDTF*ENRb!OuSZQ_D}+3QtpAcKgCZ zTj^bU@}2u7&A!acJlqgz#v_?=xI^Bkd^b;W-Il~d{$Xch)uK$l&qy(xU#=r&a4JbL zSVzq8YLek)ooOHP`li}nKRwm5HtpRrP#Cg3FAA<pYrAnFbp@|&+szB<J9wGnwkMvD zHtUf{JUnBfnNP*#RXb1Hu6`!>UH94N?xRe<BA;`=%-`6-DAxV+o>lyz1^;h;<$k(% zw)Lg|rrT^|!s9y)y8qa}y7usm{O-D3j}5AQBAM-nU2m=N?cQ>HozmOQ%RSiN=v`8@ z?k+jfrzE?1yN7yB(1hUW#;okSRlkX5w*Pg#_0(bFw;ww^e$Uaq#8}={a^kI$Y~gB; z<9Bo}ahh`#y(--GAYuKHuS#zV)_Ux&iC$v6PxF#pcz4NxsY<d%J3ZWEv@RLOi@$8? zX0`lk8CtL*{?Tfsw;xg`mi=7hQC$<aq}ERR5~Fxm$%&szvL7=Y!<hWUZcGqnHG5Ri z*lQV<Al&zF<7@x+o8MNp9b2|b-P>oa<YR-k{jv2d-o2WJ%gZJlzH`e_?{gM=<(c)e zPc|<o3pjA-mZM^K7Q3s?I$06p-DLrXtWDo{o!)KgpW@c$xwTnF?HXTE<?gZx$DiDC zwDZnlXU$nJE3#>AS-_ENw;T<lv)EO0)>VevEW2(qQ8L=>Dqqo!2<sE6&Tkd^ziw@= zv0Ei8vSD3Wz=`Os%}Up<@pVN8TWdT#JgfZZ^S!gwjTT>ib<2@&br$>1HEU#_Y+O_} z;ryptj*RkG__!j&tTmD{&&IIri8oeDaXUMsW2R|xQrguX&l#VNud}byJYq8S#-Dbj z_a9Em@A|i7&I|7xZN7pVQ8EuUEwwoC`0yi!`CE@NGG=!%JB5j}yR6aT>-f3CLLsp> zaars)v3Uo2!lb*Hedb5VJlM3-;y`k2VZ-@-j~W{EyP2K#Y4COY*khsaZ1bar7Vg%W zX4%^g3C|FGQB&A(c==IAz2{xbHs{3Hf6US3<FJU85hz+^q3|fB(BVwyqlT8ptuw#f z46a}@UL~W=S6~q(^Pp&n#ev5Kg$?HyK5A%aKFX-b-o>o+P5hZ=Lis}eo1rh1%fx=o zkZrX~{4M`4bbbA*U#B91l2Z5=$=v&Mc>lYv=d)a=R_s<!O#60svcYsuTh7lF%heO@ zCRYoI87x&#R1B^b5;I(@o@ki=RYm9FSGhad(*tcSW=dAJeOEb?7~y{?rtO=`na92J zT7K@iJ$XaJR9jB&$cvLVbUd}??EbkxJ(2TtRl#~2x9<;9(%wYfnrzUnYRg$1d1tag zzpE|h=g1qAH#D%?a&k*vn!KUor!8mq%<GdkwCuFq5pI`URbXWN>+DO<8HeA@YuS0` zmFJA(XXds1JoCbHM&$c1DmqX0&TDb?dGA=peC(ToR!R*2BBf*B6|~Zv_!kMe{aKh` zd+kez_x(O0@w#~JV-Nql=N4N$b%xOLEOyH|D`cNs`p<9LY*V+QR&Bm~HaqK|5NnMm z&u=*jmS?jcy|Y?&O2PhTv4uakJnKB;@zeXQLVKO5aGu-A_u2DLCOf<}{>igOR>WXM zS-^=0w;ToUU(u^L^L%Tw(7qLw;Wp>4+Dw!b-oHln$%oLl3e&r{Hrwo5C;Oy$Wm&+1 zr?(sx!?W03W7f*1gk1yaSX&uhbMBhWM9IJFuJRS_h_gQN)a|W8|Lm>JHF;P0xOT)^ zYdrCLyP)HJ)-$6AK4)i4NH$GY>bu_4X&Gs(_VCO5|B>tKR~+54B>7ISy@>ma-M`xF z@4c26b)B*!M*GOa*HMak$^Rmhk0eK|6`22Us}ob)dQqWIZfhrW2yS&sa=XUmS@F65 z=+E+<Vamm6D$=2kt<q$qW3`X0`I^;Q(X&?ck@31Pj{`rV6cvTDT3zm}o;rO-^j0UK zyz3eN<?dgz=#<?2?h04ok9A=kGhMH685-}7QcQ~r*FJLQRaWZ^%h<I7#*0^r3Kee- z>zH}=>WTg5%}xE1+?K~@wa%!F>19iGTlR0O(-|3%_Jdcs3_ov=QcU|6rmd3X(K}E1 zL00dbwwh37rAJA<9W%U5m4w#)dHDXzuh&mImZ^PTxT~#g*|KZLwwdkw{q_F4uh&;q zxU=5>S$aL*&Sb~R^3Ta{WlLpiZ+qXF)_Pbsa>t!1t;cyIf5fa8TbrvZtNT^gH*@8> z(C%%=ejU5lZ@z8mquXmTOi!QQHZ7zkxw~)Ejwsz*(zB&s-MTIHU*#{u`rrMIWnITi zX1l%l8+$$8|4hf1x!dlCJ~c31Tl?yjeDeACtAg&`+rIbejAzr-Z_MRf|6$tk9YL{+ z`r2Ptn}2^-a7+4s%ju?q;KE<mW8X(DTeNcP+JA-H%Ku+IJLT`Ha_3;>`2V|J`yVtj zwGX@hB_i2ay#6nL)!(;VS(Qu5Yj3&TnqRfz)9l5~^DfOf{9yUN#P$1?cMIjex|BFY zFV^k#rQ{yH+GDSb66WYRAA4<-)TEbu?^5ca+gaaY+E$!PUh%4?ZKYnqj#o}`;ju@g zS9MD+v@(wPqkePg`e^@<KJWP5^OmTr{qyT<_<zr-eBz}qKW){s)q8pA`6fN)buWyb ztkOGM6gS=Q{wt%0duByWFTZl8$|r2@dDE|R7{x=xKh1olWEUQLMtqg`kDxVsCY!Am zx?cLS;IVz_-WeIEh3rKm+UIkxIenMub>aF0zj|uCwlluw$~ocAYL-;n*n2Y|LD;P8 zeB{kp8zatdI<6c0>&~^*1G2GgHOo(Tyf2mf+^i>DcO~VPVD6<!6TZ33-uh?i?nSn& z*B(A*dcA4;fvTFIgxYVSHyZx3nmuu7?6rtUNahp0(Q>#-DR$e7OUbMCYPY>KO4zOE zT=v2!X}Ml<*-N9uwK|vYpV2RLna=0_^v~4PPD$2liD`|cGeZ+>)5Ig@Te6xZ<Tdin z3{OygCVpdvCaYQE!g(IklXrcISl~T><u0BX%T7-yFO@Wmoo@L4rP0G$-(|Izm13=Q zu6+uBH#<x`qMe_6&FNW8uM0ioUY@#k#-4l4%to;YV|LvQX<|_cXKl6|Q0tlE-f(I@ zZ^WYXo5?HnYNx$4dcYjprgJ^@2v_W}HCIv(X+<vE?~r#brbtL@|13MNbMepDFZb8k zkhU)_;cU&81G6ME8^6vBN?=|lc4I;&tJ$NwOwz`C52)=~u6}8ae`)5m<-1H?2glC( zx>E1#uaN0!wX06gSO^jVx%Kz1k{Ju1uD@d*`@esesqqh{>}5+?ubuJcUNdusScI{$ z?uN9isD!h3wj4MXqqQNe?3esimtAMBY<}~xxo%11=2w^2M3!b=TeHg~J1lmV>1sXU zbtjGfsyc5rxV$uS`pKW#r-hoIYzy1Q*UY`<^aQ5YAHy0=g)cK@r!8Z>=Htt~X69_M z2xCj#4V=55vQ3WK+;VkuPS}QBUv{oP-4I_QdC6Ztz@<L+7|(^&Lk=L<%EitqojGlP z(fyJo2WCmuG@4$%#Pqsw&w*caG&V4*bLX5`$s}F4>%g%&nj1LNzq-s)U88rFD{Oii z<7)5Cbyt^0?t67<O<bwu=FsVBZ?#T;5m)w}b9w3E<wgHaUGjOry=LY?@d#sG-3@7R zQ3+>{Y&kG%W@e*l@MR`x!_^07oy=@B-5hK?QBS)hGi<{y6YhxESzlM{o&6L#J?-nN z(=%9inPi899GL}k<d&TWta3Cr=<R-L8hf*<=JWj0*PnA6d3S^*IIk1G(IL%h_AIT@ zcgNTHS3xeFm)gH|*O$oUryKf9BsaxP7nClM+#EQab9Tzie@5w@w~K^M?bkjfYgwNf z`KYe3^vBWzyT1H3zFYN0e}f$}SI&V0OwvXx4!H5?Z7_7^$~knwK3MqWr@8Y|``?$o z{M@X^Tz4h)g#WId9ji{y*uBeV$MTa~@}-ukD!!e4E=zaO9dlXz4SeccYfhhJdR@5c zz^^%a8yM5Ma!%}Hk}h0#;8;ymg7CT5k!3g6d-pT%`eM1_bc6FQ9?LbSJCt|zSgtzV zlDx^Mo^k6D)>x|=wdd<~ykj&sq}fI!#6CN9zVc?(8SM>rpSg1mG%-nU+Hk;4M{9#& zH+Rk<p`ROl)}DS|HO+S4g{9}G@8bF4HC<7>RPy6iy=1+YMh`csUEY_ua$3ul{HSH1 zG`d!E179}xn$x?OUVjX1{2Cdbz-%URV?r;hSyFIg@6DJ5;cYKEOMW^`mpfhha<kKP zM(t8bBlqcot4ky|M@{FHKV|fH=}jTw(w850&Dp<6$8g;Ns~-Igdcs_5jz_azd;XS5 z+F;LtHXp4Gg6~Cc%s9zvme@7VW4d|COGBsWcFLuaAC~DkyS+3@+M<_y?1fR{8j$l= z>B%n3{HgujclMKwYjR}wO%BgZef_Dn@#~L;2Y&sDNMNqh+MsAJexqYItJ$--M&BL! z^>tIDrk8)!srI?P^nCR$o{ISCipC|9hQZSfH<!#bh@SQ_IP?CO?l~<XR$nIH_Kut; z5trb*KP=(w;i!bOm!lHSK8{K_`#CD%EOT_iS>fn}v&zv4XN|Sbs|UTl6hB>#x#XpB zz;wp)FSEssBJ7QlI`op;UKu6MP`kYErS@8f%=;xybyBCXrgt_OeRy_<r}s3@)!v(% zt}cx{@aoc<_)^KDFi?8;-du5YY2@xxMt`5CfHM2xP*B25n($}Mw6%xXS+Av5Hhzr= zOsEYLyU`HJYL-&k*!yF{fn#elH*jV@O*h-BcmGA?w;y5C?XH(d7Q{|hG%t}X44!Vd zy<}!V^yJL@Tl~D8Zkg9E0mXW*{sum4t~IC6F}>cn`oOO@dK(z4xpGb%XEjU8Z|wcK z<bc|q_3D>W_v+c2y||RVSdV$yOQV#{ddk~g7^SV&6W;bW{pH+`Uwn57Jq~?t;Aofm z%FE~S(!;xVmCRh{-T%GhrLo_1M&lAmqu}X+n@c2(qo;EgUwN~0&r+-Y?V-<&14|hv zhlqdbd!?kdS}(2ZmC!ORy|-=8R-H?nH)%_ey2;o3t1qqjU6OgtXqQR0WbCZ1J$h%O z+@`1LF81C$?c&nN(=RTqN&UBXn(g$TS!uRnE6$}ae8nWTQZHrWE2U{G^wL(o67uWJ z-@fBzIJegsLF3?Qe8vCwUP%v6=}cw4cBY+c%}jpL2xEDz4QX~E31@3o9GLYppwaa6 zLZ<9z&a7sMOXhh@uiW)z#(MAhn!9)+R-B&Tx~nH*&FLAcyL=*6o!p|l>;LjqB|o0} zPX$$$iBV;zYcGCadi|-Y@oUB61HXDSHZXqYIwkWd?chei#auaOUNFrza67-r+dj7H z%k`z_8DiOZuB4t&h;`$+mU>1YR*h$Bs@0RJ605!23yc1p+H|Ce>2<-T1H0yEZID~e zz2^K(Ch3B02ioRnZxEa>c4Nk8R<nmq^E{@1-1TL~0`K|TOC&#R({omPWt22YFZtfZ z)I%wt0yBMA$&Z-R@jLT(MJ34Y)7+qUUo4_OoAuiBsz%-&feFreVmCUXS<Rl6HTv#Y ztbXY!cWhP7O7HpWOC$@NrYpw3HhMHkFIn%k(ZepC%j?Ca+*s?~UJ7>HHm27b_8!>v zMq`7VHTRnH>zJfBY&+2QMstIpy!ee7ds)pM3foT9lYe<Bd9Plr*$bnD#d^+WFO8Bm z>m_e{VU)NUl)vZe$$rZQ<?s8ajHhfWoe`K2n<f^~AIW+xy{wUUMsR}jGqD>Tm8@oI zb&b9e3jPkkuZtYcZ#wQ6`%C6h>Vd@AHkpg5M*?Gy$y`o7R2aD|URPsdfS=P(ZZVxn zpTnoAhKWYBUuC`a^f1%wjmr-FTBExGqe=9tvm|njcfabcFOjQGH+(OZ{M4wYJngkn zTC1LLSeDV-*~ea9N}K?y7ELxCu-X%u5bGuy(SMQkTDngouS9f$Gn?3rj*qNnX-<v4 z72f_!PA9}x@mySbJ|LEj=W^<af>^gb>rT%wFPUlZ$baeH6(u4%AGf`dQ9GsX8{7H4 z<mCpR>3YvgUluw}x10ac=s|I8Tg}4L9r~q`pQq{x>#e$3JadUve|gAr<H%CR;1F@6 z&{Dz6A>yCcy%Jict@pNVU)bEks;Ze!{a!57lg)d1>FG8-+jlQ6J-3c!t66_~f_#bO z$HjWdb(c~PozMCfqqq9p<E~dV>sIMKkiOblvuamI_Nwlk>vpwBFY<QZE>s@+yuiUH z>gG(-d3v(nUR-*b99#9~>eBP-yLfIaKRw~^uAUo9PS0rG<zulV?R@IyS2o*LoJ(K* zifP+Qy_DUrl*(4<r7eFYRHmu-wwQ1AxrZH-wiL}T4x7&RyyRt3;G7%Nm(Kj!G5^Vw zr8B?0xOAqnMAFD_dfKtY-o_6vE}i-QkGpQQp8M4^RWiYI&lg^0of8my!t<)@oS@h< znO9Zk2*&>6^)fy$_&V;Nl&0{}B~$0leE#Cn!`j$gXD%!~?i2g#%%#)=Ik9bLE~Xxd zi9L4aa_XTP)9SQ&i>-{$2hN@Oe8ssl#Ubg>ELU|)EQJbb=)El#TX8ONA;_Jd57!4Q zJ>0*m<frF!Is4a_p0Cqme)rPo$v!>hx{FI^vb```xPO-I^tx4_cYKsLd0re`%D6p5 zyePU<uslTk^W0ZL`@~~^ojoDMeB{^E{c@)qHa?va+bOuKL}I1)bo0`ehQ8D7s!Jt5 zbm}>Oduf!kUoV;Oh0((Wvuvk3uKFyo#%jLeDsG8YyCx*A>XulyYsU4^=^xr2U9x*V z;gt-Zwx{-Y%k+|$1!2?mo|nA*xKgk7+-su;O?u9Dms5{C-_`TeX*y^8s++}@i>&$= zulj7cY*)kMRos>fcXdo&)%`P~lykZ3^t#6zkA$x(-l3tk_Knw?3ri1s#qL^jY3cE- z*k3g(PB)nD;)z^+x}$VgPvnx*Enl;~#q0~4d)$51=g2j?8dO(tN3Pn{k-Dn;r$Z^{ zY0>H5ETu!9ZwT~^+IjxwQt$S=yGm{@_U`9?v}KV_?Y&E>2X61;nYrS0hv%-IO84oU zlaE$9XvLbXocZ;}8msxbtGI8h+BG3}Rrif`yJpC)^1h+D>&xsO)ny%}`t@@suS~jh zYTc2XSgSJ^m!6J^tvYjg>G_&iHk}KpC!Av4bS|ZyNs3j|3EceL$hTDP{i<{6yIwKf z3ywYUdsX+0g}Y{OuJWF-bjPRg524RD_<-EEQ~lkkDN7I6#_syF$h*Jpmuc;<*G35q zdd_UGjFKkkC9}OYdT9O8_GQSfngwask~?12><d3H`JwrhQ(Z{x5&u=)KO;*y%U!3} zId41?u#xZS`b;AqpQxKtB^G<PZ`@TPx!k+|<E}3=SDtP-yo<+j(dmxGyLv2_oo;yy z>JqL#_qg=x&piuwH3+WamRz~3!#q^n*sGLtF(?StL!K7|ft>i$XFA{f*C-`#AZp1w z%XWJ3s?Qc{t>zoA;<i}5YeMm=?jIqghR;K%7kHGsH0Sq@37vb`92|5~u~uuYE<J4( zJ1ewZulCw&ql9KXXR}vENt5-G&0ZTNcF(e%o*(+W(6`hsI#hgvQ>mhMsQ5;&Qp44u z(?2YHbjdD#=PQ|QnxHf{|HY-m`FgS6USE3LpjXTH$|zyMkGHos@klN>-SKZ%&&%bf zTjG!Ge?8f2`rE8D+p-ns(wDztDqE?Svi+6Pw-tKNlCP@1`O9CwT0Hgo^78rH;<sKk zHQ6%t$E)jRr9U?P_J0<7Q7B#IO@Zejz2y4j`L}w44zb$WiLW@H&cwSz;lhN^Os2A1 z9Fos*nmo+uGrLlHWva#hNxrgrd#0={nmKKUPGY;ZP}xqc#QuDhH=ozG__C`!oN!%L z=3`q+vYUrR;*x;!>E~M#wjY@-R3@RCIQ^o~Hwop$`45HoB-9^H_$bsjL*e0!?J9FV zYzrvA?AMyG{)h{wwa=st-u0Z-e|{`#sI8k+aNxWU-%jm^9o0g8JM|MUi|)&~e)l=| z!W(s+bwXu794)rKH*)CuCZUly-%*H9Lg(QGMWH?kt%oxbh5RJ6?|g62Z+)=fh!dx^ z&ZG^elQ^q&CT=(%#L2ERx!?r5P+x@J!x^kXei52iY@cW=TIg)_I>fhH_06Y+Ew<M@ zZltemVZP>Rk<!+ptj4{y(?b2ijP<Ou3SKT<w_hu2Vei?VlYCkJA#N8hGMUES&o_Fy z>VlB7%9{_hWg=@6raK;58GBD;#c_|uUp)dD2c(%y54Slew{c&wabWV5n6)@l&6`JL z#o>k+wNqP;^zZbUvhii0%9~G}Ew*MJH*_LYWIjx6alYnhk+ilY`I^TKpPQRMcNv*A zHw2U$cdZjUm*{peRH!UcGqGP(=v$=T!v=npoOxQD?mANo&Ioa;>xjL2chP^vZb?^h z&gTY;UpR5@)|s&3cn#<8Gm{Gr#BjEsnOJZnhx7QENd<>|7QVCF&v7tKBvvi4Jy@vB zQZun%Sm>Lj-ou7uAwEm3haJj7eU>^8Tb!NlGQZ<Km?mPYk=V{GWajP1S^Z}6hVyQm z>~E$PoH#CI=B>wh{LQq2LwXC}DSmHBFz$LcWy4`U&e^3aT5Pv@-bi2F!o1DHB4u-n zvKi;r&K=qpX3S@u^&$BC{b%a}jJx>O$?;k3y1t0XH2dPKbBnrXZC%kK>*syr>6C)3 z&0?Y}W<KV=vc{D)>l#Q!VB@T<D;&;7g)B(Z1%>9>GY>6iTk+KCML#vtE&RTnsq9DO zA-!^yH-&yy+s`v{vdc^<IHAbtE;Fs*j3B4FjOeSpG<T;BlCJ%pHy-Y2iS_fi@pwr~ zt)HjGgDox2=R7PPsVm-16S=GJs$QdY<?b5s*5Vm~U-lI68F$4^*bsTf`-TlD2pl+P zU!B-;_K@QtL2=cbWe<eRiVGILvttH%K5p8E!=jwKW2SC6uEzOWXYz)bww@L#J6n{) zJS@_dwg`uDmh!*QXPF&Xvb9ClZpwz!Qk>OmrfxWI#W_22bBpseZ;PbnmSi(8i^P=y z-zU_89Bb}<BeA<BcAM9Yo<&06Zt6X3kQL&)srj%YR>;hlW8oyh{eP}4GyKjvtKj|i z(B^FS%FbVfWp7@@ESe{<;-%o`!>QMWO6Rx8+D+VWI_}{Wz2hve3PTpyxwA+WL@Y=% z5zIK^*mz7vAmh+K8DHbbM@t-JfBmoAb}BXMQG1NqsT*q^s=oO&x5ZY^>&EkKEzEhI z7EhM7DCc=tJloYGypFT9{H6MpyFBaeb3Hd`er+yfHg`>n?K+Pe&o{L&>v>u{$>wxl zGrizU5~sS3(5rVJy9ILCuD1%6MJOar|0(oshI-=sokDywlpjvGDbzPZ_2G<}LVhz8 zuiSmU52WzB>Kg;ULwc80-+WxxQoGF4B4JgF^D+;Mq)jc!_a+t`Qd#(p5tQV1_)Xbx z__k14rS~B@VU;(=afcY+ds?LQw<wo+Tck~I5ia8@<=?5zGW%d@dyDKg?;EMJTWq&^ z-AM0lVK(!&NSWNCZ02Q=)*NuMV?L|Y=gAJjb$@)*3Vg%YdDnfuUiCLW^~$Z?TQ}}| zX*g}qhyLond-E=RTOIUwap~E;w_neyvcGia#(_WL|Kir~pLscV?`?T)Lw`fQ;`kS< z0+xGr2PgHl$foW8P+s-7lzaM*f7^x1fA~Go+wS_#@VjN_Zy)iUnLF+l&((To<gK;$ zxDV&=H&t;57~gwcR9x>py{E$YkfE~59D@%#@BGck$y)RN?u9ESexLvQH?Ds5tu1!H zQmWl!-S3yBJ>k#F%`__C_}J6#*V+4TznZV|ecB;pJYTr=uukNTGv~yA6kgsXwc}sS zwx^air<K3`nR78HHnZ}+)-j$(JGWR*omL)th)+H4%(ezDy^r_2h015>6;1C}`o2T2 zXuh-(|Bjn~9RozmBX9i;OcDJSq3`<p&cuQPIh^fhCKVj<;heqD;}GZj{Zn?!-_?D8 z&HLuG=QbIY+ds3HZr@Y7bK3Kgt$W_b3AN7Le(KQE_L@d*-S@|*Z_+(~cH5r$MXuhZ zOP~H-BhkKlr}oaN)5`r1@qI7WUC`uRqf*qKt7Pu&II%iMaOe57iR?L(x-zdh2z|Su zojAW=Rc3?xA;sOQIbpMe`fh4IY?1x>`{LbCX3wJL{a)}i_k{eFyPvK-i<)=)>Zfhb zr6QIWSg3MOul>ms9(C`!ep>Xr>A980ac9JIuTGuzeP5Eyz0;-qaZ8{2PTz2N_fEU@ zP2PJ{i`qGr$|d!S`ZbllM=E}5cp79FyUg=O@~W2FW1bcXn_8UjO)NN~!g<_hO2MJq zVB75~3~zth!>*ej`ES9yY1`GVf7-Kd+V&@|ffhQ`T2G$=c|AXI-P4~pr)^gV-x3lY zb+6{A#hKHwC)aP;vs0){Vn#NjXw0J>CuHqJcb>MKSUpE{=XuGA>~p4dz1-w+NKRb! z&F85t%=@MkoDdi4`w?@<aK5L-L#KarCEND|@0|ABKBH0{9O(STy64|#RX#7~T@!2( zGpY6TQ;^l~v(`Ojy*cfB?z)VX>$dF4?ke0dZ+B2YW~I5xn*!tOOj<jRgiW;W`H?SF zE~!@3U;IDxk)6AXT*0&bTt`cGs!qN4cw5U^8~;O$_dPA1RCBt&nO<<Fj8i@5^!@&K z)5?GCPm7NGu;A(5sk7Ix-_^}8UH6nVRyY68=S2k)>fF;e?&Pcs$gE5*((W<1{mJcl z*R)q&r)RH_FuwI{ok&>Jz28D*Gd|XAV+|?jY*i|cP$`;zROx$!QqlZ{O8h&fM|V!$ zDQUFiQuUch8_wr&vY(k)a3Y4&{mkToGc}y*Iw!y`FyFq7SANT$`Ni3{H|&18_SDur z8&*I4J0to~8CSb}%EM2!c8jM@`+m0g<}~rt=zDWxz&T*M=;H$I@}(;-eaiE?@z5== zd~uUE&$+EVT;&ofMbjIVzDp<+&Htyw|6=;?jj8X7Vzu@jH|6}jM{%yogHq0Rp6LZg z3^<S9nXWg}K;`<UMenA4_g?qZl|Sm<mp_hob@Nl#J$1diICow4Ymqc56>ekxQ`Z+? z`gAUB-QB>giQ6BUZz_!VH+$Xt*pivk?AH43eLd^-*^+J5<(n>t&bn*FX|40u_v_pD zhg98cbqm|gUEdi6J&{v($%|?`;d{9vN@Lk+*_B`9p8xkbU?;p}GE2|&f)f^;?mbfr z&PZ^o_taUHuirKM?)my@yTjM&`kQPs-C}bwCh5lIS;Z2wtRFYO-J;l4C^5%+e(+U2 zwx?Y8c3w3LIK;<X^nH2u>fD(pe659co)(%|ts}PcywXH=ohe-}FU22{`>XQiv-i54 zC3#!#PA@oN%jte+YQdR;my<Z<pS%w(-yJbwe|YHIq<yc>DCw0SW1k&cEc)0$z1(j5 zs@OB<ME4XczscpaK6B>o{B^w>PAZjGxIfXG?DEbq@QK}K7r70#<>prAhtfQjey@l> zWcS|FB4JmH^S!ABM@%@6`%Eo3H096US9#*;#eZ9)uj-v!aqi)l`KjlBBxt{yk|g8z z?A-Ivt=+;q&y?i_%TC{Lc%%L4d-K=juJU(zw;}wAo_zP6#}4oQmFEV(6@1KG=6$rp zQY*1vS?HUk&cg<0AwEluhaJp9eK++Vw$%QtzIs=0+q3tfp}TJ^vuc;!X>4~x<JL-v z_Sl`De}=w&G&|<t>D@A_b(1z6?%(-4_@L^#?mG`>orv8hy7TyQrSChnJ~e0u8OGL4 z*l_&2(6^s{hZxIMWQw8>32s-BDGolwY5wW^s@<_M=kBjwRaWR($`}9q+>Zq5=ZlhL z_MMumzamRK{ux)?y=IM%tu3;4=kLzv(ldN;U8wwpe$n(grSCsfug8b%IA1$)c5B(X z*?Ps(&o8-D9W!CWc}q@qpBHtP_j0xKOe{E(z<Hc!Qo*4E@z38~ZEh{gJHx-~*3t8; zihpRD8`<7S*||t!^R07p_19#H-+yj&dne=Pxh=Bqp1kwF&UPkr;_QE}PxRhDzvX(J z?M$`O_nCU18p?|^-`{E2sXO)F<7q9md0rL|+FG2~d0RZ1)snn#YQZ6MaHuW&YgN8} z)vco;S1o-`Zr#EjtuR?MIjw%@XV=iTNkMv>=iXKpR(<oK?A^bT-2Oe9MeP%n$|V(x z`psS5ecp9~xy}1%38)%(Rs~n%k5y!h!VU>0tH>Bf9pYRJ4mO_C;9xWRUSnUt_q&L9 z!@`Xl{a-JxnN)4?`^r|^OSk7_tv?}aC${tSyygGX*6)uxdi#}?)Xllvd8_O9tX;UV zIo7{?Z`jq7(zki<{w%qEzF>>lPTsw@rCwW0Nzb~JJM);(<M@E3hgo80Rj>8#-(LFC z_+#t3?|XW3w6>c5<FualPkQga?|D~t|J=B5ZBG5JYW0;TP17^p{H;iiPL7toEf=y` zCyJ+j(pvsWNiWv#%6~IWC;#o`$j?ue%5Qvm&}O#tZSC!7>Dkgza><XIr7vFja6!2? zO6rW|z3PKae95^PVJZ4HD;6~Nn_ruLBOvr8S8>Gry*{UW_J3K!D!a^fw*9TA%CCFm zUh6#Dd@YGFTSx5E!lO#}Hm;L4zL}KP`90_Rw4&f}ZUfuo&&s{Lx@UX${LZ-^Us$!^ zvUL3Q?TeSrR6YN9a$J4<hN;3+Dpp^x-t%)#O<A$Iw6w(6gzeVJ^IzP(@G+zFqU){1 zo|1Qc-@e(M?e(7`5uG4=Of+KpN7ie}PK{qBBNLeKP5X2;Q$9Z{VNuA_E3?}dt?cwX z|Mzp;{}tY|^VaWOymsR{|D~(5^IzUhJGQxe)w=t;cb2>77vE`~;<tX+?aJ35;u=kh zXKLLJxt{Zj;q#vD*G)s^4lZTA_VCAMez{Lyru3Vb?fzQ2c232&_;0_|L-%Z5xAw5i z>{s9RtzD~|yX@bbns1KmAulJtlCM*-{T1>x(t7ihGR@_#?+V<W=%u^9EA)F}H^0l~ z!Jp0iR!6S6&(HDdzICQGeYg3a*|)UrrXOCcE1UaPw&t78-hW=xv)*T<{cb+{bJ;4p zPd7F*mHk-6wcXro=Ig2LOZ8WO3OzWt{J(ajMa&wlB=_jp;_CVLWaqm6S+L4Qmf!13 z>D8sXHy&2y+#OT*ZT`1k>~nYIXD_|FZJViG4%_=v276!qd^d0F`NzC_<=@XWTY1&2 z<i`dnGqdZqSFYSRbLsi+&o`cboVHIkrt(7Y_sTuCvdvarYgXHBVUo4mwD9z==ewnf zuk%*#$S<zF{CMi@lC8hZwqKt;?OtSC@A>ktdo_J$*BZ~B_r5B3*WZ)hK5d*m|G)U& ze}ywkOm>#ORdb#?aqYgf8yA+l|6SbswZHK3&-$yc=ZhVm(fDC@`ffGnn=48r{%_m; z>)6bNzaIVyGmbua(Eajz_qE#>9&UTbW!Iou#&soey2DupjevezrmsIE7BE(`N`2~d zQ2xeglD6MLnD2&Y+0BghU8;Oskd^-2R~~mb)b??iJc#-(epe1OlF)0Rbz#P3CSQy1 z(W?*1&3>a)v8;ZB{{zcA0xM3JHdfVW1<c>f#A~5^VL~ud?+%3vGtRTl`p_DiIR9)} z>tCVxTk0QV?SxmH-p=%Ohi1V1WF}q<y$chBnR+cWFU-hinN@IgUBLmd-G>}IwZCyP zwV!4x-Ki4Lzn=9~ao_^R-K<hZza?k8oe|796Vs@6rux{bf)io84<&Z~{Ko0j&dO98 zsS(ic`t7LFwwrrB&Xh^3y{Xuiy)?S9_SG!8yv*0h%S^lHzmzJ`UiLQpCDW^i%ciME zeDb;Kxb|-B?w4Puhsl3Auw?GPulGxNn`EaJzPk1P-}$)ztC*sq<{K3+vnrlCZ5rR_ z|6g@;b6=`jyPMZlKE40-*Y%<ohtm$;3z5D3{_6kb*Y~d#o$YtGYPPC_@!zi>o=r=8 z{f0TNwCZoXEk}Jp<L~u<<oEuo{3`fWxAxZ+Q*)au+xPm%?zY@u<YfHfYW?Nc@f?MF zXJ4NDJ@;+Ki@R}rGJmqJ=ctO`xKz1Jas9u|asLB2UR=Jh+&C%YUlea||Ml%A<y*GS z*tl!$_Dn|qD_fTuw<NCrcYp7{Ua=b&FD);xeXN|@`}Qrr>DC!D4}O?+ahc+m+%+My zuE+n~zW3i!q1gOq>3e^h%-T6=rD^`2S=pLNPac>0s=9qKxssUT@9g{hmlwaY?Yg~} zwx(=wt~TE?BP00h>!Xfp-fAz7Y45xpA)2!6pxUEn+Ofia*#-AXd(Ekhe3aJsvFJLB z^<nQflUfhUMeeB4;qKqN>BCL+?uNffnXz`_J6}F>eODayB<-2frwNCZ`fuocnjx#? zf8%G-^+k)ix0^3lZfi+i=3$Yzs^RPp1K&e@@4auNwzb%%?VFSBvCQ7^?zP3erM$hl zzPkN05?8pjNKT4-7j;WpQ1#wQ(MKOVdtc9RT<6vz`60{Y$C?#_f(9o4GoC6rsa>^j zKk`OO(D41W4v!gsioPqYv{riSDJ?zg=HH_0ac7vX=j>`bWtV1ryQQSuWa})xWkrm} z*8dlUc^ugprD*s(yHzD-g<z7}`}tS73V&=0>o^_0)yYQh8rR32G1@8*yw*;buzIVL z(z@$hojU`yRURhv3TzIt2+=;07`s+rv(7rvM;jN0b(}vIrN}rttF<L(ov6^pr%%`A zPB=GV)ykmGsXx<K-!|L)_352I%lBx;NJd}Y+jXwqDyBl!+<Fb)o7)#ZO_&)r)qLfp z$>yIU>*fWB&g1_3XX?9H5$!E@Z<(Xcru6E6ntc3~hVkm`Ougfuo@!UMO4X;XyME!u zg?}l<w#&J^e!LLQoO&wNCp}i2d)?fBo3rhHebwICw@Pc>+JB0AqH~t7-MDz|y7FSL z9e=#OuUaH@{rN;YSzcYg6QW05VnTh+=p9vgGp#o|sXs}^F0AKt+|i1fMH0rMK|Tlk zj<(1I_#AOOdPFA3=TPF!8Fjxr{^c$E@AuySx!9|VPVbA}OudtwK5yAKv&%Dlv+eR^ zZ@;{h{CiumlD$rN%`eTY=lkBBloI6o9slxI$0F-RYyW-RRc5?AujowCds9#IWwFky z!uO?3-4MMy&R9F{bg5-({<+xj{}INg<6r->*nD}K`RdoNtgE)0d_I`Hb5Z!oQ~i#* zs|6o!*y7f5dXki&Tz9t1k25O-1vhMPb2-s;y{P-js*XPOXz7{%qt?x}iMt>8zoK^j zu4_V{L!KLim+BRVJU5Ikwc8vbZV+6m*d2FTH`B*E(?dMreX81{yYrd-&p$pc{ra_6 zPQC8|zT0uD<MK-$3T3;#+u-&@PrmC;^41fz%Q|fmHl1)*>#}*2JMs7))lV&)K~gc> zydEywET>=8etqfXikyiX&ZlwC=Im;5HuJJbn%a_VH>u!|)xrn-Gk;r|N#DB`^^<q& z*PCv^2Mx31-`zXA`a#LL&BxmK<oDg#n}37X`;Op>!?}&S?g*_o?%VjQM*BiT{+p(q zYql*st|k3zPvYT*>$ws|N7@A0b0mtdv~k{#+_3b>j_W!Z(;lpU`ub+lr}C%;ddpc} z6$UM^Q)iJXxTDu}y4vi^j6CQ0<+&0YuD2<sf7-D2p=V!8R8F$<acBQ+*S2oo{O9Sb zgI5ZSS6A=&u3UTjm6mc`+TkNF_O0c8$$sE;+&Ve6*|YAHUCu4*yPz{Q<@t{@lg{+m zE1zx2{&@QC?DPCN+49CF#`>EjJVH~GckO)2`Rv*H8y^d5e^oVJzV}02<_ynE{w1?d zG0)tyWYfy4KI}8T7JYrQ%Kz8zQ*-T??fGwd)1u~MRO!RjKArxisn3?4`Wa&v-g7>^ zt8?dq87-Tg1W&#HH1ksGrnsdteVM18?pS8ymvQR(l4VSOnOaXKEmNwy6msVLEUz7_ zHH>TbE!S-SJ*()aYp~qkxWFm?^}eh;7eY=r_`3333OSSDtI88NCH|57(ztzx4c=-@ ze>m$?MQm_d&xMc!9KNkRS3-`=p4BC}bY;uME-tU0i&G9q`0nhvJmq+W@6SIAQtUW> z*cmTevEobWgz&ZP`#(R=FyEIoclB%cwCURHJ97ej4pbdn;;OyT&yX*>RcVTEXSwN9 z1K(i1VAH3DuEA+sYgTrYn@lzETsiHu)Ki7aYxk|xY~ODB^wYFuHfkBC()TQ5I+m%G zvSyjmu?(%WEz5-5G%G*-2(Z-ns~kV8=!ZkF-f5Gk8$*KayiG(mL}>0lpY>GXa=1<q zA6LXunUq~!t*0CnwMB(DIvE;%7M*PHH+1!EkNT|QgR8Ip-t?0DN$yYi>oOB(F16)Y zS;L={vMl}8mY1r&v#(D%tl_(}=h~Fx623osu1?APJc~<m{mKr`nI{XDuljXR;^m1` z`O<sl-h8!g#hv#Nz9K=t9%y&{Z7nXZd-&nEz1FFR?7lnytkCSAZ}Rl>=4DLpvb3Je zTc-5x|3$ydtLyFmD#=EAX6`>zV;{=DFZ$!X)w7CcEVr7zbLMxwHTpVJe!S~%W#nUy z)8i?SjF5R?xWeK<dO_ODz`sY^EobVfeqP#obA8zQ<fz^Eug{<QQfAeCHQ7m#w`?mG zm%KQAwfe8@t=|iIPTS~Q+wFANcs7S@?%7;k$3thrb)}}wPz=~?BfjFaJJZ)6aSQD3 zbDBKpb8yb%HhDD7AvurB<YAldVxjA-uRct5h}|c;;<!1}*PU7y8nl^scj{c|@Mh}$ z8MS~@JXdP^4z+;xhcn;ybCpVd`!8M=7atUJU2fmzYq76?^<6%{FRpIKKk1z7mdhV4 zp3#t=X~S#VT=Msdzfpzm;^n`!3_IosatH)6sVGi#nbaW^sMI~jxMPm7QdRhjb?ahx zAM5}9+iz|}?XNfU-bpn|@d&TzUC2~w;IcrEjr+=Bg~neM{tFoAJ#iO|cyVSruSgtc zs%ae8l?Sa3xi;b}n$wv|KZY!js}ow$AN>AW-6_F~*RFjyJnO@nk_8b`Z}g{`eG~O& zukK#jZF}_6Vg93+j=xKiObB1NB&9TYW5|s_9n)>%-p#p3FCDv<^zy;)q?eC&C%sJc z-uPwX&Iq;}AsU*EcO!K~^~Ah;<-3<2Y)#61T(hyHU|qy6i)bC&Ihxb#{KQW)uyd>K zm7J?HjZ3|2>9LPVnFVj|-g+EAJxAYsiuC`qhZ*K!4>{_Ombcvh5&h3^p4J+H701Gv zOn*cznDUn6%0aEhT@ktg{Mjt8lGi#guQ?s;HFv+@ibLC(uFlX7Xg<lb)zEbTpPk5x z?v+em4SW~aE#o#h&||Z-q<oo!Xc}k8W93Gv8l3>?&8)8y`W#|?gjTfsvv|3CG_w8B z@y}$QrykIH{{G6p-7YS*I;Rgac;8RdU)Z;9y1-qncoDB%$<M^STUn0=B`0n)i3rij z)zO>Aw@+u9-ZT+!{@2}0`+1KV9XRIX`Tg#)q?H>Yr+j2<(Ve+|mdmES%`FEXo|*Q& zUUzNnEh(?u+@*H1SFSq04-4OM=h~eM4w0R$OJDZO?pknY)0c9=(2T^j4x-ndYF~($ z*UqN>>B6z)l`kH=wkg?Y#BaOil(YQm&jtr($^7Adn_cN~J4!BV&3Wf$-pY*RWI3xF zQ`+^+o-4|l{JZyc^&yUJUl+N~nv%>VpQ6t<v$e6(!?NgIR&S?ent|f+oIUfC`HnrG z(OqcyEHS6=XT?{sbVjR6%cvQSmdgqz#CxAEQaJvCVQz9s-Z?`nz2_2hCl&Yb9nUYd zHC0S{*!1REN8HAn+KZpwn3~Mh{$r_>wWZOGgA;q-%2?hwHnDrHOy!Sx%JTOMMH0B3 zw{WyuE?Ug*F6C~y=&-1}Szqy!2Q2P-enn3nhLrK$o*ktdv+CccZQYtN=L~zb|F2o8 z_p8v%Z-40K&Z*UV!v1-Cx3?NIg<5C_^cFLjTIdHzi?h6X5ct+@R)F~D-%nSzv;JMR z|09?GLNT$l#zTwhV(l&a8e7-@zRF{*BKECd$%g65y|pqIyE~Po*)56+CMb)yTYM^* zp)Bui!BsG&*F8~H+r|0Lxrv7g`%L@_QVJ$1OE0%5Dww4#o^J7}V4AZ0a|^D5dA;t* zqS`LUmvYN!{y8!6m}?)8USY~(BX_=a1y3G%#<$tj+%vx&+^ipQKX*;yk%LigF>8{K z95(Oy@nhOVhS!_Tw;g+wBRFd*Z;wV!ic7MvGTUs)jT>79+3y=ZdQ|OZlaqSnxS1Q1 z&sn}h4^HPy{BS<IN5d!4CD}-sZL-A1kBrKEYDSNen3Uz(j2|W2C^H^QWlv1@+{U3Q zmMj@4ak%4Hh8vHYVN$Y+GM}5lqer!F8ZVPugzVfjZl2~lbnsG+V7rmMo5t(p79%@1 zjoZmBMz(Gmr<0Eyjd9c1o!nw%?WVE%G~c14n{ouF2idr3>`it_HdSW3F0rw2t{}U) zL}B4%LH_L$9}8zsWGLU%{9%Jl^pVX4(*;|rdou2%A9=v2Y$j*q^nPp4j*2rK?dm;0 zcAWBPSbo#-N5n+kN6{6>It~@PnZy|+eV8ODy<Vd5!q0x4Lc<Ay{O=7PB?~AsvW3sL z6Q0NrpE{dMhv#IRhz3upOR|JA+hNI#g*{i_B(N*%l^H!s6j!z@GZuQ#UU$`gN~_Ar znYuL>!yj>d{vEbIDDJeb&h*wpT#*?ys@%=%QxlWt>By{^)Y^S@(}xXiVs_U~DIKsX zbTbj_5O0zHe6lGhDKa2Rhuf<+)$!#<9SzrMtV>UBS`ZQ`Hs#(4r32p|^+@Q5=r1sT zt+^>+h7NbH=O%+64q|3%rw$!tiM(LFNXMpUdTal}O&kVca|ETkk8r=3Ti>H2;&$38 zd5R8?+o?mxI3g`1^ti<jr#wuYqf=9(!EJS@)A&)s#tKGR<z*+G5_jpCq@6gFuuLcC z%%s-l{*;H0k|Qf-=yJ;!rwAsjvryLCC!)W=_@w%#fFB`ZQu9w9N?3YhTf3^#_l+S> z7^i(*TbDNL!@B)nV{{F;uK&7LGb^mmKKbaR?b(;VENd%r4&K@GdghgtE3foO&+K05 z^Lcjfj+u>TdH0_3m94n2-%L3Bb!e3=|L^NHGaNPkupM9Qv$5!MyzZS-PYPN@^3ts? z`N{5_oH_Z}wHx;ucFVLm?+)j-uKJQ$_m-FY`{#g%j&fNxXPleeD>EJ!$_4e!YUDj@ zTfMFHL1;bO;h8^H=ASiOw)mpc^V^aO3_iS_71{C6%6!wW7nfTierzdoa$fR5(p~Da zh0%{W%F^)#PZI3h^~4IEBr3Ywi4}?@6#joxA>y|2;{}2JDc1v6s>)>QJU#KiwlC+- z`oB+fT=?V)o;*@?my;`e^4QRwabAf0WN~FLv6~eyOg;(k?mMUGZ+WTW*u;ayeK~s` zoH*#+7qjQl31{`$mCc54?rk!hCdmF+qR?=jApd(~Cusv^M!uB%oZjl2?=I-bo{4jr zT+$)fd$>nJCh^FzKsOng<ReE5-E8J0969dk#x&;?-=TznZ5*<j{frkCv<dcZ?va?2 zeB@Z2n@mi?k)!=R6+2FJ^n3TP?3g3l(tGfxQi;*7Lmh`g-AvXQCKb#Tls1<rESM}P zzFp#D!E8bKatY22n${fb&YN6c7;K&+c&bfep-G3}sd|Zx8z%{#s*qS1(k^(aP-5Ye zHo;R_o0<y@O`==Q$=^3{;^a}DveUpxlUsSpYJ*1!lFC!&8aQQgDo^P*aO(6Z6Oe05 z?XD2pX0&KSuVC-n9*H*zM~?Zq$-GHAax~A)=FfqSe%l_F8?$9w`XAm@+Sgj!qcP|F zyM%qmT--E5la3ryag*^$J91RS&8Fr=NB_zmmKoFRT=Z2K-BNbTM9i4CS0iA?!H#1- zZais*NspD?WNOZIbl>c$m~o(^e`gQNk0teIS8o4h%f!v>x~^dTul-EMcMh%iw`jo| zKQXD~lthCtF|%VQ4;`$C4A`g5&0n1I@Nt6<lZ;5ZOY(#Y#=ZOHCbb?)jLeXk*m^K9 z@`i;PH~aC_hmTrxZ01a9?GN6>Q4lppu=(I4)-P$bZem>NM`z{m2<~iNsI)vK?ulGm z_nqS$6WJwZzR#F**mmt%Gv$dX4$psGS1CWaJxj;t_3Y(b=UG>MbXvfsZ*1Ztb@+M2 zyYqYc4lnuWw5--kO8WHDxQeMKdnSc^I(}xMtrxp~(UJnq%Puqc;?AXncv@Ao9xgCi zm$v?`#^b1|Uo!Kx%(v|8dwo?+cv0cvT~eHj-b@X$x%1}!)bw<VvxnFEs9v1xW4&7b z)3v(JPBW8<+Iz0A&vrGQ6Swc`(sLZG&6_S*C~!Cb-Lzq&zZl=PQ-_YqMP9hQPN(M0 zG}ngMtNb=b@!t<A6uM<N-`2e5B7KW5@`9$b7~AcX#N_ol8p|iJE*0CffX7*EO2&z% zPrM*?!s#k!?2gPjq~anrrTauvkWl0e3w>^{fE35dE**{7iL9x*n-)BAog;W_#?f%$ z+%rncdn2F7^>uyyZK3pup?z`xov3Kd6&KtBGWE>AuKV&LaN~x1lX&Mo;O;qQ&U!3i zuIRsQ+WCum)&D%ab;-Uw+95IWJFCgGlK2A&N9P}Aw-y!AXsy1r(7r6bsZZ+vvX)~9 z>w}w&{@J(1XXfXwedQzX_J8FKt)5rMvU=Velj`{qToHUN;?=a!%<SW_Q^mDJ%2^gV z7e))HiEIz~(X(;QiX*ZLxruA9&JB;ay!Lv;-L>Wssek?du0N%_RBr12X}6-Ryq<bV z>+wEVBd*8y<NmMvg4u>sryW~%?fSp8(5P9`(JwnbI;PKEBcdj%*~?$-RT^rs)kD)q zJ4ouX_3IZ5LaV%zoxPVXc3RGB74b*p-;b`q*5wa{eUffnx+8PY%RAa#wMOc5L;RKh z;Y*@)Vmz5!S6^KfRdT+-%GztMPK<QJuU|7RZHfA^XY)ESjWe&;EMB{WOY7uhA>9~$ zd5Ou7xy*Mh+hvvhaOMOt9_Q7E{g)e;=;qEiICWK=sm1zT>t@}I{JuM5rRUaZRohGg zqGyPf{V<R82=3{(eqDFpl#x^4^!ow#$~%q~JnLs!wVd3OB^qu!@%q9=tJI$qMtuD} zw{-u?|CK$rGgogmm?zj;7%61u+$h+psrb{?>CoM#bEla%wVXQ@=_I;0!R2A<j}>z| z_T0O2W%bHAcf7wWE7*KM<IbvkQL5bAFFQOeD*fwyvgXp+Yd1c*8QXb3U+mp_*~Dtk z*ZR3f_Zn)&Tg=%rac*h-ivPC9r(O#>oV|93bwl`-i_*%MeycBAb!(x2rS!*Lyw7EW z65HFBuD_rsRrVtEhmWn_<bQXP{{|-3w=Ln0?3(^x*iGbF8t2+uGHxPi<=6h2MV<)S z^rzWk(PK;JkgE=TB373-e$Dck{=csDw8zRr%~QU4p0*QNacJ?YxsLgz{g)jcdP;0D ze<|l{tNTVPl(}_o=7No8ygb{~9fPA6w<hmh)p)4W<buT{wkg&}8l|^xR$ZsSBVx9^ z@z^pGgBvqg0<C9Pg)_JIW-i#M!OJ7&=D51+)=hy>0oIaT{>;6<GZPB*ITw{JQx|o4 zd&YygcV=dSfi~Bv!mH(rxfVG*_LGvhqxW~!zx|IFIK4l-T}V9CPI0Bus+t-pi8HTz zf6YJcxb*A=%Xbbbw;zY@5)2h$<+^^^;c=9dM2v4!M#%HUFZ-EVi!&D#8u9Y9x$(L? zE<L-bt^YXh@zZ6h>kQ+zoz7fPXu`{*r{;L&L)((36%W5=F4$<n%M*5tx83p1hpQ*{ zN%sGU2}u^wnB&XbYn+)-V8ywpP2Tl!7gOu;Ob7R)CI%9crZTLBhkrkAdn`D=C%eae zj~cH@-}1(T8&x`_=1mR}Fx_LqYcg$V<H5ci4@-`ySO4GiFFoJHB;;O>rg@_Ojg#h+ ze^;bN)XQkB@nW``(fU1H=EB^jz>}eY?#o)w9&J2y#biNpu#`lNbeRgDR&JNoCjCt( z*Zwug(%rdLere;u$17gGTKm_gFz|BmWUWQZ+Lt#Tn_*%gA!{mo|M}alvh{l>`iA{Y z4N2bgO8=5Wa*@fIl&k8hj#oacHd*l2WTkG_55Fv)Fn8YTYksZTu|n3=MomwOjcf5` zhh!Hi37hWSDek=gCxi%?+Sv1&h%IS6_-4mLg9V<81>e8?yZP7uod3uF?{EG8Lp;En znMH&F1lGl-MjdN<vi`9#0|N;2F>o>@mZoIp2NdNe>s2JBB!`BuGBA5+pGeo(s{ePv z?^Z^Jz4I7%JrK+c6G#Ym<=5cy2^3l6B_QP`;V8i3$ap1#NiQdG(UYd9M`!DQ3>915 zqqih9)vd)^v0J16s^9c);h`7rmdSWB{eOG!q(av+i%&U<=O-#~^fWD)C?WJiU8R>z zn5~9QOIuxZrelC*^@;Aj^=B&d=jDBzmoZtQ?OyqXvc*rIfIx%g-PcXWQ<fx`nrsa+ zJ9lB;3~p6#`5TdwGJ4!LpM3EmZP#?a=`%E5PCEYTK%ZLc@fjaCeR!1WSp2@zj4{-4 zzL}8Li_8CJHreIM2Xg(|k{@_sc`o<D1^&CgvDDsL{@!W+H$n9mm(6A`@SlB*rS{fl z)fY2#gPZK$MhJb${I1|Q-|W2Lm(0UG3;e6yb(-vQWtCoBuIqHZusoK_alV-*o8$a# z+#J8QL_gyHlGz{gVn(jZmqxo>iK+$uyE*v3WD4JGw0o;)bz!-#${nZqWqy2LG9R~C zTv&c~SEJqA&<y6<TdSmAT=r`<xv*UKoWt=q22&dCa^;z8Z^d%g-csQCl6ilR!~AW% z<&Ac46?88wk9{1uz+c)!?AMn13Y{;RzjrvzH|vdWw0kS3b;0m=tH_JX&l;I(Z&~{- z@Rz>oyTCuXJ%XwBmR^a_i_6a*a(u~r{zB=(^4`Pk4)ecpvi#bT|Aqg><-CQ}AS?J@ z%!qAf{<S6DY(cUaFYk-X(@r+@-86RLd2zXKXG7mjgN3YLGV4Vz7)G}(Z?JnSu3d7b z?BzX{FPYmt9FFHq<9IP+?;}Tt<2k`hm%cLcd4x+o`#dj0=Jpep)u)!7U+uK}M%;EW z-DjVr78JkfTlQGPczrZ?8MAYAXxmR4Et9_7DyHWyhjV1^i2OQc=DE<mpV2CfzcV_- zcmMh52D{u~k)Rhd=Q?|bvu22I^JFxz)92#d+^Ulqsu<#%S|bP2<hb!urT>eWvdfBh zom#PL*@{`GYT}r-aNnMC<P_)IO@CK$s)YDtOurlIEi*C5X?Ko6YXftKXU6neWu=Ab z+fH^U-~3YPo-sXFSnj0G{Bj1{&AzTOCzqZ#cG{jZnek3!U!dK3j=!6lcWjo~UNeue zq&HXUksFJ^a{<;4PC*vQmb#m2iZRB~+)qC@T+rXm*0w8cTiEI6q6<2&^8ZTR9LAF1 z^t8F|ruJ2aX&zq}#Bb9&+AQ>l?^o(_7uk~DTqlPe|HPhtF1nx}U2`|e#3ZKv_NPjV z7gEux9E%pt5$G<P9(!q(_WbhZc{jC~E*MmIGjil!xZlUfz`MEh#*ym__VX%x9)2S( zw^IIP{&I=T8NHKcf8Fl8sqN-c{cGkkGG@FzD3x~pi{u*hXH_5fMzyVuEt@Etp&{?L zdyDs!7c+EQ+ULzUz1Yw$?6`;^Z|3y5&8(AJ7S_b?UQ?AO&C0kXd6BV1)wHdJ$5VcW z*lrGf{`#8J&z2HzFJ>!eA<3pB2B%Mti#K0Ar>vH%*8TOvfwGb~)t8qHezyv(+F&Zx zxR7I_qEk^pgm$PGPc3_XPSX{JpAVat9p|<&IpbI8aPrj_@i$BN%Sxs3YdY~fb<UhV zcT$Vzz5qMfTdDjHmD)b-To7BvCAhS^W?@at?k5KdcU^4il`=L-Njq|&#wac(Dk3ao zTiZ>8oQr||(+sAs+%F-O#?7fzb}Pmqd5ucq@5=^pFC=Gk2pCQG*|uNAHd%gW!?DM@ z#&%CSei?}wC)a3LrInk=#T#bM$W>}O_ITHl<ZWGo8uOROZu1f}k#nDE7!@qC{852U z{POf~zGCx4=X5MAe{`f%qif;!B#E|#-}jckSNRghv-^Fp&qI|j4jU{!`ERfgs(rD0 zhf{{DcsPgkgnviu8T~FUa(FR6p-|=5g)Y4{0^j}}Y2GHiR{dGi$K1b{p5N&<TNuRh zJuSyP>h)FO;+Of^l9qBWE-om3Cn^<nY1RF2b6#Y;m{6i-IW7I%tbaeGml|!qdqz?x zy)Rzk<!3pS=eu)G=VlyAOgq;;`I*`u*`mmh-qfkbU0A-S*{$6#!~Z7Zm#|J>MM>=S zj~^U1SXh<D^H>`Rys<S5?|Eyxu~?@=@l52+ALom-obT`7WLG3~Y5v_4n@=zMsIz<h ztKzUS^}^1GpX*<|`Dbx%rQ@A8VYhk9b}B!A9Aw@$@zD={-lr`WVq#1de~><0GcESp zvc=v}rs>ljhELu3XL3=DSnU2ej~zA^>l8oQe@y?qrFM04vT$*!>!!%ZUqqc8x3GQV zh`qGR+@N~#v7!k#eQ%nY|5vb|7hR^oVRQdXhTf(rcLi#{KXzLG#O>dX?Jv}xZ+|o4 zR?Fo$V~MC^3Hnz`uT@-<ssGWNSn}^vx6~VPiw|i&`kEdY5^AwpkqMmE8+P@+aQy%1 z`0izHYtD1a>YNW&dOvr$gItr%Q%C;f#jmX-jCZZ8KB%-S%G%N+%}|9=?^EmI(j&jm z%{aZKoKI%CwC;@+7mfeDnK@JL)@#?<bIqlBXRVzw^U0buJLjbQ;_j0)HBd9oUaV{O z?!*Z}<JnUU9vt6)sq|8u{B%X*>X<DvR;ROdzuXgJQnBa2jt7?>OJ!~gN^|$tG)`5E z{B^)9yz<qR6f1r49&Q~S-8EOdX7<QvJ@C8K>~%Y1_1vfYXKk|eX0H5Pbk^bMg^#nQ zZj)RyWv1b+%-I)X%%t94soa@jcVD=8ZtB}*-}!i5<}DMIFuu_(YrV{K^VP7lC2wvx z?u=C2SUhKuvC#C}-&U^>xV@+(?Zl?zuHAco<ZQjXC3e?(k!H_h%(hbc?$dXzzw#=m z{c!anU)9)K8gW8;=Ra~U{;bY5>*3!Em6j!@6$Rl}-j=xS;yXV3V~kBdSAXi_-){Fx zbxd}>KRPX5Li(Bd)aLeE`o%M!>Tw@l`Rd=I#pTcR`jozV%WT(v^49#9Zu|Y4sXxUZ zy`F!!|M1>b|D6r;grDD2`B%7R_9~n2Ooh@jpRTy3R{e+X?7Qcm&Tn0L@%-99r}v*Y zmiy#u>SO-Ts;_RZsyTO1uV?YGw@mq2OL@{Z_wMOA7^g4gzH>vMnX>w6$($`y)%BzA zgzY~0BXXMFjXu6NEJm4nam{aUG+o*8{>-Br(}Z`fk*;4nz2kIl-;3i8b=qIf*{m#$ zw_6?G8Q}NK)PBe5I?LzVUnF;)IreA!dY$?hziGj8^>?gKTZ(W0xG;H1;LVx6u~rqn zLBD%%g!^XQHrc+(?G0B7`^(1S`!h_7?ECM_*DuyrKWeF}zh&j`Qy<$aeJ{@WH+8>p z{N?+fww~RqHUILWne9GPxQ?#*UFez5{Xg`cVtrX!beL~@#hia(XLr8-RVc&OTo~yW zExvwYVg0np0Uu9?&olYIFr+VD;@nBce*(9QEPL(OBx_9Vj4?|q_NjYQXL<Z_d4|T+ z*o>Pc9rcR)cGZ{N{Qr2$>CLmE?@K&elJjISx0vzSiO1c<&#+m?%D5(9Khb#j+2f#@ zn>YPAB$Jwa-$L|k&5Nh6r$;U}efsXdQ;l!;qwn=UkG~i2&uR_&KJ~J+d*Q@clYa`F zzMKDLXa5pQzr=mVaxR_;eCW4zLUr=OlDy(i{gtPlPFZjN&)L~>=ItK^m+owPbMe<c zmpyLtZZ0%$tMs*6t}Val;R?mw9=$S?^>?qowJi74D*0)aURxuL^-fQ|QxaBxW}T_Y zlX#QQi)PwBdUyKVtMYiI=~Hi7JT)!8^>4=FFll$Sb7xoX-t}hE!s+#kM5eE^soSZu z|E=|rT9vaor@5BC=~*~k{pfrBS(TG!AJbp|bJg$88`ECwo^vAgdd%uwI_{m(qQS<; z-=BFr{d|_x|3%7D|DqR8$^9vwb$g4)w}+VtVdhVrPD!1$$yc*Ke&a0b=DCYcHl``t zXX?kTo@y-pCUy4IO+o2w*73$S%om@n;GMuL*1Xm2cFvu`xl4QYXUs`^oRZmmGQlu! z@!1`3uT5HL+&ppnjBK{YrVi?7%Qp9Jld{j;)25SX7|izAbZb=QEDx`^;Mo@w%p@!q z?+kD<n>am+bMx6_pJnQ^9M*o)DL--ZM0VD;8@V+fBXXWto891dfBHsI?@7)Rt1N>! z>Cz8I*QAm+TugtWYI&-nvTu)=bYgm@bn2cpQ?1S3Ex!C=&f+b(Ki3AV+N8^~+{UwG zef_-1m_LbjyX)P4$xJ`I(Zh5}PKw~8o#vhUjpOV6rrn&H8>~F9X!_IF@sW$o+kQ^J zsVtKHe9Z~X`487CO}(=$Bdx#gPxp^s&*un8pEufFwA1(IkMo*+{};{Nzu9Zj>`ym+ zlNbG87hksj)Ha)^pI;ZveERYD#>~~H-gIqleY1JW!DBO4vng9<rEWiR;ZxwPPiAM& zzRJICzgwrj{^FlSRXOqoA@`Q${Yk&REUozQ$N0N4@&DKS``MeQw|Pm<6K8#Q$(w7% z-<>|EsaMw?Gfn;I%Re_ON`vn$d-LYsRN12Vsi*a3pL@5uXr}I_LXnBQ&RnN%tBK71 zDt$EmpW*b%+kJQ3N)z|E{nN_+;`#5GmiFV<;difO$X32^Jf9sQ`^7O#_DhYPQqp6U zAEkxzTD<PEH@8mOrF664N{{d2kgAV9clD;m?D1u`+h|)Hy`#*N-C0QVY^c1us>R;P zs}_WuwAiF`-}9=4*xIHb)ugN^j78=?Dr`%=Y&zR&V>;L9y}uK1!zt5LJZtwwk9_C! zl?6{2YqS5X6xuks?#au2rt6gZzptH`emq1@?!W0@*P7ZwHLkCl>=$lX%(#5l!vOUa zr#P)zxq1_q=G|{gWMey1pj|7+oEC8)m+h**kmjTnbzbw;U4>Xz)J+04foFtz9n({C z7BRZvHDA0nY$799bmr<42F6FiCf!`OK)oqv>G^6U4aRMk!X|ohM#YQQ-!wH}6rgik zl}$m#?rQpnzWM}Vw%P>YXBLlnmwzwZvT;I@W|zt04_wzCU4QaiaI)w&H>vDzYYWZR zKeOxGRCzTznaAwm{COelk2(XF{0yCEr;*{DzUqQI!|lV2Vyhp0*tYpxLEw$(#e6x3 zwp~B-d+nUBkt$zLz0T^r_j|VB`(FnbW`FRX`0kgK8AHbbH69ZcPKhT?j(HY+PIi}a z+T=6&&d({Cn*LI2yOm7ny07<E83izGD`(bj?LIW`kgMkV>g<?<YHt=hP2Zw-UGuYS z?4_b}mAy|M^-n+it-_-<;lEaw$YPy5U9aBcOL<B~GkWegE=<aqdZB#prf)}L^ddX2 z^|idtdXjutj9~_s<k^)I1YevjH{N+s`;AunT$gP3Gz-1mryLk=FmAZ0y;kdS!?P^U z)u-$|cDIQ;J`(X*w19VR=coSN`z3Rih?^$4AM{&vx9wcmtFCqXYY$H-eqaAA_sb&Y zNmI6MI5TOEPVyFcJF8h!bFLollry^g-16dL&Pe{y{#UGOaW4#O<%IQhE`E0EQa|d< zDQC0nd~g#xQ$<C`>V3-ZJd%#DdOyQXzf;y@&98HO(*vG+q}_B1a9>d3GryeW6@%5X zy-JgtJ}u-mb(Q~Y<x$3C)giLdblt0rQ;SU`y0|@)nEfv`_obYx{H`B&d%O5tZug>Y z{r)FY=N|5Tu=M5Uo(tNhR{y%M%(Pwg^gv-zx}{&~+)37_H%^dV8Fz$t?xp9V3q$iH z9F}hh&ASt~U92PHVd^g%r6`M|e)G)QHYk=|`cmn9qhn{1Y0HX-Mz!+<Og$#e;Y?~X zsf`n;TIy#0c-GCRd)s4-qr2GzO!nl@wBzTHV*Ri$^_I4grpdj^b6YLAwtnwA)1#Lr zTDCy+48K7lyHV{ueghT`Yk{6u?q7d?`1yhN!E^7wOH#flJU;%V()PrIhwg1NUfDf5 z&8NTeUGlFzvT-FIrSg~KCVEzKUcbMuLv#Hr^WYu-wx#kHdRFSQ>mGK!n!oJ)X~*?D z9xQle4pLY6an-bWNf))vR2Z927+pKkHsyKD8?$>Y=e2gTIiB6N(`lNa$g88*w5-|A z@qg6$t8jkeoZf9xyxU68B&bEb>aksSG2~+6te18(a#>v6&4t@cXPtQ}d^z58e~aw< zv?H6pO#QO%Vx{?qyk*sb=Rq0mqNzC7)lKmUdpsuE>y=i{-sQ4JX8)>LMe;WPJ_nr2 z?z-}&c>2<VOW*ZBwq5)}bb9Mgt74_;v3JF%)ywSHn!f+^w!A)e!R@D(gr|Mgu1ubB z{>|+}c2mD_Z8!X-{(R2%%s+Sij|A&&UU8}C<<ZO9mX=8`>MH)UU!3(%Bj~Zozns7c zlY8IYcJonx8~UrqewKQhVE@&NQ&fE0ZpCewJIlLYh%b9-G|PeZdkQhzKAxN8-um5% zg<EG+!p*zl|0~m`F3`44y&Ms`OO-*1A&2AW#PYdkI7DLAyL=BVk=patjakWgb%)lx z6H)P^{wcB7OE>i`d%SGsr5^KSmHI_rm%pj((4BPAf6KqU?kbBHzw^HL{E6h2yBQ8C z9mP8mX3D6Udft1hJMqagwto+2-t7}Fak*YPSG;9<bNiLj*y*3UY@}>d=G`ofbw9HB zWyP)9jMM+J=2Slw|NS^fJXM`@p{MSdbGdxWHx+6}dkE>b?l#TOmN|QS*IM1crb~%| zR(oHld~)}S&wcTwtjB+nfy~;vC10-YkxhPkaj~)VdGWJli?8-g&@syDpSQ{E-3+Ja zudgjyYO=t1g9>Bn4Fksn9@!PEk0^Y!U)W#aeKTb1)st3D$=fa*xY;(bapS$qp-B}s zI(Gz1gY-jpDn;tenWmK^8Jg5{Bg-T*r-kuE+No1Y8*jXAJ>-<qF+oP=n%7hzXa9L~ zZGxj5rp}nXPAIuqxFvKm)1qG!KPg^nvHin6w>`IP@y>Jec{e*Be{FO6v-(n2(aVXG z)_*dI?f7UEC%VGHrCp)GkX5rIu;GyKt^z0F84G-^Rok;UjV!$se6>6s4hi-+sIc&E z5N+ge7H|@9QTXHV<PiI#DCHIGHa8mij_4LSxNw<Bu$ymij9`{(F`nR9(ad9H_#x_r zk0CS9AMTh3(Fd63vkI!#Z8&%+ek0TRCXos$VZVR^CgCX#JYJ@aEXo}HNhL}gjrvJ? z9~DkK{N=zWK2hBuszRZCHM5LH(-Ag-8x3qp7aS(@Bsk3R*N!>Bl*q4gV-b_chb%sm z2t&r)Tbh<Ju2W<Z**IMme72ENGR-h#;b*$FB{-$1V@>dehY!!5d9iO2f1k<;qg9(e zbhaqB)Lxw&Cc9#*r*g+bnOj{8BArA;+K)`1cvq?E=V`?Txi8kU-8p70UHz-8DK7Q- zd$Yh&p{1J>mns}!Xpt{?=o!M29&T5Af%(Gje`=2$yRMd(O?Vae#?~sJQf3z4-y0fN zPnPoMPHf)Lt}oMKTXUDe?BPW=yDy0otgkVcc}sl>c=MDeL6|R<p-szxrzn|W_J$R# ze0}8y8O#!|b4Tb*<1ca+Kd@G8O~~qrtQ$D@ZwmM+#C@YBK77fZQ|vo4b~4>)3Dy#D zz3;`Cb4XXDWg&mjap41MF|!U-`%hyqdpL<@RpfU^Jxit=E%8bWwlCrp8+>Q5GR={D z(kK66344Um`Jz&XtB)E^#eTiUmLMFLsj&2wm1IMvn#^H`RnzU)%RTuP!(iq+<2chI z_2W}_1T)@fsn)n~SnkQE2*%kTSZer-l7$+=)nvLI<g59Mk_8%kh2Je@|1v@5pxl#h z77S*-70)^OP8?!vj+v{qi*vbDfOTZTgw>0f*!FUsp0nnFg81_FNx>_ER!K64=w4}; z2~C*ry7I<6e(nZe;b~Ksym-RFwljmL{q5TY41#@5Jduldx&pRxrTe#N?q_O_DVfGF zBlVDxdYBwf(ZvYi4V=wBJMO-<@jjq-CP-=NB83^-Y&jZyXH0Fm9hz6J$T-{Jyx^+i z3m;4ha}B+7;T)q$_nTIyr8yF+%3iKhcs}%3^>hfB9`@^tZNKa7<$OfR-?O8#_UJr? zD*eV6b9F==(l-5?cZuI<^3~4*3Rk1;I`+vp+&C_`r@Q^#$xD3em2P+NS?rt2tgYj1 zu_nl7sdPx4I`_%~cJ8G2JDqM+u6Yn_9h#u@F5uv6AI9z9ri&D0uMlRnU}e2{=BD$! z57Spv6l|NI7Zc)lb%Xl}wMQ)a$7Wp!we|66JtQ%a_pp%5R3WE)wS(OrFE%TG2|05j zPm*!@eAk4PDJ~H|6;~XZDG+p*r)fnOqv9L;4~pWpPnpjOt<Uai`?$;Oqmjh78_ge7 zJmuavuq&=g@$EgL`)k$qV=@|%!OA87K3P0+*nFnQ@1)fy|0(C*zAk2#zS6*EEU^Di zvSiCVmumTZ!w)(Wwq!C+GLz^q7K}}uFWzAx8k;I!Ar#xVxNF^}wXBP})_vmVzGe|> z8F|`ib4kRK)&9HUJ~n9Ac+LObpe^&D_^9xFZ4>X+r}Q5BuM8~?NNKTOdVaOj>MJj# z9FF>Du0FA3jRR|<1^0Q8iu^?j&L^ncO8;xaxW;YrLIsa9wbhwTvtFyN*5E$vlcQ?k z)FjxkU~&dWU-7O*ar0UgR4#_dhsOS6WaPa4vw_`8Kz?o7$BQQ!&l+)CpJTJ*{ys%L zP^Mtc!xFJ+{=5}Jp^cAq%8#7Vmfd#UnQcPS#@`ifjiziTP2W9ZH95__dS}SruNxcE zUaGu0SZKPs;nZXeZkg+onO26XU(8$PqixmM^q|7eVe<)d#nY2lyieZo%AE6r&bwpP zLcWcQ{aqb4pU{7|Y_&~iQ>{t<jd^?m6Y?Dj_nO``vvG*Iv`XWm^kE0S3p#1Ndm3;0 z2(<>?)bU@H!SIg5?QY2nx7M_Ye`nnBjrUuY;1Kaz@dDGHm%By&x-))$V;vx}+48r8 z;qtVN{f~toYQ5q7V0^!5QMc{Y<7$7pM7GbkpksU^T~w3p_j#dNuC83EiQyBI<W+;> z`tmsp?D_m2rJkK0Tezy?yhnzZjNjFM0jKoouHDc0OBl|6y8A=?>{3Bji3Q!VuCsRY z3qI$soVC*Kd7Ox*+jEPv55E-NNvvj;aN2z1+($JR0fz?%Y*+;fPh7nFQ!%A-y>r8a zr+ZJa^G{OVW6Z!mDfvce(eD-PH%t=c<V?I*E#B<cm+_WEa+ycc{hN-P-xP>jDqU1$ zKikxtCV24bs?z4PK*!LlQmhMBtukg<wQAGN1y$=e9TQ|&^?lw|so4kAR<B+q6%rO6 z`gq0@Co7|-v!dG1&pBP?%4j=#rT@)27yU;JN1eQ!q_ZA<G4Sv>d8++n=c+LK4SeTr zJB82HNnX=uwIXH3yko+lCq$fD*b>qV7#Nx*85j;1y6`?Wm})M<z-$p>p{8e`p`d4F zro`F8SmYtX(ZZBqAR)leXd&nI$b-jYL)E;>lP=|H$FD!X_xauC<*U1jSDucTBERp^ z-lNt_x4h|OSUG)ijPI2Q7X8y7w|{N+*VPj|G%fsTp6p{jpRJ*5ByPVJF{+bqoN~)i z=2rHUPkY>Bj9)M>HoX-x=k#G2#cRffxgnA5`|tA^2F%^V!Ey7BNo>x$_%#ojJp@{d ze#Q7#`z>7Km-)0>;rA_R^><tEy7j+`;4z-}=J4(94v_`R-iIm9H;b6w`uDHAeGkK| zdHGxWG}jahTW>4yUY7iEvkANMo2P1Kt1ch!e_VR9WZ`}N<qz6@1w1Wl^@`UlS6OQG z=#=){!&0W|az%QZ&RSh?ckloBP)h&&`qf@@*k$?lYt>D@Kd;tMiOHb$)%VH42cHYL zv?_|^**9{i=iGby!JzA}fc=5_?_zHsnRDY}O<<bFa?V9Bd$a%L?aoMVwAtXbDdkSi zmE=8ZG-VPTW?k3)b|u0(=J%C#+PVeacWadHo8J8Id^*G9s?Zb#*R-qGw1Sd-7KgLD zeRY4Zveu#X#f$?@P8t~w-A|XO?Yp$8=YHWPUA4KqH!>LJTHHAIeItYLl9hjYA77l> zXdwD(<Mx{&ALE54M6ABCx&7L_V>4egmTvIW3KzJt&fx<$4{PYh-NBdHW3KP!VqUaT zX-DLpgfDVVi~V<WzTI*#BxIeGqDT9M@50NsWIyS+&*0;}OX0+-b5B3n2WMK%tc}+( z`tV3~$>h+W#y9^zy-U$MWW8_GM>QsKpC4Y{eK~(jHxxgptPMSCa(QX?4_Bt`36Wd& zZu)g@LJmXWjTO~OEn5CN!dpN6jm&?1Fj(gDJq7lMThq)=i(L4>AbNjDxNLBOgx<-0 z=BFH84z;Y~{8#?anrXSUALE6ka?ipY&N!Y4o#hsFEm6HM;K3a37jg-G(i|reJ|1Fn z6#CD_5KwZZcZKN5BWI23XK5ThW*elvRaR2Q{mQOttqPUvZTIWMHCJwy;XLWFPr$xe z$E<}hy?f;ZrM+7?0;^0MGFCX;HT&YQ;n!t;^KHIddQH3M=`=hjViR|{WYt%)VTHqq z@aePSoI>x`DD^Pv?-kCOt0^mM`K)X2;adq?cqNn$Px!2}r*XPt#$CUQ(@x$vqZXLe zV0!xDj72&}dFCv(xm_<NnKt2o$|+%Gx#<gfKTa((=AX$pZTaDuH77R68otQdEvKNl z_`}wh+`@~N?!2wFU2}6@WHH;)iUZHXCtf(Eb@$Lwvk2XF`*tuIU7s$wq))7bx9)6o zLgqZnAN3WR?>yM2>w91e^G@~~ZH5}k;=R3@mmip{pOVqRGjp||*HzwAlT!Nn1GYT7 z*Ht?yN<X-HqBi%TlT8WzfyWA#M=d!kQO?s;VrCbXs(f;$VL?Iv>i*}_DTid+4y;@i zx#fbwv!yKugKOK~9bqzGYr~@Z@Wo=rqXtWzS9~`7u$$L%(K~LQtQ(Jo4?PHay`+y% zao^f!lDb)HYjchizPpipWbTd~qTO-7Uo8t{IHpz_*H*SbOkzgDqbl>SS#qn&FMM}B zdobhlfp}NHjHhzTf)-ZJ4w^LYP^$Iqw?gT!EIdqgUmo22`V8-um3zMY&1tkgo<G@r z!PoCb?5!5dy3QS5d}Io5tk^jt{de!nOi!e|o!6BAbML?OKPDzi8KX<CTv^h~k@G8U z;tlD_bytrIzFf_CbKzNrR|>1#ugwZflwAAjDDR$4?Us8>10T-ZDZFGIkMx(_`bXFg zDW8`xO$hdvFW>II$oPAMy&ywF*}5m{iH`&|`cxhE++Nre6u~h|{zW?b+*xxcK7X?C zZs+p2Cyks;@3!vpvk#ElE|_rNJmORDr!~FH=V?zgTJC-?Td^rY@){3s;U;&5W&W3D z-@Z^e^<>b51<$9-v!9H-uW+1+(Xwbl=CjR~nL9miJqS-`jD0?>C_1|`J;XQG^+(B^ z$Y;MRIOkn|b$`yGvO_xmOn;`cKMggtn=?CT$J&I+Ng<K<zO52Jbhf6<W?7MR!n7OV zQEf@@m=?7>S#|l?H_j;?RcuRI3iscwI$mDwyXte=WWBDLpRyLPrCC<|v~1L8e0Bfz zj}7;Y)~)+|@#6%pd(w;DF1t_i&DGJ4)1L7`vr0*y<pp1H_2snH+cb}Sb~^g{kpEWE zvKa54tF0fn=gRGG`23S~PT>L9Z-?W9g)c`f6LYh?x|lhb|Mu<mR$b<A74rTZk`8d< z5Iwp7vH7!?dt4eWe!KA0d4A18*ClOJn`Z=GyxrR{?Yj6`eyJHU;+x%P+Sokaer<v> zkKS6|^MBoW9*Df3t<7dM>!#lG8rQ&*Pa<EuuKc{3A=Y?QqwuCy-t9=uA8oyvXEa0= zHorKu(L}{SQ|z!+@*?vvR_D|uZK6eSyX3Y;ChcD~b58%%Z^BJt5$n_wWcRqG9Y4Q^ z$N5$W_ltcQbE-czY}ed0$788I(<SLgCH$ec)mmOm<W{=Pv*XyEy`h$I^OtGMM3v2b z^=RSQ+tV(uk6GC>m5=Y>noSE5F4e!dtooxpS88$3SLqD)EtifhJ~n}aiKoP1N3ouy z)qkNgOZ8SbT~y1|Ip%#@&SAqZ)jcOH#2(E)Z?&V%;DpL9*~`y^8oXXr*ooMeewr(o zv}lX5kwMUpza^I|`Ym%gD(sivyi<|AYnjCJ)60b?-8pi_sbFREw^={WK6DmXuz7mZ zmej}B+=WE891>B!T`YKD!!z6cIxN*Y8~#c~|7Dc!X#aKKma|Git6I3n$*qg{>hk@I zBRc)BuzY9ik$x7iar3I(%9~an@(GC6TAs5+FTS;$QFMv7X~Khf6XqSMJNV$~>gpFW zPrX0s{BDKG@y~aj-IAR;G5)U-t9<uv`@q|rdP1%W7w%?yv7~S;Es;#Nnf!PA;k2WZ zIII|=1$DZ(lcP_Fdr8g}nk5vug=GTICWfagR^1L+I%jfg%(*FTYu0gY`mFsbUL;)W z0C$V&29~S>&F;w(%`H(GoBLi!@#*Ttg{uCO$Xn3DTE;7K*jwl+n-Am6f7-WCz2;xL zaBqsqYsTP5M%|lUecYGPS6Je(rs>Qx$>-D8eDZa()-e$7+hVit<I2T+E%n?l3pYQ_ z`8s2hJb(3BTia=_OP(yU%K9|huFK-*ri7*c#l<of{r;AG{I=%9rMzOhDub=ve?Gsa zFnOKq_DN>D&Yie0OEl|YjT+0x9QpYRLQGpj@-9dVr(K?r&$w=;4zGt$#~h`zy!#hP zw3t4+eB7)3<D<(QH;<M&h?m@oNL?+lg-P?>UAr}njHfr5yqh~wab;wQNZZs0DazFx zx{J(J9~_!8H|<bUQBVEz*}=>E&dBbza?Oi>eDtY6tHjq0^ORW?T2xOs@GGr)wp3Z< z$YaIO3wvjD8TKS7u$-#*|6=2`*WpCLN0zsOf{BZB^=szo8{g*Nb85xJ!!do!17bF{ z*R-+g8SUJ=a&u^h?H5)<UZ1zC9%{~%<YAxa`SgWAx##-c%#7JO6Q>KaEO(Q!`K)2r z_vPc(qRq?}h8fXDVtLz^p3te7@u1(Pjd}H&X1{f(lUMs~lD;T^-ZJdKtzP%yfW*CD zrUZAszrWb5pWn#3?uNsG`n%r@%C-jIJ~1od!nCQs8Ls!tP)IKAT*g|Wkd#sP#qC7? zOtUHZtWC@xQ!^$WOnt);R6V~%ASLr(U*m^KA_9?bmQT3dXX<!pl60TVw9n?pI-f9d zacXWgcR9%<b13MmnZJdJi^3V>tAWqupE8Btz2-7eJNQccwbmqq_LN6AbHCOpv`tqI zw10lIveK!qz)aIeW`>Aycn8Z;7Z;y%HysO}wKMPKtm!;nx#Ck`r}xcD(dr%5cdy-W zu_-%aJ@tm<zW)hlr%AZZo+-Zl>Jj}_k2kC@oGH+>g46iT<o#ZcnlJHO|GY=oYgdr+ ztLI@J>;V&ubDJU#7bm10SD)S0@up$Y&%YI0Lt<t*+uYEc`A*{jOW?{RtuhH^{;lth z>+=5#ZkV}zOJe(yBLN=U*WKa!=h)!6G5V#FYmQJm!@Q4eiLV&#VsD=KyWsBQ?v$M` z^b4(w^7ivh*?U2zd*TkqIq&DoE?MH_d;aF8t@CdEU2;m?sF$naDMv^AF+OAQr|R<+ z3V(huEL`#=W%ZTr4wg;ASJr6dKh$FX9HJt&dG0y}QI455FIv{BaCh(6s_-n>d{Nz$ zHQv_kyAvZ;mQQ$hsv_UCw)eunC(|{yxK3cqc6{qNqo>j7$o>T<cPk!Rx>@=_7)#>i zrJL?`-Hras+dMxs;q9ph$B(rgU20^c^e*B`L&mKB&Zn|W=kr)<?s9OSyQ#vtV9lea z7pq(kPOCm+=gm3S)sU+`(sAvxuTxv3^b{kUr<<95VDs7ZH6g=BWZF%k1!AkJv$#H= zJ$2f8B3E@(D9_XD94iD?hp=QbHJ$VNC6lgGKF!6ms4T(m{AtZM%iBfu^gkN8u(jQq z-nz^7o{X7@&Xj-?CabO2m06tUeIoIlUE6#9x5_3hg`gdu%6h%*g=X9lWfc5*XtBff zKl3%bHeG7qn%EFr@YyBUY9+IZal`%3#_VCwi!O@op3kvcL1_Jd^^~9P_Y{RQm!C5F zv&1f7edO2I)4#X&`zc<C%-H8r;&^P{l}qW>dNse~8uC;Z`u(4^seQTRv>=h$7nDTL z<~ly?m6n%aGdXc3)b07pJ5wtnA2%+a`C)FrpNFp>NuT-9omSC2hflEdc7h}m$L?th zCTW%x-r8JMVNt&DkFcP2@@uUt^+KgdceaR~c=Us9!*Qkgzo$)I<M`B9v}AeovWnBE zN?KPa@FzUcc^G9G7M}Tk$(MkcJ?_7D)Ua#YW(pKPI9oG8Zcgy|4XmFdw_cBM&s?4M zO!oep*lw3Ix_z$_ne8pTu7!PPF0EwTxY1GS&n}nE0!cv`2`x|kKB`^{6`goo+<N1F zWhG;8|GTNj?{?03CBy#FSF=&r^Ql~oX86kn`zxy_w|@I`(Qf<B&QRq&)pKqNvrpX5 z@6t2jU+V2!zl(pQ#HuemuFd@Y#k%uOxx6<kw>Pu=ovRu9{NcUb+^cL0?thgPDlO?r z*`q#NfBj0`bn!n74H7TdSw3D`GU-YM+nLvsmkC){B^gv-@tHC`&huKc`HIy)f@jKF zEtt3LR$T4&*1ro9&S@yKFK(IP^h&96*0x2mwP*DNWp6rN&pso2GjDPD#z!BxW{MxJ zEm_XDa>pU*x05RU4IQ;yK7Pv)&N_BKkV%6>qxsn3MTu7{j`7WQ{CR!G9Hqt1X$OAF z9&?;3prAUx{dt_ZTJJ`ibBXI8C|~{TymR91=;%7GQtnlL2W=vx&)=Bdpb?<L;PJC* zu1C!tt=FG;9F84SoN#{QU+#?>stl)pPXB(4G3vj-OAS>9@1G6xXKOLmdxq6W6<tk{ zZ+vo-A;~8tXKwh4g`d?}Ha_EZY>s~MHsEsBDh=VeD)%_QaPAeGsKB(&_W6o*qnTR| zlpE+x+p?zXzGC)Msn!#{>H>3K_gII|S-5(s=uDR-$1Xlv++Am6;jB1cSKzvzcdnGq z{a<q|`@Cm=wEFh6?48T<vz)E@+swHIKL5RL-?>HRieYfTp7pDYHQr?(Of-AY{qonc znKG|7t&EyD#eCx#@mp@fb`0%F*C&Zi&%Cy4M&#>>7e%hVj`N+*zu$X3+tp(8*@w@w ztgiIRExbPS;zExHTYhS>d7p9lbKZET{-Ir|?-nX)Dwgh^-N0Cu)}*W=c%i&NNFw6< zN^#EhULguM@|sNQUd+x9x_ol#fgN^-G%fe;?zda?yCS@)O^+$#L64>FJ-dG&brsKM zz4V;(OE&el<AueWD_iuh#edoKuUMr1#Oa%o_c$)kX<lnluO!CkKTl4{uYYrI?VL5+ zmhhZAQZAKu+JZl;y&*?Y?1tsc%Kh43!t9t@g1$`NqAR}sz#p^BmbaUd<xL_M3VyOF z+<jB%xJkT+?Q6Lj<*sYKFW)=IPU~EHSU>o0-s1Ne6MiSB&+Mq*$Gx&({TYX@Si1`a ziU(%v7qEoy&kPQqFnhx)@r=_RD;cM3k$iRH+9|#nB{R(QeI3+HwEB{s|DC0zxFFBD zC;C{LyV=X1+)G$bZ=P_j@|D8B+6j(FUbF}Nxs#N~TX&Mp-0@c7!sZKs`mRru@3I`T zyrFwuIfn7V#2S08Z7Vn3oh)qVIK!`F@zgaEec=z%&wbiF>*frz9|elR7P9>h^O6p2 z&Gi4@v)~7x&Y8QHmQJ|6mi?)$_`m62<LbgEZJrg%DbMxibd~;o?URv(^W;`8*Gwp9 zyd6E++OqM`jN3meg>MI2ioLnBnP>Uj<lfpp-&)_UNxg48&CEcy`$6uxJ9p}HY*tDh zXuKksX5|^bEV1{r$@OUC9o_BECZs<<u-Qzh#96m&bw%YiVZC*&E4{XzQt`Y!>6TfW zTTu4uBUx+9=j<^}(=(eM$I$Z7@4~k|_cjXhXlI#yvw5ufeCOBs`)eM~-G1jY&+@sC zd+X=CKi9j?S=L-wHsK5h8}}ns4zC;gUdUd(C%C3%%TI3muoH~pT}jOx4(BgVD^}%} z&t0?P&YcAs0)-s0NBEwvQ}kaQdZ>E-l<tj8Zw}W~ZJuQ=`E<`}m*D1L^T69T<XTQ= ztk9`Q_;FCe>-vhI-F(Z!Qcr8Wy6IQ({nn&8PhMx0Z9jDThG@*xgkQlYIX%Al?yZ%+ z*I~vp`F580HrJyooUbR#-6+neyCv~vn?-!z=@&Q6rUdR*Un`?yk|$y*{Jd!K1VO<( z5&Z>14Q=VBCkkTjC~Fv$ZppZ5<}r`SVSzV?k>kRMIv-(!mY8+>JY!>b_O`u!v}n(z zW_g(dte4h%bKEV<Jl^K2b<5<5t<lq!SqU57%JA=*RLA6c#;+~7@>=1fU&22nnbRlf z3Oq_FwyYGLcyfuaOSj?#y)>5t9w+qW6^{DxEn2G|9dLQsZ+}NkPIZR=-`VF~vi4t{ zSl;H<5PG%kDtqp?iUa?|8=M8alDUumYCg!yVIs8tNVP}3n2e~)!H69OtGVO<=Kb1} zanEVdk7oU3?*~nDi)ZiYs(xJKa+|NAw%Eyc#q1b<7RPV*tT!)os0$4Gd}aEXfAgMQ z2vAv;6jre9YG3K8{<Fa`kqW+ScX=OnuC-K_S@|gc2=DbBa%Xc*nZGc|ue!SW$+XZv zeM>^FpVwN(t^Q5sc-{-9*9nC>Ro_aFaYfwXTsDQHcJ~Q~{ZX#wdY3phs&Q|AwP((h z4cnu;H<<sMeg0(AE3OOrFL^KITHEj{{M#SKW^rI^S@()N!4vFc<rdpqHL%+sceaC# zVe_ny2DV2(N*+#MDy(*6b|_2PCC4R;XCAn;RIBW9<qQj6AqByNwzajZ4!WJ=`>FgR zNp?S<lM(a0FQ3-FuP72)u-`B2?)Pa{$C;1BJ)QI9b`YOmN_azCbHafJg~v};{ol%| zBW%2GTZ+RZj)HgXtIx^FeCLYzzI;io*P~ju9*>3xr+3Hk`_10cocrq4wC+p1%%PG; zXCE|l)DC(0Ojt{^sPp`!j~ddCZ%x#yX_&3?>+r2>N?!xd1Z?H4d@LBR`d(z~XOGb1 ze>O<4UYi>;$??0%eWUQ{7he`~YPFwlWqbBl`8B@{`-3!{yk+q(=JmC_%G##?Ol*s& z)9jK8_h+Z4@pm>on(*S;?Do@Z{Q687)-2MC3D{@4@!@ZCxhkOvZ??{H_<HeaWd84} z*I&CN->vOVHq6`fR8r7lwa}d@ed$}wD*|l4ZD&sW!7}fdiKbIVM5oJ+kDHrq^xt0S z6I~$q<%R68&%Niqw^*~8uPXe$VIunp*SCAVBy4`vdn-6zmgC2+9YF;Pzh?iJHcYs4 zef}gCE5|v3x4&)VKA7Jd#>rL{|9ZEe@TJ_hKYKU)+)?asD(Ms3hm~q3S=To%n6z!` z+qC!kY$k!f?zH^uFn%a;&r#+5>6-JuW(PALe^GW%`HEPk_N4h!S&CTuWZTX;ymL+# zecYVkcY?Q@N6TCx$4jKJ?@`qo7u9RR=5PIXbSfGpA2#AYxyy-Xb?p|Rx`#Z6=CI4v zyDZ$*@m4s^S|D3lcT0(h^_^TU)qofB-xbeZizs~jQ*PF0Js#aX(S?nkPlZk{T$6ic z_JZqgP5<s$oN(+}P~&z-%cZ{@!<Oagq{eScV`{bR65f~6k-0QymB-Z=WeV>@Bqb)Y znSVJnul<5TB)7<=ym#}Ow4br<xYD>`-;$!o?oP8+9t(Zk8{O3VNZd8m^tgj~Mv9NY zsodqK{(7BoS8llf{rUetcK4ru{%yDa{{P><YWJT{x6L)V@c;YIzw_@u|NYnQ|MSm3 z>*DwS|NgUf{<r@fc7Cnz^x5|v_B!G6abwg>|5)x~L6slh|L))a{`cQMt@_7`gukr+ z@{{?YVW4Z<UzeRPukDmkUlNpV>tDA($oLHlL;jwdA-mPBHy$g!{B%?Iwiy~5zlDb> zFPp6)n0I0F{GR!q22mFdMCs-ksPawNo4;VTi*Ke+tnWXyzQZAr9Y>zsp75qnW@>c$ z%hI!l3|iHuFU;+jb!qml8FtGImu+uaskJj%_fmnA>P|1Ao=YAoj7++V_k2rP$2+UD zLvwHE>XUY2o;_XbUv0K)^s=2G;&*?hi(g*H!c+Dcf{VV0%L)~XCr@;fzofNsPFI3c zRwDbvj|+}IvgKZ0{WZao&3l)+?26}od*asa{nhm`%v$`&y2*R&@~-%*RQ_j^UCFc8 z%F_CztW0L<S(jN-Cm3`X{ogNVQJsI`;j-iVtF{&wwWQs;z`3iwIc{V5f;@qj_c<%? zal6K{i~e21vO#Hu%e$=^!g2@Z{VB8Sww)OL?3|gZz*~iqt1qgzL{tmtDchNFKJQoD zx4mJj{g=MFT`wM~-@H_`QE$qE?}4Z5Tlp`v&3K*gZhg*;WTUI>UnDnAcvT167sSB; z+7}df+;nj;0|P@J0|Nse%D$k?yyPPIz98<CXU?AS?l1S$@$4x-t>5+ijJKZGS>LnT zo@WYApVZg))-}3fY;F{!;ir4b=gi49UOFewoZr03OULWn2_5fH1Cwxr00ZVEg+pw; zffW*$GA3{{z}&$Ac1QN%Zz+M?3=AO5i{g%=ltj2QK--fN!ZmE87`%E<NINaKBCwP3 zK=#4cAG1DK_v}|YDVjQW+lx~7?2cbd@h7U@zS&hQwajWwSv8O1mI*1hTf(Mg-?@5U zjFm5Z>LtgW*FC;4ZD3r+xRCMN%PBG}$u}>Q*r%@XsZmq?{wL$~pHR<rFSo6`b2dz* zYQgapPn3l8Rr3}tj@-<BQP^&>#dozmW~$HkahHbpxwW?)Kelv|%#6NW8w(7UO!<@S zUY>umY5p_isdGL{sanlDzwF6EpS}qv`FfAH-4dI!J=b`tYxrrd!;6~_Mm(Bw=iTed z2m1N%A3Ig7oiyk1;zb{(A9-88P;ff$S=Z!`2d?z?bewsvt7&=cw^Eaf`?2(?Pn4Z{ z9{gCbX!UyWcRnw9@11$sKVL}yp|OQn-`QhJyCyLH`fzsI?`*HSm#V36&DPH2y7eh! zLb+>s_$)QeNX@scKMy(0{b3Yw^IqBRg4<nZrSJQ*-kEaj80+MX3mvX)UX(R&LCN=v zll~kjUwH8-+w-KGS56<@bTC2Z%JiMSC3`14+O(`dTq^MPJ*!vElf+Ao{VcuxqEAEc z{Ud9psS`IYT#}xBJ}B%<>xwnbtL)T1zS}CAD<yW{C!<;`gE@v-T4Up)9f~q>VFG7( zAN;@7RU;>mcUa;ob8N1m!o8~+52ONi=oi;)=Qig%x3aP6?TJU6f6UnX#lyBOp1_~3 zBjI;8epz1iVU`<5RDX2u*E@5{u6(ZQk+;14E|I&>E!}kVYg>+Rf2x?9cI3ka_bskW z+g!L~<#ayP)oaw_{CqCIIP$&S!F)M`c}a((*8Ma8BIYFRdZ1k9X{BzLKi4km=AIWv zj61|uh2A)`Y+|%3$1{Uh-}J8V?H8HMte<|yB>R!b9}}VMy;nDzZVl~z^yuxf845qn z>{{Wfo_8=`XU?gM2Sa|ai)+XVTKqg+QkMVobB={G<9`Qc*C-<frhD&lvw4nonejiq zp!~pG=>3OFlj4*=cAfXw^GKKZPur2d=6u`xkJd;@w9ovT8L_ue?vK;O>f5sAojg-6 zwjR4tB$^+$?e^}FM`~76pQu~R3p#1PkK45~cA5&8sm`DE-<-Hkz0VWez4FOIz5Kb` zb89d5akWQ>pXz#dZ1!1^wd=gTg&c@IIKNADdD}^@eabN&aTRMO#Rwhl*VB34I{$dt zwHJ$&A2)8S^k(X^TlZMIbNY{HXPdPu_Zy_9{&CqS@2Pq{!zrU<Mv>o1*Qzb9l5=jy z2Nhk&u3SBjYwFbZ?2px_%CM|^RjF-tNnAGEbpZ$KJ)!u}N!R&5Z(8KKSX6T<L-DRp zp?jWOQR~b75OL(ikvgf1Mm$H|-|l*5TG+`w`B<l`|DPW|i)Zdp>pOJx)|{1kJexPH zyZuV_%ZxLtGJI|7%RhcgG}O3dHTP`U<Jppbm!=k7>hzA7ur=uPk}ZeUbhejt?l;m2 zyMAQnd^er$bFaDfpWY|;YN@HA&MB_v4OvEh*^eYoWF9^9spVJ8b(OhAr?~dp_l6js z)5$VUn&xKMb4hdS8Y9JN6W(4EPqCE$T{v}f{OoBlihp!|yxFy+$1qEN%k+l_<HgVF z<UUf2TO1pnnyBhE;e%n?j3vVF{}nsW&HG(&_e0Gb(Pg2+$L)Iqq;xIyMHCuRu4KtH zZSFGJtv0Wb&-T+s%jIRhdz!c3YiFzs&pur%xkmaya%gC3$s>(>&kEY-pE@XS92Hvp zXt7?siro*N)0-^R<qo;8&E0-8#!~kETJI;eeyzN>^_tFasqoBxB=N^Y>FFLL-`P=L ze`cnfJ9A0vb>sOhk)a!G`B;i2m(Sq)p%WK*MD3o#R@=Ty_qLb6cp2m)_ixjx)2r0} zCAK^}bW!I+nAt|Hs>cD*es4PtXdbW*F#GXTfREjL6Yoz4=0A<kzue_`prB!RC;UiN zk73dmCojJBmbWzzNHR1u@YcOkV13SaY_>=72j(y5<lWP4`2$xxJShD@@5SYu)w=BI zf6pCoOPl<b*VmJI!B%ApD+z9Qg)O_imFlJEZMa-I?OfLv(b@t5X>RrsThV)tDYsi{ zZXCM*?n3eBd((Pbg+C?kY5l^)ckp!A7pu#W6L)jI^>)f%{qUgX`kk}4i-z*+ops<m zcv?l<WU)g3J;QUnk6o5!KIdKI6P$W!XWscoxh021a#+{09*kWPrY3AvZ9jeUf_1+? zdp&4q&f9eF?~QdvZ}mgge)qjsZod7gZqjOxdacXPKl>`Yf2O-+_0yssnX|QndrEGF zmlWQ$n|gAVgIRk?>Z5|cd%CV1$_}{4Qoz~vzrwtM#m-AE|Mq63*_Qq-`(*#j_u9AF zYEH?D!-oWaNa!zOIG=htv6yk*0soWLipvk_$~8RC*(b~X{zL564~%&~?B;Py<UgGJ zP&gn%Ont6oo3D(EtFe{NoL`De&Xu}Z5*_E~l-t`cO?9;@+^}jD$0PkrUthmJOiNrD z8~3jeTx!Insj6(G<T)wDVk1k7z_A%iKiCK{2+JNT6lhWCa6F=sHpQ@|!{baxN5_P( zNiGQmd&O3$oI5k~o*&<aU9~gUeD@Shx%4ddX@rE%z329yA4?p(&++<5>5W^3J8X8f zH~4Mf<MMFbr>eQ5Dy7^$D&wo_;%!r=+8sPod-US@)ZjMv<zDmM`fb;`huP&dy$V<; zvLmZ0pSPg8pF!l{(ytr}rTl+i%LD|@^tL|`KWC{?_Oz}Q>%TYHb{yAAOc&%~di=KS z$AXIs1di+I<(;S$xf)WPywNCpmxEetuoc&%UnW~N@Xgu&GA*LReHZ7<Tl~LNnJZiu zuA6_+Uvcm5Tfsjb%zSC^P*H;E`-c@5)<s{lab)o+Iwbyt$#QS~hsiz~#@1~29FC+` zIk`Q#t$#zw;j|T(_@^g~3GD9{xJX}=o%K`wyji=!5sf8pF7M+yyK#!S=!prAGoSxE zs&&0DdUJ>1f#j#f2M@bXk6+lfp7HTHjc4Xx7IbdAXC63<Q>U>#i7j4t;ljMwq=&Z^ zx?4V8V~F^<)>9_t!N!9(F8cH@32XP!{xIdb(#)Ely01EuudfJI{HgJ5;U8_?b=$W$ z<yove{L5*IX0osQs;<242@DHLdIa`di&ZdnU{cbOQM?d&LSs?%W!A0Hb~o;FU6f+b znmS?5Q^)lCyPS{Tb{61z`>N*TZC1nlr<NDW_do5txaFqU@sGVm6Ik+=x-jor|3E<3 z?dJqOzc5eVhHU=a6%Uv%evy5v9;yG!NbA_vmG|6TIqt}xN=Q`^@J}xGxbcShm}Gg) zr|r`mym~4#yByu3`OG$KQ&=3}&T75n?qo*Urr<=zHGjD`U;4N3lMqMBc7`(-&-kbO zTVvN3UOm(3<>A-0yWY$;_1gXVZ^D%y$v3;j<Lhs%J;rCb>6pVLo96v?f&D)>&rw)o z&&krb?p4ULgzWgLnj8@YUcZoS&RuO>O`NKFyF!Keq%{_P+v?2Wa5m7QBXeS%?Qz58 z@+-M62?xTubuQb-WLkWCG;Mb7Zii(RlK(Gwe$bl{K3`&Wa-q{aX0yX5U(cDSQaJhG zMbF%83wAIW-?&pI;r^gB!7q5#8-)+%(W#X;quCx^OtiV@x{pcRz5dc^kp&e^ybTM4 zUiluB%T;J%Y0@m%EWlC1aX+w5t0U{L9lO`>6i2y5Y`pa=Hgg1?ynjCS`1!x<l6E+r zUiH{*F4y9<YLU-g6fX-Xbz0L{@nO-?3{i#;&f9i#WPONtH=edhrN?5z4UI$_D``*9 zRr%)*MDLtlGwIgd2o~KbFB!Moy1L6;#@LN@QQ(4L3nR{bJ?0BuNz6N-daq{6Nw?J^ zQBLb0?LH}|R$t=M6mRhP|HS9FcDy>EVduYo<%y|FemVDt=x<nkSJk&~hR6m@VcDlD z+G6ug^%U_hwtRVtP3Z7KC*{o-p76f5YvS5)w{MHD0Jmn*(vVYooZr9Q@!0O(=ie)& zcW;xqdF4R8f#nhfK6Qrx->S4wSR)+L8JK;yg6$3y1A_?%1A`E9BRsw+B~dS{I6n{E z68F?Tk^Xi{p#N<Hf#dgoiY)h<>~P3e%BgXmVb?Jgjm2*hgq)_$j)`hcX1}dj((&HT znpJ9-<IT%))26Tb_y65{>-#tPvmfkpljmNlTQha$CCkk*C*9cPwANnY4>7!4W3w@@ z@*{gx_3m7u)<72f9S=U$UA9#5y&=x@OU~eedvjG+rm$F`-2wNb8|M4X^f71^o|nNI zJLeP2S!=!Cv#x&5OIhYDHv60-{k-N>5bNb-+w-<1+)cgGXa1o0q$}6$hkn)h>v_fN z%*~jm7iVwZeDz&Rb-^XsUrQeCs-7PCWnFO`bB^=stDW1eFRn}9{`$>CqpJr~nr<}p zm}KT3UEr;`Q^wg^O*!x3?-f(Fu}qJYFjuya<9o%&u62H<&)&{;QI5eTH9DG;ea`Th zI|Sw3@KErbnL0C|Tx4sQ)QPp1u1wL3IiD3!I_XQ_OD*=I+$k*yi;7GRmTsB4_()+v z(G6RRx2$?kd$?CmT%a3#Zi%YC$HJ?n8{UUqHD5bv+3J%lHu@~NtiIPjCDc7zvh{Y? zE$bz5^%K-wB{n<{SQUKjOXMD_$E)1pzi@w#`sP~k)9z+spv0~F&4~%~rA%jdS{G*9 z8|H>wc`Q@Mc0NR&?Q?O_kEcmHv@=ee;r$X37b%(l^-ANdX`&TTKW-=5xGh<v#F(Te zb=>6nq+fUME|}2c85*Tk@y<%VT~VOdZR)M9M|@^{(+!M#W-&Q<&-PiW_e7?+SOs3& zDrl@Hc!yuR^=*mX(oI)HW_;*Lu3}BG@??$mysIE4lJ9+8rSVeGbT#v9cV|3Zv@2$@ zcVbs)?CGrgzIx{i?}lcW*$C(gi|;-7Yw|W7-uu(^`tD6>%lH1f`LDfhy<panmg>|y zH{~9SuzoqAC^?}!^uq-1KVRf(-mL8Zsdr)Oy5`RM0{gV+<nUkLPSv#B>J^VLpQf|c zO6q)m%YQlZ?DtioAG5xMe*E)ANBTNjedMpxA33LY{(ro=XI;tP^vA_pzy07w%@j+o zPj6>sVPLSaVPHV*nU<%dg@O*w0Og0fQMdDM8}QWLzaBBk_13T1SH%<bwKp*S{x{co zspm!RZ7aM|STh5nmz{9-ROx^6=VsmCe;@xC@61<{N<FN8YSNpcYYLm!6kZS9YjLwc zf79bC(dEkX-flYT%D%<L<8S$V6}dwOGn{-5H|+l%#lPd@k28rriY+Ty*(M5FD81dC z#!;fcbpO;Y^VbrMJ4KmhGs<WDdG}3pQAGW^-mjL&6S9oI{VCscKjo{g{>AGVU&MQ@ z*rp$naI*eA@851SOABQVO^?}croUDGCvy41nYy^f-lPKp229RJ984TGJQDuUd+@ky z(qbmx$u}bm#U^_MTzjl0__HbDQP%`9j&qVbU0nlq=r!&O?_k}+D!YDD{M3olZmd7= z=^bz-ZE35-s*jg~e(zH<);$`~KYN<Oij#icZ|478xjInLOW?}W4p)v<n~oH*e62{b zY>V>QDC4NRE~0ONT(r*dfPVdH2|GlSbq)p1G_GTH*1Z<dv`{VoPgAXIv|-!#JEBY% zLn>D}$#yQ|FufY~w(b3*WiigX+${7Awnj^Q;Cw26A}{6k1%o{aO;P)uKAxMg^pMNm z1MePOZ!-8Nx9_<6m)mErGyD$B2>sA|VYl2VVd-}#U6kBrZ@#tLezRt!NIg@n!}ELB zXS(tn^>I-=G_8}(>U+<I4Y#bmH^p9mx3?fe!R6hCUEhv~^sg|Sw<^M-Fm>YP?eUQ! zt7gtuFOe^OcSqSsDJ4Vr*1`g}^yAetW_vEK`o_ngd__Yvt|RffoTZlO0>kpgPRpaq z4=cAXdg(H~*MmE{DDJ?&ou4BU@1^}=3AVnSm25swxb*GJ&OClm$32Gg?$(;#+UR;C z{&#ZZZ0QRtzjp|o7W>2y)8TYyvE8XN3%s9|Ip424p;B|__baQ-X2RBUrDk-_l#Gsy z-#ho4jl;Wt)3^5vrzXuj|L3M=$}8t*5}&T@Etfx+{66mG&zP%eepBup70yo*-Jo9k z+hak-JchF~qwa6FU-qLY=;Mr-EG3;4$KwR=R+Qg*JX?^<zqWcsi?6(Ozuq%PjdZz} zb<bDCWbXd7Mn)@V>&DNs_o~Pw$~G9Cs*}jvbJ&#qiO0XEHXmn(Pha(|(fxV6PYm-r zFaIh2vWW|oee##z5et_1BUU5v_kiKn!_%!7on)TIxYXZo^SS(Y_oWj5Ri)4Dy?$0E zT+Mm8Qva&vGig~17bZX2oVoVLyrn{`!q?y9Z$I;J+Rps-+rO`BJ}YwPi>$BgoS1xr zDlhr=?v6d*w8CCn?Fb0n_-PH3tgc!Pd*MNLSNGYMZ?0PE+Lki!4A=fE)z{0V=de4M zH*ddLI^pA+ppc(xS!Y^Y`lo;X^nTWfMN^-1usAGJNq<+we&m{07TaH*pnoTPkA6-$ zy#CMMkKF#Z=Y1EsQn{%3aeRO`Ba;ZT2m=EHq&{`6PIk0qVqmz!&cMLVzzaHyhk=oS zfuW%h#7s#p(5uMJ2@T<7U<MT-AdGH;^WQ@mvl$r}rZF=x2%wmd&BTB>#3#PEq%=vd z3~ZE#_K9>5MmK5~Yp-l3BLjmf3j>2Nicz*Km_}u!KpX}#353y23rqM^wVQ!~VFv@k zG?1qn8XvGCn^urml$Z<mCdecZMmMeSiHe*U2LnT`D#A2KKwV`+HZ3)=D5p}d0(4*z zC*;5)Y^KfovN|tWf`MTUJ0k-xiceMfkxV0K&i6~_1xgGU80>d3Fz`dof%tKcI<h&% z8Hoj{c*B0)(ilxeMh1ohOz8egi$F3dJ}E!3D21TAD)`$S3Y8fdB9s{!cu?GRFcQf$ zy^1^>j)Dxi9@;GKS;5M{0K%|ff_N{rmJyM*^a@gv@S0<9v#fKOA_D^mqnabwjBHME zNg@t&Ah~7F|HQ=AR~Q&pUIkUOklX@s&+8?~=A?qEU98a$@z*Qw2j49j7#KhpHQG*9 zG9mdZC9MLd&mb<62wkWw$N-9b5Jq;9WfihX$vN3LO@g@TdJvZz?`Z}GFh+^81{9Nu z2$)m+{PJxH76t|oM)li4S7s!?<>lq%72^%<#J$%Tn%o!}^77fx5;wmWvPmhaWjIZO z_zm@FG2~D>K7j?vO^}1eutpptxKZaKAO=B7f%`|04T8)}U^NKhBGkzQh(VB)lztT1 zAn?=zR&yW@LhTPh%z-%Q%>^WLka|aWigMI;B(h<QSC9<T1Gg$s%wc5%IbMuGj3Jbb zfx+qq3xghmt4V=jzC~1VWpQ4JS7dsqMUHo2Zg5qgdu4HPMro<9PjZ-9Xi>g*mSe7u GuPp$L{Pphu literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_placed.dcp b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..363fbd3d3f498fa2f8928f5672f64cd7d0155756 GIT binary patch literal 499330 zcmWIWW@Zs#U|`^2I2D^3)ho8e-=B$rL6L)jft`VqAtkv$uOc@mG=!CbxmNo``rfIh z^JY7Uv|axi+!L|2TVO$-th=95LV?dI-v<52UbeKD%L#0}4{qhh&k=CdG&wX|@5Y?Z z|L32Z(>>#!e3|Br;5ZeX-J&UhLhs(Q1=o5%em+Mvl5<+VrK@1W+?1aCT$2jSe;8dA zTgEzZ^9Iwy?0okwMnCkD>Q|dQv7qwQd9i7&aW1}Q5sYiSf|#Tv6C@)7nR04ax|p84 zp8bBYbS6`d1e0q}+j@!f<qw_srH>iiOg?nr-xTrG52Yr1IwpOJRzA8Xr=-22N7PfR z`^EDQRTYZns(~?KbJ)K4ev@;k>grbNjBoAR$S2RkuFGp5u+~jy?=z=q=8Q2DTPo+6 zs?89w@LCx)^-Rgutj#N<zh8V6wsiN!$goR?qgj2A9WzW9yL)*{r@83)h0`Au9scod zaow~fA}z=NToF2KuKS&l^`VpSzjZvKsiK{~t4exWPI6b+OnJJo#&q5m&*F^QuA7s# z$e#?Zy&88rOnORkUwP8r(*KfAq~|TQ+iKXk-Bv6wu4~RSO}lT+YZvzMT-|O}A@cc) zhMdQp*;kV0JawD3`qze|4uXg0d{JjDzG@sLvhl{FIp37m%-!~P!q?AI7v^leJ0<7W z&FfL=;*0O~^IzSzSEJB=yLmm=g0=-4O-h+9SLI$YfAUNAu1d*#(R9bxbFW%ESazN} z^y8h<n*$$=4GXrp1-#7?yD4)n<>j>1|9`OS`prMT#PZmxe@>@)4*9E3NX@*@Iiv60 zc1BOJ&vkY3WqW!i&-4B&v4iiG(*h~Qe_9dePe&c>JN)bK$wXiM`}P0In-9t(65q+# z)F|h_hcad}GB8YIW?&Ft;ABWFP07p;D9TTcFD@xf(kla}!fNdk>9v#2=G`_BXn9}N zWx1^(%le;p_ne^L7Z&&>N_Yl-5n6My)Tc#_b<(EC+x0!A1xsH#D%Y)^tGM$*K}T!u zIlsN{nDh44&aId1KbHI_Fly#^DSkJeg7v0mD-R|go_BZR(*;t~jy>@_rupva#GZss zchxyZC-r-6_#YqORdq|7-_GP=PxI8ZX>&quEZ(T+u<61R4UOy#!5=3txVE|7e)fiR z`QC$3b45<QxzV`cs>;0$Ti@S^Y;P~MdmCk3>E>)_uxPXR8lNq~b(@24?K^b)8t1+o zabb^BZ%+8gr387Zs=v(sykOV7IZ-R$+=~48^7rNSp}i|+uQ|P@d(C-MvDNd<7hinb zzu$BY|FSmwrRhP7oof7r&rCWq`OdWqI_i<jBhw?FM?MbMFA?!H_1GiRQg@nr(j!%| z!%KBmF3HX7ntgO@nV{W$*MMc2&Q_0BxzCo<t={tDVzIk?_#3a6t7Lx$@0>TqKsPb$ z(4LmtEZcZHFE#v{;cRurQ1;mZzTzoHW>2|d8<s5kXm$4;&(kTtYvmumn|}Gu@2NI_ zcX##f`Qv=m9yLaHvG&SlGBPlzvM?|RV~Np>6iCqmiPU)iLk1#8?|;{Pw^%JNv17#> zPWHe@0ZlU`;-{@!dm$kDQrU@pd*Al_@r=56>$COuIll`YOBC(Q6p3EPv*dgE-yOGK zmOX4PR4WTN5VUAid~Q(A##_8<<*lGeE+0QGm|fUxD=|q|Xok=Xqcti$FQz4~I?q}d zrny9FM$hrbI;%``<4@jB3K3i1KY5SO<vM*yF;_9y5Q#J?2h+r&Wh!jTlpAJ-u<0Gx z#~>%B&&t!ld4oOWe}Wv-Vu2IR5AJe*dSv;wWSRf`xK&#O;}+C2eJXW$&-!I{!#(~N z+vDa2Kk7=&wK)>{yHoqSaQJ)mJVySDGq%tEDd4EsQt-3)=Pmh6MWr2E9zWARkgV_` z;e&g~^G`cJ1#s)%KCIfldTafX4_%U7zH2oyg2NT|`<<7PTpST9-7Os$CiAc~^5opJ z>z6zc>3nly)A6Tl6N?VtnVZRd^wW(}sTA(pj~M6Z95#^%y{xn9#U#${6=HvxP@^a; z;ZxOa1_p*54Cql*kXV$M3ordaQPh26C5Q2rg3=&{W|3l!xfuo@!*4Im{a>!Nw=Dcd zaiVug-Jbe)i)Sa@Tz~I-+#2%}d9R~NGL2`S^nQJk``=%S!h09rZMb#GDm$&ub-TYy zx|DQ!?pxti^IpalsBTewq1At{{Y&_Ce=dornGsv=SEW?AzWgV*RkhpiTh-1}hTVT_ zLTaA-@2~xPHj;C<YVq=X``XOED^Jby+Sq;CnX5ST{+ws4yZ3!Ox$e_TdC_mx>HpZ) zJu+JN#qP+gC#(#po-Wj${x23(=`k`eNTQ_6%z%u_V*i2?y`t2DoWx3ak@J}A@g|Pb zI^I4f!!?YJ42&)shj^?CS>d5|_KauXiSxl6{=R`9eAk2+7zG(@*<fV0*=R$^hf>Z! zhLxg|8cv95YRu4*kcyf)gGsa@#Ya?P$BOKAos79>Oif#6aU(enR0kJ6QIQklU|^_K zMURox#G;%^y^54%u(N8kPoyu6x?S|xOsIDL`WLgF9Q5<tq;h-qnolm?AxEO_b}dPN zv?NHYqebP+hiwcR(biMz@8<<fdOI^^(yJXYX88{CrWc;eIG9{orxhC(beq}bVSy>% zvVU4L`o%wJ-dy3sx2`Nz@9fp~f2DfdJNM4|x%gpHUFvGHd)uP6EnOR|%5~e$KB=T= z>8jN#zjsuH{Esf3-*4rg$#m=M?Uym8`|Ce$@nW~tDAoFU;QOJM%rjUXF4GQ`uwLL^ z9bUrmYvrZZ6Hg!9erhDc`Y^uyul1i<SE5cIS{IagW!sOpXJ5{#5^+^}Xn8>Tvr*^` zW4kSy4=3A(6m8%+P`ko^zw<IK%Z%?1cA-nRHUCU{*DP3V$?@#;Z;34;FBQJ*y(sTe zFRB=)vuyQ5dA9d|E@oN$J)iaN>>rM*=64L|n=1SN^}ZMTZYcX@*WH^>#7`Yhi@jD> z`fow=!PjCx_E^{EZ8-G2IzIQozx{a^{%1A+o6fs#|E&kVPP3Vx=Y4QpH%)C~WSYYH z$u+8%_DU~0AN1|!0++)_g^Is_&bYzjw_bAg=L;sxpUjW939mfg^~Lvc`|PUcJ5O=e zpXI5a7;|XD8osF9a<N}`?|skx^Vyl3|M&F=ORHD)h@@#<RPA{Zr+R6Ng2p7iib%F~ zGY?9*z1r(zE%U<M=Ea<V?Rx?Q-cKo%bqo><dr-2Zx!3EXp{kL9D;JBac4n&`gW|=V z8&>I982mrl5}9{kcCU=ok#5JeK01AIu?mY1)vCWT`Oc+1(T-C#$=`4BdB<P2!kPy) z-tq+s&d_n5W13{?e*VbfMBiod6%7^(|DEM#U92GGw>RNg`<xYf^^DidKD^S%Q!T`= z(>!2`7)#mg6Nfy^)iO0_pN{U;>YvW1(_?e%?=u(mZ7g%78(39Lr%i9p-5Pb>WM<)| z6Yi<yvLEIPMJjq6K6FAg$2?bFRE<aZ-9)Ap3k-UzC$9W5$0yaNxBSConNw2N_LvlT z%6cw%+V*+Q3H7PhEx)CGbadd^xoOJjuZ%9CifJ3qsc<Vz-m~%md%?xsEftU4?au6x zxZ7{udw~08&XeZv=eU>MyL+X5dmM}77qLA*J6b26{`J1Og6UofSMvKhDR*6_2OMRO z+W*}>ymNx`_Y2kfJbNo;eh6}_O#jR&T=gYTfW6x?=}UcFTU`tL!5mB8nmHWZ0*h{R zzklrdqhb2<!!Q48%=mxA>EzSj)-_4{IufPMG~JM2_^@`Oenb!B%>s*q!SD5Euo*sb z(Z8GKn4-m?mL`)F^=GDif9$1WjyA`A4oURy{mHDe*MP6>d$4}X)7l*|F8}&NQgkN7 zyxs94ewSoM8Pi>H!LLzC(?j+ZeB3eF-Lurpwfo4UBrZ>f+hXjg^R-P^c^Jj0i$xz0 zO<J~1$Tp>Wfr%SS=u?e<KbE`f4#|u7utUrFXVA<|?cNTvpFK!fYRF<By7PvYQBcX( z#Y(dmG42go8~NwP^Hn|5W-7F8Q#INd);8&8%6c2#g2rW>x-t_ag4B5V6~j}`y}DCn zcyD>lGB0H-^JgVpl{tDF1d2?Lg%~+D@Xg=+s-&mGpxo`>_G!K4^|oJh8e$rER`|s< zCpBxV$(XqLhDP_yyVFvCt=Pn7o;s<Z;B~9E;e65dmFCi45;Udsx7hCZvf8+MmBdA# zlUwz&pLH!dKULzBQ3RXU;~<|5t-PJnSy%8f`IS9Cb?xl&$|pOI*@ee`Sa<O0H7o0Y z-h{PY$8U19zo=2a(Cz7>eaY79^ps1TqGz2>Or6Gcd686{P22HpJ5$ykS~v65!LFlI z8xuR`Wxnt|7`-T1s_LA>Mu7s)d2MpZOG}%&<rXd#{_@Q1Y-3ts%mpsyUK_5zZYz3H zyVmVg$v?6zFi+{*tZSyO!OzdGIw-o^O)vD;M*D}0)pUAdW*t|^+kSK=PxxyOpP9E# zY+I@QYQhI$t(Hk<))5u0tP{PzJWE(}X5#twnGT;gn;42_CNXUmUL+<`I?1zfrWmK_ z!b90QJu%bj4VP?LSiVWVk0U5D^{L`<w!Rlr<GR;cW(skuPJb$W{|DFVyPVy#;?j5g zI<~FFa_XUXQ6|P6CB|Y-dY4x}a$d`?XUQh&vAecO%_wft(YTkJ7KB`Su-W#w>GWs% zC%WH;Mm=VF^uUaB(xtciSMEI{@a^`(V<)G7m{fN7N$rO?o)@=I^YLUSd*51msAy%e zXLC<c$fBf0;{Q&>mfbB_`e;v*#ZQ?<haTs>o_S!R)c?SDH?%$}mAf>&=AR^1$I7Qa z?a=3ceYZnWm-nq!h{}8YCSv7nq1Ouw%EF(Xl3Ty1MW*=2ob+k0cO+_YXp4n&J@OP_ z{Pt6T&EEIUG7p0<clFMF-e+*;-C~J%H5`9$a6kLUVKdEe=ff<<+|mo{dYHZM3pI=H z_FX6O&~?wjhlY0ABGY#@FIpAowaMtG$E}5@u9PS&n`*kGG&tpw;l;QV(@B$-=m!O; zo|G|s`-^w_@vFvREI$LTP3SoEanfzBf>UdYJa3=>^}^mtAZI~g_9R!P?J-sUr{;+G zPr4y;EUWR@HH!l;va+K-C{zX|<wh>-SS-Z&x%xu1+R^R*AM$f+Pyg6{_8EU~p)v0Y z;fgyCnKsSb)44+Carlg|)C=ts4#=yo%KrHDG=J_Ku}NE#_CNJ>ZZcmRW7=@rcc<g} z+b_%d-!lYEXFK<vYs0?(`=5F;xfWGM)h^KY`torpOL)!&J@eI<I@kP6Ua;SBdvm+a z9-GL-)<>O(ryD=d-)HtY-JoLE2dzs*k6i0aIFEhI+9vVy!@cU~{o)VbJl(DGcJ8F8 z7b(xfV()jB{Flvl`*`H(+5e1)#tx`GG4IRjykrRmhB@qv47|vV9h8>D-nqH?kGD-b ze*gV)fd{o4xpSn0H_c7a(-Z5OntuH8Yn!_{fgEzt#ve^KhMu138Te(lzNV&`XQ1*w z|Fa^l%V!uo`uTFg^Lmd!_sC6sm#-T6hP}4m^SaR9&Qf0H`18Y)`|r)aeE#$DCx`Dn z{$zRb+rD21i#K`fdlM_`{<r_|-qK&f|36ku{WE1veA?%eNq;Xd^V~M;o$vJSoV~No zh`-LspRwoP#JgwHPa5r;mGU~}>*v=wuhxD#{r}JBxR2}a>Yq)QpRv8lH@y2~@u!FO z?u!dc?6&>;x_?jnGX2$|n<9>HR+(KN`RAAX%o^q&u8)2``h4kk@n82@H9yL)d@oX- z`Q0SG!+z5BlATfY7V>?0`FnCc>1zHjpX!q)f9!Z-%8P|pvntK|KkMwDa{T|CiufP7 zQNHu`$h`bq^mMJfu>STNr+vR2JGp)SUIC+X%cZw}i8IQ(d26=*j>0{M*Pkr;%lOJx z;rqYI-#5+BoB#7f<n!&0F?yeudEYbgp5A@4=Ht=m=VIsfl<Yg9u-U5qu+G2mnx3a- zqH{mLPPteAv3iQnvi_f6{~w=S^US&X_vG0sb${;POF89RZyfdKUDeDg|C8IFoD>#+ z?k668_Q+Z>d)<69maD3cj<H|vlyCHZwdm?Ad)?*xE3g0D+hS#-P`cvFhs!y3vDNi` zhyJBjRLS(8YrnVS@!a}7`#9SJE&BExjQ#)WajC!u?%ZQ7*X3h2mHmG8=KqF_VvkMY zubQ^rU&x<zMMlQ`MoRh-fg4XANvD0-ct5n}kNWm+)4#2+Pt;30vf*Y<;q`M#Y|%bF zE6$32f1~p)u{-nOrjrk%xtr%tie!-T=HBCb>|SIKdtMH^$uzM;vwQY#-@Q5ZLWKFl z|M%XV`{t0GxpDu=8|-rVIz4xf_k6wY=u$JQBcWE{>Efrdd)Hj|HZcC0xp4o<qwaGS zJxbD*x7;Q9%~AaFqPoc&C(hj{n6YMd!~N~XWeifU)gHJno3b?PjfBZH-vjoBM=z|} zxP8IKJf?puA09I@ZCSTzYu!S%=**9Duaqm=4P-AIDp_6nw|3t=JGBT7-VDawCl4PN z6Sn=`XKAs(wL1CM8_nW&?ZwMyd{Z~?d;axa{QiH3v**_L{LMQfyz2GK$M&E1A3w9~ z=-;%>{%6yEM{j1YOBcD%GU=^d#%^gzi>*?!3Af~T1U4GaTWEfpEA4NiwBQbd)W4$9 z2bb6vEM}a(%w|`T_KeT$CcGJ&zMjhBY0#{HF1uxk^JSqm{Ii<2M9H%spD2EI^Owv| z?AI1+EADt+c+$8sihr~BzC+#Vmva}~l|N>#(-&d=%yV(a)>Q7E(^G@)a4p^NEK2pJ z*qXx!Zxvp7r<I^>aQelCk|i_W$SIv(=D*+5PWq``w!BuxBHm+em*=K!W6v;2+x#=> zbXi2t@%q@$hLS64_Q)P{zq~4K-^BZDKlatmT4P@G%0$@y(1eUh*Lq6WcfPruv+Zj{ zfRD+$jgME?)fcQ3I+rG);LE<yZrA$-@v*b&wnn92yt<Q}?~7jG2`P71iL$qDM<2hb zlv^M7I8(`!JNtQ|mPT9i6_)1WWiw^{+q>5tjlX)x_OF=t#IB!}D|ShJ|1IivQD||= z(go!rr$UyUnH%9gGil1YD<(Ec`w|!Yx@&dnc*&yY4mNA2zpUWyIXiP!nC*|po+pGE zPuA;vzrXch{T^Aj7he8{l?~3M7@w?OGk3Rt`|QSd#Y@*bW+=J;%XH!*AA_pkaNYou z!yK8HZuv;GF$r=QcE{hk6n5V1@nsHI<BkpP!r#&kwXfo@_nEP(#dTkts>oRbr=+Nh zJ{p(oTM8848%^t8+x7nd@9}x7ZJx<3^$y)t!xvW5ercyy%DaGFt-G#feUshs@K*8E z19ujctn^C%ChO(y9kanMgOlYNd*Gq&)RUiBqt||m$;^`InsXv7`1-G1El<0GJv+O~ zBo=D>?iJnbd~I=D#5!F+t;xUE@*dg#-YYO)qvq45B}QxS-%6-i_hpK{2h(AWwUHCn z94$J$W2ut9S67(Cd~MggYtvlp=QV1lhF&t>>2GrI#T-p7KlSw<RXcybJ9b<>)TJPD z(|fNl`3syY)ZWGh*E9-$tDUvR?DE~kC0U2=nezHuMBZP{S!FECuO~5g)*A8KvZD7J zU%s(3oSLzW+tJE2QeUnwq^A9nrFU$fmh@ZuWx<obGH-r=prq`6=q(|+&J&y$R-XLT zc(YvLE~9Sd!Cwnh`6A?9KITpBU$$59f9x9f@TODBVYjnl&ICRDbn^7-IcLP0_xZFg z5tEp$t@`w1*TtLLcE{IGKR<O^+rx!-=iH0ZQ+;)G%DN4SnZFv1Re2v4YHrN^{`H^U zd~ID>qtkQ09xAF7RPy5!YpnQe+|#*iL4>@CZ1XRRB`ZBwi|#w~%zOR*IgQm^?IxLq zy{xH6b1inQnaeWU=kduLExEaC)Mq@AyR>B0#b?hJKfLqIzveFgEbq%Z)r#NG<~J-V zP8YtVyX<B7<g?quw*Sa%y`MZw&h%DxY5)Af;!L6Dua4ZGBjmSixSiEIZ@TgOiRPYR z_gKSf9!wBx>;1HJ?bKQQ(eW)0F7<8g6ug_VZr`@c#*UkB#kK6)GBr|Wa%aSI--7*q z7gYJPFS{J>@;pD`)eNRi&o}%(j~edl-)>&`|5tL2%ELzWCy}$4>6=x&IUuxN`C+H7 zh&^AVfcw8^B5Kc>{4Z}d^X-_mQ!b}}dR$9vveRxyCjR!#X1>d3O+0j=s_g5Rt;t7f zIA1cjF7liyI_d6{M{eJ>eya#33tds<auv3b);O&>m0zzisJF}WYUkpFV!vtTNe}jE zXYX%5x5>;m<Dg&1sa30f3SQIPsMk@La)SBsy8|X#QydK~`fk+Ku>6`Gm9pgG1847M z|G?&Qjr3DYOMd3cCl=_l#s5v+trNP4rF(bn#+yk7yM%P?J}z<O5_4MBw0-TP_47Z( zCT%;Nq_*l?*Iy&=WqqH{Jq}2XI#4OJ@s6kQW!nQ+LT6~zHO-9Syvl6wE#>RiqmL`E z`e)p8*O`}euq5HUO80vGb2C@fHO;)|EYP_%aD!imsjtb^x6O0k1svH@vnJhAW!Wj` z0=1y@dSjJkKa`W)bXQ$E@kL>R&dew6hka7c843SMm?7xB-Nd-nJ?Y&oLrry=qm1X? zUJ<QxS~?-(;n60|E$Ne<-W5M?mZ}zWud_8_*37(yjq0bXcV;U5=A7!3w0B0@GQ$HZ zr>l3SP5#SbymQi_4=3#2X@1hXrylrRSgZBOD`PVsrSy%-K~G}e7_R!vnO4RBw6@>K zv?pfyv-^tsuSDv-Pv~D)@}VbEuBC2n2K$d~?mmY*c$4=P2q-J(w`nCk@DkK#u=>hy z|JzzkKl4d13ik5HWIkSLp_t>jT<(E3UwM0A^jGOs6OKq(o=r(-m|0b^-s0NXlOgjD zrmQRZG$k_2>!pAu({wxQfZDcIsvH0H@y>keIq{OBP~^+a%vaM5W@?|cVLs8~ye;LZ z;&TJ5klHpa^^M#7jc>9#sL3czoN8wsQrk9Zue++kH@T$^5<A5%<XY@w++CzPJ@EwJ z+P1@|Q?`n&Kd2kOt<{swr>FDL#J3i^<n!gPd#!a02tQS+E@u$gye>X@=K&sJ>q&JV zzfJd<Vb08$$;4IWblGTw@S-(a`mXn%%$m4B`Ecl(dV}<ytJl{}`l`IWK(_uCAJ5CR zb3a);Unc1BT<hLv&U>4eRZm-8BPzML<ttCvkEA1uEYAk;Kbx|OFKgeG&91Fk`&c$+ zJi63V+TU~Ji)EnOqH|OK%djYOMJeA{`!-lO@rTyr<umTr-fq0{ho_}Tb#D1H(e9hk ztFNyN>FMp<x-4Gg#Kagism6kJPd>%XDP(_et?1{BMLW8<wjGPRoT7L7-wpO7S6}<w zRMxnr%QZ8DVbR4aH<@%rPTDqv=|(JExKLW2@ATh>j89pcSC}kXxbQdgxvswjCYL3* zR+&@=s(rh(b)}kALk8dE{yx)NQJfQxT17Dl+aKF7XX>||&P|V_`1^Jp|HP&+b2ZNs z$0;tG)q|TC$0$2LJnXz=_bNM$1)DBwgucJbaM9sr@zkkzx)YihPBjMU-C54K=;5a4 zTE>+Qj8l~pf>Nz{1$bw@54_jD*S%KWP47doQDnv9-`X3@!>y{a@7-L(*K>Zd>zum! zX>P51_6GNKZQ|{4yBxSiIH^*0gYcpQTNYhB`!lY@){6aivFLmGob7*qgzoD|a`+V1 zxW`NT+Jf0(3iDQH)MrPBTA9bZ*kCE*RkHb8Tw7YyIjwU&XRMXB)^tUQAFp-3)~CFG zxzVP*&r{9V6*C#{vt5$dk)?RGIfgZ5_i07*^<^TwfA>DGZ0ie|^}xjWp3&)G4b>Ed z-Ybt7;!f0@t5{&fRxKGeXTIfXM`Jcl-s3wCo5`>CkzV~l*ety?!|hq_^(d#q3*T+f zz2|uAI>*8OEe7dZGmcG&IkUw$LG>Y{{Ic2Kjm&usosw*4r5#d~2)xRC|Eb1P;fa~T zf_2aPBY2n3{J=M>-Fs(&k?`&pb$9mL-M2eclg)Z*r&osCqsq0WceB(kit>M0yiF>; zLRjEzsF87t%=RF!xZg^%IiFk)Fz<D+DqqRtH2v)U{TdZN&z$C8Uijbj-?OV%a<eB~ z4mC4wWAd4HZYrmSmvkpb@d?S@Pn$y}LrmBmV^dA|FZhILZ!s41<n`v>;b2`H#+JeJ zur~f=<1!2NTVbj5%o#6cRPx;5)SY_3O7S|gm36<($LfUgbxXe9vuaD-7om3g)GYoV z6F!!DZHQeia@N5r{F0T4{RPv@ok=!DX(7+*Y}_{c7PfCMQ;_$KKj@cX#9rofWyZDr z4|r9QH0M2YEKHVWtABn=#DDYK85({mbH1$KzBA<}n@h3iL-%d>-=8`=@9#RhH=2`A zmYu188pC)dx~XSN!2L58Zj!6hpDAxlv6X+-@j`fhteDNEI~g?!LH!Z79kN@^e?HkJ z*z(+3dxDAE$0_AZ-u!v{xOU{SJi4eN`le-B&a&tgE6R#@Zp-=Aa!+8Em+8%_^u*UZ zYnq-5pXP3y8*ucU)u&~XMZ+{MmxpyezZdp?Q>0C3u+7hhg@(6JnJLWD-n)C_-8;f* z-tl1?@$YzkR;hh5pQw`0GHGYf&g$F89S*%n=k&F_xZ!D)+rgO3+p-2yTMug_xyRaW zir;qdLRsVu582*ryQa;KwOuK`)x7#lDz`w-vJA6?Z_R<VGeYMq>%YZ*>X`pco+XPt zGmY=+3b<_9tnQlIGvQ;&rTaO(Q_ebA&H7<>`7YzWXw^SOLi1{VWgnhq&h+q}^V&|= z{sVp)O1x!Glf5?xFDlylZkqq=lhcoIr^m+|l_=~8nra|oZ96qE&Q?=p-IrXW-%+3E zRaAy~WVJE|WwV(w%XRizu>@S3o^)}^;f22*tuebOYiyUW;t=QN$G2j?Gp)~IUUcyY z>zfv_#|Ql~Hg3DxwWyGx%(Z*Rv5yM;?b`9BzjL;6J>vYIUw4CZ%S5pavvc2EyLqBO zI`iYcn{K63b#K0SY$o({(M7kg^u-o>EVp(zmrl%;`SL2~%>gqZ@7pG~ELQF9kG*qD z_E_c3V~KAo-aItB#8kGqck9M<`Rx<M-b?51StZ-gzN6P=XU3+&7;8EHLTTBTXBODC zJ$BlBI?4D{!y0p;@L93fdINjjT#@SZKC9<_Ktpj;S(b53c3I?ESHn$ZdB!n09j%d4 zy)rYHelF)!v{eo(&D`?gQi=4=)*n+APHV~BRFE*sX#Np(`vh*;lV_H`dV1%;OO<Z% z6}5dT&z!y%Cf?8I%$loQD7o^V?%Koo^OPecS8n>07S}cFxkrGXuVC6YpH73mD^HG0 zc~HR3DR^w+lsU7$=-HoajM{N}mHwvI^kot=<c~DymMl?DU-m}Z-kUM{g@?Od&*K1@ z_6FUOrOKvakA9xf%U-MzXXZBhKBxEd*lQMnI%{U0{&A>s`ckhcg%QTVA5uT-Y4@EF zle=Ca8E^7_Q$a`0!@%C@A5}l=y{^|-6<*BG$^9($+NTM=Jz055i97e4UL>=r^RJa~ zVdTx!NZy-AHmGF?PdjDnzN;vvT)Z&xrKQlc#k+QQXmfA0a9!Lf{%rS*r>%z)m1R3m zr_P<Y=$?7b66M;?Gv~z0Cka%^c%CVoJ8=;cNO0;-lQnavZ#K=4-MGeWaj7`l>#&wu z!7Nw4$+f2*e+e$+@hvURTxzjPIQ*VGuX3QcvWAvJzI2mGUrWXUz8iP;yyvXjoT<c8 z<}_JwgYY7OEq?1Z<Olvdr{zB9<~+u~e{4kaCvSb6Ua!?3{d7XMVcykc3NQFX9U1p3 zG%hn$-@v;-lVg{2XrRMy1&!4eA$BJ&Pj);FQZm((d8>`istEn+5Ix5f23FfwYgQdm zeROfT=Wbd5*Rs20cg~)suuOaH9+y8q(`x2gub#2Oyj){Zmcl06S#eV5OY%>-H;SHF zq}t&roGk5g`tZ3;A2*pl<%$aRxiWi8$pXpZ2HvQRQ-ZUcrsd~#E59~MxyYBIlBV3- z6RwcF%J|u5Bay31MUL%}J@G<|Un<Ek?1y{h-vvLWB)lkJUFUM&z96h5spEHGs?OgV ztA7cml}!B`lbGoK!~Lg9%1^0I`H;UHVO*a(kLu5oYzLV)t?o_8-wvao?0gd=K7*+u z{{rorBSTI;KmGFU@-I)ODJ)$*<vG_9pVCt?%B)YHZ4NB*o^UDjSaE8!TEc6^onNGd zMf1DfE3{smyW;zT-@<GDKNIe5FqzEfcqv5vY<k+iZ{6>FXIzXumK=E^^|k!h!^;mZ zDtM82wLf91_53KNr4v7LrTCN{3q1E?`|7$D$=Fnz@NHk!w*Rx7AlbcW*5mBChWYG9 zyG~WCo00nQ`r7)CV|V%=XNP@NKJ!BBu_fzf#&`3bI|Eg0cDCf~kJU0~QH)(`ldh1m z%5mSzC*O>h6*+Sl6@>LX6$<HZt+=+oRpjd52+hfkS7-9nG#V*{rEYxavRQ7bae3Ow z$gk?>UTVFUY`B=N$miy9(f!RD?xk0*M^{=;xEOlO*kHeY)ae<odRl|J79HIspXin@ z$uChO_UKE;l&dF?8kM*=Nh>gJ74S1w*}*vJ)r87dSq*2Tn<lI)n#X-xs5_cnlXd+P zr;UqTHox;J5LYP(>v=Bp#HaLJP1vk!iWZAk?|IG>?p-PFl5?YT$^ml?)g9bI7OO85 zGa4<EN}l=c2isH^$+=Ts?QFTO=%ZO1KgHL6r@h%59)kjYm4dMC=?p>3tWxci{q4du zKZD|{=efw8#AkAozT2Pj=X~YIvfYj;owdgA<AzladRJ9c3JaVMombpa+5bGpzQSl{ z?Yw=NoJqS*m3;c7tC4nh@<}bVZz)C!(+snYy=k7ozRUb+vn^NJMWG`lQ(w7=oY|FZ z3reT!Hq90AIbV5Win+t7=aab-F0wr-p8B#S;*DTo@YB=N<+bAPu{|yhGkL`l=lsj- z;L%4rm%Ou=zx%o%%={IL%>0$-(!a=`d#<&))4}eY^wJw!_pmRp7QFjHL2P|in+=;{ zux!vR<_or*&bv+(DHVL5Xzj7`W$YSrEkBp<7G;qey-Sr&On+7L(`)e~`x)m$<Aj@P z?wFfaTZqaps+QipMr_%#6`-V@_dUPF{!q_4qgl!Yu4n!R-`&4*hrr!yH&PcG@$%pP z<8QjhMtf}q|Iyp2y~e&;3${e>aJzY4Bkb3%?-zP|VyC%Zz9gAbuuLj$<E86+*&pvb zmDYDKXZG%8&s_YDw!X-7d!RMbl2I~vuAzV0svdAc(Gz~On(OBrugYIL9yx#L@!D{D zRlzL2q?(oI(&Iu8)T}F-sr+G%;P>7dyQLPp0}JIVOjK{AYFe?b=YO<RYF;FBqD{D@ zkNlL1cT$z-ope-A1zq38elfQ@cG`i<0vC_})=FB}9C~DrWZRC@OjTJttb*eESx%jE zExZ&_p4jj%=FO%*^NzLqIrW~m;4ZW)-^ugF{%N)RF&`6$?b>CLKm89k-wppe$Ma~l z!Mx2pY(86dW<R;x^>*g=F7}JO-LYZvR|E`adYB%m`4=kqIZa{FYMW;)^P5l2c70~q zkr(=A6VswL_VbRc=WW;%ZKC9u%N}V>?l_`zA@BP3&`*_0aa-@*Tm4Ae^{9APm-M#G zmc}=m0v0h9X&$RGK5_f_w%V{uBK?0?nylN@Y`oDcZ`s7VpT0Z9EM=_S7?Q)Ld3RGc zqg!yz2I25s*4wV$Et&d}Tl@Qmw+ThNh20;CPTzih!gO9or&({B=3Z#wf77C2zxMy# zf6U)Jf?K9p*<8`M8+>QK$F<Lz-#_+zHG8LT=WxDi!CIHZpN(s4j!EZ!y?v=fe|}ox zu^?`xYtq#*#mT}yk4>v&%Fqs!dX;PYQo{G|oyyHyWZ7dccqH9eZ~jTmKx!wSin8^B ziCa4UF)Pd8*mv=i{f&RueA;SXYreQ>!uX~|Y?^M1`<#qzLi2u_oZIwca^_(j4b|m2 zOp64MK0u$AusM~zL^6zlq11<wfgfdBqBtY5AQisEVQnq@p3tLP?S7klv)%G=!832e zohxT8D&p2PY}In<V)QYod}1fEXpg{IW}kVL@Bhnne7RTsUUtd%bxKVl+((<bk{lVO zwYvWQTsFrzy?E#MIn{dhtv|1=i46YsW$U#$|JMXAJ+(8pGyd+?wbk3%|7q{fy<Y#U ze8>LJ_jf-te|=~5XWO~eWq%{<F0=ptSJ0pL{qBA3{TuQe3+o=gyZc%6&CL6Yv(K-y z{daNe>9g0{SBI?p<ovUK>g+|I);CrEKUFYQt2b`O66Rj{thXy2y!D^O*VMm0nsxuv z{!R6JT-K)bTAS%*UfXx|{p{_!nV0@-ld7BQ68HO9uGhQ0`-``;x4c(fb$?~T^LgLP z-d)<-Chzsc^mRdd-@2#m!dIiVW(Qqb6}$P_TIu_-m-|&7acM`K?w|F7@9b^!?0XBR z$$N)nFF$PLIoYc2s>@oHYyYo({Oh7C?04}~P|dUZR&_C-Ugqe=A6h?c#mdco6IXdp zO3l9eZd;gd_HR=mQ=RFHu6)d1mLmC+bAQ;PG^>?;`g0z=+R+jpz3Jv6$sngmQxZ;x z-d;a>MWV;7PrUzU9VzvdF3-5NBl2_FvYnFxuUiFfd+}<%)xXkB3(8HVY0kQHb5Ugb zZL4j2R`2@1wPk(A_R=W~obmsnFZl_z{Qv4wDt!4<dHJLdy)LD~VKQ6)=AT+-uyndm z^w!l6>$N8y_g@x}`@c#uziWZUq5s>a%yj$Vw`kYtz{}_Tk4(OrA9VV^-tUH$uDjJt z|Gqz&!CrU&i^No=hyNSqul77CAH6O6u212?|A~*JWN)u><yK$R_kVGS|HR1cnfJF; z>i%cD`<Tb?hq+pHi{jOf^*OgbZ0oDfiMz2b^Z2o~uYcHkUh#6gYG(Rp{wCEE74Ge9 z*MHc1cZ68Z7TuOz^j$XobH3LV&)1%_>gLuLD@{ChXHlz4{oVblg}D=AkJg-BKYPXG zRgs-b<6n!bWG%lu^@o$Dov%Y@&a9SrmDjhz!h*l{Et9>yecw5KKb2W0ca#+A?w^;n z)J)ZC-L&}lhkR_@`y2n9Fxz)`u59c506~*xl_x)Yg#tZyY(9GZ&wTY)1{XFi@qNrE zJA1pi(Ynv|6FoAj+OPH2-@h{<`}g)!a;aANv1Mm&{nHPg!sPoibWz)%?JXxo<8%LC ze>tVe>R<kkqfygLa&PX)z5Q&h_mlEfkInsh{ev&G+*h%bm^?Lel~(YjC85Xp)<&D= z>itRUd?mIaIPS5ze}~FJmDMk|PmtXndi(xrr;;^q?@x;pv^){LEZik1YU7Ra-J(Bp ztz5&Nl!ZOX+pZM<Jz9NTX{Kl1!|k3S`8Rb;_hkLb75>OqUY>Dzoo?{8FSk`6=~|oQ zsVR%@Y2FZ-%w3<Z_`6hgzwPF{;7j`|yO*ua57#OE!<T7d+h6izi(>WRxxJx3SN$$O zrP=;BdfMt;|EqZ;PDK5aPw_WeI@LGe^nUF?-?kjqRX@wsS8dA*FS;(P`!wm}ahE-{ z-64_xU1n&#-XD3w=*VBc&KV+0)YjTB-(J&wtIo6PwD+m~o99OF(7kUtS1J16yf0VR zSKdym{I|C=<F&Q*wv36-%L5l~%Wb)D8W`K^WmS@Mf7Qx0SK@`P&N9opA6L5|Ecf%D z-$EC6-`bjARX(r2Ug_4ebGxHV&V1heGN9S!zkSJyz|jBeI}6&stzG@|u5jq=ZTluK zcKuNwd}!wpv&_5e3XiY)$K1IgCSmn&ezh7;-4*rWj_t4h-Jk5R?O%OVfJSmvM1YQ? zKev?E1@Fd1PO1+Us!U+|m&nNa=Q0!54}ET_vX0;U>PcK%R=)dx`ohvTum06*2kejF z{5M}^Leu~GtgS{r|F3%TwflC+=l}YFq3qJvzEoFdH3tUV2w1lM<@dq`e_r)xL`3v| zUH9^P>4Kixki%81YeIPU&sYDPz5MdE55KdQRJ7V3@D91myu<hLvbRqUnO#V>zxqO| ze!`t!FV-#Cy_F~IGkbOC3^^ap`i|+Zf^{u*YY)r6I{EW<&)#oEUKw$hHZJ}1ecQAT z?UhAhTe1URm-*&DUv+QY$<luX`hn5uk0<PZ+y6l*e)^lWDd)nMo%-xv)#*{~x#DgA zB<T%mn@*`NJACBt#W<x~F7NnmUHf>vqH|WS^_{!hGJikY{!%t_o_(0w-o=@#pPBwG z+_mw^^^6-2`QyJIukOsgb@$@NC85zXlig=depAM(svE^o-;|^E_bT@*^X&T{qUsk- z7wC;P)!(_e)N}TvW93_4DMbH>st@YAbug&aK2mMkB(F1lPowI)j!!k(+qmj?@Lrdz z*0=B7+jdv}R@;Ntzf%{^u+RE7t^2A|U9Z#r^7q@*TwFs7*Y5~D^XZ6`f6vDw4*oUI zI(g^4c-`4=`%%B}g7AO6c^{9+2dX~fm)Y9e+4??QFf>4Qe;03snZTyT4SX#n2R5JK z(MV$xF=lQ%zS(Bp(~mm+>6JYPCWuNXsS1i$J)ZkZ*>m2X;MV$=44z91QXRN{hNcwH zjrp=hf1jS**CR>&_3@vMMEL(Pm(FWcUnd@I^HDpnxp&7o;q6vur{)M>j)|+A>R}VI z^vZspu;=V9N6U}?4(hA8JvlyOqWY}Pc!Sk?N!!)aR{i&@I`g^k{K->Ws(!I^zC5$I zurlZ4mHRxW%?dS*9?$=2eJ|nIei7ND?elhY-v4L!QCRG;{G#3rZEgEQ!uCStUDk7T zUbAF{N6vkCia&RTWWX673Aw{E+aP``o?&zS*lQL$-Txk0n^vp*S28^j;rfxyGjyHX zRNt!2_p4dlZ{{8nH}A5|nzHvrr_jX^vlp-DW$3)>o;uIsO=o`RGrz^xys|fFb=<nv z3qfpBXEvYVnQ_hZ49^nth!aM}2~pP#j0M)ot`}V)qWN-dsFi=E$W05@y7von=4?w^ ztln0+sIMZz=H<uzZ|CuB6JH+cvF+AYB^|S=x6U`u)l2!c^WDRh1)uUQWmccwyhHuT z|4G)oAv=%0x1FWBE80YW+qST`;+pW;)Id2gXWPKwoNq_wyBMmUcy42OQrG|L>Z!}O zI3`p$tu$)?^eEJK_lXHvk)mD~*KxGgoY&nI{^GsFu|=oLYCL`YRUf7L-#O&zwC$bN zw#0=$)PLW%@Za;S)6MT?c1rNCQ<8^_R)y_pTiN|jdE@Pk9nBw8lWs14^~>6Ali;_? zM|^szbB`4H=X^b)=O6R!Nz1Q>9gaFrYqW0b#@~5i;@G`rw!&t|nXbmEW(DhWPOU!I z_LMh|?bIB@UI)|CR}F$E8WPQzBm-;&wI?thJ>2jp@xTX(MiA$44vW!(ibY`x%w6pu znTLi<i^CL{+ir5CID9;^#(_<QA0%@)ndR6T2R5F~f+rdtt;}LIT2LVoU=zA_&Z;mz z<~H|JnUeVvueEFcap&^lo5#ieBR_SThR3QK^Sy+ZTzf2gzPNkyePP`r6?&HSV!BVK z>~nux`MQgFUQAiioxH!UTeOS!PMm-J@|2?Qp+%vUeKY5M*s;j>+YuN4JNom2eji~g zdi=xwk@+SGqu2S}d5_f8ufGu8xoh&IlXg4zua<hAy5;%H`qcuf*GnyVUHR$9>fJT# znD<^~(NuT6DRtV?{oJEfAMF>dt~eviTEw>2>t*=e({88loj&zV?hNP89`&vx`8##C zKD|?YMtDN>>4kTE)t>As5l??3eJUqExY%;esp$KwPi*!(Q=j)Sj7zKM=iYK2dCA_N zlf%4E7ViIkLNVWMse=By`BwYBtmSxqe@4z}fkO+WZD)ST{&?y9Y{iGM$|3J3F8z6} zcj2zM!&>_}w@yiYx7S#=nYDSc<eK~0#vG@4lq6FYZ2M=_oPS@U%;?6{X$L>2FA14? z@JtBXL>=QFeo<_@FB?@TPCeL`!gf)|_=NxI1pg?u<3W;Zywe0u8#TXQmb$@Qx7j&= zf7(9B_mfZd$C#e(|Fd^X|DTE|w(cPL$_sY08|SC;?wcRhtbWPJVrI^w;MJ3Sr%X>} zv-ddt;N%vbIG@_)sV8|JMW%f?yoKl6($o*PI#YPBsTi-Amda-Db^5`|Ej)34rWd<X z*sg9q^Pp`D&$s2NAIesB`l(b0_Ucq$?9JT!;^h{ebA9n?)faE2@MfjWJlKEP$l^iT zhR1JH*!G5A2%Z{P**atT1nKPsXV&X(kCrr*x^6i0>G?}iZy$tiy7F^{Rj1DDFPFDi z$$DjPDG#3ickYvL&y<-5eKRFx{QhgLJU?%i&8w7|2hV0o%6OhmaLxKuVLS7nX=GY~ zcNClZWuprH(B_RNZ@#>xW4vPaw1b;7CFgjj8d%LdSQ?oYFk#xkH|L)n3zGDaUNTEV zt2tgKT++Z|c1UH}#hE8~dw0E^?xc7nlu5*WU-@EnR`;F<J2RWqZ5Mj^WeQ7PX;L?k z2s$`@`^kg|R{ggV4|Z_9Q!`!Fv|FNQ<pV#q)vF%xvDJ#!q)d#d`DCK+?hy0YD8iTZ z`=sr=*1GlXSbgJy?vcfNau%{}QhJ!;`fPb<icRrFQOV;A)}4r8D|I#7=(kMiOh9<b z@oy=v`@BM*6s=N<U#9hmwRx9P^-Q1e6#nL2O1mZ3tjj)?z#ALPmc8nM9b5Lw2a|cY zL~EK3-qQME(cHOdR#W(-O`3Ijvy~?0bhT#sepETVa`q!mw$k95qwAN?eq_|VOH(eD z`Q<W+BMb7n^iK$Im#k^qmHg3-qs!8M*0qgdOGO`fcU@?enD9&PZ}9!a^3A&hl7D8F zD6%c%GHf|$#O?U(PehcW*mBWFts&kv^RqgiOI&HKFuS^&f0@<MV4hb_G6r)Wy=qk` zuDiO$Nyqd3%$15|N}(N(S31dfi#{q?CGb1AwPM*FrwNw#{k4x=+TyflN>-=f%JYUc zUoGQ&L>~pL5;z<*|8d4nkP&fFiqn>gCjH+KyiLI0a0U0qe#h7jb;&EO5+BMoCG*Kn z$?5#JWLhML(R1}nTpMlH3VaTlpPZL<tlIvo<vs7MM_z__pHW$_cjWccZ7efCuU;;i z<Qk=TZkgTj-7D=r`mGYkU(WS0YL$R-Q0t7t2{S=9Zr$Rv#z*^zM_o*8$M&FBi^*C? zX0LSGGfV48C0nVY-_+YeDd*Nt4C@HZY@Jc7a8u~Kj!)T{$R)3w&ZGp0Kf27BKg(`o z^3kK=xyNfNZ_Hlhf8xRA-Ah<(^XDstp1<uqeQ&1t0#%+@mDPsLRrmhQDf+tSuVi!8 zy}GGFSN806K6&-?x9laaDu0)<eciLS>5!0CMW@iK6%V|E)(0MZ$`iM`>9oWiKUV+X zL)SwJ>{fG%#vFKXJ+wfv`Tm7NVJ9D$hkkeyBKc<;SKP9e*IQe{Qzq9$v+hrj+_$)? zTSGDawCdsV(1I5{o`2_Y<vpG8d!OD-HP63|TzaV=+O>AH9F#ZQk!%_Lmn~L?EkESo z@oS!K@~a<wW>5W~-c`;kS+}aG-|&a=QG<y`cY3nkuk<#1bymxwVAqm+i<+h{JDCtG z8gs6PdrHgIDFG{rlQ(z-UN?+bv*NYnn&nNwGhAy$=bTAk7M*h>!FjHs_I(f5-v&R5 zRdeiA|2PG<Z?f3F>OpnRt9Y%p+BYowgRV%5e9IP{bM8TYNP$#yZ)ky5vv0@;)w+et zw0>|kpPjOyS>)QDHLdf^zZWfBuYbI6zKnJM(%!k}gEyZ@U&;6<Gm9bKhxftppzJp@ zt}L;;wPvHyj)^=~Z*md>`saS-d9`tKpQCNrHKP^B&q=X;y%BkKft>YxgXF!>f6h1< zm3k#a^Iod2^wPKQ!j`X>$ydq#CDOcWS#U-9)I0yDDMj7g=6UgGrtpPXCKvw(y*}7; z*z=;X<dt5FZpXVFn<cOG{<zwgqI^y<)cjElTdDH7<N)(WHEgBId_Ja+n1V``{Za$W zADOU~D*L5xNRzx8d@3YzZ&jx5cC)or%{wYyz54q2(aP233R|Dq&5bIapAZn9&uqP6 z-Mz<4rdghxY`MQIN_xGw_K`BL%dIz#Ezmm>##XAh&0q!h$Cy<D=RtH1INQwK;<UzJ z`^dYyC!O9T1&Al9H}4X#mblV-<HV;IPBLDikEYJepBl_z^gMoYSO@RR>dzsH^E^Z! zeGF0jwv20|WoXCZl}>ZCwhN@3+i$j3K>9M*$2XFp9CAB?zxOImyj%G7*Otex)XbY@ zx%KvDm5EtDGhUH>vp!+dwCCabGR^<5-(<IJ+M3<#o^rCCUbpng3HK;n^X2?GY3WsV z>Lsxq`woAdqu9KB=4QPkg=x#V3spiplAnq-o%tE>t$k$L7N<3j=DCSKN_kbi|J<BX zMYp&9Vvkxw6#bT_O@Dq`mu2SX{qw>)*1p_*_KED3zPIe*v$OSUk1kucH;b$EZK!uj z`P;9Pw`8x+&5T?(V`k2ur#!Pbs|z;WyS#Jm#jkafre6Je?}%#r)#~Fn{o}WKU$$iX zx@K3&z2vem+w)ta&#!teab<H>JV>y^(ROv+iGRz|ubzD~p(^v%nL9?2v+8D=9nAAz z{qoe6UmKeoV=q7Dc{NKfIpBIpR+eDgnFaq2bxT|^jXkko-Ag96Qs3o<E3$tvh6l%9 zUb@n?qBF8(eUxBz^Cg3|O0W5SSIk;<!mf_ZHsia&3hhnP9b+dRm%JisIpx)=(>Lbr zQu3C#A{uDiu<nEsTd6Cb@ywMR`#aX1U}Y<HjY|}p8S>=XmaaK=ufm=<WcAfrnLhir z{Mn7=LB(&1SKdr7&+5CI8YV4y=j4K&my-g*pH!+{7L`228Wx^n(EQA=d(X^}C*ql+ zH;W3l%wKil#uXm3HpkeB7guJMh%~1iy)|LYi5KT5#ixk7&s@pTyiAGbRhLZs{52;6 zxIm^XSa%|U?W=;+kp*%t%#v4{GgO*?<(M=%)|yG3TVN;6n`|)IdTspO8G9~I`ZB}5 z`uw!+tB3wcTHd(AX7I#&(JhHp8C!*UF6~g|xl~cPDsxZBRg-&**fRI%@Lt+seZ}OR zlxgqnBMQC0k1F&^A6MwLKB3SXeNv&f`jkTN?9&RpyU!@}-af0)`}>?iuk;0lUh9hr zz0sExdaExh^txC2J{F9>T(OM*QpFDbOBJX1FIC*(zi?%z{fXn;4GO*L-xPYonH;6w zvo)E-39)4E(dD>Qkt?v|<39zD$F5Er<d#nD59fB2dN0vr5@*Jexu=xlQpI0^B_HLK zJRY~YOgJ{XQ=vCp#8K+KR+C9w6ieowy&RV+dIgt!v{UwYeAI2iG3h>q-f&q*srNQb zCUJEvnR{3{FIC72E%_Ly;_;Z(vte1DS5wU%BhE_|<w8q73aM)JZ`X8`%6DqYtlTfO z<l`b$kH@{<6OOH(uFzX<aImIQQuvAXQk_>-YA23Y&r;|WH*=KA&ucP?d&rWx=QQV~ zij~4T-1+5AHG7_MUaGh+>~p$%zJh#yLz77yD{JN+VXjLRmLf|&PSfysTpGARXOB78 zr@;2*nOELsN=>bFl-gS5D0Q{kQR-`rqm*c!qm*gAqf}^vqf}|5qtw(U$6M?6hJOn5 zl~@uOFR>)>`O?fQt(j6&;~k~8COAr6O>~s{n&c=|8s{iwn(8PO8b8Zz>WpQ9>|#p- zCB>EmYKtuiv=v(t_*HaCV4~QPK;~tcS9&s~LK7XON|PL=rY1W|ZB22MGEH!l`kLk_ zC7L+PjdkX-KxMHdfu>?h0^P-y1V)N22^17t5?Cv?Bv5`?=9S5rQliO@Ql=@6QlY7i zQl)8*QeP7trM6}`O8HNib!_s|Wgj&pmwfb*T=KC%a>>Ujl1o1BkX-Wdj^vV$3{p!z zsz@#Q=pnV_V~*64j}xSpeB2_nBv5f#X2_IGDbr*}sn8ThsnS$Osi`2>Bsof5&2W@5 zZJFhEcHy$XP>Cgh=@Lr<D<zf$c1tV?be32WxL#sOp!@R7D|a)crj|NNZ7p+@x?1ii z^|iuLs<gyW%Cy>1>MHLnH`A77f$s&D1TqRP2^1Dw5~wP;B+y)NNua0TlE7%eC4squ zO9JZ!mjq4}ToSlga7o}+!6kvm1(yWg6kHPcT5!ol7uU-fyB1|`3FW+$u|;Uf#VS>g z#gg6=+^VN3^tS0bO6kTlnatY7lDVal^HRnip(Pi&)I1hj`b==!Jwu_F&B#$oH?_%R z))|(}Eps_9Ww;10`RM0yxgvZ?<{lZYOBLQCOFr(>@OZp8aKbU}B?`Ufo{m!Mr!<+| z(`C)vlfreW;<m_=kGC{E9{&xV;1)VzS)l8Z%qyI%nIU|vnOB5ZGeg8#GecNeGeZ<u zGfh}8n#}6RkjiyxGLdR$$=o8uc_~9rXvswp6_3S?o)g^OPFWV1y)-i<m^JfCIBRA| z3~T0<1lG(eeyo{SGFdZ2f-<G1hR<@#4V~b&HFSd8-_Qweu3;10R6{4YT@9Py7CUuW zVEfX{kSNy7D{-uuA<3+nSJGKCuY|H@UMXPB+%w1QsddV)&AXQJUAR(OI^kILYlU9% z8;(->o0?7HGTAft+~&JfaZ+~4$8FXgkGGajIQIL!La+EkM=8;$S#GY=7y9m><R%(E z!7Vm?g4@#Y32v=n6WmNA8kU*V%yK(Be_7yF@daO(ozwSN{7K(qv6z9!;x>Jc#c>86 zi~D>puc*FkqIJ8;B<pUI$*TKJCa)egnY_BuWRmr)$>h~uliptjzKfZ3JQl0zcr5nP z@mQRv<FR;>jzjRRO$zc?LFqW%QA#w^QOY#SQ7SasQK~e@QEF<gqtw>C@C)nKv<FpY zNQElSa=Yt3!A;d;f?KY~1h=go6WsoKOmK7coZ!~$Il=9!=L9!duL*9cUK8BbdQEWq z>NUa5)_a0mtM>%Av)&WjM13Z>#rjNeTk12xE!@C&arcZxn)5f9%wl1bOZ8ijX<~fg zWk&b}H}0Pc8Tvo*TsEodd0F!1f!E^JKLri$mNl7DvIj+cE9;hCbgG-+{<APs>hJCn zE31;F7oGNL22XO|nVu<icU#G>kiR^-PmVL$oO<nb`9<&2%r6T(7PtOc<tS$roGCST zP08*HtF?N?KK*6r|1|NE$uGZ`B_-29mh55ZFRHy{vdiV=uZ!#;6^|L^o?cv%`K9F2 z&x>0%d&NGjX04dAc+#?qjh@RF9}kk!eG<<9LF2!&@8bALdg`(hFJ&n1cWZE;X@BCK z_~v(Rr>vaPy`?)YEpn<md+CH>uERoOk4@LS4jaDxym)eUyu#DgC8uYfGO*Qp(tYWK z;oB8UK+=AP4c{^^$^625DRi-MfRyeNbtaorTiq|e__Wx2#;b*GVxL~KR7^SEx9s9% z_vh}{=bA*F*speAu}q;!FQ1i_ebtjC7oGlXWt4jwzc};D?u##9WK4GB{&}6XqRKza zc-A$w?{(P=n3wG`T(6nBf${R48IpQ4-Ze$)RooV}z1>@Rym#i~V|(7E?K;pq^L10J zUd8pP+fMY(eBN|<-HXk6vySTBo^zS+cE-{_+f)mZ`*tVH<M!L}pw|5I<h(OA;xQ#R zf9;sL@5LtFf`by%a~Dc~p2RIzcr&gnS!`#*n$z2E^j02a^^Pex#rph?)}B|#bczoy z*SpPS{>)T-PWjDaJ5!_IZrb~<X{(;a+ooJSiT7T&A0ONEu<5T}#bws{J5sG5AL}V{ zt$(&@?}JTypEf1y&3M37alE(kI;;4Vd-obNPrW$M(5e^lq=~a#=EJ@q5ov>qy_V09 z`4lCe+ie(pX9joPnGo}5%;ssEq(3i|-h6;ne&+*Qah)KoN@lyVWW8MpeO7_e2IpB1 zFSB^Sz4V5uL$&~~#G1Z-wml2K^lrP76`XxwcHSBHyfX#n^A*=^`94+cYS=+v<6Eh} zFX`T9D=jj3pl!Zv`|Pw<D+`GW$9p4R9<zCL<W0mfv%hL-8*hh9j$!n^Gb41}mZf=T zlJm|OnWrtXQjjn>AZmNE_vfkHizoAZ@=L4C6S|}~Uy<bwzB}Wh-i&8WhI%))pU!ON z%sb;yDr1_^_j}{C70go@XU8yl-<{!q$3#5#!tva>SN(RswfNhroZEYQQS|&mRtw%u zkEhH|YrU<I9n1JS=EG8}*AfP|eT`4E7RMM|X05&R&?ao|Gu{_(kI9r=&M9AA;4*g- z?~QH88Rzd#jW#<e+mIJs|E|efFXGX%$X87Z-+jONDs9(&(G5p?Egys>c4$A)G(Vf3 z$#iFyUYU2nk+<yKcV_tJE%V=f$!yut9Y-VIAIm8-zWb!f_1*IN^Rf-xch8w$n9F}| z*WOpfrMXErzU?&peCOmlS@X1R>q6h6+qs*ijSX`Rb{Y!LnIW6MbJ6vkIoYurj<K$e zF*qk%yg0_-5-W48!6DYau?BZo|6U4{6z3^87$9!*s!37r#p|Z;cl*C~NPk{+TjAE0 zQw>{wF4%5;MomL^7Nc<NhRBzfcxF5~mQ$Flw%aiJ?u@y56<(RMd2T#C_NF{}*^W!w zcINo+&bfVe26NsSM{${=gCXHx63w4UipvxpG%-ILX#T8e_us06y+1W_jh`QjDNFX- zVQ73O_nxPDT61(0W4G*vi@lOBj^z|3v+XpTeRsyeyfb3vX&us=ul7p5I+jzM+_uy3 z_T3o|^L+BfdkP%o#d}H)D(gu+ZQ7?N@wUl5*5DZH-xz~yAg`TcU4G@{t%l2b5sS|K zVACl*xLWVFv|dH*T@J|)%cM7-5iK~@EBSm~!{u0md#uJW1_xP<V+}5{ZjLd~%oTso zbW(4|<0ehL8LyjG>dkoG^iwb5O%tnL#G|HGy@*#$u6hyAn)vS+Zns){<=u?v$H!`( zEsK18tmf&lHO0wgTH4jj*LE8&R^Royf48pG<6}PM<&4R9XGrFqu`qw8yfn%%VUP6Z zjnc*kdo8~$5;nfrJM+!D+mr9in3{Jc#XPM`+W2Vi%vZ;JN|WOXybaFwR$jS##lJkM ztf%C=qVc7uD|Zdf`ee(NAO6x;{bSyXx?f-4najR9_NFAcZ&yP6tvB!A?cm#G$R6`y zDfhmDgF5Dy@8+Em&RZ`vrELFWx!U`s{=4Vzc)(l!Q2xm~8MEDMjql!d?DM_-Xh-z- z^4QG3cV?WwBUgWtwcjGg+Rt+Kv3s-cmi<1|dvm$ZZeEG^V(HtfWul+u6|(KlDekvO zXyZP&W9GiMO`G*9>f4#!cJJ;zn0b03_q;GS2ev(rn$G5NrtQ9Dw$m_v$IQ5rgRJ5; zkD3;HMQ0x<ez!t?*&8dKij%3<Yu0e`SUhS<k7ZQ9Ym$C9Z|9-E2j1^AJbibDZr&MV zJ&AW^Pxt1j&wq8y=H;=N5>}gc$7<dkizzyoT7Kny;boqJ{fF4|zSm#rwR~3AC9G%h zuIcnU)ibHzf1fE|Kk0t4{>=1UhUa%LwR=3RYK64%h1|J<dJ=D%67y#5)vGwgT6_22 z?&EJaNZ-lZdA`^3WmA7l!I`&u%2N(Dh(7qTO4fSns(B6nf4+L@yWi_f{pZZDU!IjF z{$D#|QKgr-RG8GM6_=#LLT~M{S(?0hnMu6q6t-2<g1E1S&6;iHHNR-BNJ#AJkAf%H z7cCBt{k`tP(~Hv1SGOukCaJYeOIxAkxMP=@YjZwB?$rtD482DMrYi)+MrSXa#d7i0 zts~r5c^BLj&6;6ia>mJ*Ib&yQ6x)R@+=m!$^)B4TXrkkMh#|^lVIRxJSGQC+uS*;V z?8){Owdg9G%eY1C&~+Z^v&$KR&2Gg!e9d+tfbG7)&kIbf_e~nIN@}#@uTQBei_v$y z`YKAWKVRCx*LGhrL+H;F<_%TFHP<;7B+1@4HoUUu@o%;O(|&mghutiHE-*;xJ#c5c zu!+%J!r?OeoeYNZqdzt>-r;zxF7)H-*L&jjXRq@fdaGBb<``GH_wZ5QpSzabx$-DY zx#4%jog|im*Im2Z7|iczOi+l6eZMg<gJJts4WkC@OcCh=XN_M;9{6kYO8S7Vk(9)N zSVJi(5Ha&nfpX9M*^dg|cgN3oRIs}{+P8K`<uUzUhIi9HYBTR}J+_|r!8(ckh7FfZ zq-NJx%wF7ivOPM*#5CuQ=;E1-cQ_XBWW4ixQ7hw}caO}NcL+Op2M64{y)blNUUnY$ zhv>=t`O<~EI~Sf*jgoe-_T6IG&^9L{n?YCfqF(&>Y`y#)ho2noj{Kt+-=8bRyRdfO z%g!R{E<T}<)$7(jyl5D|U3&ZO9haxf`W^A-9z$O2M|S3l*{!>KGV)pqw|6c~miw3C za#@+H-Y93!mE-$o23?Nlnad+x%JXA$;^U=uUOW$kn(ED$K3i&MHCrO?)#G_Jck}if zKfc$^Wc|B~N3XP-<-N#Cs#w~$U&2A(>`uDZ0bhl8=05{AHs-0xzf%1m&vxN8cfD!D zDvlEKODi}I-1p(h=lj^exM${}W;c`knIFo9o#T!Fr0<)@pP|qy*VY@?_9F8}hFWW! zTHE%66(>@>*v<YN4rvSv|FJxAairM4(+u~l9w$z2V@v%py>sDF$$z&Q<{kQAFLWe3 z>-JoiC7#E(`F`Fz>sE-8;LD4fuQUIQlg;g%bRzrKuWwDeN^fuZle{n7`Sm~Hhx7jH zs0B&YE~?_XIIm%a#{9pNq}c!12g_+me%Q|zu)g7ca%EOVE=N^$PODTDbCaD|;Oj<r zokh!-YjqcuG51Hg^s@bmbTPL4qW&p6<9HB{!m2Rm+3AT2g2l@j#n~cVg!Os0b^Old z*%<Vqt#jc?nI}6%4tG2<{h}#)Sa(qoi*m;y(=WW{ZbG5!7xEr7=+X#s&c0x9>(r8K zJjptX?0AxO7ky%R+>zp}{g(6CZHu@=$xXWI@4pycJofRD#N-^SIKAXeu6tUJiFB_x zdN5>m-z;gL%^hk*GxEI8dKaD9@UmiN-%L+Um(3kFu9dVN&FOxj(`_ksF;}E`t<S61 z2Irr-%gR2Bc9E49i*VU1B^Kq9D<~G}!Ye2yym9TycL#-{jb2r6xKeb<LFjaj%vqj| zcc!(T>xf8v{9;|lRF^-qN-~aUb-(!3aa`w-p3v&fPb!PJm99n1EHOC}b=ouBWzFV} zYM(XRU%t?4=XKdAbt{rrTKADA_w1aLFC5y>x?GgH^_DMMXOXk?wkVhD#yOii{0eX6 z3En-s;jog`m&E0|lCl3boK~`8P1^2SB7H=z`$bLrUa^a|{Qc1`>~=PZg60=1CUtCG zx>)AhO^aQ39%^>KsOb7D_A#Jyd1pkqmHfj7UDMcU1s9a8${tE~zc6Zb7Q1+nt6g`| zZ{fZhGA|Tb7mHnN<vJhb!fs@fE*N{}!yeZXr^DQ07kzn;>nz$V-WTmsZZKy<hhM0L z=aGEx>lS-59#`x-;O&y5x{K>!s?s-AE8&NtN`2+Kd>>A6Em?DDwac7?FREIv>nti3 zi`(9@&e0+_^-?E$euRs;{GLq9mmDp|Vi!X>@*`c+mG<Nc-YxmiH`U2qZcp~(7q6N( zi(QoDcpvFfuDB;(aBgwIIj@Dk`Oe+8u=??!$+d*>5W865*(UzZ8%-f=A1F(^^K#j# zJ>v0Q%W1c<BTG1D%bbvo2f<3;jI#ni%zV1!8O!`=mt0Q0NEcqteH%KW1Zy${O%-?K z2yT`CaY`x6=7M#K!27rh?H%!x!{sYlI#eIuW&d@{;@-puflA*5;^r@y|MZEb%->Fn z%b#YkFzcw_on$bfW34y463?cN;}JaD=ImiUD9~Ne-Lz2bq9n`V4vW4QeT@&rE(XXe z>n!rLbrbry`oc+u#X5_ux!j^%+C_UdcIfF!WDCxXGdQQTt1n@XYl+@LmhKmIO`T#F z9a$&qJW7<AoGbfcORJ_?Uta8tEJ4}*24|IawI%NIbw4g}Mj>hT#a3ox-9^PLX@Z45 zmu(q0>po%?ekQOn{o_8aV%<fH8J-DlJo_T9;iuTeolM+1i@e#yqFmD1bvAYA@kZo$ zpOr4sQA|4jXsyMxC>LMLX@V=i&dsnCyzZNIZ{s1Qdm%}xg4MFVj2pT-{`RObSM+rJ z?Fr+K$W8r}CXub9{(jPiYhDlk3SNsmvRZY`_KxXOZX^iiYi_*g_0Uw+?52gCQ^Q%8 zEH>p^PDiFKXtv!Zv{CiNzW!XXiwEUzi>_LEwo7>8yV%_(0nrIjrrHtx0g~?85o;83 zr;DyxZ+6(^>Qw1_dULOSyS|`$_w(wlTYr`>%6eYy{jV(l`~GLu*E5^HyOesqyz}&) z(99L#>650$?ouj$IY;ICwX}Cye{HpDoun73*Lc3X=R7g%#diJ;hr@EjFZy*?OmC0f zw(*CTVA<_sk9ZZn-LTmAr+KegpZUIuIURH7>T^HfyuIej`Qww#*!PJw){1?!<+Mo^ zjGuF$PP_Hrvx-~K9L^WWZ0NANZsBpn(P@3UO|szo?!u0atxMI-_-=2ya9_$jr|!#% zV}ac-`uoyz-+hTVUMzNTx$OC9m-Xd)5(NLAD?Br;XWmPTyvHxx`-RQet8P7B;`-(2 z@ouq;(enB?OTHu?I~}IBxA|k2>lgWBno4E)RT7UwyI(Z-T-RAN-6(E*N8K3<-y>xU zmmA;P&{21w;&RcYNsQ?oS3am#oi4hR#F&4(=+YyG_Yr<kk`H1}dls|JQGN8pQJ8Jd z@&Mnr<qM9vOq3Ef+4W3uL!*<)UA3Ucu6KJL)y`IwU0u-E(d(vcFlT$=mbV93mCmVd zVLZq#b}@`aUZ}8c(N<2oO&wvHKW-@9n*BhyJL7ayw^(3$lYefViRHocZ8|2t2cMhu zsp;%UnccKZ??+R|S(nKYHJ(R~EqlqMCtPT^B$RjGrlrf$IQJfQQ#+e~p}pg0;Mr#P z4vkj+FAMYqmwxb+w#gEd-|J}K{X>WESM(A0WP=6|UZX{gW_|qU6DGLU%wlWSeRMcV zB1v%my+Z-rKN7^-B3+V$c(!+3TO#3j<k!cS>OCq+uRmQ>@7dIG-ZpWIYfTGha*mZ$ zRFaNhbknh^66u1xT88(Oqym$gTuYRXxhVOGlq4NhQS#$0Q9hc|{i2~;(@ZwD#PF(; z)yJe+t|gz3mUOS^Jj|n1XK1&xW#+akThtHhbZ2C>-87S3d)J`7W9!m|O3&1a6=tPh zzGx=!S$7eyqF98>T}3gWl`m&!2ntqnh)#~k@pem2)7fZwp+VAHchN<oX^}3AlXbRt zq@9jP6!e|C(MYm9Vo~TeotjU){gFqG-`j9Y$?kKTso2Md)@!zQ_}@#M>ss@M@3rnD z<MJB`g7TXWyLJEQku{4v!v1E%O(nb7c3rWLjaE5ZJJ{~ua9g5Qwdn21NwO0&+ItsI z60z>QQsTAc^szww&bwlP^_{k27x`JL*ZNpxJSbG^WB(QMfK%GtRcxNhqcx7AHs2yO zOIi*DyX0hBaVP9|HTib@ve?Bva_l*lFC`nB&Dg8>A4qm*B=$Rtef;Poqn7l3p_YJq zPUMRzt&3euBiI+E=gPbl{vb2aYP*1KzTnovii1T#=i8FErId&qER4>wYJbq;TEcPA zv-`!XMseLm!t8OII{Kt5ZYu3cc_7;TqOCDl?BZ9Z^-(U%x%Q+9%4&Yt<ytcFKxX#~ zu|{*9ML(JEsRVT^UzCit-{tv0_q1m*$DUlv%;gQ`I_h`TKCFAX<dk5#u6nQP2RXs$ zPAQK)`Hx?OG!~o5zEv%_cWQ|ytKN;0EAo$-yEA$l`PYhM`G1_~>wcZ9CMWgMT&DRE zF8Z=DDoOkc)A{VScHFc0aYpIa%?HKZFC3e_#V%&E#Yec*OVnfv)+Q9(RQk2|fp+(c zi%s`JJXTF~zH(gY*YXE~-7hMe_K97*&C(y`!Y}+~bH_gA7x{v*E(Q%9TbK58u-&p) z^}(>C<8gmhHP5z=XFU>5N4_n&DAE??((J~w*=S|k@dBl7roKxJ4jl^=?4M}XH>;v& zljVg+tc%zBNF7e#5!|oFt8949YvDxEW05Y0wR*O9Sk?G!u)I*jAiUP+7E8jUv;E7A zXXHH2@NCdD>+@ffwCe2K{$)wCo-UcKw@moznuT*S44XSXv&1GFURJW&lQhk>L>t`r z>9Q2NI90e<cafpuGr_`ROJ*uOyL~4!x`WkamAHWJmX2vn5h;RenK#~0vZ_t$)HRir z-FQW5mwwVr*Al%WMcprqIz+`Tb_!{CW?jATZ)U{QDNbKzIG)-syqb4;QN;l%r8?y( zvBb4&1@~%hI5%zbw~rD2M`{^$-)uanB()=1S#UoW@9&K#y%y?<n+X?+ElafBCa_Wb z!YldcTt1WE$IYaVyBltt+mU-VU2o&zC(GrmkNC~*JLYmD_436ksolPFs+I{=_lSJm zq4n)nNzFQ;e&NF7C)wpbw)if;Fj?%QqsN=g9sZ$@G`oLrsC<i_S@TcO|F(sc??Z-( zIh<cx82N4$efr2`lPs9~sqlo?LQhe?*%qlM`<27g-o7lD((%?ayxzuJB|7dW!$Zr{ zC!cG5uo2v^E5GFcw@Z=C%ICTTXO4X=<d-ooeB~)HXG2GS+k+*pHP0CIZ%JLOWYE89 zbs<Dn|8|h}m#(voZ0{^mClvJ@Ty54jEwAG2sU`Ugapt7~ejFCL#S28G?<pkNFAiqD zx24de<*;P;i+fGmbNEV{58f8L=*;&1hRh4m=5pOd+(PG~{C?ekU@Lt*-QvT(-o>xk z&PBTH7n-wuPRW#mtkTETn?5oMRvXFw`>@b;OZ*{s7a8pu6CruwjqaCDu+JCR`1EIj zvYyb#kOS!=A6K4j<kx*<-2FpD*=~CWzvd$$L4T>5M}qMYF5MP2o=40cf9~3mQ@o&2 zX5Xg5npgaLGn)^W)R+j=cFbU&FM32-?4zUkm#rQCvmXX3c|Clb{vus)KBIHJQk}1z zNE@TrM@>VXEgkFlBvJ%xWesL@C^!7$l}Hk-l`^=Z^h+gShHHuP0Z@{cWie>#SnHi! z+q1>;f`j~Gokf9mZqY8zH9Z?Uj(wNN7UX?rcuq;`X7V0alQqX#x-)$GJH;+G$W7K+ zbkRmF+QqrjXJf~)k2A6ac^?^`RgyZGyvy~A#Q`3rYjfk+W@HN5avPjhsuE7v=K4k9 zfK2xb(}ta57lRo->nti~coyx_&!DrRBaR^=Ls0ks#uG|Wzmqq*ZuxLrs5|3o|5CAw zljKkH1bs`7@H-;e)32P!D)?J+zUv{c?jH(5VUb7F(>_QDx{Jz39m-O6wRLVWHS6nt zkf1AAZ8=}}xRvm7xsyk$l==$n@_TvNRvursabm}6McIIjogQ`UUl-__-7cwFB@x|W z@$09B<hENypI++aC_nn~xH<ktp5Xjj2l$lMz4-FwxS#gqb3bpm9tm`9uX(fW>tbiy zZvq?7Uk;V4&XviP`nbc_J-pN=@w8KTu}!96ulUCE%NCm&&)d}Ttoug3;Mxrzx18-i zw#Xu(c)?X=zFQVn5sxKjGw=Oec;Z^ezYi8Uf`4xo9#N{A@OXvm7lz}T#V$UVIv?p0 zU1*bEy!<jl+=ibQBSeqqME+>7>$`2S>&t@{SCfy&JeB&i>=yQmcm4?daezBc>Cw9h z=Vv`g?S2v06rN-CYx;vJx{`BUKdjP~l%IVd+vU%;nk8)OqmQu572KLOx&QxTUZrng zb{`weJ8w+?v4;8FjXyu8FyGts^2aIWd)szit`U77;kWN|LwT;u{jvj*-9P3r-`m`A z-t0l`>^^>@2Xodk_of!!JN9uad(4KHKMpb5ZRl8U^}xCNM;x==rjCA>$I0D4-q_l0 z?C5uWyvViYmE8QOBkV6e&UCFwlbbJGX!5DCGG<f9`F)SMPkXi()Oa2VTO@3ucU$V? z!m=9IBiEK%8&_R^Go`jk@63y2!N27nIRuLzJWhF${rH7=qkE^t{EL=ye{*DV|6iQ% z(d)K|(X21-uY|{uqDzzIn{^g_w_}TT>96J4*b(<jB1_Qrv*8(~s>jJYTuXKx=a?-Y z%Wp8L<9@&04~bOEpT?{bzDMGY%QGC1=>8$hDB*o1?2+<ciFCpF8;)0;_Dq)omG6yg z!n%tt^Qc9-xJ&wM>o{jPBS}y;$>6Gz)xm^Wt|c`GOS)gYX_6GXc#zdtcTq5RTBM7+ z*qLn|=d@=e3(AHWTvxJMnJ~|_<j2t(rELnb%^Mp$-Y)DE6WYl7a$Aq9Szp|Rh@8hS zSesQ{-YAyv9lVsn=W<#{y?znn>CPLw{s^+K+0bGCxnZi<M|S=VT^+jT=erz8HtVxr z*Kk$rqq@k3V@khnB&|K$|89GP`;m_h=VR7vFD$urluhZ{-1AZii(S9`KB{)w^M69l zwvM_ZH<AS9PaO`M-FKcPNm?+vlb<tbckkoL**6wuiL82k^p7p`_P902;sYW-7xu;< z<9_$*+TPpD|EJ#H@_Fy~^1qRFs5^cV@BTS<clUGc8^-(Hug{CE{<AoH`t0?*p(}%) zR{gA>I^+MBC12&Q2TXf2TYuf}9d0-7OYOG3=d(29;@ac7^)IhIKF7Z6tCr@Drw8|! zzrDCKe0zO~=?>@1lg#)_-(TIA?f<jf({nGI>i4pD7au>IG-;RWU9VRs>s?r0f6D8r z-#+`v`)fO^x7U~IzWfs~J&*t1rKQ>5&+*^??XZ55T<rVb!H$3ZocO+-$bam+`TUl5 zqLs%s*yxuBe$`y1HE$hDAg{)$<Nv$_u1;9=X@may%s<y;Ttqu8(teBnI-x1K$%3^s za$c6i>~PLaTpFvbj)k_ZaXPiCF>|Wo>W)oWNmZ#Qw3e-jnh_|uDI{HdMx^AXko@(% zAEzpMmwsnad$P61eBBP6+lsFq?3uq>;i~kygSRcYgJK^>$()Rw@QVHV!;EuHA?iP* z^q%Q>d}Vmxbgt>j^Z!<MAEJ-XPS;<tVd7iwIq$fpZpcsYh)d<jc1gT{M7eT*pZOV| zi068-Jvz4!9^Jm-NWS3zQrF9yTO)d2Z?x7oH(V85FTJ}+Wbv*q<r|FM4OcloPj=_a zjn>%Lz0`6`?!w&L?;lq1ZCxmFb=&>p_hgQ)*!Gw4TgtvD_M<bT{tC=4p15$;XXTtz zu5GJM^WK=z&2!Z=??~I8wvSCdDO>b+{`N1mzUlVEFfDcR28Ed))FY<&9y*kAXns<n z8E5w-&iXdin<_efYI`_8Z}yDPpZOu}%vrU>zbS{J4b*41@J`}<om3XL_s3aDwGipQ zyXLwu+I6PST(w}b#*yPUmubCG>G}}3ZDp5LXHw0K+*ObK7RlM2Fbm!5x5!Q}WwzJ6 zsZtMWwyl&Z5#lY>oA>a^ow#K$?<_5xu4lXJ+nY?c1sN?%XZpQ+`SPoSi2d<LT}!V& z4*bL9{b|;b`iZqwraD(eDzxK1Xa}*}uB`72*9u|1-CN%}U3*?!*^BtcOS_g&kNs_4 zVfcRAk9;HV`=9^i)lalu`Fq#bH&?f|uh~0q=@p|35~u%moenJS|0U=9p(1Yc4}EQg z<28a|t2FJ-1a`>mJNv=0&*@1<ULUt5*Tm-CtmmF<xb%I!U7)w#qVBTjb-lg+ZmxZD zk6r%7)yD_l-QE3dhwHivwzte)-&t*J-;vRIccPB4Xh+x#>7S{4>MA><N-~0r;``6> zPyh1f>dx@+%|X{h_aANaaQvhGbZOqTb-AA>m1*t2ZD=3FnI2LqlF{pF8Fx~}{6g-A zMM5qs{{}|OtY8Uz6?21cvbN(CtLD3n#&wzEl`4N89+ZpyBBK2K#QldAJDl84JbAlt zrp&F!5%>5XU7tQHIeE86>46y^uFm|j&#UCfse<N;yFOhi?N^-ZH(&lbw?be4bxD!i zFWY-(?dHyD`PWeX>DBSjo2R9CzZhnIDp<eHZ1?UDsVcAEJjp6DKlkje%>IX6Z{FO^ z*(AJTanBFseLPdIF<)9&#QpTiXZ4!MW9Ap5!#?Im$eq*poOJXa=lqs+T9<ul9-XiF z_DWJ)&2s;=2MIr_Kju$6b>$CZ=;iw3>o!F!QMf3%d6D^%&)%sI3pV`yESJMQ{aS|S zKBmJJ`eM@$XKV|M-C!CcIbHG9zUA6%)2nRfp9+;1ITF7?;q&=WjdzESuCCYH%b20? z?)a`EyXi8`cMG=2s96^LV{Z4#-ScIgrv1P8!k@1$mF(<tDPN-zIxANs*2J>mUt9R$ zn|<LoedQhAXRy7_IbXfoymrBK-7C#AL{BQLpT~dqa-;T|+<p5WCp}UB_&$E(o38g^ z{(sc<TC}!oTDH3O%ALE+?Ow6Em#*3soxUR6thH^<^7K`FOZFDr*l!y5zRSn>Mwdue zyMgVbK=$>^j~%u*FpA-P8dlw2|7d2{%A`xFE6*OTf0)2}>wu3ge{!_S^`+5QU&L3e zNt*t@Pa$;f|6{A(ga=t3`N#f#y6t+=S()GeC)UqQ{_EemEcc)I>QiYtK|<U||0fpz zIG$>tw!1E$UqAhTX8Kw?xwume!Fqf9D=G|`&$Z2UiRV{0evos;)8^Gfm!ESsIl9{O zA3wCV=+C<>jql$#SiPU-)294g?PyX%yW+#!S~cOb=Qy!d-IzChwv6e2-cK8J-7T{e zc)x8hU2o9M95hklQDWedE$64&eLr>1=*r;+kHtRg|4sUx^mAc*rN!LowO%{rc)x$x zB{MTMXO7gXk7kDs|Jrco5!W$?v+pZcq<723?lv?1I%#&}M7dvX^^<SvdS>S8yH!TK z`TrqnH_P4I)}0sS`dUsun^gVTP>yf<Vb$eL8|7pVKHAarI^Xtv@LI!ji?2Tnl~^KR zc<*peM%dZZ^;gv@D&pkiPu-2Yb9rs}Wp?>Z)hqpy7PSjr<hgY7ZvQ?@J(0;aYfh=` zPqEs}JoimvYS_y&j>%o^q7ye&%~>^Jj^OgD3gsBR#d8FfpS&mkFLh5`tJRDdddHW~ z_q9F4WODfMq&2Vd!*<NvWbSS>%d7j!_nA)<BP^2tDH+~A{Cr{0&+el#{L`K6&!%`s z={&2lkk|S?`2=U?y!P_b@rx}=&rTN(V4G9)LhnO?7PFt_W1TM_yjC{Hyj_<gDPCz2 z&NqFIf_U{81D(_*-`|w>|C;A*V`UoO+8BH=i2w59wZ-@SwSpI?%FR2SJ@01eldCPF z9Z%)Neid21x%XB7Ca32&*3>(yVHGz-Pe=OIZVam1aObIs!0IPrdgYe9N0W<gPuo4m zEop1J_#)rO`&aCk!?f|(;kJy^Kk|zv9IU$)u;%LqlWDsbxFyYPPc^*BuC3$YwNm?9 zb%o8W#Z#Cz9z48w=d`5(IbS}cu1nPvn{6}aWT;o|#+%OjW3sO}<b1qfAFj!JG>m_G z@tVNI#v5wk8)8mh^2quAA#`2pO6{@=iCM{!T--<fb_Smh^_qC&X=1{<psu5N{LMvE zgZ$roG_VNQ{CYHwe{b>BOAa>g7W%IXlIl{ou@TA^+i%LQ>H5f%e|Pbl64%nBcKnBn z*8~JktT=Ueg^YHH&bR6c&1+isEV30}ZokUv`Y4k>-bLFnXrsl0#IV#UE9Y6fNGwbB z$Woa1(O{{Oim6DuP0vam&)y@6{K?vmljgAYSIh{OoWyk`kiS^lIcQ-;nA}Qj=b()h z=MG;vH6g^`=6zyRs>dq*xC!kIrzTu+keU3v_tb<d0W#kW))}do3aQ)ltiN#0C^ksB z@Y3NkGOU`y3?CP@n`@gqRbxHb)j9piMB}K5n%8Y}_P$AsZZ-SRC*a5MNR<D#c8L0S zv+y~eKDew4;ySweQ^Wk#Q<Of=vSEIrwKQVSyTtrA*D4C#f|{<|FSpI<|7Ue?fl_Dc zdeQuf8xubYzCZQl)#N=dA7<#NOSV4hX;<EPQbQ=e(qhi+o}`c4+MPFYZBK8kIDYtC z*Y@Rd8t+dfmmht-Fz4sdNdEKB)fRi~dH!(cofMJo@3uD4AHV5{JhyqXs-jv;xUTTt z;hSC8oo~MH=9cRNsjPYMFk(&IpJhBLOV;c-+Ae37I`LFYaQ%}+=VvRg<R<?0dz8#y z`}a+d=?fLRiSDBNJ^wtHT)OL$(2UC$TrGKzYAGqD#{6G7htv2?X1LVmYj0KTK7Vj* zy)0fLG{1UBz{4-CbC0O<Ki8hKZ^h&vhYs)LIUgQw_+^UIzAqQ{XZbmGS$Ce6`}I`K zrR?bXl<+gY9dBmtb*@;Fwfjfz(in4T(RN3Zgo+Q1>%QCHSnbmF`?T|3xmOc6ReP3R zwo~l+Qao+?CzVQ-mcX5-y?0iB;Y}=2JsDi}+}&B?v3Z}lR(M=O$TFMoU#$jBuT}=B zu<Tbh6*!i$%Ha!t;>`cMD^~Mdni@Gh#FMS%!=KPsLQ^DetewftVQA1Yvu?A`-Kky^ z4<zw$B~FW1EcNo@SiNN5`3C}Jp=}%7M9frLY^N%$3HVvS&zm?oUh#JCl~Vu4AW2^C zhjU|8UmEf9KMdaQ`)*s`uAOcz7p&I{EfHOH&obzo&QztfGcLKc8W`wbHwzY<yV%)c zcJXWN?)Qd@d!}zzxvG4u?L*zUNmtBe#1HL^Q59Xe&(yBveDSm;Rfk#x^q%T?p5+sE z{-Koac`7d>h$H@&uJPv!J6EZ2&5}9P6~nqx&Q7&u`KKb0B{fpZ+CI3fYr5hwQ|!>> zom@f8sk>rUe0maRQSDf!C1C6{<FR>vxWn0ZXVj9?IEuAX_k}2@gmL`UN^ZM+-r@}3 z!=j~Ae0!%@D%wPAUJ6m4FMTL_s*>;5FO`ZstEZF&->t3VIIQhD>(ys&rx)>>mqL!m zOB`zOnz$^i(^au1R5E^Hz}E>!i<ZYR3cFUWixxVRE$X4kpX}{iVXDt8ytZ2I)l0ds zBbx-;QquP<4?5+1{gc425QWseeo3u1nW@t+z55d0wqbtS;>aZ?YB{T)Se!OmYM4~5 z(;}|C|ID>@cjs*hKP{X2r2XUT-FH3*tdHZjyYN};>E0Xf7RIUG&0t^2BiwytmcTsj z*ty;}mzoJ>KRpx77Oq@$Rrs}&MU-5)@<zKB<%PE&`>wY!N-z9jth+_A<>$qi)S2(M z7`Culo;KQ^INK@0Bm0haYOLW5uh^8M&jhZ8ddy7>&#d)~4$qmWx^lug_1FO0jixQ8 zQx#V1yCHVyk(a;~haCPy&%AHRznCiBId&IM57sGbE6^&t`fk0g>Z{IJMH#+Y?K<B{ zukW!}iQYQDFZ!Lh^ZgF>NfX!B<?BxS-txieh4shvQ>XQX|EhMbuu>79^eH<qjs2Rr z;G;szN|)li$!?E21;WBSzE8Q8D`qC--*&<Lu=nBBo-5VAwH7G3D}Fs1tT^XPpa=6t z`<Cs=y^ni0iA}m=X)k-|7}rXlyS<+q1<XR*ay?@U4O%>>E_ke`A#lFMV3(1KXqR)* z&9yzZu8Oxi&xqkn)mo+9*J7~HNJX?`v7*nK3o5hpR?e$11Eu&C`zrKW%(WZ?m_OEV zEZ24naQ^7Tu|7Ow%`z6zj%3B0br+o8a6D8|>pPuW)Y@}rQqzuOb2uLE<vP2(Z%Wmp z6pr`LwU+Ly2$Yj7ntI7$k4pF~F5k9mR*rjQ4o&0oZR>lwze2TTt>~|FjMHNZz51HH z1VS|8raoRE#3I^x{fWg27RRHHN`D^Ib_}pBjLIw4GC6XY^{RM&%Le^vFYT;XynlAR z%D5%nDBR)p;zN=*BR6@ZmKEfmtefSkwOP12+Rq_!iP7<^<xUavUA6x1n^_S)&&tR@ zm48;c^NaaQt@iDhT%q4mH+8}Bi{>`(PcK_LC0eAEy+W@=u=41~`BTf^UCVp&Ory%K zrFml2OuK@F^+tLpW?GyM`D)e4?_@DORqN&>`L+VP(;=;MkGLz=Oh{eT<oD>YfZZ9R zsc$^zw-hLz4k@+n>{hIqQmJL_WXGGBCAPBX;Hh-QHD{Hk?&SLsbM8Ro5+mjRK^(@L zJyO$V>O0Mt=&EJBxK{GeOr4;acJ-Dm&y`9an@^j*%Dr80?$519!i$(?&YCcaAH0{C zbH;*QY^A@%`jn>ztCsC!do<Bt-ib4bQ}XwUbni>7nKhGHWBxe}k^Z)ifzST9E{d0s zPd^v0^Q+xZY0kvv%vwW*9)6?AvGH499x!lAe<tJo&&g1uCvfIx@y>&ZH4?uf|FA#( zwAsM<kc7m!o}B?aypJ#P%<Mk8!GLYbE3Vv`x!dLC-2NL$zCB~2)YB=s$4kM|z0J^u z+0SxwA6Ie&+ng^~`I9r)=6t!%pX{-6j>?MfoLpz#7@76xrtV@7p7%&b{Me!*i?eSm z?0Q<C7R<U??j+%TX#4X&TV~%f^5IGLS$B;6){&$jiTBS|9k}*Pbn@XJI_aKXf+ntf zE9a<cnm!D%EPSf7a>k@(CpC4`J-uu#Y<v9w<j8TXR8MQ!xblkLJUa=gG9lxqFV3Z~ zdR|UH*=VWVb8w~&Z{p>fOUt*-xv=T7=gqg5WGr{P8sA$!OVGx=CtYhr$fV*^HaD+p z&xkU+_{ZQ}n8DUL%Hk~_<G!u@nzw3Vgv7DSq8@9b)_Ul0J^skGBy9eU03E*M^e65g z^W!JZ+C5=XURi9U(6M_3k~zx`>+mM~Mdmzn)}QRE*X?_DWouHUg#WaoY0Ex~7S)G{ z&7OHWyltbt%$2+w{5!OI%$=?uU%%IAlJ|q;#5sGs*1WM=eb}OKYQ#<5>n(+UxR!Rk zEzLe`5nHvyXQy7zb?^Qu%sI6Z>!-?T_6JKJs@VF)qtfZN#Ico^l%FolpL}QWG`X7# zCf|@bc6zD%shyeL68cl+ZmyVoL+V)f!u(^eRg~pxG%B@jh#mWU(Bd}B?ZXz6H#>zz zKkkw(y4ruLFlgSIAl<^F=M0{OzG%|ixo5Wa@7P3{RV<FYN8cIrg*7d3OY)aEzUY2` zeK7B=NqPJyzU^-fuAE%G`~TIx{=J`+EARK$YoEBht374Y<Rgz0{~YIEcPRPyTVW&P z_w65F$1Y1#eY;cS(}Iks-zN>ORoZvetM_&<-g%WdDtYCz*-9Jrd-mTEIKRK?Y?j&E zzV|B){soA|FMQek`|ywNMnBKwy?<$NYueG}r^7Y)S}y)Pw)*qSOb5Oj&51crcUz?z zojN9XzGb6YiL)l}W1~|Gt2BCS7tVfcU-UCWM!4qJ?K4~24@W!@JyIVdx7%vsD%r~u z4YpNZKbBqg{?^hu&)sU}+a|26`X=OlIHMqTPgr@~r|P%0juR`)dZeY&e&;Nhvw9x) z<F{Nh{+$r3jXPIV`SjZYrjJe%y{A*PMUEfZv3?DIUVN{uoZE4upFZ2v5AE3OV|Q86 zzwP61qn~FUeM^!kpL#NV-LyEa$Nj0FX1=~Q{qT?AnKh@9mO1PZa$COX$D7T4%Mbl1 zo>{XjHja1k5+6I`1@YXE`;312?A{~iwi`sx;altrlE25Xc<Y^2(~pNM+~awyxxPm* z*Fw6(xG3_|sWZ214tx)j*gjn|;Nw-{{L{65H!Zb~u|1Ntbe}SJ^Uo9S+dkel(q8+M z>qz8IZyoFGqmerICO?10BO%@K{qT*zG|8=Ry&5gV-BxcpmGOh?Xl3P&Z$=Mvgv1X? z=yFHurEmP9(DPq!wb`tV3x62&#HL<9wkBJ?wa`Cv^Q5Q7v5y`b+?swgZQp(M#1f6g z6;<b^?7rB&Y2)eG)*Z|LhWPz^YJBSHtIY=6rk>YO|8@TGjUc<lF*o#19xnRXQ)K_k zRYLl7>J#Oa@ogLT)wtcXnf`Ry5)d8Vx^Y{L+fI+KeF>#Mduj^InnIr%f7*MKBW&7v zjq)e8$`NsT%Jp^+E^<tpdR}Ap@^}{S(|2c`;J<9&bk|02XU*E%=DD@IU#(_Vig-L# zN_%p5qoB>aliQB@a5XDMOgg#EZF6z7am2N2rxlnt^YC^iM5swFne(E}&A@gt&zWDQ zI!%m{o5i~y3ih08xto*h?()G$?dbL0E@Jg5EdFV8oMJEaPMUB1SV^?|qTrWvGxpAt z5I*?8=f+thd$T5YBh9*3*LNIqEijlYvU2`IDfxpBdxBS}%@R0x&PQuu`Te9MmSp3! z_3CP_21?1_ekB#LeBLwLC?#KEnahU{<&O$>?w)F4HF2fjo%!`we>fTHHk~cn6My~Z zy-DX{-|4=**=p>z`blL{6^pCYHt%%l*;ct{IvzZa?^jRhu_~}IG*;dr`tg?0v;3EW zo0T$_^y?nwD=lOBYO-~n^IT!aTHo9U0ngnoT(EsKV`o|%i+#z-G-iAIrukMWrktM+ z88peWoGf}8A#w0~Q_9XBy{-qluOH3+_jlnt+udU3f3i>4uRK{$$TI!$rW)I-Z&e2} zH>nEVDe>LocD`QB^2k!bJ8L?Q@)bt1Y}ZJtZQo(j#N?XuKp@;D;K$k@rknnHN2x|X z5^J;SnkvY%>f70hxehAA-Jya!A%)+|_A9;H$nh(D@r5rIx+PoZd|!1pqD!uuWod<B z^=#9ktD2z?r>2-V*XOd_J{|H@<@(Q3!94+u)7L$H9xd;<pX<)_)BiR&UNhf4WmjBl zQZkFW(Iw6q&lly^-xWFdA>&$l(az!<G6$t?CQqN8xN1e();mhlX0sRFI(Abld~44Y z@oint40igiJ9n{X%HCfyC%+Zs(cRj;L_6upvE25UvQyzVMNaj;J;$++D?Om>x3%K8 zxVmtb%SM^2x7-(>n7h@w$=}#m?7{2vtV#YX^QZn=R9rRJx^u6fPe;m<qxwSq?ge@w zb)QcNer}1GV4UF*xlvuY!i3o`@=~qf7v1@j_b>S{MP~ie;!pQ->se}z9!!aSoVl}c zWrLL)??kpo4lMqzS}9f2^6FRIVdOuxw<J!LBiQR@x>CgKPJP+wFP`+jKA~UZu`Xz4 zNy_YVdC`2QGu397Y@07Pky&rj*F9?ee(uT^lOOxLteAZ<UHQhO@ThP_#jid)bzT(< z?h#wZa@pan!~Z>RzKQ<6#aLa*Rjt^hJoTaHD%(%}CpSh*x&}*qd;F;*TJq2p0ZT>m z*HaaJEYHmAc@S{4g*EQi;tk@;5%bShZ0>&J{fTAv$sL~Y1zbl?3)aY-j7VN@q%E@k z#Iw^{#?`Vi2i0e$r@e1euCPAs()wsC$Go#kS~4$p%;Alj{yNF*<(tO8B`l{mdo5qK zyX{dg$G4QJ=jQ0&oAUd9hfVIZ>FX-1mnKi{{w!JfXw?Cx)O~lNi=^lJ*0DZ%%OU1s zw7p~ETm5^T2}LH4eP%qm%c16adREC~sqW21fjZB_<)2jjk7G&KPR_d4=Jnp)z-scw zIa~H`oPO(5#?-qj53Fl?{`6tjsdt}(rlkc1Dd?TpsXl3DZqmdb4ta~#ZCJg*^hbbS zXZQ^_m95e8t_3<%Ue0b<x_ZOW<D1q@UBIR<H2=g-9dpO5cX|Ti$`K)o;*&}oTOVEK z2n%gmp!6}4r9ZT3LDI)cmes}I-Pft>os2XpxBPlkyeRKvtRM5oRF>&Q-!=0z^xQWr za;i&T$*5|7JzQ{4q+-2|yO-@n>n=shX-ii)eCbRAk1Hq#_N-xff9a6YMf0woqOVRh zex6ui(xnb+onO@N+Fn%fMN8!GVv8Bg4N8UzUCfpo8e+_gE3As2YgupS?@G#jw(`m; zmGd8)7fVc8>OC#ye4C4*!Re4sZ!Ar^7$cXhy6(x-{pcds-ear2uiO;h@h~uF^@-pm zW%0I3UFDUJrv_G4wr!oCk|L5`xpI1;RrYoxtuFsf-Wg_37cbs1Bi3p2xBkvYm){7@ zVm^06!|t@+!ke@DyB~dCBXu>Tutwy4>eO>r3Y<jVr%yc>QjjF_e3MuDD|5}R&yh=w zqYj)5j5(n-cW2;yp`+}POO4G;f*1cVSY2}LR$`QheCpJ5Z+<Ly|5#}D@{NzFa+h7` z<`)@N>n3rgpE49YZKe43%TtXrb_?gcNIR{SHh)6duT8$2{Uh#po|!6dI4yVaDXnwe zi^{L&Ts|pvuK&^MHJ>Mo#t0mJy5{mnb(Y0Hj9!-%eT)z}DjvCf^?gsBzDGgVUP_e+ zc|Tb)t#nu0+#^Aj-Dz{z1*&iK@w<KJ<kJEJv#9q^1K+HjalZHW6=v179gk*q{q)}H z?;mjKl!o6kP~$YrwQx~Vet?>Me}zw8X>p!H>FJ$TUE*5b7EfIHx4bbz_~@Rloky3y ze<Z!pBYUyLtn#boi(hq>2EIA((5h1Xsmk(^*Hwn)g{M{~IEy^?`up&viJPUExtrmv z^Os`}dN?KT*1aKewC&T@)-64^bdE=*`7J*xBa`GUa`>=l){8HnckP;TPwMEAJ$Gb< zr>@Bj^jXOgxr#ZbKS|4);jHnGlFIXO+fO8HXID9F%m4SO-A3iE#H&oxlzpd6osk#0 zG5DPP!uM0(ZA;i5w0qHuI#aR7Vw<eH_Qze_c+jpu%=Po?PZE~Zi+8+bcii80jd6e5 z@x>WI)BZ01s#dGiwfpoF<LE`|i+^l4%K6+gvCwv-ephd*)Ltp&$GaY_)JU6_J9D)~ z_tCnWe@~08+#c`x=%t37{^ZLyE{b(92m0t47oUw(;d9%#GUUI&>5Of&&bxfvZS>@& zZ|9wjvRz5(JKg$Ni?S?ajz0S&`P8b?#ms+akBNYV)Y0Up#-}RZ-P8!1k{z#ET&LF+ zyD)!hn&Zj5Uo|4S#s+@gf0Yh#2g;l}Qsc8!L+JRT8B;rd&M*@YIllPEex4m?pW6r? ztxpT6xcf5l$K;|zpST{`YihS<nMW^T`j{m0f3@!Mcb?m2sx`afGqN`*wf`y-IlAuV z^hlYw&PVQ!&U!nA=5#&!eSMi^(ZruuHS$8#!oSK)UVVMh3hl_*B{{dREZiq|^!z$A z&7dnUHLkH~=cL>0{ATxI`a0XHlDA5;)iPVv?{z%79zI+7?Arsg18piy#eyFi2ig>v ziv2zjAX2Y=`mE2k^S1+Sp2zz3SU%e)d^G=*kTO5_d$*5k!o~RKvvobnm}yhPq0yxr z{=LfBwBEieFZ`+D=YKmjqGF~Vzw)|9<m{<0^Co}3!n)J`>#3AUy+0c7R82dpGW*40 zjlSt;RbKy}c*nfx*}hlDT)ihxK6m`nkDz~QKF@x8iab7TzGUIUpRSdgG~<`(JY62x zqgx-ZzWmntMH0bwi)}(`<&LiSB>8ksjb_)xr^ctg{Z#4_OwG|e%keX)?_N;p<XNXn zmYps-dLqYqWqsvq?VXN#rP{tt*Lr9D+*-~f?S1#sGK;gv7W?y@_R`Jt>ejOVrfj$; zTr(=fbmsTo#DKVK(`<__)|s?z|8%NA!2EmU-=rCF)8uzXD*QVBAuuv$nJ)VggY7(K z){EGWeMqd#De~d(dU*NMjg)5ozK6}9ZaleTuF}T+bmPfJQ<JvtryD=+vuXSMbmPhO z1~zT}hl-BvoYZ@F+fU|ISKW?mST3{7$<U~6W2(_fnWHNt(%eo<%}SfnanW#(^r;gY zHm;KpK78}cJoO;2R70~iyP3S9^SZl^?2uqf{}vLoP(uFj?}hP4x{c)c(oEBB)s7UL z*>4&*r!s1>M#7^`!#&edA5BS13F29wJ~P6<&&S5H?Q*1=U*$cY&WF7^!pqAJ1}9fs zKEG;XaOga-!yn&VT9##F+a`Q-sc&e78;|;C@7b%~pOJ_=qqlaR?98$-9{tqmW>52s zt=pz=_MTm|XQuFB?VC%lc1vD9*ZVM}Ec5HjX_?QDepoes>eRkiuV<&{O?>)Zf5zEI zOqLDChT`7FOQ*j+aY(`~E!8W(OszXfLh9@T!F}gqcYVoN?YDGJv-oiX!)kfvo$I5N z+M^@2x9u#Blsf$9Q<&V&xzS>WkIy`NC~LFgw9~zA&;Gb3x$^X<oQu~9J9fc*bL2jU zHIt%OoC%XDeX1_k{jliSpQA~oJbR6`t$%VKoh7l4EA`8(hkNga%11~a-f`w$=oHR~ z=F%dr4w0kvQE^OimAAi#*ha7EPpmQNHaU~-%rn_&qj$=ln{#ufY<5vw+;>+$)8|xp zY0?jy*Cj>iTP@qXBbQH>-mYs{Be=4scKbfZX|rc^%`~;X#q70sL$0CBiO|jk-@HV& z*|sefy_~({efIl~#Kw5rcjYgxt<FB){{LR6i}FV;B^AxJQQLQ~4ZZxVRcVfR|F*2_ zOICPPOuBP!lJdujHO|?RIm(N+KDxTAeE0Iq>+kMP?TIp4mHGJF+xL26g7$Km7uRGK z3x+p-JL~GfYGopRHPylL@YN}e*UHOu1g@4W2ne~_sPyo)!^RIK3+A1;-1uu%MDDvi zt6iA|O&7K)sVP_5s%CjiDqFVt>wolH?v}=ADl#%K9AIK#K)q@@DL=6&1?8^0xdE}> zhYdt(zlXDY+1h>k-Y2tLd|buabDNZeHa#ipF7w>sSz7wze(v^#O4Sm)jWUyp&%4{O zyt`m^;KGDd!Oxjy-j!za^-jc1+r{K&UdBCdU*EB4gAL2dGlle@ne13m%f}(u5|x?g z^u_t3#>%pgu>3#H^`CCX9|;dzAoXU7N=1M?&x^u%lf|OaLfu>wmQGIk=90zVm0i4K z1DnmqO}dxw{ybpSsrXjmrPZem2WPE+wJGPhwca}!sg0~<lb^dz5}p3+htl~?ZY;t( znATr^_Vm>MzsJKC^9Dq1;_qeN)+1gy>%(yswf1w)L7A6jHx!F8pMLi9u<gf3vVH=N z8evN&Kk2zxT9Wp3_OWbDEuq`nbhvVx{-5vsl_K-?)Q#hrch0|*4)A7X5n%uU&;^2# zH7d%J{xdLuFzN+@1u04Jb9yzl>i=Ef-NnnGBf_}rtBZw?fCu+#W(I}?7bOM;h8_<V zkZ}wQ`f^^HOQ*DP=~*mf5$~A#s5Sk_20raOGFgQy4mnSJU;Y1o{qM%U)5~rar*=l& zJNJ3=n!xQoMxM-p%oWToP~f#RXl01j)RQSjGkw%1J43;LKI?SL-!)$6pTr!<+^rvc zZB364&zWxS*WRzQykBm2*ERKB9H1GjE!*q*NZ`eDme1Sw&wJ)sV8F?zr8(DP<C@$1 zON%;Kf;3)axF}8ZP}$@m!}RyZiyfSII$Q5O|KO*i!oyT=_qO`|qGvkkPgit`7ZvL5 zD!8-u+u5~iS#QsZx|P2+IAe|WYAunF{ack|>*XWsXRr8Yw&<VUtX;*^W_x)rxo_{j zztiWBT;iAL$S>BBkG>apF7e5-Eiw7U@hJbvh32~7g)N49|J2xIPdvAKZ);P&c57Q{ zKtRBSe!rS4o&9#PHi>mL$;w-w_x8Roo@;LQuR2CYtovxv#uLgaLY*K-^Dwm^epp~3 z!&kpg&;FeRhntky!UNCGznooNw5f7yzcpLc{QqwxUfh4E9+I(s<K*t*i>;6Iw&w3| z&CA_?Uu=KnjcZpfMf|C|7`DRVx314bQ*C8wVQ%K%pI$w>b?MZhU7J=pIhv}9vVMKi zvNvk=mCVT|T(wr|J5O#|QqnZDr6lFhiWNuRKbYk4Gn(1+&p%dBK!4bN=lz2@W?n5e z=TAZaC&<MSI>9}A<JMn){k3Xq)LQS2SF^Ti9le&dHEM0x>i=;|gI201X-)M?J$B_~ ziPc=c<(E|~I!aOwY2;~j&Gyns)(q59UD&huq=l7>Qkzopi_b4by5<%K*vKc}lsJ7h z=?>2^pCdCeCG;!zELl?Wh-EUTi2C0no*o|m=RMK<b;R9Wt*6VY=SAmq7aP~aH9e0l z`YJ0xpv7rnfCLX)^Fajx4i={R{rBI0|NXN{UguMi{D<eSYw!HN?z!VcclH0g@A(Di zVtH*<+C3CnoBEC^ee_m8xMj(``TwuYtg3&#WXg9NPt~pca{uo=x3*f@E%*OlyMw)* za#fOCqQH;OU*(>?eX-~F_GJrNpR+!{)A{m2<*)7k{r>Tt+bMkLz~?{P|E=BnZP5yy z^x5+^Npfqg)Lv`wFp#;^w&;|_+=ENY64o!D9QC?4ChMYE^19x2xq6<{-;^z!<iFEW zJvrn4wqmom6P~L6TQ06#yYgtjY_D@$H?Az*JIVCXw!3R1OIzj`MX|5{^8Wv?D|7$6 z_HCK*=ZDVE>#-;IzkB!2Yxmr>AfT%c0_)d-fF1-$WNP#}^+wy<M|p$5>+%%K-!Tt) z?}VLxd#rL&y{xAc_XaC{y*2u}?m}Omxc@6v{%^JE#D2dg@#{Y;{@;~dUS1x5?IXy* zb?erE!6q=+00vc$R=>C8&-rT0&r});0#_G1G%~Sri5TQ-oxZt}J)j_3cf0g+r+>VY zOtqDTnO}c;bm&)-m#eL1;`(b@m#0Xzdd)u<nP((>V*fwp6?c0>z~KM7<;y_e-`($h zP7P@`TwfJhYx>+3m-J5o0kE175iq#2-`*AkUOI68V9Z<nwCpj<5A*CWH??a|kG6h2 zdgX+?{Qq6AQ|DS+f<R{bsiH8q$DgbE>rbCJcI423eS3E8*tTWUhIKOn19o|p%KiV< zSHJaM1So5QQ~0V@#|P(^Zx5Na`K;ciJ{fbSgg`elH8Hj_W$y0I$J_5Guzk4A_~Y%n zckkAft_fRxHA@#nZ1q|KD&)T2Uw-*zi52UwkCGRKv*+GimwwodSIRi$$OOBkelK;V zF7;h`W#Q&IwNBkS@m6oHMlCHq_bKLrz_qAsf4+$hT&%LaQO65pa+&7aFVBB>u<%Rm zKjz~X>+iqwU(qMBEh<l%zh}k$U#&57${*J4F5Z62e7k~wH1{@R(QN;%mS_Ji4A2na z`uqL)=bts8Y*;++dF8+F5z|s5r=`wxzma1$`)t}~NfskRL(7?IYBN`!JhBA>SoCWr z>!dF)iA>ufnxS3y`SW(^si08rI-+=?|9r>13bub0A3MKW>f3#MAAM`t(noK4K!y34 zm&V<V(`G+9J8SC1&eFof+RWJ0Tu;}~*((B9nEbvy(LH&h1<S9C>%MNvn*lOz%9r>1 z%%^a(Zw{aS_uIPfy0?{nzfDd`Oo)%$zxVa_;+<h(rFCwNx9(0awX(LVX6FO}&x(>O zHdS(#{m+zta@aA=n&4~VJHx=pXj1PiH^0R<Im~{Q%f7h6p#v$&gM-emkbI^2>dTRH z;n`Dv%{k|LN#o1QIZx99L7-De)oV(G-t^O{>sbUQbT*b&g4_&puu8{+`2UU{4(xyT z+V;%t%DZ>BX3NT2mAtsHaPbUf_dXfRB7wpO2O62#`yTd6o9Erx@$tRY1@&+r>kZNw z2d-Do*uHpiZ1&o%*Vb*lwr|bvTa2HNGX8kPP*ccY_jdD~-bJ37Z2xS%v6at)tfgDS zR$f-i6!}$hu4r<m=Svpjlj1+ZwLh%iy?eJGG);uoy*bJd@-|tYZGkwm!<Ahx6La!7 z6#_uX@)>Ie^A?UBT}@R*tAhSE)Zeu{9VPuCpONK{wNl23+ZQjc+nW703gotTUb~+N za4reBaM6SH+1I+9$H~(2w>7S<2wd#eE9D|(l5t^y<Kz3KHq4n4t#)%a+aw?Bku*;G zbLPkQo2%FGe8>ma&udP1XM1VAI>}kRHEi~>D;gnSXRmzxCS&c^-8_;;DJLd4%4C)M zl{ehZ+IiPPWnz>R_l9+<6F+I4eyHKe!g~;u8u*qi27%dN(9Fop#v@^nbfiO2*{x^Z zj6Qb@ah5|BA0Hj<7T1sS0|CCiZV>oge*Z(C)nyKOg+&{GEKOZ&7PvS-bMuPc{dY@y z^kQ}tJUryO@%G!Y-LXnCAaG~G>8C|I@6=zPxyy)a`_-b|h1pkjR2Cm+dz*Jw+BE0Z zjw#ya(P5!Mfw{MK%u+MUpOH5q_up4*v+OH7%C5iLx8`=<_S<j4S^L&@5HN>;3hu*E z5_Qdq<~u;8@7lGl-D0{?TN08%AQ}ul|CQ!aY0sQ=jAxcn`ZSZDhg#3fFi6<eVHljo zDWRL@J^9MXKd+OIv@m{NUtg&IziN7Y?6Lgkn_PE)i;Dt*SzsUq2DiFIwZqm#Ogz>d z$<iM9;<DxD9~(bxteDRo`N#Sem#9|AihzZW-hhCPYnO;-(2^5rn{Vc9Gq>*d67So6 zb>G(1#OUDFNvhGUUgFoMYDR>Ig+?rxx9W;!l+Ee=eNW@}e_9{?QR~0eRLymFx9%=0 z55Ki{CJ01=fg~8*ya56c{yE2#b-0;M*6lL{gN^*$Akcj900>mXgTeoQXD#z$E#8Iw zR(l%1yMFNsM@?v(L@hGHpXuGZeQSPO6h1oADa_6%V^Q$nK;`FWXJ?z|-`P?4_*k#B z#kt=yZ4VE8kd`Q8-ky1RneS|~axlobu_5tr+u2#B+1J)YeztATljYskzfI^{pXpY< zCV`~)H?)%8->^07SG4+|^yS_|*FUda{=9Z_{`1=DkMxoK>tc6nzfD*i21@CsS(lc0 zPFC}UB>3hw_Z4a!KA-LW|GY5AviRAVnZ{{nW*8<P<B3`3Gt(&b)Q1xj6rJ06X1=%7 zDV3`VUb#YD{ms>=+*v`3172RXJngIYDMLr7-NF9Qf@`O(<iVPcEd2I1`czZD1y{q5 z>uu6?7oyNJ%xCr|ytmla#%5o?we?z5_TDwsN5QFohC$+?7EWPMen>dbaB<&#ThYW- z+{f=`-M>*b<FHe^jM|0bvIC9m^8ZR#%9UMcw0in5e*Wj%iA=KpzRDE*xE{MZyZr6D zbz7SEg7eRGz1UqPFE6!ni-WSq&reTJPuGvHN?JVqd!@Ku^tPOvn^I3_Ut9!A!3EQF zA~&U+{AAlce>1BCxSjmAYp&DE%=oZZ)53(mZqHVKnP0x@3Mh@piRnaaNH~~u6r46g zRt7EgnyPhJJA7Tt&Z4K)=afyNel|{QJEmnn3z9%V!8k=Tc;E9qD;R?w<!xwelS-N4 zcwV5n&5=#YV8y{Usk8-*Y`V;ByV#`CCMdE=Y{}-meG>#+!6mjvzyeSM1Dw1)CMJoq zp87q{rt;I1latFq2}#}Wftv3ulgvv`s`>oSPpMeQDjpWm$SN9@(by^)5^(D!Bv947 z!Cr)z7&uAA6NGBk&wT28kVWK4kHaBOr5OrL97T2<i7i4N6Fw_Dwg}p3D6&|pPWT}G zFkW1dMZoR@s4=)_-QBx)?{3|_`*rGFWydBK&Pm`J0#bm19Qow=-gy$7{U1C8GM?1B z-g9r^5L9yMsEGvuS(_Aaf~xWF5Kwe#;rKak|Cf_b*!it~e7`D{^5JnqGw;7rUZWFL z=lHYvg;YEyC^+s5XJKOD5KwSvv#<N}<KyGw-|u{9v8vzf|L$*0!+YEL_OrnGt?eMI zD2MijhNjgT8n0OY?_&M`ic90i&no`UWp}oQ-TL|A;o)|E`J-~SRbO6QY(CM<&M#+E zQS+Yr#s1P|HTL&E+FqaeH0SbUHYsp3(f0#mU+IdJrB+!zYz($044b7H7+M@8TshQr z_cDtxFlU)a#MnvjNpW#m1le^TY-rGw=`>(q7Gw}OU?|e;sNf>|xPswGun)hN)V<Kd zJ9+{(TyyMMRsDNwOyujBqO14*aTWc2ywA?ZVMByqjoe(LY~Huem+HC9m9+@EWtzGw zis7)+RV4|#EYSxKR+Mt73O22H&gA^;yUE42<u@m?gnVmFFZn$|;FH;xTL0ziKcz5> zb~4|ZalZFf*7Ii^a>DPq^vu{c&rM_qUw*m7qixIotGApwnx6MopEy$@o7tq!^L@U3 z{OtNQyGr<OpDF9K$o3RZHose$w@oKtp$^w|t~Ga8WXd(<sU9pAbZgR>l(M{5><gnq z<8h6=?5YZNRhd;?2RB?;+Hv5@(b*5pVm9sGWYX|$O|7x4{w1NVr8j;YE}Y<e+)w@Q zu_HelJ~y4&)A0G|kxAjJcu#Z(H3i-g+BZ3GU0aG^?-e!8iMmmRm*=zuPW%yRz1G{~ zHp`W*Dk0~$s5zP}70a{R_1k~mmOB=+8-DI_>ETvZJh0wP!L4)EbJK>DKMdWHyV9SB z*nD*gj4|4x`QuI5f|J+pHP1PrbK}#AT~;q6gv5$<UVB&i9?fJ9U&`DbW3G2=`cwt4 z?kzLyC+(@{suVhSZ^{dHwzG326MwGjdb7|W*+e^h^~vmPQ?vEDE5oCM^zW|NbE{J9 zwP$9&)aulbWdQ+S75YMU-&GA?`&Qq@xBuFVpO-D)R&Eb<KDYK|h5a0zr%(3(+c!rp zWC#DPfbv%H)=W)~{&PAuGkzO4{ad!b!FgrkPK}}>)u?%!vYs7IJjwO(&+(`0R!J7^ zH`M*0c758!;w2lbJ$IgZFm;YsS@YF79xd`bzTf^Qy)dnfb5D7du6lC5YfyVm>+}4C zRcmKVym=_+YO_VqcdO|!Tet5&F?H+T(iE<OYZkl>rOjVHezsnj6_vZ`rEPZpA3^pl zF}L-fs$ZOZSn<H*dG?WhySsSm`F@+;dC{A<=)=cUeUJLKKI0i(mJ45d3U}2+^sm@> zPIR@@9lO{4=bBE{h)$ha_A~$BoVk;&-NiNvmuP43mw3x(uPfm>#31T4d9UnT$?I9N zm()#Vb}4PHH9hVU`=XIck$FpO;wBr;OvT-kV;2ht?Kv9r{LV}FV;;urXQ$aJC40oZ zex`qFc8ZTqXL-uesC!RWsZ5)8_4j_ctxve8%)FP+bK&r;=J1DQQTHW|HyUm^D_`Vt z_vz;*Po2a%o!~D_v*$m}d=yt&b*@k;G^{7g=Rp05AE^iZ9?yJR`EmBauN=Eg%&oKM zWTsy)R&!o_@rC^1{I)=;h1}<l-2Cqmdpox1RNTKwtG|8XzIo&S?oa=3dJ7);&na~` z>eSY|uD^t=c1$igxR_gfV%dUw|6e9Du1JqJ*zMoQ_pp@tLX*hl-{ua!GY?fLDGC~W zZhE*flu^ttmOCNhg;#5<GSj|vd5bCSen&+f+M2P|r0@Rwoja^~>xXxoOAbEL|NW+w z#mT5zDQ2I0)6@5-BDhwz%-pi`h4_p42ba{}_dVpYI*IkMbW3l5s0yQuX8CTDl0$ac z-_AOmd3|kn_wLIgXUdcpL~q^w;Kpv|_K+g+D_2)e+E?E<>(<c&zjl7y;=p$3__l8r zM&|EX9o3Ug%N=1<{Qm94)=v&*0#~NLIP-2!sn_`z({~;ZJMbkZ@TO@>ye#w4dnNrb zSAOmNd+HzCL*1}Lx&?`QBl!Qs{B_u{>9ddT+r^uhCfY7}+2by{Q~#CMn+r_uAKSfn zCFnH$UX00qhE=ajr>1=Ueazk=Wa`A-7aW~}osQ0|wBg#VwELA$%ez+(LQfuNHd!xp zYw=g6%eOX|t!;=rC-k$^BySg2MZf))HESn#PTwkjBeuQQP*N@=qHEQp`j737p*#il zAHCIXI(EM*nWnAr)?y;}+O<a_Up}_&Nm{khX>*xlqfMGT<43J8s!^UZPEY>(e|!I$ zTcHh;lb1v|iG7PrH@x2YCWyV#;dHI+9+|k)nHSox&zCmzKhdr7@TBYHtrFdf`nKMd z4cwFCdT7}`&4ybS=gwVpR&~18wdg2==@Hy}IH#TG;n`=_?7S@^mv3X$p&xV1;*$S| z<Sy8Fqm4Uj!kJsI)z@Z)X=^sWobiCYbX~l_wa8Onw=}oTo;%&(j)Zzg>@|OBZ@%&! ze_rnXAGD{*>|Me#>#(AxkEeT_KRiB{8>5@UsnC9g`}5<O&(1Dm6<m|krNy-6R?b`v zsf0xykJ4?OO(gfNdHLOxNiMKDJ3S}Q>G4}ewaW#Idkby87M;H$qpzdX?@-xxo^$`H z^Ny7_-^9*+bnA#9gXx{ex6K$TCKSDNv_4=ywfx?srd}%}`%@RL)!zTH)$??L#B76F zpLcc|`+~jZ_TAjO?ad>-#8%aCDc@HwnieYDkWZYjCSis0+I0m?{GkGSJ6gV+s!plg z^X$s?jc%qPj;BtQD%_iRutzRQgP~~sWkoTORlf66mM&tN!<p$SIVbet{p91(3xC8c zJYHmd<*s0Cwno^OfUAa=m3D3qzx3p;NataF2df$3uGt5-tets0rSWP~#M()&))Rm2 z)Xl!!&Q&y>^Z(+*5l2JUoqae>J$-`bBg>2ojwk~q1Eu{ca~Ey0(cL{w+sUPZ=f_v3 zqmS0G?Y^{VzT^gjE#-S^y>6_FRllpQ&&iwl<-yex&GxnO(MJ-tU)AlKVsT9Pw(r7! zc8?{`sT4g8+O|^mrn6j~#=%}jN1onjp18+q^Nkq(eErn<=FbY*>iJ@pW)ZsUdHZTV zyev9;_S13ZN`YS!8|`jicHzBdWXyI^f3~{+j{Hh58P;hxj&5D|^T0NH<p9pw^=e-n z_er#*HR)>zi|&7&*zU36lEkWIj}Il>@q4!|RlzB3=c1Ym&ENCFeh5pQKJbS5)Va@s zd5dyi+<W@|r;`823r}^jHs1+&7`*zJ#bVXYyQf4V@|HZ>HDA)PSv2>Jj5yomtyU@J z&%|wJY|NIu@$=a)$*sn3mVZ8?v1OB)+2xi+&1vh--u!y%$gv{p%*9KN?P$@?2s0=& z{I6^Ft}Oh?VWGEr_iil?ae4B0`^K{y7K&s9#2f9i=(rItYx`1Xu3ga-Kl#d4Y_C+q zm$RJxd2GSyDKY8~K2M#ZeQr{>;m(%R1uW053-=%RWBOR|L`~h))BBoxJVke`kjfWw zZh7JGye%(BjE%d{(q+ltu*9N6#k^Igj(IJ6kej;B)adRt^X4m`107BuFrJYhw^HGH zr`enBTB^PqB_2P%zOlkK$kgp+#E&l1DB;x1r~6sV9Axhn3QcOZ6;m|Rlx>*&`PMVr z=~1V$oVpkm{+rQemAC)j!MCR$E&Z8Y!z}83t5$QpVvn!U4p)u+Z8te4bN@R2cV&gi z(Pyox8IIkJes}E>gVj5Aeiv?AFCe9K@9s|>udVshr|mrYw?TX7MUy3GBhtQQ2#a-m z^E0;cKCRpIerp}uYu$OxzjOQ<BQC`sd@!Ma_wNF6?;YoYUUaL*s2YDcn&tbXe(RSD zmree@xGZJ+LTBzLZ%bQG{T(+>Yz$o9XPGrur>R``+3!1lICa!tY_+`@YG<*+s+4C( z!iA`3EPtLJ;0;c*3)RoPew8mUcCGbh^TlEbA~M`nALh+Htv|2hum1WY?@sHx{m+bi zR?&I$-TK8B&%g5Ub}D1|UvR<Z^r;hPCr4aW7y71oNXRAV6+@PG_JLa~s~oOQm1;<n zU8J*eOG@q8jT`pQvfX%McSo#-ktk1s<6D<w%`5$%%P)Gij^R(4TlB*<k61!?$o#7d ze{|Nl|D0sb*A`RhfS{$y%T!NWdx`A1vNr9e+O^D66TZCvxTE8l1{1@bLv@zNnrHVE zOkZPuZl?lI?dj8(+ODjYUS)n|%3jBsc47Mn!{lwv!k?3TXI=a%bn-==W@={q1ZCB@ z$1DLCN}J#9n=~abM@{a<%aZeF-Hq?0*D!rr$La6z&*-q|k%QsY$24yg*+s51n{qkj zNx^!_2}zGjOlQPdDX$LWVS98xtI2-alsDU~&L2F@5G~H?(V_W;WmQ1W7o)uN=KUq{ z_ntL4D*e1w_5Slao%#3Q)%|`xLrQ6D@l2<LO-JuP?GJA$@^L!0<LTmk-`~aUIc4>A zN(*Cbw1fDH!{3fX%u0}`+$fxyX*=`DmVG`(JKvvtxyshM&)w?fc27~>+l!c|?zCcl zn8tc^(eW4QVTyMSFPj^)|K_cTJi(mOGxu}-W_}IYedkw}@%!ZprE}i&Y^s_5{q_G< zSL4nsyL!0tpvG;xjP@&Q4F%tqw74407ruCYoq?g!=VjGhf88S3U!HmpJ1_bCw(xbk zLKV3lAL6;3^H=Cy?y=hFFHAF*ZHV*bVVW8}Kl1oC-Y^w@PF~+-szyv5JR4tfFO|5- z<<-M&9qe~~a*5h^-zkg#2CdssF11{6htP?;+UdSxt4o-<w_dw0V89u=>uaOM#Di-W ziW+z8##c@ce%_zMyPb30bX}QGMhe*`e+(bqw&600dOj^}-zUB^Cw5yG9ZE_)zQJ`t z#h<*tz8Nzd=jq%RWcx35RVC$$f9B7wx|p}0o~>pTd;8JNiP@Fofy_3E$>9~%nKCXp zAr4YM&o@m|xVqkjGfd;qq-Cmyg`a3-?3lRX?WfNb?57j=FW)9(rK0(DwyOEVSN+GM z0%Y#(`SYmth5gTzg743d-xn`g(O1;0bdKeh{K6~lQ(KN6>f3j;bn5EUAssiqTK4SO zJL6HOO8)VVLnn4MTMHE}HsxF|*j0Atv}?u{$7YZJFI^6m8n~He`0lx~^=AEEx6@Na zKSeh<)+B|9{W@OqOWMWCD0B+f{?`?zQ$&=UEtVYe&0D%}hEhg`!M4R~v-3L_DHT4? zTmR$bQZ0S;ind#=lM+vUmAkBQo&EgU$UmRFul(FEp=7T2+?cnqu`)rR_=Em7sYkWy zTekmJT|O^ydCk8C+xyw3DAikj?dSFLna3FC<;8Yl@0;6P*3G7IRaVJUbvYek3ykDl z{fxro(ocA`ZA|n@Y76JPv-7R7S)N+;hKHBFNw_OKmwGI=W@pmw90%J|3I8QtD;};m zX3YE|w{5OgxZ<h)?@!wH6}>Q=FzvIr=hm-lytveoQd88_Vm9&}p0{`s@1GwTYPUX1 zFk7yE)OP67zJAq^_>k`Oz9aI|oWVcd&0-5WJMROJlHv^WCGTU4uBfcby_1kGFKBPQ zT6EHkBP>U<BDUS_KM=RiS8nY`#~aOzzKj+U<`PqUn>_4=Kb;aNy>~c$Pt}rB#s9Z) zP1}9UbW%;+!cv*IP@R`~lTMkYXih(@ax6fsQf<TcA3<A=*$O|tUAeI(bN10QN7UK( z2N%e!mwkM4laj>u^t#NPIE%cR?~lq?WF9YOpLe5E;_7u5-`3cO!u8T?-iih{usswG z4=r^zykzITyqe+0j|~?uiq>*xCujG+eq&m@<iL+0{`l%IDeOX`ud)<t5A%1IR+XGn z)i+!e?B~mQ;g=UfP!50Esh{t>wohF=<MCnE<8F&5>s)!b!+JmW&v)5Jy-ina><SHV zGDtssgKzPb@V-9DRbGngF78zlH80&h*CimjCh%$Hs^&btT)ofskB*iK9N!#0<9=b| zztX$M@*+7TU*uSxm(V_%`Nn)lfX;)4hpU-ndAPTlcptC+|0MppaYK~R0)Oqp_xi8q z9OXG{ePuG^Dd&kxZyt%@SniZ_aj)dJzRgQr=f&@HelT<Kv`u0BA53ou3a&9?6%Aju zF?88GH4S4et>fvA8sE?Gq;NG}o+p!G^XSR*73#MIjP{gv-T9Z$aw@U8T%hRaIgtgr zB5(J_UyZVeDO7YioNR5Vl~H%=;Y<Nj4{e#B`L!DBHf(q)s=4gS!fV^^t(fBN_gC}F z$3JIRe&6npweOZpy(lN&)?2miO4i@CjSH8VudwR0l5ORanZ82E(Ksl7%Nqfo4a)2? z&2L+-&H1;>;hOW?yiN5Vysw`8a>n%5lccO|ACgy|zHsKy@nw3+j>ih$T6Ip|Sv+UO zcKbZ{=AyJa;+k>Ji_5+hDu`<5F4<vrEa-&)#7EaWeG_Wry}dMUckJlB{XK8Kd$mNa zvdyB@R9z;9N6n9~Rc~iFdf%5X&%1b6?295Dm#W=5U$s>%;$M`7oImMSw0e81%$M&E zq6CxMCCeACt-ijKA#SVwd#(KszwvxKbMR@eVtxFcgeCT@1qMz0H7}Gmys)TEoL$(V zy{6dn+_t*`qGp~3zwOh^HKZb&H*~bUEAD)k7+8N_`CaVOJjdB*e!Q%|`nPzx@oho> zg4w<E-z0=w`g<@zs`<&1kNd6u9+qp~_CEPyz2=2S_v))>z9>qY@@I8`WXVtcrD0EM z)@xsjw+zW^w5#8E<l+BW!MaDJZj?7zOx>%xbw0;+Q-K2?<pbwLx?Z?H|IC*f>#9H| zYkk!glW$Lde*NFzefXD%wcI)lpZQKUF@aLGY84u*jySmM?YMBejZf&!{LITW=l}c^ z+u{3W{R!7|&-`=JIc*zW_O6+6kTqc2y)!*~UoPx^{zAoeu9SetGsk{^=3l<AzOVbR zy}<k(Z|H~piJH4~qZZX4%-SRE@Q1stTOrZbt#zXapNhFga<k)15e}JEJY5#&PT6kU zq3t$fQL;<i^xQ8z{1czdiuPhSk-4k(Oprl>=D(LIO?8$B#9WJc8`mjIwm7U&<xkl; zSCDD{t>~{RKZ6+hM1=U_TlAf$I#ir3u>Yg<B%MuSf|;>NMy!;B%60A~AI;~=wj8it zF{|Q&+h>IruN-~vHP3j~oV1tU=sv#~gPj%A9f3xJ^bdwg1=*r4xo!R{+?rp_WP7>d z;M%TygWU!W${Rmyu`&#alXyE(Sw>3OXN{@MHU`dnR|Jl}`gm!l$(!n(El=}y>nd+d zz39o+tM<v<G`Y`SbsK}#rAOb5R=)hZbJrZ3G69nX`vg_B;-B&{J=^qU@-yD3_cJxz zo;FpcR+v3@sHnWU<4)+#PtQ-eUg`GI7Jp!RWacj+x4GQcUY{uR-Sg?+m0gEZw2#l_ zky@H^==5swDNcS&x4zu3I@R~cE8J?~t4$LMc&@M36?*k{&6(Yuza~e|W_a+E%|`0$ zym>dGH}aLPaOBOpvRJ5eg>vDmV^{us`F!5~ui7%@PwYNyjH}LH(DOI&i+XkC%#2fK zRgQ3MX4}BDVWX+@?1f<m_Uk?jV_Fl+xP~D-V*$g0*%o=f&%cyfa7f__dqCB}7ps>3 z-#=B%MCZDqz}J}zcI;u;s6At1kQd`wrk6~QnB0{W`T0PA3k=x6;1B~72;AHDzG&r? ziB}56=N;=2+;jTyu|KbyCGMQ4U9TtqDBeCM(JuLW^$9<&|3&)ZyYHV9E!!{qhC#pR ze&?Nd$JW<xonzX5|9fh<V|uyeb=^Z56%U`~y?giU-MeSc_SJ!bMJ*VZ*MPx^{b0ar zT4!Qhd;NxyVU7FZ&lx%IH%{JC4F=)<AaK65O<Z5F<le^5dAG0o&tPc#y-zinnb+um z0$Y!`_?860Bkk$O8`%Qp7z8%8^ld&FqcxdFXMqC~M~^_!ep?S?^WtJ_IS{x98m+!7 zJIVHC34fS{z;hjOdpoVL5Ql>-wl<my6$cvG0;U)gIvw|11TuqT$F<t?kJ8f8o~7lb zrR52F$Tohw;gNUxZcE|k$95dXQYj6NJQDgff6i<;(4Kz$IQzW9y)$R;oH={u%=n@= zU{LT14Dw!p!GvNk*#369kf?@&Yej2l>eJwmmXM%`z~IpEu!yjb(7^Cu(7aSga8O_o z7!-m*0T|?iK~Z5rejXU)f<X=#WP`!>x7mjRRT@e)-aoXN)BgQ~EZ@_BdxtB3^nvHQ zuU-Lx4WQ|L@B)^tFF6|?_?~;>KksmBOuJZlky`mDwet^s<eoVEd-B{errp|dg7MGE z?3H}=pNbXAKPluFsja@6wKWP%fEO~Ag67tPv!_~31ubkc(FFskUbn@8CSb6fU%~mp z^q7|yrq-OC9`jOwtJP`Yh0S09nwhuzIW_L_6X(vpC!Tpv+++55w2A+mz->8E`tOew z5rK@Xwl=PLPn=ZeoR}<gvj3eqhxxAiaZg;n-)@h2QD<IWUVfd$y363rsppF3D<XEr zFYOB6dH?L4HHS-g^d<K`*O1G$Ii7nveMTaiM({ij#~vou>RPXp%R*lXJG!U`XHI<+ zxs=OqV@mkT%a<=-o@@_VAz`^Q7z8GpgQxW@C)@9wY;Jk^(xpq2^nXqQ0qvbZK|w*2 z)GLLAgoGw3TMAvCDspp$BU7)WvWaC%(vBqoCu^PTzpS{u^DBo?#@vMoii$!a>ONBx z7CzPyc1h~JRm!n)@#4jcmF<l{plI=;MT-_G=_`Ri(IQ?`cN1ebBSXu$lwcc%nU7t} zoSmJW?VdP0IXOA$J#lh$baa$^;s}~7Y-B#3`+Ha1Z2qbl3H<$ilUpAAHAu@-0D%R! z^=zwE1wQ<{U%OxO<(K8{%UMG6wBCv}PI;{VgWCc;5w`R+FL);GVbh&Wsi&vuM(5qu zKbzspD`o7lp>Xl}pEl<wTg-d^^5)g4jwcs+tf?%jC=b*S+GM2i{kE3)R^`>rX65&f zfPjl`)Rv5ki&P;~N(Y-*xy5uM%&w?hI+HQ6^JI}p($1o%r>1I$uZh^0bhK-#R_Lmb zl|ig+km<0Ux!dE-AC?%Xo6mW7Qt-=%A9K#%&6ic@-1+<87mN1Y@AJRkzWc8Fc5V9Z z`*(sNlaRcVdTaNtm@;X~qbZlB9GbFeO3;*|+j^Vy8+ER~s}4K6dEK$Icg~zSb4G{h z<YE`l43~%uWYVlP_GO^zl?8^)EYjvHZoK^JarIT$a=+E#Yf4_Z-+2{2zv}A7ORKkf z%`Gw(7X*X37KM+FbWVm&sGXdw?my4wW<axt@naXYWu6l`h1Gm!80>`j+cOYk)fA1L zx#BbTU1>bC^um_sGpD;QO-speKQ+(ZE?xW5xkcxdFBqA;_-prh`RvM>lYdTp_U;|H zbW9WUXa|EfFlYsX7BG;`;>%3qNloHnmP-&|KE^Gs6ZYpzIRAOSuKWl4-<8+b@_e6Y z7We)4;qtrr>wdfJ+p$}k4V1?Mz`1YBY;aC9R>@z{Saj~Dsa)^*C!c@TXw5%=4HQIY z-iVz(1p;}u4ZN32g97I;+u;Y%drS8Vez>5>{+#XPK{pQpg{bedXU?A60|t_tZKV2R zr>y*_ygm0Bx3bfMg^p|zM;bmJa=o^3vs=mk4<92m4wRI0tQ2Q-sQGX~@i=%gS3*)6 z1a=2@SstC0<g;<<j2XQ*dVJ1YywL{s?A>iMHBA0a4dq__Tel|x3_w%(k7@*u<=t4} zc!)#)Yv}it%T_FV_uad&xR^sz!qC)DW!bUL6AI0}Jlk{Qivm6e2G|8CPn(e^=;r+S zItWZZUa;k(MAWyXeBHuTHvO{eoSW_!?k}zB`~CmPLTA2nypx*GTHk%2U$rd0YN>zK zlHYgF{(bZm1g?TXYl@zF_~h=BTb~3KsqWm7mXg$WtSlP@UW+CEP+b{vD&W<hb5|nQ z{0K~J^#A<kk07V)^A3JX3v&-XGsZm=Z;RUo8iRp(Ifo`_hEq_9<>V=~;+Kv#CvtC3 zw|XD)p#H@P<1J5HBX-O?#D4dETAEnn6Q+hA4;DJ}2`D%;Ffbm9^3z?rOe{Ei<<u*e zcIEEA%pegem$Sf;%`k3Dh0K2^e*doHA0566{t$mxF1Y*7nKNq?wL#$Z@qT&xx<8PK zPCE(E;skDP?$_IDOTpmwwFQ3^wlX_%XihmZ{o|oZM)5TM{w}$BBDUwA+VL;HvGd-U z*KhaiQw=;_D;Dq|Z5w#W+o6@KKwuUaIC*(`dU|g4y}BeQNOk3$5T8}5qE~a%Q<9c- z1zo@4<_ZF!(#p}XlK*|-?R{sLPpmpUiM6Gj4-!5Rch+q<Sn&D5!NZjn{i?w;zsSn9 zx3`-ZgL6PzTbq&Lsg%Uc8#ZjX;Nh`DB}7P#v%6(`F7KJ?-<aHut9O}-+n41XU3=s1 zd#hc)-)67fY$;Q|okL~DK@f110o#0VHfS-%0S7QRaQj>Qr~IGC{{;U#_~+-(pQ3-k z@%c?Ga^{TBuK!$$i;9ZB|DNCRqNu#M9=v?xe(vo4{(k;8ea|ySokpHUo`xrLZ{MG3 z)xYC=q3zq3$7@d<-04`ffs>(u*VM$=$b;WiA}#HiKoAR$0z(JCoYfP9_llD(t55BH z61Tg&fA8|=IY!e@rTF#81?R6^e#Ls*>&k@t-#;vAV0c$I|Ht;<wIvVk?wT3Ra_KoJ z1wMUfASayPdHqQ6qlX6{NdA6Tk-jJU{kx6t@7>%|T@+F*abtT;RYh5l!v%&eVYQ~7 z0*M;#wr#U#?v8bN;L+E2<Qxd}O|&|vR=o1duEp0cUc7j5uZq3(lg+wz8M_y-c8liN zo!$I6N;W26MBPeZ;bWPP3*Y|Rytek+)g7~E@3d~2(zX)>z;&YK&K)3N!Dq(Euz}H! zZRO(}qv^go77Lx@xPItv^7#XIxApd#z}sGyhWW>nZ|^yK;)qP|8pWSWKHd2J_xkVL zz4zm5U-`#Z?Z0UE_MiKE`zS^Qt0y*J>i*yS`|ZQ|-}z<#(<jVLxy*FI$M4LYGiQ`0 z=<No%U}s@Qc6Rn=L#x{tZ|2=T^deijZ(rH%btls{-^?+ay>w<EsJ;#k4xZe3Fli&W zX|wj@t37cuuRjf~+#8oa`;We%bjtVt9^47?X-_(PM1Ot^+<553U-sV{f3JP4@-Zp# zyEMy<zj{t7Cv?juynFXftTAK@XelpvJ#Q#zt+v<15Ur_ROYd!4ek!y0)f~U&moo#S zOyn%VZ5>^3V@G1y=ZzUYH>xD<IC?u)q#XaT>KemhX%7Y#Lxux$wg}mYG%&e&shHUr z2q=i~NECSR99qC&DWS%~$iu84aKKTb#ZkpA=+s)q#p&U%k6Jvdb1K`jXi8Q0T!pMJ zZx*bZXEog-X5GPGb_a8m(`K7DiJtaT*u3EDoy{#pd@_8?<ujfa@n3&s)!FaS^8EGW zz<W;(f3$u%y-`r!;zp8UsfMA{YMI9mg_U~*PxuIi9#f59#dqmZdibtK5x00#TF<Rn zx;dHina%El44x0)a%SiASL~H?{=7EHVdCu~=U-aW_9kU`?&Ur3oU5(bKw=lG@OR00 zi^Hbs{c~3xSg015)u48BTI63YCXSTm#D^K>=Kl?SZ=^6RlWe+VX#41!-4h=330A*U z*XkICt2RXDMHfx}^z`29UGMw2xz?y^7<{PNqQ{tA`R0mr{8XJsCQfIsM^qjUaC-bC z<fY&q)kSfCe%LMlmHd%4_x#pvn<}{09~C+2{8?_NtymOm+@aoG{HYzhd9{v(d~q%E z)mkS{2=Mes^xQGu-m)xFE9}6GbjgQOznf;~>HJUX^kkp?;b^|<{!NU3_kYyxlX)iR zEGVR9&BhR}ak9z&&a#SiQJaGJUo%=?dgwnp!)4+k>xqrVzi!#J{S)FX{${XPO#W)E z$ekr8lp<V%r)VzLF_p6NvbufR_p8B-qe21GW^2T>%dYHMcp&IMi|$hAM-QiST+1^2 z(w}?tL-lvP<c4rRJx{xiJTAGG?ee}yq!vwyGumnXQ{hR2ikbv(qu+rmdE1zBC&mXH z^k1E{VPA{>hwV)hBoxziK2KPo-TOnb@4nW`jZM7wt4{lgb)M^-`*vo*{N8CD%e1^i zbejxCwS`6ZPc)p|*`}UcQhvwlt77(S*<_`+>x`I|ZgDmEUZwvm>f74n2UeTaewx*p zPTYQSm!Z=Mmo<TN(_%|Pe*DXh)|i?9?p>y6!je^)bLFSqpK2rXA-C&>NM3=Cn(2jY zn~LibX4&)pE&hMcShM_ZtI4%8{g4Bxe;253+%)0iYUh}DA9ww$-I29!YI)4gi=q#Y z$_VzZOA~wHw9-M#mf`cCA|6NAzZb*jo-tQRzvFa4>Du3^hx_U@S!&td>UrsZ39)Ny zS~<BtEJLPS^VXi{<`n{00;bsB^mr4tufW1{f5!{K*VV$c=L95=URu3W*vWl&tbA0- zAOF^mN9F7zzJ<A5xcPVC50$`-1J|o8UME!uI?uU(PCaLCWmH4VTNaU{J`PioA9OJ9 za%rxRJ<1+ev!LMdnX=BrE3TV;WmR4(*<3f=_+C%{kmyyR+gn4=`EA=M8E_yycK0if zuL)Wi9fgyM@|NB@n(3t_ZuUCE<Jc^&X5Tk9*RTG*!Q7!?8zy+@w+`FIl0U3_RK!m3 z2edp?*l^G)`mlk07`H&J(f^NUH(l0NzV|Zsz|jq}Zub|x{w?!9yIS9#^U7)F3+jbC zI**xM*X#SdKqTrxz1;f>d7A{Mtvnx2b$!e}6u<iVyj@<U^QE>{$SpRrzI^YZ)7qwD z+4-AY{5J28eIB<za602wTUGP8{N8JNJtv%f{^^ImUa)APa`3~Rwe`C<x*dLYB3r#F zD&o<N%~j#WOOL0vGpxQSyiKNZ<#xrHM<nL|DcNS_zU<Dk6Wk|VnztQ_D*E%4PqM^z zSL5<&+5Lu-q`Zx56TcKP<X*A0^;UNaS(mAG()+&h)l3e}rTkT@6@@j+g5EFqS$*|% zR_CLtC)q(uE04P`b1!@PV&c#J%3W@WDc7gnzH0Nyh}mrMojmvFmfdfzy^V7^_;&G{ zA5Tl{)%F_k7&vhRfB7f7?tGwjB%9=s1F}+`vkJRa-XHM0e&Fi@&iwc<@9Kok+f30m z&@H_g*C+fr<cP4tu}e4pOu3d(^#5+>wlLM|gM2(ui$%V_j965i@oTqU#9{wyAsOuc zmo6W>dm^J~$D2u-rR$@))*NHrZSzsDD?rev+;r`)?^ZQiR3~&ZynG~dRU?Z1^|=qb z%QLET-SRm7^_m(bul?kxKJnL6QtHBncn8KmoEb}B9$0R|t9^EA;@86p8%i&k<qH&v zhA&<w`S|*t(1h*Nofhq?Ro~X`WV<e<PSN{Us)EDy@Rl<_)@iSod>i*_*@Wlm4!2%E zTdw@2faUM4@=uY`v)SAjCS-~!ZhvwqE&L<Ha`BxrO#Za)*N<KsH}TvIMt-^6<cl6F z%}SVhUN3vdK1+}1#%dMUFTw9@UNJHjdOhL)eDA}79iDO9?(|=G+WTRmvGOiH!K+VZ zy_(H^WJ$j6+dF5!?|+{5Hu>)hMTJStuao^J>|WBZHY;xO&gR`Fs&fiAR@x@Vg=jrr zdC{j<Fv8kS(o&AOR?;c_OW>|!=ZmZbTu%F>Fu&iaP$ZypN@(t~-P`)r-mHJDY#{12 zG1|0Iqo7p1`D-iV)Ud;jYf5hXUvhorZ8^h?uL3K#?DD<BpLS?fQ{SOCALiUp-}(NC zMo6mu!*hx&9Ev6@^2L5kR@ly-Xm02KizB>q@t3KQ(?Zx6EuWJr=5nPu>bSk~Esos* zJED%8RGFr%OWYB|WV-R7X2OQJG@;X?hIbWSGU)#O)pRnUL|^fkyaVgx_U<zc(;VIe z8_2Q!ahYMW^N*Ll{g0PdM2y$U=}#}I?NW0K*!FB`BjZ}*Ge2CVQlIeEY)laFvHZ~) zbV2)?>neYJVXs;4OAStRaykE+t@AnC{P|o}hpDSsR-Ux{%A8Tz-Lo{{d*)8Di!z62 z9C_teHdQXs{@A4|`S0;1Up>v9^iH(nC|<IqWm)1MmsIuV3k<`$XLKg|lojqceA_{6 zU53#=5oN7CJ8rdxJezoJTA<wY_B~q~0{;k9+AdEm{E*v}e*WXDy<H{uKF-vgIg#hH z)zxcvZ=SYUt{bM8mQpT0<^Gq%ABCH%?oWAkCNSH$y0kBzb1(ausfFKTpYNYEF}R6+ z_54KM^5g#6wkvD=cE?QrEU-!LZL;kACc}f`M~?ll|K@S(K%!1SY~Ivkjd{g0td^#^ zXlB<inZ8L`S&&q6m5q-fX;!I$*SR-=;az$+pXXk7nfY7sUB~l)Z5!?tl>JG$_T<qb zDR+?z!u#(Wf4oNTY7@iD%Ys!4e!ZSFx9rrk#|cFdpBdC9h^*`BJ1nQn)4J%Hr@-`r zUdI$wv7Is|!iU%FJfxX$Dl6;zUe)-yKfeW~vCW+Md=Yb7T}(jc$&AUzQ)l|lXPEru zv~lFhzbn;`)z{2<R?7M0?wgqcKO4-P_ulT3cQ7{o_4Rm!&8L+OtGz@e<GfycPw{v( z$wc1QZ)&j0QlA-Oowsj_edV2`|G6V>tD2Ad$@8atObU*fyZSs_;#GL!$*cM;JPbFA zw#LLJUVFAqc=B3bJC+TXi;G3y%%5b_&5{te>*{ybfR~Xgmp;x|#CGZO9NoiL?!Nje zc6MP!bGn9F(5@$a?s<GlTQ4khkk3?H?sqBcwR*r(U+?VavG>XnCgd1q-nzVQ!p0dt zmn0c1nQZW{|33E@$?ZE8ddnv!`OIs~$v^CQE@Ryp8{bEV`J|SA@wIo#W{+g%T)4b0 zyxvg#l<tS5>XT}kTX~&Uz4*`i`God+lSMIn3*CQ+KUP&Zxoqin<u7ZFv}(TNoM!eR zL*GH-aY~ND4afG0za*7Sm3Kw>-j3b;VDf4U^Y!mHeC19o-ytK)wQ4rQU2Dy*&@%zs z440RFG+)&i?D{)O+QY*t$@}G>eWybh*Lu{cM?H7=?O%WOc86zJ+nnjyuOs&FUA(uI z|4<Hl%bH~}J}wJaA3t}ZmA|6nLW*ugNZG0ti{n-ctP<p2-15Rz{zOQ+-P-LdGTQ1` z`L}5~e!dmrf6eldnA(IA-6flMSqFtbSNgc-#Xp{m&G)+7J=dmxicNNHzj*vueW~>B zT@ON=Ht@bEymX{XMoXvd{~va>klr_z<^{%w@@j6rFU|44`A6r0<6^6Wmp(2I3R9aN z)a=97oWhp3kf$ZBDKd-isC?6k$j`EKIUn7v>k?1u|G7M|Ri9Bx`eb8Bb;#PNymjn> zZ?%6e%QgOAGr2l^w$;fS2`vW_COhVe>a1i6$v?b~GqLrx{nf<QYaORnHpl2RvK)|8 zVcsZfUsCpTdbBN<B-@L)Fw55uN@@>YvNEsYvdGo2U-Da>G3%w!wmmc0-=$A-Uy|zi z<bm(o&smmkKeuG9df6{<j7xNZ&!t;-TtB5fv-HhERxG`<eEXp%Vk#lEoR){<bG`_D z+mV<h=P|G8bC?<T%<$IxqAzFco@vmiE+*J3^M5(-wkzKE&YqB*r}F51?cdeg&xl{( z&kz-4IvM%lbfLq|;O>@#(?YJ^-QaiXSBhrqlZ}NFp4Qql>ra#Sn0#ico~K*qRHf<V zamhj2n>wzihHgJ9aFs#l!pGlgd~bgkl&s_DwOEp7HTB3r#nbB_D>&b=sJ|N|e>ds) zu4$bn6|LXoljh_VIW4+0@5Ek~h1OFQ($`+v6J6+JcxYju@kYtRCO=F9`@I%cszf*? zoR!i}YvMV6Gc(ytcI{lQRnfQ7ZuT76lX>NoY+xEQi<6gR`G(E;a_1TOE;D{*oTOs% zIzz>A-Hfg;F|~&#d8%|e8b`K0+w1ZCnqXqb7nkY3R;7nXOx5dl?@U^Fs-#Y6$w}pn zMgDnh7lTz+<-b|$leDA2nnB?Dp=8(Nwa@%Rmt0Jd$~2tGk$hpd*wu@kj5)V2dJ8`a zOm*N+bmoiNdV7EP^UL~<DyBPbq|MVxcAeFF?0nSEAB!v-6_lT*_p;W+eO<M7R`yQY zz4<5g&aY4iQ9St3Do{m`mp658^|5<%0+;$-D|*x_xG>F<m0zep!~0@a-`q82oe}%C zJTyxRI@6li^-{dA{Elwkwo_BX#P=Uk)>;!}+q=JIQA0t*A)hJ_mFZ7TZ#Z*Q?JLi! zGp>Q_TK?#{ueQ8sEbvpjb|PmkL({acao;p<EV;-2_U&~3GasG&HZgx@P6!iO>fxNI zF|n|F*M;Er^a}|Fi3XAkJHIt%xID0k-?wUiM(%T6ZPOZCmD}r=DzEU`E?G6Fh0!GQ zdO^g}3%_5?TJO5Vx@&p!`pyY)y8_pR?B<xYbhAnS$p}^P_r52^16k5t8qR%Q!PGK& zm3_RRWdsAe>9ebnUMsjaSiK23q+0M=si9zQ?S;2{#Evh?zPK;G@|$^)wvYA49O0YG zmH7JuH<cG<XxR98yWL-2xyP@|C*i~2y}Q$Ayyu8ru~*0WYTwn_^#yEOnp?z|u9ca7 zdXooF;>Is`KTKlom(CZBY<!V6WBoe6S63rXE_!rI`9E9G65|E?oWe{t>a~?>WOx6R zsR;`F!RvBmr}WI?oUQe0$GP9MINtjCNu+DSANgEXyP4cOo#uJCe!Vb@&)WWr|H@*n z8|(P}G`hPu6z1@A2rXQ-USZjVn|3Z=M0^4lech?EbHB#L;$F!r=btNXYZu)&c>O}o ze4Sq#+ey(?X@@MAdHi&|-MM(7pfjK0l*X42J?h<_+Q%B#F4MieCU(-)ZGra}?%29j zR#irC+YZI5>QpYtDevq={b#dzPT%P%o)L3R?UmWfGE3>gp7R;So&lS@oVF~zy*Zly z)qI8T1x{th{__`3>O86!niOED8Q{F$N#aFnT=LJ$S$|HmWmj<gaopsQG~wsN);|`` zXI{_itUufpaIW)v?5_(N&fE97zqvnEy?4D=s<!2Cz2ZMhHYh89cJJg&xZu9SiD~kJ zLyL_aq*Lq;i7D+>c$<3Edx^oE&XXsT+}Wcw_eoAzw)0IW<Ia1_gic%yx*Q*U(N3YJ z$#CcIcN#uHYwm9H3EHIkX@6SDfm1u527k#|eLuNPnfY6#zjfY?@avt9+Z}q`nzKJ` zUjEDQ>yN+NTpoAd=(|3xz*V!e<txjw1WqB-@GRzh>#jZtj$iDaUj&7Yvwk$HOS`;% zrEW^qMK&k7L}}%?mTgLZp9aaCJMtuz`9PB2<{XZIerKB}qTk+VRwtZyuBwog(2aTM za`OB^^J^~yWhNw=xV-7LbpOx1`lg4T_rgtI+X^Jt>`d|CRsInsDHrN~eUY8oOe6nk zGO@cRtPwSMlju;sV#~6xWg!f<hZmY&UCTGYh|&GY!+Xnn7N)ZvI40!&*4udPv9urS zwrH?b?_cjx*mO{2@$DMk?^g|69?LA*xhTPoeTiA2;(|>ratoYX>srDK_FJ4;7{Tz8 zng2*l%&DwC(F?1qKA26uxbpVhNrj7i9@T|r<X2U_&P^6$Qa%~N$(i}*@L5OmjBUCe zNt3zl;y9mV&CXKT&V8ZhV(3zXFTYB29!dzgPQP|iORV#>uD|h#;!QO(-Oe>78HO&= zycm3RyQ2LTM<ZWVW0s3uuVz@pGo4Ops|-zv*ETO%<#YP`q+;d*&5k6+ATCt~htl2C zIr)}Oh`GO{@jl1l##Ob7&ovfxA3Je+_srQh#kDpR9rlnov{p1mOe;<Q`my^C{0;`$ ztfDs<{A`mMJ}io5E0}ZCuFd~nYic9EPvF7#Ej5fW+1DrTU7dD;b4k|wV|Rtw>=^cZ zQ;rE2i1MDaYEJ)7#wE@-LV1p5h?bS_Uv{`RbDPHe4Q6RucCDQ9Zr+T~`~IdX=Uw<H zqWbt}T+wBYha$#&6(5xT{onJYJk9iOX0QQE$1KgQhj;f1%?sG8k)iGs^vWUbnEY~! z_l6RQmu?$4@A)7-dC?xB1szdb8jL!(FFsXi5cr$1EV*Qbqt!YU2~$Jk<x)--{qH+j z!U7H(KVSQD(aMZIrN4VOYF^fU5b2_Alq<UTPnV;3mqGS9zr1eibqgN_w5ex#82P^W zS$>4IOzzI#NK+s7?Vd8%^@5HpITG}+xLCm>+jPNAvE~o!InI`!UGbOWisD>PFT0&z z^Ekw3L<?BPSk74Pvh1R(^b^L6*1c2u)*fEaF<1JUQR9O2H!|5KueA<%zww!{m60*B z>1KLLdw=*lSAPYShbwE<Cm!VaUh%~A!TQS6!AIsyuUvJ{GbQnGtz8upWA~S!>1$0y ze5QX&nfW?l(~4Wql;)q>yrxKP*T3~Mm~A!IpLe%;kZ@@A7k}nv*=f5^RL89QYS+DQ z%kO(9ta>M|njqt#qJ1xDt<IHQ(bu9^`o%4I7ae*!Um`s8<!9cXI?NwFo}T-Hvq#6K z^u4OlhJ$kAd)fY&=Kq{B<5NN0U29REoE_)f{Sy)%%9|Q&Q{caT)p!5<1&SBGnh46S zuiaB8|FNNob^Wz^PM%}_)0pCRUCv%CxXyUDc~5NdJjoNiQ}48VS$p8<v9HV01NZ{C z)7P#_c5!-Mlf^8z`@+@=zP-suSBo^neOfvFj%xWPx%Y=W7Ujj6E?W{BTCab{+U~(k z>x&)Fmp`86*{XlpbW+qCANE<fw-T-L1Ew?Vl+kAV^<{#2K!A{8X}F3_%0kAUE<6mZ ztrOfIw`jHo^N4N;h)8sPm~ZMMF#D_k0}F#nK&YyV(nN>Q(3c`k@6H9TNICm%uEMG> ztBj1dcUZh$dql<E?Uo9&J98Fu8nYP)sAPe`whR3xdc3~g&d$b{&+RdOcjnBQ_A?#A zr=Gmt=2HHHE7j~`uhG#NARzHbfDHoDxNR#Qbvg)s*4Nu|;1HL6^C8Y3nV)W-?rC_G zS*N!D<eNA0#*Hk3Gc*_{-z+lSzW2zRH~%NyE;8MG@Xec?owIJom6aWT_pa}5<Ezsk z;0+!&h8#Tr86Vbx0QsX+p#bb2EihnSk+YD!_QaxjGj?nVDKDEYHd*-1M9?hrq$V&Z z0)tJh^L#gT8U`D0eIfRFipLil(WL$*JZ3eg{Og~F{+RbPJfz^%mm@7vNmWTsuAVn< z`uZ)?u>L)NM!<4w>tk|Lyp~?lIeRI?MC$BC8C^{fxarjH)E%Hy;!(0<;uF<E&nTfu zz9o}vCb_pMc}-k$Li<tI79}Mm$ETbcHpbdV6>28bW^PGIU(fLW^W6J?p02LQxTC-S z=lOEG%d*zTe*LVmn}0rewa>o1vb6NyyR6O4FBVU>IOC&u(Rj0m3|FxS-%Y3M>NXtv zJg$9IuJ-?=ByV%F|IOYm@tZf}kLut5dA9yh{l3q$&;OYa|L#rXR@sO%=0|QAmX-JK zIdhun0|OHa!-2x%eUJKN>*ed~UH%*Y`YHIg&E@-Vjc;EeK(M{WW1q_X)6YL`t*MEA z@qT||h3OM3Qw1LV9S080jh{dFxxK)@KW~2h*l?5M#iqWwwf_7SXKzcc?_;pGc_VnF zB0b!F`Lv_&-rSH)E<gSbyzHb}<qH^WyU<?=1qyC^ROSi2KYIA^;lsZ*?rFZix7+&r zy1l!1EAzdLvR)c{>D|`iw{PCGfT!0=XZL|X9=9#Cuy~63Hi?~rK@|sO&zwAZ@a-Ef z9<_?f;@dY@=j2*fr=7mKXWCU6>$0AW@4;&{z*87=!Mnk4JIPxWYN)I1iiwfA__(K_ zpulC(;aZXVx6Gg1zAf-ltmL*+`2)6n>iZb@jul0m6FlV6$76CLQ__9k#N0P;xSmK! z=BA%dw@#O`p8fXC&Yb5q%BN4HSoc4bkgq&rcuXR>yq;mz?OPzwz^EU$=Z2F#WHPo< zB6df?!$Ym$&D<G+Ifh5%Jb6tHt36mG;-B&*TE^z$_8*JPt2XS({}}Oq>c)h4Yir|c zl1KUskKOpoxI(S*;Dm&P1gAyKvitY#+t(BR)U>j8{kyMKd+S8@PKonefBp2=ti5~o zfRBr~ddgbv-z(FmsVySwMVY2wkKR3{FvdT<cxM9>hfD%PC*!t7X9U(<|Fuy6=bGb1 zZ+<XlY*-Bfpe^v--PzoKcWix{r@4Jn^joo_Z0;i~zGm%=T01RBd*#xlQ@>7hJtHM_ zS9x_uYinz1>z<%E)%EwZzpvW6i)&tj@keKV>lY84kHyuB3iR<^xElWQfr0b1^Q<C2 zP8WuS`aTbSSZ-I(xyP#P4Znl!8Zh{<5j6d|YL!+5XmZoFgn!k_M<Rt;7OHb@x$ygm zE{$8cL?p;dQ`NO(rABIq(W~B~#kW?hNVp=l>v-q%iEJ(WwjU-OzH-j!NW<b!oafYo z0{OrCUz{WeCV9>VW}dvloc!j---Qc6z(b^A{yYfi@mo9jlIF`VRy+Ot{N#@=+va(5 zN>0`6JIj_W%U>I|Tup7Me^ijyDfOANmP^iB9NA@TI{k^}6^W^SD}BHKbnc0_w-*>h zzc{{RNy??!pd%<)l3bj;l=gb)1$DQ$IQ`Pt<gqEC@vi#Lr}mKw50zEKesna2CqBus zo9=48y5QgE+1^T`XP;i)nA{Iqzp_{v48Y4*7A?{(QBn=`c5!iOQBjf#;^S3qzq7E6 z!_p)~B#4VswH36KVcP}UJN&C2&Q<AYNXmHOu91;`zR+ILC;f9{*|+&=Cpw-B&Hmh@ zRd@8V<R8_tH#cm-1z?lM1LXiA4$dVkOht`R3JMeCzc2sm`EQTCz5Ov!mqqJ8e02P! zQ9H?Qz5naSmp^~oVtZ;{O8@Q4my4aAX}zzQKkxoS$B<FK<39yeY?O{u!C?=i~QH zmi%PBo;m5v&7XyvvaD@3u=9h!dC-LExpRT+D;Hn!e6`2Q%F0UN*qoGevzyNZKHFiL z>vzsw@c7ZdM=FJJGBPrAz!`M*?Af{{ZyxR|=`ek8zoyrhBl7*T?3`(eXUhM5o_{~) z*$$cI-_P(LjNkn24FADCS?jX$1zEys(Jv$;nVR!9p1NdIb<FGhi)lKxi&Lk)ulZ*( zch{;|lkT*Odb_%$6A~C%g&ZC*Fnc8UEDc(DMfu}$=SBXGJ(t2)P4SA&)OPh+Dt|P? z1T_12QPJpU-O1@W3tuaRtk|`IAu+C`r|qKtuBWYAy3;O(-u>h>%XRmgH@lv;nw&n@ zx3St`VSt8+7idM16KDyNkx^02L~%yLZSPiBh{_-RR{H<vdEGAxFB&Q>GK6hI9V0ar zk9K)+b(viZc`$e0tI2a?{ok8}&VKXefVJ(_D|2K0H(Hlj%{^DX4>TdW|EI6o<dZee zjP0K|Z@UovM8BRhspPh-wOv>J`}x1G|NHxw_wOGtF#E)|Y?@nX=fo5jXVXr(l?Rw~ ziyFcN8|vQm$X0&=7wr=tJO+W&R-5`B-@A8Dt|QRj-yd|s&y4M@?;alFxb<`S%&L{M zRbMPidnK?}gt@Ku-g|~?8j5UZswQeA9FhNU?9Ok-T!yDI$;bO-laEXD^YiOJPTjM6 z_wL;_)8B&@=xvJK1}aWgufFZH9Gt2bFE)luET63t*?2?c+tFP&#JZ0pJ)ctiUZzOT z(%js<{fdUkhugpRJ%9c9_RZ2aZz6dlrfpxI4z~UAU)ehFj5&0|oQM7UA;pK23iM*Q z+0$#PtM5<9KWYAbZEf{!r}`!L7O;sMylI%h7ai_C{qD_;J5~IPw;Y)hIa8*0v&Cj3 z3#qL9%BQE7*}QlL0@uLc7#NhrL_>hF7HCOdkw)>8isBuXw`5MpSYCpHrnTD?o}KN< z&Cbis$<DI2{*YA`rgZ$=yl2jj&+j|KbNrmixtdcw@0IU3mcNmPoIe3McETKTyhN{* zX_sk-X`5+_%lgJPm*7RJ7voIC*Sb1u&r>`<CH>^)?`LeY&!6is$>7MmATbMcPR7ic zxeN2BH?kMq3!l*|W!`XI+AQnMn**zF-kd&{vB1T8@x<7BYjV={LF-erXB#FT>yb2u zoB+_H3_e^#QZll~yiIy>ub}cV&@me~Zd9{4<*-HaNNT1`IJ)A&@v?8*-Hs-_d2{VW z_lCKD7yr1(UM=1yoBT#m4FuT0ho>Zne0X%UTbh|anQPMq36q%Emc18}RF+&wmU3fH z4&OLewykdC=4=C9P9=jtr*=-mBnFl(-E(8NGZ??puRYqgG5L)|@|$;YAn=}*jg9SF z0zbH=5&yq*Z`}I&zpJmyC9M|C^o@#NeKqUtE2lDWjbEs_b3%;gy6LB%X6@Wj_j>!b z3*}#Y3UecO@7Xfll=&`e4kOFwO|vu(rn+qpy|(Nt)9R%l0BI3|S3tFbjVUcHO@#u` zX;L6;x}<7ljFzfssCVm<MMWF^t-8IEBST{s?$}f%8>*|q@!Q6?^xLxK89OqU^YFis zus$YHT>x$kgUfp_a641$6$dL6B-K<^&7a_ZQvLhN+Nz?Ws<{*VJk_tSEG?2UGc`3e zWzFwc5Sg*0e)1``n<}#%N<U-<@s}nsfdY%E$-H;T+>i*jfc{{uvNwIQyRC&m0JJ*t z(j{=Kb&?PxGlu{J!|^3cmMl?OB6iZ%$;oJwg3w&okKhHcFJ5$59B~7K=oivIAH9Fv zmoeiZi|o{^0g4MsKUgeUAh-7A^FE~u0l~XGg^vm@m|rsa<6?`cR%JbRH|}Qd?gD`h zFmQYD_yPn7bOd^UfriEl-lFDP3l=Cm5_rK1t}hnM2Ls(N3EfM-<d|69a?^jQa(2m7 zlgV+HysTbcHJPOMYm@n9m#kT>a$3fh-LqyMU3q4W=IV^a=|y{zz=wML00VGy@XH4< z02Le{4E53rkz#N&y{M?e8FbbTsCv--@>c8Rg5DCri|48|Ubu5;v<3)V@Hg=`Hktk; zbD7qJg*#iUdcBHEW~~g=`~u3}&%hb`80gfmWy>IIpq^QP*Nzz*Gbc@%2mwDej%g%M zGRy~6>pX=V%#&Lx6JutGv2ikQZryi(-U~mzKK}DvLSa9?eAx4Kag}>|djGSC#Zu4H z(*H}|dInlo6#s8&)Y`E9ze2^u#iy57PM-z_x?hwbE5teq!Kv@*;uWA}sf&z!JVD^* z$yFDd8B5Qy?@D{qy2zyOu59w0zyJ`~cA;PW-N}>HMMX&_hK8IIyjP?w-(D+sS(=51 z*^!4~)|8-Gj3Nz8@--=Qw(K!*(ctKsawE||r0>v#Hbw>(1__2Fh657EB|>lUUS4%A z_;}2+Cx3SwIXm-S$<r(HuFEAows~HZx^H&!!KM(m$iFqK9TTp~ElF+Bxi(w><jy4` zXS6m?w41;E)mPr{uPsijXx5t)6k@0!^J4xIpN01KCO&vHE$)S0O#OB_MuYWMa+<*r ziIW4PR?9sOtlF!~yMd!D``Eq3XHMK>QMdW;SQW#ZX1nH?yD;}Ho&G=5A5J&?`DNXH z|9wk3{R_V5e$v#<b}iXlV`y-tzOZ%T|MUI~dz`apsxP%<T(<DH`|*}#&Wqls1Yb_F zdF8A<DQ}6O#`N2E8rq9Z8Y?r7Ef%nx^dM@k$^Oog*-O^>G1tD;7kI>Z?&6ir>JJ)4 zS8U3h^7ir`mDjI)IS<}#(@&hmd2-6thPhG>O1@K{G%1H0c(u%b_2A%{a38szFWGaH zOFn&InQawe<Fxwq#-9ql`}^|?jE@|evO}$w<xlM*h1r(p_fA@_7H^rPE8gI|)X=i= z+kN2<pOb&{=KtC&5X1C>_vyOhN9qq3rc~|Ss-97(DJ}F|pd~;0=H23izJe<=6&|u( z?#cO=pz?9Guw_7hU-Eb6<!h&O|KIZd)s5Lq?ztyeo=-T+So2Zs`yyxm2BAY1`|TX& zu|<Z*XenvmjoYQ7z2gAGn=L|H13pZ2(Z2RN&XGs+LCRNy8P@UB*L=88C$y;e$)-i7 zQoicBFHaRVZU6V?c6r}r*2Q5#=4Ve$3|e5np^`W6>y3@`B0eqIeXr@2f$oLq9}_kD zYfk^1S9Cpft^Ya2De22NznDJhFUk4llRGE(gj#=eoxMwxHb+9Z$^z4=nTzLruwALX zd1m$J?!U#!0lv@Kj&|&tn&dgF=0}{-jx7(by}RQbcj%@)@8ipbU-+$x9Ig6ubtmn+ zth?0Bl|!@t-87>+TULpfxm!H6>}6cEN^HyT)>DN=NkXi@+|=6VJ9++3EfKfXUDNy3 z;_?+Oxp{F1?L~Jl$<E#oc=N85`-WxTPBd}tuM1doqWR3Dvgy;<>J}fp_DXcd>b>fZ zCnqo1pL<fM<-PY$4#5@bw<XiH?zpj)ESlYNXH7%+q3bh#cKvMjJd$2>u{3bShZ)V6 zZ*%<l{-xo7s@`RJx&5;lB7XIA#y|5r-C+Ip_S3~)*}6Jw#3vv6)9L$@r?9BTW2b7; znY%s8UYAvy4$c2;akpqfUIb6s4ga;WtcS$g_|Hj%YQDJBv_*E?G^L#h2f3WIEi;SE z&pl!BKdyG@TG*Y2cT+zZ+^}Bx%5G-X$t4B;ij{XR%{Od2@zQ}g=UU=Mj-~tCv)`G0 za;li-`hU4=QKZzX{B`!zPI%8gJNb!tMC}d3Xp^;Be>|;JIA*^6^6ZiE&BW07rF&<W zURqWAVVeY3YChu*X@SqH1Gp1SZKuEfY^Jt7>DL`O@44GOdR8;;YF^UpUKXcVX)<a4 z!?p{V-O0YwkAA7Ty>W?egh0=obJN)F=k|Yo6jETVv;QUAk#5Bt#wC9Je9O0X9zJCs z{&PW=pplWv7nW0+HM7>pF4=1JlXK?`KKJXLZMQ-koDYaOE=`}dX&Ik^``yqb3*Y92 z%1r*}STp0#@vDcT-bq=u{PC!2+FtrF&Fjmt!orI6PpnIt+EQ0@S{5YjY$<&yE%<+P z=b!vn>n0tz*O#Zo^dVYuH{-^vkX@&m(-~P)v(mNyo{f)M#<+V`_`+7hs`;H9f=x@O ze3PDgZw3F%Ugqgtf*0-PukcvF<Qdw$;+jFw{COgQy=Pw3Yu_y}GrK8uY(@)H=_!G| z7wTOPF!c+&AFk9aUBF{I^`gCtt@Me*jBjS`y6UN?$a?kcJ;xKpeGW2V6ILYNwEiZl z9Q`)%?XP<`susl->z|#m>rMBo(t5i|A*+|U1;j-rJnoe2;1%>)^fFn&?1Ia4&I;Za zTn^z3?6psY^)4(*o3%5&^lqAb+NAb<Chfm|@E2CI9$)rKPp`}5Pef3Uh?at9`}2ou zRc*6>|5^Q*jceiN<m0i@da`%dE!#RPxY;r>^VWjqD`m+#CYEilv{nha&tg#yWDVV~ z^GJ5T>eB71WwBn1dLMjj`f0C!@kzGevHwY2$1?V)2)q$KY8|=NV#ONA$hA^d(Jkxj zo^*J2>$bQbYL``g#b^EXm0<SISI-hpT0gvy-oPU5V?J^2hAXR|_v%`5mY@3SxMQ;7 z1DSO9&1S9NzwtW?7fqRUH+dVo#U@V+$>)v=il-7p(~P{AOD1eQ&=vLb$AX|uCBGvK zUu%A13KMWuHd*n+av$Sur?$u2>lxW<+s?$?Sl8(!X79br_jz#scc}!$wG(dqUSc}$ z=MEm#$9gNie)+Pf-gaePOMZ@XqT_)%=C@vX^NM?g3hX)9y7ARqyJWVdPa3`N-xu%y z=H)wo!P{f){)_7_Jeaj|!KC%fjgux_o%6RX@2cTUc0qwNPERX2&rUlvC21a$U?B5q zg+~*fbe>3>Ybfz^N07}b)2oMq3?i1wa&xU(n_$<lcVVj_<Ho%!n)j^M`tJLFg-Mp- zq&xSu0;5fse}-)Fu86#rb@k3eHA8PBcg=g#T!TF?KM~t{xb_xnqW&#;_jPF(*IhSv z-~Xxg&-Rzn>bbFx?w&N!&1|}G`ctj5$Vq*%<zacMCujWcs=ELCapKW6Rhg+COqVo# z<5V_QslQLEUmYgy@!?m&ZLe#e#SC3nNXpBw32iyttNQc9X-}D;o1atWyYoKZ9_A1y zk$z{}vA3cn*N=3z?pWCNS<rNMdBWVJZTw;)Ph8xsJJZU!%$MAIYsm4UYqt0U*Xhlx zH?Pg@o!HQ<H_7hThO{b$rq4Z3)<;>*e7=N1hy9)M_0wz<qAoOR+?!#td8x)ug^ho^ z9<O%2?wqc3egEY(>J^d7dCOS54%QuM4?j00ccYI%Yq!VEeRm{gNrYYN{it&B(6`Gw z1A{J|n!!}p8d7j=X^8hRFU!7T->*GOIKU~(=xAdwX;Nj8`NA7#ST^kRZ2#vh$jNi> zPrrnr$$8^d-@2za=_spO>gxCOvR`ptao~ks>-6m%*RE*qGT!@>-)v^z9TDbzbMI`q zm9Xp*1KaG!p%Lrmm@K$(_--qcb&H?B#L*U?)7Bb!RVRbaNh{A{SWqgpLv)iu>W@=% zSnA%#a4l1?+wREHkooLz%Jr;6e;=OTz9`V5nXlk)#)4^jKlyKQT(eOB;I(+`YCU_Y z{q5O%*m+`amM-+LkiD4i$o{Ez+AdY+<!25i^*wxLAAgHoOx!BuuCv534y(C^TO2oi zZP*rl?}Fsa*6NIBS4vlJ(A=IsDZY%+`5}w&!Z$gtD?VijJ&irSDeO(Zk945?M76Va zR{uXn3okP)pAzT)zUasy0WH&y(bpa&wC(#QqO$kOV_m)8%@secSr|)xnZ=xZDB9w$ z#U1|XrXG9qe`(4s*8cQXZiY{O?)QLwlcoRFy|-}OS$3|yW18zN|Cr4RHAfsLM<3`i zI4b%5u%?)o$DHDOi%qL}>t+2_nE(G>u->Mjjk)Z|+^I(_-lpHYxp?ZoUQ-#5IR$D{ zobuUp`>JYLC!D`2aCM8o4aa?*uL}HM8tcVH2~|4#wQgrwtYmeeRjEMz&WslIqs9{> zzd6hll#<lwI4!Vx9;=tsHnaU!6PsppwWMd{J=)?W*T)~-I?*EHblQW>n;KoOx|YVB z$vet_^hnzWFKvykSF<xrB3^FEJ@~}h+RCKv<%Ealw9iSaecgOU;Z<-|a^-<@Zs*wq z-c|kIsC2;KrQpfu(e?K(Y+uFxg1chX>z4{^LOw>_N}Dbae%0;0M!M?PHD;G>r`_dv zHl@p6;V5rVW22gO^V<be4b9d)Vd%LZRU5RYe%(j4^GAx!UR7j={j@*u!@%X#CR<K{ z??>hYmB(AkIDgrGz%S$4Oa;ZQtGWFQe#^OLa|hngtXle9wE9F{Yo00No9`M?0yAu_ z*|#3uwe9?and@d9tXjEeojcpJ%O5s;Id~}g$pPKKsY3Ui+;im|W6bV6GIV@#_+03x z1*b3F{W1TQ<+gw6r^7qTZT6~9Pj{cS>u2x^-AS|W8Jv#x*VoSMI`wTzs;bcEp!@d( z!a6Np_;pnHpR#w`lHydb{;ojefrO-AyF{a>zq%!P;O<-@qeF9o5=$-HmvCOu)ZR6x z;f2Jpq!&u-%{nit%IXErHJf+%i>zkRnOPk>(>^V3x1KxW>fNi4HuV+o98%sg(~%`6 z&Y7Xc_VKk(^<mPtSN*u~cF8d(j`&sXAJ4M&Eb}$1Sao4rjkc&+O<jS)vb=Mj#NPx@ zG}NBGI{KyZl~(bI(b4-2x8Iy}k1OS2(W9CE8!pZKcFWuM@P?e^6~3OzwX45fN?mKT zW5Jp`*4MfvSKZ3C-tWJ7k4T5^$$53D2i~o6NtFD-r6`m-C+E}xnVaSbn;sh6T0WuF zPSL!_WJ$@aa$)WEn;{#vKe+PZ?!?sKD>>}H7O?cW8Xw=R&g<#+C1X$44uO|#<?;S? zLC0KgGp%h2boy9gYc1z}Yo~VR`Wc591?D*Isoa!u;o!sNb1Dzd^|1W^VA_NC4j0~? ziFT1ZzCv+D>bi!ItQp%%+9vg?FMbvu<g@AaYVRj!a%ZMR>-!pNg`L<u+0K^ZYVy2; zuR{$@_s#fvIBK<@68qDKJ^sSGlHQshy}WJSwP$k<E5GRf-}>jhSNe+thEsp_Vvatx zJz99`#4o>wlP>B9co`0@lkE{zJG{=zxolbV{!52MYRs-)wy^p2vTTK|W7fQiSL+w{ z=-(8W+V>&qvDk|<);+f;y8LBxt^QUa>UaEgzT#c0U`v+uscQvfqgWTVP4CDxt9cj{ zm9JOpR~`K*sJ%EaIBtE?qy5hcx0L;RWT3iPHTn4#7u}ZIm$yx|<_l`tz4hSTZL5Ty zJU_eTVZ{Ffchv&Li<nuDxhvfkSbv~x>)F*=c@o=-*u?Lvwtk%0zHQpgYla26=k``T zi};zcNU=msXNs?0*ZG4FpZp26pIR^E99na6<H_YW+*vL)$tzxtsmX3!%f+$1UD4la zlfOg;^B>7R>AO2~izI_Q1wP(B=g9Ho^@`oP-=AjBtbV~PVv@SwH{|P<v#Mr$YFjH0 zdpz{6Tw3(?TVe2OS8H9{06V`LzAM=`7c{Rqn;z2ba5DDL^ODt{LOL`)l|FpWH;d)i zGSO!{-}B!&^osT86Z;ttccsm5pR(s(!vfpq3k1Ck<usP2u*jM&_;Tr=hJcQm+qTMC z?X%}H?Xs=8Y;Jv6%b~>c&5isSwF?bC^t|t5`PQnG#Bgr0$n^yqo%RXn{Hu&pSRYqj z>@}tC=*CdlBmVpE-A`Y(%5F_YW1(>3f}7du@<)T#z73wrA*w#T^K$3<Z+x5+f1Qqe z{W|jV+l&9yl}^_tZF&3rsfch#mG2hm<7bY9*x!$;3D*p~s>!%#u}jCZq|~|oS!tZp z{?y2ysw#D~``fwO<u%8S2!ZwlH@(z7t79(Imn&>r&85iXIWyMf`y~bTH9CGD&gF&e z>J3|?>1=sB@t{h4tAFA5I_ZEQ*U(h6;5kjKtxP?IPfmKBj#{duqyAKNqPN9@hqqKU zWc}Rxny0=s58upw_^5Z&jfua7`HeppSTHVp{p_%-z0gFh9Ve!4O<R}PX2ufBCbe9B z{{!7A3FbfFUUYnJ7BC^NBV(R#iF3M@!0x%aAA}ei7&rgCem$3GUCvBJkH03LB^uOQ zx?=kO1jRIbSymmZA^G^lm$t~XCqY3DYWtMT1p`iQo?q+`x}bzjzjI~u)K8-SIapNx z8?o)bUlCZtmXN@4BlJ-|i|j&$V|AZYFPC2Y=TNlfik0avr8PZk&P-5zlt14%xwvD( zhJvs?x^5r3%C!Z%=gkhXs89-E-?35qM{v2~iExwIEYF&Me#*_&wJy8BDHlCwT1wqk z_0IvxUlw$2$a}|eX7BDdxhJC@SF=3N&XYa+EuiGm7DpC~be$E4dbj;p^JMR@8oA<s zKYp`+IVEy8D(Awb8KDQ3-tl~Ov;M(h7V&*iZ(1a{n@+CJe{j~x^T@TZ`=N8!sjX&v z`Q_A~NxRrTia7l)v3Ovzwf~RX>|miEPjrhSuLk5svVMBi9_i4XsI*DjMDV}w!N&%% z_llKYtveT^95h2^<&8IHhhF~SiD&ni`?M!(e?sCu%L{E^4mSR2I=*&xX!U7>qTB_V zTR!zK@wI+z5-?9#-dAF$Og@X=bFK*ia)~bg*7UO+OuaN^vED?s&Ya&0x-5yV3F7k? zOZl#zD3R>HcIVL<maq7DcF1NrPMg7ZO!Tu!@_MEFGd9(0F1%BDGVE0H$<;|a++Rw3 z*`{YMbo{Wp{o?s;FCSfqPtlf7mh@#?)~K=Op8j%ofw(_Tmdo7lS)V<zXobj}NiMuE z^p<M53i!?`RLb-=R(K+~DaG|_qnXeu*F*0mbGbK#sb#ts9w>eL!zDVHHAc<qc-Cu| zw+hdjxVVkhe6C66-mCn4#Vh9HhuF532DJP8{ug}XcluS`=`&L|xoOK^da&9c_r`*j z;@`XMuChhzCpdM-&RgiW!^BB+o9p6Qi@zO}9D8qbnD6B?uu!`Y|6=Zuw8G%izItyj zNQImJl-QxxBO>zSo0#EBw!hm|cI;UoQgPtJ^9mi$ONt>0K?mN7CkSL_#nt@XXnB<P z_u;b>Px2a;pEo-o6JzDQ@#^{|EC;r<eDwc!_h-<#?1-1wZd<l>x8M4<v0s?Q)cgHC zVe#mnauJ$aX2<?}d+?~1X5tmO&aO>SRa;gyOmQ&tllEHraQ2kNf&Fd&7j;?8E1J7< zhnbGi);5*^ZSK&!-Un`Rq;G8SZ3qjHE-2<)v&$s%*iNyV0$s~KOj8NUwOj7I{J_0! zi_TTNihOWh<?q7!C(36JzFcS>tGLPXu=<17S0`R@Z!&Mmv+EbRx^eNl=^XniCO!XR z@}W^7=O*JdLH>^7*aNfLFX_yl{WaEg_Vr0i(~pQ<oIJ0S=|jYvudgK8zQ63$UL&Rx z|K2ah*Z7^s98<9uFTAETzT5xi$6c4eQ>88J%_U!MYe=p8Ayhi^i$qwyJNsSD@J?@2 zfweuQ$J3_!Iat<Adg3oy_~q5Z#0Bmb@@gtuoI@tM2{rjnzNEa@;qR)2reU)#&+rt{ zDqYi(eNs<Hf$^H|mx((|{%~yDSEbJ2C$Xojyv*?XF&{b6&>U4M)9kxyT6fiQycKeq zqozKa^qf`P{_b_L#de{$H_UciwX#~n-FD}*MF#%`VvD=CY4kj@l-#@BS#4#KN4sXo zHjcARd!%2K$;j@wH_I_t?-fVF_Uxtqj~D!pJm-7-oAmZ7nb}hgc+Z+~z~^mXX!MaU z%2(~YLmzJ5Eu!3(&o3zA)WFDcxqQ2=jQp~D=a#+A{rbSVATH`?d&%S?HNA;l`fo2M ztyH<3w4k~mTzpUdxz9XW)2%xh&$XoJ*!lR}m0GA-VO`X4No#N7-LiYdzY-OSbo*Xz z{vEKzj(Pu`3fJXnmCoF&k2Ol^^)P(rE0$koqhRpy4DVjEKVQ!Mb+%rqmuBCpkpHKV zH{~3|v{UU&JEwhZHLO|4%e_^_&iPMkR%}Pvj-S7(qzeDF$-LR2CE8%-EN9au7B#it z;@yylDNPF;O8LYBbA2pqB>yCGxUDVF@6$S-;w@tIx19T~?<W@VkQRZj8&vKG7gkhU zj|#CZ`Ltl`>Q^6PBea(W=Cge9OMKAzdEF^d{)l&bR43TjAJ`bD_ObL{eAIV|KNb(R zd|u;uQ&(~SGeL%n6E$p8%hq!_9AvUt(y{jbhfO(^4}V_syp%h4?c$vqMdeuY?kr;r zE_k?0V#ku-Q<YBbKYrx2m*DOhb8jiCZE5{oR4M$aS*)O4xLr0->V$J@*T)antgU_; zseBAsI&sSOpRW@v7Qf0Ao++K7P@A09Wh=%dcJ|`cx>?8PZ7Z%;OPOw7^oU>Zjmr{2 zRjq_fW9xOlCvR9g_xL?C<*a97jsm$dj~pKg-n<h0j?1O*Y-(J-KWE^!^NG?&7iayw z|7G&;`5qxvrum0iM1BQu`{#VyH{t!>>9e1+bC~!lI4u&rA@6DY;;xSH*He<sCq1^$ zs?fT+<#tL5ccDJR-KVoRM!B!Pkb9l=((x5Htcn_^wfdO9F^;y-ZhxKqq|x?zXN2MN zHETC#TufJS`^~FUY<czK&C?!&7Q3!E{pa46_E)l>X^T0d)u%UR{s947UtTYby<X}W zJ^R?z&i1uh%lsw6pZ|<pz2<dBe)BSYw!$w=f_IO%&$lbP-8gafzq5R6ES>UAZ&v5~ ztA*Bgr_Y-Bz{$O3+7{hlkK3MXiOey~LCkqxr#^Gq-+w;&t2(#Rqn?M~b7LbGZ#Z{F z<D_sp&;C4ZC(ikMK=S~{Pj-E|`ghV(`@k96GJ8(3YHF`9ayl~8KGk&Bs-<-+<Fc;X z%RdbaOFlQx_V?@=GiGE6=LUg+5YwdDw~n@#IVLf&G_m`2SS0@_jTTh#5)u*+Oy}9J z|5~k-TjjOSU8l_nv70@feGgsoWAfD>x+Q(-hD{ub4IfnHN<OGb+jz2R@&tx_P>cVh z7q-~`B-8yvZyJQ8ymfzn(77<<&DH)hndjeGrZeS$W}v=w$X(R_(sdLB!0S0&kESI- zz#?{G@Bt8lf`uz>T5kWiU@Irbe{;!Y0ka(5<ipo5nEo+{nYhpHgVq6~0}q<KP6=ii zehk-m7Qaw@>mom&%1s*_=4;CK1!|q2GF5rX^Fts2o^5wJ1lpZ`5WG3v@!$cA3z8Bb z@Zul~2*hap|My`3_w#>$|NaaG+3_qQ?t35K6XbujLExgm#|s-i%w!5pjP$H@iVTUc znGulO^)WNXa8<>(J>WUYudR?7bMBkBK>&2D$>qz@6X(r_E@G<mv4Ma+OFx0mo_P1} zU7zo*n-H+Gzj4Ap<tv{LI5@2}U%|e0!L!TtSq0fK#}{sYEO7l+;VRbB1#C|)*UvBh z_U7F#@QpZmu2y%wpI@?knPfF%-O|OgXLmn;u(SN!-0bJio;*pijCeP-_-T~o&K(x# zdb_)`A3yq1z3B806Q^FCGy81q*FL|#{O7m6%;Q@hn`G}5;h$G~7#uu%_wL;*d%q8Q z#?5ir<FdKAxw+n|paUeNA?uae>KTQle5bE{x_gh!9_!t^_;=-)EQ-FQc>S;C?%n%2 zKogm!TLly|!9e?xV@=J8%bC`_%RhXXyX&&1R8+Uv;)5Cbv%1@s*;r?ve`NgQjcxJy zb2pYmW{b@}n6`dqcG@<jzx!Rf{VvBx`PRR*)Ls7AWKP}XyeQxI7jkF$uFo``yKK3Y zPkE+Z?6QkrW=_j8$PEh%3oFyHo;GpfMA?&lDaSWHz7hCFW1G@mNihg`c94Y`0;09R zN9RoSnrryy>s7h^eev=U5fR~F06p2It7P@{Q<+zzj)rZRnw@%dO;On1(A>}%*6^L7 zp&|NHC$Cz%sA*-4)+%Z9Ddu@=)XU5s9DDrZ!CI3C4pW^L1}wE$ljX27P&y<<f31FK zY*3;z@9JOPl^@qG(z;iC?q>15U@%Zr*r_S%y)!6i!xe2+)u)0_-S!3+gvf}B9&Ldv zzPV}sa??MvERF09rjtx3thrv1HGhu(N8vwT>~{M5|Nd(?Rk75^M|HN6u=HZ5RkL;_ zK3?&v<JGY#y@o5VO0cFbZj+ewYiZTW*j3jipK?9+)jv+|%*y2(zZ!!sS7~PJl{5z3 zE}?t`e6<#*OB?vclpjAHEIfR>C1vl*lV3LFo!&CjW?I~m-JA9;5jnhm(xgj4lD%$o zFIv2EyPYw`S4~xQr{}pPW}1FpUh^unAGr6m96w+_$?)-Fse%uF_rLfETb%rnAw22x z%&^7(FKMebi2hyT{%f&$m7GBAt7*HwxSd|7TV7uNooSw@3J5F_a0dfLQ1RdfIl{tK z`%))pzIm4Osf7y{+J4!jH|eW*5}RgoQ&ZD4F9nGgtV~&rNeT)IW<Nh){`cq4pB?tU z(_O2Ke4bgP3W=spc+?)3;lZ?M(bX5+8U;PoN@6brv#d&Lsug$qefMtO-M?G=zr8H{ zcEmQn|2FgYFJF!@2o@guAl=+MF=eh~^U23O53lFCYE(u%znNKHR#sMaXYSl3pp}Jv zzb$-zJmF_LrErv0%;STj!|IE*o5XDVF85^}->Q6_ed=oG%gsBlH2wc<xB8-O^|^U> zZPnG)-JidhqvqH1_>n~+=sc-}25>nWd2OaaUc~;uUFRh7zH<Ln%1ln)tn~MOe$jy$ zOs=dVJ_?TKk5|mQAGp0FZ1M9s#piC?y0CqGDCpS!Sjgpw<l+3y&`Il^A-m4=SX%Hu zZp-}KwCq`{FYnp&Gc-OL85-O)<^=)Yj+tN}09ouIyEr*)iFsl|LW0c<(4rpirQO}# z+_Q5sqobm3%|EI2&^b1aYyZ>WxR@)4rd@r(|5yFgW*LV^f&YF69BTjfIWQt@@&C^Y zLEif|6}*Ik6?E{;1<0BT(U-n!4{cf(8WhBI^RGA<IB!}MY#7!eDk>W5di2PVBQ9!6 zO5HPDT$I+WQI<Q_e$M&F2ip?<+lQSG-w-e}so{-1eC@)AM46xmx0JhHncY<VoiaaX z^4_HBIpVWj+#cp_jP$X5J8^GP;78&5S1aW{^6x)+xA@#X$UM5U0O)uqA<!Xfe0=LX z^0{_3`5lb-AezIP=;+9ww!qln0xwSs^X&r;stbe#Hn8?ECOU`<Oz{18u>Sr0&-(v< z|Nbp}({RCpte;b}el$LJI_zXxb$HdS1&g>}T2`O4O#lAI@;TEycY6@npEm#Y_itan zuJw2hS}pbXc8&I>@83WmY)SVU;a3R-jjf_ZUFv@v1)q0LV-)6^@Pk28QOSjaqk})S z?E9Qr21X`^1uUI{N=4VeHOB8B7Z%R^9=56Sv_*gI=SjJ8Lg%7CfBKLl=C{wI{AhIK z^$K+}4z)cG*zF$tw!Q!V@BQDk_dnM*zIpld=RVNds@>p2hc-hNR)JPkC7(Nc_N?wy z&?*V=X=YY_$KMJ|Gs|3CmSer7e3$R?OfxpQ^GqTl5ANPv>vlay#lg;_C1bsetWAx< z*GSo-yYFvqPA@OF0D&FbK>%|7&~{ntvNtz2F4oPfzI|ugwzD_cwr}0IachpD^qFs) zHg43Nn*BCv58L{+Yu74X1)Y3$C2WcHcjh$z@5TDx3;usAln0SXdDb@7-~NEA3M=2` zzRNGKocBsoN@|wd<&2$`Wo2d04w@Jn8wXF@nwl4R)961lx5DkP$D7~&xwU*{tiZNq z)5MaM&Ky0TF<I!X(`*ylEhl-3&h9&T0t6sSIyQmUo6m?ahAabbI~ZkPaP1)Iel#xc z_?2H?zkdBX`@N9M<*Pq3>>r=~cYdGF{~I$SC2yWL`H;NJW%rDWYDq?hva<{l!3llN z=U1Rr98X0TfkRij1-uY88v<Obg1x=HPd9V!w|p|ed782Ixu(sx)|hK+dmmrzyt27e z_HEjw4+;OjSnlcj|7+d!eb>GJzuvpAJKOY^|KDrbD`Vz2-})M9|J7FO`s;w*b+3wT zuEnp6owxb<o14Yo<`l;jKRGc`*_}^EXH9~B(EmWKT?=>KF|a;ndlMYAB2AqjpqT;+ z$V||x5l_!d*Q%0}Zj%F3N>XiS9XaHAQYA&`wWpkc^nMQya7pXEbmGK`*(}m~-PTSF z+_YlFiWRzAMcrMK&V~MU=*)1<TCcHk$3eEI?|v<vQ+&=%2?Q41k&%+BYQLjck&)4% z2`aW#RJJJH)%T1&rI0x}XPxR&PP5MI>VGdy4;L3_iocy*{#JvdV;S$OOP8jGm3sE3 zeSb60cK2^75fPCuj`E;(*B1wV7N$p!o7?&9Pt2eC^?&BC9~<mE<yBtW$=TZ4o_HS_ z`QG!_>0O@Jl0O`=F*twv@Z%>BAKvUNe=xWF_}#*HZ{Do=I88aRRV=RK;E&DQw;0`s ztj^AUyJl{4yk%Jb`SXm{r`OAz<`7{@VlnzBQQc$bxg=dyR(9_7%DHpEK=h@&O-aV1 z2M-=RIM4vT0L=U8SqSJguKW1N^|$`Nqt<hGuhD2*n|%MnO}pshVYe*JuI;;Ju_;t^ zYOi!~P+)*r*y87J=56imJ$rl4S$2NeS@EAu!AF3ZfKsoqv9S>tNHv+g*0s)E^7e>B zuey}+rpY~O%a+c$ng&`><m1!BE)3oj;dWAO^DU>`%!9UD7B>l`EX}y&7S&s~r8n%c zo>Ek=-Ims}3v9RSS6|$IKI@LH^|5C+t#AIlQ3GjXeoWZ40}OPgLiRssUy3yAp46J^ zx#?U{&Gt)-QUwK#tQs0K5?-%yyKi|r?QxET=M(nM?{kXJ*)=dQG6Xao;SjWRPSy~4 z<+Sj^vr}LYv@>MW$?LUK!wtJ~<_qP?dB5J6_l<jP?q;dG%$@ubn6un`uIjQsO3bb@ zn0(WgU%`QaVTyvG(~;#hr#`Q&v9q0_p~uC=#pTuAv?*jBIMnBCc*ni}<f&brn?P&T zj;y^{@47j9-@C=z&RoCvW}5C}|MQ#EudJ0-Hg-xso+I%;aO$`2U0Y(yPM_ReA-;Zd z??d&<NvgA5>bj?X&3|auoqo|O`N!Xh@}9>&y#Ch}|553;=fSX>I`un(LsUepQ#Z>f zb*+esc6}POd%^0DGryhsY;#NOdf3OH{acomo$CF&=9b1y<_X(Zm~?!9XVxsuA^=)E zWuU3)!Y|UmqFocSre}*mj0#6r0Joz+TYI5J3j@O;295&;49$T(mMbmfgqgZ}ZUx={ zbIek!Bw}}%9AoziwfE6Ft0pe)*<f`|{Jm677V~Z|_88HJ(ILkdy?J<TyVq7TS<YW2 z+9rWE|4(@B-xuI1QMOrY1&?TV&bAG5Z@yid^fpcHa??t)`kXti2aeCn3t2f?CWV71 z^GeRj<6kzPaagV#%6RR3W>{w^_jH|?%sVQ~GtWLuWX~4x{oriY^r4w?oq6a2pP+)u z)zdoG&ANHBGq5b-g_y>z_v{xmy{bN3+a%C*;rXo0>4DQO=%rjToxXcvqE2S;$L7~p z8%(QjU%z0XC%<Zr&Gs*6CKs-#pTiM8h3hZV8P3X0-rt0G+}U%lcHYthD^|8VT`ebb z%Oyo_(TY_YYYhuu^;;`^RXw<&sKIaT=b85&OT51Is<ThFJl#q#^uhk>v)|7qtZ0go zX`Ys_IIyYjP|Ng)2L<aso%<aUFC@ISShHM0nQ^{)i=9vX!Sm~<t#~7r8v94@QMMn` zdH3nlBJGx^CT2)B+-6XT5Wl!3;`QOLBD+j_x!si2W;!I@T4s5I>CZF;zTc-qUp*DR zGV>Exzq8dL|F8oqH@#ZAdioF3?B+8E%Z_dQ{?YoOuF<JFvHW?3G7^$3{w>Gvym`D} z@ullpvzs}*N`7#*%!x6nUu!P$Ztk%HiLSrpXTS6?{<+F%cJ-7UpZUGJrC&3jYu}!C zvb<a2!%vSJ8ZPTXR!n>})%&Av|1WvPRjfKed*oK+PHmo%Xt}@QbZ?52x5js7mRH7Z z|L%rgUhzlq#@rdUC$Dv}sNFxTJ^hbCK)c0Ej-{8I6ZqbA9NfyrSGRlH^Qmo?6U6^4 zm>6<?&UXQ?C0-wY%K2zcz7S=2e&es%|F)?mSN-WPa^0_Z&Fb!y-Oak!wUaMvDE|L_ z^V|FTM|E#6`MR#L=<k-7%^6o;D<(bH;Z=5=zu|u7<c;6vJT8rYcOc<;0jHON@JW?s z-`d+Rqtxb?rCSJ07n`x!*|g&h|GHHhlrt`K9gzN)c2R)6e>-ox`lXvQwnhjDbngqW zQp{AS^D0<<<jw3CF}EIkdT?m<&F!ZdOPt@X+;h6}&*v!9t5?o)eb!f5o%Me2TM4Jh z2SPf9UtP3Sx}q8P^0Dy$1E*HbJ^v&>@v`nu^QQ3FRkx(Hw+b-%cuz>1w$`}kR*mwi z*sxj&)zv16Mb5AI7C(}lBgyjOV*GN+$4pZeoOqG)<B65Q(+Ta5Ja;lLxt+Rh^1MlN z`y)4&Ym^`Ae_=Am-C-8j{Ei9ji=@vtamtnH#eP2!de(AjhAMNi)s7EqBRHf@J-9Y2 z^1Q0*nsV@)<T}>4Y@Gms)3c)b4?0fQOsr=({$<Oo(_zME8d-QhvT*1r&3kP7*C=9R zudvLsy4CS=6AYtY{$BgqLhyR0Qd<1qP22|q?(X*d8TFJW!!6gy-%ZEYFRaSKH>#qG z?KQ)ssR=f%y`>HcnoK{ZuucAIdEw9Yxq)jeCr>|LeCA)ytPl5Ce)3HCJNNA$-DBoy znKyPvtvT}6;<TrW>of&hEC1<J<R7^h9eMNimc~Te7GVaZB#m=wjjSDtlUDz;uW@kU zEI!$=yzSA&FFAWO_CztcJ5ADaH(9gAYs-u4tJl=Uy!YG8tKgQsOnv)Nuh{$3&(5EA zpY!6O_|%rOn|UJtSSatjD46Garn@+Vv44HQMLxz?h99KNJsH_NV@#upU9L`0;3|CY z8JW$(m^!&7H#KVI*HDJ(zZNMLHu)IHE4k!PQmpwnWp%ZFXP1Njsre1>&V&nJRVv9l zP$vKJQ0K1|H3|XCEnj|$`suUulJJsEMQs-uj@wkR@969lJr>KfU}<c^&F<A*>YZD! zHNN65+4XzgR{bE^7_PRuRUKPq&-iP5zjMM5N#S+n!Uiu6{&usUuGCgOJGw09OTC3r z_LfVp(<igc2~gV0zd>)+o~8Nxuc!WvHD7slrF_Hx3<;j7rREPZL=Oa>viomg@JK_; zQg!>8f4d4+oqDq1m+I@bTazL#vIm<qca`fLo6~d0IpJW`L|u<lauTVY!jS=H8MaZ` zd6ln3)}OJMcPe3##$DTezFbFVRtj@OuDWq7!unpjW|^Dd3hplxH=KL*ti)O?av_J- zix3f(5=j$gS*_~|vZtgKIKSQXjG8}dXXSxa4-;?R$j<)~x~8dt`BTiY+2KXno{Jyt z+^X|M%<+}o@x@+drmq_pHXP+X_k|_xuFJI9Ps7gr*~R%g`lFdj#MK&|*V4w_6{5?& zufLbW(3F~deVdeqzq@7bM78a6KMG8_=@HebG$Tl-b4nYd?4M6|=N-8-lomhuy}4uG z)TA4H6>BHGNOJjUxo4TqU+K*g8Ir&360K<dz4ZBQ&aKZA7iccih;u&KC!n5v-r!?= zjyv~)px`8zJq*m#12@&}P2JpI?!BvI!M7uOLUc`kOEhc|?h~$#Hg}Snnozz^Icn22 zU%B{w&Fiik?w&Zk-Dp*cn$?1rNf-aDS4(`gee;H6xBSmv{@P@8BO`EYp#9UP>sIeq z3%oFy(D5`d=>JCzUA~;it*rm93O!^jtjoT=;NhD?JBsfA@87-S*zb8M+G6GhQ)_y* zwXZn4yK&-S?!KUI#TMW8a>plcuI8sPDQ<gwjH~r;FaP|UyOtNNCS7ti4Sl*<Ig~%& z_{shKx~5LDo3B|Zw@zR-I@P*FutR1|;+c(n6TX$6Um<s{_M(q;apKC<DeO}2vlkic zaH`zd$I*K=gL$^hRr{%%7tLk45q{UB)=8o`W3yKFLg{|4Qy24=6>pfb+W*Uh?fhM) zo<ZWdzfQfBej9MyhkMg5rj;6ZUHcy;-_0@q<uYH&^9+yc9hnB*lFq0|p(<Uew+r`* zWCc0yWppV#(KGvuNA1EDyV&?;1kPGXu1w7g{_PX=Y=O~<gob`m=hX)*w)&c{u1K8_ zzFOe1XCV9BuyY^S=PU3}{as=px9D$pVRQEO)RwT9FR$;^o4o!y1M~VFEgo*aKd_tK zXv(v<+O=Kaad>R4dtK#$rLod1>g)M)v^J?XSZ%uM5O6m7h2ra!be8yaOS00wcb#o6 zcwBPy&K=jjsU0S)=3XzQ-gEsrBi*v~0TU0?E#t>`4x4!7?(zLrJZ+Wk*~W;CFIV+5 zw+H(tJY0I{w$PpB4gVIL{c<}+O8@kc?JLVamWuy*TU{Xbi2uorNv>h{tg<g`{Pas8 ztg7(ime)U?-)RxI3I4TlrtiaD&$ELq^;3N(SEMJpJag0bU)2@yaMiz`3c-o~FMMX+ z^Jrk|oB#f2zt_sLG@suwoj?C?Ntq>TnVA^d;OxIP=)@*2g}v98>mKKEEW6XH;c#@< zsx=lxx9jrR*RZ>D1^=~6C_FPQl}k-OdA^bJ>pSs%6I*q1<t=o1M5c@TK2Ul(J$K3s zRU!Vz_wt1F(-JFZ2Bq(D_?q@!pzMY@=Z0=|w;2zbH?`gM*tH~edU2ghs9w>fl4m<o z*`96gx|Vrzqru1jf+Y<7PRoAqA85JZH7RE5T))D-k>wR@cU0R6rtec1aZgNH>|<3d zt7fuN=7(_WbBpD-4<4G4##g#?`IN@SzQB|>TR!kLJU%9OfyLs&gBIHr6Qfin&%7z> z(w2R+dRDa9)}ED&$?9|LUe&j?FY9|$ag$}$(u$z8$wJqz?3!U9QuyJO(smnzkf$vd zg}XhTFgC4>h~SZQyy0jPBU9#dp=zI6-o(@1x2JM!oBZnZU#{ZGZQYx@CzZc5h&@!i zFhH>8^t?ltEo*-EmDNr#Q`fk~>#q3Y$=O)vmuqBQw@<rewmD(;vYSn%g<d@qr><WY z^Vm`TY=zCTo4gs}ySr*UvK2S_J)0C5zW$he`I_hpfA4%)Gw;m_ZNUZ+DdPyHt9wFH zSbv%yT5`AZwvO?QJJS=(zw&G=+4ybexu`9wk}iSy%bA*FuSYqWY-2mNu7^cX^!}eP z<y~A)_}0GA?{w?9aN_aXga^q*!l7$!`yVQkiD`ae#Vl}qalBNlP4=5#wl_J8vlQ>? zBxm(F95GyHx?=z3(6t67I$GM{bA0E9Yt)$adfsRA)Ox$=ruMWytBM&`i)YN+bE|j8 zbM2}1H!Ia&@0NRWzwcY-qL>+-*Pm#zm9-Y|G`!w*_*R?6{C%;X71Gb87khu~3D%XZ zEWT&b*0j4}?LF_+bJO>KJoYeqEyH{xzpKT6V$X1!y$Wp*<-W5u<Yka<*};yry{5(- zCyjox{ODPyKke-lg{MnDO`JDvV%4d$8)J%f)J-Rd8<ad$m^FJ-s*;dtXQ7gy)X7`U z4>TK}-!h-}ylmm6)>{76`jg+T-ck2J^jhbsx7(||u3x#ImL7lO1t)81wfxWe!X5ub zo=?=YexkH!+8irUzK6Y+qc&<^`j+<i{O1hc;yANE%Qp8Lt!w-q+ZHt8Dbr>4W#_(^ zY<K0JdHU36FWoe@_BfvTr>~z^<e&UiFjzOPl)G(`V*eT6tEyQ~wO7pC#Ca-5XVsHX z$KPzvI4mR0j5C>?k4=2l*UJ$W+RDhV;_$t7Rmuv<{$Zirr#G(7knH;{eN@Nn^s>jZ z%Nm@c+Fy1xpSl~8X8kFWE2ZP%wfuU^5bguD=Q~50*E$&T$(mXV`A+@H8^-kZ#&g4S zTW4<D7~;73Zpao>J4aszIr;V-84sSb3&ozWyR-1(Ew(EM6SKlz>X~G|=a}+A?eedU zdWTwdA3QG7Nj>@TIjf5GB|m+BLHY8YNUI!n^MCg~D0<Y)w%&BB`<S)zh8=?W@7}&V zmTO@*b(;1gx8p2R;}(C}o3`!TgIm{4Cor<GpMH~?6~K{nVT$N8i@MA$Iwn8<yEcDg z&ExVp&myc`_p<Os+~fWO$Gmj&xrHCkzRhD*roCLUqe{6t+$OCzy-Y`2KS4D?<9%tH zyo>Gz(bddFdtCxb94^0n>T0LAkw>+$z<9^QIb}DwBM$LASGjgiz+vBnSsilsb2kcd zzG`dElUyvK$l!b1mD5aL?*9+h|BcP!KL1ib?K!Y+*ZWs*AAbmZbFC#N?_S|f(MLb? zMUPC~|478XTe$Ak!%e~LzrUMY*XX~^*~ZJkCAP&e>#O#S*NZJG7VN6Kc_UT+gwK}W z>m%hgCpQHw)yrvIuPc4EpgsKdyR3<sO<wysJFXpAk@{5d{m1o!`OFL#C0T0oy3eXV z?P*Tltp3H@anF<gud5DA{`$90H|S5fcG;`br}noTYBP44pFNr9#N0v)*6a|0M_Yc+ z+rQ@hxg~acgKQrj;{R82-=>mHKjWma$w$TuO-1h9N2|SZtUtvc>^r{i)SfrHkBC3| zz1myxk;Jq|Q!bhQy2r#SG_}P2{G?CMFRr~1Eakv^{X_C2xoBY@pTjSL)t;v&wr`OY zm6#Rcljfk`Regew+r{MErt5bj=1yE8&(74Ewv4lI)85UC1fN#-ule<L?}vyt$GSeu ztGc&&#@(x5uAeKkj`<^(R($^Zise`PTzYndF1Wn%2m6{;D;&EGK1BcjJS(rE;eG4E z0OOk~mn~b4S;m)%hx_mu*y(K6E!1o|cV$1{qa0>~D-3(?`lhleEnTQ|+x*WTWd@yf zeE-;9%>1K%z(0N7l=gE6|ILgk^}JlJFfTUb?}DG{w{ILudmtoxsGL!ueAgly!}sr5 zZlAx;pvZoxTr^!tDs#q_OBtX2cvEV49G`wt$jm&U=YB_u!F1cnrJPcJ&vKu|oH8=) zowXq0m;01UGbC3;2+Dty-{i0J``*_*|BnVSRo=Xr@j=~xr=K-rgV-sFJtaR259oBS zR@utre7HB&qpT?H$!GTZPmA)kN<Uq=dg{RI$*~h}UtoAuHdprB_ip}_FPj->E!)+f zG;g}Rf&77$%<J3YqOKJFUvJ{)c>h$p{49lxh-$^h-&so=cdXK5s(AA1*(sfZZ+nl_ zif%up_&w>zFZBb}AMLdt%ip#%bDVH7wEx*@j^|&eeYh`FX6nqn=OOQcvWMlT<JdPp zIUM}#VZ%0+(s!k10ds^lmPxF6BcwLD-OzNS%Z=q1)|j^KWOj5aw>)QH>-Yaq)b`jX zE6#VGU-D=2U%Pc-GmbCg@-ljml+3+1O7@&E<70*us~%2QIKQr0Pb$l9W9CGum3u97 zb$?alrI$#}l~0p?qVl<1we5P$%GhAu^AbCCj~gfkZ&v@>w(-c!X9mAEge*-;-7d38 z!FJg$?fdU+W{CI1h+Dot#^UcB*z@F7aB#hU!MS+{-yJ!wK1KXb#hOKb|4AKdGn6z^ zf7clGtZdQaE?bG~W)g0l@)dz`H5KYxz8YTI`^4t@Ra0k^E2;{g9{e$wBJ@%|z~*!R z1l!1)rq7luOpZv}^_au!_czn1Im?<XOdrWzFqq2q>h#8q9VI_Z*3~gDm5aPmB6s7y z&W&^DUo7g7Zr%IyVuQoKFP$sC&5fCJUn=!?+G(c0TKD^X^E#vLjUPMNUq8$k9QQT2 zr?IhaFUzLaFVk1;5>Gj_%W9I|X(qj@${iOtoYLkvw5S=z3IFsy@VexZ$)Uiv?n|~T z6OBI~aWYS7zRsTqKR=xjusk-^x9x?cUd{x`wJ$UEN`oGx-&b@`V=m|6{Ib8<AWmFZ zE>PaWbFOY{>&3%PF1sG>yT!AY*}x|G)34{8((z~f*j}Uu#qaQ6<e>BXSN)Ck@rN|; zy*w-4qBzlb;ceGTaRSP-Dy??%z2dJuy8KQtR}+s{(g#i5d@kMxvhOtB%#WyIdU@4C zI_A%!-=|*u{v7f$dPnJN7QV$>nWQ+pJ#I-byL41t`syRS;pQj#ui}bn^<Ij+dQTRM z<O|rzEl|^268XJ>b3uoO8$aKN(*OF)^L(yflh?1Au{^P?>(731N6EgUkFQIgnfvp0 z*rAkb?Z5YSNmRxC+vFE}^kaK@gqO;R{jYs|=SS@?WLSF5Pt<t=>-DJZ5@ivyHRngf zggt%M^*?7rv(AR#%U^?!e@?RXU$WHbUhD6b?_)}jbN-CFIH|>NpTOgKn_Ro2|2ddy z^gfqbZ|G}%^WQCT?mn@{pNuB`4`Jz;w2H0DDX4Ah>3bJ0zUe#j@>)vgsx;0S+S4a{ z#;_%wW8#?+Ui`?vak^U1fdeL67oE<HscgC-7NqU__l8us`}Ffs4gt3xA9{YRaPD#0 z<IV+7AMMN6+#c4m#pjrNlcj^v)SN5VTY`*_H_ob=wB4mqGbABzL!g+#d?t^K*k3kQ zGWEvR9T)yZc?I*v@E>FQ-MA?CCR4J%%xdArNjGPtIed6yUnycFk$k}8ef|mO$Ddby z%Y3`nqcVA>()m}+*W|wF{^nXP@YI1{>a}8tZ?MBI`QqYh96!Hll=8jC_kAYQ@a z-G$trhZA%)&t5e;bx%U>Us5RBo1~<xxA+!6jQA#|zRx2sG0Ob$wq>S!r!})p&wO;^ zKn~-yZ|^?Yrdpm@=+Q0L*p_=l#D<kQ$lL$vf`d0ZEjESm7g-;76J>wMEu*oD#n|Qh zwiw6YMzM0nj>Y1P%CjcSUD%(O@@-SZI-3dsR=tqOE2`fals|V=ojX2ZLgiO0p&b`r z%=2b;e`4jhH?cM0j6#~^X8}E3pU^0K)-7i*Zu;k)byWY|iutV{GQa0f7n#L>iqR!T zGr}d#^ND8A%&)U<2n6j-ci8oQ*P2(4c%O*q>gyRr@SWol$Vv9yQ~a+`_?VdfnlDRA zz8s!(YR|Kq)0Vo4iDij$pIylC=WOFH`-sb0Cw;SW`|Va3+=yL~#i6=!ZfI1az}3%r zDrR2V40dM}r$nYOMceAA?QN`iqHFqoR@<6i2O9QdR{fZsZGUIe&E2|x6rLnZ-z4JW zCCGC2VwcWRSM4iH9IpL)Xn3kYOP%qIZmy*34XMVBQjyaq?{=-az`50Qy0`gDj;aLT z<En)&Ya<n>uPzSX=Jf0RH?QUYnB9A3Rf>Mto~F>OApCp&vImcz{(JN8oZ=zpE$gPV zrff{eioQ4HK%K0B;aSc$ZkCeppEk~XQP<W4OghSZHu-Ghs;#;=Y?eH=o}8F{{9&=U zyP1PqxV?lV$DKDP-)nIh&DkZ%pc(T`%<693d^v{2m5;U+Y?EVeK4GtzzV_(lc`ZlR z`t|tCzMLgyFsFxW=YRQfhPc`0Hm^gr^eyVSu;K5LmdAYd8$BlRcTD_iw(Cq<RON;L z-eQg_olEwKzs*^*BJAm#FI$BdRPLO&P&e4s|Ep<pb=a&;Uv}_Sx;E?HcdqbQw&Lnm z+shf3yH9h^3z{bq*}w0cVASdBVw`d%d^cu=?~SzWDGU|LW#4nGb$(FIkzIeQ4*T(S zJj_XvJg&Gyd1hlq%br={x|4JDa_eIb*V}E&_>i$p?8GeHpGjIW_S<r#PQMYeX<03^ z#wSH=YR6s6FcYKO%v`fla-=+!t}cBmyOwL}{Nsgx=Pvy0`9~|UgoovPXy=Lr&;4)5 za6UQNZ8~+~_wUWTJJ?hbD)0Bp{5+F>+UNGOK93@cuuZGqs%1Uxe$tpX-(B+Mr}>Tb z88vrb-e)?w@#m5a|5=~8{&>7>>08FKJ4-6p#c=#6mXTn)k^DZW);+{q>fZk4)y0Cc zPlAF)J{m9Rlv^&ze)D<_V}H_`rE_k*D~b~R>u2e5TZcuRmF>XEAeR+ui=C$KP?<G* zU)}4C8{c1ATzAg=xYL7<#{Tkza-(VAcj<roRw1x&-!JRUZ`ro4HoKT>x$=ns|Dvkf zk7G}+a16CQaP^!0yTy~wir7p_U1+N|Ct-u8<laR-Da_m#%06yqDErOBdC_l60FOZU zL=i)8x1ELMYf@tWMCWY?h{$_jc-v+3r^b(tQ*0Q$o_Cn|8l0=V#OT1dQmI3si6e=X z@vPiFjaU|ee5p!PC!Jn5^WzE(3=9t2FZs?Xc_P$5l`G)QG6TPp_p0^3|2fhhzRXgM zDVilQW%AqwFV#HRESU|NHJN{3yjcFDUsis<r1bpKx9jY`{P_z4KS2O|K*>iCsC|7I zv}9@T-o3V&A)xEZ{QdpUv&@<f0pE{+PK*K_8g<j{Vp>eiwdxf&9DcK(IUVNXvuMT) zhe<+Pdw;CiEn_9l%gt%(vovtBFx&jnx9{HVdk<cr6$CnI;LRJ*HDYs0&+U3O1+@I* z+>)xfPbIdka(Vvj*|TT+N<n9i>`b3y>etu(_`wcyy}Z-<hxMd5K7TqOcBfI9>qf)* zclP#Ev>2}N>ZxB@buDW5H-1|=rWY3uZ93%0$_E1PqUZ1HT%lCJ(D_>D_=oJ!%I1AL z^UrU;_SHNy1bhug?dw^pimT#71Rf_biD`!{X{fY3*wAUm5*3kB5a8*!@>GXn@4J2J zi6{P)W%*ycybS`(w$1cS>%N%)I;2hb#jVn5$v<MjN0%&KXKSkcpVc@nz{KE5n17yY zk_-3gvdNu{rO7866dzw%xAoe)oUX9dap{(AYqhenvNALCZW*ujt-gMFNuY^|1h1}J z^~E(A83AWc@o#3}n%&R&>}dY=8<iD2Z=`0c38&wyj@EC@f48T1xon%yWe%>==Hm60 z+ulbkG=978?%lh4ZLL87a=^mxYm5u>E~H+4t#f=sbeNc~@@hmlG)~&NZnEdZ6$h?~ zb5443<Hn5F$w?rE25<JQb3eKuu^=L1hD~$hK|cfa2<`ox5^Dsjx4ey7bEDKizv{MX z!1p!%8HtI#H<Z75yyl6IkB>j6{~VkyE}wpR_34|UvcicKYqerxLiASrzT$iS!KdAG zcJQ8lVy<&}+3n)!yyLk${ysC`_r&JjW1Bn2yMIgxuAJ(=Q*inxsk+BzdCA$?*{63t zy>sc(rJ^j$t#cyJ={y&FJ~6+x3%nerwN<q@E*1hJBO{N7ZMd49n)-FkDhT-XGukX8 zIs5T~PdWA3<!==m_;hu&WkO0q{a1n};#aI#vE<^)l`AW_=2b0Uwrt6g1skVmtE#Fl zz4>!-n4C+-`x`c1-ohrvrPsFI_Pe}r@#2{~?_Qe|XtRhzVu7UHo#k8I`{iuQ`t$du z<jvLSai|q^X6zQzPT1GZ!YYz?$o7Zrw+Ej!Yzhq*dc{r*^52queO+w6oK3}t2L~6Q z0_T{|Yu|U2@3AgBVI6aSp>sQ*?E77P`L*d_!2au<L>bThUu}tpH$0v%;?SD^YD0j| zMDds(YSv$^w0Hs~e(mM6UOH*hqF4o+h3j63Zz+5A0tA*k0j*)^lhJ^#v)f+x_*k#B zd0x%y;M}RnKkiyuvv(yQomiM8Bk}y`!Aj>B9BK<H=H37J=Jk)c{SOlpR_e~#^TBtT z2e<WCtMjWiFmda-tk${uJfSz^?Ygbk6pFU>=01M*EbacA*}0o@%X(uUN3UNA0yj-} zLk{&wyPN|#D@XG6yqm`7eUmRAFuwTbU_<empUNzZ%nbq$n%fzyww$=(A%FaS#@ltX zLv8OGf8)u7&f;HYSvC9Pa?XuT`H%DOxn-`*Jn{TV&AIz0eoFf%Yp^ce^~Ey&vqi9U z{pUBgPh5Dn&Rh)y(oTWbjHq^jj+|KvKHwwu>2|xNb2L*=%@A5vqp7K>{`h2h(Yz_@ z>gwtXCr#S0Bs6GRk81o$-Je%}@8yY{b$Z#;>ovX0QhV2y&)xqlGXIl6tiYmHW-bj4 zlm8Klnqenmv&+lN1uV~jmc5)fkuNLM)}yGXICsM3<KI69N|n~grn}!XENhXKm0j4| z+gqr7=Z9I8wDkT`x0!}%Et2tW+RBTK&4ff4S*(Rw`I)&>?9QJ5!y_K1(bl&7qp{Ka zyER6)wq~#0S|(trsu8*uw7%fST7OZ|RM*vu7A<-d_U9VtAR6JxDwVt5`FvWd>?9a_ zWch39kJ0)w<2$Y$`;%Z@c7TypcuT^@Lv!`S=PNZFNVPUAI5hXq@ul{?5tfA|%Y`Sq zUVnEhcK7bx*M9MWK`<A1DOVr|CkU)=2ZQ^&=1F-iZv9{4`^f6F;UA%Ym*+P(UvsQ9 zkZWPTfAC-!=uDIV128CH2HmI0RQFo{yF-@%6Nh}o1x9whh%h^E%blvq^Y2bL|7(); z&&z_{eR9=?eyUm8>b`nWyRSuMuid)4Z0**xy?a0)U{&n($i9cyZ(aTR1za*eZ7SMn zcztqr_o=UIUxP$e-@L{uvhJz7(SZZowSo`qabY;f{O_vHg2<aXN)O}De_8YY(q=s+ zHXf#$(>GN_-xnSFD{#E%<2sGmPh!tK1y?Z_)`EcuWWC0eHDFMiBLDN+#&w%z4u^?~ zb04d^zBuCYYLI>0t?TctUj8~fNc-litzB!^tXZ=tG&D3+EhNN$)wNQs7gJvUniBHW z>a?Mbs<)?S?#dU_jFOI+ek!?pVmsf~X%7y1ZfkyGbK9|KlI8ifxR*EmlbY{6dy;>T zjYmb%?>o<BrNW6jJ7Si2OjL4R6j(e(M%4XaVEz<Sty3&qkQ0o)swf?I=@3{b^z&Lg zuS`XtV^23{#F~JKOwUw3iai*GRcARXM@5+IIeY&^&4l#Sgp9j$>>}qsQ~#-1*EIj$ za`ojmJ<Gc0Ca5oeyJhaIg563i7dy>=DNlQ0wV!vY^5XQ=2*pgE+1npV&RPWu`0bo| zU9)G+nl&TMvMqi!*A&niCr_!^Nz)%Ktpu%fdifHhs{F(R#Vd*h)lGV=XHK6H7koQ& z5zD+6-7W_v+^k`-xyZlKM8D(^*GUJ(S9x#eEp+BS&BZ=dWXh^}S_i#0Pg*0Qt*NQ$ zxfrr$%F|OVV2PxsTi3({(BdY=4HF_d1YV16YE5Z~zR@u2*;DqbH36R|J#9|%C_SBZ zJ!*G0KVwNoV&uaGlNOaucvU(r*}`Lj!uzTTi+{X$VY^8#uzy~j>8#$A#Wy(2s(M$r z-M6~U_2GwuLd}Cl{n&(q-4a}32XcJoi)bk|FdXgte^L7L@r4aGuit;RJzsVDSc%44 zokjC@WS75vx9+RBC<uUKVJjbK<rIqx7?^zF<Be>8v~b~a?wwBl3$K+f%(!4Ld!lyR z|IeR4Z?6BwF95#E?$g@+JPz#B*6!<P|9AY~?S}Ws6LtwLI_iJ)Y06TstGBjZd#7p# z2KRT(`vy60$z!phNZI%P<*$FYb6#oZm$zg31*x*Zw{seTR*mZGLz3<Oub_oO8lW1A zv!tk~=u$1{{HuNEC+X}>w}1a}QJF!xP)#hCD8EI+qjT%}_?|tVr^|Ty`n2iQX73`y z*H`Siw(f51?rXOkpS%GVV&D^{JWd=30r$O*{<5;NvP&->J9f-XSVLJ^`EkzF$4ATc z-yP-MKCx-dO;)iz9Sa*=yUUv`Kj!DZXZyZp_aEt)M_Rr6X4mL4THlS$zJ6=#?&cGk zfgvCOS#GuFm5S#Y&j%{&tS2itr5=$GbgK~*y#KYg0s?mMmv+Wj%wL+393AZKTzqg@ z|D8XLvmMW_zRjX!-uPgdKmsTKlv;tjM;Xs{{5tuMefNWz3qc?e1QbEwA!tq6gY9;r zA`mde4YB~8nb~(i0~FNP*Vliz|2zHf?`j)!ZDnUAGd8hh2WLb`%;4Y+yYs*3{^_ru zKYzYmcYFQ%_3QJ$zXiq6zO&nZg4UOQyLa#M<=a)!`uh3L-`d#h>D~VE-Meph_if&m zuC^<{<U_30(a^T7*P?#6%Y}P7UX)sPX~i<Tw`<Ba#pa}^r$4{;&7<phrJ}_Rof+cm zbl0Z;-K^D7D7c8Vn`_@oB{kNgu03s;KC5GQUt6~|d+l9N`TuVh2!PIJw6fX-z8ntJ z^0l<wnO}Rjvn?|wCPv1T&(B4)k=Y|A9h_lhM9#HV@8U~ms-1lQk@@S}w{L?_ZoJA{ zR(*Fx-u+*P*9QLyR?UhFUi8gf?)Cb6uU5}Hm0i<z`GaH`oA=}6%{3>wWlprtIgz>N z*>Z;`TegD0Mi2lOnV_nDr7z?Fv`d#j4O7UPJK-1LEfq6gr>8-{`&~D0Gg<yrcy00{ zDE~=!%@t=`!D}aHPg<}q-FcI<%*oCTUTZE3sH&g&Ub%qZ7E(p0fPlvY1;?fo&<($D zqUU#kN}tZoPS3@lC<b9QXk}xt;raDHs`W=fP0aZFRou?MzpP=^*Xk%VJjf;Lr_Z_| z;{o%8m=!G@KlZROOMCFRIC31Aq_J$0oCpK6TatmFnTLXg09y<DF##S9fkPQQObl&| z3JlB=ZA}tEvodX-FqF5RGT>zBKV;e=BddSkWd4gip4q}**q<L?wr%mY6m`uvqI|8{ zD;~{U$g8E%@63HcF+$?OvFzO+4{9rl-)F6v9Q0?N!mk^X4%vHu|21{;xt+B|AI?5j z*pLwN=fer{hr<55ihEDGu4MavD!Vo1J9pUh(!c%=HmTjhPU=5QKmUFteCNvUO*aD` zsxP$A`W#SM`Fh*#h{F0EmMa{mNGN4)G>LoT7TEl6)8)WjA7Yeu<aug6Hf+7Q{HeVD z)j$qgDcyVKJRcQ=HC&jTMW%VOsYR7&^UikHY~%`=!nR67@N2+MQ{^{b>zu;4HY?Qb zJA1&x$TB7JqI|^hNZ#Wu`t`2ri_V(=E&26t!*`v4!^xbC2U~^t+@?;I)e%tDU(9_i z@2`wNqWI<2^QSOQcRwGgz;&%O`r~!c<RuIqw~lq1Z_u;|ow;`1<TX0BrPo&mzH<$^ zdNX8QMaa^&qOa21{&*jW`5bV3QS9Hp6-uWq6RTe9$=o|A-15)R-XZ!JUqp#wnu1sa zUriZHV@DRxm1iL<cp{vyNtskgE;zDd^@CYX$>E|kXJgAmGEAzLA3yVMPhQiUD7Kj* z6MT+^JAcer_xnAol0d%I*<;$LQ=SP3ytWewXAr6WSpInViGL~w-+f=;&@H5R;X-Tg zRolm#B$U*oR1AJ-XuWy4sJ8Y0?0;-Bxqb;J=2!i<>M(mJW^7WjON&Ena|>T!;WQSx z#?@B}7VXY5d_P$;_rOgy0l7mS<q`fS7cxIhd8gGNC(CB?_XuO!{=|dEf%TV{Z=0SU zH%+u(^?%wAhPHW1!cDt1ex^<LN#o@RH_q~4-1Y6W2Rr)_-w(&r>ZVCVcJWn3NoBdV z+i$CSmQb*1>AqUF$FaL^8*JDh;Sp-BvP$pV_aEDO+5$dD>)QqA&hh&A(t%xmpX2sD z+q#Yg_U3l7-`e#0w*MZjr)x^1Z(mGL&CZq-&SDMP#>V9QMEX*q_TnOGqgRHmS_*Y# zJo{p2y<xi<qO{m(nnzgrB6IOAt9ll_Qhfi9>2QS!tNrwz@Dopk8b3!1DSf`1d^*Qe zL2SK2=_fZYX-$>sCy#gSirEt@XK%gX5}(<f?GF>yxVlYx@SNZNa-X!`oJ^5txfiZh zR9&)LlySBF*vt2k4Kuneo*rqFe`~P+?{o1jQ_40x6rSlebzc4+i(i31T-Dh0{uo|M zHF0dV$}bU9|I=1|Y2)uB`z_?|2T1mF>o3uLzVk0<<pJ53{A;$>-?f&N{B6Znto2us zX<sp~efi1nSO2W|x8*ednN>OOM7Htdn4Of9es9MS)nxyx!K3Ry^m(3jy^>yYe^2v$ z`|r~siLB|``USU6bnEA>2>)06Y-eXP`$5e&;a7quM?30H|I3^_Cq~p|NqnKjuDX9x zHcn2nIg9x7FO@cHmLA}?G1dRqc6VOYN|}RiO$wJeoGY32sraL^+7AE1#GpURG)~-J z-u+(ikhuMpU3>j*TV3JsNa&b$>!0NZ%W1vEuOFSW51Y5fV`1)J<x?@b$NztNDe++2 zinnJC4%L~uDQ^pAdCk43Dy;KZe(1Z+cc$%rdcL2rr$5NLwQZ`tu7{(m9gAN1l7HXz zcGPIv{NB%E!Yb{ab-Q89nF>+C{aJM%GhbU4iOVOpFZO>icT)Yu)`)3+^B&1wGRg`( zC7S$Tg~1swxAvP^TTi}R`f1DLaH$g_+dhdHim#h3@${o;3%?+%gOO0UqOew-NLuOt zkY?-D@P*S>dQW20v0OM&=yrRU)YGdC{p-^Lrb@0?dK7-%UM|;E{rO|%HwAxq8><eB zd7D*vO^C3V6Rj+6lC-Dx=-#%Ov-7h9@((C{U~b%T_vtE@1%I9xlx4=}2QvIP=}?gL z%b;Y7pN8Bl752TiCbql1-r_Y;YnR*2u+OzuSttBD%Qtz>UMYSHL5(*NW*)nkePZ%% z#vD-k`~7_@`<}l^Gm8^<9-q0%)SKm8RbiXw^%HwImfB}CoUGET`|47x>s$EWgm-Rn zqb$Q}o3e~dzL>9kyzwXdn?%B2^Z#m2pDg`Qq@dVnx7%y!?wLKT|L$k5(lmQ!5Ow<Q z@rN_zwr@OiLUUWQ*6P>NsY=G&$xm3TXKrTc(aTZlXF8z#HO}(Ol6kz*v!DNqlyu$Y zwTJo7$>)niE*Iw>^Qckqnz`kv=7vkTzhfioL~d-E;38de?x69-OYV$Sf4V>KR`~pK z>E(_Mr4fHKe^)EKHu$&b>DvV*v2xPlKQ^sB(U|x9UaGSAMJC10(%+$)R~CNSHKSI0 zN%6#aUfciO`g`royvl#PcLcJdrkqQ8^1p40TD)EC=X=7(A7?zzFq3@r_SE#yiG2kN zlDUtjyq~ba^~$3e{G!Z@j3q8~%WZM?`5^R#_28_lvwiB_XQXXUSfH`mN^7<sFJoEw zw}N7wS&#nZNEsC$<kEjz&$DjR<|^-p2U&i8ikckb7xb<9<NTnY;J%IA%!`Wx^tOA| zHc0!lGu}P&MPS3^*$+BqW;P3&Mp*1kx%R<8_2&HDUryKV+|s9LwfqR{nd`?l8n^7e zUcmmI*(qv&h!g*^RoUN}U0u$L9gP2famU%THBQN=dlD;uI?5*`3+9T}>NTH?WxP@R zY}>JU=T0e}DZemf@~*uS{%P&AkF9>)_?A67tt-2By>#6Xp4i%RkM4)ea;TqU`Q_p2 zUp+FHO1Mi+?>}~L*wXCgXIm7qz%n&``L09!E-yE4WL@B#&F@~Pn5e0JY}Wea3#6vH zv`$ZK;kfJ(VqhxAzglNo^LC^A>POw~ZT#W?aPNcYnG5`1mOTkS75lMevVjZtPPx?^ zroTBDc<qgO+2pmSFD0}3-PxEqWmiP$yMrIuuD8#&6pQ|wl$*Kgi{94veC3XxPU<D< z|JoWBx|XY~NXbR=!Hr28kNEy??^Rmdb^W!6lji)-2lO@_v01AixAd>-aq*j5pI^DK z;k-ev<;0Bl$4w4I{y)8;;pIOutM5K-7xs4)KKLoCy|eG7S$%k9)Yng`%c@pSJjbuW zy-u|2(z0nwk4weyA730<<(zr))syL0q*yAateU~cc=3v2{mgZNM|WJ{=+U?vx8s7M z`OnT}dle2pskhsDa~tb1C;N*sfz@k&9^`O1v|x2F!)npXKQ=tQ{Wx&~_r$Z@Q2~o* zYh1jye5cAw$tRJg_nyD2G~Ggj{hQo<-4vPN(6U<TUmUw7zbK!)(0jaRd)02wWD(1J zn|BwFKD_Wky0BVFdcFAPGe6kbKHMq3JWo_s!;kd?PmA^Pm~-{7cvyP%^q*Qd_&=5} zul8!+VH4Uib^iNZ&YhEkPlu>o)9KIOxiLFO(@cB6?3OzPjn_G|HvG7B<=BR@K<_K5 zY5LV|61ri_mj#P9|M{;L5c;+ByM+&<-*Z(z&QnXvZ3<*N9}96lQolaq;f2e0u5m4A zSzoOFRj-1XUuT``v1_{h7ni1Mu%4T;@7|X~cAT$N9=G59Ub#|!X(=cBzcYGW66Zd> zd9pgT;Pkn-yKZQ)PxJF#d6>iQz=PleZ5QO8%!)PO6<8c>q@Z(t{l2rC*Mz_M6eX2p zHEA`k`2&#^KWn9gI`S@aPo3Vi=E;ksr3Lpae$M0OUZ2r<z`?Ry?*Eaamv@LPD|Qsz z!F9~CLv>N5cMShZmCO50r(brP5^Qe4SHAKu+k=b$|Nq|i|Np)F6>Bz#KCV8I@%+t> z1);S^xL-u<^`DUb=ftNwPu`|ZQT|ZD`8K<aS8m<^)}-c5Umxv#bn?{OPTQFC>3@=K z;{)d;Gic0?nKgI&XYV_<6V6_px%cmOqnlp~1jAc<x;iera}MP{C3u`cM0o!TVder` z74PN0Y<sz?TAEV3i+*Qqzm<NTF-{=t_>IpK-k%C*ZvNoZXA^(I_*Ld<rG=uKw=k!# zR(acgJBHsk{MTla_HMTSWn7Dovnv1jKY8iXt4EbyU3${m)OfJNOi#iwr*hNc8^P92 zJQdt=Ur(IOQ#3aVnegSW%i`DZU(5Dd^Urw|)p*!wlax|W<d2Y5;f%FsW~4q~%3_!J z9(qcBUD+YtmTdn2P5NmExm7hpd-ROWH$8bh>%Z086;?*|fqt*MK2ENa<DLAX_n@qk z#fz->5A7e^V5`epH={rO`xdj{8OGWxZvMKE^?3e<X&S4I65Z4cMOxo3(s-_LbJ?0r z*|#gz8u{7Z{Jo-PbL-@fsS_t&z1h^YY4!G${s(?{Y%8Cpf9}v=t(!fcf8q)LH-2g> zPW@Z0I{Vc5)l({V<omSy73GCy=oec!pZqbkXaf7QjL5b7+^*Rv?&4x}y87E8^wr~y z`+E0@AKPQft$p))yK}YX%On3K`qnIAy5_mwQ)N!s3Kb7tK?9GFd8sL$x*XO10Viy; zYh!C3DrvrOIU~N@v2S9zO2?rk4@0N#J6g=PVqewj{N~>*6Km4`yf)<AWov$ITf(Xr z#wqvno}7x8U9cmfm!(vnb>2RUpf`D)UKbOKq6HR3eAzV7`R)rFqgNU-%~yFJIPNlO zb9=rx=z`cChU0%t4$bs4^Zw2I#aB&4rpfTjYG$X?tywJLj$Z_tzglLmkeV#({{7)9 zu_o=~3@6Ncr>b7Q#5r}6pwo|y8w1vDI-arc^3N7yz4gzJDXo3Al~cP+J^MxMM1N(Q zm0s_nbX7htU(oke$D?9J$faJhgs-NCH#?0*mTg+9vTJA1-asYhDW~)%vd)$ZyI^xD zF(i6f&=d_3N$wkOUYjV!ed4J&FWMqdCBbB9rXf?<Hu?27UrvRFbzT|s_wQ6(-YuBT z<0ZDIOZ~#@JK0r7Iws1ARj*ib|J(};{VSqOyEk>+pIFMZ(=W20aq%9O+lHL)4ENr= zqU&}wLN_D(%O!Q0Z+`F9XEgH|b4i7%q}}YAu+(SYyDv}nBsr`rxLZ6cQMZugkkQ4b zEStABrii#?hIB+n-T!R8-TurkkN)$Pg15}$URxOST+Rrv+W2%|{*38&!?}aEA6M=9 z8@c>sh@E-eiP@jm|M98}Q;&Et!(U<ItZgeYPMcMpYF#P1*Eg@@$CPsKx#0&j_S}rv zt$mDhu^U%wq0Pn0eE-#R82PNs85dgZpOVt8-fk`F7P-}FNnQSr6We{XK8YQR;C-9z zRj~cbg(n}5mWaIgP`_%C*^Q0=zKA=j7VI>+5oq;A_<+!kKe5TRYkqy{5r{h65bdS$ zp<V4|)DcTR(_cT64rN+oy)%)E4as77cl(~p)r&HBC9lld>AOiWH-C-sdoim$O+Ix$ zu6Mn&{-yY?VkY<M`FC<PC!YE~{neMir&4#n#?CQV6>J}yk<p~La9PDFeed0ob%z)= zC**GEu$}pNfy3)1Nz>*ZdbcoZ{wux<!g((2MJKsa*&J@k{yV!=<@mJVPu7=GuJ8Kt zAX#&c%O<fCSsP9kJNEE|GCzH;J#BNcx<LwiZQaZGzIVOzzUFmJd9p5R$BE9LLHP%- zZ18KIUOxR-PSM#p_u1rriYN8Y+nvNQCF0D1bu-RsTCZ4kV%jx>T{0%MruXgy%>KWH zRjF~M(y{->C9kxYmKYgaFkY>>_+{?bcC9m-g8RfC@^Ck4e`RB1e|Pfh;t!@jHDYCz zmJ2NQSiAmz`P~w$0!xJ!D`T0@-tlbHol}0rn{7;M=M2x{V_CY{t)3z3ai+!2{B}{v z^^ylxd3N2t`Z0R*^DD;AM?N%ma5w#S(QdnaHEZRb38Ix8;%6V}ow|2Ya>u^j4`&wY z{MmKk&OR3xCUF@d0jsr&si(J{SZo!2U9YRmE{E%V=GCd{y=6jn^_%)Qk6Nr0xx%7u z)%p2@UU6aLqVP@Sht}<Q9Q4pXIaM|9>hz84_Z?t=_$hARoPW&>3m1eNwjG}RghxT% zG}lB>`oXptNxDtaX5NN!IY$=0eRANgtH>D{W1gi~KGoctdrEG($De(cpP#IGT=;9r zvRe;kP0>1L#AW*J__4W8Y^RmjUUli8JUTVjO*8Pyf)K_X-^A0aDx_*ZZCjA>#pak< z^NBzEwk=64`xfozmGjZZ%E^eSttNx%zm`hD(*mX!?jnWNUmdP(40&f;6|^|$Y-rBC zhA6+s(v$LX=e7E)&bBG&xg;Ma^!Tj6o^LCzTXk-1SUKJDOFb*2PvKFYD+d?@Dzv&^ zXxAtTwI$36+Z<q-CDA6ny{@Lk@YS8!M;hmIi-~;r##xZvQ{HDiWxceg{4pmV|EbSc zdjx(H^%P`?6$o50Be3)jleo+xrgL#mML6dPxGi<Ay_dWFroMif%?;B{{|t)XF6*<{ zqbqKwp(q{sw!7-(!LR14zwTC=;#Hs8RQ9)MHfu!2$H?x)H_SWcJow-9Qn%2-Vfks* z6}{0}OO$fVT-854+5W=aBiM5(tI5GMV?Pn$OM8nZ%H)?fMn;rAx8K~~853&0=>JKb z6Qu?Y_uDS$->>y}T+_s>H0_jog^lsChn>plyO&SRe*R*L;cEperd)^5D$1W%8vR<t z!N%{~Q?Ox?@Dm=F8Amkv9`~puv0Ry#z|nY5@k{oLUHzgiDe_?s_rti{b$+Kde~K3B zI`CDzXYnHzpSi!M{FYyNS+mn>?W8L&jAlz$nX5gXu<a@9ccqFgzt%16H(t%{zu`bi z#vGgAC)1uw`zp93ZCCx1_HMz>wx=SDvuxU!@9o_;>(7}>ccQ;8%J&Q`oBY?ce#Vh} zhNm+(?6R91|Hs;Mo%agem4cUB0?n<mdX~%PC9}43B-9=Z?sNX*wpiqe#U?2ZX&#&A zzuZT5tW=$FdAd}`ipR=2Y;Ww|Kbx<9K<NCnHZ}9Ut*ikOrzKnd&pXN1d%ZyH@RH=E zTP}Xg?UekqRz~_4Yvrp#?HyH>m!}y!Y_(iCjdkA&`8?k})7sasJe$hJyq8DF&ns8M z>c|BT_goXV%$`q6*W{i1>9owF*!BDBz3-ZYH(4cHCFx#m^}e|F$tT-CM`YV$JJxz{ zo#PU)prPgP^GMUmmC0_uxxQrWx%*~E+ty|_k#^~0-yR(|)nmOWc1Q9`V1k@f%_UZq zIYK-e{j}#E%vO83Lt}Pg!u4-y&tAJ;`grQ3S+$pr%cZ5K{NfhgcUIBSdc5tX%)ZRC z4Bk3Fc3B?Ln5HfALZAD*(N5tL)}~LcJT&<j*mE@HSdmR2vw*rp=LNI=d8cPKgjrjD z_PCL@C9&Yx8Hp8s)6>FZls9C2-0K*d9(AnY^ZYiy%P*|r9Mght+RTee=iIfeYUbvY zJ)eFad6u_7R-$1^`2E}7Ig$T#j)@ob#c4Y7gqs`b?sB@brfKs<N4?iv*Ewc5^Pbsp zuFL+xyxGR{r+;~|XwPR&*`x&rG8TyzMxOrJusiM_LzBkScb1t<d8e<m)cz1^ntRYV zCjDe!#!mTVrCI{}4hy-j`o1yz+?qd^)qYua=IQkaU7Fs%Va3JM_EV1wPWqsBar*Qv zJQF`2e&sZO=}FEB@sjP)cegsd`27FReRj9aJ-coRseDtENWURjE0L79o_po3jq!y? z_3w*5T=VL9_f50yE0_fx&Zzn>^Hq+xyI`4{vDvDN_bg(=<#e_0d0lB;efW*$jDPG$ zSa_XvjrPrI3wZ2c^Q>gsbL-P4>uMtsl5#RDb57r!z30h<zy)`0zuH}9Q4!_WKB3LN zDTgtSYmxTX^j9~ZZJfxr?@H97DAPbEPXP<3X|6N$EnoWQOwp`XofUN^aer#=o!m)` zv7HAM#f|T*HQv;BP-$vBqwlmI_44|u`qQKCI4X5Si!I*qa=FyZ_p1-QW!w5)q<;UM zF2<AMrShBZf8}LSNqyJ8)+5`p>$Lw&oBbs*cbUv~vE2+Qdvx}|@^kTOaSeK3SIpS) zMb{`=yIfB9V&Nw_hJ(|@&Kb%#I{sd}b1%!Q--$^X$!{LW^Ugef{0-~bNyS=OS{m#Z zH(k!SuEnEf9C3;3+AB}5caKgQI-3{Bmluh)U;bGZd|+8puwHgW;9FDn8ESf)>_1Am z{^<L&!0WqC{NZ3u)(D2KTgDF8{rc_vV&1OeQR&P3{b6~TUsLlQ&$~zWs2$t)M?g68 z`Aju8J^hkHkAHWF1@tBcvPIbH8wMpNS*nzjM66t^((#4arEvSM{tthu%N)ehUh!Va zmgw24dUf4QkA0l?%~QWL`^J4)5xGo@|Mn-F@JAi4VY?$m*7Cj+yEf6`8OvIo2UApM zEpX1?v|wM9u7gy2)Z~qYIkC@HiElmLl*_S3dRoh;@T}m|6K{4JzLnom>s{=`T6yom zkq-^4)=fL$CU=6rEb?&K;S+y_jL%2gzgc!czRh>WxuA)+bDj89A8vZ0UKyyGFTBsb z$S24pdr!?VNmk(>e5Kb9Za$aG<iA4r%P#BfOo>gE5BJZ_Nm%#Dx!~%QN0(l{ofP%X z`A~9k)Xh`xH~!rvxp3(=<CkjwT*nf1HSC2x^yxp6n_N0AYsm`D!kAXsF7_+6)7ZT9 zie`)MP`NGgBVFv%7i%e(;w^ssx^qjOmUkSA?RHr>rS@8(*XxqfVr$c0_1Q6zOLuWB zz5I3hi+5t%jhn?Idmpc}4l@z>)N{)2l+w~pr=8p0KjO@Z{e10CbKpLu4<64dy>%=m z{}y!1I{NKDPh--y|1Zu>ziqgDWy+UA{jk4ppE>TI+sDlHPjYYl(#4v&yL$MwcU^Rk z-rKO``_<t6zWWrlIOC&V=~Nn*I%r)m$Y(Nnv3lbK|AJi;yEK?hC#Y$+bS&ncWpHA- z%!d14+GgKhxb}tisV8fgCq(KvrEUqx-+blr#7ClSbANpnRIlB);^3`<cwVka4BS>W zZYyW-mamupt6IPr5O>zfYrdV)1{SUPi+?VyxL`cj-rUT-OUJza$Dso)H^gr>Z9g)Z zV@Ce{ALa(>AG~L%Z@K@fw&TB{j49984j%bJWwYJVpS8Vh53}2)b{^ZRaH}Rc^j734 zWvvZ6PhPE^QmmvpIdb|;2S2Xuk@IfbmL55>ZRhLS!sph~4=f~ZKAkb^%@vPsg}Ek| zo76wWi)a6CXsPh1ZB3l_yy)Cn<<z{VGiKO025{XrnzR0xt*c&o^W%1TULAwH%h%=a zU(qULIHr{^q&%6E-I)JOreAi;lPzUxv*tglzW-6qU*XP_`A2Rzv^`Mk1x?ZR{`m9b zX6%x08PB$aUDTbl(|u>5Y^mq+Z4dm9tz36%eO9G~as=104<2Dl+jp+_x7;OgL?L#H zP)bTd>INGbMyuObi~<ZM<(3Lv3(YPFKcC66slmNPmi59?p%{tSGb$On7iI=JZk#nq z`~iai1A_xYNT_Q_XlSVD*^42&)CKF;Wz0KYTAmhuB+NTuL+;yqf%EbvrF>zMXVz!F z4xV>gxl(iW)vT>iYp;Qbu=3LI??IZ+uN>8{;T5|d{J3%+n|bOH1|=2_hNT`dT<O>Q zl%%{pJv}{_W=wf>vF&0T@1uaza-bRQo{2>Qnl>*cF!LE4QE=iG`=9ga&5i#*eL0dU zqNgb|#w7l?xu-W(=S0PC=@;+by<6w+HK74~K$cf!6$spV@2WM`YiZERBMT>k!R5OA zED#X7xaGe8q)&X>ISUxOyOLQ1cI?g;3A}#!Wr@|?E(cAa_CpVJvg5ZIJesR@gW)i* z(SaY+_cJE`o7;Z!=E=&&z>miNtgOGypJ;#9+}^#7QI4JMr@28(8FZb>dB`NPHyHfh z3tqG30rH=xrsm8NA15`<$&)5^d4Pd~%9DwoUQ|r6nPq$AVx2kYD3Dv5r8&E|=}bKr zR9Uvt2Rx7OvZc`(41U-82{tj;e>Su3vwt>IXWz5Tc|VQpKYul{{?>o<<KaK2`~Jq3 zo!R7~6{|B<$75~m?rIK25LozE4m{Cp(!jx3BFF&-8dAM(i!ZJ)`0@Gk=g-EcLDR=} zzhm21I2=>hGqGaQPR_}yiK?EHEQIVouPv56d-;xZ%8Bds76OV@o)a7v2E1s?%kcL< z|L&&k?qlmVX68u!-g^SPJnZmcXBoTs=bwN6S;N)rw;UX%)$T{`-4s!Xv`AXQF>#-* zf&7mCeE<C8_Z6d0^u=a_!|httYtTBd7ZWnfW}i(f-v9gw2!Q9wAH4bg=<M0EyoDLb z$;rw**REW-a$<Jc<u~8gP6>3_Zql(m_5X)PM*)R<vcLJ|t-rl}=D?h*T6bgr(n+j4 z>(4daad>;{?$%Sh6HcZWnHL*>KQr&<d$*KNJ5sm#_?(eZKK|#8;gN-fhyT2hc9XcH z|M|`D)M?vKzq!qtF@^QQtBuKW*7vNp^*!WNn_`gsICuBl^6Bm6>E?(2ot@PxY0T-z zbCPF*gp9rfBg4Y?^ShR?o_H+CDAuF3C85x$ge7fD$3>=AQAHn~?%&7Ne*N3XVxaR* z-fn_jpIwh#&6gJ!nQtWT*MBaccHqXPQ-^kKx>TU|yga|q?s=(YMV*ybo&Nske3v8- zZN27jwwaw@&IWX-QgS<=tX0X26QE0NPoF*w4fDg`^PP6@h92>>c{8)t#4R5dt;#z7 z&wO4NgYf%BDTQlI87zxH>+Fu#>0i?gpW^xCVnq8I>uXneUxI`F#fz4M;JN#qJ9qwF zH>F<W|F7U{udnp|U90M`r00j?y<HJXQ*}V=pcZ!=(^M`A^n-vtiyrW4N8R1RY><@= z4<3B0p2M$m`UV?!kjbJ|LDqHB@>3r%KApt4q?@75V`*7=d9Tu}PvE5rW{1E>>g4W! zy-o16XsXc6oNViDnzws$4{x(w#KaY(197NQNAfYn$3Jcs3bg0sxS6R&PvSjUmLh!c z+S{_-v4VI1?h8xO-C?%=WcL1T>EavNOiouRhJRMeo8EMPYsD*T+YsR^!e8f>y{Y?N zGk2M6H5aQ5pV85eb<a`*;uIpMc(09p9S%Nv51eVe!I{nZana5gz4GikO50E67FFNL z1KqA?{N(1xoNt>Kg3n7chyWcfXjTnAHtptmji)-(q#i}E^@!;^L~J}bCG)tqm#2s3 zACG?K6Z8EU?VhE?9dmke;$Gk0d``VX;!dFT6Q|DJ0D&1`5Vrbi)?v`0l;5fiXP*UK zcR0=BNYcg#9kFg!@B)$B2?^kZ1o6MK>uqa47^+S%NM`x#p8v&Fzjl#))@Re>5A*V# z`zEE#_!BeDV(#OevxHa`!HaR$gN}vN1A%pG*REZw3k9H6VxU!JkTbA!bk=}RuUfr& z^<EjLUxxRe&VB#Mk^jrZ|CRIG_kB+Pa!&qp_~+d}&;H4%Gqa!bf&W?lu3Y)gW}r|A z3j-}S3pLNXv!k%NtE;Q)Rn^|O_1CjKJx!+VT9@b@dws)F+kJKg{mO1#0*v23zAY_{ zt$q=E_|UXz6Tsl#i~b%k0BP>(0v*H!DZE3#l#<e-g$orG6&Eg8ps<)nAVfn=SxaQ{ z#D4iWh0^<qPE8CS|9x;=>U8yMLsF8F5qO0kQ<vM~iy2>@g8*o?(DCE!po8cFG(=$3 z+m|m_1}<jfl`^TQsOWRpaG=XJGV$oVHAOe>K79CKqqDo*y}7Y`I$=ESb8lRpJoV~l z(4ETQGVL9B^*1Ejm_XtRRBmm)nPWCv<LI0@GLHn<+1(}f?A$psRa$zszu|QS2Y%~2 z3Cw&pR|-NxSY3=3u2^;U?c0ZOH5-zccUkW258fE#$+-Qo`SxwH%o7_t+_fzFy1G`a zx)YkWZ`rbC&$9P{)~P<roY!_T#c2M|GxLAW{QJ*Hzd5L<vr~~TF(IMl;PmO!BkQJ@ z{}d_atnfOpQnG*AvmEPp>)zhDdg(&hpF6VF7c#wA0ytMZS$FqtXkLTwER)PjOE@{z zd}bIV9#Tm?IZ4%fn$E?C1r{=V?T4Y%=JvoYuPGXee3Ml?m3Z=04)mt%XPzn-^8DSs z4`<Gu+9rRbJYP#I;^w@WQ_r@(+--jSoqL~*WzmxkL1nid3ByT2OF#<-yLuFyS~vui zT)tUL@US%>OfY~^GA2z26H-_uE}T4gwfE(9yO}aFv9dR3%$Vk<>chA0-0xo?@B<9M zrNuYM`m=ra-+%x8w{AZYb=S#0@*H1`!11G%8>81Wvxw_veToPP`EXPAcXIg~{%y(s z*UMJl>HGUV&idOdVS97gcOVdzEpl<PqH`OMq)|(YfFj71lY~?}CMZ~+;4?~MzUlC7 z_x0Cb!ATiJ-BV=J4g2GB=AEuo=i$W$9arw@meg*V6KrR&utid7ON+qaRvro6KhwYc z*3+BH67XjZBZq%k%<i)C>ruN;@iMVX1TZkF`%F<-SbCaWS8hwmg+q>~xx{p}!?vus zu^_dTjnTU0p8d>T2skSt!j`=tkxeqG;UTMd)Q%|MAKBhK;zrBX6oqb^wEBs((bdvZ zQMK7D{1z7&n6-lRwyAn7`D1#I?Sn<ek%b07EZz$(uATDRbNlVLWxMa@!Km(_81PE} zXk|Xg;d@tF4sL;fNH_0AvKM<Yyi8Q2Zq<bwJ$$${Drv`ri;q}2J_(0zQ*=KW@M*G7 zXyL7m@6E-Y1ZC~dd<$Ow8@BTysH~iR`YD8(JGVRN4%kBOJ3{T?mEq|JHb2mL{ewF! z$;ox{guYqJd_aYx`<a>S340<O7)6=<W;kr(VQ}8r^6-|d>z5}C%v=f&S~`VPg4{AT zn;Sc8N=@~AGQC!^&|{mEo7*%M=Si|BPqZ8~e0<~7j3OP&HW}-_-m{x-1fJ1YCL$ay z=-t)%Q1EXbXS{M#k3dvX1H<FifC(4-x>GbS_SJf19CviEF5|3wUYYdnazN+26F-+- z|M`6L%hE3X&Gm2O|9^_t|7^VOuK$*(wV*N?e04miMLQ)a0s<roXMoFRGi{!v@C`Rq z#5!%0Hb&g+<^PuO`)`Wn>W>PFZPOO&{Xb!66!NaoVco{$nQn|Ma|{d{xDyNR<=<wX zAaHAI_H|I|6ZspzxqhL@-o7|5{Uz7C!Y`eE@zm5rYx0T5<+ao8>U<a6{dMS4UTxyT zCnqM9rbx_muRG;e>3(hE?4rJ&#aHuA8dSaZJ`x~N@-_wxAW<6y29aR!`|sV3t?hAp zX8k>N>eQ-Lt6m-JmDcRJ#<wXXY@N>3sos4PQ=drA>~z^TG53koOt-XC#+!O%CZ7#b zoS3*`O_-jZ76_~YrT)0(;1fl`7lfk*%-XP}OP1u^*dQn>%F4>>+W7GVug~i&u4SdB zzKc}$xcJvijGbi=DHJw|g~!L6zw_GFt0lj{IoSnt;_fPN;~ZT72460*nhP#rq134( zN49L)vSi7UDI)!vE55K-*2G@mzAVima>#*);ew-PYN-eVla`m3OPG$Bo&cAKQ<W6& zi35ca7XlcX4H#G)7+NO?a9F<CaXV9Ok3&gV`n7#_%CqMzJT9DKAR!@q{KMik=k6JM zYOKzionjTypcJuLQZvKs|GbV^_KSNv=Ui7iu)f@fVU3UJWB2>J&nrsmv#tI9Onl7+ z6Xu>MvFq}+8QZ$@mdH9iHak6gKIhu(m}`BLSa>{UxN|MqctfF0%Qg0|*EUXv&-Wy* zZ**Xkw)`6LX}a>S&3m7iJ`Bw~^C-`4>G|5Iu9@L=LbtXYNvt@rf@5Z^>9d=PI@hnQ zxG6X%{g&Y&qq$DDhiWWB1y~<m^#35x9=*c%_T&2sHW$7u_|<T$-SbYYWbZ;Rxp^-y zJYQHIw75kh@ny!zg-c#qomiCqQ`z?X47<+u1sbWpDmDDN9VZzpy?^f&yKq_Ie>?B` zj^!~?OJp>sZ_!zIwBPiUfz)Fz8TW>(xeqUFu=;vu+UMJw_1C@cdwu4N&8<U4Ey@~< z_Nvp~ak}$Ac*JDEI``S)*agkbPDic_G1NYu`u4~(jsN?8E|lypZ~T8%!DHbb1H+pi zB>#(r9p76U&+=X2?TiS?v}v!MHnPpv(3voA`-8{#&xD_x`C4dk<KY<Py=%KfAK2`j zIxlV?=aj=G>yF=@=<&(+XR}%2#Uuap86PgbqojUw^4jSY_5m7KjdB(QXe0`??)nvH z8_QVR6vz3rqf|5Hzk)WG@#H|8S4P_+j6NE?oIY{inG()`RjQeqVL#$oBmc~O)2%yk zG2fiUhd5)>9mM|V-?kKa;m;!MaIrR8)a>{Hfq5cQj?T;+c2ZeOeNtYtt`e|gUcX^O zTu1!RaDf_6?PuGcar=ug3o(?;m@1m}`9;OSlL`UMk&%Jh=W7}MTRwYjt>2MrOh=by zWQr%`AGS%)J+b9#yUaITiG!88H;m*tgs1;;zagEu{B_9Fn!@GQ65KP(4!AsCTo-*X zL9v_X>+Hx0_EE3-6j>*JoiFxuZ9;LCkKV68&rfY~QqT4D3$$yvb^XA~XIje=dHz_{ z__;coCEf}Z`?RGfYeCEFaGrM`pUbULSo>#@<h$#UC!}^L@lNqy@bZJz2~C%!v7UDy zmi^}UN-3__S=w_WZ>f7#8Xr^mR@LPPS6_J<mG?OOcS9M+EZ@Hwe~#%tl6of>$NS1} z;q=Y@2Q9o!zMW&A^Yk;z=Lsi%9%#LPqVkg8mR*4d8$P}Cs#ws$cv{UaK$ge*;4_Cm zN$avKGCGq2uEuOS!L%~IZ(nSo(1l+jR}7ClT_;gG@2cT#o7r<qdh2%fDTRhlH=oMI zF!%Ml`5E)78XD`R+N(`MmPG2x^OgyhMsv?Uttl&3YBxhtGAUN`P~pVyJI>1M987y% zXZvY({;R}3=WRYuUP`~>Z(S}VIGv^C+wm#0r-bZwTB>w1u<_ZVUGXw`4%(|YLwpba z$h`S_PJ^f4K__pAeG~pJ*Zc7Mk>~AsQ!|>KZM1wCpD_No=To#%T1C(P@a3O#v#h@F z+ajE7dj4ri+(CO0f3~8^Z0@->25qyRZMk_Sy7|K5K-XQSp-Q*^8%e3IUu>$Ia^3sT z>IuOIt1{HB?Aav`^%R=)I8CrV|H99;r$yYM?aAkeH>Fq49o<lvvslC^Y2ofv?~v%b zb7toSg*B`?ohI@nJ5x#eZ<>m8{Im5n7Smr^X9Wl5v;USky`$&N`gKM*Uplr<4Z7rG zo{$|bt)!W9w2n>ZosOQ$v0o3KI=_yqV(7h*@$PE&n}^m%ou1gwa*s$9SH4%k9xX9X zAoRqlh^OoRg!}In$c{Oiz00xkThZfv@70o(zG?29&2{5rdGfKVp*JM?=dLn#$baVe zBvNkOhf<w{f=L2xsw;iAZT8mg2ne$`yEi?4#+ItOL(kk^{oK`27^}3Z<Kx|5<wdQA zFMYrJO%Y(qb*q~h=-Yq2%q%hf-@n;UXX(0In@^u}s8Qke&bhlIjlCRw9ep+CpV?v+ z|KQ)ZpG9^rlcH_xHW&p}ZvLFBc&x~GjY8Xo*Y{5fxi2xl%e_*GYfJB+w6wW)`KNPR z_Wt>te=T)i&)YkCMy>2j+m@S|`<EZ8sxi|zq3~?R>;jW0Q}3FOomV!^ZabOncc#N# z=j+cc5}OW)PwRcw+UDcq&p$)wk!H`##*;Ix^<Fh7A1g{+Hp!~|bq3qKKY#UZ=f?Tu z=BZh>wkd2^w=TbS<RxnvkH!fdPyH;L{raAYmjt=DH$83)^}cF8+n-zUx_7UJ*{8BQ z-BtxW8+Y7Tak)Lac=m?r)%#ADofls`cbn_EhaMB&o_IFT_TjGvS!UNw>Ptksum5)y zI`QYyA+y4Yiqs_)XU|<v?0D)Vd`kD`uIglViKI2*W~;Uo-;Q<uaPr>&O)-hfetw$p z?BPXS7x^VcXFo68V7a(Sx4gD2NZ#e<mKARfGk&r=cGl$ASE0L?+SZ@hu%K|2<Mx@4 zr)OO#kjh^a#h$Zlv*Gu=!{=6B+N*u|_C3E}M<x}?9Lcr2ae8Z4Q|`kQmZayezJGuB zy5iINn`U=&mhaHm=(l!8zt?@GOn?2SZDw+}L;g&9C6cF5Z+?vRxand3J@3A~v55#< zu}E7aeu9jAYpKVQNjA>y?!s%8`P6fNaX*vk+I{x&_pis-Xw*8~XP&;}ol|4D#sQ@R zBHr=`o}U%EF1z5Om7}zbnaB-ERsF8D)>qp2BL3(5&%4ABFy-sd^3b=Xa&{`(nMwR6 zyY#zuZCNhyo#EBSaG$+;7n2yH6Yak1GA<EFN;!1!jZUZVh9~=E7i^2Fs9f3CdwlNN z?fS918vHXf9H%`fx&C{i-8z;jssUeK1RmhKp>SQTN5E@A{h=>;**|A%8-&^ImX4Ti z5`MGbX<6`&M_ZRHcinq(@3qvUPR@z1OAGyMgJlnH2#`FYyRk#+;@0zZLJ6$OamF&C zGBQ^u-TCxKLg>}$RbQSTSf4dP_Cjjp@rebsKY#BIn{M?+g5&3zsb*YdcMmgt5G>f% z@quf3@~1uP+4?Wt@3B8p*gm~4drSCcBhU9PS>?S?(=W-Vv4~wQ_d6P9&oMcY>F0!( zPn!jVYFzgv1{|zcGjE-FZ^Fq%bIz>$&CjK}_Qt7QOX?=HJ=;}N&?0lv^u#qI*@m^( z9$bu;y!KRN_T5PbTlwT<=T$NazB^dI^%}1rkMkU958l_j(eIjgxfXlLZhxB76!-0y z`R%z|90Rf!WU-1I?-OP%JFtd5Y(@PBWdpG}H4X7KZ32C}*D}6c^ixD)2Un-E$DgoO zS9(^i*;ExVyPKsy`uQ!z*B{fa9+wbb{O=BDjswTWI1Q(bQ!BexYF4%^X4&KQ)UYK@ zmWe&>Fq`Fp7mX4}R|Q#}S}d-7>AT3p>*jxW&q*|{(Z3`TlYIDl`woSoBf*_#WmF;) zt3JG+b#D>t%rjzztK0UqCQXp4WEVa5H(%t$4I9VZx<5<RZDfxAo3SGFxZ2db$h{^U z7j8y>^7{Vi!8yyUJD$M`%ByGeaT!kf9r%0AtKLs(TWY?&S^BU#mU~l3^m4!7Rt!-R z+?&46z15I?SWssF@%<f!2{k;YdrBUiTd~|ER75;9Z>>Z&<H5-Leb4n0@;o%mTHak< zTavZP>#47nZo17W^>sIV6IY)Rc`0(M@4D~I%Sonx@4S5xE!q8c!lJ8_AKj`;T9N9M zk!c^A`@P`iF6F(fni|e(8aq?91dA&*72iAgY*Z6bRc>&R*O2}`HG0`a`D?yESsQ*O z{P}%<{jwFB3#u0G`)*l3OF8O?PV^R*6OWfqo3iV+|MAAZCxiYT__?UixL{F7*`#F# zFJ%ACG`y?qXC2w%DkS!3!B?R>4Vo)|q<_o`b=^Bx-JRRc<?*JY>U&(wPfg=qxk1KZ zinRXgX-Nm<{5N~{oRjU4S%0d*T;L3|qr^}1?fwkjS0x$*u5Au0yw=WC81XxB#<Hlz zx5O)VZI~jQRyH?QJ8hAK)vcAxWjo@uPA1L2VzO?gfPYZJf)KgW0k)!3_C<WGnX}~J zlbiMHl&T&r6;}&i61VQAMurX3vx#!=KCXFG)>SUOmBY5F#>C<2o=<b4&g*RUxZieX z-_u#Wjo*Ee)Gy`5Tu`2v>+WD1^7*#dr=8PJOnq{}G_U6c$4ic_x;uU!w%e)y^@>)h zob-Dy@!r#Y@4C-BapatK-guoMf0^ip&+VPQj_2+@*x2+lZcdn2`q>YgoB1=`7I_#H z+%w)58}TA>`kKe@I+t-py!-y9Jtx@qsP|l}-5I`DR<D?(ohP`^+RGrf-Lu7d@|?W6 z{&~)KA|~zGA9(P$?xF6<mvgN>S7mLuduXPjF<Y&5`J=k;GEqw_^6q`O-RCTG%-HyQ zOLmLxzS4|84z&z%8QoG=u>}j{9!&Z!+^|ON#hWbci{h06-8SE2t!<Z{{T@>DH|yk- zy?^vg4WH?>K5|mDu$|cAm8MwxWZ#8CE#~JIa~5w87j_mEN;M5!|HU{YE7MO$%q#4a z!rmq7wZBdKZuO?!OiPG5XRwbm%b<Z(X>$JUu8kro-xH>kZ4^uW7QpbQ_2}N~Jx1Tn z9(_IcVMaNpN{M-de&z$cWg^$=zi{(0&WmnR{}H>JN8&`PhKZlp!IzUaY&g8LEA?Kl zpUQ^q{WrJ^7McIZtv2dku`r2w^^&YZKRxfK?|y2?ua{NDy3ypwryKEd^*i_9`m*<} zj@q()ao^Ql-%mcV_2lA}o18TdxaWQ<t~|2KR`V)j#FTBvo8~6uU0-}8I(+MfDXBI4 zI(kkCeKXNM7W6Fbjz|R;N0w^onPLTzP`O~iNne+IzI}7S%nCX08#A}7D7A%M`D(2H ztBbkfSAsLwk*s%>Z~h(GnQ0gy@$AOb?=kB+(;2sQG1e&k+ikx4Po%p<%x&eH{GYiP zznVY%wr1Y_L)LHS@O;-0JU{K)EuP%t?=*eyRj{W1GS6T?_crHhL>&KzuDlcehJHT# zCT&adu{>G4^o8t1{*Z5<@6XJAU)x+Q<i%>gZ35fsg)$XWL)A7;+q&Q5@ib{^)xgD^ zPdj%AtJZs^O}u^VdXTHCYTEUC|Jt5d9;$WsO<_J4B=whVm6Taq8{4#bn|_yA$!b6C zc3Yfmw0v*Rf{F3`r??{m7wg&d1U;D)wa8++*VI$-8dEv`GjZqE%IX_PWH=;;MG4zo zvC2$OeEX@QPyFWYtf2B^wx*q@K1OESRn_`OX9Twue0c4>CjI~EBhx#UB>zdsaE{Ho zvS;q!hIc!aR($rJY0CLSGFf;2m%7v-4o{|Uj!I2Weol^zJCpH&Ew18&@c-rF%pcU( zE}biJ=&bQ0H*EvP4Kp6h+;Hu(_WvCxYX0?Re?Hv(w0J_Cw}6mW`0XQs&o>xEJ$)J? zHQ#@RTm91Q7F+B)Ej?l)8KVwzyPj3zi+g|d`L!eNw+lYZ>{=w(HZ^bBi8kqHGDg#s zjvV(hdTVEQT6przz@X&EH>zqh*%}QGZYj>Ozq3Gn{{J1@GXMU+`h=tXM50z)#dXb9 zu^$W*ruS%mc_;4uDe{cB`r2E&5)Xb^%EIShwXfx^YJ`^6!P1lWzZCtK=J8*DujD`D zR|eB->L2F#wJg}Z*QPDH-InW*oYUUrjI8_j2S{ALTsM#ZhQq8Ao|U>6zx|BuIIyQ8 zwTAbB(;TLfI*E^3r`AZ?L~9&g%VXB}!swt(m%DDy`$O@sHeTB}@w@Sd+exuU_DziJ z_?>BbL2z?|i0!PGAGSz;XW1s;Y!Mh^wkW<%UnxP`Ty{TmB<K2uyK+8zSS8DtZ%^ZJ z(!ITHe=wK9)n;j~dY0$DLFVNw^`UA9l48H@kbhBS+;b<NX~BZzi&y?0ofTp|<GgwI z!)fm)EWem^=2&&l|4mOBLuH;!I#MrTq1I!tyR&_o8<%&%omlO#Gui#3$sVsc<wLG2 zn#f+~%FC(DZ`m{7^uyOx3x5XQzqyS;O>}Xh;g6tW{J~{jYPpLICb4x~l6y0m-Fwl_ zGxqKOE;^i8FB7V4yjfiOsc?<axz&HujrYz;Tsz^cp#18Trpf2_371$K9H`P@Wt%+z z-Q?b7)yaLUPhRbk+^^5k*m2xCuJ)PK!x{2*fj?(3JiTwjx%xQI(&=X-W}H2FGlPjg zOF~80-S@-8T`k;xiN{-2PTpsi{C;CooX{C>mm3#-`<H4@de{2#{_fWm5e**Gr9v#b z|FjuiyvCd1(Pwz+tmmPYhdrN|4Db2RnD%7S<%S=*D$?Dz&N>{B6T7iyE&pL-{p=E< zv&(9g-a6SQ1y5P6rMRsv@RYUC`3FyTJ^JgJqp1^gb>^HO_ddT=KK;tD{?&!w2~6wN zraaxDasO$*VdI2aQ~8C}Q`8#rgSf@t+~VfFEEc*WM=vS$r2Phix^uTqPRM^(oT&2P zb3yLh`8UoyKf1kHSv7Bh?5mod)eN5P8YPB1R$i2H*du9V)u+GSxoK~c`Gq!NrAL=9 zD4Xh3@F@S8a&kF$k;Eh22`TMje>%CcE^o@@JK-B?BlEK&NAphK-@fAlW-kSndoK17 zbl=YVx45+7UW`}E)lWwnI$ax5GuobCc8=7J+v>M9HfVy@ys|3|Y%+T$3C!Ox!T)A? z?_)FDm1pXos%_=o^FBabeLKTUnWu+$e3;8K(=5F(p6mV5O&OWh?~Niws~NbyIB!eI zHTW(c7S#Xj?h?_7N9XU2dUQ6z{n*~wTaW1Q-cV|}V;b$SrLc6ja?Y~Vn?-zh1M^a> zV@^v-EqnH4;jHD4S+6hL`@5y#_dd=)oqF3oPRyGm6I)eUtL}U1MPcJi$%Lum%hcV< z>Xmn$&i7^7S`<6|%<jEHwnuMxm;G3F!X@Ry(c4vR+_Sc3uyL&pkJkPFId|18XU2Fj zt>s78%eSAdxU)_6mL-qy%xybXElCbN#4EDM$3DIB=t1q8jF?&Zw>-IuZ-uT5);hRP zu_KaW{*wEROK$!MbN^IlU^GEdJh?L7@7>h8;OOA2H9eMJmT$kbNOz*b)Hy<R5m&yh zooeR4KA~^f)TM6IbGW3WzATE_8nyMxz5D$CCoMgD$UJjygKJM@du>TX_G`a=MpLsc z9%+4ZDv|NL$<AAvpTEj%I3>7k&C6qp6<XqqJo-L{X0#hcR9@hUZDdRqao3gk6z0|% zo71cn)SlToO?0Qj&2PS;GklY*WKEp@m?+&j$~<4VjN|fiPoL>0_utD{^)Eqg(~gdc zfJU+YeO&&zQvdG7`D9LyaAJ+#xT@)~%!*qx=eWM`y(Qo2#bs09>g6AKjpb;U?#F;# ztKz4xvYV5#Av;*_J<svVFopZCU3t#j_55)3f$O9b8P5){k1>B~SbWvdG^uLEnk}<G z2&lI0IQm^;Ude(*4rw;$7M<I>^x(aq(wwe?<&VEsT+*stS=F^LE<y3s_3B_xr3(4h zHTzbX?8&^GwzX&e+-=JwXU;Ka=>A*(LGSII9Xq>~w`%<J6ZVkIS-0!T)Gh&=xF=IW zjszW?)tI>``<mCU<}3P|Et&ORt~2)j?Mzs5C0aY$SWI8-^5u|8>+bKEVEJjo(v+aM zj1%F$#cEbcw;gwXHRPDKW97rd^^^4HO;;&cW#03&g?aXi{0;h@u?OxeuWNI@*>_N` ze(H?}mP>y>DRwY>vebXV4;fvr&JDMi??;8-GL7oW7Qgr7-ZRx}U6Wg)wX)WPe`cR| z*msjtit3&lCpxYypSyn2l!CvPj5#9~CAxj&?*7)~8tbzpwS<LDs#Gmv%I2;eFY7%! z<O0<;i8jgU9$wXK?Nq$}%CyUSrf3~APPh_MpRBTs&4B4`efoLjLqe&lb(uVIcUgLW zL~Cx`>cjWp#Npm7@qc??AJW*eckSvBy;dEQr5184r^oL!S<{kmW$)dsLH<uCZ1O)Z z@hmsE$e!2Y-1{?oXWzXsUsZ+c{*?K9j!n6dd)o3r>%)EGbN5fV>~gQ4HROk0)%w-E zmsbVw?dG`G6us=U*wSf6H`X&$udzOG)?xjG!lkN=T(3mTy%qejN^SI;zR#PX;PI|B zVyoi^##@1prf=;1e0AmiEh-N_Ox}9ce#@`Nul`@M;@uIoJov#LRtEcAL8YlP-cGtH z8Od|QvCL~y#_SwT)&%BY=0s-ybl++*8}8a?MIozSwsJ39D&{4wvT=go)c9aUVf7{U zj`y-pZc07g%C;y#RyT5=ZP*mSWR>{qa>qR;`Th#MH>Y96joUx&+@6qm&C>71<Zq@m zy%IAZ)2GLeKfPyoWo7fch>M*o11|J)X8tcbDkxB)^RLVQONVW@l9;XSv5i{QYr1Dh zh|AfCSw3efXobxrLMIfBeBIsMk4wyuNa|2zdnUvtk<jq)w|HE|Lsp?1Z6AK@sBToR zy1P*Hu+v-(ljoV&Usv_CPd_dm(W=imefQnlhqFOD?olvkGya=POTESQVs;cn#>B)d zF>Y`wS)z2ZjER$DB@dU|LKls$nph@|FIv|62K@$}LWkdOoA6l$HUr7S2A+@9xqN3+ z>gj0`65=rh2U(v5b&Kgn?K|(3zH)O)q+DzNgn#F5&z-@=`gg{JcjvC}46fq&@qEqS zsx$e2=I(#pP<C(K|GjT?8Lpp3ot1nZKXcilMT?Snc*JxfGB(8QEK1$?Nh!ABy@xB8 zvdSIXy>^=S^yJI^&!1PF@U=Luy`3-ac<=i~6K~J!$x#QL5QKtHnFwaPNC_IUJ)2-> zW3wgcY4pu=w=P_m5NGBvxqQnJW5ZjwRHFB21kAJe=xZ}su6X|u?U#n<y*C}b{qHQp zTK7k5<4z|VSLnWfcKgrO>YrwoCx1ShlfUohv)S(}#l=uTU7SYnQm?6M3ny<-Tf#ZN zrKQBTmFvud1;rcWmawzW1TC^UeE<yNfB!75oOdamZ$}MNozw;9bMu+cd9%t@JiH$C zV~emuS!uTWwJT>91t^!7m2$RxxFz>lTr!Jk!OV#tGjA{dx&5r=^V!97_~on$ZWJs& zcpe1wok43H?%xSFE?$o^_j-QsJnQH7|9}2@&K_+1d)m23ukSHCzmz?DcHr9~*2_WM zOSvaSq=YUpn3Ztu^hHrcBbD2aEqCsaJ6}+s<Rp{8(7|*==ETW>!(SI!dhfFYU6^Nn zpT(sVX=W5OPio|AH%q_${mJJ~R{#8wl&~X44>YSe^EC7%xxM?v@4wnvaPh+OZ{ND> zK3@M3xu5Mmi_1x<2h^CQq@<*7ow@$!)-0dkwPC@*&7R)Tb*WOHtG5_G{q)AC^4s3c zX2!;o%V(V6ue<5~JMI6Q??0~le>=YK#`llc_ucaUo$>$8?7wpR-%S3yQUBZ3Ppj>& z?yr-J+Ela3e(F<y!@8AvJ@K!ezj#>vLoPLS-<jzXCr+GLY2<JA?D!wh8WQo(N%PvD z3qCtsb7Q^z^uK*}#RdjaXE?)}8_(KA&-x>Kzca&1<;(s(^A6dSvPbm)zy4k8LHz&A z%j?wt{|&8qf0nbOYyZA|`}U>PfmUyTC;cFiV6=b!{#kzSpW1(qGcYZFdB>|h^w<Se zMHX+}DS94BiH@w=b$p^l;xb`tb!1~>qAk}%MRFxr@)R~ODscU<xH73-IwOr~#mt2_ z8xFM1l9ZB-YrlT+>eZ`PpYE;^2ZQO)KSAfE>rUrCZLpiW_58zPhv_l1<ELKnE}9%Z zsbl}k;J+*Nzi#|@b$#9H_^&JfU6~%l(AgodQCRhcUy*&Egsh}>VAEBW2P$n-(|D44 zmQ0N}+bNWjzwhTgrh?iJ7a9+@N%NXXW^Dsa<s#-ULzk@tpTD(KlzB4bj>4lcNuV=4 z5)*6dzwTMJ{>AD!+Rv{}J$H$tJEBi>r}|(2`M>7Yg)qx|sdItZRXUH%zs@+X^4;e7 z?EG`A54?f`0|Nuk)_|58W<5i-ZGL#_EXaBplQ65mjB}SG!$AvYE`|geW-q!hXL<3e z>KC`aU4Ien<vz1eE9Ftk7j+ID#~UB-|In=w+t(Za*znDah7N&~hu1N;ToQaEvHE7p ziibwZOvWb0rqTi{7Tz>ae!6tel;aNzj~sAuT)b$}yufoSthBmzwk%q>Aiy%fUqd~F zm-Xx4;J+^RPi<@+=g;%^_k1yf<LNYuZ#}1Uw5G(b*I=A}R3f2b;YP+|dNE>|>zJoR zPU(6e_gR|P%xFsd&#p^dJ$*gb`4V{quTN?B((*c_Ra1P<@;QskNy%?#RzjBRz^R$b zz>~X^CkONRKxTM@g^Mehs~#Ww-1gb6_VF>^`%N+}A#AM7%NhRt{Ob9!?B|Z^s=x&{ zg_l}R3aM6lZmaO<li0a=_2o;TEN!hWuFj&zF~NLIv~+a;_j}dvdxM=aUIm+|9G~;| zi%;X3sy&4hYC3A-X4o!BwM;p0`20%xE9K)YAK%<yy!^AP%5lA=)x220bybgDdINmS z`9f}9Id!rs$#(sm(B+}Aq2?<+F1TqdlWsS2GW^T<D$s0c%M$71%a2^RaAie?B*T3R zm1mOs3VQ;4KsN6!cVD-_iA!Tnf)l68g&BrT97ahk3{LJv8yW)z1=*amYC1xA_P)@3 zcv)FRSE?w`<Vc9gmJlab?iE4jSkJ4dci(ENYQ3_YX`ZOH$O{G6{sme^K^#**bWX2% z{D<M|k3ABu-3weS)#o{%%hYvw^4RzgJNpWk!08WVIiLIyob-?3>j^^{cMmV6Ciw+& zPkkqsFELs6a`Km%#}(#yd<_iZnlI>iPRP;L(b3Rn;jV_1DJcv7{QW5Ltxs)IZONuZ zJxkX=RJ2S|(VX$-^2xv>m#)|Sd2lKD-^(Yi|7X1UoG3r-py$D*<!|iG-a`&O0x#=H zc~%Yvpn?*ypyc*io;YF7N#`dXk!dtcdQz-hURE~$HYjtK&SmGTc-WM=vh@<Hr_PZ( zxBkspqt4HD@8;F7KeAJ8D+9ztekbvisQk|>F3H>fcKgY;oSdSE&t~WEt1QZfueyL_ zRU=<3E2~`+Gn8DrL=yEn1r(j0RPT~AQrUSpg~4^=QZdiv@4ue5i_{T!XP=Ve6_lDS zsN`HVr?=bX<s`q8%Tz+Q)vbR&b;_^Q;#5zWl9MZU$QA!P{$zbbU-3Ef`!%1>T0Xas zw<&qB;i22%J0LK}7qpz>&KdB6#s&sPCKeC)0<W`an{U2(9yxR8vN>~Nd}MkA6z6j{ zatl4FmwUqeG5<^S-*0LR*9DgGe-q_roV4|cj->ax<Av5vB`La=-p3v(J*YbKpYNu& zoRjh4m{&LE6rVGHKIi@3Lc59=2NDnWvd!iJw{Pa11)Zx^b4I57C}asBgt~2i{L<Fh z(b3Yk`+wE{Yks-7TF-r^5tqTv+UzbVH9wE~rdxKm{|mC5kp8y&@3!;b>T9y6&sV;! z{C$4X^zH6`*|$1fyepSk*=*f1@0rC?Yty%L?B<#Vz3qABvT*A;zbVgV>e=%8pLMpL z_`>(IfJ}P&a<@hOld6^o`7|!^k$3QQHxhsN?B6b*gDj3FvHuyqo{4+*Jn&~_OG``b zvy->%1#2rVNi1<}<r2-f;(2bSqD68+!G>#@2L-I09&j`@HO+QUm#&ao<fE6c!1tvg zKM&8Hi<Pa${62aKdwwjHVbf^$ooTf6e9h-Gnl6<u3V#*9GE`7Vm~Xk<|H6Uv<qJ6t zUk9>@vvAzJ5!&N^qi_3cuCO%=8kkg6W+*I>7j}>3Wb&GBz#tHNzQSqCXAwre)(L{0 z;`{$RO!n2~eS2oj@q3@|*;x9iShY=OS31%0@zHeeKhwVac>kV%Rj~3^g%cMiG=7nL zy=>aGZ8yJdyIH;ZMeTBji@)zx?`*8|@ld}J%_jKO;n-w1d0u<_{j<srMNBkyKGm~t z-@dtXd5s+0KlCZMc8SQz@hoyVyGyBL$@SN7jr*^8Z0XjLl`=~D|J1<Fa?S0x-)3IE zAk`W7D>3MnhmD?4{`<4$^78TXe+FybRLa-yKm9cCUCAa6r6!@tJxf$omn~6Ux^(%( zg)dG9eEat81=lLW1QlJ)()%t(olPl=mM`C4`F05dGhc#E>4YsymV7a+S^Sna^}m|! zz3TUSEg5{}SsRx1Z~A%eB8P-`TieG+lPd(dL^J~C*;F2y+{Dbc-SA>a(j>v(=N})J z`@HwD`&N@JoBz)JGGo6>Z|u>EmbVPfbr&VR#)VvYe)hy}lg?jf{J)%QU*@#;ysRY4 zmt?1`CqI2IThB~*C7wUwZ>zfXq}wM<YA4%iPyQMx)VcDCxNGeVwZua$CyP4@Z%*`@ zrV|;&@A_f3PT(RJPTQ+aVe`%UrrfXSdvhY~@^jY@OouvM<W(63CBJ$IFmeg`Xfc-* z=04q!m6{kEx^~ryWlIWN9Bl*V&dS?zpour7_xOfn9<VRL<?#1=g-#EW6!IgsZ<9Y^ zy47Th@n*Tyl;k9vLuC!MN5f(5k%;in)mp1GHDv+={6m(jrEu%q_~8@s!#lv@V9WXz z7AfPpxc*9=$LcQ)|3?e#J1Zn%;;ms;8)s6x!K6CIq<Wo+r-7-bikbVDnU9a$U@&$6 z^3Gc5<Atuo#HoGjSEQUU<&$J&a1gk_;4IC+aNI!PsDh`{iZgFS7+5T3#jH3GV34B1 z!^_U5xKU)Hqr_na24+Kv1q?<WhRF*~vc0cz@SHcFd#AeRgD$(f4{q?TUtD?8C?u}) z=Ye$Y^_MR=uGjvRaqzul$AilpnYV7g_^$bYVTnWSolUd0s%g9K4YIa-&ewYAP3W$F zo!S+%%r#k^?<uaA&6&Ky{@<V09Z|=)Zwdw4{rl5e68)*rRi()+{cc&ISNS#jFG^E) z>3KGm+~Fw>@|pU#P09XS!Jic#F_YA{A1M7|G<iai-Gc0GiZ866+lZQMO)7QwJ6m!< zscYw?@=bS^PUhELyD0s|wWRE~Syvm@E^M`2aQDEiVgYIKCA)N3j1Ro>Hg~miHSJ!i zy-r$F|E<#IyQf#pj{C@}dA>hfblYt1m5a0X-j2F#GJCSllMgAidyDTCmsMQdE537k z*wUHH*UV7!Q<?v$k@1$`rUUD4|D4(!HbEl)$aAjL0`@6SQnvOA|2XW-z2o@J^YJms zsa=ob<Ui&;JUmaq!|>hhsqZ->w7xCr(Q2EPpm$)7!jZkRUMg0%M}O6vxWq<3%j4M= z&2vq9lY_1VmQP<jF>!9#Vx3DXBgLgfudkF6akVWi56yPm-@oT+mS?W;qOHGPaz+Q# z@<-Mb9Q5onJIu(w&SU+Y`0PzVS1w=eTlykV@ZIyI%d(qX5?7qv_bFqMV1sK|<G-S9 zmJt=ZHEujTex|Hown6y&84oRkE^HFslq41FXSl7sZL)eyYftj76fUPbifb6lwe`Ip zzF)HPX4``28G#En6bW-|)Y6V!lEWUXuh|v;)_cX;tE?ew?(i$`-j=*%w~<Zp%9T7} z#xrlW2Q63BS?rpe5oS`d_qdtqj>X(Ml8h(UMa;9EZ+dL)qDLRZjPG8ae#tBUiF%dw zpK0F8Z!R1)xq9fCP_ET^-$R%3{SCkTp6;POuj^%BUbv6AZ={bp-&XF|_3Nr%D<|Z7 z8y?Z##4=&dvJ|7kqBeK3{(cn~df|Fd^67b_-}RCa0vts`hgww{3;lKCLIwO(`UNNI zy$j~gt2A6Z@loas4%=p3%j7=Jy)QHN{SWr^DDY=-%RA4wQSg68sH<vbC)dt`J?;A} znqRN}+_I0uxc`*gUpXHWUWRk#cY~K~E&FN2a?Rv|DC_wpFJCB4-+pOh@$^%Zi~YKm zU#L9gv!vKhw$+E*ZL7|m`)!{MJ@48-<^I#Lki#;Rv2%Ins)KKL>_2rsTl@31XV><| zckW96vsQTS{!#<hnD3>FbB^^1T@|*dx>WZ%L3s-A@4iJo)<tP=GVbnNtdS~fY5O*# zbb8U#UN*(E1s>{+n+nV41eH5~yz|OIu#D5>&8s(=7mlv&+Z*nq^yG5<g_qa2ADSLs zpZNSY<IgESm;UooUZ+rZ->tDx_@~_IJcWLSm)^e{b~tAgWk^nUTD0Qx@>$(GX2>k> z*s<uBqAFwJfuDJ5iw?7Wms!8KsM{erhDlw$%S`yQ@s>4z1YY%Ce$r`G-YK<h&i_06 zpY+P~O_?rsEo#m-xi$0t-m^dIE9*10Ve2Q=T~l56?b_M&Y+HkANBH&RjzwRW?@oPV z^}A~I?Bn&S%jV8`vEsUu*7dfq{(#3D&Rp$T<fkS0<ZQyD`^7!)7!)4PPp+C`IXNX& z@`ZAYz(?`>hoTEpry3aiKOmvXUQ?rJp83JPCuX+l{wW&luM~S<Y;#mJt`QKK$ar1d z`F_QQJNA1nOb=Y1)^cjng+)*FZ!(x<^xxg<TXb|%|Mc|0E*DGBzZolb&hZFbo-yxD z%eLxEI=_rQz0KM2yU*TmtzGwozdql#@BA{)IGJ(noETf3dFQ)))YivxdIT_<Eq$=& z-iE|VX^$No%Ka`9cQuSWX4%TNI9qTq8!|l5PjcaMyX<3oVbcli@A0XfH!53~A3f6c z#I0k^L376SdDpLOsJWP|<bVJCT7x3rhQt~Ft@cL#32iyKdG@8>eO9me^miq?7)vp- z^-tZm;!b4F{(7}XlXk2x?>D!t@!V!$#XE=Lcje*aoqPW841cwFMqZ@in}vn@UmyD< z)gAlI&LHzo|DS6f(Z)*eL#1TronEj;b+^@L)rK<$7a}$Mx#McWle@NkI3+3P7O>oQ z`$moli?`WTo}2kk{Pa(?KTRh;FvuRV4H5nS#`8T-tMTOhU0vp;E<#r`e%Xq52y_&s zz5TY2bFz0p&vOHrj@k46iJhGM*wJv>aTgBHxvBC(KO-14PD@?PJI4L<`?=V!+Xepl zNgmi|yzz&-o6tO758j;HT21$TXH=Zj{uz-W+ZyVYUOCfW&Y;m_ChM{;uWx-1zq=UN z+T|ZUlFQa`Ugk!Ix5qJ`cf|oq&Au$3DS3<cSEP``-3HgqL4Ha(S0-65+dpL)>$EjH zqWmPipFiVnFj=6t@A9^#>Msr}ZmXEm=Q{h7$86{76-G7tpRCxrd-w7kH|KJ{b?q?u z&r^6)f;qrl){pIxuFRh~pW5qwDVbeceUp<T$z%GyO*WfP*DcO^q<iv}_V-Qoua-~R zD$?_|=+V_gi|c%@kvX#>dDt&Zj9;?1>Bc#2@f}mXTa>DXZ7W!?ox$)D*W8^<w<mau zZ+Y#%uG`DQ?*F19+vi4)0v_#O-CUr+RQINg<-Wm^%{~Qv3~EAg=UqA2IErq$>^hlf z`SU)nFo!{RV3LNhm!j+HtDmOkmvomuwtqGK`R_gNpI1K8X<WV`Xrt=~-M>6`Cvx6B zh-!&9Jo$L1fZ>$5lQXna4{PR{$a=T+U4HL*C@09|)S=myT_0_XS*my{c1!gqHwff~ zKT@38&&u=QsOPsJBkt$w^>!M`g<;yVk`kMX?#-M0bDtT@n*#|sF{`J(o@r>JZtT8M zBD=bBThWGda+7!7$UWm=u5;spN>R-whMQLe?(LTKpZ_w}-S3+mdse{|vE7OGDHjFY z&uRbmXq~%vk(J%F*G{Y{cjpAAtF=}6hL%lcmk^7Je<Jpm{Zr4J^6HC!GO~HstCk+J zI-E4+ZJgTU<TY8ZAAHu?@x8<I(<(`x%O*3^lFgi>*VX;EWfj`kX4TFz+0iz*a{bcS z-5*qIEuONuUz(T^{7zAR>KVm~gTMBxEnSw<nK6&acVz>2RdG|I)_1Pw#`&4~!H3$z zq<-1I<J|VWJac=B8Mlasss9#<v#O<&3Z>cY^-jEeD7;81C!Jm5#_}24G8_(vJvHjt z&w8x1%T}&kxI#iP!Q|01t9gYkuCML(sH~lR+}-xjGWOChrv$98DznX$44SnrcXi@Y zMzI^Fifhj7IH<Jr*vs^|!$SNVUyk+c)V@^~bba|Wfn1?)SFHmxO|I^(xg+`O@zTYz zcRp#l)=FNN@%rc&=;gX})v7KQ!G(5jdp#<iO_o?&+1g~zbgLp^a*xO~{tFfA$K6)^ zVtgpsBj|R@ZNVZ>o;JaNOoxqsMXFyvbahd-+U;xXccZD&$M4kP=4bcTeZ9haD(}cT zZYjQ(92$L0AL_1j>II9)mKrkXxIWmn-{Pd2k+<1NURJHjS@M$e4sL%gbVSFi`a!bd zOIbFjlsffaev`wQf8|OYoulB|T4DeCM(S+FBIE6h^GjL3NM&36E0fdxH90Z)&s6?- z?5FEi6h~%9uAjTM^8dpL46Z8ceyc9N;b3=g@7rSzQXv-&*cUS2`Wig%xbaut6%J<~ zF0#=xzRwu>g8AYWo-O(2%M9AX+e;TDXwPg-ofCX_*AaUG_5Te)TbJBSD#+6?_7`C} zDjpoLdRpST7Hi35llxCL{@Ier-MFIteE!1o9e&zhPk+w{dDqAMJn!mH-j^#Ub(+f@ zne+G9&9c`e^L^#C3(HKyU))YlobYI=Z}dI2lQww`lj3}4O+8V!kNy3r_pjK6c5~<d zPC6^loBv%_<DSD0&RzE<u6Mm}Yj_&8JLKSoSx&3>*K`LaUfms=n0MTy=IO<+RY$I0 z*E(3e@D|(KPkvKl=V(9hx|;CqhwScMmhZCe6&f+MT=o4ioB4@|jc?1x?XrG9f8M^| zvSVe(cC~b$C(R-HY1gZB^Hq$i()o-Q@l~$Qi0|oaDE<7d<gtc8{_dSVLYY?<UbrN+ z+VJ%Xy(^xlukmTG`Seq)pmFo%nCMFt5e0lHjt@d_r?z);OuLqSDP>RTt?2?E=5KYk z;{G73U2(s~BDG=(dq&~&+0*}(J_s_+;E~)@wR^!qqZ6T(jajVz`5ktOE*2*hVuWXQ z&s@pHr!DlPpwizzc?qx31|<dVi6T<LT=EIOV%Pon9+lg(|GrsuP2xfyE{y=I*=yf> zRynS^>dGLs@?pv|dA8fnScGjP4?9=({Ow6>nm6I(*~9DBbLDNgEWDqm)#qr2Ft1JM z;*M0S%EOK_BDa4;S>Nqg5+AjMbLmol;k5y0-rX>fPJiuT9@Vk8BtG#`+?*HNXFF_q zo}OQK#zbSAL0L@lUH@ZZGp21kFA;0Q5bf}1MdjhOJa+8=YUgXrOxl>ezx052%k3G0 z342Ocb)V~9CVggG)8w+FThn|SHTG!zDa!PTd?~4#wX9B!H?ZBVmHYIHuU>UllQXqc zFT2djDf)CEWv4B}j4f$$JDD@G7Qg&oc#SELpWklpsqcE9H#}8&cU${s&U=|UZ6(cD zqQN^fH(7V>O^;RW)W2=l*z4pi*STNpcy0Am^{(V9A*ap1Cftj<6KfaUa-(z3NBIZZ zA|_w|ZC7)vQYuzgobpMNzq5XS)#6hg8)VihZI7yQPmGH^e{)^zf(s%a>KvkH>Zg7W zGuzr+vb=TeN{-Ku_P?6b?sW0@roVUDUW#3Koik%y=(!(meSP%}i~<trt*gFj|GD#v zjd_2`SMR9w&KS4u10g$SUaL;~`0Z%9cjBMq{A(reo*66d>+BDjxNq|x{|AW$GNwl# zHQP+QBDBcXScN0>LL2MCxqMS@+TPPRUp?_+LC=<8iGa3;Y6hWgmqqW-lsjg0Y2JxB zpSLf3fAd>Lw~N)chkjd@yf}6JY6|PclNaT-s9d;xG0R2EoNHRflrsB9-HV?R^r~4s zgw@K-_$t@)vh6$|l*5@f*LnJuo6q>Hb+2#ifBnd>WZNg(-MeR~*?7zrnRu>P^?6R{ z(#;#zX_rs<Zmv*q<LhJgj>n7ItahyZu(J5u<?Ws9KZG0v0yr0Mmt<*L<;uI<{NtW0 z<<G+MCfol#;K0Bl@^#<2JKGp`I~;wGsK~p*V$#Grj=74Cy%GF+MJG2pM`X_Wv9vHT zWX-YX3Xzvowr^SM9I~Z#NrXc;>z0;~W&BJb3U3n|_OHHvDwARBx5^Xygjl%}AKP3o zoh5$s;U%RWKfgWP+zO&{Ges6&P<7RR>1urSY~cOJo!fKHojqyB@_gk{q1FfHhNYd1 z2Bx<^uz1h05}2Yh<DncsyTnn8qFF8L(s&jWR-WWLRV&tQxoF8VpADMfesUGwjEZJ_ zN11ozJp7rs>EP78r<B(0bjuJ{dALI^KVzzy-?8LEWxKa~x0@_Z&MC{?-D`bpWm=l> z+rk58l|7r>x3})Sl%?t?ld^LLzt@&4x0tW1%B__2yLn)OiIVO~$Dli2%2!|fXI)q* zugO?i)7f!sf`yA|t%AAaqq&9C!*;C?+7V?_`~0%T-nrfayiCrvK|gj&yUfnpVz|;| z=IijSGS7d`oTaILQHJ$;qyT5&lcnr0mR#SX8=w&;nOc9M_Lc1tonJ3BH#k|ZThGDt zY0pCqHV@_G%Z{@mmfv`q#n!v_#5RZNaT3c-cb(Y0ur-}$w$ilaOZfLp_E_eWT=UCp zefXOesf(6rn-#^FPDe!6?=^B$`Eo358GHK+*Ta|B1smMj_dz-)Qjcee2&;eBwe9!o z7ysOLC-LY%e*Lv3S=;zmN|?|7E24WUSn^xb3C+Ci;otu3jFa+OtL&BVc;~K{`WYFA zS_Lv#d}C$x!+y*AA37AjtkzDVZ|Z*c=mqmd!Zz$^i)((*v5xaEOQPcgGbO(KMtz;n zzan41aN-p|EFxOz>gJkX-WlruyVc_Vlc+2eqpf?tE|TRA;QA07V!kM}n(6$G_Qkg5 zH{^>h&pzjF{qc@+YxSAs{)}e@f-M$B2tU&5<IHQ>@#^6gwFu)gSC3y^s^0Z>_tFzZ z-|BDX3S9cVci%7bU0#3P@1_25_w}1#oqcEnyK>mxt<%5HNxSRTn>S<L`*kdWGy0os z?*{Monv!|+dqtx2ZGN?l7wVG^pK&Z~kL6l?FLC;z73G_j8=RW^Mzc4iTYuN)m#b}_ znaF+n@l)&czQ8&Ec|{i0FqlcRr%LfDUog0Fc>2$Rhy5$0#g89vl(aScy0z()n*C(U zn{P@&P46;iD&}c%MxDQ2x9n4>H|uGY>1^hocD;~)YQCHMtwpz*n|fiQx?a(vmi`rK z{PU;x*SxT`GJNB(%imwdI^zAL%}HVLo$uXhrz!m^5uW@@U-#}yMWe}IlsmJRb6$RO zXoBdDrA}v`CtJ;3Rb9Za*Ha<1%ka!nMwXdsk<H!Q)6On#^HI*YJ4x}Y#EP~x);4Qr zKEE+5hg<t>;k*b2^}r*oviqbNv+{TH`+X@~E^@!k#hiQH`bs9&%GfPBa}-yceVLrI zCN2D8AA9Db7b;@cj+me7@{X+kk;-e*w&vK>L%aq$YrKkmbmrLH*(_s}>N=~m_3+9d zw|W14PA;zwv}4~r%V>Q=MkBZT<e7PXVGApRk2$Oo$?2V$=OH#@;hsJlo-Ajl&6^l) z7nR<xFZk?p_LlGO(tQdC=S^o*OJ9<D-n{o}W!|fKx#bJju48??_WK#p)9>HBoa4$l z>wIp6@r1AJCpEI_Gn4joFLm|Z|8iQ7tb4yk8q1W@S1*)GR5pJX{d&jtot@IUFTNcI z#2Mo6@7cBBqWtpA=ZZOJ&mRvI6LT`(bIU+%q1?47jtwo1cTDXSU)9&2e9!gjW`w?? z*|%wa{k+wkn?AeFo@M{}sm1v{wtAbdaAkkrZMx=z54%y^zJTJ0YSssKZ-Q5(eULoy zR-CWUCdSz<l>aj;x7Nvu+#|8?rGvF1;;-JkwDSHLPNDDl+&@>VZ5MZ&FnjL0osBKt z`}j2VU(VK_&C3^67r`o^ELE*h(y*`p&z+5CVfIsF+^rf;3vHSjvSd=jt67Z>t2W8$ zWt8S`;QO<nKJ4@AC#Qe7NwB&+&&<Cje<z}IUlh;YFFpAIn%w8!9bPo^!4&nM-CtQ> z2Z%kYkhYlGG+E>FF{f{b4GzqBf9lcRL+8C$SJsH1lipBf^|a!V^nUlkKfP00@8mo? zeB47qzHR&A{k@Z-_BbXRbZjozY$^Erq}TD8#inr*TRy9FZFewD$u773eduXkWwPr! zYlg%kos5kq!!I1xn0NF3ZXx!D?;c|3wPoAh^;<G>O=>v2oUer0tJ>om<C{A?d%P<u z&#e<bcdG7P)Tw2mKP_T-zO~)n;My+p`mJH&@rvdYwK;hvyV;_yA9@#B8uZup?+Uws zO)K<N=1O<HZeAwR)ts{-B0@7;uUXNYVe-^O!-(QI3!V4J_W$_$)#m;0%AMkBLQDT# zb-B7{p`MHpr|LY#`VUFp67@xv@6b^fh|At76!<wmH0OfR%jdag+?UuaDs&VzcM{&X zL8xv)__aw=IwDh7@jMp1rF47pial#YwT(WWn=;)aV{%hb)V%Q2BFhgR7oD1Q_T2a` zYE~-p!|Y6G$Lwxn5q$^e{}q9*FBkcDoc+FFs;NVb@s>8egH2u5ez~6A)e~)(mV9+u zcx<cijlky*qAo0(sNVQz=M<%L&jPf}KZ(Ykd-Ty_%F1)`Ovjzr>@HRx;NH)5-DyVG zC%>&1)+(x>ow;P%qT2oi5=TQnzg|_L(!C+vN<|=PgU_E8KUXYWI&&N6HLnM=zBz5# zxaf+8+U;r!=D+8!c^X@^_pCNKr<Ah&#YPD)x6BP9p^sHQc<ei|yk@RNQ`ptCn)c$! zGd8G;?`2BKTl7<3HaI(Tp;}qd7m2EqOQbjq>P@-Ln1VaPx!ArrioDT0!Pq{*iJ5^j zz?+#xgn@y9gW*(cYLwZ1o)v}aj0_R#j0`*soD7MjDVg~JMfu5k6?vf{tPIRG+9%Q( z_#Hm%kI1PAGqiAZQczGZGB7YOP*6}%Fi%c3_*bxD<<#AYcTaxR`+CG+(Uy(dRO$s5 zeLLT!ba>uxuF5Fq0(mF%C&CA|9xJ<08GNuS=eJ?yWp%E-p?eLcNXdNo<I?eO!I3gn zA1=Y^=EdqFAHssIW)+xw__$ac<kg<ywRgjmtn-^Ba?(6vb}z7<c0F)gZtsK`jot~< zZEk7&t`Ln1o3r)wmT%Sx3mmlEE?zP=PdGV;xBkP-urpN)79{<jSy|vOSR}SRE`p1J zcX>qrh3s{I{vMmu*zDq)eA`&WCGgijt}PlpGg&+B^o~l|a|fL1(WpG$5?+@5@IxX` z5%U4Abk<-=w%bS6-ME>>RI?)X+98z<Nz)}Km^&SxwoOvOMm%GUG=rk!F8-e~{on8J zX}Gqe`raeeNoVq|q>8-V>U>e|-@ZHp`QCXqO1pfG7hO{esC@B1^>cXXmhS6Mg?l(W zJfC<P$mxGijo&$chrf{4;iqg9o#!2QRnE9{Vv|GXvKK+8J?7nCuXvV6KH_oQ`#UGM z|2->g(0;MuYmyS*17)$pg?!?7&u`v-Nu~W*)P@`La(?)VHO8gaC~KP*Th{9F{LOQc z+xPCwnUFsm{%ZYY^=4a-UjEAzTW~CB-F&N`wu!67{7y;Dohl<d$u8pm)Vn;&mHtL8 zRmQIba(=!DZZ`2_o&3ml!{yohC7v^cWK4A!GalaD=DfbiX;s~>=beoHRom|*to<jl zw)o%e;B!@%f7e=yWl7~;X?(gwsm@AbiO`f8;yQc=4bv+&s_-sjW;40rRBCX4_q^Uq z7qp}{H)K6yD%yGL)N9pG@k`fD<^Ox0b)%^4+7RYrLEH0pH_E*}ba5hUvQ+cpxPyDn zT1UNEa`~`=wwp<UVyx`J6K9t$@nXFmw_(j8tz!Pn7w6}+{`QNFv6(+nXG4H|$l<gK zg=UMZYjtPbQMwqFnY?>yQH;RNp8Kj^<_nYde%8LPAap#kf#Fy^)6}aH6B4JsU39gY zVVCp1H{Q?En;4{wX3ER`xa;*t|F5Ue^mAprTT&%<o-VP?WV`RXEq{TwZq)Nz8=6>N zS=%f)cW{kml9u7BL?IbzHeHLOzqfYyWc)Tzzu|c}B*=4fN8{P5t<#>CL@)ai@$SH8 z$(d7@{V58V6TiK8IVX<<qbS>z|2xCQ8rOQgyDhB!)4yv*!PD!1TUTeE)ZiBP?yWLQ zs@yb5eWOvst5DuQ=R&>fU*$aCuxjOlGc#u9O`q5O&r>;THR~BJtGHkH?Biw#I0@A+ z)@xD_I(_Bi9)|OozXas2?h~0%6Mv&Tu+Q~#^bN89v!|P}$mdN@Yl!>$yzga3+L6OY z%Q)rqFTGyT>;E>qSz9f+=HsosjW>!#c=oO3E<XSMonVKmV=P;GVq@~#ZHhDg{}OFm zTL0MPQFFL%cx0oS!X|c&i|5$#fBawH!#>OJX@Z%u%ks+e&pXW@T<N;CM)fwAxAB`< z2IVXGlS6vHBrb}`<6*5W3cRiI>)B(io%d{(tonb*Ngz^}%lgc>6aUv3zI@J8p+3pT z`LOZhta`t&O%;wZVwQX?clcItYnC?Iy}ZmO!`xl$yy1Ot&wHjgPN%&GPi%GSIlRX6 z?>9B?`(I)+Gz;dMJnNenu;Tws;p+vLTRi56&U5q>6tPUW^=S8_hEn?*ufKL*c3PJw z+r8KJcgnWA>ertuX>df;&JW!#ShS?CBq8np+AWuQ;)EwZHsln%Vp_$LqTn>wbG5*N zHkE+1(tXOg-BBA@9Yma1CTNs@6(~9R;nl_?9uK#7rFAy*7`kjY66n=m@iuwc>mNPb zi|kt2t53uVpZ0q#_ll+C?als^N8Ut-W=Kq*|2B4piu{QJR$aTh8!zmZc^|R=C-e4` zp|1-+T~auqaAxV-)z;Nz|5r_GW@=pK9Q`asFK*eqvcn}T*Lp=)aelVnqM*CSx_qx^ z-IA%dC4Q%htab^NU}XKWyu3tBaBpaYHm3=<+^HE1|0bV27C*K3zrq{kSARI>E!5SW zxhhC2S()Lr$oA8lBH4I8ztTH?)#m8TpI0Q_Ri*oTPI}edmNHHJneNB*Rd<?f)x9`a zTTYx%JvKGsQnj<5!QN++^0)8Uqc^u?<L`Ly&6c0b-&#GrWRm$Lw(Ne@0@gQD920%k z&bhoy_xUu-B@ycLbl2pp|Lb|?_$G}%y>lcs$2jeKaewvGSr1pJtjqeb`NPF0ALsVT z8s=Pn_rLpV++=$t5%>GnZArKCUa!zuAXv6HM6lqa*5xTb?>oE?=@Z*~*W77oSKCuj z5$><fRcYbD?IHcke6zQ&?PV}63|}zo{!gAZ@u~cx91P1s6#O|t9hP|*Pb>Sd&b)QX zsqY*4-n_VJ@i+LV|C?h6rdO03bT(Hed)jd9_*3RI#p#jX!GDUcyQlIAR%`G5pk>6{ z`em9v)AO5mg*cb4t!IAGe`mJLOv9$hg8$Xy+a=Sl@9y}uqIE&>i{Jn58Abiw?>uFl zPQwh|37_`uy>aDJfaVhh&Xn2q_qvyfYVj_0v%AyQ%o>&Q>Pbkj-z1sZp60*~z5@yg zHZ6TWq)u#`Y5i!{i5EK<xD8q>Ke?OT3HU#Q{YbpJ{`>2d|JLs0IRCj<dGZe5M4!0z zYq-)@T)94X>T`XmSy>q?|J~25jg5G8tkK|?TFr5nQ}<X*zUp^GKL5I9Mg7MNx%XSw zR`1aM@M49?&x?Z6D(5dweERob=+Ty&Ifr`R=CxYu^qSXo$R+;&ob#1^Ue$R~3BzRp zwXzEDOIbU<yuH*ACnxB3Qndfi@lDwQFVDB=t$nMq&b)8!>@)cbKmD58#u9h)*3QK$ zt0$yoow+J`Z}*Bb=2BjT#&bEZ{k?F6yELfP)i?04bYIfX`)`fak6gI3F#blW_9G?v z-~Yc_OPw_k=Kt?@>58g*^ufs*$6~E6NKWwx4V-rA^YsP|feVe-7x8|rKWrFvt!2TZ zvP=8?quwntSfc&jzp-}K#Y^jKwbpMbouGOxEkH)5dw1#WWzv@vQ<<j;oehgRm$TMb zIBK%b%s8g3Kg*N+LM$63_@A1n33M{VZ@j+3=MCG9b3!*~>Mv+iiC?SirNxzFlqR`U zP&D>dJ;w{joxZ0I2^+l^-RBsguKnajM3h2^*`}rbD<02&Q_*(i=<J3^olV}7w<{tv z-=sgV`S)q&$C|*ZS2BAlQYsCv7A47a3GSb<TK|Ct<BrJvGY`5;#_=CnrOv!dMsv$1 z)*Fq{VhOb#Z3}xII;eQ;l;GR#_pWR)U#FH^dgBu9dvo%2e%sBf)s)a-Eb;kuTO#5A zg9SS_t}Cy))tX@TTZcVK`Yn4L_idNmIi<g}A09n^s{Xu1-Rh0Oe#?DrKUB!bth{?u z;Hadc^}hCNt@Ho;)m%xAm}(Za>tOAMPn=xE+m*~Tni`Flz5P33^$(wbNynO7GmmLs zJh(W^kIB1l+DX^q*9X3@va*<&yrtIbM((ovsSBrG-)a49%O)G;$jhnE|4)0p)ypG4 z;bx;zj;}~2tL8o%;Z&KjtqVG9<F<*Wgak>fSYjUO>8#o`Z&K8sZ#>&h$|$Khua90h z_3@mTa#^F;sT>b#Wwy(y?7pdDw<rF5C!gSXx0B18n>I)U)lPS7c08i?=1BINbzar6 zW)CZR&J`@<E)Rd&u3zw{X*Wx2fcTpzyC<$cFK8MyGacEc8kk*g+8ft?Bw*#G7nRem zg**>9fARgF6`LhR%Bx*0H>%{kTe_D0``O-@TMynB`>OBF=xp9S^+9fP;uEW4#R)63 z7MtITTlRR)fp2D_hJI!Tf{X2C7<Q@}#=V~T@wS$<Mex)o@gd12zvhO=A1hxlJ?5$u zbNtFBtEclV)Zsbp)t7xdF4XkU<!+s%qtl-sbhBR3XVMaI{x{o|kVCCk?yQ$S_UOi& zg4VefL2?Dh8}<rG7}iNm|DyIi`p7-s-v7adk=AQ0n6LiSWo4+XP*9w|Z&BsRx3=eF zDl$2i?~42@`%-=1^Jf;3qKf;zM9a>ZUZ8Yo_OD$r3;%lTep9~ktzyLUPb>DlYWz^q z?N+&9xxtCOaW1THHdpW-uv>qq-{_)+O6X?W{h{+;xxG0atD!XO*UFRmn{K8VzvtzN zsmfMxU_EAVxBp9;MQ8PM^DDL=O{TrSKe;2pang*<zbj63w4Iq`QG8}@B2V(66owNs z%?wUieb!yzo%6<LQGNV(t7)8?ycf0x_D_8IbF<%)w>3;UZ}*F=TfOIUSF`R0_XAJg zt~|`rGyAjdES{Q@f_oLV>owPwCcK+EA*?|B`oH5%TGpIWv6<VgXK;RBX;7@EE|uG% z9F_Lhx@$^G;L>Y3YZsSp(_2`tv##DD^VJR$_9?S9Gn+nz#`JSE38-v4C%wNW*yE7$ z+(m|Jr&sZa7O9`NaXqpzeE*e$M&|bz`gD~OKCBX08nA>%P;-L5qT(C&^9gVFaL)N4 z`SFR+s~zi(CR<HSjS*+BND<32o7%%|EFf4ImAF<~H1XO(@%3SUc&*d*4js7JCbfI9 zERTV9iRpf)g^HYqw{8ki-C_K=DYx$X`gQyQzY5grW*Yyx6mt359(kpGvIVOI*SV)z z`@dM7-mPr&agV|j)`w@-#ZNSGZsGj#{m5zWkEZLq7OkAx{;aLCzx6po+ujz@&r_H_ zS@iBSnUm;vuB*P^<%i_$Y}Sb>6VJQf{<=Ud%}~2vdE@%!2J^mOo7potl>5lU4Jm3% z>}PqVEc(9mVV1Sx`$)G-$Cm`EIGF6ebouJ}-Ex(k5zn$MU;E9K*O?sRnZ-BXS7+Mg zqZfSg4Da-=^t-IEW8t<-3M><&u9`=;#n+W;7~ARyUQ4)qI#gz6ir|zmUN(*8%953< zbsOjAfAEy%pVlky)|b)s#AeP$pIJ)H2h7^GGFocRbt-PMXE5~G7q|8BfiMf!4xKHF zu4hi@Q$4c$KYMpsNp9v%<}a6cSmR__&wJiVn{A>kHFt(8_oG`E6IV%`o4vfhMTApD zQQZH9P}4-2<NgcU(ieD@txINT7ky_n-R7bu=Y!H3vjYW-w0_Ksn8WmKf5!U!Q%<W3 zTh}x{7b~hZHPkty&a!{fsn2IhJM~T#&e#~p`B{Bpwh8y?kZ{)K2ho?-=e{a0&@oNW zVcS1vTcwWr#C134HEhUP?L6nnCY_UYKEEGKy2P~Ed^Mwrn=enf@1|>Ee&^?(u2MNR zeah{Xi`5w7ZqNEN|KqE`j*g1WbuZ5rclKBCc|C4ybYwexZU3D6UyLU3ADwm3YTZ+X zT>n!!lTSoFH+uB<tKh_=cU)WLg^qk!aeJEY%S%RLtb29;hMr<7T6xrkWAjFv-PbSe zaX!Rt>=u4BWM}ˍ)x9)-W*i%vfKa(Qy*w*RxvO^FRj54and!qBU;)KK(q=EF1F zOzYBqD)CJ}d_Gupz4G3qZ5zCuE}stl;^WBoTI>GX6Ym1_+g__rUOmBZ?adE%6&AOz zy4_!^<J*!kuWwP|>#OyazYVOTw{Cl#q;cat+mAk()uoD$-v{ws>^T3tIz@S2TF=Av zo0d8ozGz*3;lSP?xvo=%yY{SF;dz^5>egIu*4Z=LD^`^5y_6oeDuMUW>yAtRE-Sw3 zf7IB=X=eBIzs4+WlUVf%w$z$rueF*FE&lg(|L<CMr2eviaih<rPZ5gYk6yB@TxQyE z!Jz(A*Q9^f4<vu@oppWf-k^0p#R~)2ngVKnDBihX%sSOa_RPzma9uI;n$y3R#C5S> zzf?TQddg&Rmj^S>&2NtuuC1vJI_Y=)<MTWIET_BN4DR2KjB%g4X|Ae=;)BB(l9ysi z&*cV9%9*v9$ygv-_DfRMES1@xW(p;5nJH26@`aDabak;@-L#6gvmR-u-LIP2YSx_m zBs@OgK9`Hz&Uw0v6p!>z{C_Mfa@+kYVLN=+G8ukolbq!FR?x6(L;g(ndD8ErBX`-% zI&8Jlp>x+{Hr<-ON7v3Cdcu8l-8|oAi=R!~R`+q)<x}qYH@#nd)LEgu$L(3yg=eQM z<S)AP?@@grI(Z+*B&pp~j%0*JX1x!5ue`4GC_|S1PTqv%)KHGZtruAYxYTA%Hr(iV zjPJE-lD1L)clPznTGiK1MQ<tWf8ioBXCsd+-~FNm%a)n&mz@@q{jTL`CUCq(G^tW< zHS-g-IkG$RI=*PJ$nVJ6u<1n@<Kvpg5@|&os&B7ub`H$Fy)wV={=vU?A}6-Znlhsz zcXy}z%(Z`=-z5hA`2Vau^h?&<!jcEd({flg^O)OA=kLCvRN;{1z4Fs6N7Lh<{On~~ z`<CT=5j+z8Wx?L$kGc033uf2Htf^tB@W@KtaePzp!gv3dZz)mPdtRi>`rvK`Tkqo% z|88+8GTpo`e)ZJ(FQE*AdY2qem(4C%aP>lLP}UYl&f9Oyyc+^dJXL#)rrbIfS{|hn z73f$ZY@xV9;T*GZy@x_({41_3vlxcy4(Cf;Q%VFqi`z9d{jHhzo^EF0f8`+?!@&CS z*3A8kb5!oW(p%fSP&EF>Ha$&`V@3Mq@u@2()x1cJQMvTa(cuG6!s$2da;JU8Px0P9 zzOm<}_J5UQozeo*XKrP`Q;Pc4dxPimp#xRBbAlAAx<9^Oe!f{LUHFh%6nmKYX@wI% z+rkT7H$7W+ChX|MGrOBi1Q--`{@j0c{Hn#jxpST#?wemKA7q-wC@;R^f7tQOhmwA` zo%pli!ou#jsNC&6vw!5B+N1Qj$Y7cE5AivlIU6&dHLIJM-uj^CmcVddp7n9G$jRr5 zD|f1h{Y)yFSQNZn@axr+@=|XdM5fm42wq_QoXafo@nuV<hb{};IV(zP8ZSh>(D}Q1 z?%a-TcS0<dF0Rj1_;;wm!cg&(^QA=_gB^FV#y0Y=liD^h;NpE9+w7AY9p$zjOOkFD z5eQImtLph`(O|9oQ&*ltF)X&Zul1;m{^Z<chxi{&<|=<VPdlnUZXbV2<Mj1U_H-Xv zK09a?<68;A6XtDwKU|aU9y56HaGjT-NLp0K!<Nw00!9Z{UB}R-xq-o+%O|U>o$Yw2 zDdx<jbZL$&`<(739^YkoY}&7PZ!gqYJ+xOd$@h9z`2KEJX3_!GTWyMh-5in;_kW6+ z?tlELyZ71WFGu^IXgO?*m+u#S{p;}K$DMn5w3;(6D)oM=$iIBX$)x<^XOI3hcUErl zp77P_<}B|T`NLZ(HW{wyYCd%PK%a`zv!-R=bw5Vd%N||vvrfyAVYl~=<r^2peKFtX z;Zd;QQ2Eh5wt33Z-`*!Z>z>kVw$J8bxy-j6vv<8ZmYBw9bu?t{+r1Jy4mVdBwIvuX zS>@_AXVGz{wUt2@^EMy&RWZY1W4+H6Igd}O5%O%SOBem={Jrm6-(~f~9G*(=4=<2D zcx8U?<*5OUe)+e$T-NSWn6B_DHAa26=oFS)|JXLNsJ3r<W~@{Yp7^f!z)|z}I)|2j zJfp$9#=NE{g1w*VMBqcAi#sl>tYW^YQzQ0%A@8P3fm8R$P2Tyb;ErF^>D=0c`S&hb z{_T0Z`b0HHZ_LhXZ_mxXfBxbfyUG_S9B)OZ*RR%Byv$pFaGtuU^VtW|)hcri&*nMb zv(7eerJlvnM|Ho1#Q*k8leqU_Y1pJ#^^Kh_vo61^W;=TC``-QtN2YR>%?}rw-L)&} zD)KHrA;=nZNx<-1%-@wK6%OQ82JdB8yx;Xwg<Yh8VbbB?+VBn6FUeHfrY$^eW@Nr9 zkXJ_1=ccJcG4sN?HR~U}4pqPWQE_K`lXy_wf!JN~_f+cTPfUJzUsH&|r$njm__1gP zmGg2cc6Q=nD_Y}zDWsizBPqT5_Tlu#9kJSGT(k6_SQVF-?Riu2VfCsx>bs1dZa$_c zq*R_@a7m<*?|Jqu#pw}7f-|z+I!^}7nj69JV*WKYSMQS7JEtZX?YenzX4s?0Jw^!& z>KQmzpA|g*PHu@Y+e`n0`?b9lp3CJgp0N91&5Lz*?N`F*GuL=CGMAb;C^{qx{mkQF zv~M^46E%(R`*(*sVjUaYs{f>Eo(uHKK5wl4ujBc%ifb{S+4Du+&Ry4AAy|Bzx1i+a zuBkdDd1Y1y5>7q1@Z54|q~U=F9^WEGPW%)y7F+w$mr0wWb_Kg6#|(|0HJ^)2jvu)j z@;2}KnGJIzdX8NBD!*fCtub?C_@yR=H|}07GXGYb)&1dpc(Lr;)88I;*L=Q~rN{ZJ zXUn4Qe;XwZ*osHRot2sJP_gUpYP}_<4vYyW+VoVv6kjSXvoO6HxNdFhMBO<hv&7av z@>*up`zL{CyOq_g$A^x-pQL`?@X)E2&1{$detfv0cY>hf>%i1~OO~XaPFrzMgyY)s za~~(B{G5MvZQ~2WrOiQ)WTU_Hcb@+DMKC(p#^}F?Uh%AeQ+Y}Sj(w}P+n-C@^G)uh zKkJ#j-z7F%zT9v>^_Y;?gp6$AMH|YsFH}rdKEma(`f#VM;M4z+e>^6&d?|T#cXhYL z1+K4J7o{IO@qK;P&YwrRZc3MNu%7vW*RMbGHw7t4&%AZn$#QX}S(fS2!~lkj!!Ds5 zd7Ih}y|fY75w=9xf7>dnFq2KyQa?^;xCLGo-hBIA)vFVyOnfvC`|g}uxkE(xsH)vt zDGu$@-;?|~A5J<e;krb7)>=-RclFwg8zbLUyF7VVWX);z{^rHiE^9v@S$6Eo%2{8E z_q&}~t=H!KdO=flo$A|eSsMec&lk2OAJDp_yR4YuP;QD~=#EUyfPJSA^JL7K=HvXs zabo?UQ`w*RyPX)G{&D;C?$|GG-E++5Omo<$i+g;3%62$)!|!JWz4L{a+@4!td3?*2 z$1);3H`8DI$#|QjaV<#fq2s-4+-eiVj|3>aZw}+VA?<x|Y3QeCs}I|VoLlu{*@JUD zFSfUQu`keLH4Z+$#Ae#5zaK82Jl=6@NAt2Efpw8*AM;e1^KyJDUh~p_W@XRjTdUir zeLMR@!Yw7|`wfo|Hihvw!w<flxoK<WiTxhMUj-dkKV0zp_`S^4HNmr-U#70wEg-mU z%9$y1edPJB%5i8gu`?X_(fM}i!NqfUy}ubRdHb2Cd5TEow8%Z`TT8z0D!>2dpZbrz zn=Iq|&cA&3UDV;6o}blLHJj`&R+F|_e?8c}y5dAst3=sKX+~X!s29fnR>r@X{ob+X z^xgnr(es}|zpS);GvkBz*`qC49*0ty#DhA*_qjYj(<-@1+n|hd_R&KzTRe;7wisIU zifG^NofWq+d#P~P(X#M{Wy@sty>o8J$l0=A+wNto0Pl<sGReE#<BYCu{`$b6EBC<* zs~r<Bsy%zU;p(a#rDA_)B&zNCd0~P|v8u$ur^09WcbfZ{yU(dCJ?P#syF-4l!0S8O zXEHL4p430I+m#=1OX%Y3c8_~WE}?5U9y*tqS16indRMt5=52!C@6S=|&O8!ceP-$Y z2`m0B@nPJk!Y0^vQJ24ewt4jOdG}1!k6Itxn|X2J1$T{)v$Gzm{#YHmVz<PSD61=3 zm)UI|J@!r3Z~Hvi|NEnAC94Nox%nQBORM(3`p_9MmsK^mG<cP0)a$(-8q2p$Id^!L zesBDVO?|gHE&ENQ7?KPHcIvrqpI^4~*Lek@&>3?*-n0c294`4D9oVw9Bl+_m<9Uzo zI!8{=^T@o)Bf=-6a=g<#`<2p4;f%F^C3l4Ni(GRPVMt_M`q$T#d6nIXiG97cPp9r# za5q|B-QY;SR-4&6-rQ(!e~y=;{(OH-gvyF<ZerDGePhHg6jprphC{f;^QN8lE9!Wy zn>E|D&dk5<X7<3-@pWUc*CdHY_uno^f9LnjqI!aY%U!jLyp>-<Lnn82n8?|)pFLM5 zvt)**Q*z?YEAlRhxqj(puf5*wsZyAo&ziJMMk3@<FAK*>jg>W57yIqmpd{;b{KcMZ zy}K`hKWc_I=&WA9jKlji&xwxRwRaZEAB>-S{Tcr=g@03pE(X>3_KPk*Um++zckjVK z(Z}r%xUbqTsu!r}-@e2Crd{8By$z~@R~jQa);M1_nAc#XYWIJ3WAm3nwOKPyf0mMC zm{HfB={RraT}|G<k94+Pvx?m4oAv+Y3JdX1Mt5E>V!80T@2sH|!;T-KpFiw6diCl& zad95kv$3A<U7NLZ%w}^tnyD)$OH3;I<d$_|T4|^D`(@d?*LwS|wA>uAYX6CizYLh} zMXKy#?)EP;ayw)bb!wy2I+23kugsjjPLeYDxA*+a<3*jWOHQOe656l5tHsM)E${vH zi)TM(9!u~}%lN*1{=TZE3#H2^x;=X-svgG|^UsV~`k`FrpI?9Uu55o7b83~}O>OS) zT5G4Ztv(@ebD^`pVaMh&$Lmbl&q@{_*5CZEH)?sc<NL}5Yt?(7J6~~Jb8dP?A9o;g zW;&aM(QO6ySnb`_I_&xr71gU8>U?f6{(aPG{>N3UQzdAYV%vf3mit7q*4(m43BP^X zLAL5rmeK;(WmN|bZ+W2cS1ME?=XC4oQ*D#3J1I}ganzR)I57W8_J&%$ZLeg+PUp<J zJ@4JsTN~bJ{b{|ZvTXYg<)k&g`D5$@>?*WUz3)!mU-F-Qjdx19%~`AXf-AXfDfRDP zJ<@UAyZD2!{Lv}<mio`W#>DnwHRn20UpAwh4F7x2L#E$$3qR<>f3jp|Xj<|Uqr>uN zHh37mPj1xTk*mU`uA;(hUmxmut8Mm*Z)dJCBwMl6eGROSi*eX%+8@UhnUI;n`{ZYf z!LkpNZKLkKiYU6OeQ3Kib7IUf<{gPu?Mzt{=6Xc>HnTLGIeDnM%Qx3Lqb1>+!185h z#CJwHT`2fm5b@us^nc6qrKLCPl${bew*N`Ka+m4VQ<3Mq>nq*~?p$}$HSx;jxck>W z?Pj>gd|K|>-1{}xlG^>`*mvEiekQ`I@Wx-w^jC*PSnc6+lQgpTzfaKIzh&JPpG6I8 zr!jwgVa%j4>7_tZUe4^8+4koY?j~gZe}78IPP)J(YR_%UvKIRS(?w5T@&0FvF!pvl z*R{H!Qma6nYhS_Ag6eID*17MxrCcZ_HaX&1+D%cekV#j*vc43ZJ+Y-B_U$!+p3a_I z`}Vm>i1YIZKifXB)TSq+Dc!1Y=8tM;C%IbPzs;L-ch7ovH>`kXYUzQ~*F7%f>$i*d zPujlt)E7RZz0<a?%rh-J_dx2e`0{OSdjGG?>Hoyh6DwV{#Fkm3gG)^6$6o$R9h+U| zzY<#B)b#SEQSQ>}sdo&x*cpXZrDyzk#ATPzeDTAV`Twt6Ot~Ms^6I9&-?x@BiDb5G zG`=e@e4;II+}^csVXghekINI)UadAXox}Ri@y|>3Ek9g4yWYAcnq8Q?bKmCg?0ml- z?2_2icX@I3-0eU2alhZiFeCAJoIucvFYK#D)~lbkQrm0uS5M?d<8#k$kA<A6E4fx` z-#hHSw{GK~xdMOpw$_Ch+>#Sb3VZwY!$Og$-1%Ka%XhroePq_TM~`du45ALQPLmGi z+?>&WXYyPv-Dk(tS3bWdKY=}Jnq6G(O~+rkMi;^qE4;NoPmhoFmC6&h&t=>$JLjzF zdsmhZ8%~SO@cr>;%j1ik-xEINu&<lPFEzhy-s;Pzet#?z@woYRUscGabJ0(8Z8o%T zGJnmmz^O(5$@?(Y=dZrGWbI}4va?_KYpc=TD|7bp&k$S5{&LmV9h^TFXC0Nj;kbxh zO_JZz%{U=+$8-D3Y5lC?Jxdzn<R>kAupvIjrF8k~BSP(J@6}SOug=`QWrrerAE%tf z`3KX(`!eN27?$fDJQY+m<@?;Y(|@b47raY)9j$!&%EF?jV$UzP?tfc*RhltItoO|2 zwCfHR-~XK@dz0<3{bY%+-!47*;(9&azU%sl`t35AH}-s$P8Z~Q#A=|=<?IpiIOtj7 zrWY5Et_>Hs#Ifv>s)erplA4VbdA!LA3R?v9`SV#{ie2ZfpQ<5R%hxMy{fM_t>2LpC zhE4g)>Ww?LWLmCn7LR&lb8YRN{p|T&HOswX9s95Suh^@e#H%ADl5nW1uAG0H{Up`N znifjDTkmt-s(NPqE~Rqm5#di0&&6&%+ZnQM`qA!--P>3nzB+ctGfC2&@u|9R<n;Eb zq92}UOI%;K;r+apgZJGR6ur#6?sMUJw$JP6oS(}Sj@fP98*y%PoQ=cm-^;=x8cP~3 zEd28N#i{ejVnK0ooYVbn72AI%K37fnXTAODvmm*5cAwS%t(6l#af5gAY*US#pr2t( z&m(wwH?5Sa&8`jm!ZOL9uhERJ;qJ8sPk%cWy{iZf@Vb8Y#_l-fro|1KKGT#gu6R~! z_Icjovq@XH^Lf4}_<etW|8lm`4XJR0o0BJA+H^O{ZndfXhQ%LUC)e!0{8TLEnQHd# z_L9fkHX{0EznA;U=OtI|+t8WGtFhy*%RPpvbHtqelm6aq=CincdCE?$>;IW#HXfY( zBs0B8PU2<j+4**tD(@IASQ_kf@8q+o-z!-C*0nybiqpR@%F!=8^TF)QfMx%V%0|6r z`F+W^?rzc7P4-uM9|%5OxN%i)Q(8?4hxw7UiNRGN^KH-WsAysM(4fNlev7KYeS5db zk1sxu-yV9VE${i+$L@t)%;x`Zn0OvJEAUP^UDGo~>B7@o&+|PMQ?JZ=zuCXAj4dxP zVd;tgD}EiCR%a0VvUs_VbzRK9OU>05Q_Rf+);#6&>uv1}*`VT`cy_mhEz|7xj*KnU zwW1*xS+8cD+kY_o`Ob}APAWDJRD@O8#FOfD47>j=W6bF~d?)Bf&=={0l65}Q8yOB6 ztYYZyHlMU_TH|A*Un2X{nN!X)2}&+JQp3JC;E||7`DCW<t4tnq+*|J)c(pQm^IF+8 z^-@|tmlO$3*tPNQ!4FXt$*eOk%xd0O;8wYqQSqkWjOJQ_wc(s!c)pyNt^Sks&5p1s zyt^4*KG~jmHI;3Z<iA;JhtL1gJwNmAvYz^5&%0YKH$E49cb#Q@z6=BVx^J83E1h^Z zXG>9^k8e#^)k$yenu*cv54J?Su3;$`ytiu4K53bXl_#RwT>}(_1Ml)|u9YjQ`^Wsa zPyWRk#%V`CJr=rrBxGZbM|1t#gWCNQOeKth9p~Cjepz7CKToXr&7%d!vu}&1d;Fgm zA~o+)ipCMc6J7#4q^I3#ZA!SKTVcxel9hk*GK)6l$mqLELwMUYC0+Ut$A#Uiex!P{ zVj4F?N_XjJwV#n4{@w3P)^zc{vXbf-_}ozwvb<pREBn5>jGI3HxO`;&Co)zF>4_bC zyrinj{*}_X*1g5WlHQ{GH=f?UHnBa;Qt4P$O3aT->+9Ovmq>G77w=Z@u3G$7n72`M z>slY5Ti<qW-MU-MYOTMZ+p^~>&1^q|gI=glp3pCp!Sa7y?zb}=b_<Ey=DrTIW)v;| zcX2{H-^NHk{+lmLOK&T6ZU4F9w8kE(NyjH0zPlhwy2G$qd&Y@?Sx<Oc3!9>6?dv!j zVVw1tWmehyT~Vxy6%&mb9~NFOJn&!oLFnbJ*Ue7PJSB1Je85JD)Fs>xTIc>`V4iY= zEpwUch1Cl2n}jwhUDS45D&nXuG-Hxc&}sMEdeKv+1x-73_ss*=_h%yHiaz)hE%{z( zvCWOeuW7#gp{9MD=CN<JKCpRDHZ$cA7cE%Z5T^0(@!|KLA&>TWe7m?IWKGUoIh`&! znOCwclNGYJ&y~n7RKC|4^lVc4A?X`gcg0dqDhm7TDAhW$n_oV6=GW;m=|_x;-Y?lJ zak>8CVlm&Z8IkM5;=iVJrZ(1wm%g3saar&~n-$~VwY3IqBECnDp42+BiFL)+H=EZf zp5Gswm}#^$D(Zo2L{|Vq60`Tyj^#0RJM5QND6E@k8Sc_pecENF;OD9zH|HogC~L_q zkzAcJ<6ikhug0hw2jX^3Ju0~I+QZ$t&tn#;En`XZZDU^&qhDQ`_daCztBE^OpCnv( z-j|SAKQVIY+WAMq4;Yt!eJ{7oVR`mav-8Iptiw04sz--g+x$GWJXMo5CP;nC-(u_7 z#|de-7pRtGdM5s4?S9RF;sn!<L;vgroZ7uxomd<<y|w>6-?CKHyl$TVAM5{b4+gqf zGIw*NY~KIR=50M6^KZ`l|7+4DwRLN_oG+ZZxnEB=)bCc$$<7J`mtF4;UAbv`)3kiU z{Ytqo!LyA<w|_p%P2Ba^>0!c~q#L;*=OWknGRW{GRkB@Se7r+_T5`*zH*<40-8=Fi zJHcX=^<ut1(PqM*YPbEmv@7Y#Q|tR4ENueMX4F6UrhDqyqu2Xq?XZ4xpuzQ6)0JOI z(y48JyY0(%WX^p#d5x@dz2%kUXq#z4b{!sfK0Hfr=t}ObTyfy(Hvb3qjb=UaYmcZu zym$Gvz?5_BjMe=otNItdH-4-ybCZqZfJXTxov>4KCd%QqiEAEQ*Ld~tiC^#SE8ooa zWiPn;G|RnX#`-Nb9yY)4UVHp4ea{*HjAV}k$7A;2Y+Z21Fp&R(&Fa@4oNt~s?DqS5 zH(q1;oCpWz?ng)NU5$NP*nPob`n?y5v*x<k>b;r$-|LKF{=Un9nRP^Z60Lu{e;qA- zJV$MX@Rj-PbFMn=dd-y;bbpFZuY7ss#FQIRCJQ+=@*aM$kJ)Fcyy(~MPr7FtdoD_e z*I#~JH&vwS8N>AskL5S(n{u32SATvRx!oYex!=2sVGrxUQ?vH|OBGqN?qYOZ^7&m$ zJyg<HF74TG=eEP5q51tA_10ex-FA5E+}(57A}sBbC$Ha{oh$BazrFE6m)_}HFV<?m z$!vbS&$8iW$%Vu#8)Uc_&MR3ltKshA^&Kq!Ih@~ft7jLUahtX<^;4bmsn4(TzboY# z9f*zUx_99QuU?<+!S(O<^DMiNQS7q4Bxb`K?_=w(8OwP2%$sylw|e3fr}#_dpSoV} zYq8_`vpHtMY~`F4LWke$7A@tR?(OTqlJb4M>eBhgD)!!D)nfH7d4K%ivd4*Uoa-el zT#g)%=Kb{TOnXIFR$9&VC0l+9d{X}^w`u*3o&#}mQzjiQQmSdW@vyaZ#s}@2)(u=< ztN(EuY&>md_m<1-t;`9D>a5bP-<PZP7cxy(Rxxg$S-Yo@t;^}qg4>^J@0jw}&!~?! zovV2F%}()kH>VeFXWdjMwCeLy_K!O4;#JoQ=jt(9W%3Fs?tl2?zj><U6)yK|DNR-m zu}db$Kfg+z6H)Uk{FnEuc^uwBCK~r13$BiNWX~qH#{PMol#^0gti_idF@g~Vv9>2q zb9Q>MOq2Lx8WS(0wd|@7TQRft|K&?rufJWgENMk)R#;X8v)R%KPh3Rrp7xgMl@f~+ zkF%YhbZ0j2qbbLZZJx|&sbcfgBlf|mq#cccS4?cAuGj6hy(_gl<Fd`67dwsh7MtI4 z=+n0;o^ASiS7yrl{Oz34>A$V7l`Q&m_xdK8H&3}M`*&RW;MO;B-J<=PKUZnleO|dY z@XnhlE9~BXyD8ui_2J;YPp#L4QunXbxch7x_id8{%WYF?6iQ=y<1a3Ade2_<S<O8_ zLh-<Ind4^+_x%oE;I?4h(Nk_)*);@<w@dH)+r7K(Y@l}U!CTt*{J6rWFP4AVWD_s* zqr@fTaM(Mi{F=EN7dJn*c4IGL%@o`e-I{3k@j^gAh~MKS@+JE|hd-KfZ*xJd?yJ|? zqK`NHvv~CJ@{M(+C;MJqyEn<xF8Zd)QBFmp-%lI<KhHVe^5okxQK9(5pO$@p_iJ@1 z!>rF2r6=BEOmo-&aOKJB`ZH(cJM}ZCX8urR$Xm#($<RD~@yx^C_IDlbh^Ypq_-5`4 zo&S2R9-rgnud-7WmP~Hv?KvvAd7{KyMOSsM`1t)xZXU=^_WgA4MR<@)Qp;(T&&&#G zrDfsQRNfrew<jlMSDHfWTdmBCUVXApXH7Rz-m>Ilun)T&qu=~!wHD?63)y{J^Ooss zEIA;*Qs#<*-w7_a;Oc`WoA<weFEv9+N+nV%$X4v_<~<8;oV>hKmFu0=gb6x_ug_BX zz3W@?qBDQjGpjLJUtMa_+x4o}<>zXLSFN3zKR9+~Y@h!?SAKEVWLEJD2cp+8rPO}z zdFt&_t6hKd#me3V`*_~`5%){#US;I$&0jy`&mWfv_Pxy~y4-g=^z}Y|8CjPayFBTB ziuWzkiGMG?_j(;A_@N=0lUd~>x5Ngv(@cSDx9%0ce3D_Mar^3?o#z5>WhSRNEnq(! zdi;@Cy~h)WLj})_l1o#{=KV=@v0TsbGOgT4X7ah^uM_n@UVgKnqUdXWdHR|5kjr^7 z@|Fz@tJTztpDVqVsI}JJJU^46e*Jf&kCk85Y984CHQ(>;v+Bf)FBY3plR5Q{N%Y3I ze>Hw&HUC8t|BH1uIT@ccn*7r{;K!DJ?I!Et8qX6uJ=i-eZf5LX(01a~*NO)@YKtS@ zWTrTHvT^M{p>gfF;pDxWo=MD4UwYZ%@UGNk-^;h^c*8b7YuDOUF3-AQ&GLfJN-5t{ zbh7iFHB8^Ep<R4lH0(jfYL>6Fl-or1=%og>KE8PDT{idaQ^%HlX||mhDAU1L^>WU= zjW)lMVonRSt0iYY+;Y9)#2Ib-ibnsq%gNil9v?k^*4SR5KwiwX)P9wH`fll?);baO zX`6o>?0)oN#viq}_giu_WyI3rd^>NSUv=Z=!vp%8ShG8CdkTNr8tZY_c8#OI&Bk+A z^D;kN`1D86cG}vkNq54xS!o`cuc3CG?Vv3C|7rfSPn>$|pe}Iqb7H8%lcmc|G~Ae( zt*;gD%blrVUA{W;!`4Qt9RYhhA|k_2SgR(coMc+G>v+Df@2QY(<F%PZDcd(`b+?K8 z{GS%Eb<c9)pj(}JYMh#}avw@&tvq%l=J!&QU0Y_n+?;UA`lawSL$$85^&7SomY)B0 z;rmh!qea{oZk>L)eDkYA%&)dF&7G<EDOqfJQ10&;-48Sx1d~O0KJ8W7Hvj0~l->VZ z-S{t0zcVvu`>HobUw(PAt*W>_<nJ+`Ys{y-+tMYQ+)rrE`mLwp{of^Otx5KJjn@W_ zu4YmdIj=iT$#FZ~WU{)oAzp~blWzqt*JrCW{W%)@_iXy{BzQ~L-!%+o5zpiT_B78g zQt_C}DxCJ;hI`@a+1d`5j+qPYo4&_jzdz4>zT*pB|D6oCUv;<2&g#N;lSZ@7Z<)-u zd-BWNj;d^re=TXNF)=G-VX%&Mw8yrsSxXfS5~gIEh<yLNctzDZUX}Q?UdBV8ou7-$ zU!rozzQy5uYPO7O@Y|(Y@_t87Sa;tJ(ax<|`|$3qQkCY^lslV_eq!9d@qD-rujWJR zS29lDnBC1hZq*;r+})aY{pQ7sj0>G^-e2;`^~n^MRcw4c!Z+;7m|6cd-B|x`7sr}a z3X@WJH9clcnATAfD|1a=_qhM+kVP_!qt+j7a?Pxpc)2-sNw55#-D#6$gPf);pFT<W z#qV_oKD1mt^~OH$-IVvH@(v3WpQ@{x?6vtO%g#1)YJy!<yv@_BL+tS<xEVVagcv+o z#&dG(gN$UIvVSTwuLhalmz&Rbef4LByUX7kj*nR@v;4q^u$}3<UL5~y@&EMVu#<aF z1lV)tZs_<L@YnH+YT!<GNx_X#Y)k7)_8#3a&29dymloj+PK83pBi`yJSL)9@T*)gY zEWA7?d0S*pv8eX;H8$5)7Z&WC-Ja6K|JRV=dSyl94DYLNj~gg5wYx94us}QI(q*1} z$HGIay65_`T-*M%W9iAhuVs%s!`l~ddE3R`NmpO_bL&x7$G`?Ns~t86(nXj3mw$G^ zFZDb3jm6vLXJ}eRym9#|c{rY7+m^gfSNK(CR0%{1EzuLXb9&>=mF~5h_D!9AwM1pr zAC;Tt66{`wOQv>B75?~9^@)Ukh^^BEr(GZK@63JhD(M>gWt}x*JHJ=D>zb`nh&<4* z`IGUhs8)}9d0MaHsn&JN-wUgJsoHw~QE$ZC<0@Bzm%pm5yH$TT$KYkw*$%lM3Hw8K zbIQ$_+pNVm{gX*iZkxcpr(uh}hzPHpue4@uVT-e=>ReF`5#1-j)!9<hCLZ{!9~d1o zJ*CL>;j8voN1<~)4@H~rr(KP9zr26ZqdVO{?T^;YX}!lSJj>-q&&&Ta!>2VDd_L+a z^p*d%xZj(!3(8?DrQSFO`$&|Wk-OVAN$b7~<F|#IcYgdNkeBqq?RoR(ZjT9f4*oUU zx&3F)0fSfe>nAPyWA5SiL2+K3z`3~%FFns6%HLkq_Vco;W_|b6hKDme6qtj=H+yi$ zPCDrvXIb}wN76{3CGl38Tcy6@nerm9yjhi74Qy<`+-TjJQL>~`YiiqsDHjX^?o{8C zy8ZK7_Wg@BSKcq2x;0^9)AaQ&)BD#o|7U*`z0oc7fmO!#a=X(HZeJ9+y(6jS`*W9* zpXHfMbzbhR^ITrELv!YT(HT<v-o;;B`)RZPOkLI2YyDp`HO)R&?vTsq*Ko|TocrY3 zTgN!X51wH0lTldA@mcuu;<o2*yxOYY6=QeIV|=&&P}<Z|@wHyzZ!NkvmOS6x#9OcO zc3xsHQ`o%|*@{#4{*<)s&`$bxP))<vI=QT|qF-ia)>S)k{Vy&z^~2brp5Bh>zcBCk zu2S~S_eRUal5`W-AMbtjsVd{9`mH-_H{LSb`)tkfO<!FU52<Zb`5p4;S$EakS-wle zW(2JK75(%^y-V_X4r3#}dy}4gydb>B_3&gX8Lxjc&ZQZ+&2Vd}V!p&XAz-qx=4{mu z$3I7>o@IKz{`z{JKgWIu++TQeYR?P*h1`3+w0R|JFG$+Gx%fTGa`r)qKU-ff>gt`m zN&nK1RsWiA>|EP>Nm<=brK3J&Hlu;l0cka^8~WUtQCnZ9S6{yW_k?tJ$F}+No=-lk z6&32*w<_QOM}=qDEtOrnCiiHnPqMINa(vs=yft#cyDRrBALsh(zG!9r5oqVL-j~&X zxBav4c9)lak`h?|Z$-2Hi!IG>mlvD=@3}S4@y%M-ML*&ePxD+*BgGJZa;d4KTY&wM zuS@wZF?gO7PbrP&&%PgdF|YXN@g)=IO!yP5GxKq$;AyYdflq{)R;^Z;-l3>wkXkWu zhtg#KlTl~Sm+-%g468X-HevP`xsbPcHz&xR>zCI3YB9a(RFKEphznQbl^U$_*Xq@s z+`(kFtAcO&i9ey&L^J}Erj*KE?=`=|clV;OMC=`Absh7cZ2XJ*QXSM@-|=y|v1{YD z0E4_Vp^44jTMF4see({?JXBJ5DVYCul<tQ$1z(MCT))D<<W$aKUSXr#vcF!aY~wFd zV3FoqU2AvWW^(<h16=N56?)QcJfRKS&hz<1P8KOqT&h~Iri+8)enxQ1lzOqQ!)vc~ zOy1WYA-p1QLeNtA)CjqQY1O%wm5;T;y5u$=EGXeqZrgKwcSH#z&#TRso?k93mAzng zWcF&uIj3C}%KmOkZ{PX&`M0>e-pagj?Vp>nIlR}bX$WUJRetDP@;A%ucct#vuNe1P zJfHXPeXKxR*ep|DS)bi{_rA@$(%)hunzj2T|E$mDtwuSm#b&2x$~8>1n!o*)gy)i1 z74zPF{cP~k>0AW&a;vC>mvgLMZ+Fnn48Qp`>FXOwNsjhx5$=pvMTUDM`nEf>+-S}I z;Wy3f?`MsS+0TR~Nx#$!_RLF3S32Z7c|k?yW7Xe5PtHVn809Y7xzx2M%F(>2M<Dfm zhf0}Qtn7)R^|g{59&*PI-dFk<w{!pGoZW1a-<<ZYJh?*mb85%LZhH~^pKt%4n$g_< zhKJ>+8k^YO7ZtjKd(PxOh}X_cD9I^qv+p?&*wq`ydBkEd|DpdT$HJa$SvDoNWroK2 zZFwGV|AxpVIA!b%I(=*2*R_(|?#@5d8K3cQ&ZrPv;5kt}{pLE$jRuEHzp^o}_6?Q0 zKPgiE3B$kW>T3)h^>csuZMx%o$6x1W!nfZp7aQJ1CjTv(S+jG3&hjZ27qlf!>3_=9 z)bXmXQ2q2T8Rlw}-VbU2RDvwq%42*#Y}Sunk`;O3>dQZhdJ86>-z@yA>YVc0g>QZ> z$zs(z#I^5%<2Svp(w{T<+V-vA@3Z{FGX>SyKl5d}Gs7nbR=xA$y<0D^%jgF`zpqe* z>zjD**HgG0jb8E04LP86_WsObMPcuwSpi+gJ5IG&t&}?aL3HKuJ0kHm$xhtC+4F@b zd@hsNRC&~IOKbVISD(H5x0Oq8SnGJ#WyOm>E4Ux^eAspGrm3u^$rrKD8}pRh$}Wr5 zt_}XrJ?l?MikQ$(pKZ*p^8&VB4Y3Y<w50v5)bS!6jZb3Y`7??XmS-Il<qXr4HGUF5 z>&PtSm75t(mc*QX-e$Va+d@;SuJv+F!t7rWO80x`)FmJOA$)V^^H2QmchxHGG~4W1 zQ@l0$r)FQ;j&ouYJH+FzGP|YT<ezeSLRizW-#adDbA402R$lLj>_0w#+ik19sjzBH z<=U;b!?9+r-zf#vKSv^OvS{Y+WPQDHp+a@_+UVVrKK{*6%@kFTdG$1F=Zu8l3h_67 zXMf(Eren*^oGLOmW|yMkYpEqujGqRsPgu9ofX`&s!qVw)|7Kj&Sa{BW$y!3yQi0zh zuq{=|adpZ2y%#n2IVQVr`m8YRi}8hpF1pG7PR1gQ2Q18ba`eq3ug_X}dgh)mk^hgk zd#pKAyyT?pw;l6l>&<y%BR}PUw13n|X5q;9zq)@tTEn#_zt|!B<@Up?&rP~j#?&dd z<#Iua4D+r`m2<bx&TQRO)7U%5YWn`j+$mLW&sQjC<%tVwt2`3Rd~W?%^}3*Y3X}F9 znXPOalo*_hkDSQh{roGAsqNZ>2iJsj{=Z;7d~l}7C%4b%IX<g?_+<BaZPvy7n9C<4 z4@kV<^HLx}AoKZy<+(pMC!Y76s(Y}%^PWM(O_dOzO}oqL=iVw=XWqGaiEu2Zx>~pL zyMS-cx<j>v)oSi5taB5I`u9J*>!Vlk=JK1<uNp4>J^gjFaq5j*F~Wz!=e_maQlIfj zq&y{UM&GaF%57F&x>rAQoc84DFU$WUkn!-|y#)SqdbgSwWe?wRohzLD%y=qa`i<i3 zkm%ilnrjj~r+j+pr+p#NbJEIlCyws%TRFLEdhBVQednFBTxyvL12h7oRPW_&mF5YZ zCnxpc`YO@zE+NmfTYYuEOIPlhW&Zw1OcB%Jwz~xnSGt^Ji>vQ_rKE50vEw2~sZQ=~ zDFM?gIV--ao1=wNi_d<F{1W=yyDHGyQ_at0@|O!=)Wk1Ux38#oetgPm-qqI*^B*ug zna8p+vvpmH+^)1$&*FB-_qVqmKcI5m+<k+KLEK}vDK-|@w^tl~&Eoz#F73$OzrWUU zxCG5=v}a7(JMF}kX-~{&{+nHPr7e4f<V52{#(*PBPksq(D!Dst(e7)VnVSELl0zP{ zYM4jP-cV_OmT@7&k~gVc7h-3JE2#NTF#PUpuQ^TBIm)<CSZvv!O)c842WO<W&H4W7 z;4XH*M^bOr_ZkO>Ph7HnkAvt2omh?Yy1jd%KPOy$aEwb^Oz?|^t<BUGnqq6uDLuQq zf7?xIh5xTIpB8%0)aPY*d|YYbWsW*~)xVM1`^>uT=lLwYFfq4BCCIoxE#Y`{_Pvvq z^3(DgI3HDQuDW+2VbuvYHjW*#ZiVkw*9!7Xj&<|=(&p6qDAnoSnaexdl?oo7DqkvI zx_d(Qr&Cc(woKnz^_mm&MT{<g{kUV%lk-=Pm*p}hSL<zFuDmT$RkzYQ@ge8CCFhne z(fPpsb=ynE%-7kyrkfmjj@rcB7r*0vt+A~kbNj}FW(OB_Fn%?=J9p04+c%$c&ptUh z<f_t*wNH&_{a?pjbA0;@J%<hNjJ0+Bw@gShNLp2Yu1Idl>zCIS3CbK~yz*;%03*Mj z5F20MdVL$wXD4^9yciIx@n`A0bMD%0r+S6<i|kuwl(vWWgOvBC8~Lh*$Hk`zy$=7s zbBX`jycns)|3eqGO@I7J{n%8t-qerRSF+Bk2v`~VU9a}(+WQ*(FI1${CdLX_g@yd8 z3D41Sv-6GE7F7D$!b5k9rp5}MQ&AlUT(Z8@eh<ygw3+ej>V%m6`%bIe3Z8UTU=K&u z@vI8rL=O8)D*rdWo_w88IxzRoOR>J|_Wd(uubipcU9MxSJMnl=)UB&4*uq*rR2)3G z`0Vd%c1zDJSn@wa&FHbo4bG07gOMp)*9IncJrL!dyyMK#)Kbs0#ob3aW|VDA5Dr$m zd~5BM`?kl7_TS#7wBWu%cdhB&xQA^A{NB!6V=JO;cWPOUlcw0@#@(^UH}(k~G2QW| zx_yyfYE5C9SUeMpxwW5Z@e|3t>%W~*-E3&f=D?_Sy1Y+v#Xp6L)4DTwSOiw5O0njf z_~h;oIQ!}5VFq6<rLErYV%ATtSrPqwrTl3P&p3aTS@KU)4(T=o%{cbtSLi<O#X3*^ zu5)m?HBYPH)K)X=J+FVf(FmT+W|_TeL6dxOX-38~i)be{S(RljlkzNP?_416-eu67 z>&9|D-sIvJ)iS+_WlF4fzuho$QwsVM_N8}2MSy8rw{@8O*R7Fzm0}LLHwrK9uw3ju z#nAUg%JoMYkD_n0@9D1%{KLw{{JCPMp+XXGQ}T9a=FY__>RryU+dXCqSQs=NGP$l9 zdqdpCH#OQqJ~83$z4A~EbNQYuK^|sVrIz<e0Uy`-e3kZ^;NrQTLC)df{>sZev)B1( zFYP$=bH3v4if0im>{}!r@4S9&)q~03m5(W0OW33KmdmyGX?vgb$pz+<((=v}i)Plp zSYXW}CX@I5pe#4HPV)TMS5kN%@5t1YICb^z#66Q=TYs_dQ<&6!wuY;<E#+eQ;S)ZB z7k3)GlR3le)7DTR|L16XPo=`@?baJl7}w}^R0-`g{CJi3$%k9)Vl$_1d9+<F$Kg!! zrJJ7;HN(V{nXDx|qf>AFElvC>`~S&bF`wuXwgYl0C2^*LyLY6Y;5<Lwxu$F8JeA;r z8~+rTPAWS_Hn@oIpAeoZ`S!Vr)ojas8J_cx&8+z9D_QyS@B5E_JwL_y^_ZBqwYJOp z^{9NhcCh}lf0Q}TmEt>zZxiqQWLo`u{)%$vI~;2st~xevCyRahzl=k*)t?!^Ui!P0 zzt(}dB>RoQ1CirX64d55ADo?jdvZQciNid};Jp6QiubvnYS*y}&U?*jdnzuXdbQR3 z3vM?jRHiN0_+<Hu=iU)7k0@4Fu1=m~j0qe6dB^r~I%R7yXWWdDx~wH{>TJ4i>#~0* zS1(gu?wi-kB-73xRrUP@+cR}@HFnW#-}NhZf8PFS%D<kHeg7`)H$B8t;(Tdx;EKgc zlXKidL=Sx5eS#q(XXo$v7AqMJR4wXfsqA_8&NP{IqoJgW?bWI8SA`yZ{@(h<J<E_U zYPsUfoCR^3w|9hAF#LVtb>qwK=;JZlrc`+FI!0E$She}mjs4CO+IF6neV)%LwW#Xm zN1lX+FDd_+Cnm_=2upt$w<BYF?UK6JO8csLAFwcGlqG*CzNMOdcD2jwoEIDyYL>r# z@JHc=fS1E6LB6SHHn2?=mcIBS>qp78Hwxbmzm}0T)~$PWK`D&8UU65v;Md+p$0p|G z-3ujbwTc7-ORemtPr1uCGsk86U!NagZHr8o+3w1`$}XW~xvH|Y;ug>UiA9?(DjZ4` z`~7yY)=y@m)TpORG}O19{_Q($C#(4}!?zlxhu7%se4+e6^Tqs4`utt195phv-@c7} za@GC#(NyjSrKfYOl#hPAoAB(;)1p%We`_x0ocGK~D(}&o;IwM}B@aEh337{c=d3+# z-~GTMOK!JzS)<aVxMxpqvAHj}usBmLH2c#1KDD<i3fUEJuY6bRe^|imY*NM6Ri#r| zQ&V`e<2ZB!@&zllea)D*M=3%zV4l38)1itffy*Xcyed3r!r$*xIiB8nxZ?_kyxgG& zvgvGfJrNsBwbtzFa1T2ge|_FM(PerD$Me4%Pdb@2{WJ5wviTLU-41&LLOVhZ9p5DJ zYx(hq5`jwkyS9H?lgaS&(=*YlulScTIBCs#Vc;d4z5R<>`-hM%_lzrY#5PReSg^)m zrQit*zN(Dich&rAC3k<kU;WMRba=PP$0@!aoKFcS6@1a!7a`a&&-2XI|9&%jB?Z2j z1w|{*+bWe)>+s^ORdsm9^83rCe$onh?QCJ4z<fk?iC3vh&Sti^(V<swFZz6j-KVN< zsn>e1OCi0+Jl|E<a>b{u(cbyb_h{MM#=T$X?%q+`xib9NYG&z$Z++|DNHq7^doO<L zxu36#X<3zU;hv)x*_Nd+%(J#Cd!g7~xmk;C((0BHQ~jkU0;bEa{_pxMOv~lJwc-oD z?Qi70^`>2Kd$h-YLXh@#Wj_;R-4`}nHCW9VQq5imEROVu+i1qy%@tLbJ;yOV=|y?s zk|)8(PNh8h|5B)0ugAP0T~@Y5NpZpcGY%{P|K+u&pSrJ>RC((AwYKN>8=U8!%buun zgu|Roe|<gsqR=UK3o?ErzBIa-DPUpodZIu|m6Z6_qdBMcypgyQDZIlvXqWvT2|fkS z5RW-(rtLoqX2~3LNZNmYGLPhhbLPf7VvaB?PJezpK%`hpyzZz_<J4_-;<gs<e#~o@ zKFT=!W8IT`2fv31y#28~_i-DC@>$o3B6c^e52`IXSZn{k^46qilYK{C$K6?LYF#B; zH8s|Bs^0R$Q>5?DbJ2OX>A#tamU+(7eJ9kV+i!lpn4ub|RX8i%@b(&pEesEhBowdS z&Aa_-)iGgK#WyAABU3wG1@E0_c_w+;8=D`y*Y7KPbHe2B%}L>}1l)tWgw(gNx9!<8 zx9z3Xln%#wE4eL9mMcP}bGyv0+^{r%w&}~Q`O{dhRj_FK)KvXmDcd_;|CGPnWbOqs z>Svv@bq=)o`ETR2oZT@d^Cye$mfrR)c<SfpV$VOM7pJqcO*v+iGNby6kJ?qgCr55A zQ<BgOveDwY^?r-L^7bcz3fT?Qbl*)_l)KB?gLzUpR}TA6Cv~My4>qgcJ7D-lwqrv@ zHv3|M9eKK(T)fFF``RCMZTaQ*YNOTG9XE?CZ}p!@di%IZw$}6XgIR~vB=Wl_y|wl^ zywH-d`Tb8D7oqHZJ69a<pV7*9C11Mszo$yK-HhjFTIKH^N#UI*5m&Hpp@(MSBAswU zYv0C0;<CH<_y0(GcSfhx*)?X*nq0}7^6m2<#m<j0-E!%3)jIpbslGA0nm=kx;8*zf z;_x|V$)3oCAFN%!J!mn?u={z<l3^adaOay76BK_1t$vqvfBKe1=?6~4?1@>Po$K>_ zHQTJY!e0#SdGGyLJ#$*0tm93`q!qD7nWv2W`H#(Nv5jkcwe8*y<3$&*Ob}^3cCzyG z-pa>Go0j|aigQ1W6|`E&#l7bJ6G_*sopBmHyS~l||C*L8w#@OvLeGgzcj~Wl_1fhu znLc+C*RJ_{r+)}j;Octg=iFRBzs~KJvGkO+rMJ73*snf|W%Qi5+3DuC#h$+^=BC|= zJF|yZn9oytb8b&VG7r0!mZRxi=I0NU{zP54^<l@at<(7)&dBB6QE^6&QD)B_`_4$y zN49gn+<GGHwO;bmzwFnm5+W)S<JGIT|M1W>kb2v)Do{4};Q!y5-kVl!{wh}_ueR=f zOZ<bLHzo|5y>*|j&tI@-e%aJjv%aN;6`WFGK9ILs{rIe`m)ma0Z2EOm?CP9+8_`_9 z-AC>)<|*2)Q4SC(V7cm%`p&H?;MLnt*L8QM<|eBpaqvV7WjS8gt$H;<;pb(O?jMbf zyIoKHi&ea2C^B`2YX~bdm%Ksht3dWSiHT+^y}y<<CrBxszWL>r%g10v>H40w2!@Zd z^CGTPZTow5-J-lVslBVd8RjpITdDLTDD>{Mw3FL=++SQ>m{*vfbIV1yPP=s(-;Zmz zvWsTvIW<Qe|GeVT!GzNl6$xRjGoFg<xw({MoeJ~Phua*!+|8F(y|tpK>r099mn*8X zJ`22D%s)#ld{TweuH=}T^X44-BG0>k|MRQMruj9=0$nyz%{*o)QX+YUJfD0kRYjLH z96PyyWzXJ3@ki3iUA5n??@OHZ^}(D+6>E2XRWDTyeR*h$xlYy24|cV`*p|zz6JkES zo$YqO@?ZLeJ8p7YI@(BWHn|k_Y;x7rRo_#pav#Y`g?@OnZN7%zjKg*M6{|fqckNGF zpe!Wp{ngBJPu<^eQ~uo=3xBj-oxy%1QuCoek)~lcgSLCc;@!ETwM7Q%duIIHxO!im z>cOy-&j&l07wCT7@N0J-qwZcKj+JwFnKoW&4lC)`QJJ6duq|eJmPDP~x>Ly=>GQXx ztUC1hv2cCsHMtX;HGR%i9ITaPzsjS>+H3mcajLc4k~tUjY=3UP(WCpU?1W%o%iQbd zei+H#*t+$g!;To{<j&Wef~w^XPvRRUi5<Lq^7B^ChVLcy>rQj)nu{-14~YMF>i41x zmijNBX**8&^k{E$kPWBb?u}<0vw!ba|Ld(Dx$$IM9dmigzoQL}?H(+TmtM+0E4csM z{m19^e%9o4@D|7&kK9uFS}V8T-q4O;{=CPblfUmA;kYyR<-{LdE{!*q{?Ts>T&L?) ztG&&;Ugm5@^sby5=3`sEr4&^U%+z7s_?^u@xWaa`r*U%FZgZ2pMkh0xnmt24XfV#1 zGwu7V6P0}lo(p9C1)l{ySU17c-^n`eX4wh-266lAIempoo*n+g+1i!(%YId4>9uqh zk3z9k!bMBga3$(j_4eKI$~N7z=lLA72WlC9T00jVm>vJ^>6TmP7?USHG&Whq^OxIO zO=<Re@4TmC{}!dqdiGfIyw}z#GmoAQE-F|YIW^_Bc4mZhN&Q#;H!+bm9si7yxx^Qr zeDrHy2CK|>r`Z|P=CT%T{J#6~7PE5)F8xuDk6XXPHdp?}{qHYkHKmBgOy6~M+s40l zuFQTEFmLnS*KN;tEV+L8ijCWe3F=CI`#jce3tt#_ULZw#yZ`pvZ2l93T|cc5y7aqf zCC|0aXE)Nl!cuE`YF{)(@rJv5-R-;cRKehB=_{9+o|9N^1y=EtsZF%quCn}6!-KS$ zN|Foq2gIv*aedNz^Ux*xRfF*P1^gT;JN!0Soeb@r@?v5K<5Qs@FFg1b&bhg?Hdy}O z>pcCQgMO<R9Q>E{US<h6Z<WV-WBN<2XHmuTj?By1_mz!dc6rbhxygD$=DqsnhA~Zh zIG1=wvHo9CJG1u*<Le~0wNgzx>u-JQOZ+R%BARn!2gkRx|E{m(9l0VHo?dEMcYdpx z8ArBN`=J)!`r{s^CoV<o@2NO2Px#pJe;F@3x9hN%tM6dk<$g0t|A(~c4aJOrxNY9+ zxb7!k>X=vKnO^n&)Rx@s=hNR@4*j&;UAy97f!Mm0VZF6ymoZ8!ADy3;`zDc(d5_oE z*G^&O;X?mRf9(7lm>lZ4_;|-22mW147Z{e#_;LO%OLK9CS;oxe9P(z7-(_=y#qz%W z=ux@h)D${XQQ<>)b2#UY%@4ww53bW`%y6!h&D<HZ>}TlA!}`xAG%j~sRkPyF9@{BT z4E71L%6z!({o4M!(_Ho5Sd)x?LB3tN-t{w2{L5ILu;y=5=ShdWDe+6cto$pf`J%#L z?#AooX}a<YcPx+;nk?OMw`p4P_mB5ljBNh>FxwPl-E=bf|LawI-x<zNw%8<B_awWy zK-Xc<x+yHTBOkCnS<J>fH=rT$OtXs0`q-t@Qn$^0ZS45?*0)DLTXy&7-cXyupx&te zbeoT_@cxwr_E(oU?z#4)tHO3`_r1q!*B(tz6<)w3A=Uh{U`@iQ#Q#T|nE%WTY^+?w zk;?m(<&L=NX{m;$n9qt|T=sFluG$eU-`&RgxXnhdu1V+FTlQb=3_(++_80E(`NVNW ziTzJw-jCN6RmZbmWo_45R%xa)Iq~Lthgp@}(uG`_{qIT(&E5y@JFvy-bA037jmxJ@ zD7tZd#kJL{$9;8mn)e)@KH1^KOC7Io=0>c>@te*)OzG)fGI8I<h&AUP)fdlk-TeL! z@053%dKaBo3{G=6tF<ulM(}7Q?_*wKyzP_sn#wr>>HeY<okYu?G}!bk{A=+sp;LQe z`NX(m0na#|Klxa;YwE*3HskL~b!n3_&lK=&+F0hXwmi*jr~eU#O^aDM1(#`^nW(x< z*iEhLL7a|wYsOBkB7su-herF(MQz&u^qk(T(@}*XTPF3qS;M<UHbKVGe{$#T?3X__ z?s|JQX0rOL%N7f!ukCyOGE@Kg=FVLC2IgerKkRuo+MDB8i(aM%W!GBoo|(MWHeH~l zhC_APp@s3sJlHolZ&kT$nyj#T*)`$bvz5`hwqM@bvo^0esL&zwrplQ4P)qbhm6f$S zKNn`N(4SYXYI^2E_<D`Xg-cqmDpdyYN{Zh3o%-Z`xWbzIwfrXbSu#3L&CTv#xN$3g zkEX7$l9Y3Y*{t2o>7Qq(Y`uJ7?++*DdLdC6xr3??Wh<2*UR3$H@z?M42M6w)++tG^ z#dve^zNw}@P2~*r>tfr_t~~nnLz%DD&F9r^X<LpR{Jyb0Gth5uVE*N{$wKm%W*P;t z{_DG%D`;_{d*1#ny61coTtBogPqH=O(!ZA2vGw>n+eLpTJWo2dyHojz=z$waGkZ0K zEyU-!#|C^hzo>qpqF(a2dsn8bLLY1PucI{retgURs#SP#T)f2gydt1P_i*r;JzOiF zobh7mD!z3y_H(2Anb?y-ieLW~$Sx@eHkCZF)-G_-@y`~`83C`BE6g|}+b}ccE8i3u z1KB4vO-3gKY^%1tjaHF(o&8(dsa+<|S2}9-J{cP+?H`O^jG}|3)8{>Y&EL}0u|cY@ z_PT-Txk)#@-`~~0vD{O=a7KJb!>-I|-&dD+&5oPZTC!Mk`E&DcyotBus*>NIx|Nb< zSbMU)`&_`Xm^+80&slQFulQ}PZ+Yea`rxg_g)fDsue*3FPeOuy;cFI!cXM4neZ3PS zw}i*Bwsprclg}%+9@?f@@0r}5T*<oB$T<APaVPz2YvyRF2~57Bx?=uf4{pbWrCdT+ zmL)7yVEZq2B3aS@%&K)~_ZwE+w!g5!e2?{|9qCj1l{fJO_J=Wj&wtl)`n{ghy~&zo zpOPawrd~<<v&4vV+Qj{GrX|P2ez0CO`5bV4%i)c?CNsah6|Q#0;qBdTeiL_Z+|s=; z;S-<bjQu?2&t4qRZ7ux$_@jeXaGgV&f^W3v6oxC(7d(5mSI*luts>O^(Idy$xMcpt z$zQIwbNhB2uQ>Gngv<)I>Brm;Px8NVPOXz|<y#r;2%#68mBP-F^SSD0zmDrF{P3Yo zD(AvC`|$Ih&nq;oU3Z)>v+EUi-sg=Q=5WLm6zq7!EHNdWEvo9<Z#Ef)7u)*kH6E<3 zDu~RlO=3O9$m#w5$e+pHp1sve7TRb%{Pd%FUca{NlKVa7mxJ%k=f5e@b=lnSF7J81 z{*5m`9rXGC`m>ePHig5cEV-XgKTsAd4|s61_L@1<3(h&Udylo-YsPJT+BNY)#hPEU zcZC<Ze+!A4e)6Gz-zA?nDhD<u%XGfEcISC~g>hc?Pl2PGCI=q9>(`TW-sV<Ygu}JO zOMgyv#<AJh{_OWZv$a9DtuSnsZO`Tl=6C0`U*Y@UpYltFkCER~cxKyw7X8m%>Y*|U zUNw6C>v$Hm{5;Iw*Z!05V_I@o&aPD12`m8?E19hn#p5=7Rc%O;V>52}Zg=a5?9BAr zioy$+K3CY?F#l=fwcx4z@>-#2-k$XS^lc?mW_NtNVgL5k`d}r|KllFC&H8OQf9^v0 zveV+;f`2_H?f9@+cEUZ4=eD{^zt2p$_t<-(@z(n*)_B~oy|JmnNc7E>Yjdn4o$Aj7 zYz}Yv6H!)D#dl-&D#r)Bx!dnVE&r*faKPiDYtC#(red>F$Mj5%gwwX)8KtCRPENJ& zpTB#T@zhCds~^ccZ_v}+W-C!+wLG-i_fY(^eVV#`3?*ClO{_i|V5V<xbVFfR^YWLU ztkY+TZZgewd3rN@p~<2N9&316W-@MgpmNSPY&uVcq~(VjyVx&IS3bJv=_gUf1j+jb zwfz%%>o-nXRsQMYfri_xOPF(aF3v7X(m2H{@~-FSgJtrMCRs`zn;+M2_(D4Q{O?a0 zyuB4-f|addcfQmsG<ch+@81*3nmga~`xZsH1INQo2E=f9WV~E{#`!*fxXRM@Ji{8c zZy$VmQ?7<)h$?-|iS*2z;N<r^bBpNq*-xIWeY(p|etzWUEqXgo6<$BMZe}X`+P&TT z%BR??bi7>e_;LOFyC2p}He9rA{!`;E_Gh{NuF~T45?{D<*4g-lv-ueQvER+mPPF{8 z_Vy!b7t3Xzr<G?tz0VcllBMAFqd0wraCW}^vK!}ivYY#}E^FBm-^^yDnxFFWpOeI% zeeZXB*j-U%^PBYNS9e68xXRpL53Z@jt%>(cFyT@uz3lcVO7(XA-O3qTGvlAIPW-U= zaAEq-a^vRx3)dL4%Y0;)=J@9<&_DCYr%!?+$w%bty*_PKu$x>dqi-6{Kj)>=*+*JS z*(zk```C54rAaTDW-?2Ule7KzUHcG^bWQ8hz+c^tzU3)m(=^@Jl)TVYES)YGu|nMK zyV(Spu08)|t-gKeWxyZSm-Ci~n2Ku5xP0O}N8oYs;xgej38A+6AExCTJbt6a)A+-O zXKT0qmd>C3YjKjRy4}q5Kz*<Cn=hQ1cW&EnxBu@XrH(cgUAdMQ_wZN;w<;SOgW*q$ zGf7*zf@-fVi|9JA>tf}Ly$X9CN4wvi^sZ!vWrgB@*Oh&@cNI(jKJ`a5??<Scu0eT5 zxftu=X-w}=%50MT`b&Q6?b+)-wa1uV_j}_}`Zn$P^F{k7o&C|)_U({d4dYJ936s=4 zHGZuvpI2*ac~@Ix)~dbnVpI11Q@&ssv~KIPCkB5%@C1dPO`81Zbms49ueGP5lYH7# zp1jWbW4SW=`|+QfW7R5hIsczn;}Dlwzh89!OFrX!C2!lxjNeqr{aVSDsxTp8rBLgJ zALlaeg$A=Kc~7`MZE^c@w@v#RJ9V;MZzMdGcX!Lb6T9eB3j?o|>o%_RukXrF*&bUY z+s~G;^grLeqZj#h&tbXerSy2|#_b$@UMUxOvZuC*oNBy~QP_EJ<;REJhi2{mGh1NY z%m4|CLxFw2*Y2}k^7c~xhO>psdJ0N=8@brKDrLUq9@x3bTXVkPrs6G67r9A^m?i&v zrT5_ZzC(U%_2*3HTKa6qR*inYwCkoOP4!*TVS=Z=W~iS~s-3R?>cEn4x1=pU{cG-V zEYR<XJN)%^Qr;Q=4~6?!ZT|NyJ$;nFROS83-Nq$_+9wNTTcjtRUBBk<e6F>@963&r z&mK2zjMQPhwL4O;t$5=*UF*x&HufA-5ezBRN!lg9zM_3OOTgcqha)0u+jDBqs6T$Z z&G727U9r)nvCWRIQw~3|u-?zVd)~x?XQnP^8D7uvWNP-(yd{46zVYE1xo>ZEzP-zL za7~u#4`qp6{%?9L9{rr`?R`m|$4oQrjP+mF1O~yqb>G)r74>zxt}^-lm!8%)5td11 z@rycA6D00-9CA`R6v}^tgXQ=dMVGVhbv*7CZV=!8At1}(qs)xL#3M3O3PKp}F1wMT z*Z5`P?$_C8ZI3cPd@Gio(_;NR%W5*$Z0m`K#m$)SKl^rrO?JAg>mH8@j=9s#_#^U< z?0<4(9<OiR*N(!Ek|s>Qe#Wd$kJpl3d_&{%=0onced{c|>X`p~S#s;D^x0fUPG|lq z;#jcqjV!l?*tKqvWh(itp`4$aZKK^cButascfCgHr(Tr%rw~`~8+SLb3!E;@e)`AH zxb9bAZYOV;%73>F(bv-2%lS8nGDVlSTI^X@<IK%__H@=Y+jGK^p|+Zhv!!RB`tf5; z>LG_e&CmPYCK&GV3S+K+Zp&u<WcDVdq95U(!f#zF5xgyv;9mP~WBT5$DlOK&zgFEU zIVZ5?3MWeo^GP+SZ9H@4*FG|?FkfT!nsw6olrL)v`o&J`Jw6;?e~H&6nC;3tkAsY6 zEFC^YPh%&Q&HN}(e@eYX_T_QyGua#W?4Ev&(dfrJ&YYdcCOp5jFf!s&UPa}plnG(s zW-dn8F7)T0d2vc$b1QpFja7<QJC|$5tu(WDZ(gMeb%mY0JbhM~>!+-v&Gq~1tXsI* zHmJMRZ>`{ASY`ArnoaH7MD9n^*RNf9-qc$+V5#e+%U!IxAA2XHZaZ!7eD<>YO}$mp zMhZ86YI@(kpu0(k`}54V@)~C|bWb1BbXy*Mp^L#`)}u&k%lq8+MJ^`}scBg5J9yqk zj_>1N?PqW8|63aTPCxMDl=R*CodPM62K-%jqy&__OXmb#*3yo;$lufNGs&rXnMlsk zh`&a*8xA=vue*6TNUd>y$RCx5TlKlzw=i5<J9%L~gVYxXkv%q|sk0c4?tP^laQ&-Q zc;m-?1%)rq>??^m?|A2bc)%{Dr~F0!-gj4<%oRELTyOV!tCf|r*Ot9l!0^l`(nPnY z(xg%N_u9F=2M$;4Ei;-rG3S@wnn}UGmRe~(jO@#Mp}cwNvp|N<d4essI@e|dX|*^Q zdtE;NZ>sx*TN5Wnt<F2c9D6Fos=<pd;h352y)(K6o1ZU_@h=m-oOJeyaiV@&K-K2J zLn|*%aB^5V-{yB8uk_4HC$$om)5gbirXCba^t>3r_&}lHe%kbl)vnt7ZHKNgYo#%@ zKDL&NDs%gEV1{dRvy;EVaVOL3Z=(DjH9hA)S~;!0mG$Do{&g8TPgkwno9ujaL+jt$ z3;*7GdvI~ri))RQw_>@jsHsUTN$i~yZSzHdaYODMmF(vRYg6LuSe6}96n~PjK{05> z8G+Ab&iVK6s|lTL$oGwxsC%~j;^g*EKLQv#j`>WBaSNPf^*#TXkx^{M<Gfdv0T<aK z?SHGE?a5^-Qhfd~xJ5*uT>9vPuPfh%i!XK8HR_i2OjL2+bk`#N&f#hI*2!u%%{=;( z<>a*DmDX%?{zpsmD%u5Jse02R*4FQ4`JpxBh4zc;3v!|df4J$*-Yv17YdzB;3I97A zf@jrE%sI>;<#Ju*9mmtp-%rf3oLeu|#BqFzujR)XqJiqx{|*Yi{C~5<Sw@iQ@btUs z*TN@9ePO-yQt7+SF4IHO*YmzBdd`2I|G)P%a|cVR==8en>h%Xg<RX*fH9BSq)%rM3 z;oop*wzo)ol*y6%b+PhdPHyYB6?(8t_^@eF8C&sj-pBnt-8MbmnYWG~+fsXY$G<f* zWilO0dK9h~$m^}Ch!);<`iaXCAJ_LM4z%R<uasGEpg45n;ln}Sc-95&C@?Y5epWZ- zp~O-@A*GdHRy5^n_jfR?OjYR5-ZQ7!Wy9{Q_Oer-%KyJ;KdPku)TuP>*h7T{AD=#d zzKJWm?E1V7*A=;rL_TIK$ZzY{Hve}az+O02{=qGM*5Cj(r)?SUPjicI)oc2|{d(TJ z<HxU@v!3|9kkjjHg!8uU#5dEmdOp@J6;|cG_N}9Lj&KXx$rIXr5wazx|8%N4vAVGf zvxI*7BlPiqi(iUWy3x~Y>8WQs7&g6}pmyESbm7bQeNtJ~3GWj^HgN8~tW(FcT1w4n zVavS@=PX^Pt@?TAtwCtU?H9#ur5Wa(rv?6sbKg6Ca(nrMOB)}~nRy^V-0youZk_P= zN{6WnLw}av;_UF|FF8F=R)LANnWy&6QGrEmYi{g+lJT!tjqPvRjX%rp9B>HUDbw31 zJ6q}WwkFHXn?LpT9{II+?M73l$%iwxu>EhBHvK0j^=)C<Lch4=0F?<hcYc@^WZ_}H zh<(Fy#jf|e7k242?`-h>d+6buLLtNF1(Ud|>z-*ZSXJ3`<gVQ2pZpW*v{q#DITW0G z|C05J*NKUe{5g-6A1v|{*kfp@_weJLn$X`1zA-0W=90H7ak;wYlTy~{$@MBh={8Q8 zH4O6~v)%SuH??Yg{PgsjpZrtfmOp6u{Bk>MjF&`)Wd9zq%WQMsS}iYbd&hM31oM+7 zd7f|9Jd@P+2Q4h=iT&vN!f2P=rS~dMb)75H1h0IXXuN6O#n}Q{uNQpUlRGKHTz2i* zq=p4NEx8d4|GL&KUb|&R_kGsP;|gYnueS9qIp+N8;EIoPCWg-l`}B7{!;AW#27Nb| ze%Tq2!yx2*WMbGcjUtw5&l_X4j{Gdx`zcmDP}#)zdfig?nn^n*D{k?Ws(<LN$?tt% zdV=yjnf%2D#%a$aR)wuvzT@ZO_bU(Q?c1o!_+M6a;-$LB^^f*`(<-?m64NWIbbujc z(^9kD*B92FjD4xHVeKlL-)zdSSWX-K-PZNbld;0O@JUDU>?y*@`#+p2?k(pza&SM- zlCM5nH*++&oPT$(UF~=D>fAI}*;QQP7meEE^71yfn#S+DC9!Px*^*NiKJiTm6$%y7 z$xNGLv?X(neLLd>r?WGe4eouaR}@~SlrUN0uh6l8gBoHAmB)5I|9!0C%Ay?+%v`y; zdcMWG8WmRtx#$;0AMgI5YHGZ&=l@X!ofM8)pI2Vgp53~wf60Hwvi#Ts>t}tsUAuB2 z^JnG<Q@3A`75{1PdmyM~4(Ht8GM~5@ug>b*;CEDeanr%Vo4FNVTO?J>bCww%dd?-l zD1OI>^SkH)-F+QtpK=&473&5>Mu?hCH<@-ZCM;)0!_I)_7YBFR<OVHY&=Oa4<I@vk z6QLcQf87M7>{_ilgLEIBZf9wJ|0`VLLy1at!ZgnvA2f5Ax9^d>nZBuF^2e+-QAyJl ztOzp-kP0-<w|Xtcf1;X0HL^^Z-I1Sfoxq{X3v0iG*>|_RJ)f@Qzr*~x%A7BEouoLF zw)a_mt<?TI$8+C@{^#3b)~UUEb=4}~_4Cqq8>FB8Xx#Dee%PcXKeD~ug48~8y6Q1o zn62DtFzL~S%7<H4$w>)5lnI;dlFYtW&&2SO7SD;gpD|*Adl?!0LbQHHdT(;E4KmG< zQ`j5qxHO}PwLY`M;D+xjGw(%BF{d8Pzvs6t-$7|++ku%JTko&3K3P9=rB8Bs%p*OH z<3SwjZ$9CjWcK_Uhs-|5PeF$cTwy7F`{>)!9toa95@trFac?`<7w9jm`uvk=fzk2i ziGr7M8}F~Nj+&>r`%Qb>t5Sj7d49M3rb$%=u4=HEFTwxi-|eXO{!eq#mv44zG=6i@ zYMz?)r8pP!{FCy<hVOn^x5l1&a_rVL!;}6`Kjvz+t@k#$`zj+gmvfd`ZofhMqn&4# z`hQL|`v0kzyYK~Ty{z{<_u0OB>wL>}%Ra<}`3lv|zqcmn`zj7g$K@KYXCGhn=YgsY z#|Fh&YXYohtYqiUIDf}z7ZZourXaTt&sEu5SY8)BzkYvdj*78H_WJCZclWr*oe=$F z9Gb**IoNJ#QEu{lJ&VGFqPh?7T@Q)-vFX^y*8g?c9h;8@8?Dgqp4N5Z59j-D(>azL z@^Vi;+rcOnv~Rbh<01vc`gh!-4eQc`d~`Th%lC3Do0uQNy@NBAW5#PeUeVWHZU5@t zF>g8l=9|Vc>3a$L*_1W5{?wb!Zade-`0Q;H)%#}ORL>}>Ec~SD66j#J+x5XzyW{eH zk2gsCYM-WS;w$_0_-4-9fYNwXUHLOSCOh<}W-swI32;o>v+nbf@8K*noL8L?;-2N` zr{BeKF2};v&FZ|HSlG3is+UpWo^Ep|O8AMmA7OMiI-b6YXVHJP+{wY(N9xzk-+1X8 zmsy{j`P+A?k9V(EZ>&1K+I2^V;NrZ5^Ksi*o=<A7IQrz7N}?h6jw_0ayXFUZsJ^HS z_$k}GaM6xc++Pp8UfE_a*+nyhWBqHthj)rk&3?C~;$PFX3yg1~Jf!+VkG@#?ezM`D z!;dBgaSC0F+|D;Gxv9}ldKUAa!Y{oYpPrdyiQh>%cW_&jF<<5ERW3?}?TZfSp4+c6 z(`$7mAGeWAtwFr%vHNeY`(%k8UX?N-PIRv6{wW?M8?1V&K7U!plW_0(xf_RTH=F(4 zd?8u=>dxJ-qL+lbO|U)c?|ekgtaa1JNx_T>V#jpFwPzVJ&pNwWrzrc<{Y%nP2kj07 zHEj8BVt-<$rro2zY_;Wo|E;pK`n5<aEB>+3`C}8VPfL=&8uKH*!tV1V10$YeA!Tbn zG&lSGNJw7rr>yNu-1!?Z5v47=S0^N!U$~w*{nW0eN2ih&e=`paHC*)La$@&~-m@W` zim&(A7Wy7J@v2SniKVLe2K$Z}-ih6d@_IfUsyY;<*0D3CA^fIxsZ6Ub8}q)kr(W&z z+%-*mdDMjIMhhOA>L|Nuo|N=G-ea72;PAiKH$*49EuJnK#^=1c*xX`|>oWW4yCz+n zcv=2Wj7z4s=Yh*V<bvvU`qZ4{78eiv@%U%=QPZsT8zT$6*)9H_x9qnIu-F*YwMSYe z|I|iL4h8n_-IFGjwz(*5+&|qW>dv#9vR)Sbxn94^Q~7V}RPVf(z2)t8XPtF(&uT7c zDd(JCam|5!*;>WrpI6Uv-n;CJXu-SFKZT@i^!G-0PBmN{*|?nNhRE{NW2|Du(JRh9 zRr$(NdNeh6!?j~=7SCtoy|q5!EN?dV_D_RA?zfh<7Qs&@zf1aAS@K=x=ggyX%ypZ@ z@6Nf#yuGgC+|}Yd{sy&M{3XJblhQnmRomH}&E++B|Jz})Okee=`<HvxJ4&`Jkvo2K zrcfqJ#P2^RbGK}s$zRX#+st!vZS49_jW=F>e>g!nLe2aAlZ_9|YuvnJ6PNA08NR~& z|J2qe+F4W7PUX%HxLqZ#_G3czdV9zHU6)?X-oe51>xbi`NuTHb;$!tL=~LT#;GSXo zLD>^MeTvGOXFR9tX}D}{fADc}&+|V;Ws;U@k~!Hg<@n!E%kk*#`^RUjb#{lE|CJD{ z+q_mCaz{U(n7U}znu?F+IT~p;IV<=wGi|1I=ET+QnOQt#^39k1_LoYR3RHj3V^o>9 zIsWMslU5$piX|0izvyfG<wprAuQU5%(R=*;lv{i+SH0q%t$OcgmC*Y>zp~T+Hu){l zx)xkhsIR$t&n?Y=CsVuk{qgkO6=y5_<aEnJi_7mcH`SW@`Akmxbn;Nt@~>`du1H_W z`ExE=J~XfHe!)jpKfP7c1<MxLssAas_5aU`s<n52ob!>Aj7wO@{Ha#>h^eLb=E(^& zLO1S~uoHLv_ao=ZXPddPtF33xTd1_RQhEL5*i=@D`nS%^Glg9W;*Yj1?%&J2Hc>LD z^~q6*>C?}D@(Xyg?8m9K^Tgggx+Ghit<&@(qEur(|I1~Lr5h)@Us`9fu0TvC`qsx3 zo8E0J_!2(-zWqw7`rmQ4t24T8UF8t3Jd@Cvul-oMDl+otmo58`F(@p^G;}-@SG7YR zNdLLNLQ>^_FR_hpZv2^eO+GOp{hT8I`)kXXG`jvb-D6+8wZlZ}<e|g;Av-hUZ?cDe z_*gl2kN-{<|4sj0JF|bT5G*-(Yg^T2|D+dl6i&VW^XLZu#F>E)zpNDDZ1Z}s>Zi~b z@7Pzq_6xd>$gfQGu>Gg|OQ@s$$Mq%Z|DNj|OPlC<=IU45Pf|<16><utJ~u6#zi`hi zuk#<x9&_2nY1z;J^zS3{k?Q@<n!jxBZCHA-ch^0c69uP}y{a!gnDCd!G1F+ZmH_u_ z$3Ei&OCSG{J(m6P=koU^yJTK|J$Ui$x*t(Leu-y!efCH<6?zq0>A{y$^Y(6Evb9yg zBT=Jzu9el%v%}U@_?`M$t!r}o_@}KCqgr@2gt(VKuQ_*(pJ`jbD?3f?ix*X&sr>G! zWf4i$IQ!=56295>a*va|kC}uAJy?DqEdA+^k2jem=N!pZt>c}1``vyq4*RyFZHsmF z44Mmedu(G$yt3fbnJ%SSSM-}Ao;(+N|2uom?9Kls-kxo-=TThZw#i*_pJyj7oT2qW zTcX$hjqW_A?zQLcw4MB^`JM0X>mL<?>vyU7nc9ThHO@TUA9F7=D(Bs|&w2)IJ1mtR z{C96Z{@>9@p<$DYjOA1fktLcRUOf&rsWI7F6#nFNZ>R=S{L-yVcbqF9biIqr4DEh) zJ67}d317y~RUWDbG!C<r_@7`A@b14j%VqN0^nzbjo1R!|9Q2Ppn#cE^ySS)JK&AKc zi>5kTwPv6C{ZSUTOZNO@;o4%Y#;yJS)4m-E+a`qY%)j6xskMAc%%LfNt+LLh<UIEc z_Mg68r!(WJJ7ZDKtS_#TkA-G!IaGA9%i@gR=5=CvK`iRaS2nSwuho1b{~}}SY||E- zjCS72d(D$=#KQfAjE^+EEVMkd<BsYiOZ6RUpURIM>|Q!w=WQXUwwkA#UQ*S`(j6|> zPux1nr(`Ii^P%m8fo_@e@fD`No7QDASSY64{x@^U@(mLVJs)0HRI9gKIOFp%lc%2o z-p)%AoGiXUDM$8rVms5U`wRkRr=?1c@fyyYX=k*<vRkckWtdZk*i?&Jrkf%CMZO1n z-|W05@t;RKu^}$x`1Sns8|!o~IhF-*dSq{KeZ?nsx_yf*3s<G=>nW!1E6=O#Ff8!e z-?Ku;{G;e56}OPOm+rbJwzJ%F5N^4>ePvp-f=IBM;r|y0Q$FkejMn<JTWe;=DZQ5p zIg@rWT~>Y(dBM_LeXsUx^=%t={;P;>^185>*YD2aDXf8E(<gsPyl{8I(MM$lQ4?g| z^KITKU^Xj{(N@7K>AG*nng))F$upgqig)H)+by#@YI*5Z!tzZm;a|=rU6EeAr~b*Z zBNNQO-FVWGF0^XZl?|!8cmMC*w1aWaGsdv&9RFKeR>X+z$ep}yiPz+9TwOwS4*5Mh zx7Phrn)%A1$|Aj|=TyV9!2CB$ohON;PwnRD5>XL7ZK-xQ{!!|!S<ANWlX?ERh`UPt z`hyISMf-aCMBDWJ0y^HVn6XB;Z{Z5p2#bybpTnZOHyX~lsB|xR+28Fy=6_)HGhOyJ zu2Of8@iHaBiPi_4o^7`}ZW>vcBEHYWZjbWerE8~|2V`z@-{H`@>Gpxtht7syAHTNM zJ3c$gE@iRgW5u4+adu%cH4}_=h38H%mEzp8U{QZ}@db<Q?*-emw^s^HTKHXHxonx- zzn%Zr-RGIQrR2~huT4fO%bVCG(vF=HovYq5Pd4tT@d@|%uYSKzS?v^l8z1^<Qn0$q zsmF48%-VC-NxF#rf9QN)JM-ZBTkO25T;gx{S5#`eY_OdF<`~B}g@Zrqvu|Eg;Ea9q z(@J!glC<x?BF*I`&wgEKT=Z~}`^VSH-)){8u&in7J@rBDm){93>GwQq_=H<Le@C`P zhFF=(U)<Y%?n8a>fv`V+E=Vm%I4LoKtFG#7;<T)$o~hn<egF6yu`@S)6gLl9zU13C z&1Bxd6AeFOC%(P=se)(PgADHsO|>T((H)bd1DrM;s?Le@eQOX~^rM$Cs(+q)@)rN1 z^3z=c6UsJBI25ogJnGrRfA`+)wYYd_(+(?vfL-2CEIz~pAE{mEvEDsWkF)>1La}L4 zm(HGs@>O$l%3ntHp0@pQ*vi!IZtC2QlYTY-&6k`z8mn<C;gj#G@HO2F7%FQ7UcJ$A zZC!GJ`ApJ=&sS!jj8Qu35uCJDV=9~A2Ck#iZd!BrEZ2X{l=84K`QzEYC4W!#xtpJM zznaSxyDjdj!>?7=Iq?%Z#ACREBknPl%T2D6G!N#_d-#3T`pvrM+Tykyo$GU@HL<0m zPT#(J#&qwz6LHhen0NTNyt;FrU&(~ykFPBA#gj@GOu7~CfBSQX!Cvk@L%6HLnl86) zk#BzYBiyui+nq67+Ppu+p?}(8-3wEN%ml(#_7`d@8+_;Da^07lbNcdB_EVP&EPibe z^Pc@bx+zWP?Wz|X64T|^^IF(*ExY*f`sMt<s|TM|IY#F&_ZO$G$>WMN*2qvi@A&mW zdFapVVy9Ho#`1M$dtL7S6K3bzvqH<@#nSbB9r1e)vrJLe4-3e;Dz$!bTT7&4?lOjk zo$?oBIv=?|WuIm$bmUM_p_7K>j}I%R-sL=h{B81ueFgymQIAi=u3Pqg!Fm7o1m}~C z*H!M8Ud;&nn_zCI)3{f<sbZ6d`=)J=!*95~$~b-NXyDUhQ=VPOiDuPy&$fA|7(GF5 zib?G|<7J*(vTQ%So-B0wxrnH;PNK$QuJy|_pK7*BN3YX)AhF{9<K5>OV;AXPm>%}T zY0l{if71z*y{3QO<aYDQxqbJYtJ}WiTz*+{>U>Cl*T?<a*S^ZxzwV3rEEazu*4<{O zKd)ltI__M|FFE_W^3FXArKf0{ym<3Sqh(S2e+}ghM)L)i=2tl%Ecn^L&TY5BWUkXu z_gk_oR|~JJw*8(Ex_zZcgXYReDegZrrpP5$Go<iN4^8*2-`?Gt!1rI;G*9{4Q;&N~ z#OHpM-5sbCXzTNwxmnHjxo{@4M(LuQ=@(0+_Lyf|O*whu`j*R_yyZqVJt53NJ1!dU z@L&##3#<0?XpERR-OpZMK6FCUl`|1)3oI=Qb6y>vCX?%LqhZct%D+xmRVlGoedDjs z1yLN9bG|#|?!SJ|G@@Y5m0$PeZSsy^PG(B#Nq={3$A7!!F8&NtAG=B}Vb>Dn3~nrc z?`P(hXSn*7Hq#!)IVz!hS+YGQOqlb-AWnyG2AAZ6vzw0I>`dFCky+O!zj2zx-q`al z>AyA`<vX@3Ik_FKez<p`$yL`)Ie%wbPS!|`ST>>K)bfX1IyI-?@aX(5yEac&c^=Qv zNEW*kLAEuF5+WHJpRVHnTDkV;ntMyiER6k@<T|ptN@U%*C3@|=f>qn}$W0+p9#5pj zbNDZcxBB_7nYOguGX6`;nRjp2AFWqn*vEKb-gVdi2X9`gN;4II$ToKgpY+Aq^SDI* zglzKnWn+pHDr|19+nL8!?6#^Wx^C(J$MMPAUo6u6bI(to>9v*7smOb)T|T#OePtu) zn%Et_KFRjc+~+?I?Wym57&6U^`_XnW714^~mdUJ!ahl$K-!JY9_MLJ;Zo|Skhi17a zl|6BpxOJ}gLteE-=WJ%=+WmUcxY}&(zx^CbO&m|p)?=_^3X?wY^!7bge(9IFlh?BF zdd&BJ$-Vs{ugaHI?!B#B_(GFrRxw0+<heAv=DhgK&Y^sMO47eq<?1e+d#<lKF(LL{ z8)sW|*dN!U-ZN9&e}=btU)L|rOg5LDnqKq#ps~o|$e5oKWOf%neZAgDVR8MwKjQJc zziZ!3nv`dBxK8!CrjCn(Y#{&kp4c1833c0qRf-*oy1$72%uP@^-u>lW%Y|zJEPJeZ zZbYf6r~G`IbY6?;Jg5DSl{XVim@l81cQ^06^tB)X77p>AOyg_2c9?s8tzl-{xpj5j zu`jIhZEezXyWhr$y_h#|o&`tu#KlE@`MlDrRw%Dm3=sVAsrXLN<8bLiJ~QgJZxi>( z-RZo3^9}Xf#<Mbkv%Rlvw)*S%)A!J4g$4hn^5*nEl)wG{L<!5bYiC-YZt~!(&n$_T zzH`&(<^PYWv0178H)eP(eV$z^cA?VYU1CG<>N-*Wit~FP95fPMwn^+*)2nCC1PvG5 zm}_~zOu_Gk%bm3Hue)YVJ{)<Shy6)(@f5cyQU`CG+?FoCN!%quq40vm9EQ%-YdpJt z{rxDY$h2kkGP}3SjBj-syi}h2V9O_&0J~O+<GW)0r*OB0COz8lEPT`E3Px?^C}mR* zZ}o$nStTca75!cA{^Qn%i7uxn9@ee*=w-~S`oAGRzjxlk6B=t=ZFlN(_8Z)M8&ITj zexZR?gQDwI%hzhDlP`Tfp51g->f{s4l?!_3F0OV^Gbz_T8>cxfcj8l(J7@CTCocI` z!zea?+UeAYqd5w{`8FJOy<d_$-Jjb&lgYd*L;C%eBRcM0TX%M7J-lFgx%6I$&%$+q ziCwS4442=365@B`nN11fI}eprnl}}VH@ao%_0AGof6*<1FRJOmr07`lxk^F|?LNf{ z2WD*$ys+U5o9^=qp+2><CO=*hRP-_MvP_LGOC#GpK_MQmgA89AA~-JIwVdKpAieZ} zYTQJt29di)Z#%h6{k)DOiaFYR>~@{b_4b)>*-q`ZTm_AaF7HYY>qIOvu~OjJaOAhW z$b0+#=C#XK-wm#1{I+?Logiz`hQ6ay!X9$fRv7!_bN=f4eoV$-+x%A7KIIjL|Kk6A zTV-PReO-+(kC1$q;42d~aREE4@Cv)D0tadyn0$NQ&U&u*$v@8xYl4DqWlaBl(TKfl zgY(|sj6a$jc%+_BF}*xP;QMxO*IJYJvOmP$nao_h`h?rvnJML2QygZM+Ve4{UeeXJ zJ-MXu%;YxRH=ER7@O|#kmDytNwO;W<^zxfUU-_&{k9<v2UT9h?w?1OZ=iSTi@xGk@ zX}SLXy>6FxOV}IFWqx4Wxne@|mv>^y&y2h_J)Gui{fJ>wm%R0MeP81X_cwbp<uB3Y zQ=06@`0ql;pUk)O?k}HY@L=PeZ`Xsb?p&D`ui(F`NK-XL_OE8S<j<dybMMccev<o{ zmipF4si)qjza-Ta?AUAQx43;#>XC^NwN<=Nbyg}XyxOvC@khu0OETHwucJJ|x9#GS zXRI*PJeu!lS*>Nd>4$z~#p3*iBW*$R)28t{eLox^C8m};eeJc<|8s=1Ki{qlwd!!z zTG#fS(d2pb46|p>2ZjBT6YBG~{x^5|-f3_8a$nxmg&r>_taCBm_HzY~-}R{F(hp5T z12<YQJ6GH*S$0@z$B*EjznWyfFG~tkzjQL|vhul;t3|q0mkWQ~DR{2$Xa9{?+QPc! z_gA<De#m>CmD#g=igjt!jFSoWjdROQ8g0G{N+)ff%#<vyf5C|9@#!y&X{9r7Z@!vk zaO7cJ*#A}28=G=UEe?GP-u`mO`DTx{Y5BK~FU<@Tj=vtzA@@h2ulHXt-^*u(GlZ%< zzI-VAe&}Um`R)3xa^)XSAKM#!<VI=La?TXH8!3B!o~%rjlVfkwT((-J;Z^eeyNmzn z1{iLOdOaz?QteUbig#1l#Z{IytT%hrWL9eX<K5e158h9&RPYqM((tY9oY>M|FN?|? zSa(l$a89gS65bwi|Lu$XD_2_n?q{jx>T1{OdBD<eZRdrEhGM=Y_fr1_@y&~?-Xb?! zChgUP%ZXbr8sAxU(QV`2zkJqSdD81%Y+1WLLdnQ?O6m5c=12FQG`;qD<Ku)mi?*gk z&Nesj<JoK2xnHJP`K)q`@Nu?zPhVd=F{^D;{WZq_)jtpKofBAS8*?sT;?(I^W^Y{i zqG&;pjn&TwnUCWZD4E4Al3dbz_2b+v+JBcmebugcHM^%{p23eh($%ZErH?OiU7&m5 zwuzta@l%T*ott&>Z2-@V>@^2AFL`*lNI-gi;5{u4hws;$=D)vi;<SQLxY=ey&5tKn zO=)6kf9`#e*T7F^#<}SY?Ct7jxD`Y0Bvowst*QCr%2he{!%JR>ZvJd>YvJQ;l?w~c z?Qoxzvb3QkI^dDw#}nHwbjr_=XES*5e%}5`=3AX6AH2>Pp#FWT={}pIleX0De{Sr@ z9-|<g=x4A0f6Dvc+o$c1lH78a>C3(sT}S)e&S(ETz&J%lcWPMaCyv=4>>VppuAQ`K zC|sDj_{i$2MT@6YcS?53X&V$csI6Kh@&5LPB?lijDfgwEw7({u;p5`Ko^pC#cKV+C z905Cv<?e-ERqEYfXtL{HuWGlqYn;-{bFqD@ZnMtiG)ykp%av&>#u1?rrd90{bU3_F zE__x_Q~ktvTTVeO@0MTRYJTs@SmCMcz5mW{=Tod)l|hT*m_)az7yn3#=c!=xJ)4x3 zqV;2OVbT(f=znkhc7Am;Iq>uYYt;9Lt*89YmNUJ!)MDLTr2j9)^7s*@+NT`Gi&m`L zseZ$4-8FC9BTw^ZHiWD8tz@tN8-K(-_z(L-#swL?NnXnngy)~>-02^^Yx@uWwiu_j zz3bUOM+@vt$QAS7JiYQ4&+HfeqVHukq)EI~@^b6#y~Z{(<OF+z49h-s$;~1`JxX~R zbE?)Ko9wkU;^T|$VUaH!JEVHAtujmawQ?QjjmHl^+4gVE`ZM#ZuB4Po#ES-hf#pwn zC1w{NZ7pt1xbx@hjagbH^Y%~dP7#^0$n1bI+l6)i_)HI9_Q+S8QIlX$YZ$-cUBH&~ z4J?u$mF7w5|Gai-gW_Y8ZJpt@^6%@uwSCO6dKRDbkz>{MHShXY^X}@tu<c99p{6CG z`M%{xM5{lsfAK4ND<-fi&9LTK=erxJEG&N8PKB_(kDMkEeV6I%8Izva=POLzFNSw$ zpOa$3cKNwkvYEloQ@!Tjh2MwA@iX_GaSlB$vC;ZXPkO|~fZE=oh}TB`Et~WfMrN(u zEb4MmG)v{h?fqwxT`%$$D}H$TR55Nb!`us(rhB%zA3c)LXmH&>F8U~&@!^YWEq47~ zx!_9I-K#75Oj<VgN!L3kOGcY4+gZIqLVter^;H(eM;G+Ool;+-^Y&7H&hMuTHerQR z_*SiHF`L8LW5@fje0AjNO9u1z>&~-z_qJl~k2y&`bJAJ4r{4TMJL=++xc?26JL2BV z{~i89%ZG7~eT-k(<E5+t3siUU_{#LG=;koqt>f^RK`Kl*>P6*+6SIzeDReO5@=7fc zzG$@4V(O^{;t|c3cHR2}e(W#*dM3Bb#7%O6+m7kUZ{iOzcIe7ixhs^1oE6{k!t%jM z-;c%jXBY}v^ln|F+up!Xcj(j8hb;L~V%~p^-Yaeh3U_v~Qe1oPe5t&IrnF(;VHb&* z%jL~Sf6hA;_-)>nOFSBZm&|{D=Dn3N>s-J_hD*<@rwDWfzE?4xJLS(FmPV1wCHFrs z*K#=;_iNRe{IE2oo_mb`w>DTwg|B+G`CY?b_inBIo$Li)F1^37=JEya{~ZhGs5Y^> z?Bk45o+32;egwzmlv|4p{-!!Tw^~$Gb3rdBHokMZ`Psv3W7h?7^{X>pJo@wX9sho5 zg^(u)jy_{K-1t0n>&-I7Y3Iy>xAMx>ee_G0f7ZD|Vp~wcYMtH(&+<!{{-4YIDsN=V zs$}u@bnvxvsyh73R!EpMJ`c+(`kq_%ydwA8{^=(!rfiny-YQ_XcQXGyqdQZleCYEi zQ9u86*@Z7!Wr^9>Ed!1xY2V(g=Pk+Abg};D#>ZTrmx#E?{GHu@R>kO~a&Z#V%>0Yr z+@qCty;_*!zIiK8d+?I{=4<RMQJKxotu<#4X}&5=vPsa&`6t^{BwWUBH{%&|(2thB zC$6<0&UD))v7G3g;&$ovvx#M^SGP-Y{i}Dee(|AJElsiCxHrd^eKH%vD(eMXmy3)0 zuUe<GY_;j4S4oG~{`?>Bw4z8M=zy<j=m))d;q$AqkIZWD=>B$5>elNfj<uJPma5FU zrQygCabx2OKH19we2rUfA4_52clfZs^K5bXmu$ue>8)0uw=&)AaWyHF6sTHKzfhvt zLv!k_{L+W7dHY{Tzezt)Wa5<^(y-&%shO7_hwl5;H^Z;?lC8XW`mF;!%d2i(F5NRf z$g{>j*;O)L*7?-LiN7wW%sqDYVvV+woJg(akA3wY<2JsO+dC(4<(7X{s*cO2JNA1j zopE|4!tA<!iqBf@@_BLTLH;XQC4Wd=*4o!M{oB8G<ult~y$+gek)XBZ!tLI<EiE+* z&b4lgKELPm(+%b_uVwnL#Y|dLZE%LCHqL(u_qVsJqD))z?ae<f)ZfNs%AMaDSIziR z?&S0B4Z%j7x+#ycKgi6KK6EosYh~h>KUd9CI3};+4xXyG)&7`Zgn7sNt191CdQ|tf zT<UgQ;3fH_KKgdh$=;0|uTP4YG`%>x`MMF?^-JIDxi#0%e7&*t?Cq$7F|GRLzn8Ay z+~{X77S60aXJuTlftA<x+_dE<HGXph27C_6>rgp9CzXFnqvf%{j3wVxwuVZq=_=Pw zo4sM_4-0#hpPt(%Y4RN5cr~@CZ5C(Zt$DuJSBcKPmA7kY_8ZaDH@+E7?sa0YbaA?- zzwR1?sp9fmR?{A_*Wa=G{_Dc$tOwWEEPHACVcNDSx|5oeqBHa!1s{>wd{TBr*Cm!M zxtxuYKAV>cTP-`MRw<Y6b+IXSE`#Q&ueJ|geQr2l)oGZpIp8hZ`=H?ZU9WhRHaXe} z{?Xa?+Pli`PIbi62bq(ueOp%fzozb~*};F`)?b<2f7Y`rBuKCA$?xC#r*=N@=bLiS z>B-_vOT;gK+Z;A+u7m52wjV_~wy%3kCWeG0EY~!U>a||m;^Kb)<l>~R*-U5E)!v_S zUa(YGIcD|;&0YI7Zgy-)FAXtGpWg6NQTv#%-I{d2##6FC*Yq-<VKHvaYv5V&z;(lw z6PGm)y_}yh-`lUr+`xjN{;VNWY*vHS0gIyJqQUuDS10*gve|m%<b-=~PRx3<NQgi6 zop-7M!_?J1(H4SXQ=PtUn7c>Iah={|gCkzcGnVI_P!v3I?FZld#oCTlT|&=uWdjan zPy2fN(5tDe5;qta>R&8m|EwK!X_j-yJ<jf9^IIwf>%*_@Gp?>(sVn%=YEdCi&IGP` zFJC^o*`2}rwQKpo8zN5(CqIkyxn9*8QThAi(!BbccQ>6B^NN=Eb-il$bmf&o&)AQa zyZ>LK)==o<^@HzH>s1vg5gW;e)gtr7S1#Pn_xg{YK}r5XM*HH#{=2oug8h}Rm#*1p z+TStl$6OhM(kp@!^zPnBmB_gMj=8<;xPn*{dreTFUC8Q|GkbSAY_srx&hn(^<5$TQ z{byEhIm~s?((!!9;?oIM_R%l?th&5>=G{$C{<m~$i^SwJru(1T>8IR(HT$r{3*GLU z>y&=Gn_MhQy0P=#!W$_Le$%5jEUdoG@v33#Y|Hy;8{RxrN~>htx+~z;?mNZoGg;T4 z$(^{r<7(vMET1nMSKJmV6q2sBy7x=F@!sUnoo^3!@#*~6_}3G$VB0mtQ$MFx#uuAy zIh4K2h*!f}dA{|1HoIBh!(SWi+|969f1>xCqe41gmKJt<YrdL(a9#Ry#Ya-+daJU3 zP4^K$ud&l@LCTu&omuVoe<!Z@{mzxBS)Z8^c$H23peJ)0>*_w4ck_z#%Fa&mmHu~b z)APCSZhq^Yb7DoQv9H}>-4ta;2GP*!i+`sV@YP9g-tamlc|x&)sO8an(+z&^7K#*K z$=%RelijDg<egbenaAl5JRa-bn+6>*?orD6Ahd;%Ay7KVyr}+Cg?QzxAJbJP%zqlO zcip@*$MY0sU7yLgUez~RH$XFMC3l0yk01`;I+sAVwxwVGrr0=h-m;h4w_4!C7JD~y zQ`O6H@7FTACI5SCz;Wnftj3xoPM4m4dw4?<!n}mlc?EWK+>tymVf($@j+3twFK{eL zeDUdZ<oCpwn(7<Q$3AH;P{=sNk{F`FIdfUXd%qy%7WRS<nJL^qZZ(R2Qa&L0-6y)V zSmj26MOA;`hpt%*s+~=D1?4;mo^<xwskAAFvy=PJeP@lhksg&;+7^(1&%vWy*XG5S zBA%x!y(YJ~%6l6cdEV(*xqXk#C!Vg8+YeeEI(11?QR=w5;>*+t(%OD)VH}$o76}Th z_xaUi+%VI9?eb3t%7xT_&p4;PUwu_mSMmOi(@xXE<QA#Cu(i?gnDA?w@Ko1}0+Y@5 zHb47gtg!i~e}~Ik#_ODCx9n}zx+MH&Syb;~vz|ql)ZaL;mrHGlKWg%O_ZdB<>_Y`w zvI{kWIX=w#vhTJ1@;Q39_n(>au;70A|D>t}p+`F_Qk?CbIC~=&O+7v1wTLqJsYCx~ zKF*!wx$kP0crELdM}PAVZ~Nof)1rF*!6Bv3O=hY_omcOr-YJOYV!z_neahj}sm%3e z8EHOqY%fU3E?*|-Huv*!d2@m71%5fJIMg}47}>@9%X*V4qx9Lzel0okG3&&Qv)(uF zJ+6(i$@%mCKzK>)r$PaS#Cal<4gI7e3|36`h-uw>{GxWL@4Ttk%O-8)h@Dz_BjH63 z^Yn=(r3V&E9r^HX?@O<b;eYM(=Dlp%%e(OVhWk4wZ+Um~ZWz}b<0bc-)LVl~pIfB7 zFIWD$Y+__DC$oLr`P%OaCclo(&rT7Xop4;bP<!=mcUzk|5_><pnb%KmaQ1NMo7nj9 z&QGP!KQ1!7k~i&+n*Xo;jf;c4*~A%6^Fxc&;<{dni&}8cp68hTS!#K+!F?UQZI6{? zu0-Z1e^*$!ul>cI##<k56dc_k&%AN6V#Fu0UsX=;!kjw#*o1$_^c~K+I8)QA+pwto zaiizAzjx~IFsQWlFu6s}IXqja<KErsNgLEU7MpL#l=%FUX}=}&gv@jvxwTP`rgext zO7w`m78iOq;`@`Q`<N~<F7gzr+4Y9S)IRjXgd+*}KCvo&QgQk1a>+4j(xqpbA5s%6 z^_LVp+<Hpj|Mj2cq5T`9R+qlf<4=l?SXNRT@ZZ$&nsoW9)P%~@-Tyrfxui6#cC7Gh zI(n|3SJ5c_>1^(0=2@ai{LVdk6Mn92UQ%?*GLL)7p6#Yp>C1$Ex-nnOc=>RrzeL=Z zck1m=Ry1pUWDotm=Z)~a{cVYBw$1wg+=@@bsw(c+8sRvf<Q>Lyx%SUJpY<a;S8SEn zf!+Sc|FM-6dzQ_3uR6!cTW0U-tx-LTFJJlH6FzzO>qEQ!F5Un3o$W@YGpjhKoJ^(6 znR3P8Xa>t4Dhv}pv6WYbD{oMonG*7OhgGCr`JW^P-}HtL-V=`2ac+C9l-53bW>4L1 z+n;Zub(~)ooe!QbUU#ZIG{H2}`0-`k8C+M@p4aK@K3wh5{Eo#bVM24L{CUr|8OAEL z7E|wuO*y;f<;FI@y+MCwO}q1}`S#B5YV!q-nB8DAwt2_nKKUfa>0e78l(5Kr?#%mt zT7Kdl9_h@jNdcLi7j9hGGkHZUZ>Cn1|KacuL9ccjCIRQIPsP`N-^$F|JOAF7AlDr~ z9)61c^ekJ&A!X{hXUcs)7?#Xk^Z1>+&}8Me5tmziU$;(kf6w&lYU!7zNA`?P&e1P< zFP>MNxs@w-d(qkW$n_QBF-OxUW-oVNne*7{Xoc5@um-dF%CDAZtaDa4nNn#df4$_) z2IER&yC5<BUVS(AwVq`M&II%XbUtUizRP&qW_^+Bdv7l@|Jtg%Z68aRN8Br(cAkfa zmP$uoP0Kdaop`0A$hVEZtz_rf%URdcZCuXjPW;N1u5%`^X|t6~QNrG`L}3%-;6s~# z+<w8^<K_CQsp?+Najv6fa&kY5Y~FjaZ~dCc@W`z6&cwn!H+aSE<JEg^Fp4)6{4cqj z$19lLF<ouxmM0NQwp&j0IljC-&Cy_$tQGUoxh!QD);vrU_@HsMf6c~6;z6&&XD)qm z_p*sw+s|995e$mQ?uTu-zV}zio7TTO)*k(^VD~S^Yj=#@t{J&@E_!)6P<-u%kX)Yr z=&Q49rg#)xGdyc9_IyI7Lc+<E%abSU)mV3Xi^ScP9_OlWI=%fgXUW_pM%Ka+-g(_m z-BWwQC#AELcWIlfJFeCAl-H<+w`XFO@6z}ur?<3Rj}PDY&}bU_+oyZHdyg*H`Qa+m zKj%Ro=fMwLlLSl8te*LV&E$ggC#yo0DecC~I}$TBcc0s&uiqfFgw=fFcfLsm)03t= z`02h`Z1cR&&OZA(_MFx#y=rX{+3xXntJJ2v#J7nJB@3TKzRBISC6@Dv5|iS=9IKAt zwOm2pYig%T&5`>UD0gt8ZiCPHuTKO5D>(`#q;W`mbPqp$O1*b?>C=9@+xHWkCW%WN zSSP<aL|Erh%^8nn0=o}R*s;#Z#mh3~DD%HZ)42YucpFu@Hn5+My@2bL&QY@*W9z4v zkM5uP{&AD};(KbU`$PmM&)jSF!q(u<a%MiZ)P`(U#<*9}yY+rQ`MT|Aq~p#DcGX(0 zvJYKrjp~1JypUhQ{#cJS-CA<C^YT+Sv@F6lJUuPAa)Z0fmpOmtP3u*9?y+w_|BE9o z7Zv8L+WksA+gsF3rss#)IhN$s7pr1p)w@4to69Ka_q+U_-*2pvyGQzUk^4*eYXXl_ zm;8-9soL4)d?WY_i}X6)=@({+RZa=G_P%>o<08T3lhw_hyxtMARE$^1{@uw3{vigY zxm}()4W}ja7YYbmd04+Tnfu7hH&?v%uHNje+sxKyJZJ5sv&$^cX!*RYb5)#u%D3pl z%@f-+t!pH?a}4{7e+hn`|4iA(uJv2@)HUI&A4pBLnlg8$?5!0EGbieao2}a>Qq^O2 zY_qFF-j?)DZJPT9Uf(=-GI_a2_rZtD8JO>^I>^TTM@;M9ku6o*F7n+nZoA`Qo_p@X z?P{x-cX2$z|GwKT-}PXN%))gYDSg4Zi*18TWF$_W`K!ekdfC+W_yfDm8|HU?w+IY6 zn!>(P^sC{oO7o-VA2gPqVZP_FjU%t_>GBf^pY<cs(w}y?OzPh$wCu;s`i$8=X-i*T z{*>-+|M_cf-o#YngZKV*-I=W|_WD_(tm^iViF@+)av1(dS$SrK=z0^*$m;Lur{?i~ ze9d=S;RoN!@WK~~d*wG?F$`qlpXs98KDULr=8(>rx|p?-Vr{m()k@g4<FCM3pIZy2 ziLjj5s@HKK|Iy3eCqfGO)2rf|E-hC6c=E*Q-N`@o7H_R^weZc4uDPV3plr9mEa>?Z zrWHS@^w0i&%>AB3pT2kfq=zh8AwNa8D4M?FUlQ?OWlruA$K4JeADl7ZiV~Ao&$iog zZmP>K-SyRNwQXsOc*Ga2JAMDrD-FjMJuznY89L1zFWaU*kz?MlY*JT<bLyGRrSiX) zeTkm;SogJ3{9l&yU$5Mdx?o>7yCLAlIlpz56T4?l_IZ8m7?Z2Ou5;0W^IiOJB^l^x zth#qF`k!`S;*p;*?aB95N{=Zo|GYZx>m*-osac1nzcyh{cpF-CNAMd**mk$Sx~^A} z6IXk-l)1`CDLy#CKiTtXS-9IT3C*pNPxM|`<%H~8Bl*A1KK#&?NyXFoCQLKgaXWvq zqeRnz@YC!yUG+WJ7C+b+BL1Lw&9T@Td0Th)IR@?pAJiLlKMMX6U$Z1d=Hpqzh$}2h z5A6OccRgwClFqo=C8rH1PJa_^^K$B*)61%-uX3(`qIsJC#^$<doh$)Y^Orto>Q&xu zuKsUg^lRtJphH^S$G?2xbSux>#Z|1W)%tPriIN8i5&eR9@2w4Gbblxic9&!E>Bwh` z=Ei30-dp$Pe9H6ubh{X#sVhG{KI80I;ndvJlxOey`=|hSTi6ek*TPpEKJiT{-0aHP z{{L{%mzL56p%Qt;mCkuu951%MDtQ#jDcJSBH8cLqo@ahhU2_blaj>Tc8kO7$5`5@! z>eAl?iO;j9&9Lu@$nbdfxFO~9u@?<@&rjkGzRDe@Bx+RFwsG~Y{|O7ObgE>opYoe$ z%M9h#9Ui+UL{)q^SF-u=sc(t}OQelXR}}u(U2y+tfqqZA!vu!izYJ&bR`~NeO7*`f z{=V^8eZnJMkG0d~F8lsC?DT*3>f6!H5)SDx&y_stOWBXthA<XB`2Xe8)BP;bF^8TM z3p8m5sZ97P@JZ{$^4Rq^rXRhwL@NB7s3Nb_t?T=wF1(q%I)0s6mDi-03DZyCc|T)I z7L$vtq{gReVTPj%d*r=aVwU)wkv@H1xX`gevGRMJE3e-=`6cYU#y!m;+Iz(mCR<$o zkucdJ{nOKx%__IPn{2haRr=7(alz(w2G?SjZFOPK{BS2qZKacC$<-A*eoM}-x%o+z z$*82V$5w2Lv4NP#yNwLfx}Nz>KCKcF5Rkpm)p3L3)$og+-OH;?;<olzi!9%y_cfq$ zSx#QPVA`oSez%@3*D`5VJiwII?lkGp;b2>LRV|A>@Bi3MIPmhqf9F%%Uh;@nMP-Wa zEn2eWPS)?)QU%VXrQdRGo-4ojm|ib)#x`zNm_S`Lck<TfF7bPgOQr=TG#%VuGJk#X zB0foBnNr@#d-t{H6uODoxHEGcnQ2;neF9sVutHPW{4X*cx;C6VZkbU*QDqB43uJSQ zYbI!{R-VnEkdXRNXo7oYzOKv`&$IJq9iFc6y<*DCyyJD%67tMX-7Q0f6%<?VD@HzZ z@DQkU`c&SrPE*RYvNmnT#7q23zkX#=+El?b<3Q(QOYQ^qza3s={gPK*`}$dy#+3U^ z_a8~tSlxL$x8wHHi;^X$-%pYF{Au6%nbX*ROaJY1SzLRo^q{hN96z(9{2$$bLoQ2A z&E>@n-p6s}a51=c6?;tnefP}Q{QE0Arr+KB#>j)!xkB<o-|o+gRTnSh5r6;4Khi!w z=K4WacH8M%g}-kJyT8`-W;vDB9{03%M*g*39!K6q9eDqA&6mT?_wADFyxH|i4&Q&O zzUKa&N0r{1d@DJ1&d=UZS<<~EEwdy2_vc%ksUCXD|E9c}-Nmi{PjhA{>#d+5{xoKe zJE`?qtDdZTSU>sU)yIlb{>z%*xvpOQTX6LXb&*xOCMt=_=t|lCJuUNYVq@&SK!x~4 zQ-5+S(w!BY`)P0W(*7dpZ+yr6-?z<OdiLA=3!%MhSId5?iBuNs%5GbF&t}!*W3tja zGvf?Z&t*4%>K5_PoSJZXqo_G6=R);gVq1Mr)N@UVnX&$b%lpzMgGJwOpLwfh)oL5a zWj{sWy@mLysf_<@*UIZJsgz~e`G>>s{J|G<(_c84e7HF6@WfuRR;zD|E(GdF+lhBC zzoDtw)tb=8p3gY_&gTW@jg1p`Z`#^)EcIXOkxFN8c9uOG&QD(VE9t49HS4uaWkxwt zyo+nD>{HT~;Yw5W`c!g+y`ofhj&ORhT1`j`|J0icV=mr{u>TQnGKX95j*9D!t*3LX zb1#~uoa2azvtBQ6w?Vhs;C6FBD$ki8iB24PUs7^)uDpHW7P582p_ByE35H2)cdnKf zICA3p)8h}dJe0!L-uQO0G+fj{^|JJol;dpg&Ri4ObfMobz^wm|gw(Fw6Vp04%r6{$ zf8w0|%A<U~SyOjah`lIPT^`W8CO}5yOJfA@&6-!6%OZa2zUL@UUKQKBee2{*ucfsv z2KPRCR+{bCKXLf%*7;Mr$}1&*8}&=*=JBg5JdAI35DIp*_F<Hbv^EI8A)D2?ce~Gz zIG;!He3|cV%xHhw-}Q^7LildVt*KLvCU`#jwruXxm#RO1|M3g|zwf)&y6Y!aIar<u zi~9Si_pGwj-WGY8D`&Sh9DLBGzU$7x))b#x{dP;u56p^S`6u+!VXB{&#GU-gc?)<J z-G0W=G5h)rL%s%$Z!KN|{r|K#Jr`MLa3^C|vGjW5lT4u=+gM~&*3Vi0_tuW}JJnAy z?@SZ^a`2MWzujB1HmZwpzQ5Gny|9L9d!(XG>Vy?PHyBuG{yM`@d0nvYuU)llecl=~ zt2Jyp-``k%@5-6NZ_i%L<FkwUu=K;c84ne>GWUDzKCN_pK`Yz$`gZ>RO%16WE$uSz zuYN64Xg;{v(SOk``?Y?5o(WHB+wZt)qUkCP^+Oi>jOyIYXGSKPY6{fNR$2Xs@q&0$ z$gha18pdYnyF10gRynN=UsF}X>-Oexx~I<@k!$n2|CmSH@0@mwIa|ak{^Inv2NSQX zl~Rs#)IL+~^88U&e6#Ma@F_oz3s`jDb(du7xMy`VV)CCZpEokg-agU3H{-GAQXhHI z-ikA9(=3wWr)#w(8hC4-V6<1XDSFiM!gFVn&r6Qj)BIl=o7P@h-+p9TO@vmN`IKch zBqFaon&4u>-}ZLJ_w3D+CS7j|ezhvS_+Ux4VCrPY{Z*1rL^kZWD!1#K_5VF@UL1Sf zI5T7;xAN@Dr{6xO&hb83e$+OHQ}dPndts3a&%gEC7aM%a%U<<p<N3|sGuH>Vgx)y( z^W2^gk(l+X_eO^wu=xLjeOuwSb$84simkiSCzSrJ;*n3q(dRybuj3t~`;(;kRrf5J zo&K}hC1P2r<(#W4(=OHc?+N;HboI~T3-h9+RVys*zgcAOFt{pV^KO22fr-)0=`-e? zEf7Da`aZJZ+OO3{*-;C_dR(k>E?S*EuVg-1o3%a0ymIF>ze2r6f&G`u&OA=*3*k~( zlV7rb?~l;`^A;UvQ@!@k^KWivog2rhX$A%A^Z8VYOIS}$T+)7e*RF~gwy#r#zh>t$ zcj_IQQto@>dzr|Vc~Uh32gS~DN0b+?;`qCQW4UU{*$>_fJ=+|=|C^B#v?{_OC)WS_ zF4MLNuT4%j?iK#Oy>f}pA}xlSrs;fUoXlU|=g(cRc-i5liN(+EJbS<XY>lay$CBMX z3+LSb!y3G0a!>2-D>r6l>U<J#GCZ<Ehf!<te@2gE!b<bj<~5v=WfMs{{qWVw2m3D{ zeKs*P;P5`3(;~g84NrD_>g>t$bS$#?J7dAdDTkJ^-M7+O(4~2AU&4?6OL|8;)c2Ua ziC-CYAk$>KfZ53}wlfb3?wE7en9I26%MY7iz9lwJH@2xs31>Xuzw?n-sKh{(XYPE_ zDP_z>Oq|b-?Xu!%TcYA{_}0qfid=0wSzgZVdtg_7|NjBCgIiv2?f>8>@K(-kg+!|G z=3B?(Pw3lgl&Z$2T1-%1!7P6|>K>a%`d7yToqs;xT&wS&YoEI&(2ns@gkb%yf~wy) z=Sgo_a{i_4y%j8f`eHh)4y|)pdWCht7Vp(HMtcGe#vTlo{qc0Q`tl9ApI#{{yF@>< z*L+a!dM%^MMP|i5j_Y#@f|=CXY(1AS6n3edTxxjtUEnFLX4QVJy1EU!!jhkCW8|{+ zs(m|kuF#3kk;2FLW+^j2e3G2@R`&bl!~IoC)?BKGmbk9F^X9Aj#|ugK)YNlMTwG(x zea~xKgH?e1vD2IzlQ%Hd>&)U<VRayR`^%q~Z5_6IFG-l^G}W!{W1`2pr-EnRru+Oo zveIM=cabzl`m{{ex9K%EFC<RSJ=F6{&Gglj3hQmoJQ1tZO75-u_u!**oKM|qcgt4` zbX9y;Z(W&m?pt8wbiEHe?~D|k?tfHgVYVxGK4=*iGvhVKg7XU>GM-XM4Ow#3z-8+; z--oZWHUvZ-(OtP-YDshd;yX<5-@nyO&d(@fbdC&Nv_gNSxzcSlzwb@=ESK3d+to{T zizF5N<S+QyeL65@-=*B#G#0-5dYosLraLHCFFKR8Wm?A8!V785ie@)fZrXPtE`(jN zKK@$u)P*qzqP*>|JE%Q5)!4CC@PpW)m6Ik38}R%%<ohxy<9b{`r|1g(5Izf@9sevo zxo=x{b4{emlsyYhocXt7-__acH?SC9|Kyow?V{x&%&p(@(ALN5tFF)OnXWV9l&o}H zpL6kKxn#NoHS4Z$o_6Tz#;pP$KVD#pEWGw-MevoE#}B=l^*Sfe#j7l6-jc($6#^ME z*|yFv@;Y1l?&6k}X%lCuMApnVUpTjHUzU4<hTsW_Y`2-SZM1FoeKzKMSbt?pP2!R& z&BGZ@KQvUPc%Rtz<6+4k@%yt*ubNn_Q5Ye+erEdXt&65EZ=cc8df@o{<)&MGKTp!W zazNzByDgOx_FI1bd9Al_N8jO)=F8g+<vN}mIkRBv>|K5je}CI|b;thb0+XW?9xvEA z+4P{u$#hKzVb<$MpQcQ3y=|@Lt<uKzZSK2lHZHE8B1K&3vceU`ad|!u?pNKJn`qj* zX^xPVWf`x*kBmj)F?&B*-&g$F?GRbtHMK{Adr8|-d9?#g`vp?C>?dx%e}kRJnOoy~ z*5+D{&rc@AcrUat{$#UBWTkbWNNuCj+JKvp-*>thx2GsvbxeKa^76;7>Y&r69sGt5 zO&%9jN9+&OJ7@Ee<&VUTX}tSfUb&cZPh>QU%ATSSda(84&I40)-tWGxP@Lr0c)wmy zLCKiCB}~~TI%Ee2*R%bbrzm}J%{L3Z+HonsVH*Fhx(T}f6OC6{tu)^65)yk{tHow5 zL-Xw0+q|d0-gz}Ni(N2W(D%ceJ%&jd{-@NL4d&%kFTD0WYioW@{vn&mWy_arYUvhG zSoQhi`P1v?TQx^D_&--U@y}tE;f>chqWM?VSL?@DDpy^ZF{LK6O<VBvLY+kgFE;nQ z4$5a*k@Prb3G*wJpJJb%2bUjSth1}gdg~hp!&&JsE>_pNRlC({b!Z92i^$wa_B|(Q zVEX&_%3CKmzIdvt%#7Uhe!I6plCHk}?T+IuXDW|Hc@-*cZdU)CwB41vjBVH7aHEqN z+*Oy-7pKp$Ogv(gyFbdv>S4cPhoH|dtNSM9??m+VulPN`#a<Y$nV4`^)H-&O_KGL| zp6)V-pMT)^Wp(C`&Kc2l*8eVUE$L2Awew&-p~+c%M{M=$o}%j-oVQv^#I3#v$Q3*? zoOJzX*5UMw+NJs0YvuHpUUhU?DAlZY;{2BnHKGS9Jo`-yXVmE~=C0m7U#-96soAp( z<%xBZKlv1_U-B<Wm0R^<`-X+G0S_h~pI<9qQ@VM9Tb=U+kv>zq&!zV=y=OX{KeW|y zMoC_2%=I)$i!GV{R_fZ4oJn2&Vf(67cb!>&RPV%Dm8a4=Eq!bk<wd@3*XeJs)ZbeB zKE1becV)+6Eu;DIyF;pKjrDUoZk{n}cgQ;Z^+mp`rofqc*;K_+Z`+q2LpJ=rzf1OC z;U7l%ZG!ru4{N^dmz%n+LT$&J{a^WHnhpO%l^2=!oblecK+^Yi4p+ZgU{t5)rii_K zo4>}~Z7{jUCDv-%ED-+G;y|vkNKW~ueNuW4zI{7;Dn0Il9S1Ypp+A?8MD4x5ucFMj z@X(H9{(?-kn=ED=QHkr%xbx|t$hGJf?nUN~hn#GUHpd=jtUCO|or$r^qd%qS?X4@1 zpJeH{Z@y|PBdGe(QS0K}HJeQ*oqqNBRQt@Qe^|e4tI)FTU_8c=s}#1peYM@^Z>5VY zPu~b#>7gAd-oGW+>W`;WLEXoxKLQS0umAq&#%iCQe_Pi^oT{l_%e+tZzr6e2hX=Q= zS<$me>PvlwRx{7$?bAccS6%b|bKB?qpGn14X|jA<KLpL<*uZZQvAHt5w&U8V7&-B9 z2|M1F32GDhYbI(e`1W&Vf;r>;(#2PGvS;nmTG9JxcW_~0q-4XNE%v*1{EK?V#dYuA zyw~2G$JwW9rG@%Q?<#r1)3hw9#r(&?FZ(uaS(1@@qJO$W`Q(VFfA_j4PhDR<_qTIe zLGvcfImJ6HO*LcQFI{l&@{`-kE0s4lF@(totY=@k!+n>KlW**l$+@ZrC#vaZubDK{ z%P(9pV1tviqMqsFd1`kny3{xSZqmv0W8M7QZJN}&5caMvpTPH=NtOE(=FPHD?8)9A zbn3^Pht<gmbIKOnK5zEGed41XIgP1YtrsevUXSy-mjBaWmTkuSs}~p^Ec(jUXEW*d zp>G8mAzS5}4Ii3lv3{EW@_o*$38h{OR($%bemCfyLze08sOt&YjslZ)&tLfMr}1&p z)UX6A?ZC~uK74=iLh-hpeSX3V6P4%Zbvb*VJQD5{TpM_JJLlB%pSl&(=AZI8$Q62Y zt^e{DR$QlcOh5W#eW7IV!^{s>6O(+qgUcRX?KsyV6Xv;M<*PLZ7bJ6X6>D7V`+Y{| z3%k-Wrp~{M?VNKzTy}ikc0*YyAa(__@rec&|H(VH<pu6COo?A)!xr#k&Q`zQW*u8T z7tY$ezwzueUz_V2*E7Y+n!Yz#xm&I3KvjCl^M<uYH~)Wi<i@q|oOze~cK`U*_wU1# z^or%%W?45)j@vx<rJJ_!w31an`@(qGx=Z4;)|~%jE9dk5K@TJMM(@`z4Z@?NycgT` zbymDRC9{NU)~UCd)0c2qcW(N5_pqimuhZWD)2tmYU0KEK(f;Mr{PY^<&B`r3vwaPf zg6e8-%=ewto@2kGW~<IFw#$J#q^4!sGQ_`^z90GF|Ayllodt)_POLDTvNK=H;N)+? zCC`+%XDWV+*1LatUYk!(#Ri?A+uD~uvrT$#&n20*>;ANdzFFU+PW#S%H2;{RN2`Tg zV5*ap@oBqhN6sr2OUme6{pHkhBYt(@vR!lB97>h$d{TXG&pnq(vrV&iy~3ZwPmSzN z@lt!gDYEt`KNgK)6sZq9l^B?}=-XR^So;-sb<eEt-}2J&kxG;4cYWpf?L8VjYgW0$ zR{1}PKY3uSu|UJbO?)>ViaT5K7EDWG_J6ctvi3R)K{nZ*CujMKQ=Ytzo%PZFY0*FZ z$aMz1IySxTPO~CEcuSX0Tg-o*@l2YKevEaI@sz?R_LVEDU5+a%b;O*1uF37OFh9;^ z@>Sz(XWk^PdCS;q9Qzv_E;k-~;=wo7DgWoc08_Eko?Z_RW&H2ll>WMAHRsirO>e(U zzIE%1<S&y8x|8n6{xtRy-8vz2w%VcS&{eZ8RV$oudgv6Lbfv-~#QCc0CLghB_crg} zZ@V?}+}+?Uk8igAEHv0Y)9+R9_8)r#_$Do`(6;E9<lGQpW%1ES>wNNd`OKc4C$}aD zwSC~Y?CbX8TKJ;LkL!$97V@cIUhUSjI(Wvikk}q;L;iUQaZfMKa1>kB_0A+(*dx*T zzgmS`CX4#k3;YjP-Z-#3fx+X5Ae(pRsb_}DOMeFowFdU@oBQiokp9!D8FI2FNk#wk zUfg=S{p)Mhl4(NA1AO_dcC1WT|Egt)>WcF#`09ggfA00XvLe4>hU}wLE7Zzo_~%_K zu8ET4ntSc=mYxG5drH`stQ4Fi8gQh}H^-^f^L60Or)TrR4^Kb;!=x-c%ksIzy6(H% zAD8Hht-0M)=aOe<V9v?&`H<j$1ItAhl78qFCcR0u+Pi4ZqtBl^PA$0?CjUl2@16hJ zdx}cdB?`@Dng4}qUM~G6#`N!)oXoc;UJFfArmp!T@%T)Mv)0ljKH*zs3s&c?wlQby z+BLnK-P^?d!r36dQ<W#S^{rC6tRh#~=5kPjulPVwpN3<90AGQN?DmcQLEg*7mWah~ zve}p}C-XI1BWM51)4AIYgl28HpT(svwOw(=oj$Q0AuhMBID6hmY_hTa(RZ&g>TY4G z$H@!P^EK|RGL-k5_PSKr(<)SB_T8wJn(aI9`fubpxw2X2O6gRaOTt^j*aO+8u`b+s z+eYcrY85sGx1^Us8=|)SRQ}s{!j+}u^MmLaY)R)gJ9nR)V&>d=dDWR|?VSI-nH|%4 zAAim;%Q$=V*Y(nDp&6B4za#(7dbscS1>eNqNA6f~pOD!t&i72p<;t1)Cl4RpoRhy+ zkTv&Zv(&38Uwg9*5B{>6>F{ut;qUe>aX)RXg&X=;q$ifVm~pRcS9Bszy*AUAg<e1H z^rDVD_%!R(eRb8NHx@}QEXyllVx07mUwi8d-x)Wqq!zwyYp`9owt{z^$D)bZ{r?pC zE+pKX^(pPcmV(*w62UV1@r$-P{3}fNsrpy5Um<66wW-xg$Hr#X?3&L%A|$R|Zv5=W z(lPti(;0sM{~SJ^I@@z{_L0Sp-5SkrC(qF}&pvvW!Flrcma=qhM!&iCA3pilIIllG zW3v*Q<oqd0rFrh3JMOTEzyHa9=di*K>nq-`Dm287-@hW;ZxP11`$^qmS%>tXT|2$k z={8FBUC_(>sN<FIk<TW3Y>i)lD&M+)jW3+rjqiN#Zg^R|@Xv*PZu7J28ZI5mDLSpb zBHQNGmCHTOJIoh_y@)?wv*+EJ3E$5AQlEFIH1hS$igPySV%}fdRo>jU{_KmQ4<>vT zB^kHm&7*TOI^TV8=xDoiV8zM2!V9%ecFTIWFVMU-^QL>*j~TlTs4efSa#UHAacW7* z%<@Ztv9FAi>+;n$?Uquz^5qqi2Zx(r+RsB?|KzSSzv@le{c7DEyX;A?`seIjda3nF zweR0o^DCOoJwN?&l(@&Mm2Dfj;gk5)+L`Bd8)d88#cSrS?#P`~We{^XUe)+c-}QS* zPwzF{oa0^j*h?s<MyD=ya$l}e(!DU%usIVrzT2<fBUE_cyV&kvah*@CoVRjhbsEpT z+H7()G%<^h@$})|JIP|Z`i1N?QWYm|-;^O;(kro2`<Q4Bf8QnDmEX2I%Ab7pUsSPQ z^6SBg$J*zGw5)rvSZ&*^qHXey3(sqRa(l{>e3(yr`xBiusgqm2F5P_m=W!9ogL4<? zt~&XkqW9~g3%Z7k(<QCGE_Hc&?Plk@`>d6WdkUrm{OsU(s()T>mY4ZWZTStKmE}Iq zXZ~$6_Z&x$T}aJ;$Nlx$IU?q}lnl+;n_^Q#>wflM+1IWaKL4iV!B^{jZ^Wz=sJ{2? zc&SKh_NoHsGO^>n2j(f1y)Mg@4~hJ_z=@gRr}(tf+S^-uIHz8{{wTESr~C^=--iBK z#|yUWx+}{$SsrxU;a7V1T}GZ2=f#D+`F7imoGN_i$zOGparu^;$74SlE?jfFHDLM9 z)3?oE`5w3AnzBaQHSPKn<|S;rXD#->N}fFDF*Enc`H>uIuasHRV%xc54r|FW<;cwF zc3imiY>q`l)3!YAD-Ugc{$mr^n|9~4D4Vhw&)=`bFFUoEPH8rH*Zp3it@ypC<eu2N zU5i3DCrJ7A^}OLYp|WP@DbAHqVwsYWZYzS;Y&GlL7WMP(xw!AW!WX3t%QS3*oJyW% z^H{AEnaMtFEr)Yc<8ynV!(klHzR3Pten~|nM)&5TZ}zQA9t!gdXH-VYozppXrEN-4 z!o`?rMXYk_jenMCn6dd5W~Bx<_35t7*A;knzqvNTHReG^w%V0n;@t<09gYT9-~6@c z^66If*@rfs-n*y$TMuth{pW+#?~bQTD3BGt>y*CGBs(v=;oYCSeXIwkbFR^2XWXe% zYPT|Amt*05Db?Ny;Wxj3Z8bRRSnZd^%J_$`DbL+y$CBAU>_dClUoA+HJ|F!=;7Mt0 zr$Vwzd(76zS<}3x3bpz+T1(867d)4iS#I&r@Xv*`Z00P18^V{bulubXy5>Pr_p<!> z0_*h?rk~!K?aUGt(J%Jumg+M@9@g54_w47qTfg?fh6m3So*nA@aJ02zrM|A`t1lPJ z9xvV&6=E#9pzwtC{&JsL>&-jYburoZtWiA_a?rYF(@!`3Uh_A}6+BAIciDcPe{L7| z872<-|C_BVj<q>l@J<(WpLbiC^S;Ta10NzJ`D72Ke)~12yZ+LChDvqb2_frteOEuc z`J(LWnD+b$CPxJlMA?$&To6CGS}QNuamne`IVq=)tGGD+doiKrx?SaEeW4RP87?as z@@5*mUh=LX%ZxYHc<tTlgrgcsQ`an-eg4TdzPhcedwi7lZL-eT@M%%=(Z5M%=U#OQ z3jbMDp?_rqOO@Uov8k5le!AGrlr7$zcQ8JCX6?~nfhA`>Dh!@m-TQmuwaEFU$3=E- z)I8r{b+y~WLX=<e^n!VN1z&ULcRvh&^!i$<Li;y0n>@BHL4Q1VK6N->(UdSL@Y5vw zLouy_-C4DDC&j1gWo$KL`0iA7^O4Nk=MDxRG$figxyQ_jp6oJfyPM*aFMoHe5WBHF zR-{)irfBnjo=)=^CX<bm@69+oW%H++U3oLSB%R|^UbJ`!hd8Xh!ItRotxoyOR*9<} zmMNws|8@rT{QHu+BDkbB|9SScbo(N{&~qJ=cv{+@bKhB6D6%)QVcPFPCx)_b`A3Yk z|1`?I->6;S$CUs5mN<h>bD8Ar)h6FhWPQlddAIsv(|^BtC8h!=-&IRrU3Bf!vZJ=A z891h&P~CWPPGHdApP%-f=H<R&RJv}~su>!QrzU(^eQ{x5rq!G&+)VvqyUeA+PwnnL z|G9MQi6vt1w<@o#&iyqjYTkm1Lg}NExDBdTaBbhc`|zU-)d~*}(X=_`y|#xpdS2z+ zux;h_je@aPxcK6fHx*bP;HWfHS@kTn^@Qv3_Ef*=p=n0bu610C4ScXl`jAzvT5g4- z)#chVtxgOn4`PL0{PucVajnzxO|{lNt=n<AkDesx_<Wiox%l<d#-siL>-6qqF8A?v zxVLpuZ)n{7Eq8MG1KQ_vTw5#oQkv`3<*82%Cg19JtzlcWF3uxrhwK9B1k?4td(1bu zWpB0XO1@_kn)}t!?6IjA|7K=Iwe7p^9A-@^;=37PzUSlpB^ms!{$&kY*KE&@(SASu zXk=r_dEK9_@0219*0)P4ulZz`z5HjJ^a=adVloo<tL}SUe%HhM+H~UP{wIxslU1IF zb8t_4((<MwNNDAuI(M^DrYpavHHY@p)p{Sgx!{XYQ`Pj$I|82~HsrZ-M^%0de=jI{ zH<QDiVbM=EeHs5%{Ce!nT3bH9_nmXvq2H#nWARpFzbDOtb5^*p?tFAMvN&gs5r1q# zPtGIxdmC<Ck(4-GC6aT|VEY`kL!UpH7kJhkSLrzQJ-~ABt4S(_ma8h3PJFr7`B}Py z$wkwco--die?0nTE_dfu&7w=)B~SGq+D-}VH}G0`Pjv=Me9~#}i><F5L~MM2Tv=#f zyE;pL;|rGh7u-S#4|<t;RTYF4WL$m1x9$^2`ZslzQ1-f%r&ka4J+|pBj8<lkl`_bY zX*N2Ua+PzM)uV4p&l<`C;*LD1kG)rXt+2cATUi*3SwU!Ss&K$>Ui)|dqvuzfvY)Tg zmC!7&=l>~^*>K>^>6eFJmPhQ(>1#1ImJ4XRZ8<Ay`6~X>7!@l`*&F<Ka#Y<GuF=~f z^IFD|DP>#nyYKUr*@d;dR&FZQ_`&cp>|s`-l;wq(v`Eh2RY%Vm<S>_*?7O$6@ON%u zj=A}>ZsicuNu2deb;7$}F1y&(DYU9c?1<s}e0HJW75&Eh@<Q^oM5AWBGF<+9;l0(y zCRv9IN`iNPxxlfvT<v}#!^7T|u;cGb*0^lEYv^V6Y*MMaggc9B<@CP`Bl&JwH;cXz zGFy4n=+^hz?V?(C>;HVO-EiyA^OVo)|Lyr@oE~rfG$_h%!?SH=CUPRRHJ0n53~RrC z&MuoZ{p<V-7x&Ce48O6`&B%F4TD0DlpA-HXMDX5mSFYd5E9{*SUX;bGknD1k#VjW& z{?<&14Uw$Jb!Xcj+9iI%vCi=J^xF43TkB30>i+K9Sp6n-zIU_1%KAI=&VJgj-mpfo z<%W6b{yvZ2J(u>@90~fww4!ZIlj@d?5U=kK7sT$XeZ411bL%(h=Hu5=7e(z>{8eM+ zFM98w%BDlrS)F!Mvzi|Ed}v+rcHg~g8BPa_?i9S8&CdOAPOSE3?Ozhvi>5hbA5glX zcKFYQjdy<Dd;UEBqyS$Y>#prt=cHRF>_2_#J>M3lp50ON1HLI(KK5q&D|~rDvGTg_ zVi&(Blt@|!s9ai5RkvumC$m;;#%+#0lV?}mesgPkW84`DmnX08ty=ha(qDd?rz~5i zw#<oJam8x#lN+02xtUknt#z<H%vkEXZt1$6n^|VEI~r&?hZR4H<7hR@cyQ(J-?j<d z+sZADrxf)~sy=${R<Y}xg?baXlP_DmDifFb`2Lm0?#fB5vW3e&^!UuyoguUPSl_Ld z(&&!sX<HX}7q-8bFP$vvpnh!fw5{d&f?U0mxHNaA%)ecCS+Zye^PekR$Cfqr70z)w zll$zYeTRU{#)I;qlbNR6Sk!eZ@l0@8(lkcP!rjke-K~~JI`6mVv+Lt`yP(DBHAhv> z?B+(p$pUqoWP+3Kd@wkg8~Ai8=Yu63X}S*LpEd_xwz}}LL-%~En*HTJYk0O?Vq^YU z{jQ=~{?#dC50jf*xieWac($gnx7?qkX35JZTg5el^{QKqmD8%D$$gu8N_IcEn_a!r zfKPX+aQmE85sTNKkL<a+W{FwE+I<rgW9C?v9mw=3?vMX-V|qDf`;;H%n?rjR|4(v0 zS+J0A)qU-KytmCyMrh7>n-ME>*GKolUERq^cNZrZ1SGERznsy0>~io4rsQ3#U3YgE zvF=bS`x|GlAx?Tt7gHJUPe)ttoU7S$I!ZgmoFdHZ1m%P4vR>uxNN!?IZMEpm-lIEh z+pAxvbQYd`#k{qAzx?x}f_*=PVnZhu@Bf?Iep*|}ZO;8<MS-8o)7SrRsfm_(8b0C6 z;djdvpIGm>nX&Fh+6sYs!zMY`YYmCIn@{}tf4ysd*#8en3}I)Q4^^!AaogHQXrTtz zI<tVP2|K%g9Jh)vm@e2~_1T>1YtTEpo@x7b|9Gd++^u#*dfngR-_M$Q`4TTX%D3HL zwbRD%t>J0Tp9>3j9RGWCweU}eU5;OR1(FqxZ<+h6@#6kNJQq~c_Xj+*WRYIy;Fvje z%f=U%v-j7=Yn(dm#jRrLDqZw)X8i-D;|(`I80~%;ti-&4;rE1T{zpDLU*0agRPNRf zHj8G-U!f-tGPb!!J})-Dw*RHYhszVEnQds4eK5P*WJjMUU+|;K3$F@ZUrCQVX>>l> z$t^ei{?%{M$6vphICteCJ(hlHv-tD|`I`$q{89Knd#QzD`_uXrQlE^to|QQ$NPTE9 zYj~j}(VykR^m>`+j03#anHWzVW%QnJBI5J=;t92zSA4!N>u&aw-Fd?If6S+KpO*w3 zc_v+^x^Z$rt|LRRLS$5Co1BhWo4!q~huQnAkS!VcdR#i2r+aQ!4qq1iF6FV_Qr42w zK~MD<)_jz&7TY`}xOuwH+5?ek8e0-yubw{p&S4$t?uJQ87v`K7-1eq{pFLn^veKEi z>8rIq7B4?7_p;6Te#_2#(rez<e!j?Z?Der9+*XQgtd4!Ea*I>_JU^Ojy>%}4?dDq* z+b2fs++AZfhgZdVed0phRSo;RZpXg<_&(+N-d)Z7$EGw_%kR;MQt*AbB*|#<#3C-8 zQ+>`1%5k&G@&%Xe`x@Nv_RI_JADmw0i+#^~B|iKcvOMvNhVZiQCr@bIICZM(XLU`S z_xbO;w|G9bJoWE#)7MQV2O8o;nzY$p2NXP8D;>3aS9f8{FPV!T>*Z`}r!xQ9u_NL3 zOwnHc`3tW(1g%b<@`f|@zeTg$+Ugze3-2%dde9_M)+lHC`$^jrv#P2esb*<*WO2WV zI`{o##|xj2FK%AQT&TbP=b@UZkGr;tsf)ktyxb=GD8XE9hwSR6?JsWqt`PdBw)N1- zG<)Ta2WO|}c{KbMj@-<<Kj2Mv<K>sTeXki!(K$O;Y>JPV>mQZ^uGNAMcuOUsu1sJ% z*3h`P_prhB&Mh8KlVprI=jy2ae?MvR=I%+e!XH&R_fE^3ZM)N@qpoC<;HNM9_spsP zlUF9(7yIq*|L3QU%{kM{Uw3c0{lt%*8>F%(7x~*g*l50b@l|!zM>7x16)!(}p=;v8 z#gpzmG+Pz=cfl4V!(+|@<uW&mL=UX5Z#-04(KY$ui5vU-3n%(`U9A52v6XpGgT1NO zpQE|+B<*$z$v#nTan(w%iWe)L=evGIorb^1pX0x)y1rFxeVg;-`_<3-)~f>j&IabY zUwLsfwDI|g2`VX~=3@UD_wYMu^<-PKxY;Rgo0GRMI-&5hQ~x{@t+LZQe+k>2^t!!3 z?!0Jr%j)0nCk2PZ#{cLsTk5kfU|0J+$+MPQW>?2IKjUU{?VdP!(W!S26x44XXNkS6 zyf0wq)FO$^$urnX>~qqilWhE!811^!xHNoE%`@Yd9fvryT`V8Vio{;m+fljGJ!$<v zX0`Xr*4~NZVW>3@I%2=``gN<!49$ic@BiDTE@TOuBYq-wYircP<6bXk?B@>9s&ljK zky7tJZ{NbiW^Nk9zxCT?brt1y=N6uKbNRz$*1zEroP3?-UrqD6gh{>yvSwG)+ZHk& zSQ~e(XU3xCL2N}5+rOG5-e6aKvgT1rs)(xT+CH5^gCkdV+z4X+C-x}u&Xo9u4z)X* zQXajr+SId2_}lBKm;$Ly*{=`FuPapj-nxlp!+(RlCl8!1sbgLCUa3~opfRDSQL^B{ z?umBZtC?gvqE%L1J1=-<`?ZpP<>x9a+@54>_P>3X`^Yebxy;-9*VITY#RroFZCAZm zxIZd#>f-(ToTvGeZCvKdEqrRXYM96G%JtlQ{<=T>oRn0vKA!m^xvVOBO120GYvzF) zpVqX#|9s3WD<&$AiA~{WMtZSiZLz|XdG@B7wkuPo9a&TAdLcA9Y97PwhmUT^DjZ;X zr`}Xs_Sx*b-t$wUmxDvUd*AYl*%6>WN#OLoPbKrC{-<{E&D_26<jl#hrhoD{XK><# zOVRA^C+9Ahp6%^Q>C%zT<6k&qQ>1)lPn;S1A*QG0izlW}FI{YY=<wmVB~$j8wg+q3 z2_BJk^y2w$U*+e=@?zKRpmynR96g&B?K}Q8be*O$<MAugdS37BEOO7e+P*5x{?$c} zgTJ@kFMX%vYw>1z?FZ9&Jr<jvOK|2@Ex&R>In2OO;HlWUj^GKi8gC|Et^H=%P=8`| z@XMtf@hvvS+>38XX(|PKSbUsu+Vp`>!FRTW%7xw&qwTgTt&=>}>pA_o&`I{j=^Gye zeu~+Xq@d%vx7o<7B)gIC%RTY7n<i}2PBC15(8_04n0cOI?oE}nDyH0kbBU5~In}}s zo_@1Q^3uWPpGPkhT#UYaY5wyUdwy1B7QST=<3BUQnDzIAE5<pY7V^u|^ZxDDl~6po zv}Qw}bNJExs!-28eGU>*M&{NN9#6Qqx5+0Xm|^Cb9W!~Sv*bKTR9?4tjd^von(>z{ z8(g*CpFR|N{n1_tofAnL`8$mojs)+ESdxArFu2*)-}`&Zx#9@JlMXILrwfCszZ`D) z$iDGa*WRBMM=sVyoKyNKejw<{OBZgINvq#(Gh+CxFyGiG{K_Lf*Cm3!(*%;7;<mIe zm^Hg*-p}s`56{)R@o>3LZ`hZr&f^~$uZe$n$zOJMN1)V><5y)ToU~lldH95J(Ue!I zU*;9@nCEOYwpru(c{9_Jl@7K>+czD)c;C1G0ne3ssW%zf+(OI;ou(MhywFy#wd&K4 zXOX`c6NF}OTqrA;aQS`oJ^`0f;iU?~#X45L7dG`xoUwoQKc7#xG?KpBO7SiJSh%e0 zLRO>nq2T&A24eeH+<o(9Q6Be_a&GOYL+j1{WwaH2nO=AKoto=*HinEm*}Sjz(JNiF zg3D$)P7T=gh;?Gq8oob=%N=sJ)@8<U{}C#G`#|+cVDZ1}6XIvzb@St|*Io3d#c|%t z<qi%<=EN?JwsbnBlg`9*U<KF2S2p{vy<%FYH%WTx`)e7d5;^CVsh#c=b)WX<NZYSZ zS^fKDW<1X<tMj=1{7<Fn-$hQ%iSdt;-^gy)Reu@XQkpB9y}iaO+0a$>^(=)Z-_6r3 zoml>^mWVe9>CJhVm~Y^koL6}%Gwy%R?yW(6lb+8mE8$$WoO9OOuge8ze0`h4R}fR2 zCf+o)W{LlV+HK4))-Cnk{Eaz~F;M23tDaR+;mkF@2NIoE?m8K_Km334rQjll_?gH5 zocDNBz`Dy^g!kfep<mPf+x^yBJ^N!*rHA(?;c(rrn>xhAAJmwia(HO|kUfpjJ#1$C z7sa*fGEDs5*IvsAWOyapyeGG;F#nDGgsDd&158*oT{d*e%5SS!zJjwvSn9mv*XJ3V z1nf76#5_29aQ=1I@;&cXs0m!&+!C`~e($V*&vx1S|9)zHSR-Haw7uS<K$G6RTTV3Q z$>uQ}mX)_W#*-(wRgR(LqGU$DkBg0N?e>h^3HKfIWa|nU>Lp5cUs;eIZ>igHxnlX6 z3Tf^C<{O!F?#*0#s%&@Q^ZIAM#jb9tQK<9tHaMPhduRH(m6z9U?VG#UY}p#`P49}{ zOnv@wYlV(uxAbbisOGtb>KhF+o#)igSU2&ct6)sk>*R?|MPjEUdA61nE#|S2<h9)5 zWxmHpV#@bBJd)dOMeo1q&RN1ynbT0;)~_8R&69d>$=hZjlT4O?CWgDO?UlQy`!BbT zFmLmd)T<EK!{9ipA<wloCCpOLbVtFqM5b3+=exN=D#YsI7s|b{Y(LF?^ufo%25+q? z3xk%5e47!b*twW_V|vf-kOMbAZ@t7;`2OU(f``hnZKu8}-CrbdX2Q}d_AfF|l?3N0 zv%83@yn7rP*;_AkPTJ|;_B&2VYw{yQ)E*uVuvO7pw!U=V@AJ>@I4gHc?{yVCk|sEV zoA0XFCe?z^eFn2i5`#W8-Jg72M`hub0xn*`s|QncSPn6p1-!i&5-w5hb-$ta($}v& zZVOgh#m@A(*QCz=;EL*kQ!ngyMg6>aSkfZ()~s_vp6@m9u5|K9(c;M6K0j+?27`pd z^Zggj?^iI74|u%dF2B0AwX%gE$F66W%Ga7z9h=VcNUrs9>gnyb78M&bbG>>PUD5pg z;3l?>*L2QJndP&6q08<DMrWbdYg^YFhh)9oTs6Dx+PyRG-;CIpb}Zr9?lV8dc}mT` zf@1zyGu>LtSF;Z}K0CQ`V(>A~PiJylw8Miw78Iz=J?GSRx92EB?9HzScvs)JbxJDA zN~FC&<&bQ*jNa$wzP3rbPt0R~;AS_mw{~jKvzZOT+qgc|{x}n7f4|G~<h2=G2ZJWQ zRDbNebX~y4_fNauow+oP-OcoB=bd#2OHMSuoEqdMUAZNuzb<Rfp}=hs+lzk4w^Uq7 zGzt|^F+LKl^F1$s(f0fD-xevW6B<K89JxBT)i3h$+Q`!ySoYvkVf<QNhT8|Xdd*oW zwf)P5Ao+U=CvW?#{PCiMIq~)DP(Jw+3ts1%?N_M2)O}0nO#ffC@b%VuhKI}kA7bZK zx4WAyuW0>d@@MaxeU*pgV?$~lojSfVG)l}xYJ2<hhPyL-(@uxSt8Vn$+&(WbbZ!0D zckS<%So6DbDZTl(#^6+Wx+Axxri^!C`G#o)>o;oHPu)^1n{F{fYffN5%HN-Y%_okn zZM6~KT(#_NqVZ3&$6fhX7U!?|F!$`vIW0#VrkWivohW-&Z~L0yw$;<yLK~y`-<j}q zH<TNjh+TSTyZGpJM&BLV4<3I}!(D#lNQdw4@Vjjf7VK|YYw*f8bJv`ky8C{5Ut@lK zyei|q>F=NW79IC3_B1(sQfE=flD=P4pOhTQPtA*UOE#N#L#wKGamC7MyCz*(8yD4> zerM|XHUBUEWcpdBxNQQbBbP;%Lx-%~)pCZ<ySV?kCO$SukI`JaTk(pc+-$2wra4cn zuG>#JV&t<dzwztaPR9MN=b0b6vaxlqcsOy@9{=KJ?{CW=|2IMTV3Y3GNpm(mOiEeQ zs~mguNB8OnyLdl-D9Mc2w8QVm!nlX|2Cc!91Zo!dtYH73u5vHYMf~yFmc?>42k*>N z(~Ow5AdNNsz$O1VJC|&JwK~B>c~R%_wBm1yd*^Npdo88DF=~6Cdi{@U2BB$_L%rM| z$RC=ck$J&-Zt;)zuk|)??6{@eTPoJI`^nd=#QGKM*SVi)e4X^S=yqPDw@l64!yhj4 z-DU1k;N52IrP@{>dv3{>8lz3?ZmaB;OVm0ZE8_ii^~pO8;q3wE9?hL^y0W@v`=w*E zQx+^T51Y3_^vXf2FDJb>H{1yR$XLDC#6=*pI{EwZHIEglJ}ujwl(+Fo#nHKcBvyVi zsCgtFpCfetb>7m|fnOF|=9w-zJ7woI6{b&N-b}YIgj_Ffo}YD^i|fbsK99@|x9r)C z9j$BL%zU&icKea5Zy($4JhD`0l3c_M;UXSk<)X?*`>(ICWZH4}c?-W<iqiqh#Fc*e z`_|0*kv6%E>6T2U(2D8mT#g3w%qPvz?*6v&MWK|s`mW0tc{4ayH+#&?pLNCKRGv1w zNBH&=wt}m}uXB4};jOsHACnMb)6=Z1`FUxMbYbh^Eb)dG-;iZ57RbnPMrPZwN9=Tn zi4)zdlmC|6!X{<?yG@+;-hGZVi<f>i>E0>(rsl84KQFQ<du)-git?*xQMxQ_;qNoi z=Hl1&UBX9q^%*ZatNqhT=<yeQd4V4hpKlt<6dD*VJ!oJ0^iCIZyU4!BQICD%W9QoC zoGE44Yg0Qd@~z5IlZoCu1`Zcp=Da!_T#}i;^8U$rGwjP-CLan4lHq>ux59Q}{Qezt z*IRPFd3a{}rltKW5_ZOa;M=1r9%YyLOJ`-DpRQGpy@c~FHxIu`1-p(rWyTBKbVYxx zeO(_d_-cA`d)>PY(@+2SRap7{T<;^+`g!e*yrthH%O#l^%u|0Yt=8d@VEg$^Kjnwz zwp$xkO+4s0)2NZL#B*YvRp@!IbswA+X5~-gz0n}$t*!iLqVLi>79Qtzq_K(jFa9dW z8p_Y#V%p@@P{T8ie|n;gldJG%eeInsO?|)n9x%m7`{W(FE3T<(oh<scc)P!~mj4R9 z<hdd)+b6A`V7BJ)Rl^BKeqBzjzthYs(XA)4<bu<iXv;Y-LV}Mza}1yO|B~K^Qzwe~ zmFD@zyNhnKcHrM|ynFwYrze)HwS6dl{n=PWcgB{C8?Vyj`gjj!+zWM4*00mEf8@0L z;*;LXce~i^7RT8OZt=;}DDONb{HgYPkACFlbKmcFK98Qiz>8s9cK(8Y@!!3snQe4r z<!}8b<dVrdA??S8ybVD$hEaR8q*k{2-To52-YrZuNPzu8;&e$rD+j-xm8ZfNu6?** z=|R!U+<ta9ul|+es}7Jf-!8VXp|!)R>JEFcL=pFcju$Ko=jtkkU$^TH3cF#csaiE{ zUc=2-+_4WIPdA)t_;2#Jb2{7loIUTnE06GH%b5J_fcuw3gVVEB))%Zc)=Y|>ckcZ~ z)i|b$DKc`8!vFh5pIxzZLtNUYjXNwH4vD;S+idu)q(Isx^3t5LjgE&NY(AD0w^&hj z(esEtmJ}Z^`Lj)r1Z(OaB<*gLUA%VZ>shP6{JVO~vwXojW5t!(?H}Sq7M-}YyS?Jq z%B^i7k-vg-0z)-Vu%6yBSw?M9NB(cOi6T#|_7~fhZ;uYybkQMMGdwb@M@DScE2{-d zl8&o0oR$0OlWEb_bT>0sHfD3sti#oe57;B*pNRWhYgxXTt!ts-v*pryxjDk88;n1- z{8{F8&2EWnUW;z>t?w87UYDl%Bu{sZ*(m(&w$@kkf48cy34go!?)aY{OQhv?Hf!#k zt9;Pu+Pzrr?P@)If1_G$TW<YmT5-rxdS%)p-QrHgu17aGmPDKnl#Q*qD``-FZR@$h z+ac#}UCEBQs{6UWuRi%Fw}ZIT&nrw5WzUDZZSXaI^Um??H}TxMi2YmAvu3I#YBbbn z_Wk*{DnnuhR|k*!W{bIdq{5E7xvQ@)U4LJZ&xvVvUeW^D-@W(t^eE(UY1?u0c&@D1 zTXkU79G=I!Hu7BchzSc^>9e?hckcroiR3+3_bJ}>isD|iF|&HL-lt<>NoLcYMC^Q3 z;+KC<<@bc@_2SzD(kCzYw$NVwz3R^sx34bOT>YUZS+Un==cJ{U4l=W4-{1ay@7|*1 z+7|g`OsNc8W=Pd4JT-LlY&8$$7d-QrTi}dl9^(tkzO`%GyRK;JUgZCp|4rpfr04yI z9~MuabaayHKB4}a3*nImSN5?b`fja1CYSBG{EP7`r7iVaxsMvLP5#%hUv`b#=d+)U zUU#zfOkcR|M!Hh;ktrMHCm6`R`dz(gmD~DYbE8u`8OvBdD<9<DW2FD8_rTh+)Spe+ z6P4e1XQc~W{vufGYa_hxe6O#Qt>ZHHABTN~1S_*7HJ^sd2K~7jnE377QT7y7SJp|% z$9J9gj|jLrY1e0lu4>8alX5OAu0A0aIHl!tru>Ad)$+$uf2?~fpe~fNxiFC5)X%A) zFY4jaElXC;zrR#rd;I0nB(;^Zk0qWcu<beHzxjzp>V}p>YK;n8j)nfS*N^)c`p-2l z@AW6KCFKze_miDc6*nC6)%tt(Z|b5sh9-L4yY6{2+4lI~QN32UT0l}aQawVLd&<m! z9|v<L75<k>o&M$=i(ipYN$`|lKe0Jam-IH4*8P`u>sLG@$FF_PxO|pc_GEqSd0#Gy zY`T8!N$jV(?GqLJQm2L{zMmy2uO@h>CTrH~Dcl#kw;VIvd~){O&`W##MK1jKlx%o! zOL&k6Z_E46$I~O7XLcX)nR~y$<K?kM4|QXXekv{wii>L5lR3ft1?Pl^fBKtlFJ-t9 z{C2K|<{{Z>+-1l2E=@krU^wBC?9|R>^|`gqkF&xOn~Y2D8cT&$F@+e1H%yQG^0++n z>ZTccen>11Ys+sDc3Q0D$(S6am^b4}#m2@b980E4w`_@&*Vz24ap~=k)g@CD9Q(pJ zmvL^FP&&vN+$T{v&28q7NsLxMmh&3isb1U2c7@%ty3*me@qRCneVjS-RHqjFKQiIo z@#`)gl7H?58ysR^UG1V2SmGPFG(4N1+gT#E=03yqOxL`d(It1aPpTOAq<HRKcVV)m zl2*@-dabOY4>kJQO20nVv2FGF!;vd@H88}~@RRGlINN_h4jMeMcJCeaid@$0d4E%@ z<IB6A+tTNMrz?LpE*7^inwp|>=%4!CKQA`<Hn^J|^<ngQ*tFmH)X8(InR{Js+GX{< z-F|x2WLL(MXWF?e9&A0lz0{X4N^|cu?*mU}$@$Jy`&TGn7;S30Q|75-gS*AO1j*j~ zT^n7KPqHxj&fR`WebF~2p`e}Nuez)joD6@lsri`<zsr@=`&!@ppEt>WB6HVAmv4qE zdqSqE?cb<<q2PIK(RDMEkERQ_O6T0Tyl7@5hgAQX&8_Roi@N1f)&{J-7_vsvAX#D3 zhnF1sk8d0OPt&iClqf&GX`<sL?WQT51zyq9Ke#^C{k!FT($#8@;sbsWop$Byiyfvm zoIkfnWgC-oRP1ew%VpPQ<~sa4%xd*H@TR8bzXOYk{=edt*`VKe+xF<SaF*kVYrnnm zbzWUC=}}TrtM0>^4dILL=wx4IZmaxXVH39~CvyKC#`f+tj=nyBzOFoRGx`7HO-K3+ z-EyMUY%Nr-#+l5joD`EL?DDK_;a)@2rJHnR-Bc#NWC@>qCA;{d-r1gW6U3g1T#tK~ zsvVzYqP@Iv)(cnls%y4oD}_|PTi&~0=*?ZMu<Tjzy2uBMvyPps5o+E0>Va*$TzKTO z^lkS7&eZicHqNv7yxnn6_>#{S{6$B<>l{j)9VMk?eLX8?kA_^0t%qq_V}k36&RJiX z?RTAu3{-J4DQeg1wY%V7v^!&AriVi5v;Q(*!t%CG*mUpv-6fIHZyQ<<YI0=M&l0_K z=9$!|>NodKKHfUj*Q;os^4guujY?<FpD%UOpMLb}Mcvw?A0vD&iJZK5Ahl)+w>-m# z4YU5_S+5h%Gk$YTON@2<%xeD3X`kZRcV$n%B_A5%JV|Al`yH3Pf-@^7KH0kU*pUZp z7tWS&dLLAXfA`@0DP52A#z9xs+2s9GyY==?)ci%UPfc?}TvzVs2~?e>wDOVt-g;Th z`tTpuc5Hb1xYgmVTG8AmAM1CNKE8Y6*!IA>Y3{d|tvPVuUqZFe7Q@-P%nn(PrLFUi zw5@vQ#j;udZ*!|>^2>Zbf!pDGn>Zic@zSw2vHzVkA%8#rzfWKPE9IUpsc(OD=E^K1 zqld>`-uB7VgiJN5RLj1>b4+yBe}3)h79wWe(Kn>o|4)iA&TX6>_(UozD7WaNq)O@} z-gUocoLW64q3Y27r@m}2+twWZWO!}C4zd0P#%m(ZDjhj{PW$E=1&?K`m066ekFrib zv%6ze;c`Xqg_F|GUM^b6<p1sC`sb#FN6mh@Jw6pI|F%pvWS(19Tb|~L_a{t0DSpaV z-?j1G<J~<g3f}50HoDh%IX~nwBg==)6Stbo-Frp0-jP4W=fmWCB^RF;6sss^FDUS8 zeRf$XMu|1`-NrT_MukI#`9~F9`qm}vh&v{*NdMuT%JqBRzffTQ*!b%H-zc64PKOG+ zA0KmjJ{kM&-*_gSZL)34t)KaRf6cyc*>NoAyQ;>)^*28z247tit<UFnxyE+Vx=%u- zTE3cGJPqfkJpQ}?--d{v9ue2V&K51RyD0QF%SyfRuGsq3yo*@&G`d!1JM=}yx*ogt z%b-DtRruJAR}TxV7t5?snJwLSKSPPN>sD>REvH-Wc$l|Y$$zTbwPV`np5|o{Q=S}T zxpubw>fMN+oq`reF4#NB7OuTndSAhNeacMv_TIva_e&fmT#gZXbb_U9^R-D%+-7$a zPb}qo!Ta@k#!R-0yiLqAr_^;iCfq9YRZ7!hN`5`%%krg`Ayw?9*98*hg}0by?zI!E zu9@_+&N49~X@MCB_sjz<Q<`t4W=t*37Il_jUgEH-W%s0fcAm6}hgSagy5-(gE_{tI zGm7Oe*Q>zGpVO|a>QFkGdAjsc{ecw%*N^o_^02im;pv$9af$RphcJn{sznR<zRY@e zc&S>8&cS|-sk3`N_01Attp97TmB7@uFEjO2-L%P;R#jHobG}r3P<VXdhO3lwWoik> zfe^+l8*^9XvZv=xB-~y;C$`!0`+e82mg+NE%RjEYy)EFyd-tYJT@LjRb2qHK{_cxy z>9w2lOO7)|9A$WGc*Nhm_w`!4w%O4elNUtJo3_7f#+SRt)PEmmsBP?eyG&vI*ZEyG zHQ~9+TT=f<9|)~)W}Vu2hX3P1OPxbec~{L1lD;YxU3{2jvS8w(`0u)UL3i$bUr@NT z@!$>T2kxRBk6wP<xc>IcMcJI^1-)*>*#3NUOEPMq_qwEurE&|;vBpfYIeaoGh*@u) znTPO^rNL&xT$WRAX67D=+i2~->yyx5-?SMQ&KS-%Ts2SI?bue<`R@{~Vx{s9#ZCG; zZ$TitmP)p#ZQGNKZ;HmhO3O23oi=gq=aGAzdi&VKMz+8s`5Ng9wNBU6b<MfA@R)U_ zo~x>5_4}&Sm3;?gB*hI|s-Ls@%uWnE5xaZ-f@ABYEGs`OzPGRBUi9h{e}5L$yjxL{ zmsVbvVj}qW-Ve>D0|(@OJlJ9}D?jgY>Z{VUE0Z7Getv9kOTgCmf;B-7c@JNGQ(e(8 z;iQ%3`_p<{d#?RqvCNy0rX*t}W*M%d%-`)6x;f(aI`tGk0ahWMiQ4^_70s?KQ>`km zeEUFeLjr58N7M1;irv+mNz$>m7fmRAxqE8ki)w|8rQiQ`vM!(ScBLuL#=0%J&sALw zo(EmH(7Tz%;MRoKTJtk1P8ac8gm`YLGwJGUdVcxw->iU!`x1wrX!(XVJe=FH$@-f` zUB`UoC5*3&{HAT&d27WqUx!?^KIVWg2MS*%q->m+H8nnE*@AA)6ltfE%nLL$`hRm6 zdv35TP`K`;wSTeaZ`ETWg4@=tdbeZO(wWKYb7a{Q*+W=VmglwH6)cI^nskFNRy*GF zimu=@SB>=3$Mbh;`XA`_nl{z4Fld&g=`3B(v)TO8u8ZcqJYfFxTJM?d*CS4R^_+ez zgxgwifog{SCh29eoKkB`A87>eOs={g*1RL3Ls)S`;Dd_4r*?e&D$Z0=?z83BMeYk% zMNLXxschP{=YZ(GX6f`qxibHh6LGDf+Kb#BpJjyCv<mHwHG7h%KKG>lq3L%8_XZr+ z?>Zdr`M}k(?9IGd&eT~U=hRw*Y^Bt*eX5LgS8}-~o?NwgoBS3H$s1OmdH6n5&V6+~ zV%<t1W?!S<S>=av?416Ie^s&zn|$_>4Oja)jh;_3w#QFyn;m~3&QB>pc>7+jyHj3w zu0CGQtsr4|LTtOB?p>9h=&N7LbB_O)dHrR|vajU_><zk1GF|)}tR^cjDxbHdr?lx# z_vR&!-b5&K8pfv|TDM;FtB`l-^oSJB<#H;~)fW3|j{9jWxpw^2iGA7@>*g%wdL645 zD-||ZbV~8pEwfs?XG`SQmUk<E`m?2A+T^|LODDN7H<_K1uh|(@Uvu!0P0Z#$XMXtH z-Ct&G8M$II%kwLb-ph&dXD*iJn2`HWVx5k()2&;=+8>ox^zPpG=t;qrh`_R$`6t3` zuR6^B{pYa2F&&Bc1CN>(Uu|D{P>aWMos6wiN8z~(_80dolu_$j%e1jUbGyd%^e*qc z>z;(M&#cXv`-#a?@#Uq*ZU;Z_o&RsQm&%>f*EF(t5`UdrP`ugX%qz?L-u3J5mn%N{ z`)7^GggHGiPTc#%dYtkD4CmaL!}fEQh)5)J+ND3jC!-Z?*p}Y^%~JAKt<!i%xs&r^ zS5D0w=lrj2+QJJxh30)==uh##yw6H-PxP#hXHKS+eVgE`>{7M#a8}%N-X;Ca9UtbH zm<ZH;^)<2MDrhs<z@qzXh1Rd$TL+lA^1e=+Y!bcc&h3(u-YhL`vBjzBXVsW8w|G6? zx$t+2weh}<GbIv3PwH&1{%NcD^qgas=7U>ar)={r7v{>?)%h$s`)6C}=?KNYuL8gF zH($I~V(t*XAeci=%l6aHd`X{ee@`rqX38;-+L-Ryaq;THn+}JLHs5(s&&t+$J;!&} zj}x`Q6YrncEjjmBb>Yt!A!QTnqNn*@Z(kI-WY0s}{3GJ?4tWWj3EHgQ`+Uump5up9 zOLm$RpU>)inDg@9H4i<ZqDr<xdxpl%?>!#p21+_#|5*B=v_k6GlC1cofJy4w#%-d< zrILQ0R*X=YHgSDS!sJVQPhwxPob+dKJ=uQEwxnVDo&DX>O)eMY6;|FmtN;D+7xRYN zzZ&jb_ZOO^KmOvHz9!e}YM;L0rMfu};*RCL2%0UtNbhG_t0uR(!R}9KKd0VJDJyF0 z3G|=7apCPX=d5_Q>=N1>I(<D$cFA;!?JSla-_AV#tlHpp>|j>ugKN{C>+TFF4KZ{5 zwI<&FS^DbMgJCsJ?f-nZ(uLNu3Cz3W-m5bE-Y%bW@9esG-)NuZn&Yz6N<Djmg7N!< z9wl`>sw+M(JM!^?awGEz&f4E!<F1Eiy`H@#?Zln*^F}|cr_5-4ATP$%ban2}(k#}c z8lLAG4}6N-6mf!Unc6Bwt~0Y0#i!f~y3_BsKb2Wb)cp6P;5nf^%yTO_Zbw=??sHmj zC+S|B-KW$_#fGOFcTdn$7yGE97ACSx>}rD4&g|unD<a~WO4{x<a=Jx09F6Qbko#$q z^2(}*cX;-+#{QaWW6<~bVC(;l3m<4)xpyl6{EhO<OU>CV?AL2{A9!ItQ_**A>H5%r z=E70$Wt&8tx1IN$zH92OD&F7<*RN&Qw#SOFTFzS{Gjp=u0lO{yZ7&{Hhb-D^|L2XG z#zuiOHnRow-BkrDDlatz>zT#1XV2FuHBUSnQFPe)iK|fDHT${3=~AhAH4CgVa-JG( z`&s@k{IPxR-*1!tA4-Y6EKuRO?S$p;3lWX_3!_y3R=jDpXuEbc^Ba@>`pIu9CT;9e zJdpJ0{e+wTPee|wIocO;QlK*L@7JY!jCd#i<zC*t;gH>(_{O@0p5mJ#|J_|4@xS*_ zut3zQpwAzRmR#`jd?OfjNwD$4%m9})-`5&+UzF{bCa*0gW-RNpdFGkRo69ACClr1$ zxW)K*QG`}x+=B$Yjd}~*S8Q2%==DYRdZ(9a(#2hAN+IeA7mUOM|13K3#@g_~ZJx?q zXRVZKs{&Y3XXq@Mpr^c}UnyeS+lM7zEj{;WEldj9UV6IPV5(BO>g^Nv&8pjqf0l0% znf-kcPiW_<w`<obr|_m#O-~Y+{riZq`s$>Kd(Cp6aK@Uj|9cP-X!Gd4u!2!oG~54q z9|BZu&d&SHu`2OH-1(+WwYwALmwcSHVSYRZgPrY4n?2%{nwPnQ*zff&-zF^4b2e+k z(by;d+bSpitE<~6C$;?6!GGQ12J+{&Z;CE$J*K+d!!1|0qw!?D9rtwEV|y0piYI+5 zzg%hMa-n(s+cz)Q>&6JI`o*97z11>rg2B52*Z7Fc2`eABY(4uZ>O--W@`+o;Qj%gz zzhs)MunD=Wdo^j^tcfco_P$~&m9TU$T-_5jYtmDf{~=p_+gl&(y7{jr=|%DOjmekZ zFE4uM@;}UMdXlpCu@b2(&7u4YP4Z49*(ZORQoa0-P<3Mbs*0cY9X>u{Xa0L{|E5{H z7&A66$oaPTiv6FuhqZ5~TJQ4x(&eAhxq@@iiN~LLtZp3h|KoL|YJ>dxiQl;G?f8z( z*!Z!!(YEcf+WDAzqcw+veq~(z|6X#(12OU6^JQ1=Umw3ZLS0Btp!sOQ5x?t?X0lX- zUR@}i_#<$s@`SrgQ+;K0U$~Y>A5KxTocH>6%MrcK&1Wx1*E^@QYHokgbMNY&eJjo0 z&vI~GC+&YBOd(?{?<T1(V;A#+MJZF?{+>Q5#`|m0^iv;x@R|EretnYmX8+uJ)hXf; zc2_oDcy{c@dEH5(0-p{^uj$Xu(%rQ4X|#o~hR;SvhMDW0oGG`+obMJD9wWAKVJhoc zpB%a6zgB)<=@Odea_#Kmwq4Pe&RY7NkM0ZlB_dbRU;4W4{kPw>_T`rzzTV|uu`Me$ zb;6lO!M)*g{$I2!{a<T)@WX|ef3H+b9xLBYsXp)fr6rr!x&O{eHf{I!=2ySHDq)#9 zFYRpayYg+VXD7N$+^4!ds7rO$r&-*dYm-0nI>kEtW#0Q=z4vm6_nb}H-_A@oTY6>R z!^tk}lJ`tDcwUk@#qedC+a{@LjGJG7J;%p#Oh*1*$jjs}1(LT;`)d{Kbc`;YIAi0< z=1bp1?)^X4cI}zbe&wl&|E>DF*j!GlYh8%>{&MlYZC~o#-DBpR6D{iBy2)(ufrqQ@ zZO%PfzpJ#zd(JJ*q-kpV%=~5i=brt4J+kt5>QT$?WAoz^WZN@lF3FhtuIo|2)s#Q; zgAU}ZjsCf5X4dw93#$S*H<@YOThsXWS&#SWd6S(>Bznz4bmnom%<ekr@i5|al!E@T z$&XK*IeqV7Twvd>KYKF#x!iAR_zOQ4K2`Ak<h|T!atptueNVZk`{ub!>mi9-HVdNu zh_s#iEMaAEzqN6<P#xFlOKO|u+se<}_`I|vWd2sI-*+;vRN61zfBWQAgE09YlGDV* zj+K_1?>VG4=SeI3yRfEv3m(sT@MgL2+=x?8JD)ubzOCBd-O<R$emAYK@wvdE=i1Xg z9P<i_uYXxx!*cxZw4^<ER{Ff3x4W~&X1+)GH|7t_x8e@}<z%_bR<b1W_eQ1bw`W<1 z^8YL=OkKT7>uiEv^=ku@+$>40`70g0OrEzl1f=m9^0zpp7o}E6sycG$t5)n<9qg?Y zZFN`l?131MV+Vzs8#n^0e(bAK%MGbM5x27b?7T~hQiKlNTI%Ug`#jWozuu<%_tx)t z_}5a!yC-c@sG!UYFQ0iE*yN_HldaVFu;g@^x`m*AU1@IGVbNz|`va!6Jhl{Gl@R9Y zDL(hgwajFRZP!mNdig8V{%6%>>$sq_tOd%Syu!PWP4YQv$-9p^Q@^UVx!mAa^~3FJ zeouXRF(vWJ{Z|JJcD{QPmEW*C-rHWuPlMa!z0iRdpAV^WT(wnOB*jxVeNDH%bk0pt z&J6xbcX};XS>+!-=F<A%VpzJk%c0=Shkk8Xw>sX#eP+A;r5olpKVGOeKiapa%zC+a z*NS9@NuH*vR~9jDy!~1IO1gQX;+fX1UxH2U%$*uhXWM6b{F{a1wGh|Rhnb6|w^~oX z(=+X)vqcfhg=k~{>uhJ9*FU|XtUop8%EQighJE`d{d;gTXz~xA2LW5$49=OnkLzD{ z*^P6bW>>_^|IYGznJ=~$@70{{F}cu~OZE7r_tNear;4;%eAAvYKV-Qted4|CZi~`s zzt)!2%@;W`@vm^J&(pi6d`}Npuolb6e~1wLa&4NL>=K5}lB^#;hgW+?|L+N?5Pb7g zcyWk_T)oB0$NNOpg|44XXqu|~!1}}FXG=mCNSNC{Q{N_$kT`)){QjYM)(ZX0=GSV} z-|NqG_nwpZV#^1C<8#)WagR~*@Y^`Kd?8n`_m+t^{BP&hH1nk1zry4E%ysHacm1@~ z;15|_w<k&aU5Zc_(w}#p^_n5S{AuQ6e_7aaFWXFc@c;cU#q#RV)iz6wo|&s{nm4gS zq+C|V{`jw!z4dJ?>T08uuX3LJS9R)F#FDsUyT3PcvK(8PQ2PEAuXcXU!O0H~mU~~3 zU93@RQF6lYj_<De#mA<;yEo_6q)D224%zqKsjrxNUie%2x_|6UD%L;D_Z+CpdF^8H zVRcRv*9o7*DeEnGWh_HV9z9JfcF4>X%6a6<Rypy8_=EL5>$YB=_v=)CdjI*Wr~R9* zX)=^N%St+(@gv?g{mi6u4Eq%C9e%suZdv4<Wk%Jvvd%0Qu~K{fmrH(f?CHzqQ@wM3 z9aLozh)xre`|t41Q0~y<u8r3x$4)PH_Se6Bw5zLagYEAuOOs#o_uC4oJiBhY&~fJF zj^JI(!lq3vovq)>w6R_{lyzF(LJ!{iPj0KX?0E5GfiGWCu2*k9TfEvGwTtVvtmhH= z{%0Rg_Ba1Ghu!Q>7A^YbB6p&!t7%0;{N%P38f_;-cW&9WX-@TN--*(!f<dpAE)6SC zy~<R(>3Gl)lZ2JW`<UMN9q(LSy)`cO#;l-)Ph(Wyd&qJb988J5Bi_81D`RqR%kfoS z|9+YVc-}tsX-54)kEt`V=4vs0ouTR6t^Zc6=dYX_TW_(znQOc&g%a9|1#ZVU=$4je zRZlwP5~Th*S*7Qm+oP3B-4(X3m|N3!<=3tIeQfN#OpFm3T|OuDCC*Gfw@9(tNpnMZ zOZ9!LgMFVEEF;~n+Jv;JvR%wf=YOBl!FBxfmgTel*@Y_I|JqgBzUbEXi&58u*j{Oc zF>0EZP34%)^vz*qsrK$;w>l>49%0J13;w^D<9A&B^O!?U6_aMI-jc!c>ErZ;_ok-2 zyvq?8%Px3&wu0cidP`+V*)JV7JA*{EqXG=yeMy~nMpi~IqQ+~=lAOC{E;j>L`>k7L zwpTi0*~dPa$R&=88dk2E+|ujndgoTe{EptzG{rP0qf*y4rxO7OZ)H6>zN_mz?`47Y zsr))qpBWUkJl$pWOYPNRiOMXypAIuvQ!X)B)b{pX5MO#-E2e)%RoB_IZGL|f);(2h zXsHN$+TUpFX?RX=()07v`Q|Ko+WP(WPX5cCA7=X$*<SyD;_ZyJk!|bD=6!T;J=wt= z&3fZx`V!H3L3_`Hr&I@Djc8UA{_>`%pVd(|W8Z7GKVMkmR9>CcId^=WSI-;utB;b^ zW*>XGz(aEC-aVh2)2jupOWQYQ7tCDkt~K@Ude%Rg7p`)uZaA8H<Gymg=Pq3ar@E=T z^>4-}mE4}Iz17y`oZtecw=>Tv@LHAg2>&STIyZTn!rHdt#+s18#rm7K&R8oe_wSL~ z{d-xbZ!5X0p7he6y)O4hnB!UD8J$K|tPCYhKjfb|@tQr>V?JKQc;%a4IP<cnemf4_ zr@t9Wi&b^1mU+k&ob^SQM@J=56YvA^_sLv7UOukLgA1T0*6Y%&vL@1nVr7l?Tp zfBae?`0udc>38?40+TK%>+ASl_+N82THp{{ZRTcm??1b<*pHlvVF(hw-FlJ5OgHDr zV}ZtRQIkLRH3w~O`n33KM*6-m2|b3j|DJ6174SNxzwO|h-(FYS|F7omv{|DvqrSX+ z(W^$0j8i&m@Ap5rckQSZ^BM!yj^Nd6DoSM|O?mI~T1{4%<^RQ)@p|fsDJ_cX=?9mt z5^BlszaI1A@ZUp6`Mf&z^v^y$_m*t}o63UED^~u9GLzi3TrfOYAaOI#w<j?L^K!M9 z_%7iU4!m>Yy+dxL(qayaU(seOcBRh$9s7Bb>5MZ$id{P8IabXFUjN?xcD}AaO7iV( zA`@1eufFrB*SS&CL3v$XtCzy@L+dA>eCll0|E5pZZX277?jy<iDo*c)|NFKrY4A9( zVc7wpRkz#pz3f~9tq&WV>s)eCQc7-@eDY7p{U;Sp9e9|rdB%?azIFk85%b(5zjiD% zX?b^mulsTN6xBs{OrNlct=jJSdBp?Euv2-~3iTrAu3d1kbBq=LeqKEM%H2u7=BKlT z&8d%`!R{)grSssa)?0Bg`E}6?#o`w?eEYxe4y&u3esF&D;jZawY@Sx!^&%x)sr5|H zInwLypSjpHDe}_XP6qvF@srNKd$X(9>VsUtnc#bu#kXgf2g){8DE-mhSGn=}zp&&B z-rA+>En;ul2RzG<VES6ML*0?>;Ec<v9d91K;QKHCt-;<xbIJ}&MLBzaArB_Yru3|` z9a4|8{nd5jwPlv~H~Kx(b`Ony#`Y`h`Q3ffb~T9ePq`bYkS%d3`DIH*<&=*;Wt-J^ zW~ho7ypZEC?D^oJz*^K{`*T;nPyf45S^E>s(rpx<95KnWo|!mjLG82~DY5IMn#Jv7 zxh#)(-ToTT*gTKp%<5&Srcw6}9A^8l`g6>$Jqd4Cx*pJM*ROo_bxF$kcwd#W30z;2 zO`PSN@4bnRE_J@PJu)izv_ezpWaYhyvsiW=F7H(_ntJ9&^80hq&s{WbTr0So<RH3; z^K%^Ylq>6^EM6~t$Ml}ZO4q6Q<qH421QCgS`~J;!j`>+)Gnf6NMS7iD{M*}_k*}wz z3+?UBeKWf)La8f6zI=1e29DKU`Zemu?r6tf3vRs^@~%@UT)L^{zhB9`m%4{$sNW8F z=BRzF;&tC~#_bl%SuUImzPaQNkJid0@9eg-X1TU&P9>VE9Gh5^w@o^@V)~vpC-zRC zb#%cpU5U*v!o2mYxIZWuTDdSv*6+3FeaUC!wm~i_X>;mtB|G=I8+$50<uV`3S-Sq> zFA+N<C&6s_F841kssG%bg}i?3CYrI~a+3M{+AYg|{@A!sh3UJ-L~kJ<cAm%Ywobf| zn)SQJ+;!7h>q~RL-u5^Znc`a$5i6}7#qjC7>zS=DPv~AZoW&M9*)eSYlEhy!@A$nu zLqnp4eYWyT%{ltWXu{{XYoC{|s=VhuN8a&8M?&Pz(B(pB`exr1N!<VR&OA9qVWb<# z42@ILEEN<K6pT|+47Q~?$};k9^N6(ED!2WHP16bP2m4fZXJypL+>f1pOnB8r{kevR zHZM42b^iVbo}kw4du}Gasrt?pl=xChefvi4Vx612A|D7^B{WSFH0rlMxwFY!&~fYI zjq_GZ9y$>>>B#Ej!&W!n$zN4DGpXqBwRGM0ue&s>+uyyYI{e1FO+a?Z(zEVIHFnwG zuw3}0^S0GRcZV*Qc?RP7-&wPxemh^f$kVQ8Q1$<7W&Nk+;@mG=Umg~8R!Ux=mwR`? zzes}_Q*VFnKjGfL-g|A$1|6$a@@8`F5fh*L9JH9YCgbF0jk9xqUvK_d!z2~y^r7Z? zfcT#Mg%8e3|4*L!V(|i%_*B!q4=&#S$b0mc^{b<j4x;+rvexzQ);+(Fx;a#B-u*9W zMH%uJ#15Wcc2QOM=Goi7M0=OS{*>K4eVsv>ZpzyOS9X1sF*)(mUxE2_#{#iSj-s>Q zr|Ya?ImdZQhre4zyl}bx-&5TO`5ez|v9DK{Y-p6`_^$Hi2e)5WpYNEFu;lUVqaGgb zx;3}1uMaLM%b4?R!t><jRUeI;cD~B~?NjWz+GA;9$=Z!U(`8z?JN~JxS3Gt3!TT@s zq?bLivtF-lCbcO(_UiVR$wAs5<61U~Wj$)#74YQwMHAVDYvLs4Oz!M(n(3l-z%wZL zsk%-|>E{>P&*raJ{l13Xyin#syx6XrU&Sr^Wv1tHKhgck->9<e^NFzLkKb0#t-H!T zv%N`UPfX&Z`De|(ehHa;hgV3r{O$&?IeoSotSmF<?~!Lby7}svrOPL+&X>$yYa*9u z_`Uz8(X5k9|J((aZQ{6E%5+kze8+*-m2-{<w&)rhkDs~m(3BY=K6USU3ph=#?_HX& zBOE-rZ-cP+nf~(SyFPkczC5og^owKHgOCgVD;rm|t5qz#DH!^6iSXKwU*xKOHwWLc zu{eDDoq5*Q%kpQRuz%c=v^um%e8u&nD;{6lethlZiZkyfFIdHHt>bd7nDLHj!2BtP z9-mTS7U<Z%GT*+u&*1yXrbp?f>~H2a@JRCV3CdkPv-M9{FyopHeitS_W;%cQ=O!ny z`Ry)w+g7Y)%WRotC&sF|(O7xH)zeMJrCb5WresH&|4wi`Qnb>pI$+bGQ*AZA=Bp${ zFGSvdeL}yl_@I{3VL4_N?&qg1n&vAlxw=2*OF`z{pbx7v_Zu~(eEGomivQ#yeOoqG zHG2kYvzw>XUdqNxHa?o3uug5!(jxts{_hWLI6{i@**T|Xlrk>6yk)n9-hyrA$@f>x zUe++p<ld#V=PaJN)YJrU9Gq$5nf5@;xB7IQ)G4_eD`amn%Ko&wZ>#=(y@<`!_3Teq zX&p4bdPSEtaMfYW$6s4l7wqt~dUS2mxsz)w92c6bDAtULC@;$@jd|icwRMMYX#8t^ z$=P`o`7smME^7NF^&-!QX&XmOielcgUE3xbKA+>ecCmlD`BMRnO}+-tM4uX+F`3qK zBZbx8`p)?cZ5uuWKHkk=)R=ZuBUx0yIr2E8J6EuN)2aU_bhgev*ng?%(xJPT{wN-I zub4M0uYZ5I$;&rCzO760GR!X2nDYHnjl;Dx6VtmNyNiRmxu!J9b}CJeOLt!&IAJQ6 z>oeW@nhA4bwkR2Y*<s-}|L^1)+3PX4CQOl>vQF}V;!&r{PCnCH>ke$tsPc?9cV^Am zA(3<Hd*G5opA2$^<`miPJgC9))$y=Xx0Q0kfkzBhGn`%f@4uV0>P#xvx}1;GXUqNS zny_2$arhBEkM9l<z0vEhJNvk_t+BYyW>RJKO3BEoZGrAlsmn^+&aTfmm$Uw9*r|Dw z*PlJ@>VJ3xe>{()K4-wDj)@z;t=<$7oSF03tx@d0aD2iChqyOC^0YqgmJs>BG}r0_ zL;OtEt+xNNnl4Owrlj!eY09s_-dUWY4sU|beW+fzK+ii^f9>x%pZ#lUR@yXv5G(x= zcymr%_K}<=l5bg0KH9l=?b+JJ#<RZLa&Xy(>c@t>O+Ip4>&<D`yA6U1TLm0`AK6^P zIC1_f>F)(!>J{PzyQ5`YcYMuqnbyfT>!F2Q!O~kBJOsl8JikPBc_>OW1l&IEG5Nh= znz_v?Wk16u9?}&*ra2ZIJJVg{z9Y8dNX>#rjXw^BMYSKD>Ypv#_vOp=-4#OfPE|CV z+7kFeXHsO`!oIh4d&HgIU3pNy_A$3~O3i1Mbe>xQyoVUhzxeL8_GLl8goAMw)Bbu> zp4@JSl{zatRsP0pTOHy3wa)(y&$Z9X=aoBI+fL{>@a);ANqxMYPc>Dax|OFmA3K~Z z&5`-z%IZ~9FKe&c^8c!5N8}N4(YM{Do#!K#cYJ^S=iZ*uTQ1=Y-%a8l6qKJfy{i^` z-~D=pM95rWUj5r<?LWL*-Y*ZFFRS7+H8JCp)tQ&xi({+K`rf;|d71I|gMumDrf=OZ z965c3pTEi|rr6-Y!8?cEzm}etU%0`Q<)ru9poCpEtsFDVcM03L|Krbce;d}ya4N^= zWPZye$<N#$XV_lTdVG#mq4c6uzY_n!uk2-epSRE83eer_{v+#FalX>@$9r4bIsRMy z=ivCTf<beadefhjT{8sCBYnGyZz%2dGrYrRU9)F#ug<zF|HPKBv%mK=Gt;(8+FCql zcIzv<>+@IyRGKFqpShOz?^~Z6$rpE9t&E+&uFs5py5o$t2z6t9{^DfD*eN$ptUL0! z=UTz(<IfJy+xqgu&X@Im3(a(VHk5k{-PodGd-&13nC7~puT7857gD+XocD0&`JGXh zMT8%#%#dGuiP>WU*Y)f<46GvOm&m8hnY?@MD(gPYQ<t(mb4`-d_H6T;8F;|#)x$5* z>JOWiapxSXf80?o-WqAQo%yHer?kCWgfbNB);h0_Q<r7CAAOo>L-g9YT=)Lv{FU9C zZq0Qr!PTkC&gz4=)}A*%)|cHm<iK$K{O=Y2pGl-DWM?rg=ct<@wPntorI|9FJMtyV zt#2&dkeK?s<oxa{TAR!xR(Hn+FHEVH5$6nQWY}xkCnxxPZsaNkpW2+V__Qmxnk25? zl?ya9-pYBZF!cSQ+FQ~V5~-K-ZY7#+_;G*2H0Ae~oS%7?Y~W!|u(-n}z}E70VqD3g zxO+`1+t)sJ*|_G*nfL6U*XD?Josaoi@k~j%=%J+1g=yC}SUf&IlectT^dF<wj-EF! zIDL73Y~hRG$2)wNh{w8iuhR|-yu9e9k45Mnt6Qu||Gouk)L2hFdQ#?8)8Xw`i&oE@ zk{lIsCU3QDHeZ5El1WRJ^k>VRMHAKA`Hbo$&&6!GRx5e*Q^6Nm56h2cit_nEA??4E znE$(N$O_hO?v#-~&vD9Y;v~&yOajdNPBm0)GM;$eZ}EaC&P^;5)k_5Lek^EKTiTK0 z+h1w;)hqpsUdGEW{-^g({l+!*jlcDIhsU*NS6=qB5LVLpmQZeRMpti=@WE2sJn5}p zoj*PaVc<(i?v`KS!Qj2B>!sHu;nJ`<*JE~fr=0$*c=*whXp3DBb)0{BNh{4(nI>z` zze{_=CAJ6+&sGCYlggHI-6J7Pdo1?X@9DI6pQIuZn#*Rq;%S&&(#5UE;>5ikEON{$ zdeGY)b)jxujjYKD!LH4${}jF*@lT$#%ci7rLebhEpX&PWN_*_6)p{>)F*`<I;Lvxm zEe%o|s{9rn6ZZGuk2bh9Csn>O=G*V<5jR*Qa}IqejGTS8`E7@*Z|kwwjb8ICy=P5x zOWkQBnK$X3^%8cjMG=Zy%Uy&HcD!Y5Qom6@i8DX8>ing5-AfpIk7(-dDouA)-*m^< zIwf^~2g8gO{dE^NS@^nc{q{j}mA2H*hbtLw?(aClyu91N?VP;Yi<}QzUug)m{Wv#& z+ik<Qy$o|0=k8Ztm>jdk=Rm_U<B+xA*>XO!RV@6yV7BF}NuF;E4em^EeWCB`T<rQ| z!S8vS8Ev&TKWgo{^-4hgYJzlw^JhW(&Xser_ub)Z7u0*sHSfksALEnvk9?H*R>1xE z=S272$Nd!cv7ftLEPSF~lZ`=Q#)&qif2l3}(_VL~i`BO6y>j<|&Q^Q<OD|;0L+4C- zd1l7E^B;QVX)&fO@!1u*E}GYOW+{KANUludoT&%<%6YF%bid)@p1I<`xy$p@rDq(r zJn#%vm02NpwBwQW0y(C88Uev=@$w&CQUwoq3K%?@FLZU9K}4l1+sYZ6x5>@s4~Q`@ zd)*`TuX*tdlZDp|e^p(%!r*WD&G-De?~cmC>n6_WnmNH@ck04kg=)ve{kvbR5SQ6> zPWFuQ^xdvo)~|W7#((1D_Li>sbNj-&n0*Udm|le3Xytid!+1y}>YT+kPxBQ$ztVzL zKg&-4^Vo&4TlJXq_D9Dav2Z_pa&6g@z)g+^UhSD~wf<1iwjDXb`79ON)o+AM{a3m7 z-rUlhEv^mC3b+5qg%sSh+~ZLCrNX-HfvJ<*ZLvF<j&_TgHhP^>U}%<kEXkIZb1Lhf zddZ{}n_bU8pEk3$xv+Ih;$M!-Nefo}y?;Ni#3}00#><avuYGWg{K{FH;Q#*Kp<D0s z4n`;Cbe0{LTh@P}Z<8^P{G8ioRw-%mOxrts?zAl`_50&K<-V#6UtP}KSUm6FGbgvX zQkDBS&5{&!jDpH;q<rT%5oyw{;<BYAxn<vi>W3d9_pUs;Wc8Ih<_dQ>WZw5X*?sSc zV|jaKqQU$nnYnjeeHY~x#2GKG(D=2xLRIBd=3$qa_0ADjcIKZk$(&}L6fsXqW?zg~ zK%jiU3vDj$cYg#W!_$}j<u>febl%@T^Z$Q#MSf-jHnUctk9~$#vFQwFEZfTBxTfUr zaf(zql)IEVc5Ji>$b0v|Jg8@z_x(RxKDDVYW+|S>KGV?Pg2bhjOG5nlob3Yc*w^<) zv%eFW$@*vWl$s3pn&!rN4Ocuk-`AbVIeJKMkJbt$+0W5U2k(56)|}oxm#_ct$sbya zmbHmzcq*41F4xY~k9xW2rTZ2!L;ob}C;{2Hlb+)3I*TS;lsUPiS9D*rW4FitcSn~p zFD|eC>iEFr*y3MbZ=Jl%6hEEAl<UzME~nVUuq!jyzqaoa<=NoDrg)wyu`$wU%R=_j znU0Sc*A`CbH!iCC$9&}6rJENdyv~XBth>U>Bx^YNgH=hoj{K3PY`+-~1GhA9f2zMX z;_QMS`?=e+oL2f4CtR@Hb9O;&Q1P4Z58DNfa`1&5`1a;@=5%XTbrr$G`LUe*(o5FJ zgs7+KIES!goIS~V*0rx_>E@XBr&&?6UcSBTTh6z2TgHjZGaV0tuPW!MiM^@tPkX@i z?Bv#IPN$-bC8w21iX6ON(Yo}<gyN&qpBmpVeSB_D+LCMjM^oL8G@U(Y(6e&hfxQRa zT!LKQb39*=`T5+!g0@v3AHUnE6mnX-&}t`tH`B34@4a1HPTw$ixWo9%f*HZwB2_7D zhxQB2{4(ojYwwAFcAtXf(kySbO*i1w-kNsss{4=BEp2ssGt~F;s(#eCuls>H?!%j% z{F9UB8b2<1vcc`aCg%6ys{7)f_^pl(yg11{qr^6KlH;w8W$Oh!U(a|Edg!-9sO@x{ zdl4nZb<q;y<!M`*8GhKWVDA5r%%<b<_PX=zNSUv@B(8m#K3i3Li%s@d1IM6OekMmg z^(~ya^uIxg*fU<;Wp9sq%2)d`t~!2ii{WosTgg`St&3MKKUg*4V30sGtI16ZUDo{B zQ&KlaOwfFnXE}36?dc;Y#2D2Ybakcn+$iO^X!}b3eEGq&6^F9kE-A{BOJobnJ@-{6 zV@*x%r{#<nb*zrg=v?QXaqBJL=8TJ)mW;lVd5Jxyv4^93Y--j?on=v7Ae^e}zGBwu zrzd8mMg_EIGF?(v{_nLQ{B`WJF9v^)B<kEd**B@We*L<umEXgvgzoP(ae6BIpXu@A z8UH*d$?^5Eyol+^^sUG~p`>SiVC9r-Cxvyf6YSLsU&mLi$Xs2;TYFqTW9gjusOLLl zJ|9o2xm*0i;s2MSc|IE)O&D8lmVM8)U(haW%eu0SW%;^i3;urKHosJE&QiWC^uS+3 z7qb8}hx?Ns?($}Kt><aGyXOXP*op%OyPj$_y#GDJ?bgK$cSAp~;s{i~p`>?iac<$^ zU29YI8e)SQ?tXF0ymj)*;SGYGLW`TGx8I%ob%8>6(}ZQh=N2wK{6jsf{+U!)*Na-A zNacK$j)#ZkWW#52_N7EEEos>MleOwV<HQ!9o^G#{#8nrr91NAc9X%}~qJQDKgsm|q zS9i=2`F`ljq5a3()@wehe5j&&>Zt7vnN7NqZ3|Dz_J?_IW?s3`$m?Y3moTQp+`owt zt(Q_5zo>6AsJPSd>%83h*_+>P$PDT3^f)+K#qxZR*yX0X5~VHc7!@`zz2xX2+y0?5 z<%~e}vfoOA>FHq`gav=Qg$N{^pRl-M)y|TCo{md180w9BJzMUC_ITtso|seTvPk&A z4Q^+V)VeP%JxwQ;eV-s*UbWHabVU0%4wd@pjp1L;$j=K{^>$)<&V!D5&$VKC1#Yp( zM+x#OPcYo5trfjFJn;C+drd1BJWMEyGF`UdTut*Ew&KTs7V|ku$Ud6%SY_4s8DHa9 z7uh7-cyOz+u_x81H!4n+J!Qe0bpp-j?!I_ldzM#k^YN;Q^KBA&n><%nNv&VltlyHa z^|SdI_ojP{oed)Y7t5~`dCz*He}2*VtAFnFNWD>;I_G~w?wUK#-fg+?rX=myp#zU% zG@GKPJztvqwN7BMOhDnfofDRH9COY3IU(=aj@_!i88lBT?aSen^3`~mSY2buSYpVf zd`j?bj?4OW6;fSta_cl1CbM09aMEP`MP)<12{D3d#v-ioc430|czc=iH!feFw<&+= zx98&9r+;TV{%?C)lhpE4g>SZKN8X>NT6L<RhfDOmkH=iIx>r{sy9z(0wH^-SHuI`# zGM==2PR-<>KK6GnoI88-k>;;w?XpYP9i2ZZ*SWB1DR<)G^}5f)BzOAd);RaZ+p4Pn zxPNhDsnn`#`_~I;Rm?xxY|MW`{z=N6%=w$nt`?pUu<S%i;8puy=hyk|aC!1YhLh)8 z_(iGo=%YQ~(%N~V)9p$=i&wEo=^SDd7JSuJ>mGOKG7nE@?W~y_tfJzyHg1}K?BLzh zEzb`=yeO2PetJ&7_|qDWj{BLLmcHNrImbVE@A6MiMa7*=J$%oeJ~#E0lEoAC{$JAE z0o=vcAMd#A+tKsrVSV_E>Gx}YXkI&By5gEwhvrrhwocnOIvIukk2pUSl=BTzuB)_& z`5m`<q4M5^wG*sE{@<Ug-gc^9Xn|M!H|dvCmmgoTqPg;V+rw2#i*LqTF(vlMWeTNC z=jb+%dfI*IpRm}ujTO%hNM&wYaIRME+rAf<a{C^r>zqpezDB22oY{7_ni~7HME|gR z-`i}Sty@tZ^pf|A!uky%8onXh<m^9Y%{b5MqxSXjIxDOH^Dl^;yu0|T_r&?^VtXTN z{$6red0wn)StS47@{?z4zMVhD|Nrf>`Rmup94=~kRM&g{SchZ#>Ccfd!R+(C+0N19 zp13db|J0ecZq=Bd%>Ccz-XDB(UUR18#&277@6LKvYIrNl=i#XU-n|~|7xrD0D&S9w zzGOK;BJ%U;m4>H9;(Ol2wtZP>8rXbVz&TW^T~Ys8r>*@B#k{i3d>=kuUL=1%OTBfY z)BUD-%inBbTPL}2g;!Z!F;9Yf$BoAiHzyTOG`hEJ+w%SwwlgG7{JGeq)w2H=ub%L+ z2f1g{ULIfP=aDQqds6F(BmX2UzDDy!<+@LZn{m4%(6?$ysPXpPjLj>h{7ht36nC$9 z=vDYHW91Ct?nmKs-mh4Br|<U!+2zUtE0*ieJ<0T@n&HRP^GY|<8U!U)R&hEfYx#b@ z`!m5!<8Sb3>!dSvZ$c%SHZ~cC6nt^pX~3brf7>eU$CtLUXV>42t=M)iBlH4msN-j^ zXy@O2j~o1%Z)FRuPZ4szbm&&S#scy07dazSLw=q6m&_Qrv(qzU+EYf6T8HFcy{FfU zdgwf`2;22`vAxV;#p_>oCOz6EYSzlEr7^?qz*;ShW!D*5)PLW2pe*=A$?2Jbb=!qF zHT}14nU*;PRe$wNUX<U+X|z-JyL#^RW5q`oi#S`;Ez?&{Jb$83^x>YCCz>fGdtdtJ zTQd87?zD(sCA%vA#4=HD>npq6xP+26UDm3vd+GY1?3H)lj2wmRtCyKGwogyXOgia5 z-SPjnqX)lpO*Pg{>#f+$bISP+lVMLte$l)QLh|`57qK^9N!;h`TzfU`@(PLj2eeq& z_cLam<ayK*_Wj_3E45awPx#}$?-Y~~FXTAlm%gzx*=tq%j6=Tv8~(gwF6LL;)R<ne zwV`ow-!ZdN*;PL#@HvOa)g=eY)^~Y#?0!+>@2vkOZS7}1i$>PWMC~Po{?U!QOR_m~ z_MS7i8GHIIlT9u!L)VqL_XU(E*-ThB$JkWbojc&_tL@I^0iO5RSmeVGRt3%V7Mi`F zpyc*5!$V=Bdjb}wuM)0)xW}CH?nl?Xvoj5<->=X5Rk&jR>y<M~pU&Vsm%HTbU#nMt zN;+)|Zxr6*_c9P~Z|(Fhwc&5R6i|@aVfB7Sw8xWIsw&gAyQjaL{oE#K>6aBe!E(Im zv%XKz*_Qo+wbR_x%4L`SzA&>hFP^IA*zopVn^RZ0=S;-&v%5CV>gwB+(5bWZQ_P#< zX-2zG<;@SCeV=!!#Y&NRv#sK%bNj#eVBBQ#Z{gi)T{Rw!x5j6=ZXaOTS-q{RxO97( zM8SC*GwFmS8g>0Qxmwr9<v7h<uzi|Jg~LQsR?qt}wz*$6?RWbdyioepPOaL@kCe}C zxjTQ6&dq1+g?gF&Z+T~__(<?8urFG!rsw}f(AQ?Kj{d3S%lXe9aP{w*-E%!8|MlH< zlkF9!{XV?tzuJmjt>W*vw%^|5czs>L%P(JVf0J!@VDCP8_`I%R!}D;F=1DdVjkB5F z?e8xC&fd96dUM!RsW;3fe@}}!?fn1k{_?w~e2YzVHh#VqK863yal6aASE^0^*>?1V z@2));zTZ2d!BUp_<LtfPq91;|jh1Szn5J>ITD06c=^*<HRsn-O@qP@>ey8rA|8|!7 zdDH1fJ6*-pkFK89I(bf1NO<m}z_MpE6k;AtPhGg`*VZM|3j)72B{SSFGTf}q=vQ>h zKIeNgi&W&s)@e>58S|><2z`=`$qb!$-+jf(6|1ugf@E)AZQUC8VgIXxxe01HE1LUW zSIYUjTIcWb^({RWd*p9<w{Xibp{?&eoUY#I<;3iMoq5xtzvol}bH0A~P;u*g?fd-0 zi!;-`1b<vrTowO{<zn5B>8p)%+u0g-?LGX^=6jgyFH`YZ%YJ(%dLD_N==rfWc`aWR zqp6w9jHekzEs8oF&yxQ?Fn45{Bw@IoWkR+i*OQRL7b}lH6ZzLB&-a&gPl~9x&z8I^ zA-PYK5>v7h_Nm`EkQ2pj-m<jfUXFyO$HnxYza3p4Ebx59!peGPx6+n_kMiYpwuNu2 z>U0TBTcEhn!>Hsd$3~flUz@iVpSPQKFp=ke0@G@HzSj;lW{X=lD?Z<vb#8)A7^hiv z^J&-T3omU^-aAV(F|2cAV@Xo!R@a%w>u0?%zx%j-#bt5c3x;!pf8`rq;_5!J=eJJb z(Ss#5Eay|jnr0tasHeJ1^=Xa$=SKF!K05wwjqi3J-*h>0<64fzWeN)(KbYBZP`Sl3 z?^@IUPv>vi?iQS<HfO;GE$h||F;9Ef+&pt5T4;jg<gbo8+E>m@ULyDVpR7&8&g+|( zc(yTaKE1)hc5=NccW;OSThzUi$p;P<rUWrOHoEd7JnY%iu;hO;ADj$Y8fw2tSZdAD z@->^Y{u|AoC3i9DcgV$w5p&L6-okL>FsrWWm81+S`Ad&d%0Dn|`}gAb@gtK~{7W(| zlH0IDH%?^HMhD|*D*W1SXQ{K;@@R8<GWtp`c{9s-yNb86Pq0Y$^m{!ajP>%%?m8Gd zN97ADR^KyA7iHF}?R%rZ`7XmKVd>&Fo6BDP+t*D}_R-q;=S4i{qCCglEN3(-#U@8> zT$Ho>!^@K2x0sJesejy{zVqtYyQSB>Hz`FiH_eVTNH+@>&YH<wX#4)=tcd*3|ItsH zYxdln${X|Ha_*P1lvPUcVxJ$0MxRe|)8G<0ux4kf$<mdX!KKkV&lHR1&DhcKK%YCA zpJ|upoF6JTe$~9Xe%aK5@lVUl8pF%07OWF>S>7k`-*%^2PL5eby|C!VCyUG;`AY69 zaeXAd((jB-X7)sngFB|k+n?FHwZrYnw+)MzGCNF}ug(x_sQSBuS$)3gvxrNHl1t9B zudq&-YkHPpp6~m^KmFzYwuS~=IeMmS#foySUWVJIZWcEL+vm+U?(>R1JK2J(U~+(F zljr2gIaB!K<F*#|f6IKLy0A^DcKy}hXT?jNGQ`>Ov@OtmA5#8r`nsgRn9uEx&KdsM z^=X+7>)TrwDvn>`@Ai$~Imxx<aO~c78^0*4>|+;<+>va_Z^WB?CdAO~N_Ausmu|w@ zXYMS!D&%W4LKm~E#Tq|QjCj22FW<qH&Aqq1{uJH6b(mqjM48FSn4b^K&iF>#EuZr2 z+(F^qxkrv&+7xos<FP}NrFMS6x!GEK-1L_IPQP+u*7N3zN-d86?6UVhJaB(<Ye8b$ zt8d35lYi)N3&~9Wer6TVvxeN&QaKqvH+t{-s9!9m`9Rj(+4rgD*;13b_ouQ}WI9Yx zobSPvl~T`o_<Vm{`RhCj{SwELfbRc=Tdz-IW<17uD9CTQ|HWlj6Fz*1f1FvI`9O4A zwCXS6;@QrV%L{bfXMRiBVY2bejh3B^X1)3OQgy|m6=ts4(GxevF~qjoA3R-?9>sCB zF<ri@s-k6DZ#KujU4Nb#cG;{DY0bFE!<O2h5VL#NX|{m=q`AHO|1^X;Ep(|q&~Mkh zxMIoY?Uf(QTz7TuuDwtzH%H)Vf#CAX_nt7H)2VDIlzn+@Q^!Kj<!On!vrNTqTo*OD zV!qEn`<+ORkl<Qzj`vFyqf4g59a{Xm>*kD<I6jU`g)&`cnwGrz+dCF+HTt4AsrQLy z#I9u#SEe^D`Chwc&du`lV_&Dc+3&niG>w7hh<tY7_RFugU%j<;5$DXrUsJXo**R%j zr>WI+WzBHu#!I=9ryjN2%>E`a?M>JDd=}wKXMMf*_MfEkd&5)YethgOYd_ugVWUY< zZJNe~?Mv2GO)Xn?_QHG5wWUifA_W##oZB=bZYFp7{L>$HS?=5QX4wk%9_8;}SvwxQ zzVu{it@pp(x%(PFPSkrZlB5;7^k)3gFMi9O`ILN{-x+yWWZ8n_r+$3>zpuI3t-{TM zSG;8Nma8nLc{lH#-aVr(dDkYxGruylugrH+mknOYYd^WnMeT8=p}4?<C(p%%PBrIe z1hL7l6IZp|bv|yx>9W@bf3s$u{;D$d@a2Tl#~;|fnDpepGk!gjmJ97kUVWYi`}Ean z{+EADk6?PY%r|=O4$DpdH#zR~zW)AKg7<|?-sd-Dw>2#LHs>zi>vu99?#g8sS2v0t z4!W@4QTN=5zx!UPUHI;(HLE-_cIuia56SjEw|R@tXj$dYl7Gj3_V3Y`d;z!h4%(fc zS{s$FEyZek^7_2VZOyXZTJ5s;{bTu=p2J>e&~~O>@bG<ytsl%?G$xdsS|)AWr(gG8 zG<v?*r7ckz57pb>1~<RnFsW&i$C;?qEA?#c!oGS^n`ORj)sbq<dk~svxpk3D!B*F| zthxe4>s!8aA5ZvmT=Ip^q-hV<J1mH)JGkn;MU7@m(7EOva?L9uvS0eF>F&O|{^KIU zS9$LaPkU&)q&hldj^X8&qTgqK9@Cy?x$F=7mIePVT|JiKsCACN_4@Z~FLs-)WM-JY znx)>a_3@FwSud@A+12k`5!+XHanavN4{Sf>Uonh*tj(!%@YdrsEgXU6rHNurf8Sc4 z)D*t5c*WH13%&M-8k`mIeO!A#YR;1-72&h1=h!-0bBk_}$msm-Yy11l1Esg?bP|4F z=lImf;p5U3ckr73EM49G*){T#W;KV}Hr)7{!|$ndZt`WZ?#-L$@$%IzezQL?cg9@q zH%Ss}bcAISkH-7%HsN31@Vu@xUYd9J^rem_O6EKzen#%|A{?eK?D=_VTl&)_2R@2z znp4Lb&a%b!v%rhHJ1TbGGF)L{!5?7B%y+-1I=JGL$F-|=ot`hhp8Egs;+y;J6W&G5 z`R?lT+Q?{n>AdZUO1vujm2Mqfa;PPt-sxA<&tBi`n@4N+xP(oc-f{Dt*@^q#g;y<@ zrt^_o+SaS_z2m+!%S1ytEM<L@0+jNSCVUlk`SoRb&j!(_DUz=K&T=u&^(;z%Ctly* z!x8XG{mxpQhi6vUuJZfZSuN=Ba`&lX&66uRerJB&BDv*pyY2rR?zy&kj;Ee~*l|?O za24-lww<yk%yMpVKj`ITP~%H$*Sa;eM>u3L*VjkC95kOePKi^IZPH(txOT(l;Ls!~ z2D$FvN(XoY=6QTx&{MiMt;6<>>HPbbtIX?Sf^u`7Y~3||L({~CZ_i)Ll+^Is`QEzx z(~*uZN7^^K<*r)wGOXb4f(7>$9WP6GdvhB*>k)}Sy9$Qjtq&Ux{WH_<h&|iv_$U75 zfs0?V!<b{|l}z;8$`|8Qq$!iMr7Jh+iBhrZO9PvGUwt(X7rx)$8z(NJE^$WqbGM`? zBiGu%m5Ll@e03M*T&mlna#hZCnRT$d42xAk<e5f`=tK8?X0qPd<Ih@T6BDpzY4-&m zhDQy5-<9f?{IU4`?RAE$zIN4FpGz@^*FUZKIAPONx5Z9(Tjl3xR`zDeR2Jv*-;SQG zT=_Stqvh;hAzk~b3AX#n???&>aou~<yMueBVbaRZ^LL6HQ~8|KuI;y|X*9UMXw9>2 z>%Nw)eh{!UZSo(ZmfS_ng-3F<B|oivIgw{WOilc!Bf|SDGW{HHC|XSn%l5NOy*f2M zIrU8N$BCOcS>8u;?EjF!f1~JWncaQGqtQ(o7Z0cW|K6eNnJ;<XDCxpx4aI$?|N3U@ z+uE^7bv9hM7WQi4Gyg}@w}ba~FY9~j{C%pMT>F}9+wXn~)ardWea<_E|H7}Q2|Zo% zrk!DPbnicwFNMo&>$NvYO8&q1Zo{O4!y$LRZdUbw!g^ri>?ORLHth}mRool6oXMQ; zmWEJTNpwQ{8<oof;(3-kLjQ35ed^Qtr1#Hzw<!}sW)@pYP4<Z0u{_A)ovW3B(DP59 zN^`26x87oTKjSB-&h*@;;YUrYR$AmPw=8Zy=Akw-kNXLuctdNY-A&&WTR(m};ZnWW zL@f80DZ9_Qtyk*wde(#*pP2T_U}iMu;(%!aRfX=ePnRtH^ws5s_q&HL#rv80`sx<O z%1Bzx?Aju>m-m>}6}~BR%x?3<a0<^ZI+QG$sU>@>ZQslr>yl-5E3LWsv~i2qFN063 z-emq3XfM=UR_k*pP-okmz51_1Cz{IoA5H5|eb~<7R?oj;-Qk}dM<aCCYQA@W^6{w3 zDyv_7byo_vrI~liR|&n~-)XlhHcVq-x#7Rpn`)O?--?`R`E&cf4&@naZ(H*1R)zM@ z+U5F8R_5K$>ob2DYgx@$7V)F##-SgVg}kQE+!dI!<KNN~rEA`-db&pTh3n&)tT#nA zm+wDvd`124ZSykJU6$Q5w0x#eW7E9g@{NyMJCsy)Qtk&#P>vK3Ti~3OZTIPlSI(0s zPE#s#lEd~|ia2?5i68o-TqySP^YvLCle15KS=TlH;rlcDzy2#}T>a^?n%9DB2hG+z z<Mm?a<qMSxnL0s(C-_-4vqkFEdoP{%_onSV8D7qNX+_bzThn$}p0)d|rES08>fxKS z>zO}y?NU5beIkiRyp!Ai=acP{M$30Bu@5N`{i>Uj$kab?t1QDL=JUtRR)5+g+v%^P z6Z&i2x{^b6Hhq~FZ?MW3q)$1(5?ePv|A=J1<zc&};SrI2f|oBX(mnf<apTO`8~di5 z7n?LQtMgo%OvzOhBjYTe-Y$uT&|(Jpmc29mr8my*4*M^ory*=yR<hBrH8o_}UfG2m z_nUS;3UNp{?$N4$prGkNm#E9k4;*hetUod>>3n7Vd5+-Ms5z$;w)52`)&2PN|E1}A z&AVpN9o>5mEOVb^_58qPm09ww)5X3$U1RfX^_v&VXPn>pY@b|bc)^s9>NgBUTC`u? zbt;_oc4f$g5Xb!KTc)*MGAN(npZJ}PC+_#o)Vh~XID>?L+1)AJ^WABi@|APaFV1l7 zR^om!>(Kq9yLT3cRebdmV|uY%Ri;(aNA=qgMfZm8&C_Ce8-r)vGCeF8SY~l!(Ul1| za@I|Ha`yb%H3tKQtFCRays#yjY2HSa%&l&BqBp1c)=n&6EGeDz?Q7D-Su2lM3f)?9 ztWZK|q5gu^`eA9;c{zifF8aMI@D*VEE4=T{H_Z?2-5pwW7M1F?YvdQd+ZOEQ(v#iH z;PO<t>^rL&6QiF<)Ta|CZj`Nv6r0jEMW5qFu+-Y)+hvti{8r{Ce2%xSNSx%!a>sai zuf%Mby53d0X3yTw#;|mIs8G|}S)Ro##Wr0B4+;G;K5Ef@E_G5-1Y6>#^NY;>MNi&v z=+J~4qWO9Uj&m$LS+V5V!Q`pixbA-sx#U}%oHld%p;?@2pQLY?mn1I}nwa}OH}}rm zVtvjd&acf*dY35Gti0Zo{`%MXa3{Y9`$Sirc$}2#*?r06M~bk|&SP0`8ujcot>!Rn zt2<rHvb)At{}s<g<*P?bD_74Ja`9U%#m2DZ;`zh!29K{kzx#H|f@wU=o67&q6_b}? z>@vIX;m+P%UC;S?NA<78Ehzfm?pC+uY;(b^`35`u%bB<@zWX}=$ZhFfzo$jotx<t) zN347tqE3aX{irmNW@9uurQS48`NeWR;a6;~iM{;K6BPcG=B}MnaVh0L!yi4CA9Lyt z_GU%TNw8;$eZrK_zOW%AW<ieHcJF1MTD31Qvzk@i?_Q&D`8WS$&OHm$H9fxm`sp!& zVgIgbp_kI%+T&!I17cgR1Qy+XBr!c%H{!~#Ess7iI-0MjN!1aUGW~{pz{4{WBbEyK zI~o5j+r%mwefr<6r4J6z(A}jQIQ3Pe{%)74Y){#zw1w^ETP(f$N2Bqk@@eA#79JDZ z$yT>LcgF6i?IORJE*+TjUb(=_q33Xr!Jqg&tIy8;wd1=`ww#=CJNJW?lh&DM70lR` zK0`w=NBHTE@*8RPjZ$4M0X&fjYfavtEbA3vHZoCO;m=$sdu!UH#q2BpoZle!bhh8_ zq-X3xb8g%bykDJadC=#qa!N$)gil+Tzi-K#*(0hnw?^(1XOLRKgNqD7k;i{5*&Q@t z%d-CrVb5=uim~ilxqlkhDW5-9t9vqD1%w>@{7;}|TJ_&$u1!;X_9ytuow4;(*;N-> zbw9m<>)2xTU+PvWTSBA5mY<sWB<WylQ16<VHt8{MZJ28wm~x7UA9^!yRV1I>wW3#n z-}_mdB5%#N$bWeL>n_!sOZKmmPE|TN;Yid|#r3OJi1@vJ#^mqCz5bx<2~oGlx1+Wd z*q-<h_2bQ*Ge^x&tm-W`<JV@`Gb!h=SM2Tp^)T%_*8eZ)9JWl3f3mIb$AO6O+$15R zPbV(^?fO5nc6q<(norfA52)&Vv+)sH6y!BIc&pCK$5lF;^o-;0yybZ$U!-*V^*m4A z$@|QE4z2Nx^-*BAN<6NzuyMBH!ga9+Z~O^MUwEy<$?k5PU&g({gU@=;Nq$>!BzUK) z!-}_EjIZwstvi3Twr9nRGs@rRe=C!;`?+B9mgN&mk}p1D@HxEaLYU)0(JSjTw^p>c zhdQ61Hu=V-=Iw8tV_ziye3@q}QyZQu`*`{J?24-^c3b9b*FLhqRsYWB+)JO6Kjh_| zxt4S(_2kc_H=O!{R+&c*wALJZzG>~F2US1JPMj}liCHK+eW}OQ3kRkD_G_Ju{d4bW ztk<7Z@e}F3CgQW6d|JBd()1Z$B+q9Z{klJSIq$m9|8E<ATYk*keBUa)IHpNltO4oa zGdAqk+!w5pvo)Fjs$qU#<BI9hDup#)3>}Z{zgw4{y??R0cTH}@!3h)0TzQnw`h2;t zt}6ER%eN1gpS*Lv$ab%Z^>UM)ef`n8*`I|X+7m6F@8zpo^jNNa^2y9a@3)m4x@aG0 z%&J!7w@flukDEutSLA5=i!Xab9{4Pq_Jg&spwgp9M}Er!i-3<;ze`uQX<odl_HTK^ z%xho7H@LM5KMm(T8}T=QQ7Qc80h5)I0-=^1g$>-5UX#RSn3G&YTy$D5X87%NEvb2Z zv%F@<G;1@#SFctDUwx6*bNkEem2KT+-+nE8S*RnI+Z>}CxNNH7+W5UfbMCt>*6Yxa z`!i$aIf<4SR*xHrIp3d&PHMiUxnh#e#c-vn`2O5)^Wzt8o=|*TSvFeX^3x}ibVJ{t zzjBDNCqpD{hVSade<y$OI@!bXGStvw|GAE@ok#9kpA!yIP@Krm*0uEl|H{4_C-2vq zY!#Wj`>5F6;+q$Dcu!<qSQ=?HjnDm-yrX(t1&7HgS1;3*yPchQL%8{v_PT#@liJ1n z(48r%Gm)V&ddG~J+ba@&^>(fJz-vBJBXYmzT!rg>(?rek*53H=+V5xi`qULdtqH9< z(~i!&yx=OQkZfSmgr{sfTGyYQ``B@h{50n8-0w^iQ!4hECH`6wV$SjYnS`f<?BY5F zi*$uWy3RA0f3C>$wcgFSrFz|x7dtuw`AjBl>UeV5bmvdak{q3oUMI#-i)q=6KfW%{ z;#zSi<#gPMz}r0=rEd2f{l0J6A-)^?Co$%4(K+~g<%<NX$3kkoySj{bH}2+hn-TW# zpx2WdA}?aAPgtcn9ACwoIC0~#Ro)*I9y?TW#=nydHJYv&;ZX7NqFM1TiR8&&LrhQa zvu$sz+qf<#it%D)m{jVN^%2+ltxkSC)0(h*nQYwg58|mVo*U9jPb*HH)R1wQO*A3e zOycd)f<0}^HzusuqEuck_F{k3+bMY)W@^t4n6+OwsrYx6<J&7ICh3)IdgvQps1P!D z#oOzaN;7O`9NK(o=V89jZ0n1RKHpv@_b21q#O$Ak!(^1LmfhXkJEyhs;2Xi`a~@1P zX<K|;;DPOyyTAF4EOr(s<X`ABx9ds&j&q6nA3pvQ>N}V@?S8;|vtuGRme{W7egBs2 zE?4XJK>5U6sqizNpJ&$|IrLaYMaKAdMEQE9<{4pj@<$y*SQxrIl6$%PcV?{A5wYZ4 z^3PCA{h;jW8uQ7j*ETbVs)<Nns4(g{I`f2YC9mMDO-~F2PW0<Po4U|hC+3FVyz&Xz zL2gyThFK0m+V-0~nPgOTHiW)7yImqZ=)#_PJwi2JPwz2U<}6rx?CaWio%dA@s~EL- zHi_(scysfASG{+N*%eOqV{?uuzjf&env@pkwa?CF%H?bOU4%b7?)mO6d*^paxlKd; ziF7rKS4na!%r?B9(AAsua@X<SQ*ZNM?8tSSGOu@G#+E1N<Ku-iH@wK|ariLTyGiw> zNQXw=)4*G)j8oo6Wh!d^+#H_uVwvo7q1Ba_PORjaaD`E-<c{^8$n(=CAL(;k`gH=s z)|Cyh7xvzoUZH!apx2l4OKpj|mBRlATfT90`vw2#Rj)QS-&(cp;D)uO-&xh>e2F;g z_?cf)M5EzuCSQ5zz4=}@J$7w8H)+?tyBU0zPouQ5o0I=N)Kp%#c3pF6u2AYXMwSHj zDS~^dc8MIaSjNM6*IHA4-I|jX{HAXIS6q=wUwEe9EYGV^run*M{%*C-t{Zu4nxA|Z z`5+Y@`$(f-{Pg1Rw}SEoHVaPV{@8l+zzQR-tB1EoJNauWRjj$nAah^)kZ5wHLgq31 zFq0R-PS@OQvug4yPdc##gleh=UM#tn-_tdJV}Yn^-j7G8CtojkE2g6~Gr?VKd0)Sz zWx`F)p9Op0eCb{Fui~@#Tyvr8A7%?GEuL;0e(>hIE}4J922&6A{wi3t)PAx4)9%YF zP74^%n*Z`z(_FEq=O&;3?|j<LazCf8!(<-Q{6$Y0ryZ+|{bT=jPOSgIZY~dYF)`~i zZ2nvROf>MFI&lMwgiV3f|NUQW>pKn=nzJ5zxb7bRulY<8w`{K+TzKTmD^c6M3s_^% zSu30{-f2^?N8`<*bNswB7#v@3vC35|Ta;TW$?S55b>~X8;EBBpy&S(Bw3R&RGQBN& z>%M|3+1-{?@AX$jm3O>8@OQgo;)l@9CuiT2E!3TqF}2XnrtINUb?@-U3yxmcXD;*A z?4#gG8`lXYw`Mu7;NJX*Ded}FodXLyZoAJEj6Z)b_T0X}=BCNtnWsw{<et0SDz(}F zW7vsnM@@E$b@QEfcd0S*)-NvpdauQ9!h`-+tK~C8{-1tRxyU)_So;i#lJ2gIRUz)j zHy#NQioYPBe>cz0@b^}yH)^X7AA98&y!M!Zxk|^2+4o-VIFo-XL~g>SMO)f#gj7kU zf0XUxxp~uUw{t(Yri4Ju+-p1DHLX7HdFs=X)T&9X7Qbr6FY>VMy}ID~ov8t({Y9bQ z-IBhn__w0Jw<O#}JMxY497Y-CK-QmSR~9+QpVAJk(ONV+&b}{7(oTxSI-=>J`aYLc z=FwfBn-bbT#8vq&(|ml?X}e7;(<{a2#d^Ds9(^_UlG_30-9k;gcKqi)m)(0<W*cT~ zK0ki`i_|60>@>bB+un`y5Qz9cEp$2S&GYdq?l|12aIpO&Jzdt(!Pw1lMaj#8`F3e` z8v;Zl-%E=B&zV0-aq^p6?oz=UOu{DD2R>IjTq<^+jrH>6ADs;hXUop8UKLe{HhcMn z+3iF3qQe~$r57VF__cgUyeS*h?Q&J$KXc+4{;OQa930oo*&()f(VLAo?{52g#mr*P zCjqCtO<zQ3insAD+w)G^Xv1SQw&rU-&YR9AsE7ad+s9b_^OWQx`P-#-_jAPKH^^VT zf67Mo%DN}M*N!q;Gt9nVyW!ybu*KmQXFgq<>Tv1w4!7w~l?^Y+HP75#bENvwq~`v^ z#kF3hJgda(%C&w5KHzShdiwR+59^pOa42y9sIXYa<G{T+CP6S%$v#7hTg|4{?nheN z0+F}Q7etwg!tSk%__+C{O8$cuuP9EI+F!MMN?%@ORQY&t?S*A}X+Ioe*InJ}BYU{V z{Dr~$bb|<%*si-LX3cS|IJH+~y{bv<odhM{Wm{&HvoCkfnzi#``IXKL&7HIFEiw6O zZCsK%xBY?ibmjg<$1Q6j7+n+h8T1{#%ev*n!e{<p*&cNq^YWbc;ikfuhCORj=g)Jr zek?y-PC@C_ydd5%i92(I{Mi{_*@ax1EVHr0DE^=F(z|R`GdM~@!YyX8heYP|<^LB< zO-k)N#OZkD{;{RyEt>Im0!>1ZhRs*Sv#c(EXSI|zm42*V6}f~daf%&>JZp^9{UtMb zQ`jBMxiY&1mxQyO%(RmFcr~kHkLoM_-W5qt9OswLsJ!yPx$8v1C5^YOA~i3}3QZ4s zo;lKxbMvJ7I;O7+-ZhjglCm$|^=FP=(cj*Xm-B@Jws+3`Bl<Y+Rk54ex+zC<nGB^) zZCB^>bU)L}`@mKAK#ld1iA}s2&t(n!ckR)>ylBc9v*xGHk?gaLgRTcEr@C!hGwI`o zgMafm@4k@wC-!*$0p7oU6Zb3s_Iq_IU`0XJshY_dBB>&dw)$Kd<^ig<=hoPNT~U74 z^=!`fcUt`y-#0|HS%qb;T(U;^%WFG1^Eidc1xNRme^Y(C@JL0o-r-*ki@#=kacd9x zqvpW)=exsv$vnf2K`v3B^(^e0g$jQBz1rhhd|{zV<m00&ZvFJRxVZe@Gws#7QjwSZ zQX6+_E5sbo*tKtOtVXKY`>6Vd+jj(idv@!lqxqj_*JstOez+_5;8U@TOsBu|?0>B} zZo=FCSBl+nhg<N`Q&+7LZ04!@Ye_toox`cNygbKm!H1gsri_)EKlZn#e6F!MF6)tA zq`v8~<i5nk<}XED@35|#BELn-pp>8W>jrmgOaJYYPBh$LT_?e^=c>+|9jDp9*REw_ zOWju-d7wdhgYEajg;x{PYn4t4%sDSo@#a3;5hLM}g-IzKBIOPXH#+5Q5Ov@)xS0BS z+OEd!dS9cTP1uur#Ow|0(xVT4FX8oj$gIH8++>;)IdcJXd8#<evs*eVLff=He{cJv zntD~H?Y#HvrS}e5O8YE3lE>x#;J~$b%{_}>)NJosdXs<2qz!edUsvckI?dFX759%* z$+=>_;kF`~sV_1lY+4VNGtSlfb;`se@Az?-0+Y1v$P??{A2QXCUUK`*&*r8paqOoH z67*YEu^zkLeM91G-ZhEPK9BQJpX2w+8mov0KB#kFllp?yVBOu*lUaFNOlNlgsxfJt z{oPB3^RKLX{w|FTKC{kwn}l&Ki+y(e^;y<iW(!^krz*{M$olR+=lgH3E#989#Miz1 z^k8dwluTj&`TGy=UEiU1)kkCngGTpN{h7saYkHa<Uw?2lD|pJ&bDNXWMGdQkB^8=> zZJ!^NT3e+5oa=0g$@3cRjfLyh-&)nS`8ngyo#7dw689Ms`WV(s2~?PO)!~z)!{nV> zlbjFoUi(*;|HW{R>`^wCn=dYG+4e+`WAdWSW%WmQes8eYQ+8xVd*RvlHN8TAp3iaZ zJZir8$G&}SyR-!-CCTq!b~RG&Sn8s~db50Ze|*%}wae1pQ}{NfVDbXKhYA)I8=p1B zOy3aNIrrm)S5^hQYOH1dE!gMmoYEzDWaF$Ew>tdUW^8@KH2>1U)IS+bBClQCnf`Bl z5g{_`=f`Dr4UKw-l>0I__j){4onLX>;W^tQhIO{T_sjUKdNc9mud8SH{;Xx2cW_tz zeqj$+se3*ZY1-Qkvt6GU6|?j0lxu~mzWZADzU8R?oX7HE@7;CG_dB{%ibRg7Sl37$ zn<2H_F>p=6a`uZy-1-EU80|=2Ung-z{CR}?G-;0wCL(KHt1ebAQ5M{^X<t@5`yw;{ z2DQsGm;NYU)GcOy;hOrrU$25*B=5Dl9^)PMJJFAuW!l5VCPwuij$YGPz<950yS<OM z*My@2Kc!Z4?0m+lFTahAd0Fy=&-(v&<)s~dws617%?_ifJsY%g;w|T0c(VKX`I|>7 z=l}Y6VcmwaZlyD0j_f_NvV}8bS#sEg;x|IGWNqhuOxabOegCOpqYdY;XJU8tB4Vb* zzUB}<ZfW5lx=C{Sp`0%(US<X`2FSe<Sl0LU5jS`0V{yUVhtvPZaozYR5}mu#D@31d zPhsELTP=EQ*DkX6KYz4+N|A=rf@`NX`%k=IYA4V3VV~vt0{4?L%dYfSw=F6-ckzjD zr_RE~?3*s%i8tPTB$s2|m338$t)`1zl;@PKHMc6={;uL2L(s-$VY=yS5`0d7S~UCA z<`*_*{Kn@uiX<MpY8YPh{mu{F#TV-@hXtt0=6&CHDvWXQ{M79NcAF!UQ>0f-`FgQ$ zqsV+Kp8J}SYtj#}FFLs}{$s<L$~5mwksjs}+juhG{QTj=*~Mr1`0_Q=Ra+iTo>j|r z+EcYYZIkHNcke!Ttlqq~)ra$P@`7!1C#;>9|1wE@j@ITs9xuLn7yO#L?DLHJJKbNu z-d^V{JToWw;z4PDCf@yK-<;*N756x{G`xu4B=hXVG#g8!O&a-%2VJ*kB~NF*D=lV! z#{J!~Pofd=`=u{kzu#%RJg(GY!y++d_0VTiKA7aaE09u3`*(JI1M6GauC65xG8b)b z%3fYv!dbEV)$&lSwO>E>7R`?_o?YDNm{}4yL%5DjHcw<lm>{E>W7^i0DsNw(WS=nk zaoOuWfz2}_H*71tBGfnYqEKp<-xBUmg~9>e%q$`d3=A9$r(#p1bmCqpuD-&+u;wZQ z11|$7Lt<%4W`00XezIO_N?K?LD+6<l_KEb8UCccij+gcJY|Fh3MQ1l}%Y8p@Yi{)S zJ@3o&^FA#$dRdabirZh&cILGW9|Mxp#6^`96cUntxU>A9X)voWXv>9q=8TpD%zkm^ z!A57?LrR#=xu4w?_c-!nnTz8KQ~iUJC1!<f+x|bdP>$1}aKCS$RYkvKgEmk29*)2_ zKN+GJAF&sS38kcIwRFso6gv7wDba!Zy@D)vEZ>QshCoMO6(@yiCL6Ap$AJwEJ&Ipn z8=doF2uSH&VU!ZV7?jgkp|{Fmb>^0ogJH?bGm}>C4^dir&}8+wRj)FO9nGIy>+(Gi zDW=J4uh($An|ZplW_0J2n<<BV-d;bkfP3<$3p|YJyiXQ(XekwZ5i;OeG08xZL8P@? z<)o4)@45mf4;3rnDJouF3YG!}x40Fm4m(YD7L8S!a^{dbqa61)EoOC3p@RYr4E!rQ z4n5w+Ezrp3{;F`lZ6gb7R69$mmdF8b$4(IiZ!Y#vD$LER1yVLnX>*j268WgosLAfm zSbg{7mwFycj|B%CU6vkQcq*u&or!7T(TNgI+FJP9-yT<+A<rW+VRqok^+{(tyR?Fj zHtDZX3Kn!_I;av58}ZKVMEC*E28P6|9S54*`cDNZIJHTIW$lb9QJA4??Aj)~+NYT- zFnRx`M>9kPAE`u}#9cbM!gLb%$DovxjGZgAIP>pC_w{VjedNh07cfz-XwCjx3wCp@ z@(4McerVmza6!Y94VjAyw?6BzJ~A`BbJM#duXN!x-F9Ic9roTUKQysmfmCK-?lZ3` zQ#3bUHRyI(dBJstaMIVe8>AAV#ozsu(hTB=P@f?qk=OigQ$$xwS>6JNhZ_@{ufBcb z&O60uL1R+swzCtW9B*D^-=!ua;m6G2_h$Q4xptSt2v)Y%Nh@2|&Rf1=MSrTZt5km5 zl*<7N0$yBS$k?kld5yWx-kg~2T&p&nZBUbv(A&)|;31>u5Rh|X*K}clkhF6;Ys(c@ zO~04YXsXVd{<I}1^X#T06E7qzIK81xhe2Z1mkS9ma!*f{xhLIz_cM#fnXTITQg<$| zWa8sCo6Gw;VYYP4`t!34Jh>T{*4@6Cd{VG<b;yNA*U8%2LZ&wb9_~A8D!tlvPtN^9 z<z%m^p-FLnUr%6Ov+=H$tnGV-^n=TCcO7AJPjYaZDmhmzDzI^i;?fT8%E-+u)0cIJ zu2xwvdEdu=TVJ(XDOW>oPJGb6MYrQi<;tmH8TPU2LdT{USo?&yPW$>(Dc?lNR^rah zsv91yA&U=3F5i2QmEjwA{mLzM9|WZPr&N`G^9*U#%2diNdnz!!NIkCb)Z1P?(HU}6 zBcEPipPs*)DQDK(XPt?vA4G3Nd0mXU=_D0yYwi2;s@e>Jt!68)9lck!*Ll_?+i6PK z%N46$)J(Y{#gyx}^7vflezx{&91}Tpc0OccD6lelaK>|?pm6B~4+Dh~3)$5NCN!12 zcsIc^G=aIl$wYHDQ*G7EtdJf-(K6pj3e0vTq8c|;90b@IJtlqJw?O6A{x`eAl+?3Z zdi^e}4Nx}gyxQvJd_8l@I=8kD%yTv-GBi3e$T2Z_HkgDmO-^69)?u^nwly4@wFN6& zSfv(ZyRV$l|7nduf-T3Z^ht3G1B!KImd`8_o*UlK!}vC-dq$LrgKz(`pbI(?8{ax< z9gz8{yg7HHBujL!XR*PGq>YP$m{%U1#d~U%O@Y`lugSJ~O>Auri+?LlKF2P~df<q+ zVkj5umBag=%AdFBDwN=0V!iSpa)akH*#{qg|9<r>?%l@BrUmL-1GXjbNVKR<3bZk^ zkXUo#h|m3N>UR`;ZeLS>D;T~<NYD7NQvmC-8%nmH+SLqncFH+3DA?$P+^9XNU@WpC zPV|}o7x9~$x)}<)RJ1KQdYMk_2@&JxbQNfJTH+yeoU=12rBi(s?@FDSTnoH|LQ^`Y zy9l{x@jG{_Xs&KQWVA5F^~C;l%}Xb6=v?9F;6FHPrbu6s#D%}Jp4<FB`(K)AN(76h zr`o37bMIc>dg_#pe`A4^gF=Imgp<lDCZEtFu^Jx~Pe=rXG8M*{E^umKT^=^m@p10K zkN&LpHgRY!(GX5?@fG;(l=5K#!$(Vpkc4R*j2%1|mplkQHcLZQHY==|^D^Jus`Y1o zs?FT&{BL3Iri43h_a}7f@^YuCE$&!%<bkCy>!lupBy9&B!LD5*A6IM;DyrlXn5!74 zwZ|;}AIFZ}zyHo)k5#*VHS_q7`@d!%zp}Ic*||l6<=1*$&YU~<&#(U2&fVotgFhXc zeBxZ}PsyWqc3;YGy_TB(Xxcfcq{}^Wx0VMd|F2limhG1$@as(JkNvl121>Qx`8e-i zPWi)KPi5}d_!htUR$y$%?SB8h*F58UOLjbU=G!-EuU7t(>3?3iEC2drTlLQ7<D9w& z*+*~ek1~4nbMx~FuJ`v(Z8{yrp}6@%-?!e_vd<^g-1Vm{n`86JbH9J_yn7b&t<TP@ zdNy^o*X-bZm%2(-wS9}c)HXkfPCNTk<FAck14q$mwcm3L=Kq>;^oH@HkL`cHl_rXp z&lRoRr`r+4VaTN`QCs=AsJQjc0@3{bf*Nc2xlb+1?@rs%qReCW+Ud_asrZ|JH#Zuj zU)=p#p8fgFI4{ZPnO--G&VMs||MJ>venYOE*1O*bc`P)(^>E$CAF^v_c;84)yZ8Ic zw{IUeE}eGs#~<^~HB0yJpR#H4{@ebOKd+RjKK{DzyUz31?{8PHD?fAR@rN0K#n*X{ zccg~R%)hxZxlVHVM(f{?y|cL%Ux=Rf`Tf~%CjY;${~q@L?AdSsRhI^K)V{y@Uavgu z`QqzO1i8OUM<2VVcXzQ&X=rHZj`y#uR{iI#^Y;%AcXyAD&g6OYAp6|%-)m<^M*e-} zviqxj%}(8UdF2V-`w#sqDE`|Wv}~n?TH(|gmDiWbpMCK<|J)qG{`;@3dv9FswM@=` zw(H_#jl67yx$lptsgz`_C|anhRibJ2=K9;Os&k(``LU>wqb~fq+JRSR{!3K+wNLX4 zl4w`pYkF^WzI4a`e|KW?^J`1<eJ{WG=ebi{Ro^#KgKyqbo3n-g%v08`FHcEJPtWSw zn_Fpq^iJuXSu<zuy*zuD0pBjiH&yrleK1;j=4V;u{8xLwT>bmGTFcij>+eF7*06G; zx!=A<Sk-Pk+&U>_)}|{@OVZ2J0yhUf-?P!=S^QyzzJ=-Ci7#aLU;iE{VY&EqO>&K7 z_i^QKb%zZ8ecvS;sN*-We^UjI()<h0&CgfXDeRG(ug~Jh@u+<Igqti(;Xis0Jn40K zo_qZFwD0!Q>i&QF{Jn7huAMt~{-6Hl?AQ4IuYP_1AFo?^@8s9B^_sq!LWeC_5<iq4 zuiW<d`u)FOkDYtN;>5#rKfUjJ$@AZvSD(E*Q!-lNE(f2O)E<ezuOHtWdk|k+`Cr(A zb>jQl=&%2m>0O?%Q?Bg!{p;7)>6MqKrTtz$ckSJ|vn6ZpEMD<Nfzf57&)(aTIp(f2 zjF!ojJv}ku>i<u-|GUqrooV*=_5ADCm76A1DhvMK&1k-ZU+i44%=G_Dz6D$S$$L2A zX<MGeq5V|>9gSi<#q*Zvm`m<|y-*~0T2#l*r+3fZeKq66*MC*nKcCk>|MqSD|F_fY zSANc%vwQi~{aNpRl>NT0@z3&B+sE$XzUw55&9pnqD(;&K6&43i5}N*dXLg*NZSY5t zZsDFIDu;M@7#J9s9`qb+U=ljQY_8&v&DGDu(CpdT*526G-o(h>-onD%*xuU0(AwP6 z#4N7Hk+PzJk&#K~%C#8=tapMV<HG;SE_?c(H*UWabKT^W14(BK_%?>fEjyX~MJY6_ zB6Za&hteg#5~BQq-gD&HZ@RvHYR<$tEoDhIN1Vg=@Fe(GIWjCV?OSBMx8V-U)-Nux zt1emFuri9yIvE^%-tcpRgq#)2CpK@-0E^2{nK`x2@@%|#e9qBD(-W$AH75C~hRz9j zzogN`MYZeKGEcdk=D{f<JH5}UKD!=X>fh!0$C72ez+3qTL1{Zb6hw%(7vHS;w)v&~ zl6tw@kM_0y&(b(?_WhES{^(+*HSd}nx<l7RzDpMTJ1s$8+o+A9?NNKFu~bQm=$20c z(E^&{4eNZ4oC}fDFudHTd0?sdl%Oj!CLXeJ$&N^w{cvGfP12WJMJLZb+BbDe7oWrF z{O#3etG-Eg-&*K&iSbLNg3B+XPkwyb)_a^b1z%e;tvca})WsJELY|1cnR@t@{*5b- zzikptKK!+H^2xn7HQL&w?&uoJ^s9bdo<5mJ=h+1HV|Cfwr?yDVif<Q|(dhi)D01R; z#^fWltIRX^Rc5YBNVD0yVNS8&whwx@+Al9QoGtzN^O?O;jMa~}$}lL&aopMPV}{ia zgCCKluL|=er(b5jm-gHE@dMGypt?DSyPDJY?J}y{5LO-0`=V81;epz<t53f=Q&wC4 z*p+wIe)kZLd4<0N>o0z0EL(o=mc%=rEgSt7TL$H9IiRz?(n2=u;*rz?OTLLPoO$DI zbx%}H>vj0v`Og|P8S@`cS$1xz+Z+#rRi>U#q<5&wuHZ^4<h&!8a-)mcSR#i>t~>EE zi@Ryi(zWL{>Wf{x#lp(CzHHhnq4Q03U5n%EukF64r#*9*&5lV;my5PN$aHut%Aj&i zwX;?FN&i2V8x@Ld(;ZH_p3k`TVv6SB*UcPk`AhHXvK$R;(CL!iB)jNo%?#mXe9X&C zjJfW=IUn%%7Sle7+B-})w3}uMt@GtQy3qHB@{8Fls}{=N<o#t=DSAPDoAUiVcCR<y ziI{QK@bVJ<?GyL3*@c#@n|rWpQU4U?<XQaYO;Rh^-UYm1Jh#AY(o*-?U5RRq2Ra20 zsWo1=sxY4MTcW^Nz`Ub)N|f`%j0XP>vx(axzkOEN`^dnJHCgJ@6IJHYC;5qKO8=Hm zx_2(kxj;$GM!Vfa^9#Sx-or|A3YJeU9%z<3wfX9O$Mvh`97tdBKDIzNQBk&Y_A^z! zv-@KXUh@0lbVtuaNJ1%QQR9(Q@uyVE&fMI2*L%0}^SX}bb9i=&E8cHd=&$~E;SH;X zgq87|VkVq^?7!KOeQNcmR;4+=XWjWEck`<>7sG|}p3LYXw)($)i)Ti7Ew(+N!c?Tb zCi3U8qklr~{kXOAeT(a<&}Gtvt1DTjG|S95C^wPg&9j1QbNN1>J!F=Zn{sPr#H^k7 z{|9hf`8;z%z#g}A+gkqYJZj6yxYYLVREK9b?XFzrGFCV_L8j#Ln~NFqR?lA=XC8Q^ zbAHKv!I#mUUruQq7d@F(aw%!cS+@84ZnTH~(-36*cvkZrPr3Gnd+!=KLMu|PHlLZf ze4c1i&eGGo5+VNS$!8{CVqmz`sQi}MWs~U}UWr9gO%wQjD)98=TO3r{5K`N?Y(r{~ z(2T%}k6T@y{P>;eV|7&b-Q-)jD|p+j%v%H^lwOx^;+X3>QO=StN_N3@$;{jHcdR^V zuPZw*>fL`6pXak}UWxVQR~kufl&|~QclXTly{ZRw^~9F14Sv4ARNxW!cU}IM8+Pm# z_%9du$7*38kB^@Ba`pel)9>AIQEL#55c}gGCO2b)BV)Gxw09bO+9kK0fBr0AlKOq# z*X-DkwSV8Qowtxl{-KT5-6F+eyArpH(s9my`j#!0kF7Jk*mrE0`IbjKH*|Gl@8TK4 znNO!InaHvv>FmT6ViPUc^xO<K8^4{%XjnKiGBnk$UEZ=eV|@WnpSa~=4|BuI-y3S& z-W8N3U7GQ5*@EcH@^f$MYq$FDnDpS@Lv@DW!*d#4q?gSPu&5UH-)ncVJtyVu_gQP^ zKJ?XIQ{7Rmtg3r;4f~dh2F6*IYigoyRoL>iy-(b|=g<#V)_)%}Hb3#w4L$br{qpS- zMO4E-*1ou+zOhw&H($`vV0V3&Um6!9d*k03m`$EiGUMWu8@g)W182Q7<NrJBT;)%z zQ*k<Tw0}q0@bN|TF6>xWC$Kl8r^R+w?9tj|Vm~(V1qttIm}Qyb;j-e_vl$gXSo-x` zTD+308M?$z-Mz?^{96B8#b*&C?e*pCUtZi?R(q~;L-33uKlTSXw?3OIYB_WFa0$JO zQakUXv%Y?68uM-GBB#Ylmlj1xJ&6-I|Fq+<yT~NQ!rd3#EgpV)wtMXgo(mSASnthJ zn%loSc-w~TgEMxS-E^ohFOPBm5h=6rf3M%`V;)N8zoHH;-nTaR-M%w-<}gc5X4`dk zh5qlo+g_z^{vRr#@2-D7?R(pL6@fI>uW16!H;vB)F1r76?p}=_iS;U6+uQ#4MXlML z@c*NUcX8F3_|n?zrzbi+-_>+8=&jTTiMI`sN+<rcm?g6E&G7W`k5HICJ5aj#*X^ah zye3`a4^C_UoL$cCXSnm#-mev_lom3c4qKh3%a!!@k;9SSmvd9L&GhKVW8HRYnUr$D zK4JH78(%Jz=LoIdy(TqklcP=6`FqmqOw!J3f7RJz!F=WCauG4EQ`ynGSq|*@@K{M# zBgEu;d5ra@YaL;Q?w{_&-jg~tNAifi9K*p||7J85|FKYfCs)4k!`-xQMF}I_9p@YW z373>diq!08&$=HdqEI#Aj_3-Wpbe9b+pgoy_`Lqb+mne&k|oPh4+ebrxARu;qjS9y zv&_w2Ex9p$-3;5Pgm{%{wI8SMUl%XNb~kwG^NE+%MXBtPsd+VZmrTjsO`6ZXUoB@B zni+6YZr#FPW_@j!UcP0p_0P-h{W;;oqRBsdA~rf5JUwN_q`52~zl9dmZZ>&Zni#lt zOPk)=`KwD@Bs`StCp{2ZmYa|pvE{AH8=>o4qu%8%ah>c|#L>9C*!gPN^5)v#%Bqnr z8CyD{o;CAiPMv)=dw0B&hpl;xJL_SY-q@1%JyRx`&P!4VRWD-7y~nUKtgFd>)23G& zUc@oD^B&!Hefd{uqgzLgaV~gv;?I+M!T+}yQ{C1d;CVFt-(T)^%wOI9%uBiAZlzN9 z@avQWY1cLXTKM0zx=eVdRq7$`)IIstO6DZdN6*=&F@2ae<(ikYZorx6FSXWWw716i zFScBF`j^Pjmhug2L>;@!O!r3lEA>2ICBJw1&!9gMCfOVgF%xvB6^d;r%?Y?IdPzYn z)}VO()9KrHIqBv7VDp+`>*1ZzCh|w;!|KTg1(+8do~l?8q4B9qebxCdOdXTo1tk1* zIP_0jNjT^rqt9yDrlU!|Gu3|yu21}PP-eI4r7TlLk28m3ROD)ZU(fK_Dw}C4Bd#n| zz3<tBu%|7lYMmw8sgu+0vnrMPU0XPpzb?UhW&!`D2?qNbrPb`T65Q8LXDr$fx^<!A zjmF!(Q-yN_LY=N1usg?8;}!7w>YPO84O5Q?<u*B$Sb3{1H4)tW!6o|Bf^WtPbEDSo zl9lAWw!y0V+Q%dto2tnrzyGLp=7*>jO!5ERApR>hHha0K???aD$5U@{iQh0kUh?HQ zug$KkzbOuLkGf{9Jr}W{YV%~>y;X;8R^_-W&njE9fNj&07Y@tIj<ajkR7)PsS}m@% z<J={q(&^_UY)^l$efHOD`J^`opH7@-U-D4eMwh23|BBB4P5dwKsd`;sKlAo;AI}@7 z%5I%%FLjV8==$<&%a>o~t9#tJEW%yVUGfzcEf49gSXs}Ze{ZH$yUW5oCB-uLa~e0@ zjP=-%%95Ymzvwz&>AU%VCLLWfzct%roql8Z1L>cfU8*h#-j}M%KZ|HApOPq<bFe&( zD@4!RcIlz(3H#Jdo0=X@??1twV$ssztMf~Y`Fpnhjk|Z7UD6nqavt1s>cR13N6(6P z-&)k<g3}q)EY!lbt$3~dgE3chzWL!oW67F0`^k2_ofFrwr+G4)cT~nPR&C5NKF;y> zClh~1oW_a`!c$%}bsm1#lem6|vhlxH6NOI(h|SzODfoBV$1jWAW$a?6J8bb#+hkPl zU%YJE%wD@+LdS$^4!<pYzeA1h=)_B2?iEYf8#1*MXI>9z5>4s8H#^~zs~=n3w-2go zA9OywT(jjM?>*ZUNv_j9ue^C)o>TL87TZU&&QO&cxBp2_!KEDy@0VU&Wb$;5%age) z^7h=3ocUvCW6VL_h#6**2`VuM%FFKGw$<JHQ!1b^o%PhWeP3VA=~fp0d-rv3=!y;T zpL~BVUv=xwJeKXdleB+5Kh-!d^6B?ivDfS;=`BBZ!Xdo)v}RG5g4fr|zezQ5vBy@- z+jEPt(A|UizQrSEkCu}se*T!n*j@Lu=T|PDW#|uw&0AkHez?iqdO_oC@u3#Rt_2#! zC;r=o6=y0GI<qssSZ7yX=(zvS$Mpt_Zpa>3!nt6x-`S07*Ci{yy<Tv7c8u+2nc9U6 z`_^-$a>XfHJW~1CA6B*H^Y={}1uZTC>C7*f9iA)*JIR?~`(yRa&BuOMn*9FlEwM=8 z?_tNV+X3b~KRrrbR<ziCOS`60)%odjmu_FobVu!CXxZ%pl`Rn;Yv<fw-nx|M`P=Y4 zHWQSdPfF($Pj>k=kxl!spQ}ny3-6Ju2R9uS5WK>me<Z4*a-K~8WG{zrS>^j}R<bSo z*e%nxZHars>dhKg;w)s2bREC$Uv+P(#T((XC;e+PiX+<ZpRe5d_-rEox@jjF^Brej zJ^89w;5z?D`^<KEHQ`OySTj1hW$s=|YWu>^$P-hT_v692E62XG_A%uI72Y{<(D;fP zulHQ0H&F#uFAo&1@R!J#E4e1pXWPn<y>?e;L>>C||I|7U#q8|oYa&elGlbqYbzFK? zCNbdJf<s;t)Y@0@m1WwO@3b<p%3S3-^XIn}JI^>B%-R&T{E(pB;;5Z(7P(GmuQ}J| zI^Rv_XiW6y>7OGuoiXS-A8dFi=+4s*##c^;xtFhBx4SDr^7-zxoh9pLAL5r>KTqmb zt3T&&W>wYF$wDWE<TgoF2cDVzg!RMxqf@qW`DHzD+M3jLy}4MlJVt2N>fS)<8|p`& zZsuv-$2D`aM3?)CKie+fm2eAdzOlf!q;S?&p-r<p&aUnDe_vQR|3Jl5_vIXMcD=^t zzg?eu@Xb{>ihOk;NbP;aqwOy_4i*b~+*y6Ax1#R#GIb%%Y29p^H*c@JE?T|uG}m;~ zCaw2H`P$RvuWN@HFSpqqIO$}-z5~;pPxS8I(E2<7$^9qsQ~t+#=`Wagan&TB&s;*O zvH=Rm_cgdwt4&Ok4VaX_Mj_gAW3?iadHlw+kvD%R9<^AKR_hafVfuUST_0N#_AYl7 zSi+*N6VoQ~E@y2EPmkQnxKritWrX)$N@@6;A-<J;_qrc?t9^2n0@gJ+C4Knosa<f{ zIzIo>{qs+pEEY(&<mTni-p+F;I9oYT;fk6b!?jn@JbV&c?4B{(1$Fu{T@bo@ul{)c z|J|RK`m~*M+xE6tZ`z!rp|2*y3EsI<IA{54afQ-X(?0fwlzrWo@KtI`Qsp`pJ^9yn ztFOo9-ks-rV8ae6Ya`S6B>QgBMAZd{-@D8R_+mEwPMoD=$%*b1zOd-OlQh!IzpwJ& z8+JR@W!{tRKbfqh;!bM^wml1tSEydF?%Iv1shNw)W=*x^lgQb=$uYTg`@xtS`!?%b zk^Q=GXMXVA4zsNi#go!kXWN!k^>8~Bu!~JPul@7d&zulzr{l|>i3z&NT3AW_)19y) z@XPAtssffxc2C_b70l;7GC9MedfQ?0$%qA+Rt16*&ksJx{<bl3Q3qSmBV#W=;dggL zOBaT|Y4C9EGMLmccixLRXP2cil?hLqy=>;)k_Wx2Ugm8EuYG<ru2Lyr4%4>&{=CiP zh1f-x5*~%4aaYWKp4tAvNpVVEQNsql_2<OzU9tS$pPjGUGGS>I>tu=8*Row!zj~_| zF4njhwAy5kb^vec@+sYCJJ)G&)W|Ard*FKF)05vt)3bYj=XyWXV><ah=GBx<R}MU} zeDZ0vVcYF@oqH;(Zmah0Grq>Sy>HV0dln{w=iIMu_0yBsz<Yst(xFHX6A4z=w`ZnH zrf(?axe^|vV)3!YSI^*1upRsR4AHQO#>OkkZ|pCQ<5+ROV&(ylH1P-F9XqG!ZMzbt z*vn>>6ZzftYjWuQ3;C6O`qzK&>zwVhwW9Ft`h(~96->9|J7PK4aQ?g~^*2JSW+o3# zil~-P>vUGh+P^5nBIizR#pYZwe`m%W>_@$4K3$>{n){E5$6vhEQenNyru(kit9p6) zst)b#pQFHcO1Pym_jr5o5$3$EB6Tj0|5jxJPN!tQm6-GJF<4DHsn*0&e*c#I@1(;C zvv=+J%=UhR%|(MvUi%B2&fQouA!OfytG8|KW#?I}oRhInA@{b?#43$7O6!#3=kiv5 zv1UJ^`sd=&OT49?)&COqWz1P~JYa4O<7+$Z;@Qi-z5Lm5HT%|e<^{_eCRXaqU+rb> z5x&R!Ti5QyJ>TEGV*AjoY`a<CCVQp~XWiWC?uk=&v)wb^yYflm#X}YwTLkYh=dSx= zn&!pFUCGziy;f?)E%TLyEM==#CCr~2VVEf6=GPY17budvH|o~2`GHT0HN>i3m2lp# z)0@>T@IF^ZmwVF9d@sf2%#un<PLD;K<z{d>IlT6saevM6;-(Ao3EYvL#adi$f4aSo zxXjyk)+;aORD|r=>_v<v9ornf#Gl!5@`BBYI>q@vcoQ1TUh=N$j{0XHu=3=qiZyp6 z&UDQ>dP6cl<XG5)r5rqy>{cBLKNNmt|5fi)%lVsJ7*}4t^CNVPY4Nfj(-$8!TlQ3+ z^RI3d%jab-p)+r+%gbz<aC~C-@r=JSwy&Q5J@eVCoh$X~G8FR|FUA;si@aNJW#uFH zWvRhNwU7x43!OQWr%cIDVsP!4ck#ESMOj1RA(e>08mS}Qf%iW7yF7GHe-Y1m>TUn7 znQV!BRP1lfY3kFBZ#DK}UdrPZr1U<%VRhe|e9Pp@3I4LxhbPF)_}0&6v#B7`x*)&* z8NZ6%akq!Rg!cyT(*I_(e94owhc~FD-rg%LG$E>y(V($#k>~f134J!_Cg+PT5G}ob zpGEh{$9Db@Ya#htSHjbjE?xd8yYkJETYrPTT%U3%?w9;c9iIOgwiB(QU+^!#Euj0? zQ~$c1_JdD~g#mkJTu)=OE89}G!pDI<<K}AXRb4V4p2`Q@I4~(&An8EOJ1_0Kl9K1X zMfwQH9}w=I@b|&JuQRpn^Pcl=Vi0;ceRuz^=QF;!P3XC5G<k7}%Ha!3*w<GaN<SaB zK7`xzTH6~gdH?X#^E(|^1`0cbXE`$LSa`as^URz~i9?w`0uMjak2lZW!QsiA-kNvI z=<iXz^U;T$xLvg8>S;2COqg^+GGvC%ItE5P{~Jy21!rHsk<nIiVzTOz?a?=2kJoQX zc~l_mn3FaARzY9KwgoCFSJNlioIEdj@iRk{j>47wBIOgWKQeu{{-K(uVEMT#=}Q9_ zIt0C)W_YSF=X#mw5+3V|GS8~=(kd6YrtY{gJwNf`92KMXrcD;P7hh#C>@xf}QF-S7 z3zPUf9tbV^5z7)_c)TRX%i-jSiOXuDx0K1n>()j@^lUugc=ba^$jUwJM;?hLOixa6 z{E_6AwJxvXxv=`IBa+)DNYy(<?9{5SI&GC{epDywt7ipE{lvu=6L;Ki=sg(vtyA@2 z?3Fjlq9&`~TIL?L`_%Buv+zO9h5L{F&sm=ST-a{&NzUqntBP^Wl$}LKpFP|8kTFW= za=}AJLG@`x$6T*6IDS93;F^=#L=N|NmbFR?gkq;TOpDv>7nd#YvE%#AdFLi`u}boE z%v~0wu))8uBS2<mf=41p*F2wR90idtvew>Yc_do1E-EiYahK$!kK5%M1TPzh#nm5K z+tPk`#*47N*T4UTO)qX%YOlDt)MCfp&p}uA?3B2?`<;+k$;1h4hwfClOQr9*X&0y; zci{Tz4>CE1nvWwVr_JbjxAMB$bg?PYWhYm<F8O_K^V^BO0m8H5+UCVtw*FboFq`Si z!{<x*@>!z#qGMOhyfH25&gm2HkNml4zN_uoFO%yJS(_e<mDf4XkdIHh^NDfA$N!~@ zEv<7^+axY%`Lp&i9lVt#DB!o&@m<&q<w~JdMn|V+ylgys%X8tv<tDA0uBZugaxpYi z__xbVV7|cnA-d>u)FzX&Z-rOX?duK`ViaLvYHGa~`ZjyFrsI*6pyJ;aEKf8T&z$<Q zgFm)*)w!~%SJ#SsyB2sebnEk4GtX0A*XD;!@|n3|qnGb~v;S8<t6aWoc6-y7)Weyd zH>o<w=z4plge?7a{_W4pufrzi7rlQRqkAjpTHh<C?)1*DxlfkFo<6mBx96m{)901v zev3C2t`8U7?%&MhReb%+ra7XqMkiG!{XhQrQ~f`qrxx>5Emm%nzkWyM?w{%Fot3V5 ztqz%c%lLGNRm|%{wZ><cOqlWW^Pm2?a#!BIN#WPd-YUFO>(wbQ|5M&~{KdEZKmGLY zt*77quIZHQE}A^~RagCu*1v2s*M@puwV1N%)v5CM`Tx(auicb8O<GG}p+ckXGuA^| z^OjjyhEIKbir4h!yHio0uJ7#haeuz{dz#Ue($G`MtJbf5KJk;x@|VxUUKh<dDWm@W zW$?L4oA3Pp&!TtT@|=-<>C@e&op-}Ze_z{~%AFnj|Jd8m?9`L$<z|l;zWltta#ir_ zwY5r~>Yw#v=W2U?E1CLgWo`J)!bcfAOJ6<tzNR<oZTsIW<FkTEj3;<6>}P1=m@qM; zwr#58%c>P|k!D+;&HtZ&<R9<np5vxv|2wmfUr~0qk=T}df5vw%J#B9$&udOAUw!YY z{kZ*Vs%I`EgMkP~%gKm+(brG0ch)Fe`cu!+y`$SWdiB2lw^oI3=LqH8(QRJ3>QDL6 zS?Wm}(#|zBF*Y_d6#m-z+xB7F@2t)DT&*@9_PY7En_KvtMg!}y0}BKX?iNr~RCZLD zz|iO1DAZ8&e8DC@|HFz+&J7|56&D<O6j=D(UyzAI%9*8|Lp1D-+JnY~1&3aE86J2P zmv|wCE%>IiSL;fH4FLwJyn80Unz`-L+vT}ewoP5?vn;@DTY+Zmie1*PpM5c&di}Xj z<@L=^Hh*J&$r4dFf9<C?tas-q9$Xw&_+^Jx@TBf{J?*Px&ag1N-p`VhWb~)KBU7lG zB~W?gS2t!}sa4PA4m#H>B)<$>_G<g=FXvCZ(D(T<Au)SnQtk#$L!+8CJp4_95(+#5 z{L?HLG7e2*WWM$3hrx$pO(um4fea5K85CsP4l*%I1}0i3EjlDN(Rr=16?bDpD@%{l z-@9sWtu$=T1>ao%ciGyKNecxg1YAtu@ZeapwsiK}vej?ZrfuX&eR-`cZP}_<<@0kV z>#k8@c#|md_(jyJNmqV-`*!6^N$l0;f6H#FiA`d<_GbTFmJM(J^v=HOvn(ie>8kA| zH`j5iIxW2Wew&{3$z>+Sh9-><R%|_(QQ*4B>B7y&QuXVbFI?#3cp=m#5_tBM{;g|2 zbB?{|`F$;S`6@Nv=EDo`e7o)ZGKT9bXUB{auDrgU>fe|-9I~TlUbVVuYa=o%a$)r1 z2IW+hS;hBu+vO>z@t%F<;@Z=~$C0;e^`Bi4krTHr&D+{x*QgZcCpUki(EGA~ia(Uz z?>froXxn=9u(QC&$!hA8t_D1vdg^z+b6L$S*Nm7w0&Gf8I%Y<BAAPDX82E4&hv#-4 zHs{7>u720{wysi>UqLA`E+-D!w;WV;?O>XHp@=^>^1_0(1}qGW-+6EOn0PQdPEyz? zBcR3Uq5F$5R5*x->EOYGw|+ObJ2VI{X1K=C(iFte@=Z`nImCg*V+q5z-^?9T1Q-QG z8xII5E<Df~@c3|(Q}Y35F&+tz0}&h!l?DG^8XFy3BwyH`9aQ~pu7PKq`ui!%Qk1mL zSX|!b>Sgk=OmZ!Q>jEeLr!9+m!koJKj@oWlRuNjRb2d_Fg~ft(bMCAU7Zc2y(8kGs z%%SuDDv7rDy++IljE$kk7!EWtd^@5wIb>o`yE|ipdmEFpL!)D>lUh>)J1b)|TU$$e zLrWint%iWY0Va99B7=%YUeU}s2}@t!sF*NOh|_km-~70}9G2Q!`|7ToUN9{)uVUuS z`;49w?*9;ooA6;utn4yv<rpc^25C>3Z$+wM27C9~E_U5F<wW1@yC=V;K9qWRcDsD_ zOnLL11T~|z)<Sg)H5WO5JoxPZ_ruy^#^t{<GghfGluR>ii1Mft(}}&lvmkEiW4`v@ z4Z{B1>Kj)7K5|?_r%l~MwY=Qv!j)&57KPu;7yYk08QW#k)LOlh`_(Q3<`?>ZU!|w= zd9T_0dYPXl^H)`w{-lb;>-*=t&Nb;sF^}6G_4HbB)$Ure%*I16H=c}`KKId~9NV^; z0nU5gD}27c>Vw(Kxid^|n0p8xe(>p_@volq&x9-9Sjz0+QOk9-urbIt{SjH=7Pr3A z^yQlB*bQq6v*IrP@hs5I_wLwIUl&w#P;JiR&v8vT47;{vEO6w@eY>x?sqD(rM#uSP zA4BJD&p5#MLg;|1=1aC8i<WUrfAwkYAFij1jWbPdd+;oL%~tf3Nj&AigzlPiGis&f z=9x$tntILSn)KBA{nVZm7sdMe=WMBa?K9u~$uW|ND4lXx$p77ntGRZChBq(OBpXS7 zaV%M|;q=2*txvDnxasVApL}Ra!c3iyOU(>Yg#PT}Uf}=3d)d`8&J#{yw~o85H1OH& zzyCt%WH&XDo~P@6R4OMMUv09xyYRhUMEKWd_ZJ1PbPkN0EmE)e>*r;CPn&>Qj3v)F z?~0zcyI^|f!)ey(=4>uY81-K@Ec=)2{-b)0V^8Z&W`hn5mTD>G+2?Bi)NBqp`}nnX zapqDT^CycQhls{qRWjl!G*q+dDG*E#<xE<z&E{R^AKzum<ko(_b$|UE!?=K6X5p@1 zRxd9}v!tJ&yYHy=^((uNRBtIce)ds}jraf8oh7W#S}*KfyJ$*1=Vyfvhb(urNZk1~ zca!Y;X)78$tZP1g{5m~CAuf8S>|;&W26G7)Cmw|z1q(ObT9@W}KSZd|cEU`@1qXaN zCaya$@A$^#bt&O1!(5l1<=lO2hFfVw-yPj_v1!v!8MP{0oKyVidE3_T^#LJ5=Rbup z6+baGV%Y8Kb@!yx(!+Yy+a_2s^*jjfHPN(mO|9(wYE-*ucY<TI@8eSmJzKrEAG>+) zl=XzHn-A|Us?crU_HfaUNzv~t4mLli<+B&t`dI1eu?Y^<&u8-ARj-ayS^A1S^u7K8 z6&Aav?eliM4U@XZwbQ=FdESLh87&fPst<je{Yf?P<k6Nr?-%}*Ous15wAt{Suvnj& zdYRp{<dtt7W*NP`C7dR<dm`7e9R5WcPnz~N_DwI=IB)pXHMAfqFl@!!$uXfRwYk9y zi?4o;t`EI=_sgn)$4rZ>9X_6a8J{fqD{;#0;Qn8B(m&OgUYyiX`ZKZIW7?r6<!vr! zp65T9(;nP*bXWU)KJn>NC%5etw~*kz=iRX4@VRNc?~i$;e_rAiddcUX8IQpP_ic{N zzG_dmpBJrEIo!Xo!@^~j?V~R@_V*X9YJK;3k*)2fpwK&gIi&(G3`?3tQ|DP)`QMY6 zW>7m};>MD|Jx5kd+5N;ps(aO1ojs2PM1GyFYY*l(&9%<bTfD!;ZT<v7Q-}MO&b6Pc zqBCQ;Q;w#HZ427}vn=mMn7^QXlkyS0doo*MUF^^OGnBsF5N5A`B|i7SmS=AQjvZ&s zYSa;GNjfSq;q1Ov_v2Z~eeZn*qg}R${@a}t@y_OhcjUJj9j8}6GCuUDfTfn{QL3!I zpXk;1lWkLPpFgF&@z=+#oW(kyUuhRJ-Lcjd_WRk`@!-G$jRY=@>+j|YTriOT6_qXe z@sOHn(}C>9X&2|(C*5;@TJt)lahmtl+UUa*1y0Y>JL>yMn912ZykXVr7u(tPJv(18 zzu|Lu$vcq^_wtMijSouLf1Gc!Qs(2q6dm0|4|a5Xjc>pGXwlt!0?NNm-mSLVskbtr zYr5J_KSv4Hdpd9Kgx`D_ZYUR#pmfnX)oJk-?zcsfi)SsJB`ql5B^CGH^vCbni%*Az za~|ndZrXL~*OUU6^1_l=E4x>%m_I?f^LyN}hpIOs7;bp3+ijM-L`F7Oqm^^NK~!p0 z{Zf~GrRLpBMgGhecquo3`>B(M_sV$1AD)+cXXc3=O-lK`OkHn+oL29eW39OB_tqV2 z58mCeEqS)ailtNa79I@lI`=o^$%<uXGx@h_wy0d|;PcAY(w)4cXl-5h8Q-}7R(BpK ztx1zl`M1wbUf6I^uj8L3a^{<2g*H@fJ-+vzg;-j~<g7dCn^;BV4(#N+XC`~QeUa^7 zBbQa5E+;QL5dL5CeuKf$`jW8wyGy$bHnaOCC*N`sO+Ij|E5kLhFu&v}@3(|k8F#W> zxK#hY67ihawQhl+NvGBF?M`J)YsxOw-1R)`rN~xp`DgA8eRV0_-I_~Xulelo>hj(4 z+%qtz=Uk@UJ9GIyjTY0N4sim~LPw_ElmD{jh{@%oTfd9{BqjY2yX~>yomP~QH`lrK zKVq%D->_^AJNWFSn#>E=$<L;x=3ZH)oaudH$CCDW6`xGZX7sGMy29{c3Af#z+fNK! z7@0F)w;nB9_T@w6ldimnA6$0ieJ%fQm06_t;)8AJ<9>^k%r@q03Lmn}3{;=OXT6{z z<(lVP^X;acIa~=$-a9k-9u&#MDeD=8&z%>rV3r++vPD?r^+Q|J0$$(g6t!O3`h9PC zpU$mW=W|`R@4MF2duGW+?-?5-9QgLHv^hN2Dx$SJeclAkRhzD~On1^ym?>g-x6Ing zbe{Ev8P+|*=a0TMP*Tj2C}0=1oBmlxJ0NQ@e?^|%l;)&6SF;xAJZUrQ+foz0>bHRZ zodq{~jknx+XYicm(A(s&>Cu8)8+_Odn*=HqIO~d2!xy|Z2~`a3&oYqI)3#w+<ha_} znd?M_$Mgx;TD{_4x+@nyi-{;H`JRxbt6S?GmuP#%X;qrbgbq$!wrevC=c&zN@0PBa zc-7tFD^oDX!MwmrxrwceI{&k;l+NepRXWriXKa)$oSvVkSGGC(_D06--VMeAI{zl} zeSNr6cJH_Tdc}}Q`KpEd0+AOEOy0`j!_GbL?1${i7Z(f;p0ir~UOj4pj<mSJnJwRc zr2Z`{%&=r~vF3C8Rk_=a&->DpR*|)_@h(|=E6#IxPw_7onsTPEzIH;;yeCP~E8D+( zUNE~{<4D)lXU8}?r>&p<Yr&m3-tUh@E|w~0uD?~%Sn_q9$d-Mds^#qeeSaFbGw-ta zrLEr%>+afO^+f%PS$(6|*A-1##^yWbKizO7FuI}G)O3=Z%K!WByEc_g*?M$Zr{cHf zCF&t}_j^q-Tr{U&(Vg><wI}~gq0LK+)=yrpX}7)DG4zSAVTQQl)_G@V8D3cPba%h9 z#9z0Y$}1MlO|xJqu$rtpzsD@C-pV7HKZ<cGtF>VGt4Piklj+9XFK(`UeEjhKRF%f# z%>VMT6&BS^eo^%CkpK2|pE$2));$Q?|8@FGo%MGgr?jt2+7y--@hIL!d+x4;Xh)WQ z4Q?LEg<MR#Yj!(Me^sZoBh_iim8P}FURcyVci3{NK-st};J>JR-2Sibx2Gi->lBF? zbXQ0|=>DJ-yrx6Y&HmbiT;I)p8zNVJZiv}v!BzIN$b0_5aG3*)Pl7G)Y@X8fZK>}v z<5R6=o;{l{9y^)EId8MC(uA90O=ma@dD)m-PueFfy;-cmp`Rgf@}q)YzKhZJI~z7c zx1L%TzPO~^c1rZO$2kTUPjN7$|K9BQ!gi9d`0|aDn}VN<86B2+mij*-Z_nYMY0U3J z7Co%pSfy}uwe)7QFgfkWo|0o5bZ$MV`P(#gr>yQVN#1VHtGe;l`_2e+-^{#r`?b(X z*`r5J#RT8{(7fu&zv3_EYw}eRg`CbGGro7IZL-vj=Xsy3|9`k*&1T*H_lwcA<Oyk? zjf(?rq%D83KwXsMJoCQhNsLh!eYrSZoeQtaO%ZFbkM_UEJt1R{N!j->HPyuan$}46 z6A4WBj(Kj^N<aDIfO~V@g&P(^HSbPwpO^8gw&@o8H2d`Hn78FWsxRGK&-_zG&RT~v zEn6e<u-Wc8k-n8;dkz^MacC<3+P&+ywRgm&hr!0H&d%mqFoR2F+Cr<jf7;WnIv$E2 zGI{1}U}d;jL;w8Ex^;`+m1JN4`|Q@oXO`DjKNf%HdY(CU(uY%5)@se0mVdj(Z(Z@C zviUb-4z>M1+I;EadFOv~PQIO4(R=incFrO(3*)UbOwS%$wE2nY{51Kj-6}TO%eI|O zRlVl?Y0fXNo$9Y_96v_w-u#ope%*nURiEU(ykEd|{=vP>-u~x%xHAs6%0&I$;Ng+7 z^6v$<oR9^J=1!<kpH{zU-k!QejG-5L7A>*nmpQE^Q=hdg{(9`bI~!iB_EzlVemS#o zW7C}1uO)X*$=X>oaazIptjRt8DwCi7<=Wa|5N3Vg_?8DbOO$5)&%F9Qe*XULGJV(9 zu^DF{6x5fG_llftV)y-r+F{*|JhfS8|IU9U8#iIgj6J~_-HAo&ankHnN@xG5mz=tB z=v~vdmF?T&-mT+3#{KF<{SlWCy^sJE-M1H489v?j*G#!J?A8Iz1TV(ShKzL^R>nSh zQGQ`6PaU^o%=9w0yej{T&!;bZaK0hNw>(KnST}pxxf!c!rM%9X#U}`cy>VTB?V-Sn zGrMm*-{+)e>-@ztw5Me5VUOK5cdo^laS1=jSh=2Uap_L~?t=Cmr>-|yt-3w?p4l=! z-7Uw8?ps%yR0bS7nOd{VA^dD<YV@x8#+nl%;~W&s@3;EyO^UTSajl#2VUr<KnPxI$ z*Ut@+76O93EqS*-Sd^8X4rWz;q|0sS&%~aSHO)C}$>N{2?LzEjXZn>oes0aa=%sPu z1@~KFUC!+SC1L?jon4huZyXZ%wDq0pO@YOlCY9Bx%8kAZK4#NY4Wo~gD|=6tk9uJ3 zxNPgG<U9-h4VvDbPDd}v9#2{)c0AQ^?WfNZ)}D0V^L4hOap<fE+Ya77vP@b%SZs>m zn-dG3&oZ9*VD_oE@|$Mc|C(0!Eq&%Ihd<VFCt_41gH5YdWRyR-h$tMe+3@I!;USr= zO$7ln@>I?;aT}Yo99rBX=J|N#8ihs5n|1^RI8@kP6=96_{P;CMZR)dWmRDrWB)fVR zb{9=7csFH&QFWr!p(SoAnfLv@pI$ageI$2afk5lRyV{RuUhS?mben$2_r_`?rMLF| z?_{pOfA#QbW<1lrMzh#Iv&#*>EV|7pc2ASzdQA3=Ha7kbEJ6iGe{XUw(3eaw+^x5y ze|zosSaH!R?Ju8ndm1+?KUl}op;GSl%kkUHo4d}6H718tS#;*H?dMo%-}B@3BR>9Z z&+hfe9(pg8%(*u!wS`mn-T}WeOqI$y-!Dz)=XAEdDOtI$IQ!7&hMaq;lWiO#g1FZ2 znZ&R)NAKT?HscRRONy`MYiC_`=6-p3Z#K)uH<o7%CcbXZQ(@rFEVy{`S)lk!Ge3#_ zuP2+Nue#v=`iaER;~kZsj0G(v-w5;QF0{C379@3J#@4?Ji#h}!s40E0?yEMlJDg?v zMLyMZS93)Yd+1gPyPr2a&v<7lZvXnAK|1@N=JkN5mv!wsX7TO0ro8Ct6SlQ%3$I^_ zyC)%XvuX2-TVH+CJ0$|Tt@%HR*YNoK;gbnwiFWbinK&tuMXB~f-+lL=Y?B*WTFg9N zD44xJp5ztf6maidnWccmM3wjFfAVT8XuQg({F>AE;LzF<Q;y9cAMV}}=~(-g!Ry~T zC82=jB~6YWS?3vk%u(`@Il=U(I-fBqM0svY)#+E2yw;mzuYP~f%*AY+vM)i@GeG8v z)0)J!#mW;W{Js5pj`yBLODv<#<Y<0rov15$-a^3a@RVuVFD<iEgta3t)$&ekzjG(? zD%YlC3Qk-1-Fq`XCCA6j=8mZI3C$T&xo$QBUNe$DNaUPzW3&r%vEp*)NWE?%7<;E= z(gvM}_Hu&J6aIKPKltJs(KB!T_G`1v{3FjX6qMAtT#n`4_0jjmFP*yVS3Uk^<#o;d zv&`DcX1rPdhFQ;2<VFVPGgg)#n;ZUTF5cQJcUSye<^=vZ`(DU@x?Q(WB=BqN<ytlI zLn4(Oo6TM)zl*ubaHg*4oY2gk%YxR|XU|lBXETdyz2v2wH@Ui5vO7e>TC<wsm!I^V zcHsCq{{uWL-nVYhF!|Hqu>8;B38#Z(ydK`1In$WCdG_bG>r$WYV_@x&HZ$JQdN_EK z^NBg#2kouXjCnczr}v~YwyA&mcR1}z#nWr~rT<wEA6RGbJxXs!*gN(Avz{+FJDKTw z<RQDF2bFqKdw8bL|9X6(`P1l0?VE&*dA{$A;Oxv>bMK{qdVRwS#x>J362k%&EgIjj z-15BXzI-!J+A8T+l|e~|Yy(YsKRj_fbwhBu<L^Bl%~w;83wBT9E!fP(@8^G7xQ9`5 z4_95MiN7h6>XhP`i;w#F&3g{G+}_>H_{KN;&{BEPt)Ds^UwtlS=sNh|kNAXA-A%lX zDrt=-t=ksJHhFZO^l<X;oaCkY!FSzZFH5eAoAYuet?Vo8kan7{{~&IUy*5K{4X^OF zs|URn&EzV|(MWZB`OP{Zn^(1vO{{#@f!2El?Q<Gpw;ugl+T6CQPIB553GV|Rr@i(R z>+NjbZyI_j?){dhu{_P$yQWo#Ut=mcsT(7(tY^vOkVWrrvOlhNdSRGp#UL7?>&CqK zW`d<h#S@z-si2Tb!@z@Dj*N<bt&|;wo~J*1sBp(*OWf*|q_n5Tk=L|3vWj2&?!MY} z<Lx|FeIL(2w^Ophf#wrGnV;$pXSeV%<JmoP&5|OX=o2STJcx5pnJ3vTTs`x5^^XN} zFQ+eSS$l!)){<{lCyR78EZx!?*ni<>S2a(Kwe|YG^0Z$+Ipee{u9x{<6Hk@t=*nHq zKJ$=Bl#>Y00{34bDSv7e_#Q8mUCd?o^-PmJPh1CITe|nVs>|w=R+T+p=z7NX=%$b} z7RteIt$D<h-yPTbb8L^x%?)eTSUPOXnXq%pllKL3linP-@V@9qC%1+AYpW$oZoJT8 ze`F*esk!{mbdK6(xm>nOnhu1o^gWYrcKn>Vo9l<~<-3#)TNFI$scN`rB4mB<zWt>= zW{1shI7R;VYka|wuUqII!!XI_!1oi&(nI}iZT8H3V(;`OU#VVe_iwR#+VAgOt-bq2 zl+W_JQX0pZ5N&B*2Q7!Yi&lNEl}_ZC*i<~}M{~dmu@#GNY)*65$mckq8Yf+0*<G<W z_{*Y%8#k_YCNz0B&7V~DH&!U;V``b(qlm*ltq*Lj<yXj*_*%G~*>&Hqt(_;htiJ5< zh<=$bQ*7~UNwnzKrJ47-PoF>Q{_UgpuEJ$P43mDdYu=y6Ww@cJFGpZ^lg5hH2)}zu zcg-i*Y&-nnSa*!@&qBdIwg8bS_1kp(BNp{3vzFgJY$MaS=JMa~6Aw047EAuroY?-c zSn|>DxszKb?w2~j_Fs<m-p}1PqN2W~tW=yfskd%_pEQr~Cx<)v$?R(39KAn#EGJKO z(zh_;o9#3E-h2Is%K@R1?CXy<vAn#bf3)e#-%O*A{~eDd?>|^R<D*JZ=OTOi33iL> z1uPde8@a#E{F~JOs`I$M3rFhR0~gdLl`^diUE0v{mZ@@9SHY@8)mi_Ku!Naf$0 zGO6N6q?Fo$g}l`-9&=kIT|U*$X41y?hW$|xKX-#|#<GK&b|=reb%y`EWO;Ev)7&xx z_8C<VnpJ#O2VHUq5joR(^@6gBbM|fS=*QO>`}F4h5z${NSav}7S#R_@E{7~0*$VR& z3;Hf_cV3vC&|X|QSGsLxZr-l+_KfEt6D)k@Mtd0=CVt<le8cdqQNBmc&xZHX7F?k$ z>MqfToWGR5?PFTmQ`)J!`_0d!WX9sXv!2AV7REGB*B4Y)J=Ivox-*<nu5h8iCLX8j ziHzqGf3Pf<j$QG-{nt+A@*CYH7Y?pk?K8V+$}f>%vAd^aB*oMGRxaJ|{WsaWdi4Z# zmqWr|7~d_k5_wegdtHEr|BUxHert&Ft7fS%eK>KmCVC5(`0qwO`_sD8q2HDVZ9e?% z?i1NG$q(Wt!uhFd`e&%!I<VnR;QX~F`P)_nzWeQ|oBCDDqroiagU$R0D$`Evl0Mtj zcUi#QjpyZqg;sg{vIJ(H)ZNi=IPuzngVo<HKTO^fv?V0Qa$^(M8tqfglM7wnp0iN7 z{a#YdQs%eH=fbf08(ll1x%j_{ojw2U+362;J&_#i0|PH#-MCc4J7=<EY{7FD$^I}7 zzJKY{V(mODKkwrH?e{-tYBpDo@}VV6XZJo+3H9<e|FPyt2jdcMGu1cdFJCHEW#}y5 zbMg{H=msZ`cON3-*k?{WzGK%UdwY2aj_*&e#b&=yx->W5BhE;gmFdXw-9<9F!Fz<N zYL3KQD`LJA`~2j;)Gon)v-*9CwYINs)HY%~&i5!t{Z6Ic{wJq5Fe#nU%+$EqwEy?b zyHBEevXuTEV|aP>$JVJ4YFkeEHJ$o#W+}56ui`B3jZa?n&yQgFaqz1N-=>($_kZ`a zzQ_?%c^a{>U;enGzk}}MHh~QiQ>=JW)fPuK#s2Fnx3>S!yzTVo)j`u)g<7`p$8N2# z)>HbfvBy!7@$$vXLe_poXAe3^owF@EWxipx^qRfDOy-zx+xs@XrX+O3RBfI!?#<H` z#ij|pUMy?gz~kq&b6U-^$SU48g9ogO-X*EM{Be8cjjGLi_rAXV?1!S_!zUjV*!_A8 z>?YaHzq|3~l*r$A{~x_(&e|!ab8}nnZ9DjZ1R%!Tvi-&H&m8|fLsV4Ky4dxZ-ruWT ztCUl6L=-xAYsoyH=F8Ug>+UyMivzQF9`X&{ruU4=oImfq%i|}P%BP0zwB?EINZH5# zquX%FoaK76)Ad(;`0gOz5GlKJ>&fd!8I_(LQqTO?6RmP9NsN6>+K*<Q#T@^vE<9r2 z`ZxT&&SK`o6H;yOuG#-n4T@U->+-UKe}Dfz<K&<3qa)hz_sWU&H?|zkxp?6B4UQ#j ztWs+=6`CY2d!@TSF?N@E_(SH(r-l+ChVJi-^QBMC(wwKda^dG60ZfKBuQ8w9#cy(S zqu8w8n%c=i_w&qi-}*&*K9>F)^z6-)1lAV)`_Ils|4VC0kx+4LsC0hXmoo3by8E$_ z4T>{G3Ryn?F@5~6$Krh9k8O1xl}aB!**dvAzj8yQz<9x~eZq?h9%$Poc%)zdccyCY zl>G-@L_Rb)xum+JZ*rBb=UmqnS=}`{rF-myrd7>dIDv`P*67~XDYKfkw7R4U_E_$; z^*Yr3%t}{<PygP&#KM)6zi(o2JGOpW%Cf~bn$GODss4Wa@$&O)#J=sw5RLySsVB+o z!X~jZ{dK6uoE<*X`Jz8e4wAm)-En5Mrk3`06DeQ0v!{L5tj^8L-YzB{`JUTg8RwDr z{l}j5re0_$iuQW*_05;kg)&=;-=;}E2|Ac~aA9Youk*8R8)hNSj~62|HW*GSd%$^o zZuX~#lGO=qdh_cQb2PdbpC4?B3^bd~VZE?{NnS_1S6xZ}tg52a$!V&d3(hw-HpuFh z<Y-t&ZGH6k?}cScf0;0t?VtT+Va>L?w<EPAJtI9Frng?~J2>NN`KN1%dFka#P3=}b zDW3aJVJ(BaNZXD*e811`I9Iytz2oD<Q<@b|G3%9VNHDa$F~8@U#_v4grFQpk{&HWk z^QoSR=hTTI3*LH29yb)^S=m`-wx~GsVZc-eMv>VE-uPVJ?${t8JBM*+4!^eVkCPH- zjQwwvWKSzP8gO`<G3$fFdCxwSKih0DqnLrw;Mw^JjWT<?jxAj?!}9yb1;P_pZvJ&- zJeJ_U)=N1_EA0&boX1P&#yrk>{`K7Jqpvny>`_bJA-aL%SEK4{4feOCpYJV`JI1IP zw(4I_TenVzOu!$HaHo44Bz7%7ezO01;3d(L<UNay+}XCfxOL^j#exqS?zt?!rL>EU zN3QFIxwyY+;wKxA7hBg%XWzN({Y|;+8LY1kG#t`h9@c9mt^M`;(v~EN)qASr|82To zeU`&_Rs8z=V}TAWd?~jVW~NQ4s!LtM^N%}i!<hy18ko4v7S3UHir?1n!j*0wD(|>= z50n4<S-hN6u2i`*3ExYx*M1Q<V}6<9*=yF(Pxma`QJ6pRh{fj%idVV+r8`>9WfQc0 zBF%Q{QyrJzzfBLP{I)K!pD#0o$y;~tLo2Dw*e}r+o^P->i4rrF5W4+%UH8k7&08-n z{vch~`>6cJ_XnIlbJrNBvdI6@x4dg{TW@Z5<mcscKeFA;@JZ^3de=BT%=QD@;x7+A zHH18`XbHGkocK7oQD<UIpZnF#&vR<R#iSZ_diH%PW~n`Vt|jcPlBz^USNi6;`)V5h z&k22*+O(^4jqRZ&q6bu_-*cUGRw&i0`ihOR#%#UK4>x-I1WUhbT$p})$A;La`<R4h zdidOWKX1Lj=0sj6pRgoN{yd>$<?$!h#fo*9#hja8{EDe^_kudXXr0F$)1MtTx*)o0 z&EhkBGndwd$4!18X)O8o&3$3>$!&`t9*nn)I%5+wM|i4RlTN!sl$MUsv=S*D)f>we zD!YAu8KDyY|ICYd(f!{`HJ+{yUzX7Ce^dSKkrfY|E;KaTofO&M$uzatEW-Tx1^*xa z7R{W&)!c0G-Cz#u18!~0XL_px3Vp=yb+VhJ*Z59fd3N<mZ3}^khkmRNn#HUaoV8Es z!nNE#^DFH%4%F=Y8ME4cCil_C%I_<R+stmCH1;*wV3@tWb(h<_9jXUUoD4jpdA!`q zS2e6-@#Gy%f|n(phx?l+KhTmqHd8P4nNaDvA4=ZOZkDhvvJnrzr*kfI*N6Yh#X9db z%#{+EaQQv=ypDT%7jOPi&X;B1lJGL=Q#NnZ+}7Ror8VIbdEz&)adp|rzhHVG(I9Z) z-HMv0#hXl()q;w*rMq3cQo<xMl_&pI`F_uDA9Z}~b#k;4ZU(tOQF(nTNP7+2w5!`@ zDIP1>C%or!oy_!v?FlbW-M#lt<nm?D>JJyxd|G{<?QF1P&`^<yF|&{;^574Uun@4( zkNI<4bLO+ju|ii3oIeI_?07xhJ$K=f8tWL-q9UDdD{r0C`>n{V!r>F~FgEDm;)#>8 z{9ox#Oj$ft{pX5RA1*fief=)yzLm)xxrY}2JDfaLKk{q~ay?RY$p1yko{25ZEsyX1 z&ak=2(b2Kz&GzTl)3m2I{}1rE;nrlHux!hn+kQb0|DNDrVG@(;lxMqVVd(He<)rq? z^Y0_{3Jx#l5}V<BBQ3d$!~UZFv2`bT)70ut?%5<L-TCgD&SSpj<d0JI&lcTuSNYgs z>!f$QdUd9A(aJ5|EsLuby*+g3+{La-f(&eeqOLpl^mTP~tV%QvG~Sr|<HJggi|O4h zC+3)};x|^3`BX36vWiP7>CneHUz&DxZP>7BY1nI{u%uaU4_BX23k;vlTliY#Ux4SP zMO`Q6TfMnz8Kxj1e3DBuB&3yVGN+-zgmtG*d?=FcJZ{r`=ey+WHT#QZEN|Lszvs@K z>+J08Y)lK4+frm}lBR_GaMf^UY7}kl*V3IOppo+GCr1nCZ$`y{2U;&qy?p7$q$tSt zv3BvQ0No}1(i>lF&}BY!aLvnypF5p@_AfnYWaKKbV8gRlm;Wi;+cfL)KjoVKeXOsp zL~tBi`ie!M@oEG68jD2Fk8Mn5pH3!Rd3s?%qXE-K$%P4utsC7KjGV7tm^CGt_0QC4 zN93n@3U#Wqi0PUMHnIQt%OntR!r;_97WM`O#R&;pU8V{D$e8m?;vma8i_B)1X+f*y zPlf%Q8PaviR{ARM;s|FJ;r;vfv&DaKPvm!gm(XaDG)2pqWtQuXg!fVIf0nM*{r^m< zX7kjPN88sPlb+gguU1s=iGWmBONYazNAbB<yN)~-NI0;>Yt5<CJ60|Ez;C%y{&JYI z#U4%<^*I8|HfFBB^t`O|YVsWo4)<#HIl`u%H}W4kU0-@+dtqO^Y#iUdj*5j951I{I z171z~*5y>wx^GVM{N-!k&E8;Nbz`k(<kYqmp|7;Ew5L9NIPodRjzda^{<oeD)Al`W zpS;*mPDSV55BZ~aT;|WYcW3{?j5piv{b@hA?n0g*%l%nD()3~t^5YEB-59u~E-XLZ zV7W}daFKuelw~G?f`Vn&y#9QO&JPU<UHf*;+BH2Iy$1}I9p~I1`fuYzuRnK_zP6RP zDE^HPowuk+kM+|2oQV;xPw&<9X2d8K8S$um%da%ryIzxlg=K;;8v~of3fHQRW~F2) zJuU_n9tjRBJ{>g{8Acf;l^gaB3!0qWIE1wW0u)-9<X^r1{9D_4J=5fiMXY~3pPQ>h zPMD;7ReibRxxLTyE*x__-CKG`a_Vtsy*<y4O-}YWs#xk>(h~KyYRQFklaGt5g0>%6 zd-7en$>lqDJ~ZA>zw<lWQt?`q><+Cno#htw|JS-*(EaK0wDkDT6*iNVPkYRbYm<u8 z(LDb7l)!@{cSDud?MnS{tFTLV@uZMh@(j%m%l<SMpA5fY_~+=xe>}T4RxF)jId`_- zWar&SUWs3Nf8hT+&y_cM#a?(nYF}->Smdqoi%E|>rA=B`PySOZoT8X>&4n*1-s<`$ zk-H8VigT7fWYuZum^$lWf(etFnQDH47(@C5&jb%2zTJZA8*j%2{eCyQ=fBb2*$uqC zISvONzpndbD9FI}F8h6a*@Vtn`+tAs;j|2My{DnHb^SRJiGZG86Jolm{B?cT``+9< zHBe!STx=7s%*<Z5h{8QBGj^t%F+F~=?uDhJanH;h=~+rAA{WdQIjVBt!@e`x3``PV zTCH<?>o;z`(7NKy$)cSxcQ%~i*wnLbcJTjCCXEt>)mm!r{;2I(;<~r)ocxTWS*zOF z3xf(p)91^|-*_JXE%2ynuGO5~>T{yj9CEiY+p)i5!j1c^FaK^m_+;CHubxvTwqIzd zTCT2mtNDDx;jrcD?r)wbAF}K9XxW|L`6W^RNH+7DeN$hyraxFXZH55T3zn9hN8I}P z*WHqFOsZe?>sM~vvGYgG7w!F6sJ+zVYUu%)+uWNtE}oQr?I<x@T6=2#iV&d>hqTX> z#IJ7&-*};IRr--H+?pK6U;aG2wBlUr-TyD^n9h{0d0Slf*8VSJ?1qoqyycSHxthM* z+F$geJcLtub%*fkw(5VcE|o0#x^L6({HRr@7k@kRYX--f>cHEF6nbWRJ#$dM`lW8A zN^rI7&K2<oB&`)hzo~@ooz-Ad;jY=8T=P_Lc9mFUPvuIBLaV0=hx#@-W?XN-v`9|+ zOWXEqf7D!e{1I!``Li_9`q%=slM%L2OwMa&ByJIGyI{rjnd#&k?+(M>-4C8g`!CDB ze#X<NIDuX8OoLC?%Dt05@VLC%{p8(*O<s4N>{{=C@tCjHiCvzb-x<q!zwdjoEn2fV z+ik7;&M)UxzbL#F^O!sD@#3!HMyrV&Tjv-&5(#>EW$&rONq?<gi$yK%y5alv{?XOv zB^GEcD`$IGD&nV|IEC%jN<NnEKL_`Q7j2vTa8gR}42G%77nfgQTF6zHo3n1m%1x(4 zmVJvz_&G;XO({FHZbG(Vp~{7+A9rbL-0oJ?kiW2U>b>_0Zd=RN9kah!e<xDqli)qh zgZC!>o6;qy&~SIs%=SYwPTijNUU`dvovTs8KHoK~zF+<wZFMV(?RfAb*|ge<6C0o8 zC(l2*@cJa}?w31~&1@Z}PgwE#`&`dXzf->dGuH~;=7@UUbXNS;?$Z;>pZ(PFlJaKp zyceb!uT(kl)x!9t*)G%b-rn7^`v2GR(9dqW4bBxLo?N*9^@J;WrFN&*-CMFPCHeeT zkF#HI`Lf^Aaz3`PIq1->q||0}Uh!Qge;mt>@A@JlbFs6u;zyf;&sjs6E9bpmcrCah z{IhHAI!{yPx=l8&6IC`esS5eCZv1L&=`d5z-}V*%dT-@|D;s}{-cDNlKgoonRcpb= zLrel$R=<9o5q;~jIi$uYZ{F;pb<%0ZDO)6_ES~m%vD6I3Q}5Q_{in3LOHRd$o#STV z`O^2POGEFK)_Sd4KFRjup~5gp?sE3^QZYftWa`-$i^yy;u9LlX!>cj5ZfDgQd(GEZ zA`f=1*yiFO==$eaQG9$K(}U>uC$rlQx|lzU-@bd7hsa9%y<gNm?TmfsdYxNq?dmfZ z<<~r0_TOsfY5rwCAKpAP>Bvva5M=q!w9T*eJ9|UD#6r)+jDGdR4SD(s{(6Va3|zKI zoH3E;dGjMDq#{ab<-J2<3H6z5+;czuPFW?uVJtRR`{W#jWZBxewsH3vww`@_`fb=f zBh#<z><qe>?z;Q8d-aUp>^;is{9pV@%)9gXwAzg4+(()B`RCN1=zs9Ck@wJZ2@93z zAT1*y!S?pMX-j_l@0iucxA=#AlC*nEX{^Hh-XmHE|8dD%oY5D$vrAD)q&Y81%Xx-( z1IMRLPg%DGlyIF;6cg@9F*xm8Z9C`C)Q0jaob#DfdVe>}wST3lE<X9efk?k}=X)0> za46hR>YdPN>>{~<c@gIo$6tOtCfj>%iPYI1&S1V>QoP{Ih2?iD-l&;aEfH^?r8)Pe zfvG}+$8y6jaV#>vHK$H)|H2uk(b;tJ#*Y>$j$fDOzZ7d>nK<=tjh=eZ$}6|8TdGR8 zcO6|l#kkTugMDF9ef-_Szna&sxG9o%L|kH0m&D{beO@=#9((&~zTNJMa6RXPe_I!; zWc=shd}%KhXR^lo;ELljvpAC<s+<pT-*a@jz^|Oo({9X+{<gY6qC?p$VA02ivtPXz zX1cI<HfsSx+P!!a+3(sHO0IoBD05ce;eoIBmTY-*_)X;04M8(!9{kbEsh2jx+2Vi0 zk5^sQL28S?|8)H5cJrj4+P-CZX@ch@c3W)xX}Q}#l=)Q(N5q3Cmj3;P>~^P*T<$FG zZq?XedGzr){X6P6zBBJG=}$_ZB-Z@<;J57(a)M6+GwrYPxvzg3eTrpfBmW}l*Eb&Y z&e<!uI`Os5%4HXfavg8}bXmOjvj^A2r1ch3CyvJF9xLzqks&7gn=vl%dE?RvA5(4w z%-OMrx9O9GibdfyC6yRYjk&gWYz3Ec->cQL=yaCaux8GevPPZQV%=j?A4i*h@G*X~ zLRM|nwt}qaWZhb8Jwr385P9MFdF<ul>c<Z(NI$AqBdr&5f0^r2wLiZzQnGHiF8g7X z^EqAVs?<E$ck{Rnd0w|QJJe^LW|_sryk29^;{R7OHy>{J<`<>0o9A4ic@Ga)nCLn$ zm3M{7zcikhHi#z#JlIsDaq~}>qkQs9;ke^rvv=NTzn*>PSkEV=9~{YiH;UB0ANduV zqum_G_kZ)mhOG%@$r4K@t1+x%Q<CC2e20Cun8510jYTK)O}#kOKOek%ccRIybT!4s z`ZUJdhr+rW=3itzE}7k<V-faona;eO>GB(IobaEf{dS&c&C6n0<8UEnyO)e5XO$X0 zuYCPq?K$I=3qowi-CkuKy&UU%CGM`?|3}MmKTVOnFlF|skL8M)sx>#2JihUAUbu4h zvELiZ7vIkYUbdN6R3G`J&DiTEd(gIHThvRYb9ktJ`e;4tRiWD4*C(I2#_I^IPe_g3 zCG5Z}E`Hj?TasTq+&Ck1j>mb1SVOTH>s626y&M#5u!_%Qf?#37q8WcxH^${vxqm&s z%DH@|tiD!}-1{$=9v=Q$WmG!HWXf^PM_X^nMun;bAJe><`Ax3Z++%;f^qF_}H<;^X zur1HAynb72kt$1Gh1sH<*X9j{)5?U-skkWz6i*ia=lx=$Rr}%ti!?Y){+evi;M=CA z_v_g7-65O37I(P{KAa|PkmW5p?E{;7@}IfhHn}OPo@GgwYRme?bX=|~R5wmK^q4s> z=<JOnuIEjDnEc|2y=~WJUpKwJPRx+iSZ-tE%HIVGJP*|`*XWM8|9km8g_1v(Ry9qA zmuB;=cjCD8-^5_zGU?m~H=Y#tYY_^0`s!VKpK*UL4)~k->Ti?4rk(9i6<y9>?JwcD zdFL)uz<WVn?Hydv8f&)+a4zrR+aK^F%%Jy$N9Tut-r|OC5syw0i)gbRd-EQDL)J!f zB_%VhlxR==``-5ZR$PAnXSPga>?!k#iP<WWXaD%lsGGSt=g7*Qa4Q?VlVAHT+RjXm zQmsBYG4`d*sriMRBE8BtwrRy~2-;ShaPXzN<h8qVM3#r{pQHGEnRPis*qoPAAysvf zVviec+OmG%yy1xIx(h#R_D;<#-TORdwV~pcm9i7=3AX><DKNj|+~!^T3uG2WF`i!g z_;JK!tqFxue7xF|COvUJDlxal^8bw9nz*j&lYc_yT(;Q0EX3{U?}^f`|2`~Pen@uW zb8GWSA$2cX&B80n!WI1=|DI_6vp*>KVeg68){D<Aeh?NQIL-8i-&<wbjHTcHoVdLC zNa&Oo=Re0zv@zdpd&u5+=ge+X`~C+ri*7GC)zsLO##}LHl8nE4kD2o3U&&icH@{dN ze(pj`ey)7ilULsu+E|a*v1@9_Ki_}broTTnGvGpr!RHxLQ%W}9)Li{*t%l<Exh2V^ zf6QYZ+jX+LxV{iLvOU(I(9md+LB=nuyHnTKKHPpH?@)&CS6lO*Y2qsNnfvcNTCq7w ztv}=Sk-lTQUau<Rdc0}F!^FmLBi;X*l}rCA?SH5I{_lAfpP#SH%QpO!7b%?n`MHnr z=|xYj^REqk<|oJ;By%=!<0osr;Lgor;%a+NEN;rnJj(tvc<;Z~Yj$;AY(_!(la?o? z9X;??Wn#f|`@B}J@LP3Hzuyo&y*xCIquFM`=4!Lmd~36XJQwV~%>9t{&rj{??V>NF z6!-AjDZRVRq+WBoFWT?P@z{tBGItAV{+QHwoxkwOzisBrZIY}1N<a9doNK}tazJFW zTy*JSzpIlAw7#;(re3IA-QDrq|7}ju-(`N^q9fx@sUMTRalUL>-qOl*W>YjaJ%0Xh z>2IU(%b%XSF2CdDyKZ8~pBUM&yZ(l%PK#aCXURp`_6p2nm)H`z>&;#^$=ekzbKE-a z?~Z&G`J$$A*}K(t(|sp8OwGOGe(K~J=ly1C8LWLZO%^O7`Cj`?*Z52@<SO*jHNI|o z?|S?6@?Nep8w|a~P4nmM6DeV?_x)bM`bl$xZ~37G2@`_s9rX4vx|v6E_bi-u^~;^^ z-AS8`iy!1{{cq>$l(Bf0&E|8R|Mm1&^_YHI8hY>Cb4If*R?1(Z+xx{Y&6gLt>+I3$ z8ngWHo#+2QEEDgUs>$FtLzC-+`z0HR8FnqLy<XXjZBqk--k-Q+dR^t|lDT)~UD77M z2{^R%*8BYT{wbGQ&E*P{LvF{MeEn6;sm^N_U(IvHS0Ot+dTf?nyM8NCy-kOE;chm2 zExpOjJx65h`ED5H1imXS<0xFBc{rzj(nOxkm-)HUr~KX9<rJR3U3bGY-H<i0(>?tb zNd-(UHje!JD`$C3%r@COeE<128>miQ-NyC9>R9ZIbPta&yXuwGio*^FE?;)z``c@> z$)b1i9w#@(eg2@mfNMpIsPlY>)i+XkkEEY_o>QN5be^rq(y5+JLK7DM*RW@npWMI7 zVprqbptTIC*WK^+%$4z<S+%OaclurRWo9*8>qI77+E}gpW^%n)DBQm<i?Jy`!9DO+ zYx(`Dud}mT>+Zcjd6qrS>eM_T)g8~HwLZREQ0&~0+2Zwf;{L}~A^zQKMJkrWY@P9W zsfu*=7mE{f#fvMhHMv{(bxpe-nzeIhRK|uHpR0;Lyf(|<lfL(co6ApUURTwoh3?-B zCrP9jX_#`AR8`zenCBb2Yq{=Qvr|8G_OF|gzeH*Cizjg#&%Y>p*Km2$)rtRvy`HqX ztWVN9`=5_nbHXvNpRwL`+h>b8?pK-Bc+rD@YHs!CIrA28-LxZNr$UZq@Pi3=Dm4U` zPrh)H;kCKN<!8t9cbBK`u{|x_dM8V?`SPWd_o6Soq}0}2R_uOY6C5m*x~ac<$*VsV zb9}FuJ9pPKzqH-DUwrnKg{|@T?yBpW$KL(({Q2*;_o3VOFE+_I{^6LQ&Z?85_k(!1 z?Mu$Qy6eDIHjep^ZB8(-D|(;(&Ncl$|07NA;B}W{n}6+0GD&8+FYTW0{VJc~hvEmp z4V;tq2p{Y9Y`ChpQh347!@S3DhJ;AT-&$TfjXgGYa?nFt&Lg|41N<k<Rok6(;_DP2 z?lVf?q^~aEkotVC_cO2Y*9!$cM)zi}Ezf70qOmsDQnKyfhJVtVR?6;?nA(>syZ-CJ zi#(s^tkadK`M?@Kdx>trg=OyE43ldwPT*U}^=#A6%CIeaH+>Ugv-q8(7UXdLVa?~X zx!TLBjomlzyR5>hqvNF2VqDKRuejy-ulBMQr=&@4!OagmCf<FquK7lt-xiZJj<BmI zxlQvQ>|89DbNa)2Go8G|b3aaBSrB9*)b1<$QZOauX7rLAlLOOkt-SZ)Wv0iA%k5v+ zH7(AlKA-#Q)5-Kr6VLRz%!$4tQPs48Gtk`3eb*7^1qu82y<)uAc3`XT;TOhpm*o9? zm{{-6XvMd7lkkDED-UcpL~uPxIUL=|e(bKq#yw&oPGY-S7cjr``XxV6+)a~9R*)@p zv&s#*+%7>?1*PR(uDpT|wbx{HupBMt`o75beTc|pc{}djH#@r?2CR9fWV-vw-#0hy zyB6R1;T!vat+nFUt`}+BZ|VM6aJi?^-e_j@8jlW@hIo<8CvuugPW(K5v$|2}6k}X- zy=+Rm>2h0(S9u#%w`Azp?M-68A9SSQqYq=Vxxq$#8J_1n{8~0Yr`LS=7qsz$#3Rmr z)!^sPyd9S<n(p3VA$e6LUU$p3Sv!{`&0e|w?9Yr_zn*Psz3=jWDPQUGE#8Mk-b>dr zYN$lm{S$b#fNf&?ErwFFAD#I-mel{vGWRXBik{=89FZC&_IB<2uepo-r#vV-bG+cw zBiq@T7JI%v$oTK|{j<UMqFu`-X!@T{5hz^$yuihEqSSmw9Vy$ke+yr4YP`Lhxl3Yw z<Q4gw=S~Rq7!^J>`@m52FzR}Ib-=MmA%o>B?^m5mPi8aoc5{sP=T$aP4T#)*s_mcT zOpSZjy;%7iimug8kK<2SlCG1>wmQtaa?aXX!P!~2WYQ)Um+og%?e!=>u-n~9;6T^6 z7v5#>-QP8QcF()GeXsMj2TZDK{;hOk7hDu8=A^-!Xt;N&=7H0BTVKVmEcaZ&+qPIu z;E>wq8mHy?%fs6f*87%w?U@|wy|hXozn*zT>)P)%QQ2DGFET~d>+Ld>J8<CgTZg+F zCNaeS;WmGrqhEDK?d8`=xx86v7hZO9TuhtN-gj(g?3}~;3E!%`R^<jhc-zSIu4#d< zdb#8ayE#t9PuG1+nY3haQ2G4wf9_$^w(;)$yeZgU><?F|*ami$*5j_<HcdWwRUk)> zqcQtVP_eC5T9c-1S!!tCA@0@O2OpoA|3f6Wi?J_rR$GM5n<asUT;<PNjlUEzmb(Q8 z@3~gs@@Mv|z5l%CML6hwJT%WI;GD*D=h&;o+jmTwc=Ce4^J~XX{<@Lz+xHURra!Ds zKT0)c9OsDN_wVkHI0wCwThX<pj4g55D|~$3y#Kyz8LtwTV#==nD(k;$mCQB2{Iaxm zxt`6>8(Wf(wmypW&y`KA6*;GPGjG{Pwe~038GB93rhHZZoa~WP8hnH+ey{qLLtO8R z7|q_hUf4UWVd~ZZ_ReafNsFR0Hk93n@ToHjTIDadHG4$|%N?s4;euWNq8PVC7dE<_ z<8D47t>W-mVD0Vu3nwS6JHFoVP_O1+*MlrU|D|RGFIdSSd*Wq)eooY#vysoF{!|}R zaLq~QuPQ0sk$sZ!Kl2L3iFdCoVR#b|`M0d*yjyec;fr#TC$_(6G<o$ny)k^X$hjx0 zYXrAGzh@}+cBYg=_st{UBjVe%rd#(1H06Kza{1=G=w&CCUkIH2^4|~M*!a&oJ&L~g zo;lS$k8w@o_uV|lHgv0qDood3A}?g-@V5A<i^%>bW^3Qgm(8xUa6HJQ_qk-st!olt z2cngd7M+y5T~oJyy7Ys@a)yeg<!?S7oTrlKq1?-Pe!a4O4bQJ4Ed{<G`(o60Nc~<g zv1ESVmaF}Ic^2nIu1Ob}2kg1y`t8zXtH$g4=gfYuSXJv2y;8ls-E&387G}L0J!c)9 zpH(iZ{Ju3X;(lv;N2Ajz+ne9|=kHuIy|`i#^S7-xUO(}ziE&@cf7qgIbrM@LkIM|# z@F)NBHCEqgyc+JX|E6rv^kz1;Z<8lkZ0lM5d$YjZYAL}4|9W?|lgsT{_qxoyct>K! z-5aTl$G$W;@O+z-ZMCk){E$#{Wb2&Ljb&bfGa9e8tUOi9RJLcUdSD5gr<v{dJ9d6M zExFsau)H&gIOxh2*zokH3$umf)~)5;i@)>i`%w4gOSYxc#!Zp)?ugtt{78vIXTgRU zzY@3EtaFMhyVA1vkkUE*?-#g|3=JjjKhUuk_@<I*#(N^i^!#l9WH*^*TUoqV+$;Q+ zTbQ1kdg|rMA3tZuFRU`zbNtR0#ak`xmpMg*_&OfkH&!j-JdvyImnN=dc)$I~`p;p7 z=a2At_BA>A`7My}_*1@Fp=*z!q{wCuP5$s14qs*#uRdA1;DNRDs*J4AE5_fX`KJZ+ zOfY6$($DxOmuZz&d%UiJefI*shc6x09cI16zSDH2_vRhDyN}=5&S@x=&Ja_-I6bWK zkkvuHnGBPb9Ozy=D___4^DQ0M^(D{VaxD>TvNF(qS^E3lizN&<a}S(qTEEokVvWqv zL+RHTUFO@bTyk!;1owTpmRF%aLb@4z?;fn%%*L?L;7_AxmqX^AqdVW;z3-B&7+|P> zHEq7;wfLtKR~|A9UTCn;{rXlXpB-N(XJy(=6m;_LkYW{=?DniX{p4nwEBBAbtNv{L z?Ra^fj<U((jZ;5NnbvYK+%hh(*&)p*cIE3wXU(tYly5$LwstP_#~`K}-n5$~VjJ8w z_<yXtoEH63Io`tKx2E6wgS+}ftfy@hJN3b7$-jt;$2qO`yY8@Tk9VuLaFlyFMcVqy z)*XsW^6#Blc|JBBIG>qX`P6grw(Qp_|GvsKPX5^2rSbgb;;v>-=X2Ri8(3Z}jga4D zmLvMib;i1Y2`<xu{U^WhyE&)jgmA{Ia|`BgSI%BNS4Cx;4i8`0X}bVP2lvLdgB6~Q zAAOZg7}sUq|FfE>Y1x`-JdwYh;ul_jc)3l3C1cVlsgRNjXZzR5d$5&$STtQKCG4R8 zhF_D8Y+a;PYb#>0YMt+bhoZ}bg>5)rI5$1x%35*qbzqx`VB%uE`9i9$MXvXsbvA4) zh>|UzI%O}D;;LUd$EFlM&6J&Kdap12zUfiV3BkAWpUI!w{nX~Qt@wo|L$j`#p~s(9 z?r-(IecUnm&!bqK`?5-ruTQoY7L=qrFD<ZI@J6BSfYm{pw?}h&`9wZRPjdgoyg8P` zup)bnzcRP*CHd=b1JBpRpNV^=@wzBDtKs&H-mFJ*v((y{dna^%-uzIb(z2`gorton z_CeiatK|ilw>-Qc73J``B)P9(k4(P6%x8067@je($T&1{+k*>>S29oe&-2H8*1z6- zXPax%rfuCCmuJNF+CTQ^sacu6^oGlm=1+_>+S3bWcU<ZeQ8Z1RUHaaSBideK!Gy9u zw`2NWNbfzcwPk%JUk_KvC+1bI4V}E5&8IiapYy5fUhCmoqQ{cF80UTeU43b?WW@dc z^^Jl{Okd32zPrT7%OZ(q!gF`2PYeEJKR%)9ada1R#BJTV7M|N(ZGF}W>3#`O`PI*{ zHc9`<&y!wStV(=xEOS`jzDTg%*5u%r_S1mBLfhcSExzSkTR!fVy2UX^Ea9)O@To+_ zII(r53)X+h;G602s&?lCM%7&57q2A3_1w+(tufe}w2ARu&cisnrAsoty-_p>OkH%z zsOaX=<C1~rw!SiRKhyW;q{B}Mrl(sna$hZ$^@#BoIv7zVA^Kv%A(6#a+ppB#V)A^n zNk;qoeE-CS--_c8?0Mc$-E}9(d#}Q26aRN6oYILdE3+BxntYjz+3xf(8a%$cTzqa; zxa`SIn{!W`ey8xdWO-~ua#54l;{;vrEfzbS?(%WuBxeQ++Zwtn&zst%Gda-k%BdC4 zBt5@9n>d?IYs+JgEt72Kw_lup`M9^8(sZ4<jAe65=7$(01>e5p7G`%K<yh}IW1p@m zx29hf_4_*i%zSeW_7_W%72h{)zOwpQmvWlcj^sPZJS&>}120X=*i-Yn<U8x%Kl?Wn zH{KIpn_rOaCjC{g{2}+3xsT>+%&OO2{XFVf9pmFqr8n;KM_f7ieafRh46R!Gx6a<9 zP;PwFsiohq$VKPlKmET<%<RU}CshtCG38;>bd=@Ht2sV*<+j;E_ZMu|y1nhLz*bkA z->asJES>ZuKTnH8q9Nml1_Pgh%mV*8XIU@%y*6~eakFCflB<3j?@xPu?fm_n-cf&6 z@LgTzwRHM@vu-o#EBc=%J^8utw6v^IdZ;<~zPEnihkh8$bU8ICfyL3>JUCc%>i?Z$ zPCgG-&dbWK+9dR9_l;*SXFS%i&NOrPT)OVVt8#rqC!V+E{;j*ur=50vxq0Gyne^4| zuPV<TYcpv}S@!jH-sdSF*>*2Y(f;_OAz<;MP5H~eF1lq>Zt?TJ{I`f(o6_{;wdzx2 z#kUyEKALwWG_3CbSCfm@)gBxS6Wq&fp7fY?x;Xur_$@EcfwB2_*~f;m{FM{lm9H<= z{(4$}W3<;1g}Ql%r*}^5n%BgnX~d)@)$=0pwHxEXO|9`NEK<Eag4|kKL02*aSa?pn z(I_YpF*)$^!G?ed6$b(WLPSg?tfZt^@=R1hTwFv-Oj!OL&o?&gnf=C1=11TH-Y5lm z*SQ?*4YKTAOj;Z)K@|}i0z5AQ=eKZV%6LqeE#E1rS6QK;aG&Yn!TS9P2?@1zwl(wS zvuLY%*`&Cz%qUQZOcG$5qZEHOqH^!wxRa%_OV6#<UH$mJKyLYosNC)IN=jz*nQ6(_ z_ijJ8IX?UE;Z4ske?FahX6e((6P<o?3Mll=jJ94nZLZC-(`vJmTxPAfm?o9ubN_g` z?|tb;HSy1)97|>uoY~0m@W4^&-;As|PfB7cj+zAR-V)@jG1YCw!uA)6;Sy?##E!O> z9GhgTJY%|lQ@Va`Cf6*h{)%5}lRZv_1bC>fS(-6r>eRbW9$GHvpK9SID&6aQeOCS^ z)$^-!b5G1#JK2G&t#FC(#-oa+0-;^(_u5)FE;@Qa=SQ^aPc!~EPc0X;b=a4&y}Z35 z^7G5Zg~~6*{%(G5TBgPu;9{vTd6N5_QhtkDQWGSO%N;5_()TmDw~OB=SbTZbM9bB? zE^WQEvh9tvpVsxIdW&XqE@|00+3~?q=7avcHa})AoVdf-wVUm~?!<zN3Ns2OR&4U0 zJl$3%%;JO-W0{5LLPozoFBl&v|6bevO#6z}58l+!&l4`|JayAjUATGXtJ7k>t-pTl zut;GH7rxrR`pT>QPA1omo#B{p;l>ozlU<Ykn+ml2uk3qh|ExYP=EwQA|MDyT=-2)4 zzV`ox$hLpkQUCwnuD|0G`m0Rn`YfK?2c%zIdy`VMbC%ZkoM0>7>at>!RqM{G`DTiL z-Md!m|JSODZ0)i=I#w473T`cvFmRqFblK2u+v)}0Yl~l~u?p-66J*!3n7ri9QvHc7 zIcz-Vjy5VOnq;%;lw6T#5NNn%dvEUl`+Ui4JvVgu-Cl5-^L=AyV2E&Hf8g%W*lkzn zp}tVap3&taduwCkp#x5ePR)u39UU8*8=M^!oE;kuJaj+kz`(3#l;G~@-DzI><UeD| z(ctG>66?b@`(}q%PTS?H5_h%3`H-`<f8YXtQK!EO#!lzfJoc&3(frg|y}qEZ`tHW} z_hnm7ZBQ*rI=F%*GTdh$%M@MS&?A$KSBb2foU!6tOYYGnD_B;qV2JS6=e(k<Z~wMa zQ%C<uW__}<?%6l0(I<nwLYH2ctQnQK;;^u#$xIQW7t2*j`hO*`2QI#0`!?XHvc_qt zUk(eDFMr?@kuuzB@?(O@>dV{HgyapbnzDI+tLRA2vvNIhx>x=G-&YCu82d~sd8dbO z7SAv~(42U|C-td$%;(>;FFx4t!zKAqy*X>PM`lNUcjH24|4G@C_OF|NFnRukU2`K` zr}Sv;Z|>25ufcWY=EFs8leQPhneWhC(%AMPAyfDNiTC+_)_QwPUpQ{QzC_DjB%$li zp3n)OtLv5=(3e(zC0!R&l^5exss6+0pQ^5n`})i+2JO2)a~J2WN}9L2@90|BcJ8t| z_fX9*Vd_41-##DsC(ORpNH<@tq-}lY)OeHfye)1ARD5FG+g852bHbT(|DDk1H+7;z z9vsLCy5c9eEbH<AjhSsG^YdR7daG%DHsj&+p4Ct<f6Y?)?Bh*IVokTxROgonF5g_7 z8lC?8;hyl;+~<3)UHE!?>sF=7<*!Q(t%^^-eD&=4wJVhyp9F8eyl;VU+3(`?*QcIc zF1_A*_FCxHeUCR57e{M-zkdAbi7UKYSD(%=Z?SmBcu3Y-@2AGYdE)04<8lOUCr8Nm zKYAw-c&>SgwX4o&mXEID>lI^jEKVoi5aR#%PNeXJ^A2lwonJg3kBYBXjL(s{U2Gxb z|L~p6#2M`w<r6EuSl)@%<4d0TBdG0ofU4e|x2ks<mKT&yt@x^XCsyCDcJ2>@w&NFm z$bXcI`_O+wC9amYe0z8BeYJga9vZ$8k$(3{YTt3^ExVm<J_)^hDZF@}dkw?kbP18@ zXP@NU4!LiscG&Vs?46u&bEQj-!r}B8BH7P=Nx41x?XD1A%lW<M<iS1eJJg>h&Y2+p z>W9>_=To=ub>Hzr=<U3T&9yESVh^)RgY7qjZ3}!iZQr(aISX%SR;I<?a@ltA%ZWW3 z*5#<apH`J7d+QJP|Kt5Rt8eC(-j})=^zZq3nOh;-Lf`DN`{Nz`*Z;=_wqNl#p0#d$ z8o#yv-=nbY-{UuH*>8#EmsR&>a2B4ir*ZF_{q{4=ANa2>yJ-J@_j{(`vg{lCuY5l+ zuR6Eg{!rQcPp=>723lLb+;))LN_qSCyqr}zcFP*3@7Y)){;S|pbK0pMlWPwaun8+J zJ9};EYNJ%n-S@7#M@Gka#3pkeJAIJ1xhQtEv{jj9*3Aaqk8@?@n_Ck~d6#D;N%kz2 za+|WF?QMO+#CQLs9(~Y%{>`yg^y1RB^Il$lY_#qo%j6!Zx948HIUuOpw*E4Edc2(f zwxpPD)`eSm#mirsn2Mb}`IyV?*a<fIxZ5+=%I6fHznA86YiEYvb1lbb_X;u!yq5<F zOR1(adNP09?PhT7lvzQbNxCK9zXK&~#-Beaoi?h_{odyp)BD+`=}E@oFGt&t$DIo` zNZzO8n&6`5x{$Z<@ucpaLg$uN#V3u*;)XYOeQy5JsDITh%-17UFv7FxPFs$cfP{U} z7qQ<ORqh+TpFdGK|Izkz<kr@66HZlMpKv08X{*}BX)7)#S4UbU%X>}=VlvwC=wu!r z!-EqI>zhw`zkgjb<7S*t!;INS{n;{kT!Op~iwW&1Ir&~?`s+)pw{KI571eg%8Ipac zb=S)q+8=o<=Y2b^eu9JBLe7PqKceDnxdrPa@2Us=CF|F=yD44ybf>@dj;8jS+POZe zeD2d`$Q5`$+j`pd=hc}-^G|VIQ?mb(>&@Y)Bxsv-?$*~oVTqjWQTxnV*7_A_vTT{; z{ij1o^7n<84AXBIH%iAWT6fpnRMr1uK;_K~+glf&bB|(_I>hT}|EApepUU<x%eOF^ z|K!)uy1C}W!@wO^mTFEH5_mj6+9%wmT&QK$^0!&Xl}!}1lEp)2pV|0HA(mhD)pku? zxl6O_Q{|-E_4p6!KDXLtw)G5qiKU*&{_M)7`o|SB+zn<vU;M^FDQ%u-&4z7-T>86| zZ7U}?b)0(_U-?C2$D9!HpC8Xlx3|}bnl@?|tTOj0_1<q*H&G*H)|ReKj~MR@+r>ZN zyC(m2_rI_9mz|z$R$1(R^uwl9zKWc)8)dgGbLE|uAUSF8s(Jmjw*5V^4(B2#%r3O{ z&0ZO7=DBq5>AkjbzLE^_3UN;(pRd{b=!&Fj_RF)rjx8<$`A!yR({H<$YI#-)=A6h2 zKg_j$?Ws#sM5lapnJTk+=gjR5_HW8(h$`OPzgG6rrQaUUqyID*pPu^a;)7~;*_@s^ zog6DA7{h1%U&~OL^;|US&fBV#O&+mEmDVq3@NaE+zqi-xn(r>#<ddAu2g)N1KUtp7 z%8olebxOgH8@%oAvZoJiF}~a9Ea|}hKdz_PUBRtdYxaEyeWjxpszn}{itV)xt17p& zYE^g@%HsHLPRcC)M@qkH{Jr*^<A1!&$wb}h6U(0By(%{!KkyCYJd|x<y1IP5-1Z-W z{6+Jx9zJnYdD%hbuWjW)RSRSfudhgm_-iB<I&&qn#m4&MxBML(ue?@c$hp~@oVO$H zZ(Z!0x!*r48+xh6{cwtTrxN(|<2}}O6DJ-o-E>&U-tX&u>HcNXT#Q!Mekb$4Jt+LQ zmF2V6C$%d%J72H47yHa<gV3)pf(fD#3Lec<&&#*U&-i`deazior>tL}m|J=N+vyE^ zb8UjUR=w#wFz?B<leWgH8(n+LpZ+`fY}J~n6W^@48fu{a*mmu@7aRAhKm9a0&c#FY z`^Gn3*5%FK7rhlU<@~Mkj&OeXSU2yax9q3sLC3=w@;<D6F@tx8vGM(?hJcA%YeH@p z?0&WKZtdM{S&!!F|Jv*~rt$w?w(;}VY{^im#C9g*t1Yh&+}M5YmGyTn(^ALi-x__Q zDg8gA->bET@t!{7)_GXFY{~?!<C_~R?RLw#eoZJ+yxh}g{UBaGV%7b-zizBvDt+qE z#H-4cj(0BZpYvgLOW>uC*?(8wPS<~=Xm(^m)84MtexDh4%<=rfv6o|+<I}x4Gs^_0 zPkS<@`%&2sGfUw)TYf*tb&s#p`pIa_dGe^}xx16oB<~&9pSv$u@$;{;iRxzxw=8m> zb7THngKg4HO9LLnwr%O;|GQCct?M;8x1d7-N35ntuAJ@`U0YU_cSNj%Kk->q(5Do$ zCnp|-XtbaGVCmxZ;g|Lf!@i?N$Mmd>YU(mpo{`%)$zTbeU+C8rg^g<L>!k%x&!2zB zw&wV|FJ~TQvR=`tusit8jm_!wJP9`UZ&i}M(WQ}G>#j~vh&iWxZ#nC?rr7)2pA>dR zMQ>GUd3-EcYmeq)9bWyV`b*9PaYQU{JKlV3&dtazaYn7XC;hSb-ZtU&_DM6ZPW|e* zByBYx<NX+&yr!vB++>AHZk<@LI{$PI=hXf)K9|0v6qbf8XK0YUuvFn_?JPx)4Zj<o zn?0ShrMl%!<%ESZWW*MiI;Fobtf<-KR<YsA)i;f|eqUQGeVJ+5b#Xyo3u~=aa}Ehu zO01u?K}hYH_3J|UTm9LLi}x?dUiM`*<L-lpH*vOfE{yMct+Df5&@G!gr<XgJS+b?? zZ4SP_z=HYV>xUjwCatVvt^9g%k%Wfu;pU?CAJs18l!~1_|KjNRty_a+Ydb@A|Mn_1 zO@5K_cXi*deVjJpUGA+Wix*UO+OPCha}3S$e4HrvZ{5EQ)+ejh3mSizZ20EiX<<!^ zb&f%wW&GFMmM-OB)ipk){HCY<#yS12b&_7{Z|-=f*k2I1&R4T~djFfv%XlXlDI4C( zXZ%>DbLY;?2@_{}+ALB#d9<mKXR2aEwTq=V`;o%?KhNdzUs)Es)+l=iZ(G6#7vYQH zQw|gs9IraKa91GHT;=*tLDe&#o834+y~}Xgk(2+9bj561>Kp$(<p5K*eh<%FBj^2J zC$8C2&`{}jD&hTk)|JA7?FoKi&vs9rY1Us;ZEt5Jc(Lmv)6V(+r}v0;|BW&$iQFf% zd)+N=pGTW-)^7ZiHF>&~X<kjn%I}`)Nl)vedK>mT&uGuS@&86A|A$=u1l0(Kb)T*u zF_k#9Z{FeW_f8*E^*!2rer-UlT%Y?<t+yw?zk0>qlk{PyO70Y1Gpq1bhpM|DMHf`w zeAX4Nb^7r2CCl?Rgv_bndpwn;>%;8T%Y0_<yV0}C)o0JO(hpbT+;#~DZ8;@swC!-+ z-q|;HUzsUa%UF~9==QSjUHknP%D<kq%+Vq`@*Go*%7SNKKkr&|F?Nxxb5P}$SKWV7 z&(&YGYw>U3JR9<if&ByHPlmTor45dxu0E19A+3>-&B>~XD@<lO-?bBxyK@W<2;Vwr zmA76ocv5qE=e{#M0cXUER`pDrbWZEf>g~b5gF3|BnwAT!y!5AZm+B1eHP3W6JrPu^ z{#Wr>dP>dyz<7B9|LzykFZHjz*?H~7r1q_))AVNYemqyDrR=KUzOcI4R4tZiW5D;6 z&||k>A5;IjDaX8}bJfao*DC*fUHGkYO4V~l#~b%5B8-=;mingpxUB7@f9b6FT|Ua^ zw+6?E+zxB|J%4-fp@RXbO&?75R_%985!*R&wXgX+-_0IZciB7=?%Z=d#5?|Xq}-Zw zRslhAHHUQ!@84c$7FF@nH)Dz-%cj{M7tY%J_OQQ9MCp<h^NwhGzBF7c$HwMglaN`I z{Bv7eyt+zH`t_qzzP~wMspC9<jn>Aw=a{3v7YaowzFVDa^0FqI`M+`KN&A_v=JzvA z61&9y^hWlcir)oXf1Cm*r96D4xza!6<m2yRZolfDq)BCSI$7*JW$9<mn)LU)`L+vk zTF%LTtgN3bZq#0Kw@W-iqU=}Rh6OPP@19E8^h9ElH1~OTd7+&v+&b2FBud$YXDwCE z>bSgM<)6b(ro9Q4<BI6rq`662Cb;qJ;p5+@_rICh^eeLBR$_vLx|FqIq3!P<Vn^$r z1}!_O>-})ohX*E4H>a$Bw3BZh%RiydtDoIjal-WV16|%X6MMS2r=5tsd*@2Hg}><i z$@5pdSh(>aU(r;dCL=qwv(lGkuX}8rEHUL;`I?^2{hJfh_U-F>5K*Dmw^_J%_Pqmp zrB=vJ_|3`vb{R+imJO=E<5j)xl-v-$*}|$F=^+>V^iK`zAAuQ70sRN+k3IVE#^}jL zFT0~5tDf9=8<*!8<9xgD<*smfdx6?0&cH*i_Fn{!J=m|G&=K&Y=WULKjdAqk;I$jC z2Q*Her@H_9QLPW9j{i88<}ZG5{L3usH2ySABhF=ivm#$tt^Rr9)!c*oJ?<%gPmX4| zczV`^P23spWKL%ODl@hHCU<G>BNo%MJ{D{3>aS|PJ(V`Q(?0mrTCt!5raSJiH?%(a zct&9M3ghLMz5Fk03pV^`5ZYdG-Q&&Qi8p3h&%E2)D!;V8J>=B=Bd(6wA0B<O-2XSg z&`#`Nc`wiD{?`uz6mBw02X@JP>e`smBWKP2Shq~)F7t8z1?y`sFHe1t8z%pmwI#GB z;+K?=fUIk(i$u^PJFmNE<`n)nocjLI&rU(D)nBT1*2|}AyBi8U{PQd4Q1#c!z4qBr zoIas<8@K(de)-APEBKWj`;DJxxBh5t)hu1P_u;e~-4lay*Bq!=qH+F_i^?+TXPfw6 zOK$a<^-?M1Ceude-2!gW96zsJba(Jy>dgB*|GHv{7KZ>gV~97)k$3Z*y#kkPP+(C| zUOc(sU0=-9*(|s2GZ-yCqc~I1^tW%fm>VODoy7zjF&?d7-;Y-*uLxB05x%@(8BgJv zB4#Navxe6v<oEr)yrt%8#a7{)(h2<{+|tih>{)TRx1rVhV#O&liA6zjFFv@gO)sxa z{d2T9=CZMvZ>yKZqVi{jT@k+L9#+^a^~lvpUZZ)%a?^pc_VtGqObbm)4lyt2(TKm& zKjD7Oy%Xgk5#cQ6Z7o_=YF6GHVKZj^)9ATobbQOMSHDc`UC(XX{rAq?{i#np@9nG* zsXwbyxlB!NvdQMdo%{E!6gqw0b!*WT{o|@neNXzdK0UrEO81fY>#kP|^R`_6l)d)l zHk<3|@_%zovd^V)YCMyjQMR3V&so!=zx>+vVsXxw{`Du6O71<?Rq(??q9^#B&+N~~ zjyZ0e(Y%IXT6<j9F5TMDzbj<9-G3anG;U8ac*3HrqF1`e=f}(+dy?)|K2=(~=WZI8 zV7W?hTDNrCZi&Lc9jBJ2_}yE#P@whF)9I$VYeMeFc%A*W^NXiOf}PW>4|^1+$89sc zV_C3<{SA}(3emRH)81xYy2{qO<lgT&@z;K=D~(>`%ywTxZT3B_Z*k_Qx4enCbhP~F zXV#io-?Ve00!m`L`fux&`;?xlVewtR-}1{*_wv?LT`Y|GULR*|zc_1upLTita{cXx z6kFrUUmD2d9@%1)<$fnZW_mu)w4bLX>y;L2Zq<He!0=`^FOLk1w^jIhm+-cT^$#<2 z!{Xec-#6S`{kHgM+0*ssWxdaZ#P2O#;9dRa;KnDLCUJbpojv#Ho$W_Ic<h)kWlfNu z*dm|ltEL6XnEpu(XH$A`d80Oi)vZS%Yb*?Mdgi7JIiEi5;U~76(P5>|%{3CfX<A9q z@n_#&mJ!ifAGCNi^Mu?OhTlOR)9m9qr=R>-{NQ!q>c(5LJ_b$v2e+E6SG=}l+L|R| z_F~SRdwuK6CpTYsb!w(a=X23rmIa~wx^wTS1r^qQ{nlyswd?1T2LcXzKF?&Gtn*gp zt7y*ui(h6JmYVRGRNdHIysq$}Pv&#(=9vD#7(?E!m6vS2=4^VVozKaq;+DRFE8Sr! z_xYl=QX1D>B@>^V^=Ub9M6h!~$PYW#$I-3a7NG@6HID-Xm+ZN^H;XlM%jLPnh39z{ ztry-``TVhFQDK*pce%`A){UXdcHF+Kcw+K3>%Cie7HoQV(=d-sU2L}7$%TzACXJmL zu@eNA|4I1m{^6RZcZj^gO|~z#7d00Y&2W0E*L*eK_-@3x!bi_~qb^?w<xIc7^QGbC z9SK34+uZuwN}M%TUR?QsZ@baUwuB{|Eyvqjl&{}4TltvNyP~^PcGtm%9`@}&7Th>k zeZFp5Te@Jh(Vne!RfV?$Q|9Y2nO)jgux<AjXQAM&k!GCUDciQx3NDFB*vt`kr@%lf z_tOEZPgzSR)x8&9yd_nC_WLVRw`%`1>|SHET$<mp@<{JBnLl!MzO_@iTGW4KKKZ!! z;m^9f`wYB}9H#~E&E-G8^H#>L=ZaP=+s>#x@1JW|cTQb9<4#<7=xVMT7N5Ni#mxMz zqROs))pcjD|12Y;|C<e0I{q#E5x7WCV8NFi2G?iv-T7a+Cn@1X++HS!)Lp#2FZGiT z@CmGB*ssZV!aqcA{+ftcb1G6hm$=;FxxjXT<3a9`zRvy|n*_NhcjR6@()vN^{5d1H z<eN)2=bSQ?YIu_H>xQfKQ%T)4w~IU6t(VF@TTriZvY>%8wIw4=ch206zKc^Q2eqy; z$j{aJae3*jIsKeJ`^2+%6s-E7uXr|1d)ltRIU0vFFM9+X3Y<T0UXvW3+xPy5drUnS zUU1te_H$pu`OwwRoEEIyur9jYD0j6lv-V6w&vzM<yjZM*56$+Q6+Yom<U`BP|C3+K zHn?(5%RLA>ap3m0(rsYc?)J9a+u!CDzpoblto&^Kixum--bKxoytv}jsWba}!wgQJ ze75nPQC|AG-mt&^0&<`21^ync*S%R7eLQ*BHusW8=6$@PW(A67v);@2#GBomt@ri# zRV&>-tG=Tid_i9t|2Z%!PN?n>iIAPVNcN$_f{97yeg8Lh{QuAKKUrLTqK``1;}W0W z_T7A3Wyeik)!+Spnfs&svgON`9s2+9_4o44SC^elGoI*jscf@p+Up<krdo}57xv3e z5aW2cgLlhat3&}mwT~;4r}~QoE9zdWUXr=_Y?|(ow9RR$n|)7Q-F8-O_6!f3&)>Yf zR<BE0v{B{a|5;C@Ef=H-_eUvPL|=~z{BQXB$}IVv=k}Y<O|$s9MR$Ep<a+Bz1!|Wz zwk}C`arm=tTi!FVXy=wq9I2hLYeoL|GCDn-=wQIW!{&5o(#G@;M$`PRI<{{!jTDQP znzm7W(YN2yPrHoYdCZOPKk&o<Xkgjtkc;(Q|1R^3F!(mto?eg;&>?-y#rW%eww|za z49&*EvUX)RvOky4R+MX>%f@EmBmcN%=9h=<f3Bw0PfvV(HU0b5=VH=txy)W{+Wc+P z<!#mH#2zm$JY2Zw=#$Nb>{kjmoM%kG!pL5``f5V1R@9Rhr}HkmT`F|X*(|51%`7zI z#ly3Clh?JD+-aOBDfw~vxn;fKMSl;l8f!oN79mqv+fyvEKSHk}S|;Ul_=K8LWy@@d zOL{6U4vCW)mpu%8U=m)wS=6F!$D9;9@dGjrl9weFHcU+8>Iiae<vl9TA;jI(+~&sG z*3`_@%D~Ld*x10@-qzIE+}hCA$iUj%$gV9|vSSwCp1-S0S^lNpsCaUAkGkrGNcp=0 z+xBq(eEDv+&d=j3)ao~M{V-gdf2-#2vdMid2h-UPrA_H?d6+DID(yypOQQN4!&pCu zG83kj0^2NCuRkrbnNJ5;rXBD;+?)EQS$F?A*KD=5HiD)e>M930#P&_LS)F*}n2hH8 zTMP6<1-Q;BEPq!1JL<yLhSyBe-$E^m#J9~ARps2pb~AI)!}X2~y+5O-{Lp3j>T+z& z38lBJy{r)urgyajg?=2Eaw2b)XHK~0j7^?jw)X1Iu!`BY_U*;+Qvvh8Jl*|z#m|CA zQZs&@<dI90E!*zDd$q3xtIn*ojLwBWLZkO=k__8vc)YbW??qgV-#cEz4UhaDPd47c zl+mPQd?LO1`Q5}DXQ%b^xGO$z%<^M?tF-RlBKK+j>#k-0tT-ip=wfc5!S8)1-%Pnu zS+~3-v?lZXjHOPM^Lh;X4<C&-Hw#^=sI#KU*0FlVB&%1S7@S#St7bPW=dWIH@!4VV zvYj{AZTGihyc(`?{s@~9SC7`+KG$oZQYR#h@0+Yp?(jG&d%D0Yb&uT5f4lT2ACGV1 z&X;~(pnXF3+^k80y;iZ)i#66+&OC0li&=CA=c?UHV(j&Ia%=9KUnBpBOJ<h++Pa$5 zFw-qlO`~cShMnzMB&%^VT2jRP*3SKZ=lghZH)%8be3nalk^Zgb((#5}S3+O3zWkYR zH1i7|U(S-Ey^8bvH+^iq^C_iyMpm2b`jS&c2i}KAeiVvL`m1#%x5(zv*=;&6yNk6V z#PV8=IIUEgeX87Kaz&=!>rOxU<Y|)p>w`1@GMH`&-f`N)g5$2Wp!4gqGWRpYKfn3$ zLnb+-?nq&)zQU4IiZ{x*Q|2$}Sb6c2pTmxSU0Jc4_>QhR`r`4owYv?h_dJaFvnGZ; z%3=M@GSL^)%XH5FaPkdewJnj0W<C6%Ql;eim6bbP&po;`MfYdb-9r!4<DANKoOV9j zx{<Sb@8mVjho8oOXVZyES|zI6l(Xv7lIk1pjy!kcQE66T+n{oU{btR}{hufCoN9lX zxU<Fm`8jPyLABLpXT5Y^-4lF0Yqi|5Ym;?K3kC14U9j^9rvZ0xqw`aJlP1|~cYf6U zw8;?3X*kWDzBh8O(%imfwlW6GRivK&yxtSPoHdlEXG3Do5oYC-Nj)o$R@NN)$#SRi zz|4jx+%dC#6w8EjYJNA$2^P!$GxhxWQm<I2?mWlLC)Ilw>n+t!c9in@b)L!0DpN5> z*S?~S>HcG15&ea&*?IBGvi%BdpY7-W-n(3(_TwWZquA+Zl#hCCw6yL1Xz8?Q&h`8% zwv8QH*S~hhDY~Uh2><ZsR>FxT&TrIZ+t=~1zT7e)r{`lqP_*^STiRz=zM1&ojbffV zcWL&QTYs+R+}B%l_21oV%ejt6wH=)idGP+x18<mZFFZ(N)Y@hAZC0gChs$j7xBUm4 z>#KfMGs+y<l(=TsW8uCd8J<z=+a;H<c&k<gE@JRo+VF7B$J4u;0&9B<Zp!{+c=J3( z=iTA7;D2!;J?|&}i%PL#P>MW%)A(xMWxd2j^EX@#co)__opGk#YF&w>^H1grO;0?2 z^`0V|aGbECOt?+$l!uSj^C|Ftc=@Ye|DjYy>7gyNFL7S3WjgWd)RvB_Yxh&-CYkNl z$=u74S{!0&E$w{#YHZBcO~1I0L{)6!DcNEXXfWqO{kv#Kr*n(e2zc9_UbZW_tgW_} zHC%I(#^e<D6Pwh6QkiF$F6<O;3$Z)j#G|ZfIsFpfOYZFWklWK|%~yVr#C0?v+Bqy^ z0&8|NkLtno9}Ye}I9sQbO`rRW@J62_;b)D5B=voonHE0z=y0Wc{*0&3g|r_Pooe{t zJ^4sZ#;R3rll^6QZ+TqLdXoSBaL)Rj#WIh&Qr#>)*DZTc&i3%(-=DgwO*7n&M7tSS zM%hK~j((DG^1kjd*Lk0(nkq1C=xG!U{IPgl_qUsuV)orOJ>T<i)ygT0Rh2#-miocH zkg2Ef(ffL~1m&J7stbfg)YqOp5Wrk+mcGSw>o?oT&u>mOl;195&gr<P?5(Ts&f_Up z_1E3Kt<d;9<F!nF1@XGapWaRkdb6v4yOULRX7}R_w;XtUjq4+)UAX7w>Mft(xUwLj z<EmqWo7#*sPTR}=G~YIrmG+q6*ETaZvNWMtWI2<FjFjd#D|wqWXE>uc)<;Qh`S)mA zPpZn<gq6FuX>#RF(3=?|5z6f&bLNh|Wy=$l=GtxVD+GPdyY4p#_cs;cJ}<${5Wy0a z;y9_~xWUeqYyKPDRSIf2EKsa^gqcfir|Hb}^V7<?X1JY}ZP;g8&u^;lB>O7OB)dmx zOABZ8=4F-oI&yZxU57T#owYFKxb_2`&JVWSbBrI&mK5~!J#e*)>%`#{Q3J>SJG~>0 z^*wOeBRFAIiqfk|GBd39R-IH5z9_%)uKbjTEw6mGKABbeBTU7n#blQDAOD$J*OLV2 z>VCL0f8pkjckgkg{s~<FqoH)B1?Qrjb-P*1cKNV<nZU>I_kW&>N@Mf>CmW}~ygz@% zd;QA}``GRjt3H|>=q_-CaVGP`=M9Qxij2-D=H?Y~rT&!o`(kdOaH3LisDNp1%%-FJ zG&&#nomDOV*8R1Sb?M)Lg^>@Y=;RoB>2oj4zVR%YS)y*X?B&VJZb+XC<h<OHc)?_r z>F2c*<rn3}-Sy<&ZkYdfnW<3SwBLt+)h2F`5qVc_QN<~C@{8Et&q5MOi>Dv@+&h!0 zWy>S&6sZRr{w+DPTx40hXTh3BB@AC)olJ6ielGTlM9mkScOlo_ExnQwWBsx1WspXu z=Sz2?+bYq9rblBEGG*qMas5szxMB1zy4*!Kd9KrDu6RkMm#;*Z{z{IU_kF(U_QT#* zW~--95ip#0v#c^u)%?Yc75(QA{V2G|-e~7}`&*#L{d32zGpaKuZa$s!VuP_Lx6fap z$#ww;8!Xl><2X{U`skyIvC4rpeY&}Q+vfC^pJZ;CSn-$D$Yddp_irwL9l84p4r(?@ z?qf`zIwgCKzpLTP#zp5;T|Pa|<H*q3?|*Q6d7<bMsi;|8e8T)S=AjIAuWtYO{fV`= zhxN;5%_i+*2_<|%SJYnG6!G6#c9&s-XQc4rtkAe)A`1Wh)NMF$;=Xiqe&=0Q4zUbP z|CW<)cC7ZbIn%fAOMAwnr$IXvC7BdUU*zrC@!#~_p-HEgFzN5I@7`qI%r-sSb>Zf1 z(=Y!BVf}oP$9FZ$3aK?U1+n_~jmw`_D;;eMK51CwQT;Ccm}g^SS!rcS+wT<5s?_He zrdMP*FU4mzu(<78TJZ1ogvnn!`F1Rr&a%FiNv`M7)BU2mO5VJxzp(#cUfFAr;*27h zmnVyhr){<5&*GMEv)^0$D{{@D?CPk}#XmEdWP}dBt-N&brpUv+^AyW&xg@ezn>6dc zZHY`cpmomS&$3R26N)AuUF%-Ashrq2pDpLcE3GXPUVOKHa{gRh;@mC8kGK9RX3-O$ zs@s$+A0u_POGfGKKhM@@hq?S)LvO^K-S2PBAuVLD^pJZ^R^7c=b<>!~h1CZaPI$a% zmGbR<AG|Z-R9s$aHM(jRW%#KnayG2X^H~+<`*(Nt>z(z}n)X&a3O(3V%Idv6a#R0j zp;a$4RX08UkX~C`%ydU@|IxOWDIV>2w|V{O$w?1C$HHsvTTvYAyYKaxix#E%=GN(5 z_kzV&>E)MQo4sl7{QYYeJAJCHvSoSO=~vxwS?XYQgMfdD?P&{vGc*1_$+*$XuBAUa zk9FS8^0_PjmU}&Sse0ddK~a-gsnUjh-`0OjdRKh*UR%Z2wcILpM&=wx2gXO6B#ULX z*Dk-6_9$h-+`hk;-pyY7V7qO%>cM|^G9_f(YQMjZ(vdAqoYcSRN!mW=r;BfJ)$0r9 zbZ(SBb8_9|Rf=)$&$^dM89z>4ERwjzvGwdp{n(Ck(SP%12|8xTEUGzhX^snb`l=uL zpINtDF3MjkF3ztUVbtp3S6sw%ETr=6rlKheww#_6dSzwD@90losuIIyJk_{edM?cF z$wlP}+kelxpx;-`urg6=Ws~Q`;71ZXL60g<OqeP3beg$*jEkRP<I6=nJkx_$9SE7p zw!GEU&#S6uqfmUjr_40I6m#~^Oa2|S(wWnJ>D8t~Ozk;GE#{<j>b{?NW!rO!-qR|H zw}o<VObxni*tjnLsL`uG97!!A7h|<r)He022L?X8VZ=7es-th^?!4oVx@}&s|6a#- zBlY^R83|Vp=ZNfF{&&7?_j!~5$t5yXb*>5eOK<qw%imtq&9P;j$@ba#Uyt9ovgb?$ zuT=C_pS2!KweMu@;nMXrmDWs&`?qTU&3`+8o)+YL#y7=9|1gt4?OtzFc|NPQzY3Fg zXl;D)ndh#J$x-7D$<m%bI#Xh|G#iK2Zar}1fsoPV*HM4(-v9S^<%Ihu<QJ`6zc2hn zq56#a<jc#$8qCd}az%zLZkG_5`ES|*i->3Qc($B)uughk(W7<o!ndB)AKdtew{O0= zdG$Z3=Vs?tAKD}qI_LR`(`!XyKg<2fJf?rMnvYA%Vv7)aNb+v8Z~Zeok621`TE3W} zI+5SSQJ``jyI0*y*(E&vlD$DYS4sUiU}-wr(aAwXLgI+V^X3HwK3C_xKXm>0F7Jis zE0t>QH)dKnOEAjGRGf=^wAWMXjAU|oUyV%hC%16@hkt%u=-+oLCtmh6%Q|lE%Si`r z<(-|XBO<i$xQ7Y%GYzj*N7&<8JNT}#Ds!(FJu%geC7X5SlaFejnyn{Y*m9knF_iy; zVO0Bj2E(W;;VO38p55zK-Brs!GUbR#Sl-RM7U6X@;Z{{^qXV`sb+MbjWu5r?E2|=7 z>rZQjXIu)}v99cR)ULmwx7V(0d3S~(ronVSn?y5zt7N7^*)^tHC!V!t?^<_NGKpJR z>Qz?6?%yKOvF?{|U*oG>|K2?5(6Z{~#{y<Mt`aNTBrPjAdC$+ykw04IEq?lTuBm_4 ztx&tgZ{sdrus6udWto4qLwj@E!}HfPA6(v2S$p_WuYsItR6L`SEW6&6PiNlNoGO~r zb@t`%I|uKGn|v-Q+|uAKy!3kf8cFG^*SY`A(iAxJW=6M7g^=c9j{~cdrk{Uv@1r^M zI&G#sCI8QKEqHp|{>*7#?idFn_P4X5T}yV}xWJLT*e^HrZ{^<2lIz9zeSTg_zqoaC zbyV5alAx{1$FFSEsg?e0(|#p9=FvKS;qw-sN<PUs3-#M<)%nHpdERm_mAn@#*UR)T z{TA}iHJP`6&t{!JV#1yMR#xZ!GfrT>U-hu$SMpgV`MTvgKXjWL>x(td{Wk9Sv;ALI z_%HLv7ybEG{JXEd=8FBKjujT2&c`O`8&@uRu}`_Cx5X&2=7Gaa!ONE>>z72l>ri-E zBPEd9f0L)kBPHCSxLev)_@7vu>@UZR*Fptd@;~ent(VU+QkT$QV!3?2>i!us|6GX- z4AgS{m$Z&yg6i|OL&lCA7P|W`SM=s&Sl{~Vx@wYkN0j$Tm&sgwtqxgvu?@xz%RlW2 zI^~+N_g&m8ahtHt1<j@Tx@+fdh<e?3WzC+m=eX1B&(|%P^}+6)@JDB_DAsF@{fzPN z)aznQ&Mur*^0rf<^<<jO^uLBdYaaehoj?7xa$jMb|H4J}{X#c1+d{lbwkS%Q&pcil zm@UyLvMAa`Z_nKMi?b_)_q-K*GCRksvgCJ?zBH4E$e-*yoyiV)-^4}SqmEtRk6kSB zXz!X_HEW^nZ@FBR@1o2aTIOn)b?p#cIrj;_!lJT8J7g4R@Ay-6C-%lypLaW-M%UKw z`@Lo5#3i3jm%nSgFs+m0iATVLKld2)EF2e98Xt|)e6a9FPq4DM$>E=&4-M=l+o!#c zXf&C|=fP?fzoalzd*Xv%uV;GJvYblMRrP#P;o{;|{<=}})T+P(neiNdw!{X$<Xw`x z(VFpL*O|ut3YOKU7o3x~KICGUGii^~miqPUq8QuGJh#y1PD}4A-eGv>9m5g!Ox0~? zYp(1}`%uTWNV5Awx$sPTkw%`KWer<W&G{!ElG6J%gX@!^L1QP+mK)pBSOP+%e|4;m zUR6Ged6LUr=K4G3br)|-n5mtUt0-9iv~aSz)w)}MPcKTm@+<4qB#W-XdEZ(l7<36Q zUSzwpKh9(A43&%f``yG=d^A1ss^!_Ybs_sN+DxtH+~AxcRNIsjEBLNZed)f=B@15# zxlZS53gmj#%EMF8)%T!ivdj&I3>MGCsW)!j=#96%y}jdXQ;Br!jH|!7PgVP=>{CB; zT2gwGH>c;>!o3;~&U@*+-=CR%A#J0~sq*t5_!zFRxL#GrOj;WdE^O!ZL%6Jf|L->i z$)_{@TCONOxcVf={N?sz&x^M&2;91TK|se-?}Xgh>-cLfZV_SVS6lO#b&2uJi%(-0 zP7I6>TissabD;XlbJpsItLCenO^jcz!TG&wgLjYj&vVCOTbM)hs-|sy%lKElL-?Pq zr~kj#FRhrT%I!O_){}2X$C{pWUbpO3hIVOuYKJqdE}Xl$Yv+YMkIye>zS5)I<vAzd z%#rx2M5j4B8$!STH8_}XiRatxDVrXvUt4Ff=3qun^5QcGf{PdWFc@r*|9WN8tzO%l z%}(Fk7|w3$7pprovE_A(rAU{^X%-_TgXLw@_bpc~xu$4YpHcWN*Z&+3Yp56ByQ&1; zOB}DCeYy8y>dP$|HM{!VPUz}PtV|7j-h1xwqSjr@ofWJOZ)fXU&2_8xyy^y-9ZfnP zF0wAPuTgqC@zBLShHtGO*!!4Hb3U>*(Y!e&PwJ$G>G|4&Rd!2{DXsnf{>tZ`4Qizw zH{SRrxm@zL;GB3hfJ@ZsTuT{S`v0?{>ki!w`ZCqgB3?}=FXI2Bj_zesJcLs9&fS+d zypf}Pa`@c#p6^FjK6*9J{ZdxiYB!z8w5$DD3bT)^)LhsyYiHc{9jB|dWPObAy#Apq z-!pUb)}`OCPrUJDW=iD|rb!dne&ojPS(bI{vSMQ=Z$Y22!}4juP1UIv5@xG?b+~nA zrlH1)_=#IBmb<)*-Wt`mZSk46(#GaLj#WJEDmsv7moV4JU7wlZ*Ujw*lBe3(mQ3Ol zcwtaDYs0p9j+c20KlD}=O4q8oy=ONtV!im=>lOFhkhL#(lN<CBdjCv%tZcQ1@6V=9 zhUI$9Tbs3J@H~&^<6ZNLTSQ{@kCPR@%S-k5UQ)fpT$mj!sp#Uo;%&>X6>?lM#o_9K ziB{Ul+}BfA&spCyue@@}*K2ZL92{27@L3UYj`P++mR&NFqVJb{-@Wu$>4WSqT5C(& z?#9jdTzpLT*U6%f=3;Z@T$BVK+XyBqZp<q9<H^$^<NL6&I(QAMU(Hd$;zM#jPbxn7 z$t+^!@#3%gNuw8~%QNpLgbP+oPB*cg-8bE8?V$r6t3RIJs3hLlylSF{p>68BXA89t zMTRUoXe}Ywagn9v%2kbx-o30b=XMw*cnYVaot?$8dOd%f)TgrzA5(<3tNgcqRr>6@ z`;_?p%s8_-4N7Jln`TF~{(7OZWZCtyFCBAL&3$sL?s}Zv==jv-gjmqkt=aFkYveC` z)B8Z+M#Q6{w;xtr6>rKon;P)ojh!ZA1;@(mCnt1R-j2}gDHOLVJz$p0y}#1S#q)~x z^LZMI9BIOw0r$lZh(sNDz98>^Cx`r!j+5_Kv841I$k{gU+QE~Be=62atGy*Y-70(g zBI|E~_XF1JsN{6+*5lw`XDpT&u)gl&ryB~hmM@q!{ZT{FZY|kuZqv?L&5Bwk!*`=& z0nb;zwyJ|G3s?HIoLu>?)~S8%s?Z6&TQ;5jQufL--tW^Av!kzG95gqNsXw=~SWIlj zm$xE3W_v_8iJz&tdTfJ`+uWonPx{L|LYYJUR0z#DC1P?u_3)JqXP^1LPz=cHx8B*p zuUN|6;H<Xj48Pgd+R#r1PU*ttbtxT*sb19<^_O-Eb0zdGK6&Cw#pI&hPUc_k-rMdx zQE8HJVs~xJVQ;1Ih{<wV!gW^J>60Hn54(I)<hj+_M+s|=<+z-PsxxX6Pgc=dvSogR zk@&Z1A-6JYP8lCIJLQ>Hp#5TPqiSzc)C#3w@56tt#@THCxi=~~r9p0XGP~!j1V#IU z8gk}(k%v8hF8mV~_IMHF>(4bS6~C?GDh;uyTi~Vk#oD9wK=$0{@sSSZTc#;3ojfa6 z!P%y3Pj>g>UriS5y1B`dx>kC*{fj)g{j2cg?NVx==DQ!vo@`ji)TCk_d${?;pG|?P zDF;3pOzX~OO;(un<lwS}$G(|7v}*bPx=M^$Slf%?07tiM-`+P&3mg*Ta<^n8Bx^nn z+;5uN$@6qiEc;b1qXo<jnhll=YjtG#TysQ4Lz#+#w9i+t@vRBS5#VM~pQF5U_9~6c zhxxC6SmZI8PrJRs`OJN1zIXo}!-6L==3Gv!ef)nxLFK-foSTfSU+XUvrrDYMA7DMc zMfP1x#~#mJ62)qDKVE;hzm!ku(y{xoMyItjy(Uk5k#)Lb_5E!fyjqVwmiRHHurTQ` z$Z$y*@!0TmN;>RQie3L{@@n(_zR_=wB(S)f8wZ<)22Nal|JvJY%H99h`&~L%_^Rx# z*CCG=X~%+=wpqO2p1~bc?VF<Z%B=IrievdJ+`g^X@?7%n(#qLaLVkqL(Az5Q5UNyl zDQWNWdHc$*e^>8U>A4uX>*D>({EMc1`|{&^y|eSb{zv~Oh;lPKuP~NBsF<&2>nLhg zq3taC@pfp`yZzn%@&9>6Gk@n#>3Cc3?D|h#KDF%F{{stOX@2%t`fUE2sh+!MhR^PF z-(`1tZDsO|ZGjVw7WFA9<;=M<_flV0(h|-C3&o!vkgHsJpj$#oK<Tr}gkBS6jqd!0 z6AXWynf{5WcqllrGO=hVI50A?2yt)(HnOpZXe2l~@u?K)b)+saZP1ZsWZJ|l{Cr~H zRIi&^6GbO}@$NQloI7KUUS#ODMZ0ciT%Eo2rOCtnPm=lLTD*N{&W+W2%A<MZ@^kGu zy*a;&jh7ZxGwz%FGVR~u$3Gr2z25Km{txpHjy)OkingzicfQ41T5am|#b(94AJ$X+ zoi&{bn{O!YoFn2t<y~d*i{%HaxMv@<?l(%GGB2~(t!lzu|Gv&QPwu||9QmPaqd&V+ z*|v9cngcVdqMu)0P*(MhPw~y0ce2k92Uf+Gs~>&yWF8-L<eAubfA*j++sf6OBd^5D z{EdvP+UL)%_#$nd-{;5^RTjT5fBKR)kFW8?pIF)7m)Y)he-Ns=&mgc}zG1QNkAL6S z{`hxueuISd`U4*w|1dP)sAK4Re}E^p{{hRRI)<gW_6+XVKh&06|M=G@XvttwbwAo~ ztBZsqlU<y|r52?cZoV}V;dxhn?PAICKYxM4C-~-D6P9NipV;l1a`Z+nYkI+h<y*Nn zS$8Z=JafHy-GS?CYA=4Wvbq}=dR1KD-!GE|yKXbwtC`97M=tk9_C8ln>D4n1tdPGi zwAWZ-U%koA7sAtR*o9In_6KQ~`6u398E*Mya)@$+*p;x9lheAa7Ts?9wBdc-PSv|- zjkf>Ft5=@0aAHBu_qvz5Q&g{7xAJ|c-JkvZ%kTH^oP1b!MH#IB*c`>Y=(4@v%4o)$ zk1c0^wVT%Z>hz@QbA9K-G}SKI1@b&wrYEu7OXB~zrneoM605XI!^1+l1bSB9i4IN) zd)hDW9-9`ncI~ShOBt@t6uz)_LZ5uUi`U|*j*>F}brc;6C8r&(cv*4vYHMvQC;M{! z6%)nt`RpT~)-%S`U#U9y=)rOQt_!{WuZs7t@4CKh{%gI>LIGc|yky|!T(#Wy`pj~X zwZ{%DQJ(I}@hOz!b;SNk+dn&n^)~C&D&K3LvTu*K+oC56rzM5jzd7QyzG3eHMT=`! z)^S;=Wc*#razwjxXK0%1kKYsYj)ZiyZk+35(Yx-E$MdwE&v|=Rgr4@T_B%7nUvZhD z?PFHk<Lh4NX$SCC+*<j|v0nczr(fVtpWqh@PAW*&3fTQ_>%Sgj(znJb@MJ4jO?=tu zt+UcM9#;-@e=z%^0H;KT*_24p|97T!w*(hTc4SQouD%mlzVo7CQRa@=+BIIBo$sIB z$llq;b?D3PK5>bB_XHo~CvvuNHg5}89Q-lyBG<~Jf+D<qAD`7t>c0Aw@5lym%Z#n2 zDI8T=R^}%|!=8)FUf8m|oO9!x#zm@=;?tg_SsJU}>U}sr{e<r3vx!e;Zd&BIc;U4z zwif$CB4zaR61l~0EGgX1$IP%a#auTbkzrqW+e`Zr^P56aEEksUEj<5E;*q07aGkkI z%FnfLh1aRA;QJ-PeE!cY+uN_F6z@Ct-gTF)ja(SVmN&=in>8;@XY=A!I%n+DI*0$d zk&5r?=PgE3jx#qOT^+l$N+ILOPvwA66IB^+QNPuDIJ*vC)w(L7_xK%GMZ<1}Q-@oY zcRI5&+JE2{{h=a#EP^3HH1`3ExzpO?q3;<NU;6lS%VCEzZ1PGD`<ssmDV*B6xtZ$( z<1yz#cZ~*Ko!aK>58ql;tUb)NO`%3E>7hLT(uVj28!Z;;?OU@l`sLF_7Fh;O8TU4u ztlRfVRpq@z>JGjsuk?L3e!2W=kN*<6-bR7lYByT;6tn!~S<SVD$0VX{7EhS5M#B84 ziAJJT`6fNH@0<M6x+zok`Gj@qP0pNz_8sl(yA9pX@MZQI$S+{(nsBx6O18%PnBekj zw@X4B>Wof>&pdoxz4u(fvmDu<o151Lb6KkE)t}iJoStLl$;kTnU&s!P&etz~tU0{Q z>)u5B0*mzcUe%PNR~D{$Sa-G3W&UZ=mprT-e@u@!v37)=X?M+7;%_WsY`HE$^HEL9 z_a!&ZJdSv3A9DRd9e3RA9Ay)e-P1pQ6HvcY;w9Rjus|>EVf98&a|`y{i(6Nol#Gnk zO#Q!3n1SoD+8@bctL^d~7yTQz$Vyo)*=%?1-r>Yo{rtX(y9+MMzx{UWaO9=4E=~<b ztHQkax@<0StSygroa->1f2Hh<qa}5(P10L_ZD4;MsO!}1C2x@xZ)v{ynD4f<&8<0& zRW3Ddw<WwPIW(jsmu)vZX*Ti1{FCSUUuq_-44J6^TeQlzhF@%J_CKeqe-F-+d2sT^ z&f|Rs?FSxeFWh~NFEUqdYsNhLSx@GsxBf|cSpMehcb)*I^BEfD!hM4Mhn>Gk-J377 z;Sb;Y_38J@PM(aZJbY8`n8kw59KXn^@orj7akI3vxdj$``KYU<pL}P{uEaEB(`hb_ z3CA<vToJde*V<+nr;#dfp5gVqeGGA3`o6K5)*rr1Zz+4I8$Z3Lv5xVjCewrS4)^cP z6&7r>f2Z@q=}%YY)^i$Dip%@kl?;|K>7T0pZ(z_kPu2d_LWNxqne(196sRO~*e0wI zdYgEt#_MB9lu|g`H2V*>vo%Uss`mXl81ec3yJu`O<Ze#UeBEQCm3fBc%o<KbBc8%$ z>y<@@Ykt05Qxt9Oa{h*j!@nAp388;h`ALXPu%35nxy2N>?>?C?<u^*?HEc~$m|JiD zxNCjc@%vl0yni4qEm^pve2ss_m1C&}0;_yJ?B6S4wrS56zx{#b*Wyc`St?vy>D9*H z6n1kz=e=o60?8gMUJKXt?X24v&)eM-e8A(b$4l4e3DaIFw^`Q)&%V~o-xstp?Tqe* zZpj-j6beIsFPVCRt8#mGb!PpOcurFm%ea}RzVk#WEm$zcDRMRsyTsm&0xh`*e?<Io z7xg*+CRaP-(tYmR?lGyqnbU82Z}_jZdO_?<t;;4VH#$=fTxH%6TJZSo>J5*1EZ^<w znO^!$`1!sg9@!y9pYQtDhp?aM)Iac`r@kqD#hf4+4(<h;RSK?LTc{bD@YyI{twKN| zqTqm;be3t$gB2>i`kSv>@9fT;)Zcw3WW$W$YrjM13;h!j*j&tSerL13Y-RCs&W0lm z8Gr6=VanZ`<(R+1;pep4vXk?6x$TvD5%RV6*EhAWowIhREsW2RKBC9?F1t=<f_c-- z#GjL_e_YBu-@Ci2rmE#;tLOX+{?`J8jI5t@oSkJo*L=^ZDnCy7u)d2S>b;L&&ohWS zeob<sw8FFbv)eD-Shlr}KU8~L_I`%%+h4ofv@o2sF-AUrPO77v*o_yyl47YT^=vKC zzYb-5`xt-!N~yoKxqIm@UW4@+3GLGSho(w+C+ifPn6aDtgz-TG$>i4BMYRIXU#sl% z_f#zXz`HW|tykMGsd*V;lD}me+BTLeDsj(rdXaK8)}!jdd{*YeSCrF_%P~0Ih;?HQ z(oPCoS)H+>O>4<1M$W_24|qHg`4$*r-+FSx+(m5y4II%M_P1Z0(a8H|eqGN_rSQr5 zp|2cHe7|Ga_KGW=pYQD3cAZ(%BwYPs_J{0d*{CABl1tI1l%sj~=4bKK7yZBCUzZu} zcsu^>&gs?@u2$7u-lpnzsc&z3zU!^I5tsVP#pj=t-ub<_p#7EbjW_oHcwgGj48LQa zGiUz9-n)nR>z|#Q(C5s?d`@weSK8y+h~=9OsBB?kyi=!q<$VsTSW<jMzu)12rMXo~ zVgkn-bXK=AE@ZS-*s+Xn)-<zwca@(VTl{Nbbjcgh9d7ZFmnKhrl+<|bRZPQ%6r1j9 z&$gp$JiZ-h;yagr_UsQ2ov4G`n<ckh+#U4u$=#sWs%d_Q4CPBV+)Y>9vxIZc(uIX3 zLSMTg50~v}dShO6W_`nzbEl@Mtt(R8`21mIr2n>qz6I^#|FiS%1bA;V&Ub0Qdc(K( z&bge-9Smw5%MQlLJ#4*mLu5t1aJqK!iLUGNEt7;Uh&v}#JH(kOZ}bh_>At9^XP%4B z^;>(~qzyC{%y3^_ro40c`yDFUGDls%-{_2abzbPq>dj44?;dIN(thKf>X?0XS>d_M z4@!0{@o$u9W?*|%YAzoWmaHM~yvNb9OOavvtlok<XE^?!;h)mKy|nuH^#CWI3|^*x z-(S0*wiaeI2xok~sbhXSr?Ae_XJV(+qrLCXyl}!YhHa<7or^u2OFyif=B@U6Qm@v} z6v_SPyEpxl-PgM7)v{kct8(4%h%y@nYOqb5c*$6X(K0tyV&xQuYK2Sp7EOA}cyx=# z);O0deGlKsX7vAwi7^acE%n)H<^skz5gW$`T<5v#J?xzF7BD%-ln5z$ZMomDZ2uj* zf(GOB4C+gQ=S}U4JY~3Kd)RA($t#3dr*EBD{DAXv4SR@yz4!da>oYbhCYm3pnZRF| zG(q*q1P9?&(hf^M8s~3#9TxoaSDs{~-+ha75>sbPST{MLJxSmxvu@o^^<Lh%37Hjl zZ(sc_GcQT{vCI?s*ITCAyXW_CyIxd2BapC#)v4_G7UQD{0-L#$|DEan+CSry)m!}z zxBc=w!Ii~M(T<P2tCdtV8=F{e&NQ@Kb8_F*`#0tPRvw+k$8}*j(;=<u)vkfj%l5xt zHgomW4V=O!8yHMgx)yvsD{$nrjtJwUo6Xg?XDyT28G3!P+@udjEKeK|U_91nbf=pu zqj7(O;Eh?4EK%xN<?pw+ocgNzp1J?>!exfJ-BrCg56>+%U^(eAA?9JX%fq9sT^k(O z4FdC4`!v7bn)7Azq_~^sk_;Fg9y{T~YUJ3<5x+qw`ta0-_dZYdyOwp#Sa56Rmv^q& z|7TS7tUVs$mB@0&Q+dT7Z&yPtFIL4$zJddJ=`+~gm*)IC<58V7Cq0?NVS<8meoD)~ z<fiE}9-lRTcHI9v<K@c-nOK5EMVs7ic)5SR963qfiF=xl#L5u04n?!`&s%;Ld^hL( zC*q@>x_jyIup75E-d!{BIkl$kQXjWLjgEGcklE7>pO4P^;P*RJ``v3jM&%&pG#<yx zg2EeK@J#&rZc58t4+BoynsZ+sa)dHHd7I12efjw#$%^^6Ln}^lyRp5?-=f_2h*i5h zp6&O3DgGP1H$1BtY)oe<27dN*p7S-dyx#4-;iKL0!80xH*H3RWW-ww1V(jZ+THm|) zx3=<$DM53C%BOhP_;zOaOYb`USu;g`ZM5MriSSv%LNgyTzsL-6d45*&$cZ8iH_!45 zJX{xCA|<3<J6oM}RMWdRZ}z<8<g`E}(nwiYMN|Eq@(Et9B<`iQ@o&s;-+m>!sAJ2b z)D2vPE6StV&(79k744n%OH27i$JC&=-vs+zF0VeV8qp@qWjNJNL+6p0`{YZE|0kbZ z_(}fX<CI_XRrvlFhThHC`qP~I|KF_|-_imf9bR;#-?LA6wt%|bzw56~`d4r~WMpe} z+`;{9XVjJD2Nt=A|ID}I2n`JladA2FbLGmFFX~f-X0P2csndP`pa1#K7qzrkc9wsB zl(VrjFu?IqvciFK>$eM;BilB=4C%PGWX1RUx8MDjV9KayRw`N$eXu)c%m0c0cXDg` zaOj3auiU$2ncC4Q6N-G6?%$f#u5fF{BE?PD{Ay<8x@MSf@4b|zllk$~Bl*Ji7t0rM z+t29hTV>C8=T}X-bHjl}cVsWvw+gFXt91YE|BS1(e$v0^;nF&1&YU^o;^M-+c8lT3 z?*H0SyB|KQbSrzj`cc(t6>)W4?+wjQt)uyKA1u3I|FBho;s5c%@8<8efBm&vLpV4% zMfvLs^Er$1y&la!wsH}FofGHE#SfEGCQm!#%DI|D&}G4*OM)*?dI)~}@w$e)*(C1Q zKP|EUTjtd4FTc0+o~%LJF<~BE9}Z0(iFLVu`hQ)@`si+xYZl%9_WHwzyO&JrwCJ?h zzn@FT%eeHv>9ia7_N+7WJ$ljY<rMbw4~3JZm>F~@oUjw?me|d-;0H4^Gqb{j1%ZwV z3l1tSR8(+iIOx#$AmKp5!vhZ;4?0{-2w0%VCmbKSoQdgxgUhF1Z%!KDmpt`gzwV)m zY`up28yNl@)^a_V_tv}l>&@AT`!0(f$ggkt7&f2v|3k)O?I#=Sty?0aXS3~p$oNdW zn&-j%civLp{_(dP-mUkKng8Sb!n+gN{}=37TJip@(fzN=dwv)D|2+QV&-T=g&-1%K zX7n}u-@tHs_C5B3!{23^F0;N}{^C&i!?`=Ts@`AiyZ8Fgqw>&)RmO`x%)Pk#l{w47 z=W&m!+~2eQEn}PLy#MXro%f{wKdOz+%{8BLC#~S_><^wH&Q0x~UJ0Gm=B*FfBf7_A zg~#PYD_x)cJ2vYZPdHL>V7KwU-HmZys^3;GQx5dmdSl@)v#^$=n`a#sPM@*a`^}W# zlT43w_Y3HXeH6&g=Ipr{TzjFWOe&A%+B2OC)_0xaUcP#=^}mBr=i@C+8mV`W=$==4 zDs+$K+f(hxGq$GY<t5=~WzHWqp1l3g+6j7s9ma<*EniqF7uUP#quU{)z?hqdg(umb z@R-_h>fw9yt#0AEP1>@(t_}|7p{u^EWB>P9>5rM_1l2<&r*#D!Z285a)n{G()AP#t z<fp|YC!_2CyRg_q^K$$=70ndyGUeUI;}2^09BFR+aY@`e$F54@)`~Z;HZ~eJ9(<X4 zeTRJ3^FWur=CzBaao_m7%TOfHwS7|2Ro{yna{kwRvq{e}4OGjjHtF<g3A(lToXi~a zW*!N{*R0E4hUnSKo!c)bF(<UviLLuqbgGf{vis`-x4X?uVe>P!%h33zu%)5egWK>) z-2<I=x3giKt-t1--r>6EP_@tZ6F-I6-x#XI9PK!`>WLZCx2cf{x32GZ`?2|@ZiboX ziAgdU5C2Y!U*ss`Zou`}%;T+Mx9q9KoXX9uD~*p@zBM~36fMuDxTGq9y;=3NTm80U z7tiKS|GH+)t`v{AJ~r_dbDU?I85%xZxK-z7+6L7fHf&ozzvAEE*~8{)Dj&5?$M}U< z@a^)9zp}HHjC!suk9f}@A@KTq97AxnUAs=$<D`#DsapTlM2l{%dsF>EVUL_%oH?7m zhLs!3<<)&V&f4Voi%sS_UhVzBQrB==b>)va@vp7&b<M+{R@@KrSb1dn%Xu$W@>z(p zt$lmaFv7x6clI?#@4(s@vb8HFbURNn^AwuPHgAJ)G3(t>2CkhG#eM(p>NWlNVONBB zY{#GGFPxq{+kUU%Fv$2ESnpI`_^oB*fgd~c8SeLgpEbLbcggI+S8jYSSk<RrPdEI# z{qh6xB>lfEeM^383usg~+df*ivsLTK!Ms++*AaQ%k3CWntuJ-#cb~k+Z1y3s2aSt1 zzn=6=qjo7{_QHC$^($If=ZSx{JR`@R{9~rkzjyEMc^gHnj(C@)V!fL|{!Y!hx_z3l zULxJ6jw}nb+2Mb~MDqNT<+HnIzPR1)sWNAick)X$HQ`uUnOkkw8r<&3Uv3Vyo^KnH zw@jVcY{$$?DwdUBU+V5(P%Ztv#fYnVZAs>~{jV+`yy<rA<=OPcY+tFKD~G<U+_A9Z zO<YQ-bJmU$)+mz&ZJz@<lC}R&y7|JO(xb%J%k8kqZ<Q^QVhl$tj~OlZU7AoTa8H~0 ziN0)!dwij@?su^x{Rer@PPTQ9XQ;S-LYmJ|_u*T^r9VBUCN#LNTKs6KvSu?UUuiwp z5lwB;*Jm=XU&`z`?fi1~leBpi*`M{ij)o?@_5UV*X#T|IcBT#n%e$4&DW#nK9>AX0 zGeyr}_A|DPte;jdJL&jBOYNUoy3uyyQyQM97XItut!HRjr=6X5mvzCMDiMLdRgAVW z&-b>5ysEP?c&ZdQF~@{?*&Lt3Rc-5QgjV;IWXTI3+0&pN**05wd(5my`~P@1|7>ym zn_%!DgTtcuqm}D-`Jk&$KCMmRJoM?tnr+&)wV5YMCC}$2gt>dvR-YDIacg(Cjni*d zAFkhw+8KxWFE@#K#VmX`|H08`9*-G5Po1TauYKuK%`CsaDeJ?pohm9-z3rIi_{>n| zui?|?z1zPlDZZY_o7Qsw)``=urA|MLF3t4W)v9z(bYjN2TNk+QxvspsD1VMY^0&`T z@%I}tRCu@!rOF8ZOL53P%o?5cB%4`~F~TMHqHR_3l9wj+>o%;K+|Vc_evG-nwY6wk zS)+6QoDhxYq4y>ppKH=D`n}CLYQwHmA~ljJhqLCJTr0USz4MyuiCoifyoX+AeEaxi z@rDgIlx|M=qVy@h;KeFMrAK=fpW2!m&-lCcvh3Ht`wZ4CNk}@#U&A+R^VDmz6uWjx zhDd9;)_&-*xPQXTV~wKxy04YO?;T_wYW_aZdR$|Dh{m$zM%!inpUV#I@A@X>X?oIj z_x1(ntA(#bF<WS^DX@OGFMRFm{-@nRZhq(dH%CXRET8k)VU}nS$3JPIxIJu*-_x6( zIPYP5W!w^R^M2br#WijZi+wikia1#Hp!UK+M+b|o=YL!gJl3?GSC~mj_g#2K^o8T6 zI&}S1Sy$U$&`SuJ5iGjy$rhdOTSLUuPJK?9c+39ROvQ$MrmN*eeR)<&6d6Qki{$JS zIxykqTSo@LNDXtpzyjXqHmkHl&T~xOuKLL-adN=6iB+>_mjq9Dtk-9_YM^ju=Z1N` zRfjF}1<KY--?_rS*w|;{!Am;~B6q7iTOw4vg4Hvg@$eeg^EO(leC8_@ww#`+&uO}N zXT!Bt(egE+O}1OTSPstieyDVG=G5m-kB%fUWW7q*l57ze_4LTkPVZ)?YMov=&TH~Y zU6<|#6^GdfMxT0nJ+JRX&3bY64;!CV%YL}=y{0$9`e6s_yML3GIIU~o31ujX)cEK3 zpY{9M0|BuoZcQpUHEYpA9?^!<9}kPe^%YJnzm&%?x8>8i%XJM){(LO|?UviAkYIOE zJcLKpR4(PLW{MAwg0+)~v%pTB{BK)jwr%Q}<+0d7?-z^B(nT?<!UlVb+9pp{x*K_Y zcBphv+t$@u)1KT=ncUvM_u}0P<rgYn`ULv9YZR1UF5+R<wGT08UfM45QQCZiQB_=k z#c92^SHDgPSGk>T6WYpWx$^Dq9Bp|=Gf%~-tfmpghcbULAIrY8(#E+prXaG7T|F!A zj~`#9wBEN`m+ln~AMzs#w*UR~!pf2TW#FF6S(Umwp8mRVBTgp7PvZ7&nN=S9bXtO$ zGHx<iX1sZ_g7@*gn@1L&-S}hGXUlVjNr(8ppFHF#C}iw-!(`vIwTIhgnP<-V(9zh; zCMjM%>%fzT>z28;+4*JNdGO+F6MJv(?&&v|u40dLoUD?amBCr#8j-zA=6s8U^I1R3 zu2Y_tZCxIgeY^Y&53Y(dy=f#i#dd+Pldp@eor~<mHL)*rs<$-tbm+~pJQU#Ol2E$j zSeKM)qIbKzS!LCv9D~d=?9<IHYb$<STR8b_$|(uK(u!9V|BoG(7k9PybAIz-U#s)< z*`}7e{I@+;irTioWx4Cz&;NpNW+Y$S80XVB?S{Il>jd^$Svi3RndKLqv=DhOAF-Ez zCRg>lkkF&GJ}aI*bXPi+E9bIWq?wDg|51=l(JuwQoOxnFUn+h+U!KU^teTm7=*!{? zg<1*Et+U*jE=}%#!1R^nOWQNX+}|vBk2oY%$IowiJ+VNY@65WVZ|ly@_m%n-yP#=~ z=;x|Fu}GowoSzezI4pAeO1~X%Ikmq*N!QxIs`09V``*j{Or2f%K1qK*Ba_XoYrAAy zYS+=%2h?9rIR5L%rY)C?KZi7|WKHt(4%=@&ui2|^?z~oxs>hPc46;lYg}hb0e@4F6 zxxT__%DzSaCcE|Dm^kCb>kHCmGmmbKDQFf;Yz!-Kd1~-qhhv^-&WRmgEG;&BAAMc% zATF|{xS~t@%Iq!w)^47()Xb@IAJ^&xqw7JNnjZan_3Y+m)vH_HoNskC4m;Hm)%ojH znrQdb^xl}@r&g((uRPzL7yI$gqPtqr=}YE3xIgK%R_>R*FaH$eOjx}y@Q31zf68tB zVgk|U^mbh1x_d$G!p=15Y3b+7O=3d+hed8!arK1#<InqbPOqE$&eYCxBmeR(x!u#g zuf3t?^Q5XiIqPZ{7k7J=QjF1L+26O`pAeh2XI0RZ0@dv{*|!*%zkK^Oa$YsV9jpDt zJYq?gc`oYsY+2&IBlPappN~T;btW`?FF(+%{r|`2SmC!vXEV-W(EtBWSHdi-X}^m} zF3&!JrQ9ofdRIQRtgmSjjq0e+dAT)Y|Aix$6#druOURjQYkywBFl~p-qiNRd%O>kH z9G&F9fAhN~^UZwUncP@jVb>M?a7EeYx>?NrZyu#Bi1^ySJAB5`w5!)yzg_>fbDpi! zJkL3zSANW0@ZNaSt2r-ZZq5;zHFf9tORX!ojn1;|wp-q3oh7=--B_(5^tgYk@O3fu zZ)U!3Q~t@=zj$=(?$*^@r*6J0%F4g!AiVn5@7&wBc9fYOlHHo~BICd=+uFCgENW73 zFVb{BFSo@e?f32G^_HJDN#39RS@-wFvNzLN6b`-6XPv4y$x}$!dh*i~E4Ro9@i(#@ zU8NnQy>O<TPlTae=$uODH%gMTT*8iI<Y|dCIxXXz?m1ccWRFeZ0rPh&QqC}aZ#C$C zKJQ-2li**RT20~`<ZO*+7U+ob<w}TW`8~hGc4u{w*ja|;<y#jBN*v`qs4bq+Y$|4^ z9@_Vg{XpZI{k4xg7w4PZUHDeNQoMel{=zhV$%JKj2X;sHG|3k?tF3>}#-{dpk(fB& zsxG|`D(@REeL8CTsq1w41<y@<m-f${E7V(dBT*#d-qR1_s&<Bx9Yy=Kqj$<HY<%iq z=IF%Xq{3vJw3DfK_HLGglib*|8g99rREzy2``{D*a`sHyz4m3>HI*Mcem2*c^~;@I zIvkhV9iwfv9d;g_c`Me|wMHXF+p(^;!RX9Z75TUmQV~s}>Uk>ndLC?1bDAJ}&wp#= zbe~!#`8^M9Q>@=jWjuXRk~4+l^sN8Y&Qmit^e~<3pSq~$=C9dtg?1k$`XvwdbF+FJ zls#@xRZ!o?r?KJ0bAIm0bEKavU-IiJuS@@hFM(>G{%W-Jm47%lMex;ZznR`12c((H z4>@bdS7k7om#f@<X1RyOon@v?dxVb0Ik^??ib`E+Rg3RyY(EmWRrE>4_K?p3Hs{=a zvuJ;~B@)pWyh=ZYX;r$)YsJ^=jvVdX>ge<7&-z$1u^mZgwS<-|-J7i)_3lf{?R6QE zlKLuk_N*@iWQ!-%#~(a<^?48<i{Sd|!Z*u8Y75rA{Het|WBXs;=a2L@70yt7J2Pkh zVNI#fXNO%9?yYb;CE_X;d+c62=YM7EYX2>)nh%#JIVkgUL<rxwk*@gbWwDUC`C6?s znKZU$rR%|RR&&y%R-VpW^`Q2|oNx2LvuAz$^0J&W`(FCF{Boa#Pd!#=q|Sb_XRXP+ z7v-H=&XN~@-#_D%qqQ(?a*(!(Zn`nUPhFp;+`UH+q;>bywVOX`aqP%Cp5W2FVv&{8 zyqfzuTTQpSNHi-un!HPv_3`MPk<xnhNA&N>N!3SRZ55I$F?Tzr`-9CnKTc^kH~U6b z(d1>PJ&gDH8D2i~;K*y~Gh#m_SvE*$mz6z|6kaiBd$v;l+`TVT&iYobh?CjWRU$8y zx@LYS>%G^jPV-GnVX9bmQTm);l=I^|azz$DPn@`WgK2)o(@V=%2{G!g*|SONug(%T zw}MTv-iJPHZE@v`n?JYgLnFJSp3>%X?Gx@?ewy}g`h%bI1ZEz+wToftp5s$Ev@#dj zpV>Zb&aUJ8oj)cxCz<`@-=Zs^{E_X>tv}y4n=Y|w>rB}2?qgtQ@4r846I`6<zc_yS z<5}GWGBJmQJS4)C{N!t9PmeC-)3lpVbW!3a@BBwqZKj?tq;`Md=XXgqIpf*>j$O3# zqVIOscHhL-C2iBM@4CcwXw`{L?!J|boJ*XRTh10Kn6=_z{i+$xty=}=uW@--#@%{f zdE&`8sa=2aXG+RxOiO(eyVYXpZ8w?sQ`RME@1L<?c|@@H)$Pj<y!87L{PXE`uh;-t zC$(*h^Cmyt{^tIbs1yFyEq81_XUw?NcB1`52E%vb3F{i8)cfx&{uH*wZ=2hnn|-s4 z`aYQIJUO-hT1e{C$kmS0-S7J^mi=1I|8E=TLI*9udN(J-4XVw-3&r2;ae5c?Q19oi zLn?O?-OD`|Z?Q14yn7{DRMD()&iVhoCuVlP`Xx1WMjdZ|s#pTM-kU_0q72TOUk909 z{xjlZ-c$Ip?NvdN>5BP%%bI5N#q;0UKEsna_{i<F^7yEYrBlT!4ejINXMW9X^Z9W! zM@_GNqRW)<Kfa=hKiYa<)Yn~}IBl8mE}v;V?(ba>=pMPWD#)z9ERe%2XSv}51;@fO zbw8OK8lB=5IF9~!a8>@B+_sP}TrcmvQ)~TwfSvQ7<ff1k?URA;)e=+fw5Cjb(HWLC zdD{BE$;V`r<{nDO;$JgOP;8x=7<2n!g;z7YEko}t){n5NkeMB`{rYY%Z}q&Z+S={@ z2W=gM0;e}csr@_k+UD0ETi%K-7R$oR6nV@=94<fFxb4;T<DM}L*1}&Oa5mhS`f!eS ze{7)8h3<7iiyXW;1Up$`Z|lX!%IjNS4U1!E_cy4EST8GB>Uve-{JO1ExGwF9-r(tQ zYo1H_wXZAIu-uS-=D9(0$NW_aISc%SU${(^j><ZD_-n|gIV=rV8MO8_bS$5->}byM z){u(z$vY)1U3T)>9S^&nxi!UE@*roGjA?}O+SQT)lQqh2l>2UfE?OG4!RgKnC0(~= zydrnaSKPVi^-O72Q$^tERZ>hZ7AA^UnqA3F_vTWa_xfe#%dFcnt55DzX!-71>%QZq zy=4nOL;S~U{r6m7PukgGY<Fqu-*qRBzrJbl+Q96SQy<GggD@32kME1uHY>!ZZkYbe zVs=*kzWvdj-`(>R#FQ2;cx!g<+f&bT&exS^`^I`Y$JNc+6?Q=WX!#be?MwzMPQ4c} za*)(*d9!EcHutoitBji0?_Ak&(b2;|u}ZCS-j+LOXM62f@xb?+(n0Me`&$;CIZei1 z>oz35m^15sN9Qc7qi21bCEIv~ZJN)l4A`&ad}PweeNC^ei!G8kt{oTL%_ekV&DvCv zC9Mi-!anC>8vQ4GCzllU<tZ{mGhcf2pYyhm%G*OcvObBiraN{$x;?c;d((%m=k?PW zEuWMs?KSh;;<o+HQR`V9iS`QaSFD2K+3xPuR&bib^4s+2+%qR0hI(Xlbg+C?UQx1A zJ5pVDS=;?*X=0-Bv!)2H{M_&C8kc`6$aj^Q`)ZBNOREaXvtnJhK5_fV%FgJqTGs6J zCI6`RX}Ys#{5A@jyK(0I90rz^ezH+vuI0<O_<H3|WZAXa*_XX^qAlMU<6m=UOe|rz z&DC^@x8`qa{-$CJrGnpaGZZ)#CUED=+?ZnZtn7AYUWV0YcjpzG5;c$Aiofd^ky8<7 zZ0_o$prBxAY?x%M0Ad)XCj0g?nC)Mo`eDm5<_xXl`m^&mPs{$?I!QT#>y6Z`9L=RZ zCwV3ZD$G71P@u_J%dnAQ8pHW5q2}{DJ3Bi5=ie4!aa_A~+wRq?X3gyE`0@YS+>-L$ zyH~B6ITI`^B<H-R{x-X8Q&e{K?yRe4T#nq&zrB6OgjLIog%>(+TfdcU>$Rxt-Mc|r zK$<|>I8>GX{r`V^TmJ3s_hLh@rp)Z@=-6JnyE-5(X<023qt(Ozw|)QL?#)cT{pFh2 zeAUCjwc8wj?|dOsQ4)6f!n;Ui@p-(PqMy7lt#Lo9m@r`(vyV!uh?aOu*ObH#jrJFx z3^JW8G*<LvJzluL%w-*q|I(9YpPQYX7u_f@l9N8?8ajtJG}p*xDqCo-*__kCv8zvR zw2?Wzd6vTUw&P!CIwT~#5fRhk7v*+yo@wF1Big~*)AniSi<C4aHMPYOxAz8bHLiC# zVt+X-HRrqE)pLIY1?A6g34O04)}2}&YHBR#s3Lt%)pOpfNqR3;q~)%w7kQXQ{rjK3 z|NZ8-^K1Y8-@W_&jK81%e*eGk{C9PKbHkV0-&&__Il5{0?$aA>R;^k!YvxQ)aC8Jl z9olFkl(%o;`{%pY2c7hAJ}fj>#8X}-*rly&g4l{?cCM=%?Id`YHeC!bninSQxUShn zaN8O4?ym0s^`?K`b|!KQF?JqMHR{;mA|Mhv(cnsIV^YITo`l0(U8kE3^S5zb^kI|a z>GO9_Stztb)7e*?>o9ZLE{A|iOwB2q0t*Wx=P)#M9#4%7iBa&ex^(36MlIpfPR0)} z_^in~{3}8>dlE;<7Ncf48I6@ik{fSqu#pmrIglehW8>Z6(r1!N_vUZ=D#WX<sCe+k zx>fq0-H!b4-1aQCxq-#h(j#CpbMo%;Rb|imJAS0!TIJKQ@ve2K^=EgNnzr*RjDN~0 zc{(mM$YFODcSw*>;hU%)DZr|EMCPaPfd;0;3mFDd?1v8qC<t&gJ1%sP5MXOP)Zn1N zk)+Y}c*eRjA?GC;?mT|gE7~jnH|ptKpJ!4_UG}f{OLj3_Ch{x$U7S?xlPseNiTua> z%l}O`Pi#0JBU0;dY|^4yQ`yZYS9AxQIo8kGRGI(CaK2$f<v+cvr`K<uIlsiNph9tx z?Yn}5Yty8>Hl$^L=UmFOUFLAhv{g9_-Y;GRC$AH+Ie$__|M%jENV#1;zuhgG-Upn1 zaocj9P|9kzg+*t~mxXO~>Fr`-`SEk*k4sHET|LY<pS$#b{+jP);X4GFGPk^JdUxnw z--2`IMelw#G~`Ijf2#IM%*!n)+gf?m+%IL_&w|&*2h9(DoheXN6_Ue#?b#$w|Jt9s zcVFD}wW4Wbxz!V{1=HutPJOUZJAdw`d21ejWswlCt`ahrlX{%(cAH~XXU>dgjI~K} zuC0r`*aP%l+0DCKdiD9F$cA5=-Euo@x2Ct<V85)&@h9r7!vp2XyQUhY<~6pQdv*8H z#8;EU|Gay$vuB>d5oz%sEAMNI9?h70+Of1TFP_mV^lffr8gJdyO{=dpKXSXfPbg*8 zt>1Dg=KWWbe;8+kg<BQ<+B)-A&66yN&HD>C{fkZGbM4A4Sw1zTe^0<_r`NHjl3(iQ znEL#_K55Nnr|e^r(b+dGvQ4hd-8gO9B;#vYy4K0Mx~l1Gu0~DcJ$vj-!cif84Y6p^ z#L25_6-wuI9_W6rxbK0F)`B;aP9@tboLN^XWH94TEMNY-S-zej`y5P`{83e%mMhzJ zN}K&|>ObE_wXa(j?s~WTN=@gC4^nei3piw)47quY;X%=>mUIuRSPsp}R?AmDc+vF# zH~+GYeLLe<E(vot6Kqut^9fj@a%r#sk;e+NAABwlJUZ=h>>bBSkNsa}M{n?RdfvTf z`xXDG+(()gC0af_F0?&3X3d{~h!0I$EPjWoOP>sM-*z*Cahl$2?XdgtIf5(^)>SgA zUQXHismkVp8^<&^CT@Ni$77EVCaXT;_{IJC&7{41Ii*W8^)*(ToAwnR`m$p4GPa4I zzNY^8B7gmr&{=!sz|T7$q%L;c-FM_o|K3|psXwyAlK-33rKOl|Iqzt(V2<u*rBIt` zDI3DH)?N9h;NF?PAj00x$@=6vvt8fjeBWhRWm0o7XvT&0GEw<oc8V3vQpi+%s2BEO zn#h^f{lyK3;;lpYci&!n{LfrlhILGPJ^mh(y|D1k8Nnwnm|jU&l$q+Eeam=juL4V_ zgz)j@th43j6i#N7eEee$@5020^j}3xF@_>NX9WIpc&zsbc8&h%ZTKWa?_zIAvVnp( zSID#MSrS*D_brv(H8CRT){U5qn5>VLMJXclneVLL;F|KB^;K46X2_CN|6E!H>JJ7_ zn)_DO@Q~}_PZGsF?S;=}F0FrBzC?nLRd36&PQSOyvwt4d{W7sD#wG4Smh`^=Prvf5 zJ@njBN!DoRQYV*FHlH%Hn^az9dHJk7wKHUEZQ$B~hL{VIKSE=<zhs}g7|W5^7<=p} zyZme8!~^Hw6+g_F`uok-#9XPf;%}w*o!Ry+@VV%L(!``hW5x$p5&|vl9jApK{o7v3 z`hGHJsk?LVj*j^C9;|MBN1{1;UwcaSFEjjMUO(&lWFtG@#~*V4t>LW5%)2RO7Mg5s zQUA#{b~n%cJB~$0Gi|3|-F;yGfvMan)sve)xUQ&Lbhu?o=Gpa9Ctt9)9ACf^82@}h zzUjk7XZb_+OD=u<E>p8>bG^~I{Mxq#fsPMrxCD3Ub&1XJYnsS&SLk_(+~%n}ChEo2 zFPt`AM|9Rn@6fA<Y~%05%|BuBZ5wN6j9oyM$myTD1#-O)7A%Y1`l*pSYl5&L%emDP zex>uwuWr-2?L6(w$)5*V7F+#0o^?Wu=jydd>YOrhU!=aKE9KkfNqxUK{qOVc+mpQP z4o!ctmMN!s^2*fR7h)EAFgg9oHa)H&rs=R==z@8?U%kw2;V+DAR@W^<xGS<=rzbrW zu;uWY8Fww;redZ*9fMYNxo`Zeeg74&$#~or=<Yuh-h5D}R#k8H`HVZgb*Z`j_nOrt zr|^fX=JGuLm78?@efjw=k*dS~dEfOmCti<k$~o(syzbegj)3ep+iNNceKk$C&z_kr z*vuTra-p^O(VezylP<Qs?ASKjq&YgR&4y43WHkI%i%X8(DZ=U{D~tEca4d+Css zQ@<L64wvwgs-x3CPrg&p^x?o(4pX^Qh8j`x`@f84uh~0qx{X7Hvi#i#yUr{;9eK!r z=iuC!r}|aj!+tqG74ggrbbPi+AuoLDxxWX$oVxVzw+F9z@Ud;eiq4fC0xN{dc&2c< zE-yUCzRlq0H;!$$BbR=?pV*KRE1{;xaBP$Op;cF(9c`SeF7|g)BG1~)5RF#tdMobN zGjIRb*!+&2-@{{>qW+`z8HK#JPB&F>9Sd2S$i?|FV1waI&4=6E@0w0HJ?BD|%q)A} z%_}+oo;W&TQDDd<?XL<IOw)S!zU;c7x_FTX2V0QRI{Ry$79V-{JzbL6o&D&k<fnot zQR%%B+Af+88J^zRyz+1QEY)+O8_Q*E*G>Gg$39E$t9BLRUcsW{ae`;wTq*sYr)s0U zoiE|~hCd(8PVMsCwkZCW-U{nui3_j09Q5(2VY{W|BiE-TC=exAzLY)bRZYRfuUD@h z>uHlwkH5XzpmKebai4m2Nza_+RpFu6${n7DxE9^awLI=~^1niE^k4hUEl2%pT;Jqu zD0}Cv8sQe&H!ZR0pM2?u^@WQcb7n7&)D)O?`PhENG{Lt!0%T^oeBLY@m>ilS<YOtX zWFh<EylIER@jR|22LE0!S>brdWXih8u9Y>qlG4+xWp5r2?A)Ju{hmes;yc{3TW9t1 zx(Z(5Qq9@RB3E{9+V0nD1MRJf`E7icb2cq>o3EM1cWL4s1J~q^OpDl(O><p!kFrji zd710Wit>HGG`N{=FMR%Yi_(LFNe4M*p1T(<Hbbqi?yt+_;G)el{y6n`#*2mO_GT@U zv&%ZWS!hbEer2Z8RlcWEVs?cy1D`lvV_KFeqAkDl;lh-b%0)Y-tF11+w9#+(Z0||y z_Dm|=eWlTXSu8}zP-s!O*!J9}?*?{H_H)f^xVdWLDT(c8*SyM(xvwvIhWU%{^~4CT zpKBW=BAgZm&Hi<8>2%B1?<<3!d4GMozOGYx^7eYK;@bLohWGDlx7N<mJYBv|{q*b3 z$t*ir*Ilhx>``>h=N&KqefcTJ7OI7vTV%O*VdVvtY0D0L;QW2(LjTgk90!BDR-B9b zl6qoB>BNNir)y^aW84<pxb$<B+On!OyYHM5QsO+=BQ+`DnecznCd(4-ko_O-xv1L< zKbrs2)z&oqL(%;Is`bM292<&KrMF!ElO)h!u3YJ3vudg6#gZ_MFz$rC3nu8lx@ov{ zmdLS7A14Y+ME%iRxa9C;jo=ks>Wh*y70>A}{C?+sCC~3q0h_E8+}KKY`>cDgc(N$h znb#*L`8{{fSN<}2exAu>fmw{VR+bl^QVP8zcvWqBNoCeL)vG40LVsN>R-IE?VwSMe zzst5^*OrW#-}t9((0t0gdj9SO2{tX8pQQ#z<Z(B-tgSn>PteSK-H!V*>#CftTuNP$ zQ`H&}&Oarg=h5}`d3@{FCA_+QA?lM~-U^L3A3K|F|9!u5+w-%YtX_N9XKb`+%Hmp9 z$d~nbkw$+amw=MG`}9|r?z~9(b5@df<z_`?;c7F(NfPR6$Fjd>)-m1_E%0wx-N*V- z?ai;{Yub`UW(l9D%GEe&^p92K<R_`Kg&kkAR!n#JGM}ql^h-dzkJE)W)7P6D-1*y~ z5ucH1c1NP^zuHIlD3v?Ke-}<wzV0D3$pAFC=p`&EpOCj9Gr(136~mI#uN8E*u)Mf> z`n9iU-qceIpYZDb>E3U~mYEfPCG_Y2g_^fD1o&NR$~xRk+^$Tn@B615THSr%)=D7` zeZFrjen(w7wk0txJ@;t-wocZre`j4+7_Ugb8}+C5MpFA$=53{Ki`0{~oujpF!exG( zIDcc8=7NN;@5&XJmLFOibmVCHau#W>55_nD%g#CU>HhZ(n)g`upTD8mpykxDuG%B! z$6w9wU$^@w^4E3EdVXu}vI}cf7B?MeZCbj{+tH!W>*A?tws+sY5em4lY`VDb*|Wi@ z4Bf2L%SxCZRh?M3=i`d4#n!Ab^6v#&4hdiU_*spC;jFs8gVFKj0t)BV^&O4QFBMQY zZ>r5br^xPOuzhHO&8BF_ndSBmbhq<=5KG)1UYxJsFxk_?|4M$pTF}PrGk4wCuF`V( z%{s%b{A7hQbq5o#{o%UJ$o%k<oSpBPbL)$x($zeA&qb&%isaEUZTnhZ@#pLP8Re$A z#<g9$cBV5geDS3Fz`KvErz6!JrYx&s`S2`|h3TGAkDkNgg}K{pCUP_?aKs0fP53Lw zoRYKl+L;61f)mXytmi!|Wpr6xX!f+{zf+l;u6%mj{_gMODXJBRxF%m+YgcvRbyt?0 zN6ehJ(z*&cVu9b>r{7wqGf{n|Qiwyr8iDR>oE+05UzQ}Wv@R)+v_AXmR$*&HfyrvQ z7&!%%-$$-*WjvG-X12<}!Q)$J-zp}Si)->^oS0f}8obQ6Z4lTh_+FPy!07X)*Io>X z3E?I&YzhmWy*y{iaHvu8WdLKtgxl(Nq5s*HH*YfJ_{%@(>7x6){xg`ZydC{T-shQT zzxKc8i+vRZJL@N$oh*C(7yDw_iwm6oGt6FYz3LNxU)qvnfk*Nwr;n`v`qweXY1`Hv z_9wn|_G$lSzSxsj5Ls_9TitfuOMW-&M~<w29nJj9Le9$fl({4eoRUvCT(Z9O&%qll zCE0@Y6W$8ThksySEP3<6r@sof)vxFFFPiX4@Ab0Ccx$aY{C#Oxn^%2nPMQBh%IRBk z{t};UarQgjbnFs)&3?yYZpqTR4`#}5W0%Qq2|IGh^w+_&KG#+|*k`N}>0I@hd9`FL zyVK|9&csXkv2_C574PYu;y3!7dR+A9!Gv&=T{89up1nTg=H*dwd+*QZZ8l4n9qV@A zdoeEbhvO&iE|)Foo(>#!ANV7um*h1+zrO2;XU-vuuN~=ovV$~ltP^>jF#Sf@ly?bB zGnQFPM;FWIWHdG;E5zB%)sR0c81vHi{mO0p66*!t@fye4uBiRcaq8H2?sXxjUjFI$ zBEq+KZ{Lxi&3S_UF3Cb3Mdxl_PCrpHS(E$U{*bELg!q59?=^!<oBzGM`Z9UiEK}p( zzDrVnYZiqU6@O7EF?oFA&-5L<3mPh2yfdC}`BVOlRr_n>(g%_!83VkTSwt8>;8bjC z)Z+LmmQpE31`y_D;ABWFP07p;D9TUPD=tY44Pj+quF*b`uCZ1B?}C}Tm>8zWviZ$9 zHBH%5z~bl}6Sl6IC%f{DE`<xk_3T-t%zOOJ>z3SaU%hJg2F0(x;(Cp3*0;u~?50+{ zGP4eCl4&e5X>zbQbBkeV-rZ-PSH-qmamk7GUaRt1o=HiHBcHc<JKMo%=Lc&UKV17z zp8a9B>6g1wKk|61rM~R@6mZwt_>Q&fJ6Wag=O$FUw^h5J*em?xUgyJmj79JN>?p6f zV{Q9RR^pwk-1l>R)$YglPJVpvVBz~c1@G_dD9^cLZTe1D{GF`S_j5hf%Ui46kL^8t zXs__&dyR$f*A%?pv!guZ#pBzTf6tmyuKw_@XL<RgyK_Uz)i2)lEG{Z6$d8|V=hlsD zSN5qbT{w5<)LLI9X<=^W-?P3voqA>Jk*Qn0ntEz$YIAD8)H?YpJ1A7>DvxVNjjNPW z^8^LM8r7)9F?RxW->ndNry*7@!c~5y%i8Ox?9@knPKEAscP#d~6S%Nk<b`bLqduiV z_u6}b^U6b>%O0(C@7=N3?7hZWYpu_HhxRPid#`cYdex`CMSB*jz1KKtt@Wv|Y0qN0 z_ZoubAsyDIKK5npSuF8>#ZhanBeF{?+*R)dc9dUvD4Sa0E_yGprToeRS=9=6)_Z{s z<yY>@J}r0udN;79{K`GqrPJHX)4!Xpde^sT_u^;oG<I5Rz3Xe*z4+ccjqTQ}-u5N! zUVQDH##U>sw|!3CR^3M3THQ*&rA)<C#YDwe#lDI@{W^7J>uk?sXOA2@EAlw4snB?B zf$`oQGedUFjJe~Zo3~6PPfg5Rn9KZf*KE(DXQw<$b1F2RyJM!$9iN5f!Y|H-JW5k4 zG_JkpGtWHu`Pn0t#=Sdcn&qpVovryf?a-c?diiRnXRrK}wrI~xwS2Xcvo$}ZHSL)x zm#-#h9^5he<j1tEJu@ZpmmQtWdF1Sp3S-rKJ{{(lAD&IAFc!V%(_()4!C93GW7c~< z4d$2cpM6qp{PnI+jrrw!XO|qGa=hhu$?=r$Q&;wN_GR{Y_G!M;7M8gzJy|+fTKIPO zGE+6vWhQDS%Z$}F?^qwY!+g8hw)Iy{x0-C(ygqcZ(I&%<>#rJY&|kl9edxMZVWF#M zg&zGCwRhL*u=1_1)&<?YBAJ&ZsN|d?@M)@)3Y&s`P0iA84UNb7WaC#_7VIfFd@MYR zS3KiP#zXDUW4@^mmmS-&(je~@m-*MDzM&77?b@+YH!q9JeCknO*N4m2?O3Umm(?}9 z>(R1#J65XYWxeoa-MdmXPpfnGugYNCm2*S<UU9rq3oTxH)Qd}7CoJH{u1!g<N4>g4 zMYPrTt(y_S8Os=}xzXv->r>CWo_js#dVZ>7z4mPFS=uwTXJ}8457!CT3fBl%3s>2{ z&Sjm$I-7MJ5u6`Oj}|U1Y%NSJWIb|S^yt(hqDQ747CpRPyH&eIyIH$QyD>hTA^cz1 zpRiwHKlZJAv-ZW>Cu<+9y;B>zF?wb6!swaN6Myd#+Rn87)3!(3E`2L)%}vd9%~j21 zef#><&90kXH@R+}x^Z1}<J1kJ8>X%oT|af5XxxeikF>qIMIsh#c%<FcC8FUs<42be zS5(uH=iwsf{mu!_jt?|3Hq_VMzbGWg&&#dOwb7;0MX*tb>F7soFJ&&}Q%YPMB1hM2 zbZT^Hv}?3!w8jT=1hNIP1TqCO>|gX};g5x17JgXxrq=bM^GWA}&O4nq{_YBDcWO6k zS85mfF3QUHl=mp_Qr_0L+NY$tq`aiKq)y!o7crh<Bw{qhP{eS`hV^P%d6Px9b9UW+ zawIqDks0S+Ux)n3LfbhzZ$J5L_HmD|Rle}K+bKtKH&xEgxTiLAJLj|9qRQD3_td6t z=X{!LR5{z@p4!CioKJE;Rm|?#;p=oqt#7;M<J?0PvvuyNDdY(sy{+=m%yEw|U%v3+ z+e<!}Dem!Q%NIU)Tjhfp;~w9CdBXc|FL`hFaJTQbJmI~!Ro<H&-0k}=Pk8t3CGX4@ z?)H6_C%p5v$~&{h-M;togty;b^42VIx9_z);jOn--kLe?_C1#;y!rN$H)e{veUIe{ zZ@jJY#*A^d@4h_Y^|zP2HhZ|scUzwD+S@9pSzf<BRk6PNJJ+K)(f6hw;{@M-{Se3d ze(Q%g&iADs;#l9Ceu!gy|Mh*`-*;Qz$NhX)`abUKJJa`ZAK!g_7x(tv)^~9)-<7_L zd-~4wUEITWU*E>veYf>(+|75TZ{x1MGkqI(@!i)qacAFceG_-`UFn;+qwh@L#2tM1 z^>y6dcUxb_?R;1II&SMb)7Nnu-+g@*xAxuES8*%fO_F>!NvWK3;_j3au}X(ym7eHv z7EM1=Fr8ticikOTyLXf1$~k$;Is12~9FJY}Snp)v^ane<<92xG-BC4rH%Y3zle?U= zcX!IM*h@~GJ?oV_zMpt#n^e&+a!<MC`-um(N)`Pq_mmsHpSW-PsJ#EnUFDkZC+^uU zD(`=CSGnT*iMzH*<^5OgDwljeamQAvy#L6--aWlLdbiZdCQ3(22TFTNJN~vRDto`= z?Si*+-p+VCrS`7kZOPk$w>fV!{@(R@>*KALw;tZQ`D>|rwt6;u_VcXcuU`9I<(qnr z$I7*&qvopQBIV{jfw>A+hBa3i7kzd<-tNaQE3xm@hYL3sE_POL=j&T<rT^m2g^de? zosYM!w=yY7d$DDKvU5A@T#2&MlH&NnCyyT7yR+}nmW^vyF0DQ4Xl<;m{JYCoO^of^ zSJC6EyR*GtYx{}uiJcSe6ZN|qF0)FqOF;6|S2s3A$0UYNU!S%2%Ncz5QMK9Goli!; zX7A3##ckXs`|h2|aAe~)+;{Il#KTrDy?yt#cr>zdY45wYVuxp8^P^`+dOO#fFEn3Z zuA1j@r{-S8p}jk|)~-#A4)%8b9aWqb=4SS7tJ@m2HEe61tv>cT+xL3x;nM3lcTJ<p zeXqqfmtK##YZ_MWdo|X%^zxa4%OX27JMNe`=SfNCNhzB9PMEFMHk<7Pzr1b253j?? z?R_#9`!4M%IPAtNzVFh785f&)#P(e}(y;Lmx9Gl0J2ECRaf|G`v?gF;6C3N<&h;j0 z8BUoFnO+wr{P6l*dAZ`A$y{^Z=f1{|mfhTwX_9HQ|K5(@hwgrVyD#s1?r#0twb$RR zF1x?w_oBPs-|S2KuDe_RX6^BJ+GY1Q{BFAY{q?@M?{9bMU$5Q%ZguJXHNTVYet)$u z?EBhX`d4+059<a$nWk8DnrCNf%8t~QJ4TLqGbQpQ6}F%0k3M!>_wwUujSr_iEIj>Y zM{3C(Bg;HVneAt|x1Z^aK6Xqu`0=#Dhtn1oo<6f9HEKs{${iz}J4S|ik`mj`aBV-+ z9qrZ{ee9_2<ww&zA5KdwJiVac^qL*1A$N>4^CU&KpXrP~c0^aW>U6_BBh@@fq3vg$ z>preLU2@N8&h|4M(P_bMpI4vmxSjgB?BbqSqkQo*x1WA0+qfrID_{K7?bJ_Y6Zga_ z<%^%V{q$p*VBzkT9kDX`(~sS5{a6;cC)VMPUe|W-M{k=dcFWw;YuoPq@U2tDZk~I3 zP20U6yk)A`{pYS;-FEN$Zx5C4esfo^YP<Knw@u}{@7&cZ+wOh$tyB5#Gk5ihwtL@s z%T&I5&t1K|?cTTF9xB_t=B{4WcJEtno62_2xvQ79-TUTSr?TBWclDCCd*67=RJOb3 zu3p@B@9S?5mF~{Ds~5H1``X*4(%mt4^}@D$Uw!LTy8TSSc9EUY9d~q{--$`S6H_er zp0HcBO-v!aJ77n5*d14`cZ)>I1-o{?I1-!kNRMl8x5N8ILgj*;yI*|P`?ROq>b=sr z-5Ez>w^WK}-gBK<F8D0Aq*6Tcp6k?d!Kbk%mExZFTql+bK8gKOA>O&8+v$#LUwPo; z*drC<y7yca-YFg3t?^OMX-_xbd!@s>SA5V@+SASUUg_X&jSqTEd%FL<Q`*0K#e2O+ zySu-=Q`);*<GtRY-QDlrDed09;+@{2-QCaLDec^?@lLO4clW(_O51m@c&nGRyZhQZ zrLDU)-s(B+?mqWUY4h$CZ}gP3rL={#xwM&nM|}x>5_%={Na&W(C88msE?-46+LT<{ zPJ9sc@R%Uc@aG5Dlf~>jHf1%emnJeF=QiKRbaTZ+re4YT#;6Ggop>bT8^bCXmoQD> zULY3V7{rjo*ur#yOF=ZgG3btiM&1Hp^9h~O8eiC$_BMLmaZt%ya9;YvXSP>+8ZGh{ z2%2Ab#=E4FIrW~y4D$<5c~dHxL+?3EF~9JHSEZ8K^`657^9zr8RUWZ%6*61ibLce} zc+9({g1K==qe%XOBhnln*{t?7^5ic#B;E0WO=?dgOC!_%tP{IUAKaCCm&aSSy=_-C z^WC+H@2)A7XLsy2J#bg*ULJ4W_O@-&%(vGjzP+}fEZbwZ>4Ljb=kj>dwzqAHX1=*L z@y#`bvTTmsrVV$c_T}-$ZEsr_&3t`r;_GV*O0!SwGEKNEwJwi0Y<t_PXy&VTjSt@q ze)3MS=sVBO>XaSTEq81k-_4VFC#O(;zJK@e<99DVe%JW$-NVA~Z+29d+_ANMCnr;W zp1b^f@9yKr?gl@8SNQPV!ou%oc2r00s7|?Kt8>TJ@SU7Q`FXDL^WD4MTX!EndiV09 zcb*U5B^G{PQ1E@tj_Qy*wwmwcM9R;1?mm9xu5i`&hHq1TJY3Aq6F;%y&4r1~$HSM1 z=iONG@KCsll<|Zphe?e`4uvm~@GzJV=1|qR<WRVZxOq^wv}UVx=h5DlL%mxbxdjy} zFWoUQ;EsoSo{F$}(2HJ{D&?s=CVJfQm~TGmdGD1<<-&U&%6Uu9N_&2GJGEz`LH?4{ z(kDN;t=cnDBY(+BY0pn?U3(@f<S#ic?RlhE<dIv^o{3WVD#xTVKe{m$Dr?^J=rk94 z)LT-aEP2nP)m-RduStb6=RJ=`bD;;lU&@t#-u0+87rNiOrCj;tU5`q0p?ke0<-(CF zyH~8!Shr%W#@h9Pk%19`;ela+q4A4XEJ$n6Vsd54IuWiRxuC^?wXutl>qPhp`2f2G zRSpLkjy~4D!lxk{V7Z{cVebdgS8P*wS4aiuIb8g~Wy-vYRYNjB$KmJ?E>`9&))nFb zS`IsZa9w56V$~1}&~RA$gKH_17OM!?3gG~?eNL@TjZRY=lo-GM6g?%pO1Mini{;9* za1GxTJ{mqNyfsd*511M-C17&Eq=1R>3l$d1Ep%~cZ7BM~b(P~N$5swjCNDv)jXgO& zZ?uCYZcGoq9<H`dcdd@vn)N>6K4CtgJ|V_6v06?k9Xw9^^jJF?Pw>mfPp>*~Ai2G7 zy*H#Ce|@Qx-h~+#4_#N4)B#mR*O!WG?Z}vT=(?(y#+rbMk6sIP39C6d?$?{D@bTr% zpQW9}N0y~MyRLfXwCd@lr&Ld^_nz!M$$O&r1n>U%&Nq`fe9m~EUVp{wl;_D4>qAbs z3o6R&50aJ?chsm`s&+5r$n2_$VBY+!hrX(NRyLc5eptqKFXX`NU**An^Rn*yKHa^t z+C23AvS)Wg_ROv-4}P1Mb=P<4?v=&nq3@O*yBo4&_OG(wyLnl+eN%U@%r*~wyKLFr zkS(*T%7V}4W!>~u-Muo|JoL@7w!0x4eoz1P^y}B@x4uq&60~z)(DuAl*L=_JS{Y&f z^%a}d$@88yev2Ne*s4FYJbBKu#BWlu(45J4<{WvZVyZ5=(L|r~l4+BS+zzG2ASOpC z1{OwBvm4w8xYeaS%<E!yFiLAShgeQ|^x$MeOG?U;Be#}hUsXIBrM=kcn#2+_uOHV$ zmzXcncgbFps5T+cC9`khP9x4Q5sl#-Gc^}99he~eM&iJIc1e{7hD;JV6OtKImD6S@ z8`K?O_h-BO;`$5yUcaT+H>$)tvN6nHILSRp@txMpVy@+p-6?HC7bdL|67Xwu>FAtt zB*AwszgrHYz=zTXTV{n>4&sdmm|TPw6fo3DaO`am<?QA$xT5gBIY8inYJ#77^NU^U zf>xUBa+BX0D7#|Cq>>d)Yx=f&+)=UqB$ARa-(<mM$*4Ut0f~#xyjv&iV$j4sS7{;N zlmm=&l>{dgFwJFn(V@Vs-t&P`R=DRtqrzOFiUTdIFGNZYFk3NR;AG^K<!EHr3OK~6 z*3-Z&@*^Q7mqA6A;R8#93IhwH13N?ck-j}F+Qw%L4)gSfF}`oQR4%^Hf2Bpm_rgUJ zfBQ|AzI@BnV5)9o?BPpndP{l3uP-oJ^6IhFDvK3<#_JkieR*W<rM)khb*WkJ4z?^| z->2m>RHo-itxxRq{AlfC-g5oGmGb6t-cYq&?*nGu+IM)$x4EiPo8D`9Hij<Uv~FQk z%Py8DQY*ZzR_Vn!i?W5DJZc&+HDE<sob%MpS&_9%^n!TzPiCp$6}<49kIjR_@oui@ zJ7#{LOBd|sHg!pLf9g6F)U_yR(!yVhcP*}3Y_*tc@vTLx7D>JOIcwz-ttn|wvzN_N zh&O(E<;Sd*%Z^<4F%;aFmi6+zX|UH-s~y+(tl7)f^=9R3lYp@0fl8ldX@#E1UU5Z! zedDQ*v(%;67bZP4_0^5r{H5uUsn>$_EBEzO@CHB4ou-n%_)EoXt;e}5I<|Xq?cx3E z$^WX8?-eKCE6um2-U0q;>jRsrst#85UJ|~VyUHog`ETKD6%DENl~E5({WSM1dwC># zhS#37%p=)TbmD_+t7?3O&OFLp;orKx@mKX(;d|M0p2b~0@=Z!@^L3rty~|#;e4VAL zv_0@w;q0uw+X1<E)HvH(ZwJhc+T+G~G<((j^$X2X_qk<$GQBFWexZ@-KDW#drdRh} z519TYZZXUES*vbkukcD<ANZ)sck9YkT1&W`zp_o~Q5W~qoaJGVspqR@878>0aed{* z>a!vtchi^6dthh$bj!DyE7dj|=q=M;s^sNZ6;d3M9g-Rn9}*c77~)+JX1IFt@|jtJ zA7^T=IC|N~R(4+6DbEik!M?T2XC@u8K6~ZToy=uZ?)Vvp`dXhAnfk^ge)&wVtc$;T zE(u-DT;<|-nUQJ7k%C#88mbag3N&^uIMOtU%S%IJ?hl8~BkW$vb^*KoICutW9%1)V zunREy<DmJ0S#=-(ly`OklYTp_e9Nr5g@4L1yMRwYr+ztfzG4<MOPKhuoa@!`K564s z2CH;eX*t=ho^|z<)|2;Ba`&7O33>GXitxktQ!d|sA2Rvgdy(L+eDBJ=GT)Z3%KB#Q z%KLk+*Q%nwVe9prLq9pR@J4j~@zZ5}Iq|WPX-dqpRZd)*PM#GBwx-&O(!%n=vN22{ zw^rW^41Xf0?8NEesnn6cDC8u-B&2ttQOL#PRs*N#35OP8s|AdFH);(WoH(|<yVS@o zmD1oOoU$^!T(ObWO`wrk^Z^5VkR1PJ5vP?O^{xoJJ!s^e5zsjK=<b9EOv(!!IF$md z0}iqItYGMR6t8E%#MMy%R-k-OF0X)raZQ`Q=cmn{t@fG=D;s=2{r0>U>Gq)U<(<f7 z3+~)A`Z~q!+q9L-)^GPQRL$I*nx(n&m2R+?bHkq0S1re*m#xyju*17YSUf*6s!&|@ z!tM~u_f3;3i++k}JxZM9BH(!RoxxRMtAIw~P1}2FtwO)<Vr^tli`pJJ-$*fZFI(4% z>=_H1_OQJ=c|6<ig#)M390MknJr{fq$bGChEAsUPtJL(Baz`zS92*5Y5>$_-u2Pv| z^51E#-@m25eu%#k?AX6Rgz4SQqZ{YSrfF$1CACN1vg#4Kmbl72)Yr)1Ys8_2Pn<&8 zu5uhp^jXos5fry!N32od>MNDeM`aE&P3+qqs(d7R6^Clefs709_0&5aFbjelp<Z6T z@{sT4dzVzcO<yH=Jzggvsibt8=5i&+swXP~RUWf;pSD@KqvvwxX_LyhHqXyS-jk;X z29;Rl2&KG=J`_A_^{&9~OM1mkJYgsFjGI`+5*q98&I)K`jd&2XJ^0F^Rf^MB{#qdP zaK{-Y7lS5A13TlRR~{J$du!PlFBN(9bEf91XX(o(Y1uDUd1QR`n40UdgG=pH_h`wQ zzB87Z;NZkz(~-a;l2G~kOi1Wjo;9mVB^Rv{tZ--+_F8a&YvSpIh8BS%1v9jGRC5X% z1R@SFDKEKW(bGHs%8!#%CiRHx1pHy+`eN>HFn539r9ZxtFFnbhF-4(%^O7w;q*a$5 zx*wAGr_TAPwO<g=-NF@Kx%&fye*2cbF~6d9J%5#R*#3n<sXu(TwsWXUD6&X2R6aD9 zP*7wsIk!mUvCdVIbDs{f%gmT#!OiBu!PvRoAa`GC=O<mS3HdAa_OUW56%;VA<QOa! zl-lgnn$O9|sn!wT#OW6xY0bmt!O=KDoYhaD(d4yOu$NPaqY=yB8->%CN|y%)Wj)lp zx{9kjk}IiDTvc$vj2$534?YhyP+;QoFkf%PDw-lGBKbsONd(7=E#)&;D(qgcLt)zj z<Bg|TN?8*b&oZd6oM4~8ry%X1%dnPV$|0|=<7*~VoauU&9Ht{5Ja-R|sprX$MxicC z9ahB!mGX7HU3uo@YR(s3nI|UktIAxJydt{7UL!(*MefIk=0!&$r!1S7J~QjmnwA_N zl|Q?=L+-`Sd-m=TOS!n(w%s~&@49lbzR~lEu8;h+@mIId#Qh=3Ke(qJkDoLvDDO|J z=P^*Z6cQNV{C-l%Bfa2&IsG%M4%M8Qa`{Q}vRN)RX<eBg4THVgo4o95z3eLNibLhM zukw$&+P>!1=g_YgSN#eJZD&~dX~n*RnJY!5`e!zE70z6#E<Sx`QB>i~m1@G%XD0pH zbLPsWXQ|7k=;#}V_U<_&a`mH8*YufQT@DL_Sl&EH4P%nu{H0uK>AuS$-~F6L7qU#> zbJ^ss=~dISf0ubWOH6LlSL2QKk=i!HB;{`#XWLR)Z_8<M5t}~FUOG*5ex%n&>7~N^ zSGN6gTX`gZN|b{AW|c?gUVZyl8vSEClD|r~a(?8l-@QS1^H&w`UwG_q+pSMuXD(fL zUnBSLvRPkeOGxqGn74YG?0n0G%%Ty2jIG;4g7++CmYI{lBJ8o@0B7fRAIsh!r$a73 zjbAoH<-gHZkw^N$UJCz=LQVgi7BT&xAM9CEztHOH-=)94iDwDlieHsjztAZ6@6uDv zTU}gUx|_03Jr?if5&7`wa=8uGS~ag8Y3kNFxjr=4_NmE>dgl_iV%L?5MRG@leRV~d z-UWX2GjW}39dQ13XVir^eYYAfo!GafY*ib>!;eh85_(}Xe%xs}RI{pNQchJ$QA|{b z*BYs1JaTEA0V~(9a^Jgn)rF%Q=XRF9OgO7>q@7P%cg+MRt_$aUPPw}saolgS%Y3WR zhP5)<N`?m-4zr4dI6P$O6r4Uu(LsXk)7_I>7xq@BJ`6bdA^CW(q(RgQj;~kNwB#)D zwBZ+4yexmYSMIW}>}6h=%V(u7_ex&&y>W^6`lYj1gC;Ij@V1>+q;oZ5(!wuJovlJ# zONG4Vc+K#d?4`7-`|9CU30v1^HHUAVx%$?Q(A-Na%u+&T>#yn+ySl8)s)eiNcy-(Y z!NW(ngy+xpoHntqtF@uJtS~1%DR%!#t%Fx${w|AZ(RyO#>9EfD>5<2*Qid^W3_ey) z&srv&Dy$ORvD9Vh!Bz61@AcHn%Oe+A_XXW4T$OixVON0DnOlWZF6;}|{mnPk?TvNl z48{GCT%Tl@%HLmk=!2~6{e_2i{5UJr{a$0`55KQR%F`V73u{))TDt$c#>c(OXN4OF zf1kJGe?aEGbDx)dm0vz{)qfM-pZvlT{_A}G(SND$e@LNT-~Slt@}re02J=6Q{FGaM z?7NBVzIM(Jw%(QH>z2K>^-BA{vgz;hw7>0M%!ex%6#aRAvh}~k(I5W7-Tw`i{x~nh z*ZDudlz+++lS&0undAQ*PAbT~Sg9pkp|E^a&%MT3tBi`-lUAMDAe*sLE4WNy>8hUV zjjKXuu4WEg?aVhN<ZIyqExx}04p%KM1aq?&H5D#U6K79ydg$P-!M7yjkwfS?=0Icq zDN}+g7f1-!KcARc&3ENy<-9}Z9&ag~w@hNyX9HHt^FqA+pEWc!H-3;?71aG*MRQ?A zzYuTdXNSyv&oe*S`t`lfbgJPGeo#H_%e}=W-{)!Fu3izk<-1Dg$$P;|Us~~pT&td! zu<G7pmnXKqj`t2fd2H)#b#HOXW815e?^jlKew;T;?ES*70H(8DpB?7zI3L{k+oJH^ za?Q_nK2yI(3Khz$9{nAX&-0%7>-YKUd;VJ-{kz=rt-Vk3|Hwzb`Y(B3tzYHz&-w4i z`6_b%7v9>zUz&Ebe1X&Z&qpfd)ldI6vAx&c`O(h1^LyYf%{~4>U*FqboxS(B!_~jf zU%s{X%lw}y^_xHVdi}JRb^jK-Jh2Z|_`mSo4}YP)-v4*b>^RSP>aov17tJG`RT)u_ za=agPK8jkE!!0#6ccm3~C=0I%Lt^g2s#84nl0~wTbw*piJa!89veq*R-CMX&^HmSG zuj<?jj6yCBP8@a{m>)aYYyQdbJlel(niIdt(kYKC7pz>R_Vtr{aL7sKza2j=h6M5P zo}8B&X(Pa<(N%xZfmvYAkI9-EhYtJL{*_HT<@w$q*tfKOW>QnMvGth{(>DgenlbG& zqmp)3otY9eQNebFnY&l-VTZF7Ne44q)x>>t)^x>JKf2!Z@7DSIs(z|xU#U+0WbCQb zaiCFf!;KT?3ldnab^U1F)E)3m;1rkBO*@Tl_SEMK)<{qBt=^R4|Lyh3EZdu_mQPjm z*LIi}R$;St&x0*ahci{%E_ZP+pDOC7tu`mjZf=;_+%TQlVM?>ZWM+qn%nsw49mX&_ z?AxrcH?zVXWrW>0=e)V7Om3Fw#?Kell+0~UV|{I7qGdd%-OcqxWkyI1h;h6!BP3@| zyW7&%&lgs#DUg$zDss+w@uWvKCRz)gFARKX!{6)mtTH1+<Gl0YPZe@fqQ^d8SfMbl z-7WQljfqy>^M!#Yt@(So?p9`m+&kyIcu|?0)YMI%FRW;o+wPVMvM%iTLQlmaxhyZ9 zD<TprG%Z$W>IDQxELgU}!Pl|z*^$Z_9tOP$yHX~la2;f6IyphJpfg|dX`ZHQp61eA zP1an^)Ev#D*_x`}nyp@*Y)j?6tf%B@oDFDNcm>qCiu$@HD@=R!gsaE0l%`Jj<?ecM z@uDk%fe%(_WQT|tYk5gruU<AQVBJ0AqajahgS{Q^885x^*f#j8%=?*(u3f3%-|BX( zdfA+~Iq9o9k5(?55iln`Yvqy3Wm7E98;2gXIWJQB$tKuSaE8|+!NPgVgpd99QFT>d zQJJIABxK-lh+REqK?_fZLkquH!QzVfnoAGe_X%aJH+HqX`TK0=-TWzfcS=`fU)g-W z!+}Mm<p7h|fdfp+69kw9?IIX>ZssqWv+teJ)g`6VHJ43ZwcAIRcjxITLKAlTgjU{3 z?fP{ncG)Dqj612U%SC2|7|f0MbY@9QO#_F#jzg-{Bf}o!uKP1B|D5A_SiY(;bpNY+ zrXkBSudI|TwQ`%=6nl8fYpE4V9SqD*%x$NXg%rj#_x5Ic2syGyOcve0v4Pc1z_FQi zOTxTmL7tnkK6NBt`XMjuDkZ$<^BK#Xrb`Vc>dtJ5J#pl8%4wGF<CSw}q{P{zw+3ej zt51G<>9KfW-Vga#|I6l;EAHeD%;YPZH@7%8<9V3)t%-a)FZ6vm-deWAN^gsD=|d-L z1HC0joKCuI-K@2DjhEu;OGj62*`W1xwb%PqK|5BNtkn`-?X|sZNr<@ACz0mhel=Mi z^Jy6xM_24x@X00chX8*UziOuflkb*KHUXhCS3E3cnEz$Yi&?j3oSC*SZ&Bp;K&_xq zCa2I#6PG+Wbe8L}oz6TTi&C>#BS$@5Ezz*QtCXfRY<~5ZJ$>u%^Jlfn<>y>|Yj3go zR((O(=Kl}YB>g`ax%Xf5=Cr@;=}Uj{pPTUi!IUE(<mU*<{Xh6I<glmPWiB7xX#pBj zYl2F>+-y3cf;@Ev9d!ejOyddi{;@)}^oo#q)=9~&Em4PDbr0?mC~Z4lUXo|y;eM>S zB*w-={b+NEgZz@mKNaSlWq%oCw?b;A@FPc0r4ELrKU`isx!!VIW&4q3DPgROvs43J zH4=}9h<gb+wn)m{w{+xiGH_yb@ep!s5%!U2<nZ|MfJN9XfkDtD!oi2@c;ZLlrNZ`J zLXIp#ei9E`SX&ZU)O#K<Db;LX5cK=tz~=Fyf!)R60F%w13ljvQgwD%PbZ8RtlTc() zsX4%`-7?+qAhV*yLT0%Y4qUvQGgNO{FKpp6QD9MxIna`((yA(GeOjE~`%<Y0+nOkU z&4rRb6FoQdJxaOi;&e15WhIM}hvX;mr9vGFt|#K%HZ*XA)XB6lD9s396kPGa!iU{Y zz>y=QL`IESLPL?o|D!0+1OcWChZiI;NLNHDIkKqrJYd$E+debsRLz-?sh<pkuN*(_ zqw3o5(a@`-e<hpN%h0zgiu)IK1vGI4dkR{BntGkpK2zW7g1QPy0p=E#)!rOl9|{h! zdKfr*F*8^@m5Qp1nXGK!Wc612(LIUP!=RC+Ua*lxWJ<yz4%ImUPV8PAOc*xERrGLJ zJ!lm6lVD`kDUf2g!5P!K>k`8Oy9es(JqMU&H5^&^rzA8A_7pfVGf0<+@%@QWV^Qk} zXcUafU`R0iqm;nH{oxK9qf*X+7Ont?7OtKHPRtCei)RY@NgQNS5?IJ2CgIq^)g$1{ z%+Osd&1=H&@R^#lj03|m#)hpsPjV`Ox~CNx4s0v}j?K&l3e2KX3=y;TYXtVrn$$CI zg=eVj(pQ3^&lxxr7?@2m4zO|jP+&9>`JgaiuY}BkB8{e3hKy>Vt$I4_4U9ro4ovJ* zR!LQ`i5V<x5ezuMa#2H;Ehm9NcEyqvYD-tBukzcvs<=szXF)Qfkll?CPodHT*1-O0 zt30o2^t0<2FmZY@D0)o}>CKAjS`<_|V^YtQNj)=Gd4^6G11*%&)>KZEFi2nw<g;jC z=i>-^`AC<w&|5S=^O3IWo~7;EuY8y$b}#5qv{i*SYkuYfUDiEI>$hKdKkeJypncK1 z%DunlW!}?0x_fE)_ABqEUAr5!E842u`)OY09o^R5OY^s1c{^>}-JosJyUM(;=4IZ} zb=|!*efyO+)27`G+7xY7=6y6T^M)?#?xpeDue_dCb~k8U^sZ9xt$CT(bdT;@8ovF? zt7&0(gH~Bv9hTKCa__uzQL#Lv&06b8AKT8whIaxL%D)_!{aWZ=eJ4=jokqX)uE%|^ zb}Y7hr_pEq>yYf;LigM|7p2Otv|4*T?mM?*vF@Ef$w0AvRjs%6^Hvw!KKfQ{d+?64 zkIcBXhu;Y+v_6_EvfclVS)uh8vlnlLwtL?Bx94r;?$2h=-wJLw&(FShZclIJ?@!yF zzCCq&%69d9?|XfFcq@N@+}3ehG0*y5-k!4+)gR3|Zg*`L&R4!?w<ot^_Xo3wZx7yX z+RmKMeDB}xx8=Lvo85oA_jc9x&v}pU?%SPPzWbfo-M2e$7j1u>cld7I?zd&T-<sWi zyY+U~_RD#T@7nFoE!+LZ?B?5zx0CpOuL>@Iec`TE@%FwuYlYdrKfCrVv_02Xm-p@J zb2B3)Z{7%(-3VH?v)(E;CORrIzH~#$x{@^|t4dbvdv+$E<%EFbzGobqLd+B7<BMy) zG&COXTW@Jq^q}J5vFkF@rZEc)A7}e<8%1<5KF&VJr5{po@lm!Pmrg*$!bjPDT{0q; z>P0?JTI$X+p4FV_tn}RYxbgDO&)yz;yX<Y-+t+7r_1^Nm#e3`Q&Fj*eXK#|;G<&1; z#`Wfj<_YHU=5gk+@%cOQw&iWf+myFq-@TZ-5qCrG2HZUJ;p(yuUcuRlW=1zSer5?D zQDc)<Ftg98soDB6k-3?df3~cd)xKL#HXKa++;o;#S~@;A>&A`*=fi1jzTEM-DK|DG z2p?u^JIfWH8+T(x!sA2BT79|Vb0cmnNZ5SHt<|?{y_rUi-;Eg?IJYwX%?du$)^c`v z?{eSg;nIGy1<hug%`%&5HX}asLEfzGvyZ)9mbp9gM&7Kgv)$e<^W2?zA#c{^*~i{2 z)7+hTB5&5l*=}!^aqiAMkT+}n>|?K&z1)?#BX8E)*>10wo!phVA#c{|*~eZjTe&N9 z#k-l3?`A4}=b2cYcEVQqu&wfwd7MS(j})9|*qL5;$Jp-OOu6qoJl}cxtJ99#E`B`k zWa0S-JJREJr03l+HhVWy>U$6Ocb?wrv}3lH57{a|o|pJ=UT5L?13S{gcBIGMG1j_c ztoLrF*mobU?>ybrY^~L4M{O5Bn&(+~e!-4(zdOci?`B@8X8STvv+(?c9qD%OCC^tc z`#kUAo^-c6#<RcoJo9-LKI5#k+vm2EdlC)uXPlNk_Ni^<o<xoO87HOPKDBl3NmR(6 zaa`K%2(R#?w!%G$Quz|cq|-jOF%}-yxM$F5&hv=3xZ<$HJ%d(ro`<}~6^A+Q88n*n zJmCFYe)z{-gIaT*`@EaW55Kr;P-)I{kGHt|@Qu3$rRF?$d5y~tpSWvKXwGwo_jB3d z9d`|K&3SI~ZZ12#;;uoaInOQL;<Cdt?i!?;^W5Y$E<4<D*C5fH=LYZR(!&*Z4PwoC zuJdj#J)CjZAkv)Y8gFsw;fT8iq2@eS{~8_s8}y`3v1mWf&iIra@hx}s9p6otcqgu4 zzfW%Mj{cB4?waqEMZRC`{C(m`tw@!8<2`rPcgjNFFFvn*QYl}0&wbAKiygl+KHFW| z({J=%`ONPZpX@g6>DPL%eCl_`C%Z{|`jy@*pZNXaqn%KpeCv*WnfHs2{qFc^7qzF~ z;f{OP_rOQBEfw;z_uSjQ2P!^XknrHT@&Wt(cKg=5S65Y5l*jkKdG+GilYMeW4}#W@ z*d~G3k5rYErG%Bal$m_{>hZ>oYj^*FcZ=8mKJnV_)vo>>?-sB9?eSXU_3H_DZ9~fW zFWz-7{yyQ3tw=fl!Mo1+-zVI*y;8=%@vd|B_X)Rbr<Cz8yz89)eZo!KkTU*>cb${J zPq<+#QpVqS*E#<CgzL6fO8E=#I!Aw>aLsl~DSzT!=kV_nuG)r_@(12^GQQ*Fny09= z{lbK3kG5!!6S^W##JV1eF%@zD-O*Ze$H_KNQ6f)KZu^D4XpiH%Qyz;QD&*b^S){^s zaYt*~9Vgv8PDXi(VtETCwhQz`XS7DYII6qkknWR5Vp)aUOAEL+?r06z(HeHgNh@!m z$aaCQ=oc-W0T+bgTRrYL&3Bp?U;p9V{N2Bgzx%gxcm0cZ^LPGsfA_C*cm0ER^SA## z{`Ozy?)n?==5PJ&{`Q~e?)nSw=5PLe{LMej-SsEl&ENRj{mnnl-Sr3F&0qif`0IZ! zch&EBH-GJK_t*bU?yBGLZvN`u$6x(hxvPFf-h9ct`AXaQC*Dp!@mBfpTjeL;IE#KC zDfrE>v$pPzon791x$XQs+xh!%ryqa2`0=-sg})!{sEylEn|H^~EN{Nl_I~c|{Jpo+ zkG;Ko=&kbOZ;21Tbr$|Uu%k9?M{V34JFPo*dU^B3w)=B!=kLDF-g-Oz=-b7QzIhh@ zUa+Iq?~a{X-uw%<*}r_#Ec`uT$Cl&o-@V*j{o~!dy}RAtzdO0R`op_<yLTUd_ip9x z>KE_k?cDAD?p^2Z>Id)UZQp(T?Yqp~)i>VF+q&ER?K{ui)fe8)+r0bun|GSKt53X} zw{f@on|GYMs}H=Jw|@8W*Y95Ls^0N#-rC*nuiu^ARlVWeyw$spzk0WFSM`d#d6Iea zl+5`j&Q3pZR{8K*<tNWLi#{JI_{^}gvhI$JUEVx7bABFk{{GqN$ImW){On}m=Lb6~ z<91Z$-LWytn<r)7&uz}%J3IZ@*~^E{DnEXf`0!b0;pYQ8D#LbE#@(?2O$#%1ueZ^- zW22oXCu;7`B_|SZUT{}>+dJN<?`><UnXm1A`08FlY5s~`<^gwujPC@w=4mQzzcL}( zt1a5=gs$k5X<ZMeF%^0L-LbUhPLOS$rbM2m-1aMd(O$=Or#_x`sL*?Ff%n}VOLOi7 zndWJV=V?l9ztR)Esx{i{nC{U-x}uM#H5GcVE%4sEV`<2ar7?Gcbn{k<<Y|g+7vb7| zr7PO&sP5E9)0_&u=k8eQb0=uwc99plp^v616?)g+3!1k*<hkzAO7GqsOU?2%&qix~ zo_1)@QoVf5)6uIwO<S~Qsan3~$!M)l)0*}ymCM%@+#b>qed^=1tUXI5@>d>><~pLg zw8C4}OR=t0Y4_Y?dFmT)YrZLC+C6t)p8ERRD_@sA+BJ7up8DF`ny<?a?V7tTPkr_6 zm9NSc?V6poV|LdaUzc~w6w4>`luw?pTl2(Sk;8Xcp5*;1H2=9{cGVqUgFC)9@0Q7y zPwv~TdHin6<GiYedAkbDZ|<0#b;nolj<3nPWs>E=+~t#dc6YY!);xBX<#Arr!@R0O z^OXhWJ9o^Ey5p;P$5-c_n#j9lqUDpjc55EJ+wv&Ss?dDqj@eG{)h_H_dE~Ckqr6{x zX4|}9c5Zj)=RB!G^W1yBv&w^?-EFBfkG<zRtvvYYU6)F8-+R83%7dTWWvMi`z2~bm z%PFBQbk~+OOXmDpr6S4sQ{&O<Adcg1$BwS|QV<aq<lnC)DJI0nRTs*|^5^sG->Z&n zUp~G4d+5t^>-zHEt)3Jkb7%JL@TF$kOt)@X@0F3BmYNbj_1KX^2lnmLsw^$c&8!VQ z6?7<QSJ0-QRliobl!mA8Snc{wYvOLJC+kirsCe)=><g>^@q&^0^t3QB?rY)Gw8gZi zX^E|p+;<DKfJouVYF<!V$8O&(IfD;37Cv5mw()VRwAsE}Qrk-(ZZpZVe!NZhPS~;B z$Q`*ow-4=j%eB3>Fj_S4^`mXNd9x4YM&1!?y}fS7+OFHncIbB9p0{Id=k2z8VqdnY z=6OHbHh=rqJ-N?wE%U7(ZJWLQ>Ym(ZxrX`GmC>hfhuxd@Y1`!OQ}^UP$yLn%T@fv4 z)>68qciP9*v0D_@Eb`sb@h#w~_fhYq-mR}!pPJS+&1)Lhv{P5ZMYX4DiLRO=8Prwd zt2oI>#kEaDQPXkXvLh3iRGzwb^-qzD4^HrSIB}D*tGid<dQFR<IFFqZla!YpyDlOg zl(FQDN2a3dvFjpYK}kyvctk2bJ$hY4G$>}t77tIw)}z-&M1sPWtnl!h*z_p-RHulr z=0eQ{nyUMjP4M{X^U>#}&%-~njFeK1U5!<ZSwCl=O6^MZO65vD_2jz9$tfp9PE0v2 zA{Za+w8UbW$ufgwI?Ea?HvXy#Ds?h-7F3E~?wleZq|&xNSi!#LOT)w0Q;ze=S{BsI z-Dj}ZaI4{3!_tSZryR4LTj(FXW4X~gP44d|$81{*{lj-G*MGN?>-&|Xwz-A=!8?}g zywl|RUUJknw9r5JPO!-LFGp-m3;mtnYre46E%f)ibNPJrt4e?C_nOabWefey->-aT zdv?$A8Q;HrniqBN@~LXCN`LkDE1%f*?pZ$J`<IXNx^^sAe7EwkZSJ1sJ>N?{&THDS zyz9Hk$9YQkE+4MWs_^H2uldkcb`Dov@39?=4c@)rD*tj+HuPcNt{sbY-(_%>PdO^< z`mk@^j>TH<GP<n09`()Ju~_Y0#tT{2y^B@fX>?lus&uz~pYdGw>7K>P?_NBUy}D=d z%yN;>eR1~!Pg_S-x@*3F@kDm&p2ZW(MLzY}-3t^fH#s6(x@U23`IL`+diMea%0oW( zsoe`aVqI0?&ig*&p{(kj#m(g*ANttt1s<^eRqp=xUB-Rcr@I$dmxsLXdv-T)k9AeK z``dRJcV(CEUR+!r@~-dL-M}5zzslV2zRS2Ro4R{(c6rF#zGZg<w^&z|xu1QPaZ^^c zyRX*t#@qF`<F@b4E53Vg*V<C+*Jjt=uD%_%eRW>&T@~XyDz14#O6Dgg%ywy;?Q+6b z=!shALp8>t$$xfu*4$CC%@dNy6OuDO**DwexbLLLY6lA^?<tskXNPCb9Tn3&A@MvR zDf5#(vzN5ab~)yI=#a0_W3|S@$!iKG@7duQvcogxj*4#HB#}HJF>_8X^OIe(U5@%r zdZgx9IC;(vPoFy~3(YxS_y#>vQ!JcZb5CVnIrr7GMu*P^JxNn6+RU>v5|p^u6yhW6 zK$*RNy^eLslLH?Qg-??<@9vd3H%HKNw&kq&VxwY%V!dLWVy*qp0-pIi^LXa+%%SdV z<r%?=!b-;tmw(LWOFoyx*CBIs&XM(&9hU8uZI-Q;E%C){#Vo~4#SF#&_C5RY^vlx^ zPv1O!QG52}>4T?tp5AzR<?mU}(~YMqPZyrf{N41q?s47ay6w8@Z?pTN{G#}x&PDd! zygp}>%%(XTWj4;)u--DkGTt)IGS)ICzIa>FmZD8X8;aKLdlvCD<Y~aeGY!E{wD|<* zC|Vdj;5f+HB;0&<Y41|srMyqWXM0L}&i0V@n0<V`nNp6^4U1bQw+!mGMs8tDT%0jE zqxr|xXBUoLSazZ9LfVJby$gI7@GdwzzxRIlY&&VY*|yTQv-hkwt1v4!D>ExKD~Zp2 zmh&X%QO<*$d;4zfxVh!#hMQ|{uBhGWx!G~E<z~apn!mH0(~Q%U(}dHQzg>NH`q=4Z zr`t}ay<Xir&378_w6jxtuZGXol3q1SGOO!~z|Sb-C2nm}il&ZPNk5{PmppUt?VlxQ zYH;Pl53A<N^D*~~r+xQ%YU^Bi-shh2r0+gYY?&+1+uSo&dN)(xd(UIr%N6Gh?iqJ~ z=jo_+`#8^YPrAVSnTM;7eVC`YC!OQ{%!Ac#ALeoHNoROJbAR=*_w!!vPXF<4=H6<z z_w!EfPXF+3=I-ia@8+%Co&Mt8%$?P4@8)&xPJi%j=Jx7iZ|7z1PQUSP=GJPrxAQ!A zr(bwCb942vH}f=ir=NH?b7QsJn|YkO(+|9xxxV_?>v=DCrSEt*b8WTT>v<=4rEhpQ zb9MExSMyfxN?-BL`fzRco#`iP+wX+8{pQ-4KjHVWf_R1Re+%Q~zrQU^{PFA2<GPoH z`wtZC|F9#z?2f+GyXi9Dx%+-UZT<c9*x#hbbt?<^?<m-RVMl!09ev$9`bO`>#okSq z_|Dz)JGJ%q)1!YE9s2v|QC()?{v`$bH|&TH*byIgM_=pRbdm4eUB90m`J42pj&pCk z!~5w%-?=+~KmA<yaZkL}d+~F>Q;+=JRJlLnp8m}5+|T|NRql_tr$6;O_tU>dmHR#J z=}-L5{p9bbiv1ls;+^j3_kH(%{P$4Bew};z3h%^^{#N~1=eQ@H@4fip-%CH#Dej49 zdoO<Qx9W#F#y#=>-ih!3z4U$E!`<=U-ih!1t@^(1;O_W$@5Fac-?iR7;`_y`wJD|Y zp?BRwwqNAg9ysxK$BA5%CuWl#nh6z2v+nFo+R@u^$IU)ZS$=z9|80)8+a1Sqw>&nx zR4Dy)M{mI$H~l+q=6TA}+XH)VpJ=_^aV*#5v02tbvq^>08w;e5?&wXp<EDMb4K&7} z)VkhHCr?=<Z?Wk1iCwofj^?&JGP5d_p1GsfDPQ@*?G;CIT^^bJ+S6;3zxdqkj?ZRN zh0?kA+-7YLe3si%DII&yZQAz0r@1bb(!TfHCT$N?d9t`eAih^7fAO)~9Usl2_Vha3 zaqHS1_$arfLR$8oTif=)g@O;m7oLmm_$($>$enx7Y1a0DXSyww+_CqZrfm;+s_Rn8 z?R(E@()NHSx-6C4w)dQr@)ingpYT}sN(Hy!J*V#N0v*vFAH}@(v<l=eJRE)EgP4{G zH}mgbT2D&3OYb_xY`<_#cS$LCDrX{REN3KVXszg?SqnKPD#kZMOR{EYN#<z%PC>Rj zpwF7)xa^lhvL%oCHWjj8-O(JgqdDV_qw%{1V(%0r%LTa01A44Ej>&F0B>UwNU(iFo zqC)nqJDP)bG)LTV)VSlQ{Z2vDQPa-WdjGG2z8hE0{CU+}nHlN%^J;pK(Ixgv&p)p2 z=a?^G*Jsyj*ArhWR_pLX<Cn@Wg}SenUktx!eo_1)`RA4L3*i^cFFrqi{Qc_k^X=!; z&%2*jf4`c4-ub!xbN%P?-wmH{DQ`L7Lf&Hj_VspocDZ&rcG-4W@wJy~F4UZ>Ia70L z->(TjdwzEOZ28$x`}OCikDp$Cdid$)uUCtoPJY__wD@WA*Q?7<wP&>{x$Mi5D9WDj zFle5zd4K0@^)JsD_f~q{u~D(JwYJ><sprO(Ge`cMD$b1bwEQ{M-AL$D;F0io0)4$b z-SL$U8fr>%bx#d-RAinA{y8Olg89es$Hy;!pW6QZ+0EURU-IVdna%$G+0NaSZ}R5t zn%(~H+05OQPx9vNn9cs~S>^7^J9+cA&2E4DEOK|{mArXdX0yM2X1TlaOy0arv)kW1 zliXc-ByZk^+3atg{oGZ#CvV=m+3l~N-P~2VC2!uE+3c^M?c7zlref7TFWGxRZQDa0 z>bh2V^WF<;+8**im$kzC@7<uf?IHJdkCuDCy&F`uJ>;HlYq|H`yFq2!L+<LjmV2MQ z8&tGC<c=<Dx%b|?L3!IlZtET`^Im&5C~JGjE#1~K@40t_(zb`()O9WM?!6n7v_0g8 zE^C>0?cJcb?IG87kCuAp-VKV{9&$~$wbVQIZcy0vkgK|`rS4}7+(maT?zj`^{7ysi zorYq0$OLPxHfydEvR@zfeJXVSx?^#|j>Q#s0<GU^$d-rnS#uqi{d!2Y^l{&&LiejX z7RT&ZoN*`6_}vPzcN&uABHZO6J=R>uWVarY{i@{Ey56_1Joxe5BNgVl_k0!JsU6*| z`7zIF&uqT;YKM2P{E(-#XExh=wS&7gKjbm(nf>pb+Wy@u-{(ErJ^R}`wY|GF-{&3L zJ^S4|wcWc{zRO#*d-k(;YCCspzRPRcJ^S7}we7oCzRgS8J^R`_wXM4~-{v{(o_+3} z+UDIW-{dLno_*|{+Q!|QZ}OOS&))Y=ZT;?*uk#-5n!W9v+S=Wkuk#M=n!WCw+Unga zU*#>@H8X9;%&t2=E_uro%_sAiPo6Ma^Tb(^!)IBZr2Q&1{<&jj)g2#$J3cmf%Vf<b z_s!NkezxUtTGhj}U4_Oscg)PX<D+-S$7Dv@haXXQ7b>&&iSG-`zX953u>Pu~?ui7& zW7oBMz<c<zx4MFcL_cm_rNr7LthO)A+0lE#k3Ugg-#n4o_;c&3nVprHKetACT53xE z+{*P+L#gHQ^(^JbN*qVmUv)X+eE87%&_j*~9S*F&3K}BXyFPTU%^vIB>#thv+PP|u z>6X@M7x?P7Hkn;ux@G_R`_*recDvKR=G+VKPJh2<?%K^I@o(o$-CQVkZeQ)zylrPU z-85bO?dq~flj^jyIXA<-(~hs1!Miyj{_XUyn_{P(&a2%TWp+C0rgrw%t6`C=rb>o& ztr7US%P7UQO;l0aF)ZoFE~b>H$GiHc%4r+a#6H}(DcSY7SD&a%e0W^M&W%aQOOJJN z$A@REITMkY=z5HcJ3c&V&4Gx>#HUBQxU}_xM1qBa1%mnZFOyg%vP@tZ&oYiWUrrxJ zpP$|zy<h&Gb!hsg>5HaMn%?x?^r_xay`_4sda3WSyJEazxMEI4cip}|Wt+&hDO*Lh zPT8_vGea|7Gfgv9GbKLwSkRH6LqP|E_U&6%v9x4q!P1<i8MVGAy$*Wq^xEjP^4Bb< zRwHIFK@lYl=Y5M#G$^sCa(MA*IP6>W$Khk+C1zGO5xMxlvIQ?3PBu0%KW$yFVIGjP z;EF>bW9zZ-DUuqx3r;vBGODt1^{l^qpqi_~|Npy{_if+qUS9LP<o&!?cQ5a$?ke|x z|8C`7+qJuwmwYdIH}BNl%R8#M%Kh)ZTY1|yclYv~?<H^Nt-5=8OLbS7|M_<-Z`$ha zUY_#3<juUUyO%dqbCvn;f4B0w?b}_;W4@QXo|kp^@|x<dQvdbuR$jGTyK8yK_LDr@ zJty97IgxAh#BAb2Gr^+SEIWOZcK9~jQM1nzmf!B#f19Q4cFXbHO^?kk7S4XM!?)m$ zn*JR%^E_ec?Vi22kF?%yIhJem*evs**~G%x8wzG0+2NaTM@{>Vntq<JXr8e6cF*qH zDy_F$j^;Kso$Oj4xY1gw%>CfI7uRLK?phpMKIL^^-rc~p*0W08H@<suRd(yH#i8X> zUd5&FxUTfw>q%Vuoz*<wwVuQ^-^u3r&h;d&{?6+D>bHgK4en_7SKlpMZ}raf_})i5 zu8Y6>dTj5l9oMD4zdE${(vItr-)|k-dum6x*gMl>dk^inF8c24(Y>n**6Y37dUWry zg7rG@L|dz43)gGCGd;R@(T?lF-?JXYIlmYE66bwKyR-W5p78V4Z})_stClTXKl}Tt z%Jnn9cU7*R{ynR5{nYPXmFp*e*Q#7U@jF-L`u^`)kK&x~tbTke;xMaJd}P{&Eeg%8 z67i7<cXYJx=;-H(iROukn|pW9R&AZ#dh~45p|hJFr3Fr8I?5>=AL#_nwWl84JZYKq zS-bn$*-4d~6Yl9upEh;M<oHOH{Anj<vwlje+!N_?M`L>H(@iFu4C+!NT?!AmytpoS zp?b*?Tc<~IKlixXyq^f#yE`l1-N;a1S9^b7fS;F}Q=M$3h~S}(A767kw%t_G->}17 z#9gTI&xebTwfT5#%3hrKD9V1E+dSvWkFLi|y^^{oesmpn;*rqa^P_8VQ@5Drh96zc zhqy#F18R<1HYPKvi?E9<KN2n@WHH}jp2b{?Iq`*V4{{!5JW6?#P<M3ak&Q=I9$9!~ z=ASO*PT@}G&d(jnkF@<bWZdVt$+*oqy52&eK%`KpP@s@+|09V<B98<f@jT+FJIZ;4 z@yO4^9}mC$-F3KqbNk}<$?eVU#oIw!B;&K=c7V1>#%E`Mw@AikCxN#}#%ITXw@Aik zhpq5ve01cxl<>j@svb3Ke|~xMMCRkxv|wZ5&!4h;Q&pcXd2)T02WX4OzH1g{MtV&@ zq888SsL1%Sb=ic10D}+NybtEb%iq5~%XY8Lp55zB%Sua%i{d-4oqoK}`rYcs`z+se zKi;Q*=likR+&kNk)yD47@BMvlM?UxWsfF=k@1!5^GkCZAP;LC3@YdhEcI0>eURDq< z_TBa2KJ9m_AMMk8*ZpXp`n&8$`xbs@-J9R}d(w{g&ueYp->!^5_q%V$`)9SL?`K!W zpZOhk@A{{GQ@=0W^ZrS#>U-(R_!GbF?u8$zb-r``<G$YSse9f(s&%{*{&8RDch^1d zAJ+1|kFJP6^qcQq_=kOs-&yy(zhC?J-R<)DeZSw`4S&C{^83-<@9);WeHUFGzw7t8 zyDr~O)z&WD9slf|_|D&|@9G+N$KQJ=zWw*ow{?lT<FCCF-}+niZJp!p_;c^XH~(Jx zrcQBp{IPf98-J_5sbkz7zwe#+`rk`m*FD@7zwMp)+TW_L>kjUUU-wRY_3x#x>K5*b zP1_OMbw|%7Z@S|4Zl3Mk6K<=Xcq?@HEz^^-pM|@B?1-(pqi1kO&n9oW?Dp=y+p5Rk zHa#w@d|0-#aQBTJu~~QY^zP`H<V}~{?#;cuyXSUm>uuFzZ<!vKMLsO6EZn`KVE2w4 zu~B#QH1Fu?<cW*qO&8tX-E~{_=-Z}8WtN4zXY7b|$``+Id+Cw4PLIld?uoU@pMGw3 zhN+YY56hq5Sx?HPzutAL*?#d}?virpr+3{dwqLxPn^G=)^{!jV_KSCNRm!E0-gPV3 ze(`qhlQQY8cinQfU%ZvOq)dA0UAK(w7jNdKlu1v$>z1<p;*DIDGU?X4ZVB5jUeA3} zDqVWl4K%&!77^dOu4hfps-6{TKcYfF)0-_dx?GSUKe4Zn0|~l|9#lMR>s{{(n%-R8 zy51Euy_wv)-WA&QaLE?vvVL(yHbqHf3rpvE$NA+Gp37dTWG}qusQhk$?^&NSr`J19 zn>uCkr1)kfMS0hjA5u?loY=wfD0@PZ(xZhBuP<;q<aqGFddG(Py4srf=5Jp<eR#Kz z?dH{sXHVAhb~RTQXaANuvTfP4wr{2{&aCOld6PXMLgL2s>(>|Pty`<GcEOtUjuDRG zE@mfQU5e**YC7^ElzD=@UDbgPq0IfVRz(kfta{igZI-p;Lnt$-ph$SQx=q3$tYYDz za9+KrB?p*V*Gob2VmPlFxKLa5@bc!##Ye(<XV02BV|u)4L|BlYSKU<s&Yv1eN5XkQ z?P!I4SpiojtY8d0ypZkidMU2=Qzvh4z2v95c8ba+FL#BtUjkjaALnu=F7NFrQ)OJ3 zv9x2m>P0_(UT#j-{L>3+7fhYRaBIKVezASGFQxBaKD|A?Jo??6Eq!_CygZ+**~0p6 z+mWq%wyxQ_W9yc?VrJH%-kUFpZ4ujiyC`kBa_Y1-scT%<sIGbXdRs&3R*QRc1$ukB z6@=dREt;z&ckAkgg7gpX1a7TNSP;ut{;%xMn^WcGzrL-R;-b%g-pljdt9N~K=T5qC z_T<rnkuN)L->u9}jt=&=-ff+cmYR~Blu)kz=Je|B>}>Vd?=s#dyp4Gq@iydbKx>?s zFsPWSDvFzSP7o9>)22V&G^r@a=+nnd4qY4%Z=B$Gv`I%xO-W8lC~g|KeYSt!=|{&w z<JIZqk?%nBcaQGranG5%EmD*7_N=L!RzzxY-%9n|e0j6s=1r%a)Sij5l|}B`wQ0w@ zUrI_xt`?^F#IO{WiU@M@^RD-M_+Wm*gR6{RF28=f+p4;<w4yxxcpqP$-@8{w_O9Kz zHCEQlRCcq;mes|}f|m&|b6#d#R(ho5K*^4heI<L=`At7J?cCJ9X@1wP9=x=X>*~r& z3wK>(S&_~ubiM9Yc~(*1jxyuTLZ3fHd3&AkJejR5FYVg0<J!e}Erl1o93xhG3Izmk zm*hO!+NI*Ct!!s&{hn+2v!~0_p2Z$qGiA$~C400dPf%1+lz-pV;Rfntm8TkB3@{Y@ zxK3u>nl)>9?o9;&(fzwOfxx8l@GuZ~@$M8D+>VU|fxz9`V31m#3IeQeKw8(V$+-*C zJ5_Y`?nR3hExJ@08Ug}F>$^aJ>uG2p2vqLT0)w7#R}f(RxM~Fm@WhFN!T!}Cy^AK5 zLo~lw2h!Vh>NZ4k;BK&9tyHMyHxSJ^v7)-wqFSOlQ?*4`uMYaw>KZn!bZxb8TK(bD z&87LL@{Z&k%R7;GDsNBTzPtl@hw^sit$4>f-PG`5r(~8=@v6#Tj~mN-JZ|pMR15N2 z(y**$*$j`DJ|BI4`Y293G}Eav*lmfA+dUCa4?**%hAxkN3sYi3_Gl`A8pAyKrxq@V z<^KPH?JbwYjPl?g9NcebEh-OY3jP)JBj{V6SK_HTF?VkJHWp_m2k+L*&IC7nQ_YX+ zwCbelxazRJS=O?&=H^s&jis-bRopDjygOy>nklPiH>EDuw9yPPf4b?@t%x8{8{<~T z4zqqU#T=Pjsa%O%v0Rb$yd1M#q+Mn^OFPeYntd?aIYT)^ID<LkbNb`-!{xcZ-h2m@ zO|RDV%HEw5BQxjrR#@gSgJz!VYT(RsTJ80%jGGBJV{S&=47nN58YcqFJX8FvWjC(u zoRk?Z;v;gpyC~9AvocUkS<y9S%5i}{jUMr(g@P`RTmxNfboOYpwKO-1y9#_*|9;Vr zk8hvGirDV4w%*kpWUemW{;4eRLBO3`*Yvn%OL<A1y6NiZZ0M}%tmrK1%(+`5Nh3ic zUL#igD0eG&D!1zEMHved7RD@$xGBof`f5=_?OiGT>UG_v*JrJjS{pq%v^X_1I5m91 z0%76pZKvu~u6TJmcu)B8W{>*3GOt6HNx_%B{Ehte-g7QXefq?9;gps=>Jz`IE4@3J z+~IosSoNU_rbkacxO`OkI8WhLW`g3w2eyKL9y9;>yj#7ts=T_Y@;l3VzjN#Q-pxC6 zaO=^7d+!QwH#OO2y0v;znps&|SegHcLwk-KI`HnKczD?Llh<r5L15!9^~-|u=gloY zwX$;O%9)kRXH1<mZR(VAD^5uzp-&(ETuqt^a#HqOKI-Cp)a8h^tqPBhip-wigZ6d@ z?Dt#0y}bMO<<q-^_gGo(w%TPqw>i7Jxq5dn#9nJ(V`*z+?cKqf4D>e|Y_R_O=+vuO zd3RS%`#Xgz`_;;;bI%pz-j&q-ExAf^&CJ!3tF41m{SUclyfD>Fw10GY^XFLwx8n1% z(&B`Dsy$D8oys->&D$ONIIATCl!(<7gnR{f*PmRt*K^^?2d0W!g6$7F9LWBZKL7gh z?d85--n{(q?#(*SxqolZiMeCixH-HyJ9)QS_P5l`jP&bA&d7mw8_#OFIXkCzW`*U6 zC9h`{+{{i*jE!8`$$8aOa1P(S)fw>~75T~?uE&l!A8~Qr9d}r8!-Cu8`3r3oId|1m zS5;P&eZL2)Ja2wIbXU6k{<_<_yK~ClF1x$B?Bctdrn^(8zv;SbEynik>D8^J`qTej z-4!YRI_~bO>a}`PI|BlQzN@~O^5pX8>wE5>v3aJSUwP`cm-XB!JuNj`v^_hj1<Oul zEbUTYd(SH^`?l&(wSfJehurUF>xCSz@4jCxcW<uj-C9WI_!}*KdzRF#+FR%IzHOQu z`=;)U9nYK7uVc&pUbDTvbzbS3_`9z{zE7SsaYDc1x*pJ^r>j6`xZ6cPLH@T{5gFG7 zowQ{I_ZT%dHq?bbTi<_vUH@yINf&n;RhL;!YA;U@PY<8DQ`<Rxc~)k6__4EbYQAi5 zPo0Pq-eF;BHq*>}_o~R39AOiBZcO#utft~<lwcHZ6lWA`6dm5??dGlK&F1~g>zG&D zt5YE}IaqZa4}JEsx3*lWykvHeujaF<X<que+Uo14T#7UL^wCSvwIyRukoz%Lfv%45 zSsBYOIj>yUrl9$7su&*^8`H1Ym+|uV=gHlh`t0t_s~1nkz68z6O5dKkZSBgX3unf@ zjFh}NL*mBNw%W?l!pzv0(@eO%t5mltO$v%#8F#Pu;O5Dz7w<MKF3c^+H$T?Po9p}b z*pj)uGpF8_Ffv?kutECs)u~6fmL_j`lbn>4v?kAI>R!$Z(!9DKD;KN0xdLjZESuVw za&N`5xqS(7QJPPC!`#&0S}A2Noj9RC%uDEzo2!MHk(>BiDON$>J%O%AoOe%>5W1JK zaIPEs;zn~VjvvpDmoKiZuBt3I?@A9(zb<ICQ+jT9^JeXn(YJedZCtx@;oXc|GiS!S zpE{N|<&2;E5uJ!Duif_V+O#O<;#%pen=WgFP0^|n-MTAKVjK77X<=<;Wr=6rB%Vk( zaO{Yl&yDNT!mqbJywtSuRMWv-2H^&w3k*Wk-bqC*ntbGWtgWT7d(OR3;mMyaeOfnl za{r`><*gzIB@TUD*W2BpAo6}zg+)e0MYz+!*W20FHI)B-{TP(1cWd9v3U@bGXD`2Q zQ9a4rTw6AAclPYL>prrq%`6Yy94us_ZELKp?9CN*^qp1Oa^*zr8`H1fO1-{hLFwyt zG2fyhBf@sYtqt?kz8d@R-RbCPDQVver*G8;IxAc6e%*buR<l~N`e&73HRo>qgxK## zvtREkNWCk*cJ=S3uR*2pYu;QrQoE38uj)dTJWtN^(Vr}vHX8?D4*E1Lq^K+^%<rC% z?{A^glTHbpioSHS!dpf>pL6jeUB<==Zy`=@UVdg)_Wxh<I^!2UT=?L@{d>9}jcxvX ze!N??xxTJ;`;!S9E_@I$2q^e){@t`6k;1#8gVL85ZBOBQH|@yIyOYeVbFG<^v$=QQ z(DmG`uPD7+HCHt&J0~|SJwr9KOjlF;;V#vbYc8*+<s{ynv_@!kbkWver&8~*n5LKm z>rRJ<i(S7~T3A?ExN(=>Hr}e-+jFmPn_HQCd&>2`J>MR0<){{N*Un90;WFxY?P{$W z=(FXLpo_Mw<(5l=&f2Pqd-S$kcGOlC<k=F$EW~;<-|N!x^l)CsYrF3m-z%N>^3|Jn zkDffccV*q(S8v`ud3NvGy1Q@Q-Me#R-SanJ&YoSToaLCed*5cYh{)@^_%;VmQYfx` zz3WU;a*E#O)z`%%W=!36+ROcbPwClI_jQkiu52oe-V(JTYDLt#s5N=sQ#pkeE||XL z$a9YK=e!T?tXw&>($hd!Ra;a4WJ(IhiR0c%hXh@eAFD=&nE1HtQE6{&Y-yhEA~50o z`S+8)yuJJKX{?Zq^-il@-bUir*}j)~-nnt%+7&&|TQ_G!-c(f-{>;U^TO~eLMJ0N= zOE=4FFV7|q505o^o!$bcuccm0I+(ODX=l>LT{@v^9xYAxCJN10wy}QC@%-7+v}dvh zw@g{HWRLRXiHZvH?>ji$1$ukRQvxp<2!8C75n~hLyC>-Au+M(K^`-6Q%cqySe|Yoc z)r)s0Vq&E4+?Fj&c8(6-t(=*joR(VN;&Vou=S^QtX=-6_&Rs#>--2rdS54H;oO-U~ zs_e>5%KnZjHYR(v6@2hF_z>k_zt?VG_V-V(KD=AEb@TG(*~Ofjimo5qyL0Qtn^QGT ztcu;8c6rT}d9mGT%T*k&t?BW3b8Dl4!TRj|In(d%ns)kLTI}l7<q_qooSQ<*w}zK* z4Z9w8Q<GU}Lj(UhCV>kF8F>n9H5%BNcph*an8(axcHu$Vg@;Uhj2l#49D~Z4Jp-on z_Njbiv5nPOuBNthcgRwuyGs@?%1&9V;%V*ZJpIHx6^#?qCa`ec6ymir+m*an)l-LK z(N3#xM&@2ZPl~)fnmjz3lJYz~g**d1Jtuh!oT=R?@2SL@wJ~s#f>`t-#f+y>(!M*j z)WwfJ@p~&TE~T<JKL5(UtUgEO=|}o%PBDshw70d!FXUinWoF#(^!Ml2k8f*RFP&~a z)V!;C({HX&c2{;&c2#!K@1k7q&aUl!t9|7b@6s~orFR1|%&*+!O)Ybt>gu~LPk8n1 zC9lk8KHN9qx81w<JJvt8TTt8Mo@$gYc_#YVr)eAaq-y0$o{CQUG;QLZRHb~$6VcB; zP7^FV-LfN9CV%F!=(dm3BKM>^+%f9f?(;~ux#G0UJ)^emJ`Z)BD^By=GiuuI^FWum z;`E=pMs?eL?&}^dKmF#eQPp;zd%Df#r|;Y~D%<XJSJ%1x^qIRxMcaMu=rWg|-gDO| zZ@bTJ-NR+4*W5MA+U|2px4G=}oV!M8+kI~8I+va9xoecP-RFibbJ^*dyGC)_eXi>s zE<K%d*C=Yc&o$lV($g__jl#D3T-9|hJ$|O(xX8}rjys0V?<6GONhp^4Ot4mKvt~OX z`}uKPWADe0Tb~}k`{=P)L+;Cop)H{$p($Utu2|Ipo?jB;-PxJ4qqF6Xi(}p*iM&Pf z<`es8uQ=|@a@hCCW3^j_!Y_Ap7Ts~N$Xg_yw@BK2V(;t~$9$h0@^yKvHVbs_R#J^> z)5b&GqA@k9S)g;b!fI55AmfIe;4bzbuadv%omTZLHcETFY2(_x@Ic;*_0p$ax4qi6 za7W&XwbEX%+fMCTxFK)FYUxw2+E(paxZ>S%$#=_@z6($Moq6J~%Hh8%PwKde?2i`M zGwz&UcgNrE-Ez6_!aU!F`+sL1|GVUI-Kj$RhdbuS?U<i;$KUMTa;fi=xxWkd{?0u1 z_sXHaDv#@u9@cdg+8^98KWxYRxI6w@cl`C<Ef@P9%=KNk`!{Fn@64lrmprQTDzsm? zW4_-Vf3<hZFZ|~GQm0jDKXJ!=yZ7qnf3N&p_h`?2w>$o`zfXSlcS@yw;ywTA-zPu) z8&YW>c+Y?G_sLKGid5P=-t+JOKDpz!W=m~R%@>1ryLZGtvU^^8;&=CV*7wZ!w(nkF zR{!STfw(u>oZRJ}J-b<s#cnzj`{|Kh;6uH_!s%Ofcn9t9j<}<$aYt4Aosej`XV-3) zqp?Mg^b8B9x7<@*Q102eyX8pir^@LS_f+SWb3TtXdZc%AkGIMDNoRJmeAe5!$6M$9 zq*J?FKIzTe<E`?3(uv(HpY$sCc)Q$DReUFOeD{)%dWn0y|K)IYxF=WLF*LYiX!CA{ zY<W+gwc2sn=Er@N5Bqi&9>1|8IqQz0-W@}ecQYi*eYneedaT=8t<{dnGC%H%eAri6 zczi{{@f|yoqwW}L-Z9j9Cn54~hG=<Dm$lka+2%)mmW9V>>_~QcFLA+o*%4XiM}0r{ zB-^~7an8E!bDw14@tk{xv&wy*$u?IWkGW?!t=#9StaIgYpL>Rr%6*>5GFKkAxo4>K zZiYa4&tuuk6~_(k8FrWRbXdE6?DO1{EbxBDVe4Zb`ZV_>bG)B%(Aw=oALpKAhW9h} zTOWJh_i}ggk9RZnTD!gPJGndg!@C)~t&hFyTiLzv_bsNwcMm<zyIHvX#g6ErJGvI{ zris6sCSBg$yL;)eyN?dtb$XmPvvB*Cg6$`EL`Uq1PP(IO@NSyuyJ_O(-CX6}-Mg0_ zy*ug9-9wM^93SRI7H(g$BRc4guEx7*!sXqayH&sBG474_x}&S|Zrb_XOF!p5+!O6~ zM|XC4_p`f`Dz_)x)16-4{q$~7<@SJkx|7ShpWGFy-0pBsx4*o*W4G#&yN@cio7~gw zDL?(_F4LpDz&+7I?`6fm`*l~Vw^p|w-P?R<?`9`gE)n&8?`DA7u#aA!Tb{1|ykouf z?C*VQg3me5`hX4#a+zu__|(^=QrPpJ%S3a*C%#`QggbY1I^A*UGY@?1d!#~G_nwPF zp3>3T8Xwi1_H^>)D;=J_;)9yfo=&!WrGv9IKBzJ6>HL?cw14)B_iB%JcYe!L+B;k0 zz1pGOop16M?V8>3PHoce&PRDlJ7&K)yS6v?t@gwysarE|hA%QwGFoJ)WVmR<dY1(A zi`RXhlnR&Lb%`;*c+Gc7sc`CDmk9HVSAA1Tg+uSUgnYli^F3f<b;k(-$rhHMSq-8b zAJ~5FZv2zCV4rlyd$wD<8^7c&*dxvHo^99e#y5Ejc1d@<W1F?R@k!o-9nu`{*s69n z-pO0AO}gVPTh#8xD|rjHNOQbpv)bKwCU3ze>5eySQo9?E<Sp1B&GClq*RIArc?;G_ zcf4l1wX1PU-hwsK9Ix4S?P^?;w_ufY$E$xcAO6!U`Y*7vzU_{E!#DR6i#<xsh3@v6 zlq;XS>rrSfbf@=AnexuN9=Ya1w|lpgDX+Zik!db;tGA>~dFEY@RCA%5y(VocZ6a+f zZC}2Mo_y{0YS+Xac}vzxd%ku%wQJ&ryd|rpPrh<nwQJ&vcZ((8Emrz2IPrJJiCUGz zwJJ~SxQgVD7RWR1?614yZuf4n+;>5q?}Giavr2<kuSnAXpEuQXgy9MJNX8ormEDE< zmWWTvQ-Pf7rh6jcVRlfDHRrLpTMo_rqU71S-oN*|@Z-N*D(o9~%olmT{K#+4k9Agi z=JUK?e&~1ShdQY}^I6_6Kk%FLL*1|4^Z&eCzVCPE`?_1Z=YM&(e9v#r_jS8=&wul7 z`L5rc@9Jjlp8w?C@*Tf9-_=#^o`2`v@@>C6-_}L#o`2=t@-4qP-_}{}o`2@u@=d=x z-_%L%o`2-s@(sT^-_-ruHGj{$<?DWTzOK8qYyOsZ%h&wod|kI|*Zei_maqEV`KoNz z!!oTRYr&m!+wS-o-SKnETduG@m}k52gxfEVzkTw!>{X%l!2;`#JLZ<%@w3WXF0);@ z@Ak{q+b@s3O?h0ls?d68f%U~5bJOk!Z}8J?Dac9rvug{7lcC_p*OwM1Dn5L0UG<>D z0sH;yy=!Z{tG%ndE90ksnD%bkn`y76z1XLBR`=xGgz1m;=31V#?L6a|<i}JzY5L?1 zb6lz>z3{v?dCHt4jMwyk#y-4t>C~@XO<Rk$CT$Jc>hv`<wM_|h%wDJ~<e0rxk08hF zg<hNpK4xzfc=}s1J`{S)p7jPT?S|UQ(puMtPB)z{I-PVn_^Yd^HK{eI)v46z@b$?` z>Mnk5b50Z}J$dapVX}l@!<;`BA3uIwa-5S#-NvtM&Wj&alOD4iKgq3b?w3<zyRz`n zA<o{(lIprOwi62v9rElEmQdHOv8^mzbf~j?vY5JNjcsCK)1i}H!lKjd-q_vvyZ(3F z_ucP`@7~|FzSRD;-L=1~e}{cv{Vw?KCCR+ZiP2t9rt$4uYIG+^al6S0-QI`On079; z&s*6aee3bGLj~TIcP`0p4>_)Tw$Quq&L#1@m%Y(hkEdPRu~hF)kks~)W4gHyr=8ld zROikmiR~iCbYmY*+qYw>)}0{n?OTrO`aYbtX~$BHJC{VahaA=QE%Xk&b4hsnl_R>m zdzUWQzU7FnYN5A%{>taNZ}%*nv%Tbq?%h30XKgq6JT2+orPI+_mEPL<noo7-?pZo% zyUC|%PWLVykJfrLt!>BBzU^BAPyN{H@=?!okGH`4Nr!hI`Jkt{$D8B*q=UO%KIn1o z@n(2GY5(pc@AY2p_Wtp1(%#)J@AXdZ_Wtm0((c_y-s!E}?fv52q@BB6-syGj_I~hg z()Qg)-s)xU_P+6M($?KBZ}mKPdtZ1rY4h$QZ}c>Gd!KkWY2$8}H+r1Ay$`&bw0`%I z*Lp8^dGB~PY3**8*Lo*+d2e_(Y4z?Suk=>#@?Md5zF_x>T&3;pccwkuHsQ8o-thwI z6L00W*WP(oDBX73Chz@@wU5jCZd>H-FZg{dS9*K)owA2!y|<0?wiiSn%N5HzzC(XQ z8)I^-xK_>8!i!3*Vj4A9BNu`ePQ;h`v@}gq_!#;eGFvhCY_$95YbW=V8syJC9ew=M zwUv8HHS*`4jCTKYt#ePQLjK(2(e6jq3O~A5xTjPqU-npZ`p0XGg|9X4S#@sbd$hK= z;<dy*tJdv&57!!3yym!P)wrGS!P?K|uYcUNs@=|af9>Y-*Dvl`Rc`0Ix3;)k{=lmn zrA2)={!}dnuh;mx3_Ka|nisTotS>%aN)mJ?-Cj=@8xx&BRn8U~5*$CiK0Cj@KmYyf z-Z;5?bMIcCZMDmC=Z^Kf8_WyhGY#@*ot}N{)3TL&GBxsNot*9VX<6r<OojYe$7j18 z@fCiwtZ+}JRKC=)*=Zk_F&19dxM$L7&ilx>xZ<+JJ(E^*-iN-%6_+{gnKYX7KJfiq ze)-2;lUj4$`@WmYFTc2JQfbb6&$qbz@{PMDrRKbMeT~a6pSXCWws+?4<R|ZD?678g z*H^ha`Odo;+pOE(_C@YazVdFy7HhV*eU`hE&%B$l$-3=LpXBc3BkyKxux5MH_j6bB zo_90WS+~9JySXcQ%extCtl3`o?c9~T=G}}{)@`raW<G4wEIKT(GqLTCfzcfUr@R>o z<~}^;JQJj!9p`=gxb0=(;R6MSKkP^>yJKLLH$%ppr%(D>tMs#DyvdK-Ru&%KQE>Re zj>NP(2D*0)jPfMJ@@7bw^YlokwMsub%Deaw@8d^pnT3a!6dc~LBQan{V%Qx6t-Ki` z<~&`}&yMgWKWgLLo9K`~L&%(`Q~KHGwvT%ft@0)OW(b02v&wa}HPu!3zjL`~J>mPg z$9t6@-Qz5LZ*kAM_dDO?y_+lEH|!_}?L6S!|4u}RkBhDDF5{oiuOI*3wYmKLi@Vm9 z-}&y%jg`H7eeTX`_jmU?cb7kSH+Q@2_PN_+w{2Z-omI~5cc^Ldng=Rc7M@&wO-+;M zJWx@y@Z|J!YMT5<?k(te%j=89^FYU2URRd-ezE8Giq_vA$7+upsug)`*HkFKwm^RG zj{cAx{V{jkb>A%(d8aI<Q6tM##Q%3kd(9nZ+joi*?-b>}U+Am$IBq-TvD~3T{=EhK zcXzbs+;N7^P&z|rD4n4*l+HSB0TNM$OOiB>URM<PexbAa#1UJOD*ncM&Z_Seg}z^S zZu_K?zx1B-obMMpsxv;zUE0%b^j`5y^@~q(oA$J8y;nR{o$*O-(w=su_lhT~Uwo7k zD&%k7(Ju3T;j!wDk8)9a+8ypVcYP0dWZP1~FMH3q?R&sOTbBxc-h0kX-vb`lvQ+T@ zz3W`}J>b6Wk#hdGcb%)g2i&u5F)#W4RsF<Y;lqEKpZxn-`2WX_`l>tj26yaj-p!Z& z-rx6I{rKPJ$NwrH{@Ypj|Hh8`tULC4ckE5x&6oV{&;7l>=XZPSZ}nq;nIHd)eE6@j z@c)W}|2uZnN8Pd4ykoEPPG02Qe9`ayUBA_j{%w8)+A+4?UiF>4(D(Dt|2_sU+IZcc zruJ;<)9dpg$4S~x=vQ*GsQa6l62r3a$5(a1j~|YISpMNP|HJbO9{4}te{g;N{@=&n z|9iQ+{>Quddw;vXZ#!_y`O&+dd#Y{T&pWrf{qsA?!tXivY-g4GKfBvp`90>I?X+_L zr+1wzzx&*?omB4s<SujNcbj{*O7G?gl=nZrd%5Df!9CmVa{i9p?jPTI?x_}dKkxAF z;~(B>?y2T@Kkwjf_Ydzl_f#`f|J$dwbI0VUJD!?%Jayiwh`d`OT0W`ETJz}KmPdY8 zh3YeROm=#&a>07#k-099{C@43Z1aA}IqS~Peo}?%x%WJ0l?OeW+fu0>d(U%PdC=3j zE|u!O_dF++2R)g~QmJlx&r|8$5`pqbkLO;gP&d5i*<CKwVeR?R&uh<Qf%i)eTc7;k zr?tnN=bkjnd)~(HYzL|j-`{)i{k;q2`44uRzqu>@<sEP3ceXv%hwtt^`0idndH#ak z=4b9oKY7Pn_?>M>_2Jul55B#3p)5aPxA~g8(pTQ`W`1YeQhoU5-h*%M1(fA0>^ASY zD}Cf0Z{l~h4b_LQ?>(69d_7!Ua=S41_TZk|oX6g7IrR3+qq3lfWkrS7TX)P2+A%lc zj-SRIKkYnq(e1%qw>gi#EqPRCRA}9L&u_u@;Lh8fN8Wy^w6478H+Q@6^S36C%5LqM zYm&eG%x%ukWxMvw)yZFe>UQU+vRQlPs^l*}ahvl~S=FAoE_eJC^VE;uUiq;sY0q4- ze072ClOMgEQemxl&#!&^<cDuVDy#+X`898!{NSxfg*D?nzxwTy@4vlLZvFADU-kCM z_uft^w|;onuYCLDyKh6vtuNm7E8af&&Rdak>w|aw^0!aE{q{<k^~Sq?+1n@IdOM}e zdf{Ea^zD;p220+#eO+}sXcN<V?@Z7prugY6z?+!#KttxawXv6WP2coRbxrllS8<zm zO<(m+byaj`TlC5kYh9j%Nfl|IEYRlMIkoAImm$N?hl`Jgt4dV7xiInZYN0+*LGv;6 zB&(Eh)P#?rovomG|Bs=btm3*s2_Hi_TSc{k7W`OM;&ielXob*<pw9JPDpTyH+Dx^Y zYO!CdH{e#trI1s5raVzmR^O-|Y1w(oGsv&0Xwu}#KK@BXlXmYp@>E56`%_h2b;X^# zJlEt+S~a`nmE6pSa+*c`0z2K??kF4GQI0V`dChlG>Ex8VDiP+MH+-4OCfD3mi8J@S zZoBER+{MDp4Lc%5@~63oxlD5wbDnl+y-tfxvrdyvqfSG7<iChN5x*jSM10$~>BYt; z8y{@Ev++i4>dNGW$upBDCU^cm#dQ4Bu}8-)9Xs@GYHF`*uWB!A@6$Kh-ICst+>)my zx^IL}GY~VFrZ1*HO;1d3s<@WciX8!&A464HMa8r<R;&pK{1~d*%EdKRSW7L$Y5%H* zRZ{{K7k*m#=x6BC;MU;OmQ%~Ro`p~K5%rnsE$Th>)OxKcT9dUVX-(9c5FaWR>awCG zpfDu!&#FT!HmzWCat&1dxSDIxsfAq&y%usk44>*K>NwRw)M4uW^;&gWwOTb=)ml~Y zp`SuNguDxR6Y^@`stYU6tUR&u$jSq?p_Rdf!I{B{!I8gLEm}5dS<|wjWl7&cm-@8& zr24q}sQR$#9K9|qqVChuRA;N{2%3j`&H22;_w1SV>NBQKn>r=lUrkk6QGWltjvf9^ zchvj7dp@o`R54%Yp1Q(2;iE1`oDZ*8Z*6IAYK-@1`2XkEkA3srynOobZmsRc-TtrM z3Ge!y^3HDJZvRK`gm?UY^46|!xBsno!rOkQytND5?SJW=@Rr|C-q;!L_CNJbc$48q zgAMD|<KtpuqT~IyZ1UT%Z(fY8ild5(qk@F+ZUv6b><7g;92lC`aWm;vuhABWh}7g* zp~tc6jmVaksa5v`tt{PhlI{r_y)8LX)N)Et?LEhoGAkv{O81VMl0C{MMo}+MESjox zPf&=TckPr9eFhpCOB?FTWEZ~g+ps)+X=PYfdb#@!>BJU6w+`utZ~GY1-#@#Y=DsiK z<GQ<>0<Ft)r}d@<l<$2QrM0_khl=ElSpB;!HzslGab8wE$?)FlWm$sv96e9As_Tq9 zRIYdzmDt`8;+wwY<)jdOPqxbIZqr*{BqeyixjJ>z>Z7~T4yZaFP}Mx3`tX6>%}J~= zs?kLa-6`H21>HF(*~(8$OGv2jeY)q~#NBy<yX6jks$vnmuDm5SS>TaW^Xk`gcfaOq zyY3lxUF?YIY1NktDsnHbTd3Eod+@=BS+^?p8kgH1dis^`UTOQ`*GtxC@2<EjRCbr~ z$X4Ioa*9X3a^zf>u!u`eD9zPBxxQPsbEo#UCr#&OCtc^Zc;6YTFHz|Grt09cskgQ^ zKZ$+&>Qi~{zSsOx>+Ku%D3{Hxp4`2gv!OURJ9#%}gtxY{^=?iBWoBvN-JBf1Z+&{T zi}S%HuZB>jO+pQ&!Ua<sv@Wm&%-kXCG{2<T#$~y4=k0^SD_=j8xRt@OY2CyPd3~L; z52r7dc3NL-mw#?<Z&g6~+|8B6PjarbeX=_K=~+VTbC-KECem9M?mkzz$Fl9ZWtaK! z({9!u_MFq)V_ARQvcbIll$&`%-19egMTN{>HQp%gyBjN+xY$f#UGbxLr<V3EDv5i( z;jXBs^j62+=PY+?E9PuzKKxo{<8G!yZq`5coO`)TyUlDD;|DAD*Xvkry=Xi0T2#2a z^}L&{#O}DCd$h~VvNl)j-BcO=BuC`v=c=Qh)@`}D!uQr!uP3pNn=kSStq+%Ze`-c= zS-_(z*N^KeZoXhU_<HK0-IG!mOPj3^XL!#wwKwb@w~qRI)+rOeGkIFq?h$vmmDy3< z$l-ph+EKZq`rx9uTDL@9FR*reZ*u8Qs?fLCa+#asJ!@-ORpW!b2^ai+GW`GZjukrF z&$I_T>t20OCMH_u&h5Pia(R1mec#4SfK2!6D{y}n;%440UUSo5|HLlwg2D$MDl7{g z9B5=_KX$s2nVnC@LLrV%#-iZCfy56*U~#^>>0t4K6T89Ujm&qSg4FZL+|vW8FL<z^ z3}Qt4Ca4h&u}~vkLyZWVe)!_Wix&l7*jj<Wt3B>uF!TG17cWkHlJix%cz24AwCk?j znlsF*4wnXN<X&OfI9n)lv0BSr5ka#orW?MQTLOjm<#jFUT_$o*#94Z;=AL~IcWYLg zWx15rF0KszlJkY_%P+Oh%bwhP!P_~zDSffpg}YPCrA-sxEn9JO1!s}@(lc(p9lJFX z%(9s7_`ci}D10xkYg+HD2W7$Qa;~&&o9&dkxTT}L?K(s2xBBL$#_J3X->T|rYpyd? ze=Du5D8J57_N}0#xac}V;kWGkyxi*yIp5N<GBd6-q<>3HO-a7akn}AkAwKRpL+m%U z9k(u>+QoKY6TfN0RK`_24yDWqhwce)Vd<1^@UK35ZZ}(lF@tg*gW2VEoCV+1*34W` z=DWaH@f?e#@H3wU#tYA~m<!+YX)ta)+p;nH&@5BI$E&!6H_wWT72fm4_k<DS>sbnE zhr}ah+z<<&&KXx`>Zr|fA=@d8L)D|Es;qB^VnJS3TGTxOJ?9lXjYcge1yr0ncpiRi zV~}j>5O8XFAmNnE(WBU~LlL@W?4AJETX!~AXAT}l1D5^LhvLCg>b#2Q{XE~jaazIM zsMoSXx+#XEAU`j+j5qOZ+k$CgOi>)^iZfar%A7SeUpCPG`fJxN#f(-3v$X318}c~f z6?0k_h&o;4O+3RK!0%P@q0(02VPm@b^4M-Smji2hbeIy&nUWGfrMTdQU4l2d9Ku*O zC>4k@uI31txkGRP7pt!0qs^bo9-OpH3O4@ysjLCCpGK3j(z>Gtv^2T!W7z@l5YvVa zWeFL}Tbj$>E?{=hetY13*@x@LmzP`Lc&)IWc@N*4JofUkTgR4_S$AxH->|lM>utwa z_F~x!r2*FuMza^l9x1(W&GF{jhPBNb`Hom|yk=O#y#DsVaQ4`kXxWU?30DtZeao<# zc{SfEs|7}aQIEVDCr$V@<<pc`QyxvZwI@h<iHWhH?)ocBYgLy%nL4YdMWk@4oU?^d z+)B`Lh0gYHuE0|Ps{*n<cnNZ`G5z}*t7&hy(ssAhOGmqV8iL;xWTYkYuPoZTFiGiA zuhkZQ&?1_^rf)S3^>yZ}&i~8v*MIN!@aE~8S1;aOVflNT#g6ULPt*F3ZCh5h_|lu> z=gyqU6PdYpdW6J{-d~%}zj}0QS76k)z!1n$|K_W-rhvK~)**+Ak1S7rF8k=po;z30 z>~WqkbyE4?2~TBbfw=)QzJXTuh-Y20b5VKnxK${T|Io&XADwh>No%Po#jSAueZc9^ zL2lKI^XxyKmoNPF=KZ%XpY%lL?VS@Vd$)Dg=KSvF>fHf(-!gKtv&6N|*1b8i=8e<D zo7J7Ijj=2Af3MM7x0ZWpD!(ghD{CohYU#pNPM$M3_GWuBu36{1>fPkoy>_iT{Ikq{ zT`8S^F6T?<YrBazUtGRc%eDEU`r6-5QWvvE>~>Vk*}{-#u5rc9cf&gN4`sFtTV6Bv z#EL7tt73?|&U|B+;{vm+qEg$2Ew34O#PUCQUBwV{opr%(xrQ6OT8WGOi_BMCc3bRq zXMv}*Zo>`UNn0*5O}V?kLt2;N7H`v*i|VVSpJXiF{*g`h){E3Vj-6&z;`tL6_QnaA zdt7j9{*^ajey`ovSo@227g$QyzS>haX^&%(*{>~?e?=;rALV?}{LFUn=8LC$9MjFJ z-0vtDO7ER*zT&jo`e(d{HeH-u*}N*}OVT~*ti|6y#R-{J>Aruv<>K=7uhmyqtLJ_> z`Qg{gcX6K5wNiWPHtjaQ<TjsS{rp$&TDM$O*i|i?`=#?p>4c|yTg-m7l>MDj`hG#L z-PBn7#Jk!Vi~W<X`-gvLyY*t}p6%z|@^5@?UtRq+=S%bTy#;2!7QVh`BK`M3>HBMY zBfp2;e8Ik^TF9*Gc(t!|?PJ@ey>drtIiz{H_VAtBt-s}>!oF%JY2Hh_`EKpz+qGMM z%SHb3>(h7dyY=<>I{TV9@BizTCSF%4x%c|!3-%q=R?>ezl)X<|EPv<S#hfqBxA)HI ztxMQ_f73<&Ip0H=KfHc?c{lTo(}y?jX5O)S_HO0{le?R%i<5UVXG8~kI~(t2w$N6V z=HAUL!2Dav;ks;t`1IIHwgsi^8@5&$!g6!c0nqw-feY`G9<1-r_t$^_E{Wm%y1qO= zzIRCqpb6z%-M2{&yl>BdCf1V@ba>vJUY-3qso}KW)=h7^7<G7JZi+I8g>^Bm>Tc8$ z2CeR|xW_+#?wr}*4|AM7bNV;q<xNGO>K07tso5j109slkFC%HMQx~vD-l4|hPs5Lg zADkclP59CH;qU|YgZvHOogcLC=dY9h@veOzf3^G<y9amqE6c2k(!<@&)35Uves7*L z_t47Ty$2`meN&gP`G3K;gKz2@eqZ=@@a^H->^t}~<*(SSsZIFZ@a^I2I*Zr46&P-5 zF1XLS@PZ$s<B#16DoqI$9Wyj6JTk%s91iSOxN)_S!RZbw<GZzIy>6}%VcE)kNQxs} zVM?sPnIrp-z2joyNEBxgaA06$5!j)}q0qp<#Gw!qD_~Q>aIm@k!s~<0?EG>z6>%Wq z!-I#Psy;k8c=*ZJ2Ox2!bs#w#h}?z>+lmhl8b5**G`AlHYwWn*%+B8eBIIoT#Da`q z*dteC^Cp&`H-V*4dc%qt9TgE3(g_|G8XOhU0-&W!(ig5b?A>#l!S*-Xox5xgZl1h) z@h;n$qgxN|z00=arl$0*S+{Z>X3d>9bLzy-yKFtJjiwJ)GsbRbjN^Tfbs&s+Qy%k{ zH_{DP8CJ2ym>zg#w&0YX^IDN9%tF(f{k6Zo+47p>Img-42baw)DmZyig?*Y#NF1Mr z<%A~=EbJ3y9OC%oEIAGyRATRwF^S`oaJ=KpCt~TbM@HdRzl0cH93RJ96M+j}?tk>y zYh^wZE-1IW@t9$K-n$})<Bc-x1=E`?tZR#tHwR5J)%M*jvmo;2iJ6g-Gh;0UcFXkK z%$zY*&(J}a_wAXUH%1F`e0mrYc^Hxph%@ifW8Nci;An#`TZW-RH)90PhFv^6k{m>t zSNOEt5-njCDsL8&uBj?3>btN<I_Fk;Qq;<&Yv=5d4!GqW^^&bWY?oul?t^U7IU5eL zNvquB6)|_X^Pr&l(C)^EY%S6Zc?LTgAKX>A;P>C`#ct^zH#Oz&&AWG3;eFn@b?@>V z)Srv7mz!5)dnZTlmR_-N;@p{cc~2eNXC`o4;Y}XHw#MshQPL&32TILvY&w5v*QQIn zYs?nxk_Ioa`&c>MX1c}upyhM>HeB-6jy<)<dzSYM@9Eytyr-0}yrj17Z{^#w+0k*< zpQ6sY@mBVxFV?HNo@@5%RJNJ))@NU5_1^j%b=EER%)0G4S5n_bopy_Tux@kCm8I{b zPP@G=^G#h`S)5Z*nOnYF>dMV*hUEuuUsdpDw&s0ja^SLpKeHw8Gm{0E7x*<>@LpKQ zR&edeo2-Jg<x&%3c~6-L?3U`uWGFM`*nD~Ynt=8C!pnC_<=o6pSn7E7>H}|PJ>Fd= zA1*!cX4c`|WOCtBf_L-k^Q#-Dn!eoQHLuL-kyhcYmCr(N9ogeGbIR0d(<e@v+}{)K z>9X|c(kD-nABPGhR{qpD^ij*#u8X5^s-C8{uD+tOs`|mEDMITfabzzlshBD-m23SZ zMYjIy5(05T0%l!~*Gx-4Pm;K$G4;(PmRm3QUZ_6Yd{Op<cTxIc>xStr=iJI~=y}fW zeK#dm$VB??$6YGPX1j_@J@@2HS$ahE<fg!BXT6<L7f+vY`GVl=nctiJt?yphBi~Zz zu;>W;0{NC(Q#W7s^0ry~<#OMK*X)zOH~Ur#Roee}@Uc$e(7lh%$N0tGv-f=K>TLhc zeB{u<-;9Y1rq+EZw`bTAyx&e+_U3N+7qt!L_9r%9-ld((^Q+-qok4owBavpi3A@z_ zL5;JV>@4sYPD*l8VL^WQt82%$Et}R>2D<7^t!!x`sJRs#6$z%oSCuZcYqC4BOTIz& zoN@E<-v_74TAt>}E@v^b4wY8SW!WNl?oF0knY+g3%Z3{b47C4BEqvYgU}>rQjZK#Y zH@WTDrJQhc<yDS_;f!i;N?5LVd3r@?a&od(#Ie@g%6z_TYF3oyvrva;sj5q3)z_MQ z(sH=u=PtFLNk&p!bUl-Uijtg^*sanJS`Gn>4dIOSVP1!}PcIi;kiNV;Y!!o*_SD^6 zGrF6LcXMR~H_V!<#qd^3!Iv?e%OIHHW~jqVCeR3#$cNPH6W6U~(6@ei>r#-zv{?5I zA_=9U0jW#57HqmCC>$omm9<n^MUPF@&OL_5;%!Mvu<>Ot!yQ{Lo$79Us=_u=oY~V_ zwXtSPkf7q4EgzE}WGwILE|X<km=Vq+pwHG>W>u82RHZe{Dr2c~qd2ppgSP6=&)TnE zU+{eNx$MJ}Gcp@1tut;V2gOC*;{&gs+|ImYZwH^El|@;`n++A#2DdWV1!RTt*<Gzw z9c|tu+-Uqzrm^MnLHmOTZZlr+U*G?}?8fT}*B7p1j%(k2``|j}SoR%!#j;QG+IR5f z%U-kEQJS$^_J-ApQiInMt}(tXvv?hFO>qr#Wc$Y3j%%1B*w^vJ%kIf*U&j|MyUr@3 z^u#XN4OS7YWh-7eluq_(sG6owIN8qH)YARV65rovPVH7p+{ZFe;Nnh&)!o^z;})dW zGhStl5RZvXDAixFNj*_X<creO4e}pf-mIKx@mAm5)L36=+1@3os!v^KZQ&Q>{8=&4 z<wi4T*|$gcx2_Ix&5s*CC`k%&#Vt8_V1GBui{s@Je|`I;H%V^ZUDsW!XYckXDJl@x ze7E+k($0-5W0!2*vbnn@&8=+Wsbh!qCe4_u)Tme)yF_0_MMY)F+U^z*NGY9I1Og8E zeqiwY?8%cm_gKzwcXyux>L<Q<@#3SLEC?9hTMPoqN2*Iez@(zT4+Q?a1NAX42Ht~o zG8gT32ZMZwW{<ZJ%~S7!^e$H30MWdq6ry>}J3&4WcyaU~2)x{5VPP>{oPD#A@aIo# z2QJA?Dhm3<rf_NB$3T}3X$JS|W3Jkvdl(rKmn*lMGjx<`Wkh&XFdJmt7w7m<&io*~ z|M>Rh<;)Y(?aR}{%b6Y2#o51KD`S3eY}>N7GUf?oVhqNcq#KNWGxV@3ykT=V<G1s` zzCC#iyWTJtY+X9Fl(`{QUW#EgLkMfbE2)_p4>_Znj+p*>a^=k)?dKiYTRJ0WR)(KB zot=`I&ywj<*{jsqWOHGUTuxe2TvSjTzm~cxXj22f4adV5j*HoQ<QjI!>D<y*Q<9Uq zHT6SP0C+y|db#a~$G0zoT5EE5Kr7ad?v3StaOc*IYc>I!wQqe@05!34bly}cfEKGC zecE{KYr^Sf9sUhAJ3bva)vP6aoq1Kj1tAqX>-TK_;9W`6%bAy@sy<z!^5m|-PYtD( z<j0Th!kTq?1}ZWlJS<;!OaFVbrT_f8>Z-D{n=kF&&3N9={6_AI#j_`Ge$BRHx8l3A zy>Ig}qJy1xOJ6#7=ES?UO}xp{N6Z@T@+L~}GpopbuuFPZ(~?i`)``rEmAxBtXX+%- z7PaWzK{=p;FE#vBPmYhyo7Y~ApaZx(Q)4xCHFZ{MYih655)SXu64}DSdEWcW&6Ac& zpM^4SX)a57th(&6bBvN#^$B;u=^UFceG)PbDw=d@-Gs1J6`gWxJs&B^Cnen%x$s^{ z^p>We!o~ZlUMfa5E<PeMDqFHW7fzkV5~V44PnBtlx=LA?RZ#j;<&rQfqx7XNh0{6S zojvR2d27v-j$V(qLThhoUX%>HscIzrdy-IZtkMR>(_W{nO)}*{8!GrZH?TjvaiXGK z>@BaTu;BX(3u_Y;A3l&3+VUK<lf$|88)zp-Imh|9bL;xv@twW79ki1}(Y)GZo9R~T zDQWhgogD2a-s}bK<hU@sHcb5bHQ7s><QGXDlG-J;X_s^8LKUBurnp%`^OWEHdU>-l z)8g&hET`hiOaoaJma0~-ioPFT-t2LjGi!ResF2X9Jx*XSCENo9UVP-@1OdZ1MKCy` z4a&7DCKauqT-)+z-2@N_xF;$E0*iKo^*ZH4G<&>-Xr3Ai)~mPyqIpYc>z0;vEiD_u z7OvI~F>P4G7;~2~f%iZbLr6J8sG7t3;Ec}mzg-SZ{%lv;cVUnJ?3vTQb56drZsMe# z@0u=4m&VSQdO!Ke<c{S6{(aw1e%kQCPEv^L-tvP7_WuSIMe~1s`1Ix5t2ghS&Aan% za?HGY^X}fgbNP1dq|3KwPp)p-?O$G8<X`wblV9IIzkl7icX{tT-`btDT{`!!x{2|o z-yvpVW%G~BJ1}q0yUXF%Lpj&2Q@GH6Z@JEIbuHoVD_?tNcdtJEitDxJ>2?00VYe#h zuUK>P8He8q!8yt$Gvgu_L`H=zyeuUAy?NT)x)r}1O6@CZZ~Qv&b>Zvg>+P%gBjh*P zWz=pEYIy!PK(zt1`+8<L!>k$8r%euLm;&06Q6}opq0J!3RFIPr!!oggbwNi(Mudk( z1*?HZ185zM9pjr=#t%1NKYe)j=EYdXD`!t0J-BykEaQ%wnzv^~NzGz7vyS21n=L(O z)+HyE@ov7fUYo(d`m2z`bcP))8&n(CXfx<mGbV68@L~vKS|P*``l8f`F{<gva`oq> zuVgl^oY|RKIad!fPpvG!UU<peE{??T<8JD1>H^m@Cwg8yeDCXl^yTH1WnUA@Z68!# z_}uXP;k&96n>9+VJI}rI)quU+_Q=jy{`|66jc=<mqOF6ycgtO{nNcb5IpA62n<|OT z!3Ns0KR;b4wXL|hl3kBKT5gL?LM(seH|4O<uvd+zT!dfba&6WBn7LGWUf3<mM`nef zu`Hk6abfq=ysc#y&e^iM<Mx+~<%+XpW=rG;FP^KXr52SboHY4iu9D1_AV=+7raium zWxuvRzg|B3$Co#=KF#`&cR4mz=I))`NzKXC#k+lTv(vLOw{xELS<~~ztk<;FwA8fG zwDzu=e)T$CK8qKdF0DPyI9-fAQYN9WAT^wCl^%PTOn~9c1g5<{3svoG*On|PK9c$< z<yOk6Jw7wStb#I^PMI`4^OB#kqWs%i6*Fbtmb4TKwkQcdO7%)H(vjiW<I~hoUsn@m zwWxgNw@)8tyqodnO_X{0%ok7YJbIvadG_3G8zWyz1>Z^y+I-n~vypbH&~BeZu`}y@ zd}6~+Z7H3(<INP$?vq`b-JGwDVhuwL10z@VbIuQ#FLa&#il4ikt>xM)>7Re4{ECT_ z_c`r#%G2}2YvIi0%Kg3F*V`}pIo@$TdgOKUi{y;!PV!E>x#H$KTJyeX{>Zq;t`2d( zp||3@NgUP3-P{DqGXo6;FMiOI6%*oPyQk`Sz<$5#zTH<Kdr7B5H_A?bGVQ^;pgWgl zM@!$1x)mGbY;CM9z1uq_DKR0g{N?Iy@7H>{x~aOccU5(MFI}}eWvff*A(t0d8N8Z4 zU;do+LZ)$NWjODwaOY=FmpqL%4T<BOGD%5Eaedoyf$qNEaAxHW=c7kfGhPhXFyTX% z1kW4hKf9&={P^(g%euBbyLawBma$>C)C;!tLJQ|=vBs%7TC-l6{hN77_1(}%n~(3_ zyxXgxwywURvZ}hKBJ5Y$)OTw-rK2Kd&%ROeMr-BG>5-dOKUucr*tKV6ZPS)5OGyh~ zx^8tyn*Wp?Q#MT5Hf77YplNd_#b}1cs;UZ`iCmesA^ZIEw~C3&=gpowXM1|fvQ)Ka zZ+EWgSu$sj?WAuL`fU4cmGb;M<{gteDtBz&(c8?6)Hg2Qz`pVMhi?*PY^fh+NKA3; z*s*{|%zlT}ozMWbj_=Ic-9n;M8Xum0FyTX5K!&{Nl*R{V6AYLS%ywKb*NrvxLAmjT z-Mb|p+`M>~=atcf(+Yac+h;S*nVa@t+QC^<(<YP|2W$@BVyrEzxm$8Zs>8I#8)p;F z_#Hm5=F92s*J&40mq$lMhE<gsA2@YDt659foLS47cWqOccZhxd)RwtrMNyg0)@CKe zMcoSh6t;T8RrlRt()m{>77Df`J$krSNeXo2CDXmE2cYu};_j_|_3-Y^tD!Gf6>YZ) z?}b#hq1#qPMP2QA6Lxx4^3@H|qML&^=<92*EsD$xeI2&_jmc@RjjO`8SEViw4U1Z8 zbWr$I@S{%+pC<hH^5)5%JvOsugk@D$>eXv~*JG;+vzk<%zO+QVd6%WO?8NTPmjo@e zRSS1Z?76AQCb44aP0{Af-Hfy5&YBvjDan>3-fU=nl%ZLlXPX{dOxdc`r7DqOT?eO5 zO$sPA+^{K_XNAuVQ;{p{lvVBYr?$+kD#}ZXTeWQNq=cxKOH);sK3VE_tKTK+We110 zEN9|U<=*M9KrMa+k+okw1o5sdSu(+@Vr5H1ec9K|mjw5D-`#v^qK&rf!NvCiU+Y~x z=lAm2lSdC$<W2wOmJ1#>FwK(s`X=b&o3p3hc$vA0Zq^ok6Lfe*e29LQ_|)!Ir7NSa z=xB+3?aFdpy6XyLB<jZ1&+lr=iu!Jx*<(GctgA9J{Pd~0CwDt%E}t~9thHu~cBspx zr@ot(w9J{Z$8%zTuaeUp758IDTm{TM1t)&E@Ig(K?;j`IJr(c{l-XORhqsrPPk!^_ z!LuiML3eJ?ioWfe6m0D6yxTMVTWVrTvbpD(c`R>EdrjUmX-8hr^to!es<En>s;PHX z)~Kw$uw<3Ws@2I%S2nYBuKs*;<w?s&uX57DlH%fI!5gtx3;(RRu6~lGSLEWw%sp0p zmX?+?&DBAm{nN82AYgNE4hYB`n>`r>nk$MyjrSrpNb9}t{@IgYup6|Y)Y7s9qWSq- zh~^b{L3-!R*#yzdTn5p+Ef3uC@4I>yv@bi7aj&+v^1Ci|K0d!^kY@QpP_x{^!lGZC z9R%7xK6(HG3UM+ZFy~-*GYC8`FDw9oPkNvhJs;m)h~~=O7GRJC(Y*W(L~}zdNUzMC zwGhq8rG=n<-XRX_yH0hieq^ax*{k%nSE^U8*F~arhg;vbo^Az^wO2GQzW5-eC?zQ- z#1*&rpo7Ey>@U~1m-qevZBl;oVqG9)AEs$lc5<}$ZnrGA47YT*)axgDeBMa)w${cf z8|bggzOr>{Y44T|J!{rooEkW_GuuTp>e0Q1Ngwu@&sTmkYo%sozLur++bZMAe3N{g ze64(q_rA-OAMeeK3E5+=AjJQkcah@5y_zC2Z$AINy?M8JRbx|gU43==cQ^ln0)PJZ z_x`+n`Sk8=#{&)r9rxMo-*3CKdU3h%_p<y4cW%7%y&ZLHZ=myT!`<fT-;^88Bfh)k z9KOc3mN%l@`C8j*-Z1G^K6iLS7P>BQRqe9N7vwyDPH=n2=8VlAn>jv<rQUj(s<QZL z>SNjbi%b44?hx4iV$!BdpM;EpiY~3|51Uod?GhpEa&WJ+%f6TK_jQ%FTwb(aX@7J} zL`Fr2goZ^%xRbUnlg_T)uJx{<qf-jEd+@zGoBLL5<I1HA=ic19;*Ho$(TSqHqMf3x zqK%@JcNeW+v`%T=qP0qE7p+;eI=Uq^B{b9}RcXtSt&FN4UuIs;G=6le;8uRtEz`?h zt7jx7PUkq|w{_319eNk1%@qnw6-*S2jaAYXR_$2fW3fW*%e97EE1#+qUOzv1fz<iv z%aO*5(l)zoR@?mS)3t<KnNOdrUAX1)aSu<y?QB6ppFUo5F|ull*kjtOC?%QSyV%t+ zgr%?|TgXvG>7JBpcTjSKb44~6BP;v=Jl_Wi3J=x_ZqYEUHLc#>cHZy(r#Gw8-{$eo z+k0>7qrbZD0cY>7J-J!KwA8fFw8XS{yW2UxhxfAXWZlT~ojrHm+`FN=Z?7$w*?D(s z&zoyKt#?D0Eqi^fA~!27P71Q$+1nV>W4P1-=`mzNdJOt!Aw7nrf{-4A38cp`oek1s zxO4{GV~CZ4^ccJ+LwXFEkRF5HSxApz>BUXU7am%)bC-7S)ul82mS5I<F?ZUA`F`$q zRxY1#Rdf}XDrk`4+>{S8ph1Fk3scY_!F!&xrJzBAxiedMK!XJ7E+$Q&K?39M&bF@3 zj`C*{3^q(C_;8Nn-$%xOKkv@8+q>I#?;h*saP{<X_ww{-4{kkraPM8uZ7HeSvu@4x zGzM=DH%>{6OG->Af7YG7?De{flIyG&i&{?I<2du1;}plq<{ic!#u>&LE&}NjQZJ+m zqy?k}q)vFuyND+%W{+c!f>8bhr9}^Ut#njG_Bb{-IyN}gnZNKqzpl2tpt|hn>F(W* zB{j8Wypwi2RyvkCmN*uhuh7r%)qVShZ6SD|dI4yG$vWvLM@6@YE)i`hZQj(hu4z@? zgsHUxp)dBTIe6ZQKIG?am;Ze4ys}f3oR&?-pC)}zyA>YfcklGdot90PKM5IMUN<%D zRfYai)5w$@9+{QiC0;HzCMtXUTAQ018|rJXpFH1rKJ&cidCl{j=TE+~x(nL6ynLsH z#rD@<o?Ur%<k^;IOP)=6R#G<i!kjawj;#}(8FAx!DbweoS4FpW`NjA}`9=DLU*|l1 z^3=*xM@koQvFd)TZ1kuoE6R&oAUJF0ba4*QGzU|lmz$H_Em4gltU?cOaBQh)lyKBg zid*2az*)gr;ShI-g$9QR$CvU(hQGhQ=?TbBkPDEzaF_KE^QP5{XHRb4?NI2DpO@>9 z<B;u;DeiH$j)nIH&x$upGbi?Twl-GAE?BR(PFF#9fzE=}+%2prtRbx6961$~BX6G6 z++3OJc4KAQvRFg?w_3?FRh%nRwYF}WwPDtpyvw1%SNl6}yQIqh3V!sj;g%++w(QS0 zTP{r${N7#+I)iD`r+?s6BJA$Tdk8AZNWS-f{D{9}{*m8{e>DF{uBhj$=Xme`5Ogfb zU&b%5H*4RYH}&6(zYp%t-($VoYS+#kzm>l;e}9&Ceg3xjTYoQ3yZojq&8@8d)SEBq zZ=Sz)f2029U&G&un_II_dwFhI^M(EOzm%IV&tL7o>L1$E`P(^F{ugJ|qhk|3yx9^Y z<fkora*rXnx?ZY0HOwn>=_K<sBQ?+vftAvswhh@nk9!NZ1W$6+R%J|Fs@&BXR#lNK z@OH}uuVl7lru?1-bD2K<J^JJB3_I()yMt!V*e%`sy}TCGn9XRa`LfRc-rYH|cfT(D zJn?hk=g!ZKyY2G7DTB_Y%)IV?*6-q)FK5=A@v1$xj(_IduGYp#&6CroPOA7>5IcXJ zuD0yOPX~9|MV46=r7l$o4fAqJU8=T5gzd|rmRp&fenRubk6oT8oW^oS&F5L`#Vx@; zr@T*d2V4HskgQBpa5ZQv*kb_R>8<bc=;4CIhpmbtU$|NJ7}VER)>MnT@%O(w_wLY@ zvwKe-jg_!4-@0x0zKjj4cT0ggE$iC0Z!_7pb+sdJ@0&AkvNWWB3vut3I<RNUt{v;z z*6Q$vN^LNSiRBHGTH!T=W3T=K6$^XY_ukJr&iJ1FJ*m<%GqN&XL)F#A>E3j3ga3Q0 z;E{z%k7^xFM08a4=r=dkHq?JV+RvZw|9;<-yJv4+y(?~IX+C@Uj^Cf$Dj3;W^Zggp zo{v8t*B|eH|Lw!g*H0hb{QAV)xl<=yxI53vdhX=z=FO{bzpdPS*=(EX?o~^_&bcdR zQZ2OEXw$rna)!H`?#?r?{`=|Crb}{bZ*NXrZX0PEzMcKF-`c8GRV#d2rtQ#M06xpp ze0}$_scBJ~&z3HYb=O$$y>zahm)otYM^%rM3MMXB=?k;El(AH~r|cqYi}$0_z!Jj} z!4l09#XWk>Wl|h3K0SYw9xlG`^`-RX)#X){*ICc|t?PTY>kMdiELPmSTHH+BWV)&N z*4LAs6+JV0mh>#BEcQgqk(fO(hcs06&o7xf$-%BGV~<T=nbo0;rOI8?*<0p*`tU&{ zPR`j{bxY7BNA0Jadu*C)8f@xq>TGIlYHX^er=PD=;eUT_-MMwe)7$;dtpm*o<V;`Q zo8If*`}~a8<fe*Kdi^tPZ_bF2m~s8-&rO&0*6HeMKRvlAXx{46%}0}u2B%&Z6Q16F z%FoluG1}wKG@)-Q^XJW-Gi!V5Wk2u<am;J?t(fxoj_{LbkshGCmlzlrE-*ZnW{~hK z?$Hb_Wfo!ZT&!mh8z{ozctWAM$EZyqB_pS8DqD9!YTb>_E0_0MU9c$Vns@c;ou?f# zMyGDhefw#$i*!8u(XOO$->JMy3$>R=O>62~zV-N5i}vM5jupz-yj;BVZrMe>z|U4} z8?Mz(H2%L|gEe!lOTW~LZ`)&-tA1<TzACK1D*o=&ll#4%n;aiMOS3BYaW49ZP=}FO zhwh{-X1$e<lo)a}zX%*Vs(Z0({_V%nAC8oHEV-^;D6QR6(>%!~O0Tl?M_pc!?L);a zmX~fWy!GXI{-@JU0-q+muYLTzdtcnmS9fn3FIhX^At&+JQ`?ixi+-}n<?rG%+_Q5> z66c1)0uL>?H^;i{-5s>$7R&Wrla7g~OpE(sBw|?aT9{|)Zm!PsXePIiY1qo^bFSRX zpLPA1u4VIkSEc<nIun({?b|<DyOx!P&%g7*DXHb>no~`>YbS(dCwA)io{r+|mQo7c zn(}z1(Gw{-#U*!EWYsQYYkMWMO(XBg|6`xxuO-D=Wgor5^zwmP{<BN{J2=8@oesU< z$9lBB>zdB%;L9g&zMYl*@JD<~%fHXXQ`inXU2>C!cjs)b1n=2LUHqgEA51<tJ>5@g z!NCQ_LM{oHe~A}Hes(;#U;o8S#ryl`EN$(~G5GF~RdVlC!KDeFGD@p0D<@84RNe1B z@yG#BouE9=Y=71wChw@P*3((LP7AyVk~cP&H_s0=X3~m}QdeKOdJm&y#OuYK?x*%# z-gf_c;;y{zigzw9@wwegFRITx(ajyvchGB=;j)?Wc{BD{rx?soJ?o&iNz#5lXPNWQ zsZ#%$E_nX%**`&MRsQ6<x2G%}U*s`!cYWE>+<!1es>y6a!|R2AIF6h@omDG1`N?YL z{P=V28&-W+V&3NTGi%$Q5=EtRm5upj5juh|zVX>j)cHS8)_(J!X^Xzx*x{!%ao&ow zyBS6C%lxxv9GX3Au^;zcw*FHZzHuSEcV9L0Y!Q?9IJD*23Y!(0Y+Ds>@6+J9{)F}7 ziQH>{XUX;Uu$Qao|J{B`(nn0|*%Fa+x9;3!TAV*EthqjL`}Vgx);9Wv)k{5QU3{f0 z(|_7o;lBOZAC62d&i*~Qe^y85){VM9jU03<6j-iqa;`n_?6p)7Yui<W%ECV8X)f2y zPpsy<+WETrSRWf}^X;0JCrvww7xqQESuHO3`B!njl1q8v<8vivZXDe8vD!ZQVoONn z>pwkCc@>T;zA1Z6(-Y#)t>Am5#>=Y1`1S<Lq-oNJ<=(2thRUoeKEsyd@+aB%>Xzq^ z_STr{EMfEBds%)){0@tVc|BrmHZ$cj{6ozCJ^iTZJb`o5>VK2=%Q5--J(>|Vv&MBo zrEj62(C&w?CmrI{S)}2_kpE)Ut!A_GW7(667tLG#p+L&NCcw<$;K_(PH%orh=B7Q* zSR`h!;LTTd<7t1K9&V7?oap3k(X>Uo!YyNFvLSa~&a0b-8dE(Ym+dRBOg^YwY;Sh2 z=F;Cu6`w2rTPvSG`De?48A-Cn#{<23RBu_j#4dQh%;ksP0*<mp=B{0<ruug@+HO26 zS0{hkv70w{nP+W3!z583t6eYkTSMb>+BG-tl0LJ=n)l8IP4BM90grB4CNlopwW(0$ zb?YOQva@&CZ{535q;snEu3FTc9h+hu<U)*&Co(T!n|6D}=a6?60-FRv9TuP7BFEjp z@MyBs8$Y*x!;%XX^A?-L>}}jQ_u!jPpFJMj^Lbo)tgfJBeLz}rLe7`6o^zo*r#GFw ze^948EnN8hoJ5<mnTzjjP}U5uw&FQ7vF1WxpwThTLyGe*yQJJ(ykpkFH2G@_!e6k> zKE1*8^SV4$qXmJ2J>SY?rf;fqc+hY1ODU(=WctfLUm3Iio&U4;P1VX7lPl(M3cIJO zeZIv~^I+W~hiprg8*lY1p8Fivsk_PZ;-TK3^3JxIeU~53+|wfR(=ID~$Eo>x0z0Pk zIZf*9X`Ns5r1ts0A3i~Hj^*<XZCD-Gcr&9Z@j>UWy_z21Jrf=51$iy{&#&fjXq%+? zZTgqui~G%d1q4<d4378_k*N`9dBbdlM?w5dCLzC>c^PSL-iCo0v6Y==4wqK%*i&(6 zhraiJ1GiU^GK^Ax*1SHD{(mmZ;%U<#E@Iyz-xyGFL51<(Q45VN8umhmCP#>F)A4j$ z&>OmA-Q_Q5x-@yDxn(OPO{z|b^z`JPJ#@zG>6a%-$#<9(Zls+)_mK0}DxRP`m0iWX z)mwvhGh8>4`g~2O>*RmY!w*FsX*^%{u1R{$6Zvqz-B0f)o{Zl<VZ#J*)g!)J{#fZ; z{_Dk_a8zP%W9C)Y?W^<z{=c8Wp|p5{&HYz7Z_3ygu1jW%xahWY)y#EK(z;gn9{04~ z?(FD&SYVZT{N6`qSNrdh4thPZA?FrlMKoDBd$N}v*y0+V(YOAkq*EHZ)Py}xz9q7; znMye~Jejq|=+m3$H(1WaesDjhdN|sfFGDei_f1!&&EkivZ*MtqQRM$}=bb;<UPs?v z5>w%}+9o>hUx@bFvbW3zo%+9mGj2_=_|<mm<w@Sl9@$rPX5VpaG%0oe62IO3v_YSF zd(FvbrAyELHrDZ<-?;m<&k5aGD!D?7O5YcMdlI#}Z{f$q%)uh<S&t@|CikB<R7jEM z*uJyH`m|j6Nw?y{a1Pg51*e$u1l@c79oF#PTf^+V=$)K#Uh3C-E3ao{cVu4KwDkU| zwcpALkAIo5L2Tj9M>n5J-c4RLA=F=>w(I|$59i`7qsmr&jbxb6^=Nk;!=<HPI*p{- zq&!b77tHgVez%A9#;Tu=7Ynx^->>2n8&w=4cV!A|)C;qU5Vy=vi?Uvry_Vx>UM6zC z>Uo9Vms6XM*6#4BZ$0;M&W9<}w?&>!J=9u0_q&0_#QE`BQYW+jx9?dlEUK}z_HLfP z*oE59l5*yqw>-UWwZEC>5PjEm$5|sKV@clEH*SfW+e~{HuyjSalZ({GnP+{af23$# zp3?G!<<^zoGja+R^V;sct)KMM=SQaN@vx{XlT@N!iDiU_Wy-wQn0iU|Md!j7erz1O z-|e%`^q*02w||Q0#z^TktM}y1dAm9;ugp=CS6KScaW?C}KO)60e9XFEaq@piVQye} z;UBw99gF9kn`~F9MeS;|;8s4iO*cEm=*;@QB?2eyB%Q_Peq=tfLgu0eTa(+{4NaAA z>J%=$5`A=3^3*}zCkic!cOT@>tT37~<@$y|&Na7J_pRCb@w9o}yJydJ9vlsm_5IiN z?sUzE@RMwBk4`mTe>9)}^3I-kp96m_LuVd5Io<Z_6~n}H8U_!(wOpU<xOCpSFA=L` zT2-2R+U0AW{5|*gqhpZV#IU0IWmE2TOZ|!X8XGJlZ(OYvyF0sB%Pwh}ijB;Kt``N> z+gNfxcJBG^dOOaV|Myy6e_4s9zI7H#3-2vtImjX_uE);rwpHJKa%5bk>ym?YktNfX z3WpSZGZs5%>dSlO#um}*hup;PZq2;;&fRyjU)QWz0YA>?v3$~>R<_(e+<eQ)FVW35 z1tn3ppPjhEbLi)E-uZhrW&5u8$vPL863{nK>g$!=A0`C0IKG>vxay_Dsed<g_`J6& z&6*c9?cr)x!()YFX}deB%9mbXxo&c_V%N$QDuKW3C!|U3+HD$CJmqLZh${2kc81)J z^KxxP8txM<?p>cC$g$bv<d4Ri$HcAET)*yKJX3PA+4>!iB3Mc~PTy^<HT&Ah#^bt9 z{baXL@S&BeQ+pXY=LtJ-wLMDo7CN;?(M7(sX8Hdg_L}_*tecf4EIpfc;zjZe^%|e~ z2H%x;@d%ZkxTvP;`TT_9*<})$DI#T?jjc*FE?U-X`m&JCp|WmugpHTXwd4A!?oR3z za}3i@eBs=k9P*6m;lbOUw;$`Bep4u@#J4x~Z40CE^gj1c5taN9r>V_rLe2CqnV+}N zTX88!r|;T1{ho_U+?&+H1x+S1u?q$5())bY$9R%<V(J0|zL^y_4hytSKh9wKz2b2B z$#o%)Uv_JJn3iv0dA8%r{=0D(rXNc*xWqc~+)2AjOGOj1CO4L?KmMkvV_)NoPNDC% zCMun63mB!uuG(5Gkv?r_#yzJ)Wx=_^^wYfo(_L*&DE1|l>q?gY;gVAf7ny$6&2;(t zV__m1|23;xopaWmzRf26)L;>3;ndZt2gKfGC@!A#J1k}0wpDM8yf%1>?|kve{n7nX zDG%oq_*#glXH2gB>D>1%wWra(v@+agLfg)WC41-Yo&9^~*Ssh1`q!;)jdj`}w0XC* zS6E%t*^c8kC#V@37;k%YrlrL4#rn_Z3nV4g0zRfbGxRUXw2Nf17BYW(_)D(m%FIjV z`}*fTSfd^5@3nHu|Gr$Q4do0+r=%#aTyyQGt{`L1?UPjvZ8P`DhuA)uFw;Mxo@veF zl`Hft(;1|%uSrnd*lIiZ?U~SHQf(if7kzo~F^(tkp1{fC?zF0XrR&nHMAdcDrc8XH z#U(X&HHY#wn{5@9K1TDJC50X4^wbo6kKC|At;i*Dr^}&_Qb%4Nmf@F5yy$wPD{@(@ zSIveSms@LZ|CbTyT>V#4`kUC=j%l+TpMH8~a-i+yzH`R2gD0HsUcapAhM@DJBYzE* zjxmVp<lmTcMlwl<-zwtJ*@;O{Ud~_FY=6Qlk$sa|!EVuAf>F!Fb=~A;?YE`Am@;#L zTy2j$L;n(&S9dI$&(?}Y&TqJAalYMsQmmQN^%|Et^?x32K{Hl3TTN3swamYY;bOS! zf@I%WZT{g|Ie~7zlU$D-UT^nl-{*fn3^e=KTe~|J+`2Yr|5ppiolLLRntQdn-dWVn z^GPe^YoueU)KkHrSzRw4gw1fgwBc3UvI5Twg|`15U1MF-aO33m7Utg0wx?`{ntrMV zMH4Td{P0!g;lFk6JI@!s3$OcH#!zs&n6r0{_py!Xo}tsveG>7SxpDcuqCf6TeXW{T zraiTKUe)<XM6WA6U$SyR_inxDsOj4*SKJp8IQvWZ%^K0ux?3&1C-qK$7qG=u#p!v@ zlfwzgd0YEE)B9g1J~@7^*kRNDH9}=J^<N+5GV-qAQ*XFZv0zR?-{G+GEitM8Dp{Vp z?0S(R{!Z~?;4{xKL*IROXZ)VMWJmSk4_C8p>+aa5^WQL3e)8%?YZ&U=gqIo!>D#k4 z)T^9y?I>kqh}*%!A~1iyNA_2l_C+?W?|RQpC@Q>D_*3up?}Ak~>Pven&*a}!{MRY@ zXgb%u-bBqQAq-MRXNx2@s9gSUAZ&bkk-_?F?Mo+Hf0>`id^Bz=TXxk;4c!Adme<V` z&ObjDbj5c0O)ue3jt9g9PF#+D5EUY}S~M_@dArnv>e@$Q2VP8T<SjP&Jy&)6V)s{` zjrQipQ)R9n;yyLw3U~EFQ}cWlO}3&#`=4$&tGW3YYxshs)m_O4{3B*;pWSx6%kxIi zofW62|9$l!u(0-)dm2|@WFyy!RY93%4?`_;n@=5%{j&Gl`E4s|#jSQKZ9fomdu7B- z?yh})!AVhTDwr<uU;QWidh5rN>vqgJbueeQU!benu9nN%I@b#WOb>XypZ>KcvT9@M zWp_O_j@`VI8>=c7JnKx#vyGgd5|+dM>3fsUwMjd3U&v0n63}!0biP%wL(%g^yH;Fo zTHyV3NxhzY$TF4>XEmQsKFz9{Qd=kZQ}}_l{#oDUat#jepV};We~h2c)ILPZhxe+X zp#Mw0pZ8KWYHl&O=J#{a<@1*=+<qs%t%ENmqs6+ZQC)yD*+1m>bk7RW%d>3R7KetV zCkTArC%=B7CxhC7^?sV6+m3FSAQ;3|kw2|KGePvyp_z{N;+mYLHvRGO>~^`JdEkOs z!(zp}zc1f7r5(0i`quVi&_B`UDPa<G7E1SLd@H>FYUL$1W~HPjYuPN#CvxTlZ&mkq zKG6N9+3AJLy@U03`;AOydX*iF-}L&UzLD?|6|J<t*)CWA@>YJG{%^1JkF9ThNAo5e z@4obCqsp_?#3>ziw~f_a+*NNnm!7cujHSh973JHx*Af#<7h0$DTIu?}dUi9c;oUm( zwW1e--`vUF_o!miEYqgEONv$oZT^cEvo&U_i8mhqe`b?o#d1C+E29gxkq<B1R=shk z7J0t$gPx?4QIKl5^2Rrpo7C-Aq}*zY{c`r-tK(5~Ow2F+`;!^9`C@#W)MYNmH%r_M z-{jQ!?Jtwj7d-5D?&q<-n3dlOwuQ<Y%@Dd)!10{@=~i*0y#dP0GxcR06tdgacFU-8 z6?(ZlTRh=v|Fd1ZYT>-?L7raO+7fAdw^V$-xoczX!uwHA8V+BMvv*n$CULDj=hp&} znAzej6KDTgA+_SDt8Ig>tO`q3qjTj7HTew2ZDG?TH!84*d$ru>bS!Z(bUYdm&6hC4 z_3phR_wJaPUVP(cxL;=7u9=#<`Iqcw3ts72Wt+m5Uc~cl(x3c4o7pWF&f~b)n!3UI z`~kI_&!QQkbHt1-*CyDo$Nvv6U88F&I`fnA<|QJFmYDVaIV)x9?{I6Ytv4U<nFT3) z;mez1*;uLrzNRp2T~YGQJhNo(W5GRo%isUFKdp4V-C@}s*J9=|^n0$#pDr$HH?M@@ z&dN1Ta-C;3&j0ga-=DgVK9A%aBY6eFpSB4Ho_rhp#9Bem#kV5Wb%~nPslRi+N~yVD z=DL*R+wscD@w?}#PrOUc>-=^ySZsFUQ%Z;A>q4ij<DdHLuWS3p>RcCiBX{JJ)8j7l zL#I-*oc11M_$bk9?KA1(lHT0aDlgbV9v#`aE6FwGYCOY3CiCWNtIfj1B%M~*6e%CC zF726d?e(#6mq(xEU(~srJuI_3V^Z3t#dUL1SNB%=E|&LQJAo^yZsS=G0o#wkliIuw zMlCsWAz{J0c~%Uwbj>amFVy;c{NdA`Vbf!Nvd)pKsC?fj5@mM&fsdP}simu#f2Yl( z2G3?a-Ll#R6|OD0$GQGmZfcKxHE;8xI46_IwQ4%?dJK6tzNCHQwSH_d`(?Y=iA#<z zif+no6TkAq`}Q5CuCI$EB_28%K1+9LxZ?UK!&-24wUpC4T_fQsHs{Pv7sz_;I`!q; z?^9<M#T8$6GRo$PNK}4&HTK08<$i%@f%h7EZy&uiH?y0Y=hj)}Y1~dNQQ<DTwmaYb z=+V9~x^B%A@&8}v?lzwJ_;Z{lv*2ob4XyqT23Eat<>iMZV|!&%-sH`G{_JVy`hC~S zS3O_0{2&9@gvaccpCw&aYIrd(zs!CQ)1#QPKJPhK2Cn~mXZzRS-2zR08`V!0=HLF1 zIE!cdvTn5le+Af`p1)wfDO=MW{9)aDfy?i>OBmTSp56SJ9@qZpZ0E_##Ru+Q`!4b& zedF>o8qD+e>nGQG%=2)twdeYhQ+M}w#G2JISx#q#UkQGAps?sne#a~=gCa|t-b_Q| z{mbhkiqvkb-eZ1_YyNH<mK!X#OlL}tq*wP>ikj$@-tGN!Xku|Hn~lOx(LMv8q|lZ1 z+xDN>GP#-mw=ToQCnYRPKky&9ml)2Y#hfI1?*7B=9p3ZSJvZ-W_kZmj64E#M#+;2s zc_}F>N~|-r8rgK5*C-b6f4<qURg&Z8S#d+r{cLU5_2O?fpYT^^{^*@y*rX<Ya-nsP zUx-4+nS)*uvK!W2yL~1gq>XhiV^o)(sEB@1WN*^T`+XN*Y~<5XUASx`H&2^IkG_0a z!rw4{4_oQi+93rYv3I7`JZP|-6gb85o1MT1riUN5KJGZMVRO&X1DdBM-TL}8#DZ6F zzY+(pbXI=l1HPH^#q$L0-5WMu&MbQV)gaB?yy(u`OWl9}Rjy*@6pN6`&e7$&>8*O{ z(fdO=GaUTurIwuKXF2%d<>S!1Y$7+bgykb1hpZ9!qvF1HLZj`vyDH`3JB{yke6k45 zlglj2-tWx4`blWYJ^AbHYPR2A?96YSH@|6vL-6GtvcDqI);q~PIdgS0uWZPttBs8J zo@_g^GDxC2kFDd{)|iZ*EQK?IQ`NUtPkpNVwPc?jzwE>FJcs;QepcMxKdIi>Univ4 z^Hq1T>1l?kcaJQOKA3stTgbLf;s1A87JLlV`rDV(a!QcxuSKTRi3G-;OP5kicKd8% zm?+a<eC1ZZz3izy<*!$@oKu+edu^pugbIH_Oz@e$X0JS<r|*;s^$t!j<dW>}Oi2m* z$N!<8HTK)O!>OkPXCGN{<M^q2J08zyIj*I&ce2u>-0fBkSAVIcXtz49J?zA;9=3Xh zq4fLZTN-;(6@Li+`t<W?>k(Cj)E`_9c~cu5qmQ)l|JP5`cj}Z|{;+}V=u;14PN^bu z(F0+#j^@k>ll<TN*2;Ny&S78H1@RVY6S6|Ie+gWF`|}pVBG1puTQd73tUi~n4m`p7 zz+&$LZ^o+=rbX?zvF`s0?Wx9JB;77@ZT@Cdy0k$`$)KavHo8@X-PPuy%Kf-(T?xm@ zNf)PXRJmgqse10Znk_@3NA85vZ}yzb7qVt<v6z)D$u{-9YT@&yi53ZY3M%tviT2CN zB<5RBaaB5EGto^xQ*v>^-Cz3{HPV{(1Sa$C&CQ7jmAw6!P5$Ab8Ox9C@jAzFae7%9 zd!^<78E<D8Tf|J`RV=WombH?+u=7W#L+ihJ6>B+c{^pqP^Srk1#azCmW8eN6?AaQr z^?iTi4Pk+^hfn18pZ{Sw!!IT5zWk>&QRzvGKG$j}3!l`RV;^1i>5_?_RtJYrM6T+x zSNzZaan-KV`k8e_v*$(4oa2}4_C0oZK6zEknI%?Lv7Z;Q@iQuvxgU8n`;aS>e~#s! z`K<xnOzho%rXRG53zh!zX5I3ci=~%{ol&Zuyf^3k>}g7>Gp=8}B6sb4Q0C>*ENQV* zOSihWIIN9W(Vy4ktUJX_U(4D2tD{L&H-Aw=R7SV0oajCtPQ^usedOJHnoJ%_DaAa9 zj(riG%QD#@Zkpn2@wC`bw{F2dlaHT~^N1~<BDt}5Tc2Mk`=8|9lU__{dGn;%Iv_*A zN$^AH)JKmz8CNW_eJdQ8?CjuS61zzISyH56@rg$w!E3){o3ELsc>3;@<LN>Z4m6$( z<^DDA3hT3lOy2LO25fuA@^AhL{X_mKFL*1|-py{k-5s;>Yh}eD&$R1LgavH1xhp@* zFa$15vVFBKq>2B|PW#QFyQ&|$sGDYG<-L~bEj@6h$Y@&120j<#UZIZ$N87Rvi7dD& zzRF)=1<&L+-ugGg`+jL&z0q>&CgYmwpPz~+?p>(+e0hvY!iSTGU9KptJ)AP3u~l>C zjDiCD6Ry36KO}`MC2v@4c2fOvUe2Xxc3dsHJhPGC?!Q-hqI5J9RbO!~?a$_4?D9dZ zNOp_Ab9UOE%?mG=Jnik=e@ewF&Cy{VAH!J-=dB+t4{EYMbrE&@lCnkTuU(R=(Ahi7 znrf8YGG24`TfY5wDB`yDmg#?aTKv6ycM1ILFHPr+zH`~%Yx%F*P~Cu;RV(NIJ{r+K zpDp_y_jUU#jv|K4hik=lTre;5IZ^TUTd?u9O$%D4UR0luT;CnRUFOpey{gKX<KZc( zgBn3R4^nt6Vx^YPd!7HmHT(W5?qj_btwnLSi_9Ktm+k9o71nc}wc(aaWcJz(w>Pf% zUsrbUoN@RPOMWTuqv?Kg;_k5(_OML68Z2v@qx$NZ@Wgx_!B2sUzk7DBT~YJr|Kb0< z=L1dZ=edgB;dp$-T=d4lmB*WHy^eWaJ@w<qS^azF@5|*>9~o+<Y4PbaTZa6fZnCiB z#mah_NA2GWql>@Kl~jK&S*qeE_nP^mZG1n^xvE5_H4-7M%g-mAim#0dtX2?sTa}iv zl_NYnv2~qfI?pY}4F!8^uY8Z(@QP96(X6}2`xgDS*mk}>tVvBi(mHtY54RhJlW)p7 zeW+#Gdr-N=%si>#`83s7Kd07=AiKnT``I5$ioGm7E*nm05H06ydch+V!^z0|M)>H} z?eBg~mCV{1(CYOf_{3uSqp!ltvlCTYL>2t6l;7`qsDF7?X+*g1n-ETU>y0)p9%@(a ztq#&I^-rGavf{_m3-WJvvTxqL>Ge`2(JT8@<l5z#6aKF?v)z+>$jN6ipR?$<2)3L4 zHHKS)Z?~3eo=^QXHAC!q*e{lyvSG?GmY&_GXYe0P4%(voTa=Y0Kuh7+!s&m-9p*pV zw(@JI^p1%$qCcxuD9?Q__~_KvUA`{yGkso4GS1g8H&K1|f?<EL>|@83d0x{~$|Pzh zSRC}K<H`GQTrd95w0Ez+m2dXgrl%wCQOL&h-={op0#B#B@8&P8?29@cPXDXDD|F6P zN1F!<?e6~*7oJ|)c&|ah%JGj=m+PwLjsvMe{a&@@OK&ZBJT2c+Ws3CC#if%k&Gqm$ zpEsXTt-xaP$0ZU%;<vKr9%pW;`@Q|m+dcf1w(Eaij+mI^#5Bdldv&zf-mYzL$|~Kv zZni1LvGBy(&k^rU^Lx;7@s8ZpOrJ>q1>(_xC5;pG8pONK-+UqY@~B_tMMGs5g+N({ zy%|YSKITrGee0Fih(C2>_`f@8dz@ck<DYYk)qWXuEIAv!tC!qeZ0kQU*QI7-cSPD< z$2%pW?{;$kdByc|19R{3!adJty>#!FxwzD9rJqQ&N`t<X+k|_n(Q7*NXPrOODSU;| zyW@@F6Yif$#j`9oHd%e*xpS=M-i^8~RgPB4Q(w7kb!GUqXzB0irp>#0!*2=ReAe>b zeY^CM?6j3}rO_q}T2d|w{yWVpx?#cFsTaK}mE%wSUE_M*FRR%0h`B@iy1Y)`Cq5I- zEjD$i*?Ny-@9v-eQTsGDd_8x*V_80nR~_4@zcL!Vy=wENFKm6fS3E>k@{Z-wtB&zk zCW;;V{pGygAAz9cof%<ibNUiy+u4PsJuLdys`C74?qB9Ay`s)d+#2uW*r%V!`*37h zw9LyAflk-?`)!svx}V$6*&aLV;eVg^KlT|)AKtg{nqjrl<|l8Jc0M@n?&kOVkm>=u zmBAWcl57{wI2ZHqoY@>*qyGAOHot$NIqA>ZdbEyCNY9^ouj}+5|LDp8wyj-|YM8_k zUlm%SJoV_iL+>8l_piS^_4JiYhyGQmr{8~y{X9FikTbdV?5j(%7dVyVq*?#|h&Nxm zUZSol{=}V0OSaAZx4Efu<>o0*+ft6zW>n{|;L5Oj=MwzX^2Y~h;q%MB7Wz(O{O~Mq zu6^O#A9p=cRlj(p@``fC+<o?6Cop$njr6sOl(xKkORks2N^M^J`eV!^`}Ow(E0-?~ z_c^?Bi9&rDuf4sjfu~1s*P3}{)1tytO>VWm(R;q!C36p_tpE9MOMgdw$(79s*`b~D z>UwO*!V1q_TcfKtq$XY{dA{8=k$>XKi+S<4A2BVu{M2TC<G=Wix2JEnv0J$QY;U;v z?0rVcJ1v)K^SzK<FK%+d<gRVjb?@{!6NP5?K4y63mAmz#_SDpS-p=7$K5NRZPtSVa zd-TY%uq(fd<kqe_>+*K*##cq0@Aq$hGxJ}xx$NHMBF9S(-#)l^bzz5|U-`V7-s(1& zCcTj_-+sXJ4%dCF=lZh}wiM>s-@3zkyu!fSma%;Om7TfkgG9up{!Kb4-1IGbcKnw2 z>emv@=Em?uec0#qX=`R4`y1EQ<r$%|$A9`y&Gz<Bvw3K{de0%7eIeHt%nK2lJu5eb z|BHo6*DY(yS2f3Cr+>`3Xq%T=)ewLB_P+mirayKFXXQ=#X?5Z~x1Po8gp<rp+W**- zie}HY6R>V>z9G6{w%=UmR58tYZ>JkBPf@ufozIt?@9MC}c(>%G{HBKyp=rf8Wm)_` zY90ADb29_i2OrnnEi*Wt=*_sla)S8!dC@y3o~rs1CGyMk$A{Y4um9Tl-v09OXpqY~ z#^+z+j)~g;sa-v1TXJj1^kcG>yP3Jfb9tqIMYETvteccmJC*O9hK$PHe3ssqSu7z_ zdmnJOwK4ph%l3a4H<yUH=eM$K_AMg3livTC#`Z?V$mZ7D%&0XEnyNv*itE&;nl8CM zX`5B9ew+d0(p7;cMDO$M(Oa3-q|38K`4h{7(C0Ot)rRlO73EKxL`%I=KbCc>Re6O{ z&C=C!-+o;F8U61Uo4AOq-P>2@wwE+E#J>BvQrf28J*%kp1M}hUul%F-PM)+^Vt3Ew zeRkn5C%=jL6LYn!deie)-+DvD7Kdw{zEb)9;lJkX*VoU{QjMsb@t-~Sn0Lb8BWcGK z|4rV$A${ib)10drRQStG7ssDnd)veF^nZrS?s0z?KhOK2TYJURref3W%h5MBo|v}7 zbpPuWY#$#ME{=cy;Sf{y;eEANmFH%d&bcjbo_^49ne^S-NAg}yHO1TH@9flixT37) zd8e)Dxkt-R{wt_H(UZLQL+|Ei^)@T{w|rT$>CCRXd|%$zUrvqD^0}Mbxc*1~pYE8= z-a4K-@d;_+sl~IR<1Wq0nJw3;aqIq_nT^*^^qMv@9+Pr-JN-p&PW|r(mYt$HzRQ+P zey3IG;`Q{~={JGK9c$gT_wU*+Fk{o}dMoDz7bVYMT~|HlZ`%B6Hfp+SZ+^I9`L?LC zJMqriwWlj0pClfXe8<<#=z8@+`O|Cm9y2wv=iEB4l(p*dwXD-Iw}gD-XH?79UGKT$ zy>8_rA=Zl<f3<wqHhuZv+52buTgvzr)fc>uxa-xp+4b88{onszYe<~<D9(N2$W!j~ zyW$HYzno38I(aIrXYaAHpHs7X;%B{mvby1On_oijdW&0MJNEkN>@U*hzf_v|Fg|M1 zs+%ulp4v~@J3ZgJ?qmJpZ$(COJc~HE&gM)wZuI2Vk%07Q`zLjmr6faAP0aY()xT}e zKRoBmoJ;#tG_9A_-+sGaR<Ny_|8?T-K<!2Sv-<u${x1FJrQQpVJ2p2@ua&(MXyU!^ zWAv>RlYe|j*uMPzhNOwPMcQ@O=Y3f2d)D+`{f=+9rcO0to2_p6|4qe3cN4yMc}JaV z40rxK6F=+0jBP3VZ|oN3J80xRweXhq)TtM|bF}`Hcj?Y3H8GkZC;QRK)uAMKm*tgs zu7@kOrKI1q5;^xs>%=?D&FqJ6CdcNiX<l>Y=#AGE)9+`$bC4_Aw{hxM1}pjGpVIez z)Ly4o9sl0@@@>(PxhoFDUr_B6?>C+`cd~e9#Oaf}swMV4+Wz^1cY0C_FYCuTM+^O_ zM=wo2WpuSzQe!8>k-sm~=ku(a<k>8JD3sm1?@esqcUg;BneATe2Uqb+n+HX{VVhO9 zVdCz{d3Aq2U6PDgajS;qM>&7eyEj5d&fL8eZM9+Yi4Qs3muGHdn)tRz+wb;9rbDM6 z_uaXr*xDV|Ci{0SqgC+z5AJhCbDQqIogH}~KfsvJruyV3nLYdKSI@Ca%yQ04|MKtq z>21vy0=bkq;$)?t*#EG4uvaec$aR4)$0mDUst$7a{ps+O-o|B~b*v&!zW09<XV@aT z|IaD@5)PT!zt~%seB^(jbYj;r%eUU`Cik!GSyUi;y3yv!%BIXkX;V{I{(rdHa&kg1 z|Mn|^j#s{~7fNzk_@TsWTZYx7O-3%MH=0*X6Xkrd_rvwJ^Os&L+inQ{zR51)<O`YE z^H}Ov1#fNe&n}ZG70o%Rv3|$8X#LL1!H<sJdQ-aoS6sjR>Y_DII4|qYUu(eZbbn#V z-yJ8)CCyFORGx9VenYd$>caLr(*!tAMTJ~&cqLT5$k(LPZ;|S+Bldv?=0b`L*$FQa zBQ9I@F3FwcaoJ>z>!h#`mtW*BJzzi0e1ev^#J>^`S>b@5ZVfK2MFKl&e@zb!OPQKF zwRh@WN4>LM&0nli7s*YuY<OC~(_z^@w~lAquCg>Gv4~s}ywtqhNs3KUXJUEttreV( z_c`_}$b}`duIUjydG7h;-aT`2d%I=Al1;-7oHEm{OX7{VoU-qplJSPVs<WbzVM%(^ zbhR0;PnTV-7=C&}sG|7kd4FyDV$3h!cjIJw6t+(zgR3jadttDS$m@VR^}CtQ8~%Ly zRwwrE(JOm5FUi;%R3>$Oc|bvt#)8F9wGSx<&F1!Sjnwou6mbgFIJd!RqMiD+gd-E0 zFT@_2_R*s5SnZyk^FQRy+snmDNiUk$kelT$^ZCpHi=vlrSxkHvYb=etny<<<$-=Ac zf?Ui^gMLMmWgormLrM$xneTaj;qkAvpDfodtQPp)cdz+N>MrqZ=U>)x)TZ;dzZZ4y zQOi=$=uMJ!PG9PNu{Ce~lK8Y|JaZS>{7Q-5KCkRl`IEC{6HmT~Gj+GGda5P#%kZ?C zjBIP5`;_C;8t2YXEx5tQBr`?UZbC@;nzS>EPJBBclBTL+RW*}&XMTVebB49+(_*FB z>V2slbEWre>nid#a^L>s$+u8{7u`v^0<MM%En<t83EO)G&)w8%^k2aH!~VR6slGyf zW=UHFPcTS{aqMdE2)*NL_j0oO$qnwBMR(>ceLOu^bGm(bV|~lyT-lDmsRzG2;I`lU zS^xNok2kvy#8<OKANi*FLzVl;Dz50Mf0i($e>`W~ciBv&XhQAgjT%#&yw6Q$-+ajV z=e@-b+k*AiIxjro&3Bx)$DFg^eZkkbo~ko#tc-sHJZ%pLD{MPcA-+!I)7587MW;-< z_5RYk+f)A3WUev!t*OJUl4hr(XLjM{{^d@(_0po=dz;Rzm$><5n)-afX^S=W#XFvF zocqhfZrL917muoqOw+AoqpqndCkCyI$W&U;!n0;dk;j)w51%m<#W#HUzJFQruF^lr zYWdn3vBEoy&n{iE{=G%zRZg+GiO<8sWF{=GxU}B)YEPo)`kFOi3U1~vr+w!-DmvrV z`CO6hwr@_=w{q?9UlM)%!rGEOi!bPI`{Uey`NX$n8sC@g-TbxeN?(wOo9(KG1?$Sz z-q^bM;rCsq;|_)|fA@5~(Du1b+kH-Ia-NBQFKO}Lqu5Ps@A1#C>lU}a?N|^y?V?SI zh-2V{g+Iy~7G}RQmfs}S=WzJj%aAgwxjyQa^Y1M4@(=nse|fhcmuTE#^W_&T&z<V_ zztimTS}HDM-}K$(n}xNVc6(ROD?i#;Q7v&{)@8?fO+Kq-Ek>K)$Xki5DX=Mgux<Xw z&Q~`Qt(FH&2z#G(ja})3?}|W%@2d`PEqQfUmhbo6b0tp`6{;jIFtbh!{%GhO-4VVq zYhK#cO`&&hYTc>4xzF=R)#`PQ@$=qJaK8W3Rx+6H+NT7AnV<KaUUa!r@s#Z8*#{<W zIT4Unwea>r$M@fuSDW+y_~E^n@q}N~lqc447nV$BIzFT9{k0oAO;2x6c~vAm@5eu{ zbxPgFeupJa8yw#ulYHmDMO*!whjQiHkNdU1m+rUCzxFop&9g4ulT31NZWV>+m3!X4 z*A~yaKIDCkRT=BQb?g7zyv?lG`|eiq#y1+GTOE2P?crFt@w<1_Ue3nIcumE8Z<*z* zw^m=gm3gt?O`-0Z#?7X7yKl~EowaoK#d9Yu3y$4C%js0vc;twC>ih61KOR;&7}cz< zWVw_7x9|Sn{h?K1TYR-X-o6pS%C+IK#*sD#K?5!^HjS3RjhqJCv~r4OG9-4YESbRF za__@ag>^}K%pWy=Z4!EPW7qdf8Z(|0YCZQ$yKvKO>-ub2x5L8SC%Zfggt-r7lvF<X zv@swj?^)oQbv_GA9z1$b^dhN$>b<4!45bWem)lAw?6~2%yOAkjYx?772b_bRx9xR2 zo_NRmfmKSz^2*x01p+s|U)^Ey;oRHjZHreGELyX--H7*h->;ajZ*E%#NAQM;^&NZC z%=1KN-rmir)6$RIA8|@q_<+0SMJXq{4!cmp%)GFSll_<EMSlo|bg9kQRr7I2kIy@g z<V3RsQx{$>&f`*^>Rxr>(e=NwqU4snwDNg;HZkh)a`ruK3xYiTPF3nCM?9PJ*?Ka| zyyrh1Uus^r+rQD<Rc6%zdEM6T(;qgZt23%kGw|Epl-hs8)4XAl<%}~Q&*r6{GdJy< zGRxgd+jAC|!cGs>Gk+Y;h3$@hXm4D(xvBo_ldRsq8xEf6+~i^@=*X4#lTZ4(ddy6Q zS<!!Heu$A)pY1k%{>8`I!qc{ECLW$5DZ-q1$K>+S8P^Tme$CX1XOmzqK6N}LpvE}5 zO>jfvkuUl0eoE=^`b_UX*xOhcW-gp~XU*BG8aGU`e$D(_!!waB?bLL(3cJ~R9;G$t zE!)x-c;Wt=m)RGLO;l@nb{~;UHS!7O4PIz(eCD`7Mnc*zvmcT(oXd<CJnA)Dw`^V8 z%8Tb~o+T@o7?{@b{_ZsQOuIATlhF|qpTK`-o#TaPH)g&_t_Ysfsef4Grb*dPY14gb zTFk|Z`#sMbIKVHxg)y>8`>AX}^HfzkpBjsw2c9rb=2x<r^XCHde&##O_Zi<G$X-x< z!1e=A0Z$E^9rL=zbB*5{tPjY(kS*9Cbg8+MU%_rd1;Z!DPWDN1(hscNe3shIG+Qn4 z@n-nT0L$+OW))8`{+?p`J;mbrk(_sqYZjZDrB^-AXZvg@AT?vwzP7ryWlPpOrY8LG z?W{@Up4?>bBz?q_^K2L2{puYb_Q@@K+bX+$@0^p#D;DsH8-IDlSgHNU;&#OD!fSc+ zw%(p}<fYs739T{_*5Ulu&%~$BeLcg!>CL^m>pZ(P)RqZxH_q@oW+!s~P|NlH!@HM$ zp1)uF&+}J)C(9~cIDbFeb*|yi*(yd}+szM{wq9!QY20<v_o4Dr{rCVO)q^izxV_sM z_~qhm>5G%EH+ww`bZA*<$+J?fK4tFy)W&0{tJj|O3QJfT#*!S#T<)`F)9*viH#oca z2Q~(#JdS;QSj5A2=TW&Gal!e1W*xs+J*w=@dO{<AIh?;B|99E<FI{m#dnfMsnfCtP ztA}S_ZH(KM`o2*v{`)7*d(}moOeA>=Lri$*TKDl)zP!CDG&DD8<@BP?H_d4;cQBcz z%<(SSck#Kz-)Hxxu6@w8_JUW~rcbI5y}VyelM&r|=)z7V?YM{9YeWkl{GTvo;=I(` zTH6hh4Gns0*Yu|597#)>pY+k-Bir7v{JcxnRdU&Xa;Hptvi7O8&dle3JbnngFtA-J zUVG{B3+si`zxw!H*eJQoJpW>I)f2Z%?)-0_O}Y2oqd{Zxbf<3C|9W%3T>RE_qwCGC zd(okL*Uw*ATb%aNvwdgy@)Vbff0r#6{(QP-_O_`X3wyuX|5^WSzSR4Ew<JrkX%|j( z7*2P5`|0e1uXA2KYmC*OB9`CYRx%~kwPGcQ*WCQZ=Ho1>Ci6C|yJh!dLh`5cdlcW8 zhl;YKoH{;dw~6Pi#nxtlhfM-4|G9VnoMwGgRlEM@bKb7Es}B8Q)6l+t+t*K(_l4`q ztbmDOKhIU!d3|@t(>-EpR2@54Ek$#gLP09u#x9w~H#1G=rSb4aNEu2!GC7)Y<l><V zT}ws%nf;{ar189!cq=h$qsgPp!<mOJbX=5J%;>B<jm^eag4ZI-Fe-V=ql^QW+m>r8 zyeVbqIw0Qanp3+nY0+=jmtvRWwK`5T1b#RoG($^mSHcvYjXcYky1NXxymeYvGP#=c z91=a8xGH0!z~X`<b7pY)h#b|h-Kf8eOQ6+|D|(GoaHC6M&n1mx$3p@H6&9CtYZ{5V zq@2{KNSf&*Dm>T7t1Ut+xY0FLvQ>53>5xXHB)5lMipHT^JZ1%2JT!N!o+D<VYY;VK z%f>C`Gj3llt8S3JVSGUHf@A^T4)%NOa~jVvv`zK5>-fO%()mcc5WlOP!p|Md``N76 z?=YWdIDR1gf$;;W7cv$6JDBe@-eWx9ko=%<L4s;0yMo+|3WraQauS`@OMf0%x^Iiu z^6kM(Qy!g=J2IgndQza?FTPIM8T{d=w2p>6tJs_<m6Z`{deR`lGq&x4)4O*W-9~mF zdA)5M7WDFOJ)59#RpI{3mC+%4?-i`R!sGY6r`V(Ll8S2d5rb|kzEYbmixrhNb^Wr_ z4?QZsVq&xM$C@c0kEB$6JosSK)Ii;bUoEcxDXjl^^yIyJ`-A2S&$OQ{wd})n6(i~Q za}1elC9}ItCp>y^;OCkb0unnWb;$j(2{p`ej=aaRXleL6v!%69K3>oE3>Dvbt$E_F zGv#TLt(79{A9Yr~S(JJ5(Vs@sl$kPX)6PkmByiST6D&#k%KJHX)jnS5TkK&k<vk<= z_gvLKb(lGRlEv(YtSdb4JZ#@l?ks+xjLXU1RPaZnUU}2kwbA@+-|~184b~-#vwePg z$Y4f&bbI5>))oKuoqT;Q(IvX;wC42XF+PQgcT-EmHT4$6%s7;*=bfnWMWeg?d9F?G z_K#Zg&hD1l?L1e|_asA<h4Ye!?=5~6zP@9aD^u0CSN!{<m^I>`A6>hgzx3W~V+AIu ziS7jfC2Q>OU9Wk;<hYA<Q;BfIB{_{R(yHrPwrU9~O#CVLxuW<>;St_&d-oF$8A@cH zooVd*k|yya!hB6jU&rCJ7apfA`DJIDM<}gWlX&Z<;TwnN)4KImDug<)uKd7xdBe+_ zZh|vSm@hna)VBXp<ftniveR*@cFE6$Q`(ER%Xa1Hwx7OWeB#@kz5H*MXNbx>Oh10O zZBos{&WsYr6N{&P{-Zi|57&Z_Epzn0T$*9Hv}oGhbt|SOxvqJ!YHL>b8Ls}Q$-Oh& zEJOl)J>puus{8FC_I(vrso#FUa`GC%Pv!AioF`YvyB{c?aR2Vo?&_vp2dyMo{Tqz* zTlaT_94h)ZWzw^zw*CohQ%)_I$un)2-{UVk5~MEb&t^Ede8wJAjb(eKxx1Eaa$%`m zG%-uds6z4Z9p9S@=e3L5W@M$yc(sR^7p+UWly^#Bf&E?2wOK06i}{kyT`l|mVe!nb zM-RPM-*Wa_?b5AG`);<*6!bNiv?BCnXsBSQYe;CQpi5}DC<6ln2Ll6#LW5G5)~Xds zU0N#|tp2Zi#iyMT=(OU4puNQ17Zds$XT8nQmVLx$%H(oDO8Ky0=vy<*g^Z5{ods_Q zh_g*x-Slo%z;5TFa*nr;40eY`=Utr=?OMM2N?z!sXxAspRur#&pf|xnoMR?;$~z{{ z-Ig2f$OPr_ZR2)+w~Ar6+@d@3`QMM<+#0pp;Yy5X$vQ5z>&$bkL(1Ft<z2nIHmPX! zp}a0LbJyEjopy(%MW0lSioMz8x#;SYwbN9h9B;nTxp?YiSZb$g%1tkwjV#*Hf}T2x z@71h3jr#70s0*%ot7g_2;F4!GS%T@TZ?cec%+8bENx@TZi##=R+{x*^Nc5&}h|t<Y zd6&Gm{MyB-zj5U`-{MZ+g1jQ{oT%c-QAv|$&b}Zt`^cS?X&DdC`6hRYy}6?@Eo0$1 zUpFD$3p-zGe`KCxf5-FBhiCjHLiRg;I?p+xrnz17y_N1x-|BjU$v0A-n)&YZ%c<9x ze52&4)y}_-K9Ab>+Lw6#ad^rfC1mgOlX=<^{-A#WsvA2`=Wg2Vo4Y;pWa+M=+4uex zOuupEiJ9R}-^g{r({8(bXWxBfncnu7@61lds&BTp@XV<yntLHn_`durA^SN$ohKjR zP{!{R+ecKU!&wmT1{W$oB-%Dujyg!OM7d7AWCv^Ho=S`k`o27jAjdhc!++Om; zO!a5;#3O3D+cn>rUA?<(%k7e~x!>|G-;(cAsjK+ZzRqR&M%^uiUALKL|M|=Dw4%6p z$xh2(d3^e-CrqA^{Qg-%apRtymgnxsguR<^b8Xh{(Ad=llV==$`>dh3c)?D~BX?w~ z#E;)tn^m;>K-faHi%mDSGL-9Xh~@SOV^q7yG&x)GZIs5QF8xliX))Ys*PE_u?NN#H zDAN6~c9FM|z=qHi&n*FIqE($@$JQw6UpzEDJMpxsVvcBlknV|C?wGK~=_{1ZXw6Z1 z#IV~rJ}-1;=n>UN21TpS#PFBCn=n0egX*#qo4B?d5vf`2Flh$UX{`>GWji*pTXkx# zdUMeC(S(_{b3A3VHn$seIwyZypjzm0M(&$XsK`#uZ{HYH3o}m3y%1{O^5&p!A;)RC zb#EGV3N!Ng-Gtg#*rcczYMgS;7i#|^=QP=(<-5SlBMa5}11nc}KN6VSzDb2|t8I#E zp~u^Wnw`$xa;LmmoSrjr78hsi)att<le?~KTlCT=3wOJS#(!_0-2P0czQp#+G>#`{ zLJP}m19ocd(VJir-a4uMhEU_1SpMR5?bhNaW^lKhW=(p^v~#!CtQgVc>(2L_GdrCV z@~+N&eem4Tz$Xhg9gz=^vzqjS`MsiYr~NazS6*|9y>GK@Q!6U=uDf^Z)Az|PrFw#M zyth0Pnz_>@NiT4^_mT3>Z+a8&sqWhCQat^|TcNeFN?W{L$~q6}UA%s^@ad}=MWqfq zt>(nY`mW<s3qL+-wercUjZa<~6qT05uC}~>>g-mp;<YDYv<=D^Ouo^0_HDw`vWB8| z^G?}cdF}e!o2T7wJoUDqyxp)|WAY58ld^|YmN^u$>vd}O++p-iYS%1ZF=@u4*L;CO z);I1l>ThhGV|_)nY)6sxlUV8Wb-mix-R{Xg60$yXccJb^<~wg4o^Wm5=~Vb`!n7L? z-<JK@<&?8dV3xMZbH21rr;<GO_1Z4a$`XoNuf!-Cms?EIFnL~9u-hqn-G!OA7ry5D z8mnknt}*FGV(vogPT4DY?VE2WzA0mf=I~2m-*(&a<lBHU>ki#1Y8#nvIi(6&PtY|{ z+vt45DN(5PRg9uJccG`qrsCFnF$?v%6Fqqro^lEnaz3z0V9F7J6JkY^B%CI-PSH7{ zV#u<!b(%_>L;6CMPQ^Qs9G;Ij;<+U~c^uC=*)Q|B_}hKT9{GSeo1g6W`mL?iOG}FW z&-i^&h40<jx9+#?XFNII|MvK;e%1d0-p*at8oo(lH(j%=JA58-tBY&CRWRt(KNh31 zxp|vP(TbvpeKIAg6A#bs4tcUbv(tHB-i5i%3sm?ri<+OvJW(m^m@a+g*#foB$W(KV zvyMJO@i*=+*w;KwW#5b4j`4h6lTJK5#e1nJV2*UkQw8Nt=dL>nn(U>jO)sUD)7Bcq zZL9fsDOFc5a$UyBL)*o~bai#t?%lct1ioB5by|MU?yd7~TwVLL#V>E|PPKyUqk9b_ zPp{3*)iv9?W$QEz%V=(0&zn(cDIV9PtcA8slZcqOwMXFMr>NK7t52T`DK}cdcXiIn z7bz2@Eejv`d~NfcWtMZzXX!H@Nt5q$42?r){#nT6eAPU3#?o!47rtXE+-bhkZpEE7 z#^%~@^e*o74qkVD^6STCwMX*$Ew;PgW<6BYxQfR`P4LAzhj^jJCp=431y`JP2p4L+ zz_Vq#gi4;m-XlWGcuJ;gB%gLD?ljuPR^{E&B%Prv`09j1MyKK(9+znnO{X0aJCz-F zDxcxKGS%X!*VMiF0i8^)ULt$*pV$^QeSh*!x~#<a)r)8Q6xolf@V$F0otNW%>&CTx z3zw;Q&Jx;^KWD0<p|<kxV>52_N}C_rSNQl?ueABTeGjMF7r(o)^0Ua?KQoJrRnxW3 z$u8Bj@ZakxXD`;U!sgIqmj!#=S9r5*s%F{gqOj9F!<(h3nnktKA*(-N8b`@D4tJr( zV{(i-ACJs-SC~|B=&W*y>b#6%j#4524VEmHor()ATg*EZ=UB3sbt=xVY%%FnzHwLZ z#X7NjiZz}xitqah%C{cjJLvt0qbfZ6jleFIwuW+!Fd^rPB98KQ0aZhm-Ode@I8vVU zF_gPo>{gx-tE*n#vc<W>TPE>sUqe~rzIE3oTQf~|Zt!Md+O2%#uHX&5t8<+zq!*r4 z+>ttSr(LH0UC%SKil#q{n>2YxNcrYPd#<Q`+&=s3(|rj=o0r^qoEJ7@`Xv><vdt6j z=<NM{%l2gKn|~K}M&{&AoAx{Dq<5;&^nEe%M&{b@i#}9w`Xupd=@)v&?5gh6FFg5f zsaB_Vp}wo<AI)ORJ9p=A+pSVwf9{?C?AOU}f8Dt&R~C23`_IJU>G$3V-TfO_RDbTy ze1qwS?|eP^Y0_jDKHs<|?<WG^Cq*f-Z=Zfa$kL;d(^IKkLtoJI2}3GhiIC-kvkQG6 zO`2vo!!zcT5xYUBclfgjs)Z*{$*fS(dwQ1fl*~Mpq68!MokxVd-j%-NR4I;_RI%ud z-t&0|M(taU2q%4anPNFZ_1B)Awl~fw>TGPEWm%&7&119woFj4>-vTG!cznh#Rj7K# zO?RK9exu(No?kqRr%!uxc>0azGj^duwHNLzRV(*AX;;<hoq7HF8N0GhnFH(mXS`NU zpRd*_(-kw{@;dt&yWCEho)~$n>(5Wyc?s3Ni;>s4o_^LYrPHo-9slgt#i{ZeT;#2< zFF*Tr<0k*`MRTogI;Z=6JK-sB!Qc7$*x3nY&Np}>C)+w-vT->pVB&m^$Iy7m837~b zV>V0ln~$`dlrxB5uqWZJ^dyy}JJJ(Wl5R`)s3hH5>-cn+#^<(mM}!LXx^}AmI%d@= z@qE#=KtH9Vof1zUP3|rcf2g-9Hl%1{PR!(vaJ^Ttdv;rte|-Dsmg|=8l<jS^FZw9G zi(R+*v}xJSLv9A0F`3g>zbWdBU1F5xdFMmQnn^nzzRR1jTl(I+wynDv-{vXomOk{Z zZGEBFBeq?FUXR#zT=aazwr!*5BQ{m#$wzooA5S{Mo0L512(OEqN~hDZ81WSGvwGq) zqm|!tHSSj36QfvK?mXq9$07mE>ql>h3YE87>@-~vBV4L?ea1(Ic=iug4%g>eec#}m z-Z#hmd&6YM+^RJ@*=O?vIUn<uGL5f0aObekzaBy1XL4ER7I-dR*6$-}oP5dswCxcU z0kM5L0d=L3CKfxF>jpAUslFm)x?rc&uXSzu;my-lA3Swc;c3=^-BPdCwe48F@NJgI zZmBct+LXhcC*Cf8{_Vt0+uS_<S+_5jSMR$cr@Q_5skal0`Xd*$shR5pHs87Wpv-i| z-E}T{HPKsiRJsLpoW8Zh2qvW}hFo&@60z0bK6$u0>3K_@VyWbp*}_WGZGA7bv-@@2 zcDgrX#nz3wDY+*jXH9Bdpekvs9nCRQK*i}mi;SSswh0?}gpyo3CMbR9P~0S-7!wmy z_UzH4M~}LU#pm!8U2Z!&>r3F_*0gmd={ruHaaF7Rwd2Sc(>86M8TvPcpB{bPb>Rt5 zmQb|EPRRp$-CK>Pc;+NN4O1v`onY-e!$ry^k5xImWv6k0XU@eZVH~@aF3e^0PU=<< zZ`q{nG|@P~GbiwQ*Muis2~T(`J9T%(2wqsnk&wQ@d&$RASC8FFEpr|9Ho8tbopd(U z@EK2Dr|z5>v0T0Gea0(Pw{;Xn?%AnxV$MUIjjYpVJHFWzP}H{3MW|Sh(|kJ1l-UbU zo;~m+Eutv8BvvRzkJDiKk!jwKPN^C`ozzg|X|YpfR*aC3UZ?7Gmr34CCsh-lOnR|P z^4T4pyu3CObLN?|8PA;E@HA~lk+IKC$vJm;JkKSRwQX<_%S$(ybfW2`WQWS83~T1w zqVH6~8j4%3Iz{irB>E+>num8x_E_|eD^1ALW2e(h7oo6q4r&{jrfFArt|@rR6(gh_ zv9oE~5w6g62c~-*dc#%Osd8x@gX%<=+oB(YJdeaa^h|0s4qxHD=D-uK;!e?9>n^Bl zWV$W-KuCK{>_J__<~iCbo@WZ4bGfbynC9{5gy;z&?H93*I)N>_wHs8s63SbZI+ZMT z9tvN?Q5ycB@aQQyQO(aYgk1Icx$fPynRml-<>J}dM*Nm?ptCn@W~7`K=HFJiW#SHF zZi7zAOKBff4V`c6>`_VUnC&{<MQ~r*MAeC{Qz8>QQv_4R!h|AUoK4c7=z33QnaZRW zvt47AI88P$@K(8)CRW_3^5l$@-bB|Kk$Y6r9E`g+y9iZm_L$`1bXw<(kY~+I*GMI9 z_01NOW-y+VHB1+C6>`5Yvo&0)dxQH4PZ#a}jL999{SK2m%KBe;yX<tY@pRelp5f`T z)jh)V$YysB&m$Y%AE@><eD2tJM9@d>;WUe+v&xr*+-Fp>L_X>;E^nD`t#n#e@Tp)z zr}C6Lg7M;q=X5uoJ^JCPpo@@uha~gdBZ9HVE~pi<+?P0`(sx6edBzdJs^k-sIuzee zJ5cVuqR4wfk@t?>iqG-{%*~J7<t=)e7q~Mzbsdkc_^DH^zji6!x+9RD*P(0PGF6)8 z-6?_H0mXV(Zns7mv1xTmtm$F&N}7A8PO^FOky&?xB%LQ8QQLXdh)u22cK1{1!;_9I zy8EtI(KCs&IB$6mqh}IlN#4$$gC0qo5yvh}jcA&CTd&+N>3QDf81v4hyT2A)R@wIM z+9}n^H?+5xZ)Y(V_eolMN4K-LXthexh20YG^xAfIKYW`Mv0LJT9^0mF#WzVmc9|Ay zKYOK`bYQo{E4{WI-3#9)dF+-rqt~Xq{lt5BjkR~C6bZjiyL)!W?6~smc`~0<&Kf7r z<M~{YQDm*NQ+CoFK94+h<?t1!MITkOO+6yCZ=Ry+Mwa#IFI0_e4|{%_Q*kWj+ld!N z{g38-oF*aqobBN5=(KRRx#=rZ^Gfe(n1{RE<1H%ReqvtZG>N0n+*)l9>jWN|v-|3^ zQxUtP!}6}oN$*f~tF2_4ctpd(oMWo?;d8xO#jaN@U->0nnJT@sY|5M?8hYkj@46(4 zugp5KLdSigXV0RdDbrnKQ`{3hZ4Oy`c_mGmw0rB*6}yjUsFicSU7^-#b*L}N+h&#Z zE1kfWIg3vTxwcqx=>)dSUc67pbx9=4+#`~O-5HZLn9eF)P&wBS%`($PHop7AB#lFP zf>A=Q7LhGeT?7Ng3{?YLZY#}G>GFtfiCGjn*L91k+*VyC-M}N)TZ5umCb?)>bt_ED zU`=0a-RYhweb&>2X|v#L7iE3vsh%xTyWP{IYdu?xiq>AsIWk>>>71?ACc&vk1hUpm zo!PrUrR~A)uq3lp-aHSV%8B0O&=b@;$J<!G{oEae+&lrDa_x7g42l=7i_zFDopg>@ z@LAi1-HH#^3EsS`RFoR9)89QWYp3&Pj?9}Kx)(K0x_Jrp-Z7K%)=AnlapDo-o}4RF zC61i4DHdveRyf(#@z*oY$vZ;R<cvD);=H00J~y1a-#;Pf-hS=Q{W{-Yo(<jf+g$Zy z_*1*7JH7J$FHrfn=+1J3aL>2%Cm*>#`S+2hT66zqPx`TVw|~UCOE>J*I_-D-c6qAR z_&0jekL2C{mEk8}$4@#kf70)i^2z7yRXX=?`mIv_`K-Nir@j9FT|#f4&AC#`H1|&Q z<Q@JE#nH)^zH#PcWq4n*b37?|)0WY<YPV;$Ip<roiFcP6EM2nxA4lthG+l#E#Uqi~ z-box)*|DBHiKn81h1?A`iA`}))Xu)E%H<ikS}%|zUw5a=!d+V*2(>=CmNZG@%C>7! zivn&%Wp+BHWzSXRl8s!g6S(3;l!wsPU)Q+2ul(8+Hqk{_H@i|bD{|&3zeUrgto-$E z;+&OtRP<W!E;b8ZIJ4`N?W@^bK92;fzP~sf5-qg((YuM$R{nT5aq7xtD*I*>_b>4Y zeHL_b<|)rdmnM2&O<Scpo$G8!=(|Zr6wCCkPG31mCGBLamTqw5Os`WRv7ItoVzl-I zp7DGV@I2^huhW~LqrHdT1#RtZ@_962pZ8QVE`!d<%;~3cM5?C0I-#*nWuHg!%C4Ry zFQs-}@uPDC9Xy{TJYO`yxJy~wb#~yLShY^)6EPySiz6n@*!pDA52G#(@z!a93Z69! zpDo&8)TJlhIwi0~Rj)Kwq(ZqjR-{yUr>9I)dEk-WDQblsGrJe%su*=fW{bPt@(9-B z+C1?_jEKA2ucAN^$xN?Dm#(|+G7h@wI>|Wbo@<tI(BvbE8G1sqCeBbv+ZwxM-^6`A zQ&c{-PMi2mZ_?C>Jt{>7#S@qGT$yTd<h9a6Srhd_k2|ibtWWqRO>e%W^Y*T)+H~jX zyYI-p?RygU<ll{*_L=YaCt9bRlMQ;t_p_+|!(GMTcN5ghJMPF{Dr;YGSJ7o1|GwAB z@6&efjLgWJHhDH{`Q|Hm({7&qv`hcV9r5gU+(zG9r~hU;U90$X-;UkhrSF91><%fL zen79?dOGu*(~4)G8ayj{P-GdgQ)WVp{GQjJ%WAKz<DYoj`5fz`-H}P}Ce3)g`01}Z zJ8hq^c}@P2{Dg01ar>N|&WG+OM!lP`*P7*&tW$aWj=PGP<s3I<lb)ni?u;xqUw!gy z((cG~^X?P1j?edH6vxllsej;(c;q{7t?#V&V!eu{@6ltooPK!L>BcipA3QBOP*g3k z(>`lmzmECqvu9uJ_AY!EbpNSAS?!J(d9CZq-~E~sJ74KLL!7wBnZNJumDL}+Bd=1< zG5dA%xvz%ho7ddo&hyg0R+ne*Y<I_o#cvV+w)QoZCuX?FXUQE>y?A_PdxYng#Ak|C zop!(E9;sYBKBK*%^2GG^f=Z8wMg6+`FTK8O+}3Z=Y4_#ZMYW6W_v|jI{Hoa0Z`5h` z?wg|8NA^>8dsO~Cc<b!_h=20$$=mp~JM9a<x4-?j;H|UQqY38Um%sa0R)6H3(BxVN z&o70~|J}GNzvK7fvi21_Wsa=tzw?#zc6;n1{;jn~ROh|er9SPBu;;tZ^|czFHjQQe zJ$GNeVb=Y~a?_TvtbcR=<|D$1-&>~Cs(Aj{xv78S5#hLR7pGPvosKLQ@=w^Q{_S1F zxlNNRn%>S^Q4}`UMLskAsOJ-hCwi4T?UK($O+NAP^{+2?bu`LXo{o$>_sCk?JBeQ> zJ<#(_;ZvPGN5qTM&#La5V9otbN3~P`-Z?4nH-S%elsok=)FrBaJbF|Ap2|Lr->kEa zh^OoqnEd0>3H@y<`viWwPCX*-RyT3_k3}c+UkSxm{9WYvsC!-f4%M``cjc=0dw9o0 zeePE2^v<d?oVKInnRsHScUqmJN}<Mg)$hySq<xItHT~U`gkoQtogUA3H5BKT#EN@v zn>a1P`P|yV^1wIK42p9f#EKWL<5a!ga=o;$%=^YpwOKK9HuG+FkxtsqHaS<ubIZnU zy-J;C7p6_xG-dV?p};)OUC~T=D~&r<KTPAAtT8oprCz7%t7*F?MJVNIYId5=idngC z=?9^uN7iwgcwbeW#j#uMm`>`mSsylqL@(+zy52HNJM_t{A4R?yky^SJS!Qdqmie~q zRQt8AQ};T{{h+i?wQDh&%GX(DW;2~JJ@|Cifg<0C$X6bVrd(euxcy4_qAB;(-l=#! zjn&+(ty;eH&bleLrdsU|N}j!7vW9E<(g*8AZchy=T6$_-$Q13bDZ+U|OW&Clss(1{ z2`33Hy=Qh&H83kzI8tco1+zlcz^)wOP$AznvEm+iynDL8rV0lN`L4)4q<8ViB;O;d zvm9=-L@(mhnr-22;`n6NhT@G|a*}i|9=Wd8r83K)`tV#A#n^Qdc1I_ldirX&-kCeR zIqN2Df6ersw@AqRN+t6Q^9i0yHhyQ*@04D*htXT9SA9C$-KC7>nHyrIy!3iEc{{)1 z4HjxO+3B<>MzJivVN%ATx4eEr6W{at3I(2$o}uz+Z(?W2RBhI0c?*iXV|Gg2yCdkK z$E{S}GQ->XeV;~A=N^}pmf>Azrrz2ueeMo#)VeFvcRxHW`|#Pc6-Ch<cLm+_y4PDb zy-VUK=G^VF(k$HT^xcMMUPpHZ)rM!Cx_hA9{l-q^U++3p#1GHyRy=$3z|*W9#qMun zd2{l(&B~8VvQ~N{RMZ)i^RCS#oa@|Fsp6$8?(jyg6Pae+bVl~#lW7}@qIc|6esxDM z_Z`Pp>qF;`et4GTP;8j9vn4vIQ+0ZP_niw*y>fR3<?Ahc=JhdF({Q?g_mza_Lastf zcdS|Hw}{&$yJ7N*#B);DRFWh%vl(|v>{{dKlf=2nS}Bh=R>*z9T_N{t2c}0HdeiqI z>TsMAo8@+vNv1DU`y!$b$11g%Za;EE>Y0$c%}&*G*FH>(c$CYV-Kl!xUB@QV1*+3l zY-ZbZM94>v%{-gKTc<FMH@;Ki)E%MR?^ir^7Cx1;=v1C_XR}&i%N^S^A@@0VmDLJe zuG_{686Mf$t}oa;tNNRe_mo(kxOW%5uS|F*r`{=b;tuol6^v)DZg`rtV^QKM+g#g< z?dfkmt=MgIPp@BfI{S^K7t5m!cIsZr>%a51a<|EkyzWi6ADwvGSf06Kr^&WE^S0h* zI+q)mB9+{!+Hq&zrqhStefqG=<XVhWN?y0>_Vjn#40hXm)8pTMTKVlKgQDmycjxWC z{kSYMVW;k+J7P)OTPL1=eDZ1IlS>)JnHf8E_bqEolZx%sJ$J{+FNxb|`|~&7YVJPr zebi=H-aIvWjprSKXI@KpN`HITVZGg8vIp~fu>_&$2X~Xalel+9$9OJDd?FSs6n$qW z>y#s6S$ToeZz!GBeIS&1<*t+NM7KM-lT<Ec>}H+hB9*zF!Fx$!8MjiWN#C7A+7sR8 zoL+QBWk-xyh+g+@&pn<wiO)B!D2lY1d1TJ%N2gL7%{zCWZhF6|!Kl-qQ+LlDp4jQG zw^ZKbwXHv$bW&x-9j+oZ$LC=Y#+>_RFFcXD(2R3^^ucp$52OhdZ+Ds&?RZLM+a0c8 zwTn-~78rHziB8NHO77I%a)&E_d($M(2GwmpinNd1<;tA=!7C^5Y1o9_qSNLy`XzDi zjc$Aw7GQmNw#$48jjcD55A+AH>2`le75pXE=$X`J)_q}G#KRoHX)0X?+c><0Tpc!X zq<1RGM6zsl5j-N+sAK4SSE)iZ?Lc(Px+6S6X@%;B%~K<9c#1IQi4_S&E}6+X$3-k7 z?V;MlqcbBnc$ye<n>wUycfaxWV`=V{on{YWc&f#l?;cesH{21+lO=xm=23$(!-iO% zxb69QSMN;Q$y@BrQQUiLo!|}APrGbN^v=&dz4+OuDLZWv_4ucsZhrbHV5d#x^yBY7 zorsm&etL0P<vG3cH=Z)?wu$@Bva6cuj&0-Hc?+J*3n=p!*zKCWJ>!j7<z4x?zpIPu zv)-*g^S5=Uz25isr~g*miNE*v=H2;s{_eax|JL8Rckkc$+jw{Wbydc9lMa-51{8T} z>{jWB73#PnQI^+ZVa_&NI{8em;?rXXb{oFR>)9c_`0X)|-G*oKdX&DuJXbrZc>b+B z>L%Y;zPGF0=^yoO^3>ldPwgJwUB2tLNcsF*?}BgD8twLXU3Y2jYL(|&TX%Y8tP8py z`m1Q_mKas-aL-erhjx3FhHJjl+8Vob%j%S}seLg^mCWDYnNqy@tgngr?@y<VSDdIQ zT6pHpS`~AaxzbK&cm<y-<a9=-@D)vySaRC2PlfGfk-&x{3RUcilO&#;ysK4x+V9b{ zyLY9kwf&L=c5T0+@@YfmYW>2~?{xJ$qy6q(nzSSI`?a`7)9yqE32i@eZ<qHStxsW- zkF3?m->I7Sa_?4^Lhbi!Hy_c}$d~neV)^-6_@W-u(=#S(IG;7TqjG9T^s$*Pl4Yk~ zcu#qlB3XL+f%g>0?LC^E0m18dEKVQrej>TKXJhJ(NVg~@k-4ceRGn-i-6E8(+%?)G zwD3*aq{$qi=2vF9XlOZ4^lZ5#ead?gkD7C$=afLRD{+f>HZ5GB@~J|yOHa`2WI$eL zK&o@9<g0T537v{hc&<)85&Tpjg>R#pA<GQsDV|R{i#qf>6<5e8c_(%3aekxv$;Ogp z$`RqNI|`+F9NVNHy=k*3a;~|%$Z~tfSzWE-?tOQZ_C=dK6|>#xTC{z|TY-{J^@_U+ zMR^^n<}NpR8Oyrg-BGgGu5ngZs<?Z{9VPAU9`D60ce)mA_joIi*J)GI?&1BU(V8Vv ziT}1@kIE;FohSU1{BOGE3soM`bDDg@`H7NYr@F<?4DUyrzIv-BRWMEN{v_ldQ4|>a zh|^QA%G-wVq^q}3@{*nYS?ea<arG4HJ`@vDHt~jQY^STszq6iyZvK3(_EG$K{H!Aq z3E`Zl?TtG38=JRX4_)+$-{(>P+5Nhm`wjg|{<*sDbewcY*TOvO%-u)3jSuBbnXBz| zT6ALSQuWTj%5ayPcZ-TsH^d5-mcKqVH}Q$v#hrn!c_EXc4^5u>La6ynB&VLC*Lya@ z-O>5$dbV+^mT%v1M>4@&>!he-dGD(`8cN|Ulemv=7m8LAnQq<uM(W^Bx8!vldf_ZL zL<P4^n&cvwD4unCu3?$$hgiY9br<jP9!yi+sI7F`w5qsw%N<FNb%A$y7pAIiJR*># zE$GRUSa!>kN3iUeC(p&wO`bYk#q&IMf{Ob*c|JY+GU-Rk6T8ybrIz6*&xU#xPdyQ% zY7m}zI&@dj)MYWM8sR5ThGrE_oe{HCHC*##s8i9@o|vWUW*6t}+Bx%?+RWLi7hk+N z#!)=^?V3q5^3B<1WKStGJ}IesG2`vBNz#u`pWQY)`T1lQ<*l=Q@)q4y*<JbM*o&e| zs>^Pl-ITrM$*~VbpWiII;k!Xt&v4_M?9R%k&!)@{$g|pc^NEkQkJj?YN9TiE<up?7 zb*gy0VH4bGzUq#2;JaS+?`(JXGM49WxGU}Tu6I+l^P77LMa$pZS!q$;b#|^*@$zGL zH1*51PS0Icw0zN>mFneP@B9wl)!b&i^oifpyP6xVU7r};-04%OCp`Of$upzgoj!4T z!P8EMJT-FN>Eo{_d^fdew@=P=&y%TIyM2<Tcb>4_RNVjQj<VVJ7iVmxiu*U+S*-P4 z<CJYxQU8l~f_H3_cDsAM3%qG7wA<Z9Z^B$|k>^YscRHl&U6{hn@|4MPr-QGaz+~<% zPncA9I(V$>wcOr*^KIdiZyH6pcO<*L9<l2sJ9_^4kgB8JDL<{}sF~R0Bm8;Ex}JXo z%)=%fS*Db%=$XSM&3!T=wp0Ge8x!@9&2#78Q8BB0J4Nl|;i+>sy<t(?xH4zCZf8VL z*(2{Ghi;$rQVP547b3LrQCZidj;q^*r?{-yGWVU(#xrHBypLFInjE7PHgWC)p}Ftg z98tOGdCo7p(=WHo%e%yB^X14z!T0@AJN@Fzn2Jk3ymfi<>c(x&(^nUocUX2Byoix4 zZ=SH*>KWTawTsL%S3k}b$Zlqs{DLu8AiAJK^2pRB+d5CEJToYod`XRI@(kuvzDBb% zyce~bnZG>Y5-w!hb9af7u&?KrhVssLJ}jz&?Q=TksQi1e%OzJWYZAxfQ>sqJf|Fe& z3iSf-yLbx~ABbI~FRbeMWkITvN~d$V-o&Y$Jt|cbi~_?K3GY!af4|}Me6`Y&;`b9y z%Y*JVd|z;SzG`kx_WOX-^HnmvF5D}eX76$4)QNkB)9l^)I$HNK>(rN(yw%v$e4=lC zdBx3{#mD{Tu742|8ykD@=PMA%IvWlKY5R8V+V$$Ume0RB9froD#yzZg*PAA0JD#+- zA*8z^mOK8s(@l$OLb`Kexg(A>PK!`|9u_LuKC5ua&Mv<sE|c)Bo<4=ov`jiR&&0T@ z8M@9~eIn+n>c+0Ke-D<4PCK&7#N73MXmw|3_B;Mvzn$N%+H*ucd%M@!Q1`sh`)gMf zhu&Dbsd)9Vn4{A=9-mt)R4f{$)VDtR>9bWEU1VanzdF12SJBjoE;4c3xz4TqRMchN z8Cslob!zYt&oy1etJlm)@?FGgc)dk=ImhG-#xtglZ$x6(wW=-e*e!NzUF*hd#Wx~x zLWNuAI(a7v-`r~OTqICvc3%v4k>A4UH(1Jak65zK%x0Pt?BMC6_)Mg%Q+CfBrPG?% zgf@QByLR{Jtlbg$;@NML7RKsq>J}~AxNlwX+}AA6t=8`JOItU2#_KCjqe6Fv1+Ejl zt9e1lddp7P3wQXcmP>fQS@5jPpm^<s2uVxU8~*pc3O@aHV7LChcS5sww>;B(c~^B; z^{u&Y?v>VGyQ5?Jz4i9fg!0-?>-_J$b$p%{yVJWYkAM4Y(`RYDJH12J@!$RGS;T*# zwEE25x9{@a_0RZS{Dd#Exc1CWyC->JvuAI8S~Q`kc3RB*_1rAa{*~6o?6kYXW1@bM zea`FVGhYRt{d!Rpf8nlp;rG@_zYo3H=TH=%v(r05uU&Jx^F7)3@5<^Q-H|u?e*Em$ z#OJ>niZ`FQqq^z!<)^>i#LBB*Z-4u1L9D#;cZT@yJ6|t8b=Ir6c0r8%j@QjkzC9?a zHrVNq_%8jsa=Fs?<>&r77S|^1j0}Cpy><7OXL=iB`J(g0Zp6+ip1y?ViJIZ-w@ke| z?ROsDX<z$2{SDvB;@S&$*XWtQ?zqHlCbxdRqDY1~>rGd|vcM}lJqq+L&FW5hrqsF9 zBUVr7zU!sk9_8Yh@09k&F4@}MQa16B-lgljtBRW++*x31e&P(TP;v9FI|}=xx0E-3 z$-8iuch+vleDjRAYzyxyY?2l!Yu@*+cW<@x`+GZfn?HNkyS+O3?LCLx<|p3uZm53z z+VASl;DUEoW?82`^XuFh9Q#h>{@h!;gR9D4z4JSJcjb2Lt!2wEyc4-Omuq)${PdOQ zQk#lrUW-vPp6+=j^;OZ#A9|PXrC!?YQ$9WOozdRdWm`|Tl+8S$7knf2*Dm+ccY<@O zw>*=Zxzj!A-Nk#hmv+0Cf6sU)xA*Sit<^1M{U_dCyl(bF<yJy*Zp2Qr1v!s>7xnJT zetdfC!l$<u6y@H@RrX!fYk1pZQ?Eg%?S!0W?<9WZ+Xp6PB%d-{rSk2?Ew?Ey(q*>~ zcyCcmmoD9AIK9I)UD{V@<Fg!QJwfktW_N@(KFV3Fd$DD5cj~%M<?U{Bq96BkZpmG; z^F~qZlUVW6>#ny=70W-KTU%I`EwEE{UyQQPqqaTK&2M&f6vf`SD^#?ucT;z49<TEC z))}u4ohrRpnp+YpTztLd_E8h@i|aTouCv@&>sTJUWvA+<81d}u4<<z<ozrc6cI(G3 zy<;)LMe8_sWj{K*cHxs<1x3Csu_eVDPm~5eowlPmw<p%6IQz;@)4mw-oa;v?b|>cb z?tI;J)^vl)HIJg+y+@={uV3&kDJ+kT*{OGI9k;>uW7kdRsq9)%%)8U&Pf_fdoj1y} z5A4)C6(gMx{_33R$7f2jqn*y0J`jpMvGdsM>=~-p9Ey849FfYu{=sX_#uV{Vq3oWW zrhD#4MV38OyVyD_c8zDr#qHciou<Fa9;#ksof`W=_1ce3-I|?x&&ockY;2nr8?jS* zM~tRr_^H!(1JcCvI`vMKEu8kkDMvi5Q}0ok;Itiyr|%{_^ICZGXm}E*;q(QQBb3gl z-cp&yP~2(Ksk$#F$!8I_^7IFjUNoIvd$BBb4rsQQ$vcTtclv@!8m`a1rUp)mnr!bR zHSLVE*P=F+&pW)YG^EJ+3YkjGWRG6dwytuH>bEm9k54=zXa4zz*BejcUdv9~lw}9i zHnN{FIi>O~XC~W>BlEN`pO|#RI8`c4$h>2x^eP``uSaeBGM}h^Yq%>Ex7~F{<_*<P z9z~TMv2ulay!zAKr=C`Rf61fRyX5XXtL?2bZYP&lKg#2ue0%bfZyk5#)}MBM_sL<m z$)Omj@VxG=nI}{~?I@}|5-U}t*Q>wXb>{8G<&`sH<$Uwb-+8L|Y+J%^)t(rslDzKC znLAX!J-ItiV|x3o+=pe=Q|`!FOm~}po9X0J$MVVvu~KDvy@uOcCq*;8`81=ba!ah7 ziyp7>_M<mUt_W4`xhto!z5U&{39)j1dcD@$U2eQ}+->Wk$FDK{*lh3S)47JvJ}oG! zG}vj=6(g0N$Gzot)0=G^vyVk4b*?{s_|(&jrTP_`7Xv<j{w2A{YIjKTbgnl+m9Ziv zdR^w?t~0xp&bS_Yy68YrV8Bj|PkLOtyPe)GD%h=YMXzgfH`AL%A9i_^=uMi{9r8@6 zb*D#+p3t;zm#0cMV^wx`KPj7dMeov0SE=0|3F0STvt8Wjn4dRcmUPH7w$`1FF?j;h zq+On}J-w^2Q~F6+^Od{{H+iLYJ0_T)cztg{QT~&=(q-S<?(BV7mcQbzbo%#WH}*1? z<yYL*v?_mfc5YDd@>6$K8kBdPo_nZh`HDL$_gJTvFMslG%I	yMxoqv)=eM-ql=x z+U2>?-km;KdciYKi##(b-02gcCp`7^l=7Kx^d{d)E!ypqHT~rqquyAx4X2-!_TRgs zZ2Eo08C$O6{vCIeb-ru7m%Djad1v*Lvi>XYF5a}2+U=h3z2h8fRdM5$m<2}S8mCyR ziW*<&3EW{#+U<}zrQuZ09}dx(KU;PlQ8JkSVOqqLr^45Sx>wXNMI{N|Q=gzJcDB|j zV$sAK>LsdDf|ee0T;#0T11DE7-QX>H&X!o@tg%z^SYC(H_7&%Ji;BC?-C1O?-Q%?G zt=+Bxd4hL!i*`F6xucN6C-ht)S*Z8Wx{KGJt}L#65Hrto`tdVQ1&b?p#mMbDy}7*d zi{AOWPiOA7$)BG7_S1q`xlN~q%PRN1<1GE&vZLDRwyomZc?o6y7DW^EI~||#9hxqY z@>U^Ks9DF-BQ9yemgXx<El)&GmliE=cGwy1lP7YQH|R;;-oGj8hNs@SE!`QIzmCH! z{K(whuFtzR6gNxkj4oftV`P8Sb4p;j>z=y`ap7)rxSy78e|AT*LVVSk)>WT`XCF~0 zsk55Q@$?DX&s|1OVl*_%kKLMESmx@mQ)!Rhl$q9xUw2LTe0jzZ$vktdldV$4y_aGZ z>xLh>H+5opdc|Lnr{^My7Wc&nri#BhGk0T|YrsyoO7oVP^EauuDehD{qc>$ncFVI< z7j_$8S~u~YX;G1L$j_BtNgZ3~FH&jq_;h*l5rHK8i>hrOesSt;^f>3A)9IRB7c@yC z<*a{dr{S_Y5&?NVYUXT{rIk<iHa<CKP?TJ9ce&;FlV@v{isv7=vt0N4%Tu+pismo4 zqptpa<;mKlqWRPAELXAbR2BRB*F|OHiql?YLfz}uh^TMWIOSEQJ=asGD>~CB>EbP~ zI3fQZQJUUK0z0KmQ<rLW2G*Kuo$lRKwEfr}4Gr@XH|{1CH>d2h_qVkPxHW52&=iRb zbGDnkf@R4ycb8jz=R8*%RXqR99d*O+D^J%x+U;Nc-SfTO-Mh<o{{B)n|Jpm@TeV!f z{o}(|o(pX%o_Z}t)i~VqOz5kksXx|Tx)*wBw^w<1<~yyuu}inEZYi63VqMUU&{f64 z=gyj%pS>eeJo){zd%k;TmzzId7cf;@<SCc!PN$M^j(1!eV-;1ySG?isj8#+$&pvbY zQ_<E<F>AHLwa+b0DqeXmW|iUeQ>T}nDq6WHW|jK%u6IEPW3^PLuX+<CxKra%j7Yj( zm!Wv;^lqiou7OV%RTKqYh!rUiXT9yZ@W~>L-5N*qxRk_Co^$Ogo_Hf>iLv;})2^qA zCN7FuqAuR~PU&E*%C_z$Pn0@iRg}z6oa0R@Za#NsfuXs^Y2H;u&5Q0VP&e;*$9C|p z!Zzt8Pk!}ivQFjv%klI;b^G+{h3{mG-?i>2-*A^Z_x+6`{Uv*qJ(c*Zzc<~qUUi4t z{ocoCaT=fc)*X?_EN8zLp75@3*ZNm?WDDaBcUs@t*Hu)$q;~1EcLu*ty?bZzDfIr` zz$dFUJ1yVUgg%Ws`SX<Lqkvh}SD&rkRNeYaTdmV#$(_~v)=yJ;^y5?LBo~ROeM-ga z*VLq{7`EToJL%KZsnxCDyDU4Mv)+Z?)jsns^p5r=l}8JT*58VAR1<WcT|M<1mqDk& zhj&wNYHw3HWbvs>yVG*Qoz+U~4-|#psbrnK+v%M49F;1I-P*VIEb>(9+ZS%&`A6_u zm)`mpRjiYa$oR!IJ`+t)KkNA=pnTG77nziIT{|XUQu!yaTl<!u(qxXqr(-9UO}ZYN zSaxaJ5t*{`qZ21zx+Ci9$F<wD+^=cUi-RY9Clv?Ho;*wCpF`2)3v*bWsePU6@<grH zQqwc3Pu<+}w5NxVZG`2^6TXv*g2ETE@9BJ|^2nih@+-BX$v>Lks2%m4q850@C8fb; zr^AdGiMa6AiLV{s^Buh_pZDE;Qufj^+dVs-OUh4VuioP#nq+?T_SUZ54o_kfQuPFO zai^R-d$7FNXQ$;YJ)X_49nXDLc*-Zb)BeFb{%zbTXIURTWja{Y=(E$|gWiPQvlpK{ zTUb_H6Dv`y$73e$Hk14D>DGhgi9S0G=EX?Fhr7;Qo%C*1!EU)LG5odHou|Kkc<O6H zx$Tiy{_N|`H@-e7ZGUoSp=tSvld@Sw^)fr{_v!UninGt|UVP^0$ES}T?6z1IBa^z_ z>(tt+qSbfuu1<<pEnB@Wk4rV&^_J|ZqSa6GLT~ySK3_KB_9;C>_Iqay%ZoL3S{}>m zQxbQZ%YFE4YvHp)9L0$lI}N_+@o0p*PI}#V_G`eisvkwRA7c6a*Rk(>z3^?7!*00= zG5jv?1m;>_dG=3Yw|!TPOsO89x%ly!-O6W<E`0jv#%|45dK2`;Pl%~1glwC1THM}A z{?a>kmDwAn{Wy5~uRyBswmbY)vk#QpKgo59TEwa`+u=!6!)DHc@6I>X1U&y7cv7cu zyJhR|#2n#0LcR&o9&=q3g4rIa1+w0ExS+D?fRTV<r`9^2Bc~j`u`QauqUn^w8=*!O zNskyMfz6FQyias4I!((?Jmt`%61Bo;f>Nj0iWu(d>rPV|1w2~<%Zpd+v^;i4CMJ(> zYxL6c)hF_}%$`q}yh7=$<qefp4#j-Nom%JSDElnpGJbwz(uzfAELW+lQYh}*<06sw ze1&(*!6!2Lom#)nZB!9FcFXdVP~wWY%sPT?lM?TEwltdYSacekX`84f=yucKn93ms zBObj@gFc?aUP?S05?`nuGBDy%@6<ZR!>kv`Iwx_9>Z%Ik9@S2*8$6G70*}s0oS^z_ zficg<BQnKpfhvaXb7m)>JsYUTJ|pzb##g(1i}NPWzCGoc*~Fc`34V;zZX7)8JFzVI zz+DkvzlT$AB%bwM_$117XISoat+!U0JN+Wo1y6l#^3>{OtookUDdlrttP7s}`b*i| zTk9s@Dh(={J1IteQ})y+%My0`C0>8|x@kqR<AI$D*J1?H^f(N~U8Zw4y>Gg)Tj7gd z$8PSVcTE+$6|U)VY~gNt)5Nh`Vc)w}tM5#6suR!dO?Vb3P^@3FQ~bxf*1gq^@8fpt z7Jv4xb$fN<+c<~a;wRp<Zm53v`rVG**3aJcZQq^z_MO9S>l5$#Htc@<I_~Pu@Pc<& zXH};@i|gDO9{W!8{@z==!>hi(dKY*0?&|H;Tg%p8cqe*uFW2ty_;S~|yP3|#ZhW@R zpg6o^r}nNpqBZ45@5L@G55IF)w7C4}?bwZF;S27Hrj)zhi2YbP`PCg23-ipgzDC89 zkK9?JXWsc<?d@Hay|YWoCqLt}Ir%wQafMSrb5q?(;Sfa+mVZ?hU{JSTo*x4IZ*P11 z^5x5yKmXR**x1@G4dD6<Vg3DExBq^B`}6CUKmP_ZnOM0*KtkE)^4V;_VCRv`moHED z&b|F?62~pJfb=7rRTWirivk$ZyBRqGo~yiSw-0pRFE4Ckq~X}MVeK-#WeP$m3@+SO z9F`B9>t{5j%=q!wg*EbKri1LdT>=J95BP%vIJAXlNF+0|NKKH9T+6^NIm2k-OE1Cm z=i4>r3EOztB<r!VHY&Va<u=navv1y!C&8R`dM=`jtOs6ro;lCZ)bwXj#D)w*l`hth zD~$8_4m5K!80WNb+%l39`V#EabgPiLMUY!AhT*_OXBKsJ4x0u>&Vr^OE~SGjjxsiB z$-G?XpvdLb;o8mPDDJ@ZGx_(+l?kFu`mT~qW`8ttp0Y73|8QVG)S&t6FNdUP;15Br zPX{K8R@7BAY5e)X@)d;J)n9x+ENl|Q(Zu`d`{(uRU(2bq&e!xwIOnhFz-Y)h<!=a6 zfQmw+W`xoY&0c+}GY)Ke8I0Uv3z|e0JYZ3K!NBfv<3NwKJ+IQk<?4@Co$z0OWI~MW zMy1~?LuZ?4ueP-3^>PybBXW!<h2b%aee1=h`D_P093v-bDW|xfn9w6DxtOUbeB%05 zT1xi3Rv#ZfYFBTOpQoTXU!djyv+RQdZ0~NYbWZP^V^MHrfo1YCo|*d$lMXffe6-YC zOx|w0g1lL+;f7q_T+rJ2%_?cBDai@09W|AS;Q|dGnB~7Tuv?#C<XiT|<7cKomuI6O zyZgfDIvN@;${X2F&X_o#?PJP{4~+sl6d9G0_&GnN2`pZ|d^vlyMMJY1S8ig06F+AG zn|Ixms<;izEA`~8YUC>_(iBvfL^iNV8(mOt-XMBJgQqS>u;KagFTek4Nu0fTV6spU zL!ZWvKOfdaIxDgjstGVSKUmcu$r-ie=iha51*r{tS^s<vnipRmu5qD(i&3P?X@^w< z!;@z!3Jg0f#Z)zd+1NbvU%X<NS5>!Po^_trg9dq~I=veYo9yN-XN*u_6qaaU@-aBT zpdir5Ch~xR%ith`o1;yw$cJ|I6^Hr{@$<9JGZm@$rSYL);ep`RzIM)QJQWw}Y?4GO zc9nhjeYW9HKdVTc%8$P`4iC-S59RZ=#>=<Li*W6?<g!;2sY@5BOA@Jz-LDYP(8OHF zvB06>e*f_kTIZ&7rd()am=bWXqbcYD=i2z5c#d#AtpqnFF3Hl+#Y|}`3mh7mgjrR& zf=@8?EO`8qlgph?u%W5q*q1*ae*6(gTqwzq+!>L^#xTi6$B6lXhtjnfj0)2_MAH~r zRFfhl8JHJ%d^<D4h@nH}Q%|aTwbz9r?;S<n3yQoi><-A$6Pa$^(k&!1Nm0+<d22Ce zj*y|iPL_?{0h2oxz7aa2x6nt4O>=w2_7=VA3~C!$45uGZHRQOZn&5e-s))0Ax`1k+ z+idRx-YrE%oMoNH8*H3?9`R_OXP9h}{9ZCfDBY!!Eq+n2>UFj$+E1T&8SV`7UKcV+ zTlMLz8O7#L?(&wEH{ZFtpv-*EUEbt!<{Nhz$}Ya<I$6{jveW6>I)N?PEpNCucRTIV zn||kM(e9Y6>8H=EReW~s!EU`Dd7OKrAHAD4W4G$Pb!`^mhi9%%JahHI)2tPrtS>iC zYSTEsqlj_U3EqoO+YS^pE9?xYxXyMrB3&rmWT(=sI|^}m6ZUd1edbmfyLh|w(x+~3 zV+Fm}1y0E>Dev8NXYCg5(C1Q1cPbrQ*P&nj`t7X=(yM(Ih29rceA=~RcR+FYkz1yR zih7sbS)psb>XayBdGC%p3ch+Fw?qq{cQq8d-q`7uq&H=zH0!f2gW}#d>jEe5W_^}d zxHH;o9nV(n#jmBd?sQAb6HqVDK6`gn(e?>3k|lZ}cOq&#!(!HjPRn+A=2W`V_|&?< zIoV5|Nj;2J-lgq)s@JJ_@sT?#w6A-dy1S}4b-_-hb9dGnly|>9m#{l9H*d-;?WQ+f z21U&-JB=RbiOi7x`o!&ItYEmynO?WZJOLGRj~iP*noXPGqHV|f!a=hu=fvxFkHeE! zH@?ei*e!Kq9h+*n^UbRYWu`ZF+7{;V&%Ry!>|4)H+qgXcX}5!)eskGrvMffbeEP9F zOE;EfUfHSgAVw%#kJEU1%M9;Dr&SM@d%lSks+xY}uBu_V=bl)h?CC7GR2|DaTVjRc z&7J4YW;}Ox!?QGlV&jgTlDqEkRGT;7J6lk0eC94szWL!>XB*0lC&Y@mz3VV3XPIfu zbVhdL)4m-=?mjz}7uY=ZQo3loDuqAn-qB6FBZ|aNzfD>hyJmB@Y1zgDdeg3#E-IdT zFGk(;`pPq<T*Y&D#Heq0{iM|K!cK*IF#@T29R}ho)47{Yu}&;^yb&u<B;InHbzzy~ zoLGTmah4mb4@>n|>=ZwBhdc2dtIl_)dwUbg^-tX8PXB)B#$JZ9@*A;S6`F4+NSE)p zE1Ok*{N`Q5vhs$zvT-sc3rbv{ONMl~$n110T6b|)_LcI5m+naVth;#Uu2AvzTkm?- zOP4-#Yuy>>wys0Vykm-V%bQaUy8|oCv))N%?sPnoH(?$3)Tiexiqb`PDs79A4A2vq zyzzia(#DfXg*zj%kG-1Ik(9jhWK!bJh|FV;yj_%%V?B@D+HHF0UE4bAq&JsN6q%mb zseI**VCuULgL0PX)=Z~m9iR4{*e$W_PTfwojB@U?ce!>O9gC4n5a)W^wxQVh%Uyxs zybks28S@l!*7a02&Da@`lgFW*9O`+bt7zlA7@b|+tmPYT=uMl{9a^^Wh@RNQ*D24f zj_&l!S$BEnYm;YIl{@{Cu6LebO?=*zQ0zEor^2xqfjGSm9dVbb+>cJOI+i;gh!w~Y zZ<)ls=uMNrZiS9J+&S-BjlUn7QGM|A-U(0RI*Rmdc8b4v$GW4s@oii{k^Yps+~xth z6v~?CE6P;7UNPy%;yl?vp~NF=AA2hCSWaJ&D_hcO`A9GDmem6xeHO=)hiAkK)V1l& z&}5AKJ@YRQ@4??}Go(Yl_o|p{yp#45ir-SJ{8rjW$a2olW0Q_7-I%|omf7>s1nv6< zlYTt@+Pm(E&aUOpRQ5giG-dV?@$z|IlTR?7-Fxwy$jl>4w=6$1@75#>meYF+pRCmG zw0E61YtoF+^5ySrSXDo^&RIT3C9U-CN;Ch3m0g~XCf%I-s=Djs++UxjOj|xd<)6sT z;IMf{lV+$sU8!HrmA}lgGqTipr{@y^^Wa%WmTsGQDeYF#@-u0-CjBT*S*G0?>6*67 zSa`}29ZTb_Ggo>(3YvJjC2g6?^p*L`G^W2i?c*ubo-vctGiln?nQLOyG>uDD_Z|4X z;+?Ycbj^2*xAiZHS+=GBNsQX&{=S-$r$(YbUz~GKlehGIV(^?z_UDQd?xo+`ruHAX zBOPRS=r_lyy@B5^&OE))=cU^n_t=xc-;AFyKmS%x+;7!sm-{a9*|!(FkIy<H9Q7{p zouJxBmiu$x%Owc;N9<I;_U@zV$Cg{Rg=PK%JMZsopYFn!_5H#m3#W<INoQ>rsq{T4 z^<S~GeQveVS=&!S{uw*jryP+>dY3po<M3(QM?$$NJCENJy|mk@Jp9F5uC=j>TeMxu zS`V$eaQ&)K@zz~2y8BjdE#LY@PhbxBmS;>ecd|z<>NUIGcF*>hQ0|PK4ncYX_gK4j zJ7kKVc*7*SlRaV)ulaWOTW=Z5t4(&chcD_i-QGTl`$~D^o|pwX;oR@DZpP~FT7C6N z*525)+g2|v+d3s?tx9<Jn=IL#Q3>JQC$7HQ6;i4<Vd`z>b4#O&SDuN{GMv8Z^wLMW zL#n60dKYvycGY(8tz|1O=!xE3%C$QrUfgwVH`6)Sjn5Vd6bF8Y6{!|)z2{n39(X2J zB>#H559iTa7y2g%?1^s9RjlcBdY0F_we^iES7lM_30)SiMH6(n7kY~nr7GriI(^cc zKC^X&YSGS}F)?CA(@tD{TC{X$Ot_fQv=gGwini{IiO{<~rL{q|D062_oZj?Vr(K^G zJ=Hy;J(2s&)1=~^t77!_oo+Q3pX;(tf4cVRr%}Z_7saeopME-Toq4Cz7rpB<cV1B8 z%ACF0XOVzf_=!o{Dknvoo^Tl!?R*xa7+c)wDKg3I`ZO2CwBkrlk)jkm%}%GTGpwo; zU!RL9?~EyWRx~Ms>D*JVqU?~JdT-8LRhj60a_z@wMT#@KJ(a|7J>6B5T@tHjUaaf+ zWYO%?Iup6`)+u+!<P=MKmP~whEu%QQWaet^jmKtZpYeR6RK#o6Y4$8exO6+)jnaot zb|n;<@7Sp~BPORf@O0_M^4JTp(jMzLt;Ju*EcRK%x%V~G>C%l)W;N`Veh~AeD7PXu zq&Rm%tZ-Rg?=C5ib%M`co!zTdy#CM~ZN2YYr}v)P9bWd`>s{Q=yV_f;OUu?DdnbBh z@1o-H6+5+0-4RWE$E8=!I(4_xyLAP-wXeME+PItP&AJb}JWKK}&7QsFncCEyo{4!v z(`UClRSVqdS!sUqo!ZH}D%)o-DVuyQPw0m4r(G^3dKYJVFL@?3b*D?Bp5S!vmZw62 zJ6$TLpLi#9GFEB3_mZ;Cb9xtVs50$#i3@L^y4v~F)yDGDE3vYL;qJGuPAn^(5i9Gy zu21Rut8-f?6|cP&qiu41)%&R0onh72z1~ONja|JnyYxwv=uY4AyvcKJUwLM>aHntW z_Lrx99m|4i?(&u9v0H?9oDw~?+o>$v;~m$^*oB+5P0CsitebHCDp&E=9WlB(;oYaM zRu!+j60^!+de`Zthl*CNh*`DA`)QfJLg1pH--<sae|6qfH=X=pnupU&&j@c7#vGvw zLY^mf`^QaonC8)RH*}FPXJV(yiP)v)lRr%LXu2I5RNQ0LsZw%RA}f658N&xE$7U2K z&xu`Xa6DqN#No5OhGkQC$Q<^0)PKV8h{~xAMaduTs&ASdn<ubcTlvh?z$Z>ScPc*0 zn_z72a@TN&irB)tN}HlZ%DVTxTYv8F!JYd}zo$R@cjQj|^xuzn@8A3T^6ve+f6v~% zfBWy~-S%64EAQUF;rH)dDo0|4Zs~DmPG{NdeQ2V$(?sdV=Xw>NAIm6CUa-^fSKma{ zjT&#oX5L+-ynV%qzjt@qTYkU(?B9hu@%R7M?zGqap8oXTk~{ME{$9Nsf9LPkyYaXF z&b)hn;&1ID&k4I#cEk!@(c?^?-m=k~>4xgT*T)tVC-2#5c;${nYG0u0#*Q<Fi&Vra zEssq;qNH<tfw#`2qMqH!CYEiJjwo$Qo}xO>;fX|2r{k48k^8(!PbFLm*u4U?>NXWO zp1Aw*$-4!+tslJO+qT>J<lVrs@{YT*F7Kw!trmSAw{d59`n#($s-HfMOWheB`0ncD zYS;4h58jF1-Wyc3zT=K)*}E=_@}o0%C!L8Ee7dfpDEz`*(SmZ;+p&RV;T?BH%kqNe z%s%o=ZSGFb<h)7KXHR*m7P`|jFi+^NZ_{qi9COc;zFNCIlgv9$sBS9myb-g=czVVe z)lWs8n_?DeP1iW3T2<8fLQn9vYEV(<DZPm|R1X!E2JE!@w2p80YUg*a3U*svS=YCD zb@H2647;sf#H=>I?saynR`J?HG1}VKy-saCv^%Wyde)n$##rt3w_To_?cM2{l@~no zw#c(GjUsD@ovL&02nFYLs!eB`?45ja>BJ|OZtOPvlGn3aI{DqPitdeOVxmf$OE;)i zS#07{?Ud_Uv-nhOW14JIr^UTHqP4G2?AG3wld3MrHfy)j>99hf;)t7FzKhy*%3G(b zy>myjBD&Y}%YrBCR@^@2ofLAr*Xg#_yHgRHM5i5*DO}fOR?cxswrIC=_ILN$+$?8U zCp~34x!d8vI<6hB6HonJ_$176XK2B8u9Lou&sP=f)|wW>U-<6AO<AR))m!eY+8Lc% z7JOl+=7Si8TJerMtVK_sbrcn^iIpe{XT5pUv269CJFB)tKP_E*M{k0LxZCwm!{>Yl zb6r&hkKJxPSeCdXR>D)SXCt@r8@{<aoomZGPRl;p?Hu|};HIq5Zi`QPeY?3+&aoyv zV+!1Dx#^BfNM7Hr)k$ypG<RC;xTB@Debu|Lxp%d!#W`lLK6Ea$v3&KtysHyuKRWAs z@JZC(ScUKgkDFTW-EW<BeD?1}QKQLDgEx6xmj5q!vpjn8Z^LfOeK88T;vBdBCYG)K z@$aLGAp2eWCqjj1{w~%PbiZT&;9uah4(3z#2ZRbA^gi}e;@e$#!1Kt%6q)455|b@j zQe<L;+7BILG~?TQL_X-)N0pE6Qwp!BMsaj_uMp`uFZff1M>ti0k41uw*^rAxxA>aW zWpRcA0~@|pPmz{WWy~TB>Bizh(*4PW3WpguSPLYa85kIjI<Rm!Fv^AT7&9=un6zNW z=65|>JggekyA^9(RZn_HZ?6zx`W<AsTIOEY-8Jf0MQ(DuX_)Zf@mhwQEiuRQKA)Ao zmaMzZSlN@ibxxm6nuN}|H%IT-AAP@#rDfT<tB<#HJwG27xi|LD_G+(rlhhbe=LTe# zAOEp(pNHGG_6x^adHpuuJ#bTXPTs1cobT3XF7w)Udlkcq|B35P7&HnMpOEV7EZ?z7 zy5^7ed{&uj+PWv1W-gw+Uh?qWhcdsdORLLFEHuI`a^=!ptYcpC+Sg3|mU>vt<l5t@ zp|4-QdoEwRzr4ixmw3_C`)oN&;<G(g%wu_R>cayu@k8pVA8I+%IIW9c`DY0Rh88~Y zIO{X>?vev6(;{=4E*<On9au4Q%D46>bGf^1-JOdze0^GUB+1C%JT~Z;{?56~(Kmex zkKcZ=VY9x5(v;0Nx6I$v&+<rqpWwgJJw-0Qg=zNZiqF5dWETCgPqB?J((l8aJ7t|b zlJ0Ze8a}DYu)9su`1|rTdk9ZF&q<5j$Bd6oYZ7@8AzSmjq*UMt=ZyqzlTA;Q{ml9p zi%wtA2<CcH=3@Eutf#u>Hsf8pk9cc#T~KgWuWGB`*(&}=zOJIQB6qvTo6xQM9s2zK z^ZYO5(*BeF;=|K~#fN%VU;CaiZ$T=@;?~sEy$SiB&&lSB91;rT?Ra0h&FAclR6)DD z(dKL4<#c4QpUU30<=F4?tzMUYhKIf})%|{KZ^Xi0vG8o`11ncc9`nxSoO0%R)Qyev zyWUT}Tz~1kWx<VWHmq{Fl^eS@ojDu0;)_`3W!WWj`(M<~jqX@m9C)hn?#!HJax%ig z1^es#4*mWSUSIdSWuAh_*-XRux0~<v@YwHuurm6k?XLZAJ?rf_cD&hT5X7AAeW>&; zr_6fZkZ^;@tLqEdg>_qYA3vxfko(DQfwOCV{rdk~$|r2ywb>?^^ZcwErh02WeVpwq zT~O=PqIo~zpJ~ZLH|5n!Cj2Pg8*RYyQ#tbF8K#9VZe*Cd%<ovLG$Z2Gw_?T<Oh=hY z=e4vSbU&flq`1lHXPUZ#?7Rs-=IH2LS9#JH=2mGGn0%tY#pXny&fJO{ZX3Q`oH)(T z$$0&($=(}p9p3o3cu!K8+!OEE>#Of4Z$3Krpn6<+kk#~uyq62tNPYi0>BwDE&J2J5 zrUx-s)wuVaWVrO~U6fd(c1g>$xvvUNv6#LVzWe56yvjk*n=LsHZ96{gnOM2qTDa`V z-#fx<=h*#R^I;?ZlQz9Psd@6*sdiEBOXDxD5Pm8db|E|Tt)0;X(P_69O?ONB@o%@> zo-5+{_dF*l$;c$G3}WNmrXQ&neeT`HcfFUV%wpUcZ11gI!P~UUBq}mJ)A8VjN@0l| zqF)|uZ*tx0XP~7}bw{j5V6N|%B<VucWwM9D8Ek&N`ujCQsIEM`y4)`O*4#3kt^eFP z7#-dg%&>i~;S?6Uck`Q73=2XIX1#pp^E*amCfB)~pvyk&J#*eIo@t#NxNJrl=el=# z#cxAa^t|i1&Mo@wtHxXQ;t#v`-;3{8dstq+wcLJ2YuwzU|LSb`C;nesvN=mt*Q8Nv zb`!%-<MPhpj~~8YzqiECwVC~7=%Wg$U$1nxr@JzG73uET@=<eDXPVBAW3jc@cVurV zook`Cw=1w@z5i;fM-pWZ<<IPK)>3_76|Qm3v@~U|Lc4jWq_=XMkd{ef#&e65);Wu> zh%K2E@lb33(fg}(77H=&64iXva`RbJqJMU$Y!p{W#;K@<$11ku1wV3SSB<aSe=W_4 zl`AA7`t20u1uUU{fhEV&0=M<`RkNp=2JmWBMf|!IdhbY8WTfIJuisz9Q=ep<4PWq# z^NaBI9_`}d4pp1;rT14Rg$DOtxH|jhy1a`;2l`W)wW2@A&QSUmSf`l3IlX-2wq<8t zFYVlDmAiB)m;E%|&S#aEzlZEtwOBEJ!lCFDs-@@G#H^mO`KRic&&iA52JOD=`&{sw zmZWca;{X5bCldEKntWQVs*;j^Y<B$VY5!AxSngD5QRkR+ROPJ0ws0l;MVlpy#8%bm z%r;nXbNB7XuU_XV{7Y`NpH^V^c=LkCuhxcI)w*#$dr-mj?Om+Jnn~W3lbtqv)z&|G zFS>buX~ztK=km#&|K5KLWpZ#|$h*L^i#hAf<X7kC|CG+-oi**4_4g$bD*uAi`P1IY z{@s4%{fv$eOtB$mr_=u$<}dFGS;}|%VAtlE^Utm`YWSnLpKY<LSh>Ofr$2oA8V=Q8 zC`nkJc&h4~{R!E13nc@06g0`sSIuF|aVwMV_$9gO)GJ#CK@&wj>DHyH3895~)=&1f zrp%a_ey@HWhve#gsvchjxMDMo-e2k?`y+eB=WeZajiFZ;i@aky&>nFphD)oL%c6ZE zKj%(4A5Ui6>bud+dvCekw|vT0lEgM&Zhex@;g9P$c=pCrt(TQxU10S-Y1!UC+igQL z?=jtZw10z8IpfW6M($NoY_mPj7iVU*SBWZF#QiT`b8Rtm;oNC6CA)WQyYS^F*BeHo zZiOAGmyaw;oRQI+7<Q(8nN(A!&+2{OkJl{ESoAESR4q!d%9`(f+CxvXGd&ziPp>v> zUH%}_^0idn;K2Q5?ccticdt2W_9x5unK5q=!~P{+D{4DMWY#>IZ?<UGZsq5d*H_-3 zJ?Z@qV~@1SZ<&>%XH_nFedNaHW(g0)+wSv^*QnS%%Vtw9=|0E6$rinN$zRo5@=Oa| zQz{mPMJ#!~tw80htwDe7P21qd(O&&bAx96pKQldE_w<LchmWfGa^Cpc=X?KhWSBjE zCAr!`z~zTxlZtdm&g=_~Eho1z)$h=|Dl~c8;buoKW;RvBOPdu6Z=E_Jc6NT6bBS}$ zBbDC*3t6YIxO|KJEB{a3-MuzV|KbbHB(+B!*~_0Xw=Are=c`j_*nUQYseLtb#=g}m z$C)iFJC;hP7ix*MG#VuaUfFcG@#S5yT;F}%pCyjy?_4FDtTkKW`>(ktBo)`ZyCrk+ z<tpv+gLZ*Td#e}iE}47p4O2=<qU?5k?ohVZL6e2pkH~&%-B}*~p<MFlH>u7_^-#a` z=#Pik%TjB4V;pVP?pqNbq;yF4@JrX<sVig!s(Vaj?sg?T3-+vee<qb7kfq`TyTpce zLHp{6X<Hsfy6gThJ<qQ?TY7n?$zt_4zca%B*=ek>IHLKlZmp<q^qaN24)eNhQQuOP z)nHQ5CK~m6=Yd0VpC1QLZoL0EMrYR+cK3IuP5evM8kTHIKE<as&6K<9AH(52(FbDw z?>`a2Q#LvJw^K#)InkAK<5nKMv*C~Z{MtJb>-7Zd3T`AnEZiwDWx|_}iU}6WKFofX zyRpx1gP%>T+qJiLFFkfV4v<PYsds3;>{-VDtjx{g!F`*0p7Ph&=yLq<>9<_L`MZic zC+yJFwCfg+cv@16y)G>|e*V!LAw^F96L+IU&rJWtUlVec<J+9|f9`YKG`W`GDYS9( zzB{~6CWqUw`X1QG=&BsQ_Xqdomy5Q1H2m@D4BvYG9bdD!Hzv)jdQkW|BQ>-uB>sR# zfd3bb)U_q+>bU+I88Lp3oOQfTJ<YWshNY+Xa2Fe2!fXSTO@|}T%#U2UutI3j-3_0^ zLmI-nx{nCoQ8VRyr@$CxA^6DRaRSS2wpzyLe#;a~LJw~G5fJrj!3vLc{4oK0KC;d# z;tL67@-Vy0x4+PA_B2uF9b5`F6J(<gGyIJfP|BQ`ccJIt!y^Jbb}YUgQ|9gn%PP4w zX~qWKRE0Q&?M6KZPR&X@c0fLThobuGSBwms%DmGLm2)vQehXB*cjUF6;fFavVGMcm z<0^&kef%nspY-bFt_eER)D$$k!dddoT0W?8oShOQIicfy(6kH22W}W0`M5waK-Enw z{Jh%g6-oc()TY+eIyv%x{@#A%oaR5fl*h7MljYv^SM%APVRn#QS6=FI$VDV$QOdzv z`ni&Vj0?WRE8k~*dNV)$obL3Fww+PT4(;hHLMFL!2XaV#|K8UwQExG2U-h=00~bz( zRb^MlF6jQ^bH7nr@AkfT%PZqz_p5y2v`E?T;PqZFWv$3k*_z{KhxchFw&qFe#whCM z&rXzEbjWC*23w$)N26)sXVbI>4^~gPs^_mR3P*2Bx4F5q?4q=@$L54tj!&Z=G#xp+ z>39_PQW5d@hMqaxH%qx+Tvhe)+my>I!6sA{qpNPBw6dmU!_OB_eW$x+b;{Q5VOP@Q zJeJO*w9sR=rTm0~*ar=l>MIpi?45k#!Mb~4#n$@;&oefExOA+{)iseZcUhcX+1JMl zzvaBLV{G|8<91S;cl_LZ;iskwyKAJ+D8{}>yW|yb%3LCqc82S(;jj7&3PIDZ2K{hh z&3OIaW!2R)S=_Ci^Gxiv^{XEB5-G^byu8@=pSN1N{F^}c%RUFrzGApw_<O}Hg>I8s zM>A_Hwz)_i=V&dhijQAleR*%rP40QiJ2avuA4)jyywg}`+OlTlhn;&JO*gkSINd8! zysmfgw-sB^!UK<2929Egj;r;Rc>L#q(dsIb)t>BL@-=Knw?#-f$nCnxw!}L_R%&mR zhE2;v+wDt!y4*7Q;b1!PKxp1kmqo{AC$Vuz2>vy7etP~_A^YyS?XnFo6zsD*<11C} zpW=PovG`c5^v;vMV%igDZGGKdVsk&O=kt!h;JtdH*1I-F+Bv&BubA6-aC+h!9Wzna z$e<d=&m9J;ybttSuddo1q?{{O!z;_7H>KkcUs-C1<Q+$$o1zmY7OD4YNM#ljT@gMq zX|L%W{opHI7ju7<MeDtF$jp2B+Nn2WHe=T1;Pe-@Vl1^+y&M^?+L}k3$lg;r{^qUX zw_@fmk8bH1uv#XWC1+mRGHo4C<+_f?FBPmfCo){%n3f+M8QP<=LUmS%SzE-6)YPeS zW5e7w8=XI4WW4*#8)Ksr=j@EV_t&N6>;LP0Cb??+bvv&x)~lyim^3t)v;D5EbeM9* z(bAyi%a3Iy>aUo0MXsCOoR&Us<A)t4Q#PsmHkudOm6__o<ny7<*444-gwrJNuNN<F zow8Avvt80L*Jw`EkEd3irt4oH-*oQIFHVOD<qP6o*+)~qPZijuQ8D2{VMnwbm+>c# zFf)Vs{*P`=zTnI}PjH&5$qj{vIsy-ao3BjG{4_1WrvK(1t9H-oj?&!Pb@>M~Z}I&r zZCe&8_95Wk)r%4m9>%K{yGwMH&k`*^UUh0`&gsLRuiyUvoBK=O<5OdHCRfCbbKLy@ zvrFrjML$Vck@m~&{44pK?s;PWu3uZYjbn;_ak+Aa>{O%XBTYWhoD+*Px6VvIebV*+ zMMu*sZ^eJ@{Q397wYl39N{o|}=TEhZ4VRyOr!;V$$(Ic~6m!o+U46+h;j)F!?4|#o zTSd-&%UsF0{b7Rao9Y#&ZQ6{#1lL_om+D^oU4PRK*AELaCeNI=mQnxs$#|7D8=p<T z?JO%I|JP#s`<u_EhDkqo*)@gDaFHdaU6=lgihFfToJ|*+6#B1r%A9{xv#4CTNP20* zoaXvj6Jz##sM&htQtciq>F1|?PVxT`%}SDN_G*ps*POS@==lFnY4;{t8NA$Kpr2fx z6!&k{PlHLbX1`uo>O3)L#np{-O?LNK`F)(mC*@VU{zj~4>9zXr7qtSsnOQ^_K;Tqt zYScTye<zn2F))BI9|I>tVrfcden3%vvR*|}N^)ojD+6<l_K9?jt@?i#WMwfjT%E#r z>)O$@FoA?{SALDIMH*azsvNwH{*x6rc=sq@*`wsj!}9CP<xjlVs=P0Ux`|&}Wu$!Q z?A3Wk7QVe6SuM(17r9>hw8MYzGNX<~nte9WCyG@#m=-H!sI;;C<K#?d`^g%~ro25c zR$~3c{imdAC+**O>eP>4q2{Jh?s8QZK1+qM+fE2&x1HbJal?YME_P45*Wp07vb9Ur zd6>zlCZE@s8fO!{-CI>WKX~fY$z47QE9U4PaXlWMwnyB5dxhT?Pafy@))~7m7J<Qm zIqw`BkB2NtE;ZR2WOnYtycyi8-tsphCuQ`wZ9e(rMcS_Ee$!`Yyqt9W)qy^>*26PC zZu{^k*Rl9~r`gV?1^&BPS!!=R7W=iOyTks%a@l77FPXxe)eHQqIrzV1a^GyUd#e!j z;_|tRjeR#QEH5lSD^$3^zq*vU_LkN~wqIL<O)o6h?J~KrT=ty9{B7c?3;cJdFZ7od zNnYUpn~lvX?RJO$h2^{|C5?7(XNkSI{7-O`!~AXi>c6&Bw?w?SyezWOE>~_L*O$!0 z6PRjmSv!fmxO}aUNp^GKLe4Ll&ovtD-imuH@Spu%@Wth0id_!#zxB#7)!tez!18NL zZjHnIZQODfmd7qGcbIR+%lBf2?PkW>TgD~}lDGM=eaSq1prP-k;Um^BnTKN*CVvx; za+qJ%!}Vgu-%D#REPw07D7!gYZ9%eGw>qQj=I}k@jJ3DaSeIzC$W2&hbmqC`Me}VZ zJHw{>&JS-b+qgGRH|orDONYldWPOhZrp0X+d&AbYZ57Yw%8(b*x4*Eg>ukGeu|so9 zZ|)?g`Z(s2Gh#y9SInxLf1IKA)-sJH7mTgjr>*0@pr3P@DXu0?L@JFv@X{)$6|;{0 zu+iAko7<H1^z*z6#@0U1OQr^v`UaX#{b|GcLVTNM=TzZaslP*oIRXq9#+HTaHoACm zzTI>%go#f<ZDH)U-VO%a&A#q3CzqXfcG{gY`-4Z?&ppg9X670_^3bcjC%7whHqV1| zMLnM?-Cj)RJu>&{j;Eh_7G&lK3vO3xvgl+`GHVf7BJeA9c3Z*O9Nj7Bxt;dEk&<{d z>t>Yhe0CS%)$(6Xr>*5W&~l3X@1}4qCY_0|9QWshcCo7-k^OSouhS|+>h_d|g1@?_ zo<Cg>zwO7atr;0R{%w2ux!{7ywk`n|XG=w~w|c9WgofL_XS3ZL%C;c!n;5IWtp)pe zl^LYcUQg&;?^yrabyC|+J)0o=OZWW@FBwRyn!nDUox;1>JAO^B&P9XU&8BDOy)=&S zKlAiabtzBy?i?lS3jua>$}*>_Tr{-i;I}oL?w(k)rcYBz>XM$dJGTm_^Ur-{kzdc4 z2{C0HcS$$+qLcl&@8qYIRcXu5U0>V!iSy+&5e5xjk2H=+j4w{~pFbOB-8*B;Or2|o znQvdLoVvvOaE`dwibK~TRM?c9TUbsWOk5kHY4}BU&ql_e&xhUlrQ{6WTrjYH%BZ^f za@>aL|4K4u=pNxPoWj2}w#-XN?WfbvqKxUfDcusMzBiTK)O4Mq_o?+$;oGLi$4<6! zn`WFjGhw12^XH=#g_((wfj6bnjxTU^w~aa!v+Q3&#td1PjvJZ9jJ+EtwY>G;U$8Ls zjiTd;7^9p2g0}S3eqoa8-+t!D1m#z!BhIvZT=-(f-HR19N0%gSTgf5S|9Vp2O)d8Y zHS@}DYPnsgkV!q3;Tt%o>+r^!x$|z?t^3e&!G&kGNv2ZQB8j#niMC*qLZxpT{0&_v zU-(~9^klA$rBLmQg%esYaA~9{vi$CUcf|kVDu)+f@eEdx4FccZ9ckVsy;l8M)yLew zm!9A0Hd~m)@;xobJnHpT;o_J1uO%$yUR+#I{7zIV=+dhD-{!nvxFGw|r%31g9Miua z&An3dcF#19I485;;L>whk8|ZWr`<l#a`?;~e)Y3HKdhf@S|N2>tA8cOyR$V>^_Frs zE|#eK_m!2zUVr<+exA(SUH)ydk{sXW&EP)vZQc#{6o)oTZR!7!eyJ~hhhKB|bNaPe zy0Yx1$-LC>p|$5u<*DciYX29VdsqIrrD@0Qf<q@Ro0LAOiFtL*AV}u_!i94LW_5R` ziPR;}IKS!iy^;{m)z8it{aIx+y*{Y#^rF|(i)A#*`cnP=T>tUZHa#^zCnss$IuEn# zIs2S6I<6g%Tj&+_l`Sp*N(7tHZq41#p7B39UVNI5vGMtA$+NE0Hc2mfUl;RY@|_d% z-^K1e`7=#6XlB8!Y&Pf95^q{}os;sP^_YLZVb8hvvc%@MO6>P~UaGQk{5`b9d#Uz} z4a}==cgTIwtJ#yCb>!EM6O2{sgeu?LuznJFqRC%1Ln`+A-U$~==W>f(yn5@TrBSym zr$dD4YI!aBm2yhHCk`LJP<|uLeAeqfHw~<c_MF>nQy&x+uu(?sr<VWcRtf#sC$HU3 zcYL2~I6X68#@x>=W`*P8^glO^jcvDF?>4i}-zI4it!aERGP2n6<d^BvMp+3yY1iDM zbMACDDyNxgCm!gpU;28<UOPGGv~N2y48za!h+VGSnf9ilvGU;3<EEE#miF{b3raiX zv*}CY)ODY(tUOr~r#C}9A|fVY<<w0Q79j_Em#|OWb}`KQwEV2fYcWQ_&!0|eY?}Dk zR6Ex=Len^T%Vo30J9A8Kt^8bcvSy$9Gpp0LeDh_cI&6Hk_0tT*t;&2Rr>$Ol!sFt` z=Az9`NzW}@(^d4gzYPym+~)f7%!JhbZn5HzH?x*!?k<V<WSiW>US$&3r&k)k^6Jue zZO>h1d+pj1XrmG{@3FYsbAJ()!@n1PE_#vm;la8Uw_kRZ$o82(-dQ0hD!1D0d+(mt z5osm!yLI>Lo1O93V&~r)|IFxgtXNy{)xWOp@6W`_xW1cikr#gAcK(-WzWtj|f6_m4 z-F~-xdv)ml_JliX=k|L3c^qLLTKSIkk(tryz_q^Le#p+cd;aPC?BK=oqkm4XpV)i* z#Ou??<ezz6*&h0HPIIh;d+%-5dsn<9&!kCLN;dC}GwG{JT%6<PH_iA)hPHp)wjFEB zCV$+l6T3lH_6BFlrI<Zzw>L7bEWAJS=tf=jqDb?9Zu)}LZ_8Zlum2PFVs2&7>wPt0 z^+F5hoXM^!nEtouT>i#(p_#ou^W!7`?U<vp+~(ho@@d6-d6$)sdo12$EWNwv!>lFW zq&Kdgb!A&-UTW`+ugBRAF+bmDnEj+qZomCMw|Kv<V$Xz(;O|o(^M9VT$nvjtefqv- z`=4gdt`4<Z?)sT;riN%&<oCyu?uq?dy~g?9n={+i%;NiK@ptX4;_F`@Tkx<w-ZW>M zUYzpde>&<5A5C9xlljkirObYVIg=azC~kXNBvo&5JU~l$XU>^t)BfD}Thw>_{e=Ln z{TDX96!`B{Q~LkSrvJw^r>C24-)DHn^X3V6ah<eT%Kg21GkD8(S!5ks*7&&X?D3^W z>8U?kEms}eR;V@W=Y`YP^*6g^pSt_M^~Wr+qwoKJ>c6iz?+Vw_ciPL%`W`8ns()6T zw)_5z&vKr{a}L$?-dr?u@u9gzir<epy}a}6iTvj&r#0j2|FpLi8Lj{LaLLZxn~T5J zc2@M-Y<AA)`8=z{FWk=MaG;Z!pwv#)__Fw|zPG1@+Upcg$=aM2GfjQx%QgRI#Ac_R z*q`yt)wue|-RX0$zTfAfr@guGRQ9tie+}K&n)Uh2nH^kS8ma26|KCMZFShbeQDoii z@{aEwvu;ik^@@~q*7xtaA8+zm#k@B@?sMpO;f=Z%%Pl9KUbi!>G_p@<yVkO_zWXze z>(9Sx^3T=X<j-~|&D)>!uWZYlc<1ot18ee5HBT{}Re8^+u5aUP?lf!n$;@Zn>Mq6Y z3DZtDyK&k~J9X(fp0a&u8}i*|f0R;?)?v%;-F9=wV=HgT`imB4kDa{4Hu+%E9k<zq zx7Ug~r?V;N8(!x*mfhet>us8Ju4&z+3f{;=Ny~VSWoK>uWYRxn&oZ;c2XhRH+=>@A zy;0QPDwH<6_qpZ2D-BUkBi~KfJn{OKoQ=1CJlc5UM0w5z`My&(onlVhJW+flVUO9f z2dQgJk0mTVf5N+H%EwPKmAYn!&RsG)RS~IOp0me&`9n+h%-f%$7lx!pOZZhz5{UnA zvw6pl!!>39d%jrewI@%^^0;|I=}2+DP<{Hoe{*y;Yu{exX7g0<^!5Fl-12!o>u+|~ zxOOgbVzAxecvr2Rz8BBP{rw^S@#}d@MYDOSWlxJ{Z~8btSmwX0aeew!74xT?XCHI< zAG`le-PGL5Q_rtIH9GycKlyUl)SIH|>^IXjn|lqzdEJVxoXTrl_;m4>r@6CcU%kJr zzARGi|DvC+A8**kt=Qvx_s98lzGt5ud%S;_<-UKBe?Lnfj!E;pd7?e8Pis@O-ks@l zgJb^i?bPw_y8LtV$5+et_};wvSKIo@KCS7oW^?a`KQ)R@dAvf=x=m!tc3%y%*XCXO z|0L^u-p0G?D*u}1&)Y79s-KoPt-dWikNdjT%LI=_i*~+xJcDI<d64K7HA(krPpwx< z9bXh0Fw4cK*T&&pTj!AlCY|aRH}q>yy!v#mG*`67+GkF==29<nU+9ST*(}KKwf9vj zyVP%Ucl)u@Cm;8jetI+G)Zxebm+kLZuYb;EVca+MDP;@?R_0l3;aru~<hRf%Ib!AQ z?a2!kGMe1+ntz=^N}^z~<JV>vE|pdDFFp5cOkrFlui_OI%8@p;Yh&jbfulUzE<JZ$ z7@F2_DD0M&@fnVdQ&YBSzvxtme))MGM^MAsEukqvP1mj;jW0Xft08i_Y$D@}4*#!` zdmhW*XmOOk(PDY7;_%B_nOvPEF<nY$HTE=Z{bBku^GHhT+KI<z)n?B*yLxB;;+(jz z*Cd*Ke=JwO+E|e!l36!(IX~B}3p2I8NFMlB&~S8>#h$lojAKN;U0cCCd&67P&vn}A zwPK!oKkbTI{QY0pk==HN2h{#JFWJ4XO}0UC11B@5BNN-sgbC7p$rJibW<PYFb=X)v z_G#p<Q)~I$r)KZnzKTbs;qBc6UJn;-SY8l#>i0dV@C}^5R|Kf8JzaXL#(nCRImL0x zJAXWWy1`a1Nb$!0E~TRy-O=4ak7sO|*&(B*Tppky5v}?qcKsS#!|C2(i%gXt?vna> zV*@+GGAFlUtrV83&v(7^zHse6m8gDk)<ns^)9Z`^7`8FweDPX+%3{IJpi8Sh@n2eZ zkadCu>m-c~=k!H?9>2?XlRoL%dn0he<c#eLH&5NQ=ym>mgC}=>&##o;`Jzr`sjkju z74_2+XWjez(o~~A7Bsb=`BLfkA;amI^VP*ojhqryKJ$;W@OXbYFyWEs+khnY{+FMp z+?dEPPxjHO_Z?P~E^K^dz3jZV6FXB_t$Vxoiei<`Zxl3C0<4yucV;SOki8r?(bMtg zg|=CX`YY!uN4Lp1cU_SRFEx1@D8+NMSz%+N@=Hf|qvv~mi?4q>yZc?M^3J2v-9Mc= z_t5>rr7xB4FGQte|GU0ABX_mnj>XQ+GRt@Qot*pBqN6v&{7{?U%g;R*w9I%G1mDs! z%UeI2+jYkWquMw@y*Wwh=cQb(2v}$SvQf%95@RUke4${bT|S4Disv~FL+4p`{v3Oq z7SAp`o1^<adfm*mrpg_X_qO}Y7eB(IuqS`!w=SPkJ>~O?b^Dy&?p0D=+#T5}o5A{- z+2=;1&;0MqK8%O>SQh^ZthKALt6}-^Gsu3Wgzb?ZhP840h7~_L6_@Sm|FP+?_p0AB z?DE^iWrOY>-x8h@6nE(BcKxJNtACvpS+_s;rc+GNz0;1z3j)8+e)+lRg0`N;ieG0z z>SFe+TDm;qOBb)l0fkLHh8q>1T8i)PHGa7H)H;WN&AIC)r1G>DZQOdQt?{w5jkn#2 zVwLp8Yu%dP${5e+oMx5WpKTIaG$U;nf10@C$%|)O5_{E(e;)Y~en~&^_-@IMI<=~M zGfVFA?wS2E?#O0PMk_hn>a_LEbaB0)C;i^B_m=4iY9C*}YS|w4{{J>pKFv}x{d*@h zvtZ@##}(%_s*a{6?mIW<L~8i=)~E8v*PnX&e$(37j~$MzHF`NU@~`JTiD$;YcWv-j z-Fqmv=if=o=ebh#--SO;IjytGB)RJ27cV|ONh^7|?}=NM*>zp9=(Ue#c@nbtcbVX2 z&+4gmkNclB1|CT+HBt4Pyl|U%&hlr67PlOZdO3~p!$NV6>A8D0KM8!em#6Ym3#-oV z-;eCi=LnUgE`KAr+G{(915X3*LW@u9CW|&MdhIgNb4Ez>_PZJOX&I7%t-_J7S0CzJ z^Lm%xwTmTpOoC^9OzU~#zvbT)TmFr%M!!0*?f>49QgWsC<nK8)&D*5k9_KOS6W5u% zc?sLe-FsCh+_`hW&SvxNk4+O^>{|D^<wMbj3A@(4E{dDT&hWk?y)5=R@5c<Qd1dmq zHtm;s9(VKTza3XxZ+bdq1aWUJo_%;_&Ye?yO-H;R=AFGQ<^FkFUbfey1tk$v{Pe9l z_e{JLE^W1!_wi(vH*Tw?HET`t4@Xpfd2#00XV=YlGfb6Lx_eG7c7IWGH);#0$ExFT zK+?=dK*O2+m6oCVAO9E1_kzqqb4xe%JeZ+tQm`#CC1K6?lBp7M{M_X(bFX-ZuJf42 zy?iNGwA<7fO53D-#iWxNHqG2L$wQ~Cdf^5hK_M0P_N_rnk6f6Xu6}-sSb*xYWvh?e zc)$`T&|u)gu9&m&D8CDM-^~Rlb|Xtm1z#<T9gVz4q<A<RnR848(iNBuyCpgdDjIiO z;wW<Dj`+aRUBK$R!l5}-U>O7JLrx!odj`!uoE`~UAC(0fC8iWPaX1JFSvFm)J-}=c z<2>g;%0)&QJ-(cV6BDIf9GE31I5PVf6fhRrZ7gVIJtXI`z?F5)g=KS_4!f#w3S}%f zz+!cNv1E|5n9GC)pK}woa+z80k(9g}{^_IA0$=N+&W8$j#ChF)o|ONdE%%i}KWLz9 z=R%f9w@@*=$qg+V)>mCx#k|$5lErY2^K3yA?~bNN6MkrIigq=q_hh-yT$lct$8mE> zXnf#>)o=d9s;%-{RARP9rA4BNp+(oGv+Kc|Q_uNp7^<${cd_-@_=}G}=x+Dkbat(N z=gSM_rI%Xme5a^eBJl0Q)aDKC`Z5R9Z0;Rsx8o^tmp+gd*(}$!cL`(8p@%#N0$AG| z*YP9>i)}t|@Z&m(18Q%Y7`u;ta?Ja|wBu>h)GX6&3}z3-xkL8VFwOqJ-907zr-Ha{ zIK%7$FV;mbqBR?QceFMcd~tkN!E~d=Te#x=NudL3F_RojU)!Bfb!V9U!JB0P`(JIA z4V;@D8ZI~A`N%x)3xoHw$aQ=xqGSSguD*ARA?J`b?~;&Mb!LOJEy)rdUq3y++I(jo z_km@cpJNoOiefsg#TtBfEN8NP<EYm!x`8wJz;^|6GscS4Lx!ARHhxzy7h}9(@-VK+ zf&Zl78^^r!%n6rU%I7?2(2+4XadqXQ*#)N<w@zkd+o`3MaAEd_H7B`viVA;enYC&y zon`89)v5MGAS>I>dF^k19$>g(k{IdP<=2-mq5D|DjeC6D0uMEgOi(?wfFpEu!8ex~ zpR^55xTbS8M5^f&Cp|r=Al_@rINRVv%k8`8HnZd$(oqf4;%dsgJ(=M~OQh$H*r#RU zObNoz9G$*eh=g2PwW3S<mSscQ#JvG4ru<!0;&QTM663$Xeo?_iX*W)8e7zysv$G@O zi6*b%`t>)IUEZe(?47Kt@I-a>d(FQJ`jNK&j6r*!Hox#@YN>s5aCgOvc{*=DC~fL~ z(eOCha>JobEr+#^2JdowTE1-pSNRr&Z}W6o=CX6!Z7pt9ebgtq$4|5%yFQR{dz)2o zLvWD5**Ur@T=AV<?CI={P2HzfN_}Iw={)bj(HX+KRxRgT86Y9ODLJ8OMU>MEU)2gj z&f2z?d0nD6*D62wa+yPC>KYDhf0j@SX{S}QodiNRDzwOQ*xgyQylz3{qf+0C265qP zabkz%Y6a%0++>z}qu?@m&B}=@T;so9ThXkNoz-$KUhcR|#<a+Ds*&#hIxp?4tt}{E zmcG)!_Go&&P4k7x8Sfwavdv?iv`xq1k{ENL+M?BOn3)BaFIxSF$$yb{LCD3>Z95%8 zE{6WgJSeqXOFdiXg3jH^C0{+mbrc#}{a=1oXgJDTv!UQob8A{==uOo>np?B@ym~$? z|56#6Au2VOdBqRST+x|RL{}*Aq(7X=^xjwGi)4?>?=|z)8?I&;iLjj9mtyK0P`o!q zG&QlZb1jRThXIpdi4gC>Lcj1Ur#B0<sLXn`c-3ip1%*Uw`40~4j}~9mv9q#V5Sf;E z{N{o5hx0zEbTRiC&pCJK(@IAFMOp=Op7uplE<OCM>I6fOPMQ39gAK<Nb{@OCry;E} z(RBK%{dVCQ)BG06$%GqoudwOdbfC~ydqPyH(A%_ATNX{$;OJ9lHR-Mq-elAH%dTy8 z-Ry=lkMj1YUlg(UHHF2b`_H4iU8g2%+;IQg_V%R!<4-n`>(Y~RnOR&^*LDf*HRSoi za5m}M3F#SURRT4po_(~0i~T`g!WG~4ypRmBoBb#6ez}^`#n!S_j>+)A?0w!B>%|Pt z?_+i~oV}S}q&Q3Lw}qYHx<l(2>_6+O@469On{#NrM`1VTS)=CKu!%_<{_8A^3|SH& zRwk<XEl}y{(;w3qK0kh-5_hfUrs(pn?>!7>n+~tE5^{R+W>dz+KaG3~BKJxEU$oQa z5C?O~#>F1R-!&ioQS>*y`ut9}($N<(%Pr^F^;zfiGq_}k-L|~fCZNP2GlQK&uwCZv zTzRKy->q0VBJZuP`JiBV$eQJYf@NE*`u6^+24CT44;?ozv9fyoq~lu8gv2hDZ*yf$ zPV<#dd@LwibV0`=LrgGcZIpS2m}p4o+Cqj^tE^ZTtkRmzyXw1EwhZ%vs`rs=CvxU& z+O=wxV`^!rX`iRw5@qEZF&m5C>4dCpNW0<l_ryD&FG_p?I#X1(EL8L3Z*G~ire@ll zjNaobTu$6OrtEt{#Hr0KA<ck;p;?lF;c%e~@8=Cu%tacQt;4J|^z<~83~bEgI9eEs zJVZEHni32oI2;uCJUpd38NaMxSAX|{BR}H3P4#?96Sh1F#~-#=u9_chKkudYxW#SR zTJ9USnGY3Sw-vw3I`6rW$Id7HLhBDXhhJk%l)h}!XYu>D-fdl$?UQboxZFQ8x9^s* z&X(P2Jas#^Z4v)9{n9H9hsIr2HuH}Bhz)P&t$X|Z#xawy>-(zr-!5Z+81`setgDrY zNVH*Yl-Iwvi>jVnShh~4f8&?rrCMweZ>=)aJ@@`rh>^KkC%bRK>yD>?qz~3@em%eA z)PeaNK`Vb<KeCct+?1i=-?DkXW7TiQyjO3U`Tk*@f$iDvQ%<CqT)*h1E*<+Xue>v3 zZbHT7Ym3S^2eNl2)Vr))_hea=x#+tGoLncD%$8hSdAG2l<DN2i*!zA@>$w*kd|y8M z)_uX~)Ut1#9uww8&feNJNus+xgniK+<pXL7i=19aZfL0FG<o(?`<hd`iA8{!cdn-N zfwUD;tqOc$<$oL|HK@$GWHNJI21nu)xyb3hk{_~+Oa6D{SZw)LI;WF!Wpi-Q&O-~z zj!NwRx*(u={=8XY3pSl?G!WMnU3lV=SkJxc%#x)uAN-l^<GMXTN$2NvJ5R<-T316| z84Oy_IdlA<+a3L%c~S2NrhO7&CsKbaeP1oXyy^dG)k&7+`#xSZt5C}DexTj7c}~H3 z=b67+(nCd=4O9I-s|npmKIrm|?MeAIHYb62d*R9Lp<M;<rBXQ;+<W1_T&H7i)n$ju z;IEO>*2XPl&W;K{_~e#^p6br;6?Kev_0|_k9_d)Gv&>rRuGe&>SL+WvuXr9-$(Sj5 z?9%0cI-M&QYyw2Lw@yEl)V$vE_Ak*1dtd0t`6?eQFfTpoz32qjyVlT4Wl@&zJbdNW z$vEe+IsKMhxptOJWS_^rrFP8BHBoMp7c5V@z3Ezz*vsCvmjYb<Z{MhxWu{nY{`%GF zn2f@`QksXKJ`~@Q$#Z3YLZ#E8U9o$1w1{v0*y+c$r|;vA9)=B8OfRy_7(YoT-Zm(? z9o!Px#JygN#omGImk-;)Q;WU`uUMCye}8iiucdY2y4R8}Gj8hZXw1KFlQ+x1<zba< zQPk491tA-2;;#CwtPFPE^+hu{`0Vu7of=9{)Ml@k!uf2)&(ctv0*Mv;H?p-nKPNF8 z==T5L;i&cDG*78JcalO#vTSAPyiI28`ywAa+&81e{eeSXYR>NWn~w&hU%qU@Vrc1M za{J$ls}m$sK5OPoeQJF4;^p0c)RljwChZ70-X!oy$z#P$rB?;wnU7EPJ)bzivU62V z)oX_BvRiaEpWNB_>ull)@nxU74Wy53@s=oVOZ)4m^EUIVum1;*7upW$yPpc=Ff+Fv znozx!BOzm+-2KBJT5I^)UIhgC*qv_(Fuk-Xt8a2d<f&dGmY8QdL?z5OvnR}py}av1 z@y)#jUQX+`+${fG&?pcX-@@I0LE_)5FYEq&G4SE*?R?->b;sm3<E0Z0TH1a)E;gpf z-Ks5IF!e~cTPnAnecfBm#<UQ9<+dj?ik=$GI9HVu!E3X3i_H4%s}n4m^j@s<N_Uxm z@x>a=&=ZTQH~Or&tg3C^_St;*b`P%fr|aDGI?R|CG#``mv{`)U-r?^%=3kmPOJn2O z!_Q{>Oi}PYlv;oP{hWT2KslRj(pI|ii5ce?8-EXZ-CV_9acC<4oD<41R-9`DjHj-f z<j	S7LkJxpOXO{Kpqhk662ZVi4iixKa97ZX)XjL5~epI-Ma^QPOq|*Ei3YXZ3!r zk>i7qY_(Gs2Llxj8^6?@b*Xa7r{>FgPfqZ!oNZ`x`<T<?@2mfL-oN?1_K}v`->kJ- z&so0ZulDBk2>t9Zmv`><w$q9KUCw89#tWxJTh4yL`|qZ%rT#OG55En~Cp_ZS+!k=O z?udD6zWIJZu33G?>@{1ieG^)GV9kfn(m1{?@oRtUvQB-H{ww}J({`rCi_*+JRc-CH z&93sVzESvm&zc?KwfkQm7FYkk{!gRgY{WXpHD7kxDW%l?Pw4xyF{|_Yv<K$*W<B_D zyTt458=>SKKa`8qW4nw`vWi>eILt4!O25Qiq_(@H@pv1Xo{`AXnUkhftz3P4_By#+ zJ@Kr}smqp1|2EjBwJ}KS;WgtY*)M(0PrUcW@l%rD6Tj}6?XpLAyuUbG=2p}7>-+iD zJ<P>6Po85_<Ff6Vqax3{FzIRE+^2PCee+$`8xg$ch->`L&1#j56aB3Ad!1J@$nv^V zA$pi0@l<<Sa;KTs<nua~MQ1py)l=6WwGz89`wL65WKcMdrR@$mckQVDWj9Z{8~v=D za_z-+@1DN@2d6r#ySCl@zbaIEiOD>>iUYa(LR5sFN~}D{yD{6@lv}2V?^4m!xxZo- z&%CqBclG(zeC>i0mMhgI@aK5*#;==@ytVJi%uPCC9VJ`pcZo-|%<;L-e0T<5%ePg} z!=yb}OqpVro)BEr=68u_#!Bv6t6#WFdB0ftwNX^)i;!N8kJ3c-9enF7IE*}xJEdR$ zvUburIRRD|*{3VRdLERz6|{?#ZVs50cpyT0$Nu>n8)pZY?BaC$ocMA{-1OXN4vmTn zR-bCkU+$22A+}7x>Y_!#WR_H|r4f^C)6aEO$hmGksy=<)#q~2!+8>avSaEV~eMg92 z29Ls&XDhT%f0r@|Ur>?k`$6nL0xNr%<!TeFMH635VZAyxFj&Kax2xiKiKEqIUbbeA zm&e{PNW_>G@~^E-@SoOTxagwadD~fc1EYVw_51Rx()yS1)~S297_DybTldg)QCmUO z>4^RrS9Z#ZNw&G@oZ2Yb<rp(*TkAB<qxGk*zFg?)Jt3taPV;NX-Ah_m7$RAY_^>CH zYEQb$5KynPUnzUf0j`Fl{mt4DQ;v8BEKyxk>VB!<L083F_ebVs%xpI%-n?+~=41_% z#2+cI?6)p{6O=J^zp8U`goOTtm#<dO6W+`=X>qai#B|y77F%tm_Dj4CZmhIk5_-^= zLH3UZ&zz;lPuQqWIBw%_Gv~a`n`b&+y*jHFo!jdf$>Mfq)9!!#jSi1rSs#;qe?(nh zdeaMoX)%kRPLF6g)BVoEciTm4hix)}E8;!9D}?P|@T9EP^3R-kVf*X}2?=%=mmS&^ za<j~$=t`94<=t%y=Qvy~6AynX#2^?Hdp9-a*0v2*v4%|yK?@exM6@$zu4$@~cCK1E z?`4OCcI<D<Y8T7CN>zdB+@()lT8_=D&iV3oT2IW$Sl9l5sAT=80dows7TYf0r}#mo zBUgUI$64#OG&uP0t-D&gSb{k?A;I<3|GaNKH|rXo?p4r!yHhrC|2I90M}e!}hR-yz z@=4I^_g}H@?&5=mv&HKy?|eC;EvE8IcorL*cm5rpR-T6zy5}vp8g?()eN5xl59Lo5 zS#N#{w2PLUs#K`0Upwo41E=P!g8o*^+1f%Ga*tG5`yYnSJe1J>Iq38%_t$P4&LkI# zZkY7w3scC2=&GoLPqaHOPHo};+A04k<EQmvxm(MAq)IQ<;d!>ANPfY#QbnUq2`Prx zj7cr~rSm1KPQP-#sU%RIA|jGdw77@!z;ZQK=75N-@*~sQWg8DD9Bk`ITH`M?Z6h1Y z)h%b*#jcbFOj;Sj7wI+WR_oI{xf2U!Tw(ON+|XzATjSQFnbFy<n}Qn)J>LI_;d^{y zbM=gS(;iG$Ec>-*r}Ed9D@n7r951atsh#0sqIK@K$a9vP1;;8+-0|Dh(qDOElilLP zn-f>q?yQVe$@!%j@KH&GNs*-{AgtLeWu5f5++*{yK2)EW&C7Gp@9LDQmIs2~4F46{ zg5~ac`bKg7X;0Fg#-XAAw$i~SJ=IiLN-HR_SGJhbKKZGkKL>XMdt~Xda`6g>lm$Dk ztmAm7c=eA3n~PLy)u$yd-(C15-X(iw>UG-?L4D0{fgwvzsAUV>D!ga#Np8WNsi#HN z=Gi*f>U{sQH+-M&*5DSQqGuchLMjI;^$xgtg{-XcyRhc!ws+!eHHlqUW`w`W`fl{$ zRLn-($PJyVC-i&XRe3HT;NZd|EVv@=c*Nm`P0!L?&A+UBzqBjy>W9Y3X7`TO1Tl-> zQB)Fc6>;8lFw^tv)k9VFQ$zbdG+vy_ar|p=%0tV_mUwQqZJ(w)iZtBcdAh8tY4Xn7 z40d``e5cP3)eciCc^&4zfT>))Iln-vY&ZXwm<OUO8P`W$h+(l6vM3OEc8&M3*;}=} zPdC51?*36U(Y|~GUxZch;^sRhvzjJMDQ^_9+Pq|8ZP=%2jsCfwd<T9O#p(nnP1ZQ~ z-6Ztf?45n(aW}tRSuAyWeQChWogwQQ@6X>b{mk@FjCL)5gzjIx@b~%Ylb8JEyiD#t zPhH4!rZ_IHv|M0*%uD$xQ#wr+-zemKFel{XQIA`Zg^OFBhw?g<I6jpOnfl-5{SIv% zzRF7ujH`ZbP7?8X$|jnZtF-=E{g;wYp`6AFGd=|JM)4$G*2%ZyKF0JhPJeBZrQuRV z;fk5ZgB->D0`m2~c`wS~?!PKv<DRkYV4>shRl+ZY&7_w6kuaD(DR_DR@&A_v4?h*1 z^7!jvt@rr{b*01a7;M<b_^M-Gu#eEeeRGm!_Z!{w;rMxA`a21p)9cf1*3CcH|H>#- z@}&CinVwTMANLz3n($8EeX!?`;O-zpEqVKcEM0k3D?hy6QT6fji5L5)?#iB47QD{o z+a}FB%P#Vm`W#GNTI^l4Tc#l7)4TvLN4sl*&yU|>o$H@)OQ$N@)T8O=6^px_RkbTk zr+k>YYVqpkplbC4$4(p-EH!_j%)aYXR<B*$Jvp;$_jpcyFV%Uj+*+lzVQa;#B|@R$ ze}0^Nc2o9YQd(ybgLTVojsO-ffvibF4c=4!t<W|O;9GlruXl*X5BWm>S=sZm=ad|u zIV(^>=&+EL(4<3MMb*1mx95lVOFqb5cvHUCTlW2pW7fefA9w7rXh`}}ecf!rkqx__ zDZE+!`k9Wz<?l<|T)m7=>K!Ol`ujkFFS6Qi{qzHW>WmiN_#(;oOkT`3#aQRz?uym% zYHm{n-(O(nyWPZmQ)yrGEAz$6>?G#?6A8G^$#YA@S7L5#bNq|FC;XCsg=leBgo!^t z5+|zn`@P%U1O>wwfg5jP4={OkOwN6xc%j$4)j-wsA$!mHya~(IY*z?z`d(1ntjWKM zMaZF1ce!ZWk<g9&(!W+;T~k_FZ8PQnvj3m&R=;`@Vp_FI#`4Yb8@v14m){Rs__aDw zMZb~tdCx@gXYX4ai@M*kmI!z+3zg8;3|0EHkYO)_uCV0PmB&Msy;yDibUzf^H}@a! zkML2wutKY0(c)D5Uhb*I_AMXEgEc$O?kE%VFxcO7>w@Q3md3uwty82}5^qhd+`6@K zevs_C!jJ3DnHC6c66|%`xBcT5z4E&rTt`Y{wr=5>)DdnH74A7@`5Re|!i2_s-!!i- zEBblybxmQ7RZsjzf8M8lTkg&Y@Z6BA;Be+%{B@N}N&)S?O-g&#%@y3HpLXQt1-)g~ zlhPjj`yIRWre^*t!}t{@vlcig9PLuqe&?i8`gy_?{l%KQ7Me`TldZeHlCAOQ60`30 zEZ%ilNd<dfUR>Dv>|af(*xs`js?HVr*dFTobmy_aCFay@?Z%09X^S#Fl(^m}Xw8Ux zvs#?<rPn{fyl^`Sd$~We%inx6D2ko`>zCNx%U$w;Ayw0-`G~6;u5&G{j+TfH-09fW zeK|Pjxbu;hKIZ*3rZ;p;wlMT=I)C;5g!I>YOYB$h{P?4p*D}rZ)}>#IKHlEN*?0Fy zwTP;d#*yG{`M>q}m6n;MD~cLqJo*>X|20g5funYzqGp50>CH-&mpMALTWfcm?r?h$ zf9<VV;AF2XMbp)@Kk~j861{w|z02p;6;;usUH9C!tEjghk86ynIpgB-w4PP-ZpluE z!>t=K{~Z#Hd4F)~Iw|vqp89L1Oq|lb<GaPHj_cE87d<w}{l3XD@LEXZQN5=sN7rb@ zNf@&6&-(i9U)!#h_@z1N)<5o^mV1Br_y_mruO2pWY*sz$oz9|G!J)V1R>6~{J~Gb7 zTpCV9SL%Hf>fSh2E~H>?<Bc{K2OA9zy;G$sGCP%;Cl+wq7w`$Mo@tSF>}lL1JH`o{ z-*8>K^Z#vSQm=rOQS0@`CZZCNrzULhlZ{9U@7ToEu}H-wWX>8N#m8GNbQ*^|UpD{1 z<tcufPTIV#*zwt9=XWc9o0s2mPWADA^GSDHUh$l7yKd5);F^5B=zAH@^`rlrpLl1V zv{Q}iLCYJCd3lGXKiv5)^=Ix{$#08#ef!eOPqFH+ntkeBrvIdS+iDYw)H74QrbT}> zn`f6D{vhXkbjTMitMc<%BE5g~Y9}Q>^84^*&ztRnE!@g!*M1%66Rx-*a)0OHoZ~Xj zMQ(3Ao!c+@(OPcB@!QD<s~a}XoRMtnJ;$2Q*knOV?eW*DDIDq_Y#*~p?~FC?=w!*e zm0Y!@Aun0_Bb)3Nwocx?omU>-5oEcPJ=N(_n`kO~(qCRX!yOk2dXn@G^)VNmy4U)2 zci2ILbD<8shRZT}OjAU@^KfLXK4d8RG-Z11ru#d$+$fdJS(*FqP1pR#YyZA<{c<8F z*kvQ{wOWq8=(Un5x2;^WZi+tJt<tmm@k5C>lJ6_3r!-zQdn4c{d}o4o${KM&A@<0{ z8aW5exmK4ky>4_e&B=(3Nu8GGrt^_KXF?4lgW>c!mTMk8{#&V@&T+KpZ{@yUvn{0F zEmSU^%NuuGT6|kv@Nu&{vA5eEJl~}Gq&oF$aPOjoM-t}~J>ykeMO2#E->eau@T>Mq zam;G9=;MbqXS_VgTDW1>+82uyH)N%$te9xREN5`Uui%0nd(4M77Y`aQ6FDi;p!JtE z@2j4#>798COSdkl%KI|$hRx&5`VZM+EGKU~`uKlAD?_8=k<;1Um+kY8Z&IzuZxt4N zc=(ap$@y+o9wys=Zen%P%V5nv99`(Sx_{k8#_xH3^XC=^@77<T@jhS6w<}cSXoTzD zY2W6DG%~1t)Zg1A?`s_)Zm;4WzhIw<WUzUY`i$p1g`T3Sg5`RJwMO5gnK{^wEedn> zR@Xjly(nds{A(Y!bz8lKb{Vc;e0b%?g`2*A5;e$C{}&<ne5+u`-+3MHaxZu=sO?V@ zopw4PDJc4Lvc9kCxh}P<Cl?6ane{K#TH~rhpJqK<z2jet?oB@Y6CT*^X|%a&XT4qH zOoteQ@$QcstdHv5K3Lun+;P*_o<-_9<5HcO|1T~5Qxodlb2VJ^A;*9J><b4OgwkK_ z(%82nZfcKLpu^Sp)88v6)l5$Rb46^s_TtC)ou@FCO>R>--_pq-n_<$yx<cG2a9z=l zSq)1+J=zr4HifOh+;vC!myWxOFJ{+I`mS;L+hXHG+9L5KFDhm7UIlJjqkU0tA9v=J z;4SBv#ow<A$XRgb!;<CQ4AT;v8wJECO);oB_2ifQwD@k5J;$A<<~@lQY3iE0dh2v| zaj9VCBjQ(rzg6?tUw-2>ZTBC}-t2{ZcXdxp5!-)5{>0pS=O?YN$ldYkrO2G^rTfx! zGkQ6E-=1(=H%<3)8bg!Tbl)!h>(PH%G9Ox+#6)n|W!>P&i=MhgJGVIL*_)&*x+}6T zy3X<!{eQGQT5Q4xwoI8{byH3om;K_;isx>K(6!i5_vGk9=7+iMwzC&a%B?@M=8dF) z+y>wE5q%4{ZDkPPp26{=Hj$zGYa6Si=yo*)Lq^NrJ=<Qrv^yNzVcp)tV3)Qr!7jAz z_~~^VAC5X~U7y9P)c;cbh<*P&JC2pRj$f=65}7B)=i}nwUsbj3=Nf^&H~&R0C{Au# z+bZ~g<%!m7mPa1yvu;ZJX*+rv9=k1bDu2yOUyB>nx8^QbxbDGe&HQ(lviLdVN~31J zSJLW;oN9S4mfQI3?lSQ<)2!H>^yTfvcNckUDt(-sx{UXWz4n|u*6x#%dg*iCgf9|# z9a@}}Uc+A88ZF~un)&g7R<HGveb1%@YH#W)O<w7>Q2Oud;_vJ?PON?6Q2%FjQC$1k z6noZ&#YT#gr%&TAoOHtV^QN5=9xG%YvCTNq$n$h@)ZOE4{<oYvUG9I)<f=Fuc<`wq z!>QgJ5x3sEp08h~hRjUX6#ZfL>WrhN>>sn$XEsjZtC22!#xOnZV6vv{50lqt7V60U zF?)Sx;S|0asnTZ=UMHEjFYaD(;os5c)>17p8g`dg8M9|vJuti`x!6SYf$8-~XUAO; zMUu8l&ri^8(F<MjN>%EV#OdB_rzh9m8NFQof5Jp{hHamCgG#N8w6jm>h^mE$)kV!{ znP+`Lc=5FP3m)2X{PK%7{bId+(?;_=-@87lYQBd<Vl|J~?0o2A87g?4ZPnBd(b8cb zc_bI+{#YN#$Xp`%s5zo^N&8Bzi8~f7`m%EIp<JE6FP0Y_O!>NW!JLbymud2z@cEr4 zQmkcb>z|z3;k5kdq`%zipVaybT8eL}M~Xg{y{<CV>yE>MnLbG!e<c*6?yk7Y>~U{y zUfYG=YVQ4Zs*zupZ%MA1a=_g6M7aLd(5v|&LO=J*lonJj(Y$%%@WoTT=hAA9-d4Dj zd#_VZPvoT-SJ8H%@`44IrkS|RdBI%$?e@>QuP$a`*1sDZTqaydzyJML^4eWdZacDt z^vv2KZZrL;&ybJ(vFP&L6mRWyI|7>SxtIPI{pKkBWgYXh|H~5n{Z`mtOz)9g^@kC4 zYs)L|2j49j7#Kj9A7yJxN?Juq63X5d1*=v@h7cw`-ZN*`-V<eGU|^77P+(x<U}WRC zyL<ar-gkHZ|KI(6+gDyD4n}5%h6INlaj85o>o^!dJ82|B7b*)fFfj0gG4f8D<eY3I z>mEO@{hs&MHqeZXjg6Cym5l*r3<KDh>p@&@yr&r$z!=5VMM#E#_v8Hi#>l`M!8Wg; zk4sNSK;S|-bN}0VtJeX4Y_FI;t`Dng<Zu5h87HUa^1&wN@jS!I?}CmRvtv&(Fgoh0 z>Z$7$#bwoYeqDNXdPscNXQ4UMgW{_`3%&AQuD`SL<d>zFrhCL^eHNNM{n{RrUz1*V zXT@>Va(-EAqF=l3$<LtY-gESG_bvG;G;8{~JyU*8nmOGdepk)OPfLyTL-)D-y!6C- zlD_LcmuIRcrXSm*@@%PrzU{szKY|{6_vq{HTk<35k#~o_?7oyAK@YuK^m+HG{1BQk zeczrZPnYWH7glDT_HNW?-1p`Cr2F2r`k9rT@0aeKzG+X()1}(_FZWLQE_Ba3EN;~& zp{di~#m)LO>8^LFzGr3UyQLcXj+L43RCi9Fw@2jrq{-7C#8p+F%w2kaYEAg7*v#`@ zd$eChW|phoo%(4_OZw6~Q{SygNmsoyH7|Tq?8-9LTT|1*C&jKj=e1G$;5wJ|rPrrE zTk~Ysq&ZWg!job%OI5E<eYA!ped(2{_tv!Ry7ba3FU%-*Wr^ygskhb~Nn2{F-Mda@ z*Q8lfox+)7HP3p@*REW5Wv9?{ui4s}>sr#58f&MnOG#5bGgU8qQ_RXUUQ@LL*O}~` z^u%kT_QXieqNRq~ospVny!y2**In5m^w_IgTXWr%9YT-1+O;Luh3pV|=+&&vxsD}m zslIk)<jT`tF=4kh1wHU;(Ehvj$@ZZ8UQuC>qBHZC>S!lMzC7hsrTuhm%l1iAr><J# zlDc&F)MaZ@wg=ty3JO~kt$E5TAZ%8&XWmkE?W1d-q^RzgdM~W#_rdr4HT4&MH{04j z_}e&7{>?v!@9dRz4bRzk+VA+xY;Aww@4>hHIrRa*nQzIT`RDMBy|hl?H}eho3qKFO z=709*z*qL7x(mOUugS0Z=kS%iu#Vw5`%3$Y&&*fk=lnZxmfxp7;1}~H`7J*gXUnho zdGH0lOZ|dp><jG~{yNT*ulaZ2Gy7co2Y(Je<2R{qc*dSyH{mC<vHgKR2cPom)CW9c zpK8D0&%r1BD)j<CnT_ll{v4bk-|^FNhP=(c10UIY?GyeSe8ewOKj8=S5qX(^2R^X3 z+AI7y_<*0Kp5YmLeBFbm?6GwRp0Y>R3H)F_Aphs@f%ojS_7^@e?~{M?SK&1OhCdHZ z@qekC@SS;&e8f-3sqz6o58mZp_UFJm_EP%^pO~%0;}bQz?xkdA<}O_O;*gY<R+Ye0 z_JBHr@60>pZGJl5;h*z|;T?OveZlR6@A+!{o9;Tk=lk}ip`5)+=FK~Ya`wuyhIICw z>Tf^VzAR@i4++nIkjh>r^WfcsGWKoOEABGB<x4A9*xhVpz9MCh<E*=<Iu6}EaFX}Y z6P^5m%AmKN+jgkmw0wDvm&^Z9anQWUCH`Hup65OHm}l&9oGz_1Z_2DGyPI!J_F^^n zkIJbLNv;x-^faG5SG{uH7tX4n7oJ&eoa{gMoH(aY#<p(iw7!`<Y3o%i1$`Gy@=9mR zNprAnzIA)@le7=zmtv+!{<!8l(`F^tytsIU>5?TAi!a^fIq*YNPEF73T!O&o;G)Z? zc@i$3Sy0S2FKAW3%H4}M&)&44oUK^@L(S%8Wo(73cdT2#Z>@31CgvNm7j7PW&G+oh zfl~IOvJ1bMZp&_Xmr%;S%DQ7SvxT+C-Gj4b7wmH=V_&YnWAEY93|+JCOYS)P;4N$Q zlemWG1|}QU8?xt>CG2XxBs<~Vg0p-#-Xxsmb1PTa#cXQLahLH0-=#MXirME`zqrHr zoX@O$!A@oqYm3dzXJlvGWPHY_SDvu5*~ogu9mc18YUK($n@`A2xXJj0@7NoMGkk~M zJSb%Ev(C7~_?S<ue8G<9BeDwb9u%;*S!>*3e8|UEuCRmI!1~7~W_{}yo0#>iZ)|El zAj|OXK|Xt(^^4n$(_}xqO~_}DENe(*4=-D=y?Kx9gSQ7x@vVB(aEk9;nL#Rhne~d> zjZ<VVyj3{Gx8O}e9($2>#ir&RvKBWP@9^C#dr;PVU;4+r1>f1K^A1!q+nV3l+xU+6 z(;bHMyhZs1&)LfI8mgObOW(M+;2YZ(^BH>^-|)V=qwtMwgZYBu=IhdDb~e7|eR5~P zSGG0g5qle7@jkl4aE>=9|HCu373LPT-EUgLBm}0i<>e(*HD8jRaBsm`-Wzuk&honD zD^xL?nse-Be8GF^&V$cv^UPoDVSLVOmcO8q*~HwUxcQ9qjGc_nc=hrVDw~bWSL|VY z%Bz;IP}zJ!dcsb|C%nh*IGo`<bmzfGwm$QWJ&cce#qt+aG#`;xxcA@#TbsGY9>#~f zZ21Zm%m(H^ikS7yUlcLxncpaCJ|N9-@4<VvI`bF18>dNsxSQ~vEi$j+DO-5ng7W4) z(hu$)IK{i_PQxkQcX<X+*~-jU>~5SQec`UcDc%Kl65g>DnO77w?~u0G$#{qNUfzSU zOYc4Rsehff<+;ke$zSGdsh;%Cv(oQSanL)@a=%T*Lhn4ctKXa#QZ4k>bF=!%c_Gi2 z+?br;zo_`qYtLf8B3sXMo@>=N&f8Ko>6K@pUsG|=E6;pCC)<}_RLs?9&I_p$dg+<# zmsEUd)?_z-AzRP0o(t6*=S_LG<oskC|5rAhpO>7SY~t@!d}-!nBY&e}p=X}c)g$MH zJX>;VvWEXG8_!QmPE0;Aho$n;jLAplh&)?ze6oyxmQCl!B}XTV_<PxKeq3^RGLOHO zP3MOt2Pd=mGZkN&K6%faD^FGQ)N?I0Kd2m-{Lk-`^~(1uy6PY2ZYd9%HhIIGlBX(r zCx7xgWPS3KXQ<zzqDyx@gZ(yHuY9MXseW;;$Ws*!^|NzT$}dfx{K&7UNa&7dzWUCy z56ap08NZl&aGvCca}MWu%F-8DH`^E=FmAphd1q$hT*(LL9+a_dGhQ*5@hwkUx`K7H zm2t;h#y31K&O9h(D^7c0#cXMuF_-Z*Pgwc_t7Z%12IJ-{l6z({zT)vqe~`wu%vfVC z<4c}fXBy7(TsxCc!nVjbz_|H>WWzayvpja`4=kI{Nfw+-C}x{w>|oq%Y<yx)<4nnf za}SExrWtD(H=mLWIG0ewHp#eS4&zKohjR&Mc#fP=IKv~C{=lO7n54nEghIA1V~;tE zk9hdf6D*n!NeY}xC}3+cW-xBnH~wJMtY`eds9D#TV-Dj3o_}c%%$xT~X3S)~&+{#9 zLMmHCT0tsXmGOz$jQ4ofoLO*+XT_NZd2GRH3FghaBt2#_-sM?zhT#;?vor<sW_9C^ z*^QGW51f6F%a*7AqqgxqcUAm`=d645FMMvgEB<1i!g=lwdlbI2md7PLXWgN{qqgxa zcUHW^@1~pLC-yBk$DI_<@SDj>zoPcw9Pu6d9L{k^#UJ?9bX9!CK817K5B4a0WzCOk z_|<e-d`D&DOKz`thhI%*`U#(#O!Xyd8((l=*`x4<b%DOe=ce=G75f%^HrTRpy>N!c zwiA8}KvhkEON!*ShR>|C^)J*g&Jv$f>G+KM%pQi%tkd;3)Hu!*582o7iFLC6gc`>u z+$Z)hd}2+GQ+URj828}^({XW=eGMO3yY&NV93OED#25TvIxH@-ui*o0v%WwL;|%ed z%Esy9C6$cR#d9hhA8<3ofB4R{U;N8nhtu3Y;x>G5($PQgsYzS^Lbc;P?hkPZPgz6b z9G<e4>(BVav|IejUWZfMFX9BAvIfK%d}rDzZd2)ahkMQ*hIg#_`UTMk-*eT3U)bGb ztNkFhah~X#bq?jMm0=C(tUI-LY-Y07J`j8GEmux>z;32nqG#4Ql(CkE3G8ONA$lS5 z;A^gDYYvpM7KL5d#dJ+{%{qrt*1|A`bk>#H6`Pr^h|XDe;4GI<c)%{EOQKsM8E1>G zi9Gm%%O!k48tX!BhFHg0qBZLd6tm9Neh_o;8J9_TLmF#(*o2)-#@YvB4nF152@gnP zovOVc=HL@9m2iQbOh(!bF$ZUec0@YP5Vcu%ppdm!J0a%aBQBBf2|JjMh{~)xP{7)% ztq^nY0T)X+LmF#**n?Eo*suestkGctJD3iL{#kn<pS4!|!X~DDqHoqJoaWlF=D{hh zFJTk5Gwl(Lh;*DP8W4H#F4wX<t<PK~mmSDsE!Cc|iD{RpOC;kI(KBlu@>u=D1hzA& zYd1t6yv=pT??W}yec><j63%m0_%C?QvRnOvt>Zl52bPZSIN!}VaE`OUzo44ww(ymC z4c}Nct52|XoGW}}9>X`562A{sO_u5fwvBUyx6Et!%CcHLz}9h&@BvH5SDg3eFnncM zu3k{gbXj=HyoN6<xqb(pv1I!hR54u?USa9@g44l&!ZVin>K|+zpL1IHZ>VHCE4;w+ z;7s9^c?ZsL8u%AfGMyHlV(IvlQ^Vh&lIf(d%e;m&oC^L1&sdWD1fH?<s~6ZfKIWA0 z-%!DHR9Iym!$+2Obpsp6hnyV#1{F*Pg&QmnP8Y7Qbet|+V0mzwFv~oK4=nZSAFLhk zb8eZ_@Sdew{X`Lyj{1%wroF;X<}$oz3G)kh$`ayNP~N1ezQNk@F6WXt4ewY2{TQCI z6su3LJ~&zU$lL=bIUo3aC}Y|#_^0ncIm=$<8^%oc1YbxVe8*AZJ|Uf@!fk?eleO{& zW2QTTclr*Lv20adAbao)M~ZtuI?G1o23f|rf_wTB&T+)JE2OimRZfsS_=+RMT_ByM zz-@w6lX>~V($cvv4hd;-F?2ALvMg6FFlM?e=p*U)lEcIOLK;hs+lDlj#mWM*jk5$> z`WQ-BGVL0yo6ZYX^erf6nWKC|rtulag`NjzIE>sA(pY9F?~rMH%5kb^K@rOo<ry-K zPdJp^9nx4PC`%YK87gxaHyszW=vz?8(xV(9)A)!($i2a$>9C+g-+}^`7G(*U#s?e+ zdLEqS*weG%G{=sfgwq^M?hG9d-al1qNN1_ba=Ynspqy#d=HL|-ju*_CbcIj29583R zEBHq7gb|~`I^_dG+SZH*5AHiwS0ET8*?5oRlUsv1leY2-BPK279nuG<2;S&jkjE0_ z=3w5Wq1<59v{Udz?}L*ZkK7)luoNg)NH^YQud{#fyYU|Xo1YBt*}v2zd}rPzf8sNv zjr@tf2j=qM_{s2&J<mSjcjGPo3v~(Km^aC<_}qAd|3F>CIrcbvgXhfa<R^S?yvDzw zF5xTlD*2Ajj27}9e-F&&Ur_hp3v-@b!mq|l{1fUHoMpdJlW>;Z&0gUbqp3W{UxpX# zmuen-W}YYi;t#`fb~F10KN(HrEj~A%;h*u7;TgN0eZtR1Bl#777@o4L*(>~PJi$NV zC&Lr=V>J$E*bmh__{iKRpYey`F}s-kf**}X_!a6Nd|+;q*Z9Nmke$t5;RmCE{EttJ z`tmP6G3v?R_|$lSpP}x-d*(X%7rz^(@qegIc+VVZ*YK1%+-|}5#y$KGY7d-ZUscm^ ziv69P!Bgfk`4zt#rtn{=RXD}IpeErRbCG<-r^X%p7C#y8u-~(Lu&wbv?~l9%<;>M) z2X-^sO5eEK@Q&?M4#Ro2BJ+ZD<}$N}-Ho?-Z{#f~W8NY?<8H$nwpTd{Wy~9-7i@04 z&U@x&!)vxDISWde*GNa)ZFt4@D2L%3TafvOH0Bl37IzzF^UlayP{O=KI%6}VnRLYF z#*4fic@AgUoXi<^HJV8OxYO{Q&C2}1&c?I68F>t6+0NxC6f>upHSBCW%^Q)o;0&9V zxx>!Jle`{z3PsE*W(+$U4W$J(HyTJ++-Z2sCS`tLN8?dmjXZ@y<_>9#I}H!nxXc}P zG#=#T$YVIewlC+vX|`QC4X4?*<tP*|H%R}u-Eg1nm)U|;<{If6w;iVOCfqzQmG?!i zLOyea^oC81TG9(PHSXs1x!G`+?Uk8ADszc+$0kM%=^3{h?y$|vQOINVGh^7^xSe-L z?1S%2`@~=DJur{^L!85T)-wGC)r~gd2Z|f-aNpV4Fqiv5+=FjS+r(GwWq8Y)rms-l zXeHjUm*EZTi<k#rnTquuR54nLXY6Ho%^Ie^psLYAyrH=93iqC!46j)I^dCH9S|+Zs zm*FMrt(b<htk+@^zA!Bk4=8TDz}*n1aF*3h|3PKrIqrhEgwIT~#2tzojm1ywX_(2K z5cl8{(=>67;>J_l0dWbRm?nvL>|vP6?GTr6hV@8{!WmXM{Rb6|$G8pR5<W6@iF@o} zc*M%5pHR_wh+7~o;R92XI74xxzW9ftMm_NdMUA@R9D5iZu>R9~P~NzYJ7Xuqeb#S! z6P_|f=oLI=suDl3o8cbonwSNrSXab6c*hj1mr&lgi`!!-!(G-zF$||zpXn)-H>!(w z>~5IMeIWM1Tc*7KzxUn$T|Mvn*FW!G*UdZrd1w9ew>IzY?|XaQ?(O+~Z?6Bm(Ozry z|5x$7uTN`UZ~pgcb={oy&ny2w3;h4(?w*&^?OtxLG~55oJ^stpnitJ=v;Nnde_wO% ze$83;&*^rb&)PhT-}iL-&r{3)oSgpWg!<<R_Ro&i%&4z9o?mk;zUHWZ%@O;W!}>Lc z<ZBMHe~!O*y1Y_v|5Il95C8AI{~dSw^WS^vl{)oLzuLY3c<)qrrB?m-;Ct_0$DO)d zJEi?ovHo{|yLWSK?(E-to25?ufpz0O&Nnj|-m`r1NhoL9C49n|(MI^h+yiqtZ_H$P z$C9U>VBL6&^MYSO8Pg`=6~>J>I1l(WoMVYoH%Mn%Cp^Kp@fzm_zl2hzRl*&{j26Nk za}UhsT;TVhgegxg!K(2R=LEk6XIXCeB%Eb&Q&+HJG!^EU%kYBblFx%;rg_3I<}f^G zF;ib)$!H>MVcd9zbH+@DXDoW^36_mU!Yk%5JY`W+SFmh6!8u_j!xNTcJ`QJC4*5JN zWa<;nn8WaxMNEBxMdJ}p1-}OcOl`s%a~K}7u&FCpFd7K|Fk;jfeqqF@Cw#-G@c<`- z--CRnI^h?y8>Vr7@J+~Pid1VzWeQhYVBWZg^MUVyQ!J}|8cwmiQ!_|qDidBYyI~6F z1z&|zEDL-R@|cQ*D~uX<a9Ye{xWjT!?ZLN(`|LmL7JO%{mOD@_HeH<2lKG2G!gt19 z{3kv$*zljIJusL3MkT{L<~;d?-wn6eFW4n~W8B2Q;&a0d_5*ee=a}Q<4W2Wu<Dc-k z;Trn}yM(WdtN1%U-?+}X#bB+WSX4xY#<mS?B6l^ktemuz3>eNa2g!eU#<+stqPAf+ z`wY7UUl^D0XMAQb<B#~<aFM;k&fzSxlRU$(1{3}tH4V?1t>h2<Y&grFVaITm`J9cy zXT~(S<b$Tk49}SL<P&~281b*DVR*`{Ca>_b;RO4HN`@!Q$8yZt7HO^F=JnB8z2Zg2 zne3yTdTSOgT4b=+(1gjAb&pTWTnF!sN^e*KCkjrQG119A$k^)ABadF?R!Pnxm7}K0 zp?xWy7Ly)(%v3(wH^oY5?nDcBD`TNq6HShH^ogXaT%8!^UL@;z&SPzgTb8lVOOK_> zGyATjE-_bLDAzY7UFGh?13f0zliqmbxvet3^uVLQ%}RD<fy%9kmwJw*E;&8X&V7>1 z%R&`58)eSE6pKsKC%)_1lDg#9#02+OGAGY@>`=bh_r&tjTaPu$Py1XfgJw<?Qg-h$ zx-_*v$^O+}kMr(p<RA7u`9ATT`zHCjbt%sm+sIG-x#HX6yA%J}ZTj0$zGQE|hP~70 zz}N0YZj+3J?t0WJAMItaxOB&(Ksi*hlmC=QlwH-?v(lWWJsRcjN_xImzBO@E&6ekj zE#)Wvd~xNA@|FG&d!x@6pSkz<%6I<k_@I39aKC`P*Po1Ui;wn8*cbiLIOE<d|F_oU znevH#C3~$uCrVXz_B+`#{eAIS`Fy{X{i8n~pU=3@l)w1%#QVjk`*rMB{n0q*zEas% zvh$Qjxw5b1$#;tn^zW+q@>JPCKC#Z^*Tq@=w`yE|1-^98vkUrsady9pebir$G8J|C ztCBC@F23LYq2|fy?-L)m*UDG^>^SWn&0rt&$0JYqin)B^&le}%%jF-|ifo_w#;w4t z>vQ0=-XQZ!cUP1zzT3a1#wAtROnPS>ORBQ1^vAlA)Wx>aE9*?YU!2nGXMX7O#M^E~ zc3qn;&h7tXcj@+v@5<`ZSMyBL7vJd3F|WGYan8M3`e5FZw8eLN(;k}bx_jdLVlC;l zbs^gYU%Qt{Kg>%>Uwp0qp4p<i9wo}A(o=7qC|-Q7_d-rd+Tt_4XL4G0PJHS%T{<(* zWS8J`w@fppyBZ~nFZKGE|GMK*wD@GNlKG-L97W28(z$t8(iR&^uf5rE#%-$f)0-V7 zi*9#buo0=4IH&trj7z%G*-kU{M{`zuR=VE(F6K*B;A_`4;*~pByjL<1=Zq`ys0e)M z+9q5%YbVF&MW;J&<&>l@-qrg_Es41*a9;NtpDk&NF5c;W6*Hyk;v1Lw!b@j*lq|Y% zt2;-3*Itft<vpEOd`g}z+S&cYtVrdS?yI7}yRK#B;(<F?oN^7*YufE`%C$;7b|=Sa z*GRovyF2*bFY>Vwe;K!>dg8q9e|n#Gzc}qWMcg+|rBZN8_o5h=?SV78Kk0ex@%Xst zXt$Dn)}9j|7ai|D6w^|1aYna-zEZK^W0&R9ig{CZOnl_lCT*A}Vm@(pr;obU-4%t4 zkN1k1Yt7L(=h82I(|5}8j8krLX0K**lq=oseCG4SYT}&UJ7!h4Ir5a%h4<!_lwZsj zeDCJ3=5#kBPgz5F<*&Fa)feaWF3NG)KJlJgowTeUOZuWKo%eE7%qKo|NmX05r{l{a zGx3c(GtRm$77p}#vSZ@ZZWsNa;=mWKQ-v=Y9x|%DIJ5hbMCr|r({9mbTyr?KopKA& z)7pFDi;}tc+&Gopfzvu2)DO)$amHn)_`<j^Y08??e{)$ZE<SZ`;Qy;@_vHJ8$IiW7 z{Jefw%qLE3=aM(7dGTT4p7tMdNix4`SA1G{qTNRR)~5@z+wV;AoAOlgQ2R9-lV1VT z+wJ5h)nt5HbiUh0epAhhPYchrTgcy<)A4@c-OfjHyFLlbZog%t@_WKF=NbG9D?QG; zH29seS@JtzUMGkArKax_rnmdZpQ`crs(7#ch>ggzh3*#@hHvkDptftaN8zF)?K$#` zYF~U?c(pxB{?Vs^7tYCQyNm><9clNFx2okRRSGiYKWi6aJyGtFOS`b7-xsrq)2;ZA z+Ohl=nA^!Ef9P|-to9)JNuMsv>HMa)sJi3pLSz1kl^W-qr|=&vtbO7A(kW9cYqP-Y z=4Uo8PZwCQZ<GtEp77SOjBio#h1X8ma!u7IPC6Iz+g5tKTewq$|DtY5+QOa9Uu2Hl z-aTP*>l3~%TaT{`uC_1H5!p3iR;!D+RBgs7=W_0kdRx*L-n-v^PpoV6E`irhYq?KG zo+w>t#eGuGWVgUur}f;SdLe0wr(5;JjW!27bDGS(Fw&z~@m#BwI8*G4&t-~tTHid; zo07ipR%_W^u}?K8-YvA!<>u8>*a^+5*zEL)E<#Y3$>#EfE3oOPPQov62E=Y+RT z6=Ih*2i$Wib6mk6I#akMec|@jJAA)vSDbT-PY|yvn()rClJ8cm#yf@k%@0_1%BiF; z)Z})wTv56{sH0mz#^3K!Ysq1YC^?pA3-sAjEmyo(FlYZOyXC2Z9=ocXibPB6#|7u~ z>caUx6$w0aY-N{Jk~86`nDB_HjQ^0eMn2;{?jN=<av66Ov7eP?dAdNupRdXK#9IY* z?iak9q7Te#UM5pgE-<xu)eBjd?+k`aDeNtl4Kvwo><)Zn?B!3WIq-;CM1H~#h9m4U zb_YH%w(={~9C*OYBG2%QF<$P$Q^r`i15X*F<ph2(9AN)rdm!K8K7%gv54jEB8+7;& zd}`3<zfkRPkNJaK!c)djIfv8(cbS*j9C*iA%0J-~!!CB0N`@)yXKWqbG5X61m>)RF zXux0alVJz@9@_)COYTpsaepP7dER4>@=M9g?+ics!Qls-|k;B=z_yOD9gti}gy zMMeR49JVs=<yA>l*v(MOeDd$)-~RJr@7=4f`TtY)MDBuJ%p!6J@)uZMW9ZnzbcN^1 zZ}uhW3$B=LW@hAb=}1#J+i1rANM=Q`!udu!_ERz(B?~SzUf~I`ydeL?A%(3==0%~x zsYWUGNi{|nmOOTdVS8mXVS3{}9+otPgN<zLTrw*P6b{#a;Ayc4c;wK<tjl+#!s5b| z-Hn@gTv8RZnG+>foU+gTm#DJ#@A9wm!54zBT&eeB++Oli$>WFrf|>Pt|1B4v{OO-Q zr~XpFN0UG7ALY&e@7Q<f+RVLwkMrfdNqjW_v3<&mSIhPsz5DIeqD^gzFF}m~8|9~c zCFv^G$`AWWtS7zo*s6T758OB?b?cJlEK{*k-rHxAu5x|ilb$P9La#kmE3X8#F)Wmq z_I*iHxiZnuy~ubHsEIML?@F4Anetl6%o3H06R-4$SP8xG$aMQ9bFz5Jxrr9;w`4qv zRZNuUN@^CX7%M0Cl~_)C>XGWUN~W`D$;pW-?p-pSXFMh-_ey%6@kn&5k~vwp<k&<B z_b3_9!X-y03b<RzoGe&!Xd;KZl#FMAih**i<jK<>v2KryE=`-r<jy4XGGAr?#9wZg zjD+rcR4b=Sa-Q}Gce^C5nXj^E;+me2R241dyS*l<D!V7Xf;2k<-I|Op-SH?=ww2V( zQ&Cqw*!#t7(rx$s@;~dY{JuD^zry~~=Zo*$cga8f$#LF&r~KWYC(gO=05xfT2flUR zB7e3{<#*uR{v`XRza8h?*UO*%dE%?GrTo^qBfl=ba$hBX^e4wT_m%Q{e{y_Xe7XNl zO$w;}uta|A&yKV1*>+NYJ-#TL%D2|B{0f}aZ)gAM&x+5==lad;Fa6;->z;0R>d%QY z?la_L>r#FO&g|E+U-T#Alk&-aC3~gMf=}F&?SwuD&ghr3FZ#3Mqw=wSG5erD8XuL9 z^z+#p{aNur`A|Qbz0e<yGw$(rpFRan@840g<>}%B{S5ZMexG>1cwhgPnj_yY-gA$% zJM`(|)c!Aar+#z1SJsvf{n>HKy<Fb+=ZSZVcl9r+arquNrGG(<$@htO-2LskexEq$ zULe1>_Q|%3@7?xEf6d#Hu6(ceOU{<v6W_U2njP94_|C1|Y}01JcW&FIZ{~&U7JTcr zS^8vN2&lo5V7_Sc#n*1dW<_^B&bh6X-k7&#*Th$Dg=S5g17Er2n>pQmQKD=vJu@$4 zm*7jcT(hLj7iaalnG4<ZIP11hx-oA`+T!!QHs-JHbQCW>+iPO(wE5!9UL$j(&4SO| zrb|cWg`_P$)vIAX3)FTw(R(6?W#`2iy+?9H(iR`@l`+q{(^0tiXs?L5*By?+#fN)& z%(d=x6f8d2%VN&7`Qr56JvmoWmGz`^Z)y}MAL#vO_UZPDd}UqfkGWg62TtqVkW-SX zytnt0*`eDfPPv7eE!uSPu3NC#rrRs>lr^O<=8B{$Ye=8XRoQ-Va_=LvqD_K#-14P& z#(t@u_}+D|_}iTw-<52|-^MMe4xHEhA!bVT#kt*YVp^&%zIELuel^ae`r;ecP2yK~ zYJ5|=(fuOkOV!0W-7)%;ioxxuwQ)<T0_Su;05y+hcL(TO?LBeUb(#3oIG3ux+1)o{ zwme&O5!OmrAii|xi_c2uyRGye?aBD8bhi6KOv$rFXS&bCv{YVv>N-t)>dqCPlumW4 z=}+3D@kz-@+%eANnUbM+>&_P+m5z5y=_l>U_^5QWTS(t&&x;RAhr7A-mG)$OP&(Ls zAm+<cC4KSIogJrLqxHD<aC}&_zx$8gtKA-_U2DYO#!h*vq$3`?)8qZ3J>4JlHWdX< z?OqX6Qhsqt_Zz)cphjAWxa&@iQ?AA0Cu6@nS+t{jP7F)=#oMlV!hijqSO>m$saAV5 z*W<j)ZsC`HQ__`egrEAAq$^nqKlCfHp7_>ftMElX6YGg@TuRlt=5mxNSqbm;Gf7vv z-ucAmik0ANm({{6{Y280EQFW(eMwWg(&?vOWIXYu%TnQqepk|z%!Jp@%qUU1*m=cA z#7gjmOQzbdIVXx2o$Itvzct6BSjj|q?o5qhC1c@4zY<GGTWn58(V~-`D(YQxI?lLE z5bmAnamFQ4t!mDR!bQhACDfzlfSN9y0_s+CP82LU)XAYPHOHes$w0Vv=84lTv1*Tu zE>7!YQfHd;B425L=P$KOMuPWUs)bW$a-4PvSGzP@BVTDx=Ng}oR3$CpyS^r=O1nE> zsZBBxyz3IE)?{??j!TiS?M#h4C3WG0zF*8H-ge&4|I_Zu?+f$VE95VIzVOa@7yr{r zj`Pkt`R`VqIOn{B|E^ui?|`??Tlmk~sr(L@+nyxfRNHaRc|HHx$`fA|E%~?F9r<<P zmGdh8qm>-zoLBPit>pN+@N)Ydo0MMxFP)e0Z>{V&>zpkoRqOFZ(UiZ{j^$Uttady3 zPc<t(E1qjNlfP8Man?Cq?o`c*GtM*kW9?FY2Fz^Nl3!Gl@k#MyyOO-pXMrcq$#O!U z17@_#$rshE_^5cST}(cxM&qO6k#;_LqnZ^T6c4qt$qUtRoN<nq`}8SbdixHWEl(F7 zXlIcBRej?9!hP*qY>s@taL+kX?$D<TQ`^7DovP+|uc*x*TG?^Rxt!m(^2ED^yV{r7 zxO@+o(!RjP<okp>&i-;;paxt4|6bcC+b+C!+Q<D>Z%ex3z1A-}TXs))=Ts?nXmh|j zr*g4Pn+4uEZRftJ7XoUYZRS3y7m~j4Mr(rjqRkgxI~9u+#d@4`TFbprZ_BO;ubc|S znl=Z#a>^HTihWU{XwE%TFJza%OQ&41q|Fy*wYrH5#d@4|TFBj~HzjT1`Boe8S1}#M z3(vNih&ye*FtgQ2+-S4FGpFg?k$NF%3s1Fbh|h}gC|Y=;^@I+~&I>bIkLZY`Ej->T zBc2u0QMmAEtBAN)3`gO@!>v5xS}`333lFxkh%;@zFuiq;&XrU}J?`8{jRM63t^dS6 zMX$(L)aCxDyJdU8wAKweC8>&gTR({%iav45DO7CHrVDqSg2gsPugFu><i4mYlB%e| zeO6ax`-RD^kHm^L3EXkY=iVv%rFz19$Gz-tEjzv|*s{NsTT&e`ula+_l<Es}o8QQ^ zR9|@OxQ+d)oJ;kEH;$XwuUcw+Q@GLmLgq`=g*nYJ{F90UUOTQ~Un{qyDqv3Y1DPpR z7iKpH@LSoQIP18KeX5*GRlw}#8!}s-Ex6e1!XH!|@WOEc`%=pnpB2tGTk$`#$@r{r zw)uig$+HD#n$O6zR9<-MIE{U(<%&-Vr<&FHC)sFxQZQn7lrwpzV94HT`QoF(@n$Lh zB%6$n3P+oT_?>KCd{8*t%*C%{lkq{}VDkZ)FHaTp*-I@uPCG{PaoKQuSg^nO58o?m zkJFAd>~CeKJXO$PkG1r8zhF=E2fj^30aKe-$dr^{n9}@)Z<V#jDaR6aS4)mlj>YUJ zWxqUGu%mg73`_Zi+m3nMKVlo+GgXOiNN3!`ePMIMUDg+R3g?+V=qQvkmWw5%Gw$Ht z5!>*VDNEd8cf(EA6M75IF(rvJ>}Igyu82J_hjoXZ!#SoX@dLXWuClJsQ#i-;Ku4jJ zF<-1<SHor29gz($nY_dub~TuBCv0vo<(7zTc)@f<N1=pq0k_BIhV!fydJBpf=WySM zX?VtTLFd64CL{5LG{za+J7OB1GM&;{P{cTedqzyd6DB2bhcw0s+!C7^47oWrHymfR z&|6T**uxzW)9{E%NW5W3!(mnly#)n~E!+|@4G)+O=sY;hv`1&bX{H@I38$Hu#2I!p z>}UO<yC9!2O6<V)hP|vQkqpyVV<H>wF?|wi*v_EMy<!uC7Wa<m15;RU=q|`(3=(tL z-k`zVu&H4u>j~WlCz&3JJxF0J;I4>nxXoC{|Dd|z9`hSZhWCtLWD>qJ>|#Gr%wWTQ z!uG&i<{Op_?-=v=6RI0-F<+2N_{Ok_eMND@4dw%K4d)o+_zj*jtYe>0+;EL~gIvN_ zhE?nx#S9kg9<~Q&GcS;P@P#3dFQKa867vMP1!oy=$RwO)bmLd3VlZXruw{6`cuD5L zXNGy~FKif|Gn(-)sAMo<w<vBn!#u;1;TfYIe?n!05&H@ohNp~b{0fx~CzvN#GCW~C zCgX61@sP}ej|_e688!@$8O8V)R5To6R*-w}fuW6E!-nA@BOAX$1%m<mk0J(r_7_DA zdh9of8V)cs$US(^P{;nlx?vjg2ib)843T^dPZ`4b7L+&aVSXTc;1uI3nTAu0@AwR! zGL*5eux^;bd_h*>6ypM!gm(-@>=i`~JD4pj8SXIN<9lFs;l0B?=C6EP(iQGCe&N|- zJ>i{0CEFq6fOih%Y@3V)-Z^Y%zR4G2E%4T1GxJHlkn{yN8WY$T8DDtqP|Q{&>v7Iu zE%QdcEmjj=ITW%r83(*_$Y*nseNm!d&ODPZ#7f|$LoQpA@r7B9ZtOy`9%mgEGB@%~ zNn3Ef(T4q%Oh@s8vyCR~PR18zHX5-T84Emfn9dx@7m~K%RHFv_EE$iY1t%I$@UU23 zn9+EIM<i{*@kSZ;ESZkN1xFi2*u7*p3Ktx1<YCv6=_pumu#ttG$@s$b#yvb&QWf-= zb0swj6b>~0WBVk%B40t5`6KTZ^MGlM8+b}m74|lMVml;#;*>)u+ajY2cO8P+Hc79@ zQ_y6-$Sabnpuv2WSH=9o<i<yAMMeU59P*iW{{8&B|GoX*|8IV_f0wuY|K{J~-~RLJ zKm3{e`~2McH-DOcpMPt=?f;d3&cDyUvETIn%1`xg@;B;V{Q3Or{G9rj{}Vs^zqViV zf6c$ezx?OaKln5G*ZJA?0sk%k9zScp?EjR1&cFO;*WdWF`Pux7^)CMdKl{J1U+{m) z&*z`z&(~Z1fA}Z;v;5im3xA5A%|BCr=1=p_^H1%k{h#u4`6u~P^=kho{!#xVZ}i{c zpYbz!!~ZQmpMR7;UN7}O@lX0k`J?qh{~iB4{~&+3p6kEjpY#v%2kQ^~`TSH~|9{EP z_S5#!|2Y4!f0)0&{?EUczuiyU*ZhC;ck)wto&PaE-QUmOQ~%-L#!voJ>sS0K{(gQ+ z{hNO)f4iTuFZu8Cll_!^@&6NlKR=njqkhgG=I`fk+volM^X~C(|Mzy)-yYs|KX14D z_ltLv)8%b`KY3T2E^qz&!Moz!{cr8I{=V?ecz6FByV7r+ciGG2t$y!$XPhp7z4pnQ z%e(kr+pYe+;+=51yv6S&?>?u=U#a!`UbwmcrQOos6W(1;lQ;Xl=4Serbqf}8?X_F< zd&N!l68Q_Ym)?Bd+5g;b?(c$kn|Jm<v&;Bqx!M1jUD~&Ucb*r?pRPUiCU__RQ@hE( zJ>CiL<eyoq@Lh6q|BTv0Z$AIHZr4}+_VNz?$9CPnHQr6$!T-pv{kO!sV2f*ZEx&o* zDevH)QM>QW<J9?jzYA`rpSElK&G7E?_Wt{JwZAiNw&%~^Tf6B^bLxEU-!I-y-p+r| zF6`UNP5e`9-+i0;D0#d8UAv%f3#&8dYy5V&nVu)Vvv%Ga;qCpCYae{8ynXzwnsMbx zFF&J6{W(vz-Hh9PB1^dPgcG09gu`_@%s-CXy)*1DU-MYz@sDF>>kNA@yHy`E>ND(# zui17}PjB{{jYs)*A5Ew~o3ws++{Uh*euiD!uUTcU@y~fAG`Zr45}#p*{hNn;#TAF8 z&Kb1n=R91!cHOL3E0^|3y;?puZ`Mn$;EF>+eFiPl!+ss)%PY9V6StvBxaR@0^o|4m z4;Ynew_J1;w%u~Uk=x>c!~Z+2%UV;Dw0*LBSjBHiaO;`q3n!`zi2S_p%R-XL>6Gl| z@0KDe4USiNWpZ2!Ry<S?a@0N4FR!K)v7?|=(P^TrRfT}1k_tyyi>6GLN!A4oCuMCW zK^fO;O)ahlOfARx<<b;*6lU(Kb(Fot)vXc3@=%FQTyY17LHCbM9QxfaHgV{6-`Lb~ zz?DJoQNCbZ_lxM3X|5l1lkx>4#Truu!^IYE@7Uw|K=;rorBymjr<C4_8Kw%Bb+3qS znc{juSLu|}0-dBh!J_VpO&vR2Eh1U&DBTl#Sk`gh`G?%1?*i3)2dg=3+i%#myi@!n z!*pJ;h`;c;Kp9_Sb;oVz8*+=j32bSfVcYUX@s*6yH-Qc93yM3gJD;&^d9C<FX3<xH zHSH0$Ew2<G$uON$4C4RzOkhR3g>B1h=NWQ~z6dO7&nV_FYmX@Ixaiy==X6%liJ!5m z!=(L(P0MpdEB=F^c5j9p(^<uHGD@EX()b!HJ5D=C$SpdfsKxJC*>Td@Lr&?FKnfpY zWrtzAKyimbdxcHQV?`<cgB2Y|oi*f?J_>ZSTiCQbROI4!tmruC%pu2gMsc6aq0@@H zWSUMZZj({^AkfhM!@A|Z;xE31PX%h)Z&<rbb55{4GS&HotkQddiuMgf9a`-RiaK^X z`&hQzReZ&#_*9^zy`zXjqkV>T%N@meGD`0R{P-BlJGMLT;C)msu&?=r?2&nnANZWk zE0nP>wC=EJK49E&$MKG2%Us6?e2>Zmwl%MiWqGTR#;$1HVb$Cr%koCy1<#{Wfnv6Y zRvebi8L}*|6~fpTT6I`7HyC$Zaoi)x@=C#v{b8EGvStlgmX`{*c$&^CT;oY95m?k5 zVBB%Rv4KzNtb!f;L(7hHjs<*4#R9XM9gI7Sn@`BJ%ydlPdsHMaty#mk<CJ3nUs934 zq~;D8mYI$Yd`V{%j_@d*QIKPQXwh-Z(SR?hP@t>XLx$y%0v~&#MaLmW0luUHfu?2# z;|~4i4@Mn&%@2$^belP3SRN?+V|!@cvClC>lI6a_H@1nX0ugM5sRC8aC!|^KDXigH zbV^|b&!aqnV75f_j$Mu(k}P)>7V$8hQh3IuXx^dT+#%gE+3^7Hqg;W!`X7Ir-^*A1 z-|(D&PyL0@?RV{8{8KzH|KX3~cmDE!3D5a=)bIG){8m2ezr*kLoAxLEEj%Zm^q=83 zyH$O~-@|k4cl>iaCm;3yz_0eJ_ACA=o|Av@NAW9v{=bG_?U(I${A_+H@Aco|SG!q# z!sm9=dWpZyFXXTMQT)Qcpx)zi`+56{e+xhJ&#Axhr}>%ug+C9^$Q%7nc*Z}Ye#f8Y zr}C%%Ed0bjrGCbr<|pz>{~ez3PpFsp%x+lE@wxrDy~V$UANhOgBmOi$k{9~l@T2{( zy~MwTANX79CH^!&kU#L};c59je-@sW-|;8$v^>*)h9B+w?SK4T_?|!N-+}M#d+k$x zGEcLQ`PqC={?osP@9f(3D?YJn)$jOyc#8dvzYE{-2mN#S-mX#K@Tq;L{fWO1Ps%^~ z_uvVCL4C#V=G$_0-yiI5zi0dACi8o_FK-gd`FB;H*vxKIed6xnxwbcMGQX3{`<}47 z{g&;8cZp^Eo2plAZogrB;9cW6xw!8J>HO=eCv0xNX1n2CVk!Ts>W<Cq7S$ei56`w; z@a|y=f8Mu*UG0}_C%jvDR_?}|#Itg4-xYSTn^tq&Wqu)d>CMAp{(03e?l3=>GyA?^ zC%Z|t#pd=iwli)rKa<n@p0KmssCva6=BILM-xYSYpRk>9llh6<u{Vxq<PN=gSjgX3 zopFcxv7FfV1v}c0*ebkxSis*_t#OC>p&Z+Hg&ph$)ju||>sP<n#I9F;V^jM9TZVTJ z^ZDzlU)*k<X8Yl7Vm^Q5w}w>y@NWyYx9_oi@b=&-xm9l(PszReW{}EXR=whO^Ay_) zZxv6;EqIfd$6r)kv8jEBt;J2|J978FJt%9xZ~f!m!tZ?5?+#S6+m_$h+x$-U(;de1 zvPJI;p7WKxYp8C&ZGGe3!f$+A%4h6tek1$pj^a1I4dn}p+pk-n+1dPB_Q{=vU-{OQ zN9=8WCHv?O<2l)&_aC0|tthwH+dSKP#=V7K_?DDs6tkO^M-;bTwC=d)cvjZwJwsKy zN%@aG&Cg}6-XExJKWm+FkMXSRxjTxV`O@AsRJNbCj<~n*jI7pshsySo)*km1Kk=o! zW2kI5EEgzlHz=>z)BIRg>ivO=_M_Gs_Y^<!b(CA|X?`fn_1>YP{h&3+J;pP#`|cb( zExYSZ<7wG#cN9PHHI)C@-F#p6*SiHz`D)5<>~@}Jov`!pRO=Ua72oq!ly4|%*D7C7 z)V|x=XJ_+W*;nrrp7NEHcNDQ}l+W1Rd`EWP9mRKie(xB{+qYZqIQy`iZ(s3?xrgUj zemLiNUZ(8%0_%30;seI*cP#JBY@TcR;M~J9zHP-T<}$yPNqeqf-ELLfF_-y`%!@M* zOZkeQJ+NZ8EY6tA{8}dL`2wqUi{b|3_A8cqW-`B$@q7Ltjc-}8#$4u?GPlk&o|U<F zCb5KXQE`BA`vuE}bBbqW?4CccY(HmNa4xZ!Z&tB`al3Kxi8;+PEfdZ?EaID1tYO@K z$}-?wViDh@;*L4YGc6s?C7zKvaz^otjNJ1F7VXC@4bCMN@^uw^%wc{c!}mPFqWzGi zz`4W%zNTUZ<97Yx4@T{J#Se_yb&EOXFh7v__w0dr`##HznauZPzCD|e$`|phAeFDG z_{41HdopXzEIcK%;>^Q5zTjsG=Iy&IJ!UfBm05I#@s!N7XA0)+>ct(in<rZyIQuY{ zFE9T`ZS#BSs{0$B^X|#N@VV`-`HOvu=cPaFQT)zZelOuU?~eQ(wass(v+g_mZo6rI zV&B4Z(n<FjezRHSSJWP!W4>db<2mW5`v-otT{T~^Pw|}egFT90dGqfz{A#;wzN51F zrL@<5hhJ@G`3axfO!Fmbn_oy@*`xS{cR{|#=eG0a75f%`=ADy&qo(<p^o2bS&qy2H zPk6>VBY#It^Hb?ldlr7;osvJJrum7q(tU?#yc6;zKC>C-b9`<)Zf>z};YZ${{D_+7 zN76#~8-BDMHka79@B?p4zC=y)1L*^M9-fxovuELH=^c9#PfIi1XZX>!-~7kkh3|Qz z?j88vw%0tRl6jhWOl9*u=}-3>zO!lPulU5KmA|9<@D%eKdl$ar4Z7#>y-g#(;ZxgA z^Amd?o|Jxc@4*w^g8Yi==G#(r*B|U|yJz|)lKH*Vmo<syyt}ebY-Y2`J`sC(uIY_P z=66zg*AsTP-7>wfF0qVvQ}&9@Z8uC0tZO_c6?ferop)XKgw1W&OgF4cEahF5-LaX? zBHJVO@NCls>mHWy=3PtJ)pp5r!n%cLrEaWAJS*jPU11lSX*NeJ^9!j<YaSN!&dYug z!~9&z?D~S8Y$n+jo7>Kq&WL1wCZ%^hVP~6B_KFzhr&4Oy6?V3rFr5&|{6y;58pktI zht@nS<n7DOh+%##C3bzmj<zGF3hN#g@U~@Z#4taUV!N)egUulO$0j!Y>=&EZ^s;Yk zYCB-cu<l_#Z(a6_=;mprAJ!)3^G054NaYQ`wqSeP9@7VF51x`*wWjfu)Vpg2sk~*` zE25jHm|j?`cuH!)n#4TbqU?%IZ97aYBAM?<-MjXntnI$>k9iBf^HiTZP|aqWe#5r; zo#dxEjOQhb&KErADLdCt-FDmf#=M2!c($a^ux)-L`D%{hH=YgY3yRyW8=tXkel7WA z&cd%eYtkcZn_o#jn!|WbGU)t=XFMy?Eo_@-8_$@x@C(n9^o(LQv-F7Kwu{Cc^Bm7g zI-O^zYBNdyVblCv((3$y%C@t{8S@y=N}ije_?ai|Ttj8sY2%1_3(rVuop-2gJ8A4O zPw^8^$~lJ0Hp6s*;x>cy3Y+G~l2YdnRJ0v6)|jXGk*6cw!lwD5B-eR|infEs9P=2@ zNbZ|+@U-NvIgO_!x6M)fz|)Za!@Bvt<garJp7PYB->`O`W}IMoc&hP>xr*<3D$+L; zwP~d<C~Dhn>|@z{SMt?4g{M3v=^aIE8tF5vo9{@@o1^%S$L}0NdE0j59la0BdG;m0 zkUc!l@I#;Dd5N;)3#{90k`EZS-7&l)**w?qLEpnNo^8o1WSQSeq#akVZnH}6kY#=& z@uKHpDNpgS2UcvB$r-ZDuO-5cFR*H}NNzB0yJEOUlKGW{-|+`&Jj;?bWSL(|-0EpO zD{-wSv4m$)a)5E$1;d6u#j_H2#~)a>oii-xODyJ@mF!^LW}JLNrg^4eLf^w8o@vP% z#%-qz1Nst+cqS!x$S}_|bm&VwBXOih@r;Dr@dp-d#|#bn5({~{l09UYA4%{XPq1h^ zWGK*=SisYi%wXK6pZvk7O)vR@QJZcuhYa%riGRl)n78dS%#dWhFY)czgjAl0V+E-^ zRmmr$neR!g=~;M6VnxrxJf7fV3Fd9P3_T>7?@BD{VLT=A?3jXin|gAGbn|4x1HBJ( zdGg|a{B3$KUbTP2bM8Iy7e2S%)qhc^cwYQNjpBFi@_h-<xp&0x_}lbWJZrzh@7A08 zC+ZfS6HnUD@SD{tzT)qpIr=;59M6eI?LY9V^{W1gI>mG14{8*@a_8@B_|<w@e@CQ4 zAj?7S`da3HDbHS=3Z5UX^Fnj4K<ern+{N)LZm;^v9VE72;QM5SDY`%E4!-3sh_5i- z^B`sQDeh(Q8h@EyioMI3s>!}>Vqh8fs_24T=UuE9bdM~&>ooU<?wOlTFCL5OY%l0^ zn|yXotMxvO@XhfzZ!%3ksD0UNP5i_>$5V+i55m{QAI(eTo)Tgez2P@k8F$Ghv1J(( zXBY2k-M9YUk&An?TzU68?a}i4s8y_XQTvRxaX?3W#%ETu_=wM~7xg>p9M6h7?PvJa zYGT}5_wY0KJaMa|F%R}^_{n-!f5A*mzsCV3-94r4)lCUILhh<I-J9}b>VvD(*Rvg7 zp|@P?^VHk7!waJK{?z=UZtB1ApUSVx8E?W$=SkH6N_w{P^4fsyUbS9dS6-dZR<C4I z(^a}U?AnR->)!a*Ej@ZVWO97&Pp%KE_sut&zv)ibxv<&Mfp%AaTuFMEI&1xhdAD4e ze_nnTUKRh*cj7(U&|g#UhE;~l7g&2IpmnAf&y%T>FV7dM51Mml)udA&G|$i9WAX3H zk1Nl^c9(72l^j~_x_#=~aQ}ksQg^d@pZPcV-@KG}HBI}{%17IN-RZjgS@Xtn4cGO{ zY;LY9S#@G<SmerOKB3R8uEsnH>4;nQ==P~IVd)7^mn}*X{dM(DNaQ{n*R<7lRvP+G zeOUV{@bk(eYpd$Dif3Dj#!S7x{>i*ge>qRz3orHm==ZX0<E*WV_D-7gd%Nho^?#1J zIGGtmY2L{y&~|n?s%f>_b-R!8!mx_K)Kza{T6c%WhrSor)+pK@INjY-ZfUfsww2^o z@0}s3E1t|;{OH2OMbTcxE6u%^>aj}y*d>y+dg_DF4JA)<5}B*AxTaQJnYPfX-^s*Q z>)i_VVt30+ysgW<W*^WDKWMq?!>TKb+1j&QTVL(<nmW0ld`0*TtIf_AH}O5Snlf)O z%j&rGMa5HJhAuCRdCF6|Yvs&mtNhQeJ{8g!XX+;8buKjRoJ?zZ$i2{vRo6ly-C{eL zo^qF}_s?D|Cja+c-=@{GY)-w^I<7zGX3<qS@q?@P)F^J|j#qxmY8-!HPr*;t)7+{1 z0yN!4&H3jA1z+5-fqP2)j6Y3J{MXta{mFUGf2IAze<8mvzw%#IRF|~(Q06b;`O<sl zf2+H+=zFmI-1%?jJt^C@d9rNGz4LRQyg8Dle*OAfpTKgj-p!L=`K`9z`0h)Zy7^M; z%6C_ml`c2gyWe^z?>mvS<;MNifmUW&CtqK_lUc0pXW&~>c4&9=?Ay%IGj<EloNJW- z=<dn&eD8hN8t<$u7FLkCI-4ooDfDoQdcM&_9;F$^(RsfvFIJxOa(mvg8~QGFjc3Fa z_8UCoPTD8%jJrR+V2<Y*ajE?WezYFd*Qitc$lZ~0tmff`?@bR|59tfkC4S&;GX7e} zc*dtX?GW!~&dA8Lf@Q}q#yFolsbBN6X}W&NPp0V`xTE(8{9rwx|EKogdv)XZ7r&dP z&6nGM;CslE;Q8@4emhOmPxyIgs{V^w#rND5_8b4HJm(JESM}Rzs(!%FLwCc&*W9W} zJQcjfKJ(_PDX$8&j_BX0UHDFW@A@CxR{d$3vNmeJ!*`L_{%!FcpI9~GXZ&uuBR;Q2 z@g29{K8EkD+x2(kw(e@Zzud20=uXr7u#NV*c~f_`-qU?^lj(if*60hHTkq<=$WuHo z_8~{HoV$Em!t<5qbRXnBEaTo5z2Yv@Td}n53cFjaqC4&~y%Bqn^RSe=c-w<rtd`Lk zcbQ&`g>7H3tJNa9VRP#h-90y%UWxf_e~`w#EL!6((@U{iIgMw<uH___a4(7u*xY(S zw;@mQteD;Q2RmEO=@#TA7IV*vcG%o%9DU+W(@fojyoW{H)1oytx1Q1s$V)8Zo)q12 zhiRs+Ltf$;u_HN(XT;>TKiJWFOxGYUv5>nf+T#w>BQd`12|HR3=?df}7H~I3Gi+|v zkN&W!RWJI%rdHi(jyp^b#Qtr2u)TGkZpKZf`(od=O-SXA*jA9rT@`)eHq$+^H8~4U ziLJ<an8zKwEn$1>E?tkCOn1c=<uINSd$vtsd#ieM$L*%cx(9L}=5pu7|E+uaJM?{c z^}a`cz0Qa4j(=G<^|`i9{L{M9=i1is59>;QPkkG{HU46q>F=p;!b|sc{pI?mZ56+_ z&h)wV_4Q9`uKp5z9lkn#Wu54AZHxG&bzh%pUs><Bzv%PSm*Gp}C)Qnkrfn9#_Gi`? z?ThQL)QDPLx$DyszF>d+(x0zBYoA|lwg1tdtk2qK*I%e9O;bC%-l+YRaO$TuU7x1T zT)lv&^}FaZpN&4d!l#E%i=X;))hF#!>(%y8`lIzp+sJrg9qZ4lGu9ue5q-A$_<9+3 z<@Hj_i$04!4k(G&y%$ox^vC44zM=cP{=EFAePo|@XLx(^KBGUYK4>3OJG1)TI=1~n zdzgxaUxdfIT()s*se1ZU^VIS;Hl@#3-dVq^=IB$+yYr9OTz$4$H=ebQ^+(9O_5b#L z`n~GCwywXgo$61~`{C8`sXw_+hllUGRIT+%>%I1#^=oQEpK5Ex-}Pnv9`e$EX0UDL zsjn+7<9&B-nyvM2`RU~q^EdrjmG|nC=B4FlY+9db-e14OruD~__rbG+_u97ZG!LDo zy=(oV8rG+)cdmc3uj<p3S?eD;?JxQydM7+TIJEAmS?J7_ddnAm3YoQXl}~D_)}EE0 zmR+jmDp`GhZO!sYw^w~%d2{VIo2fshsLfnkvHjBKtM7tS=Sgjz`Yx=pZqpsE^TGYm zPwkHGxH@<38z0s*?K|^N`CLt1bzr&M_FZ>Rm94%tUu*lKyIJ2fudYp*?^VlnF06E0 z*Wb=U?HenX<hZ7<+BN@xkLlCp4tn;rH(!;mwhWfFQ?(9#6jC01)^_WzsjtI|wq@0J zUF|B>GK^m9Cu%ABG$eW1tT|jo+E>>;%3*!J{KDFx?NysaU->ur&;7R~ZIwxIt>4xi zS6_zo1e;E}dFrhHV*5_J)?HIyhV<KS^b>uy+%$S>p6jkq>08UW{?5DgdCFV=hG50K zqiL&6qkHYP{t$T=+~vR5&NW^8;@T@YqQ6Amge{0(dh^xgQ=iqfgC+B>{+#?QY>s`d zoZZ!5!jJqrqjU3??i8K1_S~N>KSG{|&5WKovnyXqKRVHGY3izdEB`Re&${!fNc;5K zQ#qkKMW2RE4lcau^-2BcTBYqun?;|5C2tej96DpI-1ee7s|vM`trgoIbVsXD`^Z|p z?M8Q26=)w?%hqpI9P%uva9&r@ZjpCEWz#O*^(xT3xBSk$s>w+)r%nf#xO*;hOm_RS za*wuuYGoGx>EM8At2R%06I|e38_9JhsL7jG&vi%0!=N^AS-sXBA&-JKS551>8>{tQ zbI-2jZ|2SVbR~A`@-;f8>DmU-wKq?l4vXFPXw%hcYniq)-FfwX#p$(ww!OOTbvmpj z`fcviRBfH;*qdJYtM{z^ux-<((5Y)z<dkl|I%VyfZL4m3oeC?7cD>1UDy%sAWbW6L z)jQVC$zk1o^>$cZ_}{pv)uHc0tJgi+>vcYKclgV=sn4}+!k@;KrmsA{`i;)jAJtQy z2K9wMj4Q34`ZjcH_{D3XXX8|7KV4<z{ZiL-*Okeq7JrdBT76~S;;Q~nwy(-Gw=ccd zcPn<)nWsTByk}Z&7MZow#d7+qm{Z1QgBEzV>Mh+d<w@Ww_qB3It3p=44lM4gisgEz zabs~(|El6CZvr>FUz7{2p7J)ZWLnXtkUN1hyie+yZWo!dbdip0dB~i_Ph>)?ro0Ty z>$9@u`ntm0eW@I4x~3+msgb_YbhY<Jy{o6Ti#!P37=Cb<o@x5Z>r1!ji2m3vGJWZl zlE_!SvzK~I*DBq7<z>(cZ{0Z8bj=$}O*CKfwQnjGnYucz-Kn(2=xuKE^A%d|te@nj zT3ng8SfpRc#OBn=fc4>Lcb@vHWf{IT?r7E3SAjk5rg2NFLg!pwe5gmUIOIua!8)(K zug-?9=y2!dd#a`Wb=BpC5$lVJr#uL3b$+??REfs9^H*1UtykK+>TGDvx~Sr-FG3fE zuiUBiMeD*sC)f2}#aEvP<gNQ;^D1w}#no2rOnbXNuR6QhWW7`I)tRe})*BUzJ`0^5 z9{DQqrghi*RYu{Vajumj_hjxaUUMbRw6pq3@)f0(>)(b>3U`fjeWrC{^|2V$XR8d{ zGdqi>+z;&!x0F+Ty29$f;y3HE_H=z*b#%4JdapfPA6Fe-&9h!>PuGW42UoMKXDYrr zef6H0t53D`!gF_Oeb73v`ro=wyH~x}(hdI@yS07U=Vg~xZ_07X-N|)2G`vk|kJtNE zdscr)`?SaNY-rf3RTgcMtKKg&oRXTiX;0Uwl&g22yh*q^WoFGxpU*L=<)L>&gVrsY zz4H4ijc~_{L8j)xDp%${3@w@yZadTS<EkB6>fr}tzm`qC9kM_8r{7iUtMgV?Y*o4U z<!OPIZSY&arPiVIR(|lAYJGL?$~Qi()>q$#Yzw~X=W2cRO~|I;t24FAv~H|?;q%q% z>YSA^%O@F!z7AOvyw-1NmGHfg!n$31J>RS8W#rx3)A@Os&H0rN{<Pd?u?l?^lK*AP z&m~TKU!L&|totR~mACBv>{rXI_MYr|8<JO)zv*}8_hr^LWy_4_t}4;GwDOkE(X>^j z!M%Q}R#RuKbXv~D%B&o{!DDLLs`D#tmcN?QRlMr#N|WVI##d*qG+J(CEcz^DdT^v) zXxge%D>atSn&VZp>cq+ue^k=7POLoUqnftLAlTOLsYU4Hke*;&zoizTk3u?vW&Khu zLLY{-1oQf-T8PeAxzFcm>MFh9!kJm8LmGn_{l1z{y&qB=oH?^Af7RZVn|xYRS7`^o z^qp!hdM_kw*(xK^sVm>r-TD;#AS8I%rrE3Vv^0Y+`iiD%X#}73RW-jldF7*JMMk1` zLh^%m+J5~#<$ds8|F=Ig-)r7m{>5hN?<wzsE9V{h9P%!>eBP$d!PAx(%-{8w^MmH? z`Ty!Z{a*Qg<*nrz^Jmq1eOqZ||Mc&Y@51+jH~Js6bA7(@`toNsPk&9BGhb+a*58*O zG_TF)sn`0``C<9N<ze%KYPHV!H`zD-e0kcx$NylZ*4LHh_8Wg@o(*1Mzq0bx7fo~j znRcPSL|z8x&P)1yW!7@H`9gm+&je@H1=VPM(Y&zylFiqjmmmB0*_-}*@>KKua;y5N zny$|)&(7DGf2oG+Y;gL#Q#Gf~1kdn~wM+dOGIP1s{6#fcpEOS{SE@JqEc`4uWnR;t zm7kWMUVh9*_1SVG|JKS^A2p9Jmztkcll4*a=yIX?PBpJSXda$#GGFUY=4Z`A%h~1& z)o`5&j-U7GQ^@q?J8ZT-U3p+R!~9>>r{1sJw|tAu(eGF81xL<1^y$jf<zMEVs^)sH zsqG(H*>x(o+~2qI)Vr0tmM^h!{T?!9`2ri$?^Ess`_JpDK6Ns<z<;mp(`{GY2krCz zs<$;=^WM@gI$L*7c^6bU?a=0scR}UTHf<Jp7qs2`re5f7k+(scy-(_ermwuQG-3Lp z%~xIr6;CUQ^*R@{)_bGg)?HIx1r<(f+8pvKD1Vw$?5h$@bMKjYp}Rz02IWpm+I(f! zQn%?sv0i6`7J4`8O-);QeyPp$S2111E6*-9neMdt%FLxk(~UNZJPVrc9jO<Zw(`_c zjp?&uyoy$ySb9Q-b?22COONP?rmZ}_RAzcsOjqH`qf14md&O`St~|VyXS!BQSHa4I zOIfBfZN4&n=^mY{shWD;xsh50ng^EtoAxPsRlcUK_eb5W+e4-;-JnyNs=0URr)h_x zPn`-1owjJxmAgT~(>6t~%G1>JzNjmjs;S|9R#$cVmB~vVO)J_YawjO?d#CKz>M8F7 z_qxBe?E0=@>;6`5X?4iF#UEs*R$rOB_>D|!^_91Q+uX0pxmI6!6S&F!s-@O9jT?(! z$b7B3GG}p2|D@uO*MV!?*UBxe3YoL`fy~sZE3+2|^jq1UIvcpmeX5*mRmkkcH)OUx zTXAu*OMg&t$cw-Q?n^CSebzX?*sA}LP1a|Pvx_gtls;Q=X7L%B*2*hS1E;x9wOsW{ z<J4lc{z*1kpEQiz9py})X&Ab<TE6<IaeT2<f09ksM~$P4h5DUrUVYFwyqK$B$tLTA z#=*r0WWGMt(04Dj>^dD7-N$9a^<l;S#ee!<S$mxhtZ{!UJN2oCj(e=7*ZUQF7Jum5 zR1`9G@d}yJ@+(sozv)|L?R6@!#NE}B>r`N|`$^faPgd+$JV%DL{L1aXJm<fBPpw1V z2UNE`lJz<tu-o}1-_&#s8|SBdrRf^h&JX!Yt*5*V*y?<d&(wO#n}E``E?KTJ4J+rp ze5UCd*B3tFxoRcyI$*W)N<Pta4GZU`d|%Tvt}OIxFEXC;GGM9mM82zO8fMOGC9_I2 zE-t*nBWfk`A|SKvm&~c+73UUOwBM5PD%LP@o-3(UtYPe&$X9AP<!L}_+bWr^q7^3> zs<d~>be##9;M^<ebtWLOtxD!p;fiAmCEBB8yb9IME);5a`XlqIK;!U2uKX;Su7VW@ z7qYZ78DE*ca1YPbR1H1n+?^*sE<3)Eshw$x%&Ygyj5ay{<h^P>SvBpM@ARFEH|}-L zoT<t8(r2mjN8YXGA=4IaIP=8%%G8Bl+D=Jx<*QvZb&i~w`Ke%qmUCd?6q#4=mN~A_ zG*6X0mA7Ko>_t4PsT#XxzxmeIWh63X;j^|$(yvYi6gpc<a=lYKwD4YD(A><gYTIWo zaoBnH%g@X2{rA~_{kKK^v--XHU+z4qysRN@-qmB?`ESeb$?yCt>kfSme&=6ax9PL+ zJKxZ{UABuWgP;3vv%mV!<@e<`{+sNt{?z=Yeq;U%o3MnBFTXCgwBPwp<@xe!^CRk` z{(630Zef4$r{>q?=JpH!mHe7Kd%jP-*5A%A%gw;WB&f;!Z^^Ue7v?+EdwstA+<%^Z z>AxdCFF*62Wxw#}%QOBn?Pvbv{Ji}1e4To&&%saqr`Y@cQ~4SE#6P93=}+bv|D-yu z&(QMo$dAj9{JZQ`|F!(M{LsJ6Ui6>KkIN5WrE^@}t53qy=WqKX@<aH6f4%+BzgNCb zo;LqS-L2o6r~M=9c72+B&%e_C;op>}%eCwSf4+RTT+{yM-;nQ<r_6s**Y!zw%KT?_ zlYYNE>0fAX`IGbA^6m5Ql>NGUvV8fyx!>L#**$sQ+_LgZcQw!ZRg|5&`|_M$S=phx zFU!<#&&?`dbT_k1{pQ?PZ$x$rzws+6tGb(c&TpOd$(t)n)vwJBD-XJ>dCsq(%<JyU zvwkbA=e~QArha*@SGm&Nm1q5O%Az)3e&M&sdgV>c67>snFTMG)bMkY)xz>g6w(Okz z%rB$NYIE>2zqGPLcU~5$pPqZ_O~_8+r+$;IJ>QA!6rMR(p<HV7<Qa1hz4@|Z@?*bV zYr}V2c1(Wc*J-Wzu4KpLhkmWrg6~XrOn%^(Q1)xn<mq#Fy*ZM)T-TcQ9m|f(_x<Xu zzrJ0Ps=jaTx3W{4gs072_h!lV;Cp@*){!??p7IMR+jTqhlwX<k)we3C>bvGHdc%^s zeCOOJWmTJk@A&zZHQj!htG<19UH+%NE59$ZHUD^T$@67*XP4xkDi)qMyC{EG@#ML) z3-WjEJ^5|ft=Sp*v-Wy^TV`c`^d3vK@Z8yP`Ll{AzxFN4%i7!db=lR~A^Ba!!gFQ^ z<yRF8zw%vfzH{fvFUu~?_Q=mF7M?x(+8vXs$uE4f^0@YLepzN>UVHCJW$<&~Ip(?d zmQ)JQntkrhl*-97XB*`2+H>;LG9&ZQdoGoipZHEPcfIHGOzp(%V|P@ZEi*8;z4xRd z__1$~x$eCs6~T{uJIrP8rBnnz^ldTcy{A$kJY)90J5Qc2(=#vJnR(i`(VX$#m-5N? zeQV7#cXqyCws-cXJ1tL_X`8>iJEdIso^M#*sv_a3v)|>-Dw=%Px76HoXXm?R8s?5W zGvBH0oIUT3NcrT+vmfMD?LL{i?EcJ}^jC8;&-?5#emOI<T<z}6PiI=vm))89?o3L$ z+MSts>6_-REK|ERGcA46+?D5iHX0v1=aRnc`pjo%o>)zuGczhZX>Mkz+SQql&ak8} zyE60MnHH<dFMaaTjOMN^QM)wr)|n$|%S?@XHVo;A}cooTM-S)cjFmFKQl3P1Om zZJc?oC2g6paq790G_^A`_0l)ZS$W21s&U{s6U)g@d?p%CoXJ_V%+R=Vrsf%+eq+mX zS1g1d`*a&?o||GJ{K%)>Sn^zmh44e4W@FBCENRR1jVph$M9rAiy<Tt2Iz#<6Os=kl zyDoHe^_<#s;KH#z8(O+L4$atg=1A%?U1QdBEEbpV`_vhKJ-Z}TZQsmqX{U^Yr_Ee< zW{G+5J)a8W$eAlo`GlnHnw@#dr_A{3S(Q|^T{9P*VM$%KbLNw@Dx=^#K7MIUvtQ<_ zZP)%2cknyc-tZg6toO8E>^$^Nv}FB+=Uf%*CRDdthi@omy`z06?%+4Bt>Fvy9(p61 zvOeHB*T(RMy-ahp_rxWh6OCD~@SJOHc*5R8uS7%E3q0p4ST~`n)jZr`@1d8XcVZ5H z;aVE5u-9p}_J*B@W@-1tIey{FS|?D&dO>^1&O^^dZPs6?WId;y6X*DuD`Q>3Gp?E8 z2F0vrv}589e&R}97f{K1O4}#S@e|j?aD`&l6WTpHn`UUY>^$^XRA&8!3f5!VI&qF4 zxjMrg_8fXB%CkP8g7uI#Pn_ciu7q_To^r*lyYQ4NX5EITT#exjdk)<f{j=^uIje5? zgWZSjiEfHvJT1B|#_>H@W%z;JO;fc)b}~)Xz7v~xO7zXTfO1yN@CCb_rf56tJak9& z$+{0uxC+Ayidc7O?}<H_%e6oF!(6BLBHw%(%ektSZLns&tNCOm(>%>5eh<%yyzyZy z=h_~;VXo6#k&NXA)~q)*ugr9MBa*P(A)RY|@C;+t>zXHKI=vQ&SiZrE^{VEPnM`vu zm-szAD-y80z>3v8*kG>HOA(Ld7t*+LmTgGmS{y7ew`rDUiyvbNSLU)0maOMBOZ*y( zxn>7nn8P$nbIwesXCh~O7>l{42XC0;G*dIgud#@0a`1#XPESNm_%If6B`;G*<4Roi z!GiU;riou;Ay;>Bz#OMXA_B_`ELaa~iug4aa5V=D%wd|LSu?X~x@O5trs<kFGo2oY zFf9LI&bnXoi?8Epksr%8n78T#A24dw4!$tk>7K}kWeKTVq01anxyplQ7_siwyyEM4 zO60{dfmE)5Wd`P~J2h=)I^7YO<HMN8l^<MCedxV#&HM|$TWtLw)Hcmie`DwPowIUY z!*kA^{yRRiSo<HSJ@i&MXMVtMmRss)>>R&wmd+FS&2mHiLgk^?!q03De&sBhci|Vy zHT5-ij$b(o=P^9zT<Kr&ndOT59J_;Og?;7+{9?JJzNM0Bw)&dNLob9~<}Y~0xzL}X z)@hb{jorb|oOAsj)Es&yY%;&$8E5*u2|rnk{SVX}dMd0lKj0bXRR0Avhn@(l%oq5{ zV&vaYb7+QoN2SvYbsM{bA31yd6KW1U5*C?1;RnkRbs4*ZA2?h66>1JW5N4Ur@QgEl z-h-!{vGWc*<&2&u@Pp-m`XAea?>TGzFMMLzr~bxP@wD&;n}?@_zs#HPon?=DM5WVI z^?=GlcZHYP9DK)F>ObKV%Pw`7N~S65XKWqcar(~__|Bs4-%x$%w(y;4A9l0cSN)=w zcwVSt`hs-M-QE{so#v@Nh;(`<^iJpCIiZ5-1-n^pt6tG-EaTklJt5X<uIdpz#xl;5 zX&-jASb7)4HqBApqSsi;x!OA*)@hFFfk>xULicnSOF5T&7i?y^tU5)nv4k^s+JQ9A z>}dwOST3rrh;(`(<S>0g8s~iP4>3;9g)F9T*vWEMbwT8znW`yz2hRu@OfT5Ua$0pt zq|;L&jp+tESx&0D=rx`ZQkZU##+futAdR!%yCBBtv5>^{4Lewls;cNQ7IL<G8^kz0 z6ylg}u!H5GYD46q>8cfxPSaHjA`eYdWzl0S;H>xl5bbndXp2r`K4-P}iA^jz-a9t2 z>{WfD%b3p@HZ35PGh|x9_7+X=4be__g_h_v=5Yp2V@TyJ_MQ-ZXtL@N-Ge8E9!&eN zjb*#?AGw3yIrh5WC}z2*{KE3kJHe9v3C}qy`X*GjSi5g1X1Sw$NABP^j;-zsY!AH= zOz97J&au(G!Io*R@*cUwbAmDb3eP##x+mBkdL<arFYuhBpl?D|i@Cdl?V*=~cVrHJ z;aKXfVCytnd4uJlS;{?fj$b&k`UI+2E+{XtJoG#^BR)DNIwLtQ!qt`e)1E_Hjww~h zE&R+e$Nh#)(=)*fG7rxP8uceU<Cx*T!=~w;`o0}qUF(jWI&wizMzr)nQe}&g`wAPT zr-Ewz3Y9G$+Np5~l1dXSnVtw9lW{yFcu3~qM~*)C3>&7$f@1v(Dq4;xE66?kz|rQe zVZ-!LkgZ>#g2iBZWh3YiQz5NOT_2uu#PwZx$`RAI;VDO>JA=)k`+|S^E|jzAx<9Z! zbWd=T4C86Rbuy0cIV#-`ST{{o4zXmKs(eQ_@s#*Bzn)5vF-lrO%?_0;yOpoVI-U}I z(I@bfBS7hltm8Wl|F(e2mL19#mP~g9@AW+}YdNR*hfgt|V@`#$hfLFZfvWZm=^T5U zcgQr&Q}p0#Eacejd_mS}p5g;Zr*{JHcn+QuC}=ORX1T5ShxefW83CEyJO@t+d~2JK z$}z)v#nRU3L$Zf%3*33PXUcD(dDB0=*|+4m>b>dTe(d{V&7$QzA$ry4NpHM2Z`HrK zPvrNdx894g<B~pKdhNYhf8)L@uYO&cW2V2d@+5E8OYi(RqgqYVbKa};Tlb|rQ$09c z$u#~}P3PyO*5{{R+Oq|8FtJhmqH52s+g|(hZ(iM7@=W#Q)T;PJI-e&!^`5SusP%W< zmgh@PPFILm`h4lRccFf!<Y%F&`=+zS3w>5S<275maNU)ilb(Cc*UnrwB~8^_`{X*4 z-9m4@wrby8SF&5^nb%D1xsjY_z0$&%Vl_)tO|?7Mne4hGb!TcwcvS4m5>?AJ+IJ&0 z%T;Z(pGJC?FTFc8D%=TlN^w=#rp-d{`HMdPS@4a0_a6Hfe`8O+eS1(&b@y&9?Z}@8 zWi86;ChQV=>{YBS`p@CIf#IZ{d(Ec&d5N#jtlRfb;Y+cqmAZe}q~DA$yeb-UJbeAb zo#q_w+F_w)c$sI}61A3_iYNFMo)buFXRv0ma;}g)G)Hj<pW``!sP+R^Emsv+@F|`X zc)+7r%8}pJVAXP2aff8nO98KT2dfq{=LF*xQ)da;rWXQNcoa)G76@G8d05Ob&-sN6 z({ll{_63$KCe9YdEoT&GNHRSW&}&byY%y|PA;a`kK&@TDvgL&01WBeR0>^k9&j=ji zd05EN=bRzK^jJWweSt;G5k&>QhXovM&KfdI4+YrT6)ac`oPQXx=sUkKV$pNHVbpR! zk%8}FK1ZGN3+bk5iXV6r^Eo2h8d5pJ+ZLF&>`{Ecd+?ONDxStu0`J-kQaQ?;S4cNa zQM|ybcuHUaPhuWNk#mJn%ML{gNv1mj_u3wOyY$|BpZ?c<TR`dS%bqR2C%yBoj63u> z=$&_Y+@{Y$@4UC`-`p4STj;I#X8n`<LY^<ZF+CxE5h$@0#}(CTR`xYMm)LoHM=_7} z@dLKU-Wulg2UhdkN<Jg!{7s^?Pq3QjM)C#AW3LUL$sGPFQPg*_isxGL8ad~$5`}$? z&m~qKuPElZk~~N5@L5Bj{=h1pOUYX-*=8rNu{`#|(4~LjGl_-A8EoBVCD+Iu{wy)~ z_ye0`&kRla8=py}_f4$iF+P64=Gaq1o&LaQ5>t;ausQa`P^Dk6lE>(HgUzuS$sLw% zGm>rO4u6#BJ)U55?2(~J|HKNOBgryyhd)TP9#^nA_P~&(pYfSQeBZ;T60v;;pGrjc z30CkNNd6;x_`O8!@e4&f`;y<tDxWsoAoKW?;g`ON<ve?mBP`vfCI?s^yKA^i=I}d- z(&H0~cy=YbSh7t?J|pY=PQt%Wu$)Kzc!Tw^+lF`AK3enKPyE7{eBPj<ePO!9?!y;k z-R31ekaT-z@Q&y3IfH`sLTjGeiC6fV%Oo}*o*?TsH}MD`bD2a*+efP&%fkh-ZF3U0 z@HLl8tUeqd>ozCxfTY_igL^#8r4q{z7Z~$gPMpHmTq2R%b}&sMyUoyw=VIatNw*gU z4($`uB<3IfAmjGjz@mMlCC}N!1(L^RCZ_NmK4W0eUTDd4I&q4m+fxILc0)^^lZh^T z&1Vc0+6~hrlG+5*B>E2*$hbW=kZ9j%!E-cGg^#&VqW!RejN3y4j&?%}o`Z=ElE<be zR!F){Pb`o;HZ75bkGVji{_qEBxBCWLc$)Jist=zq;?X(0!-!{Z;uBuxe2K8Oz*LEl zwnFnB&BGg{-R>GJ;c3p32yA0al_)+uLHgL_#3Q_iPZ~UE`)J0qJ^s(XL*K>s?!WPw z`(FHupGV*6m()*uE?!YL@prfN{tchG@5JBvcj%k=*8L0q9(|*qQXlwSeB=IxzpQiP z_xwvbryo<V_*{JL{)E3rU+IU`3qBVwsGIn!+kC&n-=i<}@BBIRMSSUgg}<(|<2U>~ zIxD{CpVJrdtUAG8+!x}P{5<+x-=_ZJPwsQ^IscqKi)YjoJ`<n0-{3R%nfRE0hdzm? z)&>6LJ{9lt&*_u+#Qh4NxlhFR{A`^O-}3Y5V||(Wi$A!J#q0cY`Y7JH-{H^Ehx$DA zfj_tp#q<1g`XHWA_wlKCT;0W|;xTm_pNco`XZUmUzW$%Oi{H6*_doc3^q&5vKTN0f z*ZpyNFJ8I-!0*<n@gYB1r^es;n{-P5O<mx3Zq5A*e!EVIcldeqj{cLnk59x4`)lVq zTz+(jNm|RP_|jF^V@eKz7b4%M?=Pr&*HGK*xwqgG_m22Ie-FLgeE)on{p-Iu=hOGh zfB7@#yYb!gpK98kZ@zQ>9nUvYwMT2tY)wD&BIX*GbhLW+Y3|o&&ZX~|f445}cjVjj zE%VRTsr`<edp^m&`ESp;w2fP4-^^=Uz5C|8vtM$;p6lr8Z`yqQ{Ii;6FMrLPbKb|k znEB_;SLsvdFID^;`8vISe(TLQUyZMwH?r^k<MYWl)Np?0Pn{2&b>|m;{3JQ!JdeHg zojYsFjpHw!zg08s$IJ)mkq_*G|L}a-tQlgr`qRv*=Req8{{7~3dW0SKU!Sw-i{|&% zZF^>HHh*ee*;8Y?L+82dqyO|=d~3Y>{5`wkPmy!(q!-V>TKjB=<eRjOv#)O4%QNj< z+RoW;^Oil|bnR@N`R>h;S<lnw&9AN7w*BU8i}{6l+jdF5PFpqm;LV&ad5Ob2ubLM) ze2h79GpE@2+_|#`(Z1%*o4POWihc3w=Hs-@7tCgF{As=U=Cre7=H8oU&We4Ir?%_n zil=EQX54#Z{<tjN)P4Kxoi!Ws+S0_=t=}-)^QP<En`ceRC(fFkUT(a@V)o6qN@bfh z3hQ_8*u;I-xU9G+qm0pNR;n=HnS_UWJg=9;e5;=M{-K^)`NSRE$6YmcJBTn#-rUi> zCpI7~>fIxw4~62<dbfM;y!mEy_w<Jtwd(eBX?wPo6{$O#pWZAv?{tjq=N_qPf%lw_ zSsqsX(tk#LN63WSg`yf-lWd~@`h4ANG5_FCov)kC=P#@)`!#d+c^`Z2zdc_zo6X<& zGv{pj;`yC*%bsn%aNfb*`}0juFqPIF`+4(O`mFg2f4(`BK6C!epFE#8pFXc+ul+gl zY5J7;zIAFpBcG(F*fsyjIg_4b$Nl-{<Mh7yrgg`D+<cVYHD9%^?Z?fB>232x>)d|a ze30HWzwzgr)9G<`uRlpnKfkR;?1$uo^!oWfYp;EuIqm!pyW78YKvBK>)69G6mGd9g zraj%PH9zp@n|GTv=ijUi`#y8Z`4@KGpCqT8e`YuN_nVXHh4U?c^1R!;{rnxX-*?ZH zZ@zc-Th6iFGv}QxGrxRS=X_d)+3CA)&ZU)^9lraf%=q@%Ec3;8bIOcwo_&=gwp;Q| zT8UZp-JEl2>t>(4xu(?k+SxGk;JZ5K(hAJH@4h*kwqo|&yk}{~m(O~cE8ksnHZ8|2 zdh^W}X^UpBys1-SeBtb+oNqg4K2MuFyD)Ft&Y92BGR&+uM?OnSGdq0eO_A~Gv!`;x zc1k`?n>^byPi&{;%(DvS(wk?_ID07P+m4x!(|Ttc=55<C^HEyoY{k5?9Wx)MwaymI zGutuqL0W>@?@cqOpWT&nEOoQ)Y}P!s9XIc%)y@8zyDZgs-`Q_wr#DGXJG(At+4jhL zX%(|0Z>~9&7Gk#hcFw7^ve{R2)l!Xjon4f}mb!W8*(YYzn<DR|`I$A}ev@mwJ@(JL zL*?Roci-5|eJ}RK&7<%1O3Ejui&vCQ+}&-xd&6e#JF$1(9V!#wx_iOhqi^(5$^+BI zH|}n@%Q`o9&%2~^dNJjS>Edg5C)_>yN-v~bFkQT$Y~rqN^W6@2kG|Bq^X5>A_|n}9 zcU@=4Zn$}LR&38ZrxNk3GQnNk7h;#(Jo;SEru^bg?sKs@@0^OoGs+6n#Aog{*vx$< zHs;--BJtF+z@6NuVtw8@6^T#Wt+1K<L~PH^))}!aH;+EnlPSNrgZo&l&O4_<@y^{2 zcaA>P<0%i^!F?!}=bcl5ctY97RPng7i>cx<WgAn)8+S9@IeK63Pua!o+`79T+&+3w zZ_^v5(|YUPIOU61?mlq4b!u$LP1dQgcitwQ(tA@DxSd;b_k!E5Q(_%%9=)UYr0ipg zc;W7XP24+T_q;vycGLaSHTtjj=A2L6GyUbxobN_=Pk)MOd%o$;>31<{&yDV!&ePw# zcg;7WTc^|XC+}TzE_LJdgK=)pH(fvdEaq9&%sHo{^pp4Id^Ngy`cVwq^G#Py--~Ih zy7@9SPtSPonlDC|PTz_-_H2{s^xinNs+qG+JLxm;)j69we|lxywMxn7sk5hN#<e}$ zWIR1JF727onbUguoA<0alR9;JV4PXy%qOW6r%&9;^J$ae^v<0+XHxs8TgF|hkbIol zJzX<yT7~4J)b{C;abXpb4^x|`bH=ef+oV6ea_5@UsWE!Diy|MSHcbEf*Yw!YN2gD( zSaHHPJn-VhStoDAn3+pvu9V(nwrlcR#=C2R-Ne~Hef|E0SLXN$H=FjBm_J-^>rOtq z^ubT<`mY&tj=%Y(C$DY7<5J_}tu=q1Msa@9{(Z@XMcrrh(%iq5Z0$=|OnH*BR5dMa zhM$f7dV{rwOs>w`QcI`bj8!YYIr-#?7`0R*vs}I6-Dggw7EV7K>t=p)mhI%1O_wI_ z{cQcKO7c$1zUht|jU_W*zLYkZJU26Cj!$6GM*+h#Gn@=2m)<nGDXtf;c6bx}(Y#F> zlTZ4ZmH$5Nz50hlO2(Vy4e3T_ZJzy{@j5x*>h*+~wSPX%c$K`mf1_R6_Zcz=!ww%m zu%+^iz(nqs$t(H=?T$U$Xb~-UIf(WB#ywWH5#Qu4SNG(fznxst{qx-?JGIojjpqG3 z?-p*l@jlsK>~*b9o?*E4@-0P0;?1!<rsrNIt=~F#{sPd6#cCd>GM9FxCu-c251TRL z+Kro?hFch2op+>UsJ))Uv-#8KO&XJb`hAl&k9?mpYjR`UH?x~d?xt**e063{*`_F~ z$rESxlo**$Ug&3L75OfuQmuQlRpjfGb-O3K`lVUSe4LV`<~^t9<EDKl5BY3kEigKC za+lAur$)My6L<E!-?aDiCZB0fjdZ5R?(})TY0v2odYg+Pr=DIBQ&xU+%5f+8;LkH& zBron?TlwbOM%(_cc5c6CyiG2aJ6y~2#n8I{Zl%umjkk{b$XnO)oJ-!+f7Y(-yTr`n zA8d}j_;KTL^4$JJyKg@uqzwCeD|tQ{y6W~Ty2<(3x&4fInw%=Px#rEL)5()|^}n@S z_I#sF|57`#v~t6HM?>VRKh2nO{MjNKH}UVY(+zK3JDMe59erktQIGok`*CrP7CcJ| z7F#XN%B^Q;!V?o86YJ{Acx=ke*s$`MQ%=9o>n@U<a{8Ix<lS#TDa3Lo&$~_APv24d zJ@-ucrh6y9`5d#JIqzhd`sKMg=Tj=wPS1UFE~QNE@Z2|LMz>F9sV|<JQ)YDY<SQRB zYsoh$C2G}kbIzr#n|yNSno^@{C&Sc(=jxnGDNysC`{r!Qipg{Ro~0RGKIx^dJa^66 zlpM8a<C`y17EN9`Q>Vn}!pTcM-z;Z7PnkQp&~KaN%x5VXYSzY)&r;IV4$pa0WOVxE zDW5P)$)_okCwuydSxU}4sh}=xJafj$Lq6X?@zy)p&~KZ?%ttAmlNJ5SEM`7TX`L+S zXJ#?;K}v$!Z=;#hPww(LmbyuIGOHh(#m)OEb(6pPE=x7qck-LsX(P#LC)fEbGmpHN zQZYGl=9*I}A!@s4=bTC@n|#$*E!Ak($wfYFshf75e4<uu6nQ7bPpx_On_Q#q$Lr)j z*RJ`#(YF61C{peoFOfg}Sz_MtBKh5)XUsibAiulz%(soVj%UcvuJ!r0(W?Kb9ouh- zxyR$=XMdjYI=M(LySC@+#;eCe<hwsh%sCz;U;SC)Rr2!wot0<4Y`k>bLq7Yn#O&kO zY|MVmc#)hX$6d?wWur-dt=+Sq5zmw7^yk_w`zbN&_&J+tKWEH5ZXmzA=FF#!M*X37 zZa;54NuJd2YUlRM@Wk<BHfql{8uZ)RJ^K;yIJu`^*KXO5h)2mC{jzpxKO!C`xAgPc zsr`_caeSZ6v!@&N`U@*_PA50^GunOoKI49JZGUEE&-;ygk8iSRd%97(|E2A;?-KWt z!{k<fl9+n@o!snCGwvpr_Ip<LyxXYJ?^v1h&T!}Pc{XC-XG}i+K(4y_Ozy_}M{C4i z$L5?*+SC0qGN;_|?$J*=ZRs2D9DS#gmTq|GXrB1y*fnK_w~nTXPmW!4E@@-;K|Qzh zjn|Jp(|NXQ#+;*3;>oc&rG{6JKGI=J-+1NdJ)O2)H(n;?i5bVPDKWfs^p?)Cw2h|S zy?Sc9X3RS3B+eYGb2e#ycctF7of6NJW_M@mwWVz|?oQQ9OEWxkR8M?!%$hSvQ@aE8 z%y!Ork~Fb<VkA$|M#JvTNS!lD{oR&&*LFxePU`N~)SI?L;!#q2x1?U!4vB|J&E1@O zY-t<yyDKBtoKA`nyS*vmK~h8aU)^WhBkm_fi9L?a$=|5cof!G%R8m#<Q{A@hGo~J0 zrQ?>mare<>I%(S@?n>;~w__KJh~UlEr(T7Rm+n0(3(l2`7YQW=i7k%SIh7P3HaprU zZ=-tmQQc=LhC7bl6DziU^WEV7;VS;y#S-rmtN3o)`h4GD)Bey>=lcfh_M4VG-#6So zoWsA`w&&Z1n}<{QR~JXTN!-wWQIfe$I6fxU(bZM!;=Ua`B7eVZU10m>>jumAopNf= z#WuEYl{;3&eLZ^1y{4}lt{x7_pI8+!=kNoWX;n97N6)$U=&WwQ;eh=%_ms})vgJFj zsNmL*%{#bbMmhK1z0nyvS>vZhpYc4ndiU<uySgjxDCxcVVqo4rQ!cDZ;$>nkUvlw{ zS%=;Dg>8M#CN6Aml$-W!!}-HD{I6|#K5saC*o5D?_{Pk`M(n4JXS_?;-n>^XEp3B& z^ISf$bc2xV2cI2~^eHt6zIHH*U0Sy1Y(n-G9<?foXNl9>Bjv)LZ8&vUgMT*9WE-7N z21f0Ua%RsA4BJ~R-xO{zX>OH!W)bl$vA0=K?wG}lr-|L|nsU=BBpxL!Xr62N=7YiE z!(9BzGCD;Drw+663(N4FO-$hXE%fZ^2EFz|$us#I_8;CRBUT~tAfdee>%XPeGeiuu zN_OwrS6Tm*?^{}G-Uh9TW=qL8Cldny{aRYf-1#f~NkVD+U)g8n5%&|L_#Rv5yx*YH zo@n{zRAN>8Q`xri8B-6hl5u;wVfW!>9CB&p5qA@V_!e91oJtJfn{Dm$Zi9OJQQ2ot z40as8$5*`j=zHCo{EOAyw%Z@<ZJihW=A6^^)_1y}?l7I#Ey^!^E>@P;SlxX)`o_IQ z-!_PC**;@$>l@uyca*+~ZP>n`xchqanVqe#b)Vc>^i^!l_K3Z$uXG>XVLGQ9l>hOW z*oy5Ids}Bm&$zefi`bIw8O7XY+arp*FGhFVb2_W*l+RezZL<Bxp4R8OR`~}jyU#{v z++#Ycd+v_XXR)-r#>(!~(Gm9+ozd0GcdYC_8SQaT>62JW9%E&<;dX)IZiDR=ds-js zO64D{=sp^)aZl-^SjTpYJ*^LQx$+$=x(`Nk++#YUyYJ4S)4IFvG@aJnc1P)hSi|-o zyIb$;{>oeURIFzEjoq%(q7!x=of`e(uF`w4itQVUy0x}1DC*uF?X$D>uI{Tm#iwE= z+dGQ5HMY;#-FioN-W{cPVt#py<=xw(ckq6*p7B0mZ}VHpo^k`*=C^#ytRv<f{J=BK z`o`RYZ+P0QZ@f*|)_j%E&HBchgiXy?C3VUSZXA5U^UdnUoP#m!lZ_)@C#-2+%eTxb zV$Q(_JkzXh%sv>vZY_J}Y{IhUseEo$5wj28;Mtb8;o?CT_F&_P7YPfRmrA}VHaLIK ziv6)nPO-t+gBN(p(l(qqc!sCV^2XDIY0Xn5*Ay9?I;h4z8I;<LnjQJf(hLlnTP5EV z8XP|;#hxsaQ)qDXpb)#W%$ov(!w0$8m1S}Y3=SSV!1FEDK)<<EvgdR{G#j@JPr-)$ z2mi3Wmi9TFP}BUDcUr1}PIIiJPyU8I2S2cFHj0>fa0O49`Hd+D->|Kg_BoYM((Ee9 zb1I>@`6TbRlnpx$&f#G*zi~Suum119r@zDB$5;P*^w;}*{O<af|E7XQWuE>keXeg^ z|L|Yw@9A&jx7J_$XZm~koA}ayU4Oa1>08zB{b%}I|N8zXf3E%#e;vQNe&s*W=lT}) zOaFa+rhjF>-~Xb|(_h9ft)KYs>N9<_`n5l^zvy4wf8~$pFYy=gng4$MIsJM4x&0RZ zZ~gK9tZ!03_owz}edGGXf2BXCKaEfQx9U&#r}ZcItNic!(|sm>LVfQ~?=$g<|Em6+ z{<!|weu@84f4o1gKeAuoztx}9AJ!k*&+%XCkM{?CgZkQ^r%%Vn{(JQ4`n3H_|C#>0 zey_iO|F3_SK8fFtudYx1$$dIL{NJVD+VA!E>|gUI^r^m9{oTK&PxW{2fAw$DC-J-S zf&ZF5UB44wRB!uJ`<=de{lULqzfHfbQ<whGy8B+_o0+Wdb-tWQDi_;T&Z!|a>F+J| zGvWs$*YN#v_+@ibecf9%W6sGb6Vt^i(k5DWTW{WA%zY>F&bdQnVp}&an0xe%PD*-U zy4c3e4RcxNM(#P6bWSHGT`_(AQNG`=#e#P*bzh6z&^P0VYTCwMou+TLT#H_8aWrOi z#nIT!H;(9pqzk5t6{JnH>Nel(F!$(7ojYd^m543ftT5MgcI1YcM`uO$oO3D>%Sscp z;=T~MWaiQ5IyUmNU7zb%q;JeLX?eh+{o}>_M!pRV&0@Q_dmS#Gzt?Ig7Q@_i?URw< zw$N=#Z(L^Hl;AR1qQn%J7?tccGet%5sDYl{CWjE7%X3&~Mb4S&`b_7{8Kz>f>6<sq zah(|%a;~XJZ1Uy_b6lV3oH)Z&B$k|}m?oB(_R)g-c%;d>rb4mq%>i><AL$6B7g}&1 zjubi9R3O&8Szr$9jL4dqt<w)j{9u&3v0Sx(J=eUsG9tSSHW?a$FD(-Pn{Y8zEGBJZ zs#xP@hB-&?>-<T(XwI#>`N8a?_jER$VLGj|?u=8uSmovevs<S|hRkH08hPhz(kY!c zX@Tb4nwuBQcAXOGF!SggohNA@Q^X247Z`Exh}?7b(A#wj7AeK;um1V&>hA0F_Evnq zwE6nGxLwsxZ*rfH+gW}0=IL{BJF4%#OWhs*Hf~Gx*>|eD!{_cz`rdT6`&``m>a#ab zm+D(qZ+&-k*Y#I%tE!LQ<USX-vU=}L?$Y&__uhGvx-0x;+>+|8H@nZqWq*^p>s_L6 zTHX4NbyxVTy>{O}-C13%e{QeY_e*!U&&H*HJ9X#unYbC%vF}oMhR@uq^?lKu>>~Y> zdzHQ`Z5DqLm;6m=bNGzCa^H*YtS;0)wpZ+X&>ihU{Udw%z8l?HU7&wxFWYyaJKSgD z;=g^`6h3|LjyGFV*B{u+@cq~A)A{T7?cMU`==SUP;v&Bt+H`&D-Y?%y-R92M*RBqg zWWFwbz5ivWz8<qH$C+aR5~7N+8yeiirX}fkduMk$1U7C^UawJo(RRDIh<ZW!*JnFV zhkoaijflK<VDIi9zpnIb;&k2I8TrhJCuMQMLUGYcX4QA!nm*ODSXF)VZfjV`7w6-v z^Yk^VFTNE`)z_##`&M=P^~rl5eJk1|ekU%!dgtA*)zja{?k#`2v-`WAZTZ`KORK}@ z?f!6QYW4NGyWiYtt-k&?c3b(?d#=^j-^6Yzzq(WVo8FDxFYbJ;x;|%j%=<~j;jd%Y zl&`(Fv?_eg?gw|KR$ZUHJK(+5-qUAemz7Vw=UNp$d-shyTc54FxZCA@P;vN+*ahWF zcfS6tcYe3k`$v1SKkJ>{ec?{&vvp^7pSjaodHreZwDPGtSAWtwwOj4|q&?c7^o+_K z@0mW+Gc0f2`TC>Y@!eAIllEkP)H}Lc=)KdP*B|r_@8){1v?u$6-of1m?tFc!r(a&W zv-@;x^gFIS+#lBM-~H#^tKHtGV{6La+CKYg{M<$ST0mf6__W;{?vy^&+q?VIyF<HA zpNdmE1iqKc%P~Ym@gV0W!;Lz6`mBj;T>>ScJG)QCmY4hPJpFFnuH8%SxR!@c*}dS7 zY5DX!vHtJ6cAq{OTTs6D?$d8w_rrheTl8JDI_}_aF5BNdl}GiSXqUuKd@foMH}Q9u z_4*B;x$cDD*>~uh=+^ZMYLC9rPKghEF1m4jLoMsv@ICvI&S}TQD?S%pyFQ`z=qv4z zc){nQ1#uI9b(ybss6F~p`_7(2UqqL#SEzNJ9loLR=&bObeNJCQv*HAQaa{;sQhD^b zwoUxSpIqm{bM`rX7R`t&d?q?`y}@TLpM(4MJLtvAs9GATAFME}Ir>yvCqD3*=+yNK zYK}h9R*4t<$z`;@q2}m}@QzB?8R0hj4t*5uU7t{M^pUnm{KOwzN5W<H9r_^Jx?Z8? z=mTw*c*bX<@o^8IipItrd@33pC-{TwK=_}%hu(|UuD|e!YhU=Ay-KIGH|%+IO8ZOP z#P3{t!XqkOr-lbq9=)r*Y|o*0qNVF6eB#;_?o!D*CH%}@r+1?Maf08u)YmsuAHA)8 zC+y>HuKS^1)+L?Sst8~Bd_%10?$sA!UFU^9h;)6Y^={3fb6N%B)o;Yw&mPL;m3~&x zZu=)up#8@YrLe-?T(?87tZOP0-Mo52tn1v+BkP#TL`%Xx?&`8!T@c$kCv?lYrc%+> zs{>+P=Y$@JbbY0DZw*ta=<?MCo4GEBPFdGfBAOd^FikW&%y1Xi#n2Uzt}nD4!Y8JQ z&R_i@#`U?DMfk>@TxUZUL>`?PnzHWD87+h7RU*uimXVgz7ptbJ%{sgDhXt#2cp+$) z#FR+ar&=1}hC8`VhPte4I-{i!ZkQ&T6egG^+P}IW#`Uq5MEJ%XTt`Dy)-e@|wy!pb zaeb)85pKAH>tJX@<k9J&6_KvfLkl90P77sO$5bF%zxqS8>wT>)Ynt*!t5=`c#HF)( z$0n}5p-<K_<%@=e1*VFIgcWY@(p<eE+V!s1k~K|vqJd$IsiMWJCqy5e9C~E!p_5t< z!ai=}+P<^y`KP(7%h%Z!e>}JJx!x9rm3Ga)1>RlWetyc~S^-f>+3$kPme;(Ss{e`} z%a=F!&G7H+R_p2SVk(~<G7f(iQ~qp|vG}`~?Zr3Gg<6ZhjoDm$@?2>8x*Izao-Z=K z{yL`kS<zhYb1`d+H=f&SHT_jg;j<>=@K-VU&z$DIF3~eDo_Q|RO8jL^?z1H0>$7&c zJr|nmeKuxcapSqEY3t7Kw0Zt&PIvLTvpY?mI~iY}xzp&mk+Jx*nCZol=R(ugo!Y7K zeAXQAqID;Bo;bs5d40ytBWFa@)*as|^E_)#cj3CDJ4K#*&EYOwcX%hybFDeu1?vv( zWO>eHe0}=PJ!h__>gg5d&eSf@JFxTLvrn^E=j-Vfe>}U@Jbc>D4QEPI_4e-k^z6{= z)2CuWpDi-Fem5rg*{0d6^Yk=}FP;@m)zc_Gdsfx_`sAIDo)sC1--*dD-Z}TtcaeR| zU)Ua<7yMzK(|OG@|Ap0EHp>qbcijoTW7#@4_`$qK-$b@8Ut!DoRx{0CvAWA@dB@z7 zzInHgpR_CdZKG*)JNx&Jx1YE1-*ICrn$T*KqO>}8frf+D8_gGMJU4gv+;Cm6)5N&4 z%Xrotj;@Y%84Gt+t}f6u*tonP#rfIVlfgZiH8HP0h$LP!is2UesB?Jpwv@9MS1p=a z-gQ0rjOCRlHb?Jk{_<l?)A3s4ucx_d&(j}accb>^+s;#cu5)v9(dHQchvwJjZDu<E zNxJ*{8lB}AintDJUNGm-SCJyWi&b3Lg4fJ*`YKZB$M{@i<?@PRt}DTF<{dh#>Epg| zb-GA(jr+%HuI<5lrp{{;VST3g$<MKzOS`*2NkQ3Nv9e2J@d6vxdzz~j%&1X5epQV1 zt%iiBd$_Kw@x_p`x~5NguNGZ!R%E?iCQ_JxxAW7qdAqcCFW%tvoMpp@&iB%Z@8`KY z^eJ4Pwdkx;&i1rW|AWs2!yoNA`s><k_Zc_6YPm}Ui{(B(S$oa>#NMq|&qcER46C>< z2Ct~hE)m?-eWSMJnWmFJV^x>Q@*gEjm9>6Od!wYLeg9XDHt(Bjvs_c=9r`R1J$Ly7 zo1@P(P5c|5iKP2YtmHCYe!%AFQ%xQJz-J;;moKn6`lL}w$yV2V`GlC)CAwF(2Kfu_ z6q_A9!IJe!)W+=ANbWOH+1G-KyA1P1=Q*9xJT&LgN0Gke88)nsHO2fF{<!ueGR|*e z1=o>a8Mk#)w~O723OhF`w)<36<h5JT-TAt@%MGrqD!TSAa##9O%kFcUT;~tjtp2QX zZnN3>TSa2Cg9YYYeWr71v)6eq8}5&54sYf;e@UkM)7o9z-`uPEBsMjeXWi9mu7g|a z{28B##QQybs(Wm^%>AmE)djkTxAOU4d@2%i%}Iv)Y{b;%H;lrbX)>LkWzG5^GV)wg zQP?}pf5(2wbblAATmHiO^m~!0b6hgn=QV%$Z7lE7S$?3XOMCeRYu9_4AN&%ZiiG+( zJ{2inKBI_hckq?DPNy_q_z6B03Gg#4=h_);W9fQFbIu&5cOv=A3#5;}*Qjy7Xx(MI z_<?NeyudeoPURw%ZjI?8I~VUT=CWRVK=$ZcjU4wtYpz>?XZoDVL`vNRt+{RlUXVQc zTH{&Ip;D0|w~JO>*8<n{IhBeOx-q7UtXy1S%ylJjPT!%k8b0oUR$P|?w@9+i4qPL7 z^o53t`@%Glg^L+vU1tT>^c^Y|nY;Lb%+Y5WChm=CBI#}uExC*rACNf;+FlWuCNg#L z0-2*vG*sLLExC*qH^>~F5!fN=IwR1g?@*yg@8SfRqmML1+$UOa9SM}_J5(Ujx>!Nx z=mQNFcg8f4c(;eCBC&1<Q$?cP1TDA@1petglrK`d_<|AFzQ8xVN~bk8^gKGH@x^VT zIoF=R2uatefdP_7?`kaTIg}?-x_E*S*RDVpN!BTWXL_CTMEu<Z&AHSUH%K47t#Rkx zuiDe!*WTOyZO_r))8=h2yML)x`+Ri8y;HTX&qbHrJ5>Ano9^xHS@##!W`EPYx&4)m zQ=Z_i{G)bGMS_+0nrgexMX%34TX|&a_L%#VK8L-IUXyk&s<+1b>spKagO%E)f;;l} zMjm+=y`p<V?dvbP=KT#hHAj{#o#TEc^2p2RW%*P0x&8{9z5T|Xt<Tn8-0pHe=yTW$ z<wy6J?s|Q`_FPFzeY=h3{Z}>J#bWVsaq+IM&g~LrrWbSOMz-j;anDTKX5fAxH&$=a z_oi0e(`${Qr$$fdzVVsEoLe)XpjB6+h{0mp(yOi0jy`Q&w&29U``4aCPs(@Q=lV?d z#P(x*RG+Oi$hY11^hem^=$?GteM^6YJ&Nwgm))28BkW;xOFr*D)gNLrw(r~X^yyl? z{KCrY)6tFjjQhTRpLRdGHb1kn`~BLz+c)iLeY#dV|K;AP-^K1lhuvHCNo?x&clTy} znszt3G~ctb``ucNe8<Y{ce*>b&)XyVecI&h5AIb}pUz!-e{0S4SFzdWqxNLKjLa_A zy}R|(n%4BScecJ;lbWu3XKUW|O|h%XbZ>1<yFMv)^|`2x*$3CTrmwxe_1T)IyQa<A z8g)G>HoH{!>efeVSku>D*?MnH>#l1rqw=m9#jY;Vy|ne#nxkoJO|yH~sqUIKYpc_B zrdaK>QS-Aa*InHy_B?8KcILX)w6(_Bsq0eHbkA(nyS^!A^_i%t*@5d!cTRf}H8Fc) zBzMtT!|cvT?K4sR*_P|B?htz%)t#-mZt4!PM^WwBlIucuh&_yI&gNXlnzmLyyE1b1 z>8O}%w>E`6h-%3GyY}h!u=`O_*B(V@=dabtPK<ngDyk~`>Dt!q)241+wZ=7d?e4A1 z)}(F^yBigBZBexLsi=T!v!cE8)~aV8UHddecgNOy*NUuPf7iLcx$69_VzKv;Rp)Nm zdVgPIlm5_B`}-Q}^qZF4-`CvUoO6DaZTGh|H#eu8UsW9TCUQgiMa$P;*I1_SoTvJH z&9%)D=c8=Bzpk-JKWM4_b&YxY!g-}t(`Ik>Ij?2g{bh|=`bNv_vyqF_JLfHZw&ucS zhx1;=*PchtOD~;wwDQ`s$XV$NEnlCBoS8n;lKb<T)0=h9YZZq*jhvG1J5RMT>`7$G zxh9+JGm%N>xQeemj_gY}op-e2+M~#>bk%vS71tg{wxx^CbFH}cAhIdF(em}_$hdQ_ zio~XG-Zn?HLhM0gefrP2SIehO+x+9)Eo<%5krC&16-~PrS(*NDZtBxDTIqq7uivfF zOusodw0zo>%`eV%6^TvR{OsH$>(?hE3)3wvx!<kXzWL6vU$Upm*WBCqt>>uqw0RrL zj$e}1J|9tW?3C>5a}j074#~bQ)49Dd>-Zws>@uC38(;N^T8q7jC^=Ron|&@~UGhoE z)ulSuHijJ!lGQ#JQE<#l_Vw9_70Gk^o~G$s-sp8)Np|(wh@4|l#@Aj%EJ|J}sa>LT zVdJHqua?uEN6bww?AvNN?O8;|F)QP+XAx<~4#~VO(mB2HR8Od-*wcu~$)0_pmSQtE zDjb(Go;G9Sp`Nc6(;i3kCL8u`wV3uOqBB{suhe4N!-&>o!9G)qX%8Y2j{P#4Hhtr+ zo};O2bdy>8SS_yIkEl!j+PgGWXWzze$4(iEP20GxXQ_GEy@-nBNXgZwB0`SslFmLA zQI>qQS2a~<*TzLXtf^~uZhUgA$|&qkgx|3y>DReB+x`F49r`Z3cm9pfocH`+{5<kb zy~KXvbKwfRiN8Co=WqDTdB^`w-Jx&7TjwwMd*qFJihba7;f?bf{<6&V-&2=#PCdq6 z@wxEY`3ZlIyiyOb7kn;UU^nqsr}=z`zeirG->EtDMR@6ag}*Md{WttPGRwcG&gqMA zmYv`)&I|raeja(QZexEDbev00ozrLG47<W-!ZYU^eC9mkA5(YelW?kC;7`s|{yue1 zpM)pQSNP0%!oTNd%MAaPpGO|6%h+H1!FkMIr_Sl4aOZr7KSv&_^VkRe;5_8dQ|I(S zIKl4YQ{gzfi%*4P>^43XZk*5X=g58aKXw<tbL!52@cYO;^-VQQr`6ZhIK3CHoPXeV z%T)i6pDa`T@6;xpQh#F?_?=U8{(|2wQ~Vu%9=W6b#O~u0;llX^pE!5;@2NeME4+X9 zhr2HC)xPC4l?zvyZQRXy*Z0XymU+HU@*bU2dy~UdF1&sAhPy6r)iTTtcXQtKy>ip# zjaq`aW4iGA*)ukCUiUq5)8(~Vg!#r@oL7C1++>;KyCm<?S+xN3!d;x^vkmULyj1fr zznCVRW419(c=2q3yDhVPTk@Dngfq=P?&Li0TawpQEIfPmg*z;>eCOPBd8T$IhpAY2 z`s@vNTxR-)<TVuuPo6#Dj>{9Z6FE#p!pUZeX~KzSA9rvb_ch6DDirRX9dO6xk(z*c z;SSEjz9M-|1;Wj<1@5rS@U6MoGTpc2Cd+i+oSQBW)ELY^Zs*+Z`z6=uwAv4|joUkQ zW*^wpsXhC`ZI^p$AIuU{g+t98Q-#ZC&)CGd+xJSY(<!wVW`e200cM8VId}Tn+;q94 zHYbNEPdI;e!R{mPRcrJwR(IM?f3UY@p7)zLr|&|QdX3M8c23_>%xOLSz}_QoRde(M zt2u9ZpNVt&CRC~?Sj~CE`@+s6uT`JL9QrC$q<683^P2aXIH#{dg?fz7g;q|lDCWH4 zJtywaSydnXz$(s5-dlFE%=TWh^T-QT7yX6LgceR`*y}ROyC&|?XQ8>%AM82uOw~lc z@tIJ%-o#2y<LL+X9C@m$qaXN8XzKI@dyYI&RnZr$<TRSzu;<7O?~a`=GrVo$4t*5r zou07g$Rkw|{fQNvN4#a?4t)@6ovyIw$OBatea2@(@p=!R3dQOjd@2;JCs@IG!23_^ zq4z?y(=QZp?(=>Vt8`j*L(HR7s$cXbmUHg$j@aok)jMG4k-MtPVh+6%DxE%|h;x^> z%TAUl-e+Q+-U<2Z36^uJPjA?L<hJS^wU5@E_dUP(C7oBPP+yoXw0rV}xi0fOAIx-l zr}EC{&^eU?^+IdT+n!han#zPWPo6N>Wv=HDKc+IF619(3otBde=C;i7+~U_%DztiX zz+9I(o(E>Syi&R6!&EA?d~$&?=Vi|+eoZApxoQW~gtFBPtvD}wu9)faLd8LSVw%wW z$sgvpJXf($-)PBs)^owkBQrfy{0^N_F;FkG<UH*;Wv0th6%BPmOU{#?E`CjCR20+= z(}a@L1k;52Cl}0dd8{I#zR`m7sHch_Q=w4%WP>>_4^=qS4J|kidN#~FGTpOcrpt8C zf|*CAd9wI16$sT&{xI9+zRDJ#rhK95$tR3BbtdmH;@s=`#Fr^wC`>IdRVYNQ(7aP~ z@`l+icU6}7H022esxhVt6;GZp`^aR^Bff`DsytBpXvVqS{g2(D?}B^#Z+zys=l-Jd z$UEf{`H9a3E955r?y&CP@R{R|`yIPO-vqbzFQ`58Mma@3@VVf|{)SqXx$b-HlFli| z$SXb<T-%>ed*qdJh`iu)!2-F7zdFqO9cqufRK8<#=!@XeeuY|>+3p)EkIZuKv2*$& zm?bCpi{pa(lFB2`m2KoNf-YLhv2*$?m?2mAOmJqu!Do&$?lE?UJ_)AE1^(nX<?dtW z^ht1HzrtsZ6Yf2gEi>F(Dvvx?mXW{sgX5UHj-As-!Onh%nj;UDdE^6sa2#^yv2*$$ zm>~D@sbHMk#ixQXavPrtHuf{r9J#OjNABWx4&D9-)kp3rZ?a)Jt-Q|0>AhfO|AFe3 zsqP__EK}X@*e0D)ej^w7okO#KLAA>icZbR&ca)#VeS9KV*kAC8V~6`5+e5j6`@28H zy1ZBVrqfg|SS7Y`H^*JqCy^}kT%YJYI;ZqThpAj}d-sM|m$yn8;)c69Zn|EHba|tc zAnuqhxW0SFW{&HwCn8;5D@BNJ+{JO#^++Vk9M>g!kIpIuh!^hSFz+^qb$O}eA$~DU zFh^`-n&9GYf!LN=t}S{@C4!k^A9r$`cP-ItDi)mGeIbTrmg}5ImuE_6beM_-r+06N zahd5FqSsU;IJtYmAFj3D-K^Zgnj0=0I2NEFYI#9eP_xNLLTX0Dw1!0-O-c&lhG~LH zVuERc{oMsIE{~NY#5eBXIO?jR$5bfT-fh6+u`{`@o>AhL=TccNri2&ln&mwyuL1%B zE?$^1Q|wmP2H{j^S6A&rep6UAxTK`Dw2Y*k8_gEHFpxKQvfIC3x1up(%a0wY9}71N zzrK4s_ua_|nONcbYWus7irrHw+cxc-Z^8Qq7h6>WT#mShrOTT;$!~X*H+PgbH;DXE zbLY>uTW=n-tuS}HHQoICk>k92es6f^)!w<Y=z{6b+(pmJ4E^qGV03k<waaU<%Zp`M z{bL7fpX<BFWzU~KUS_Vgv;X$Ie(5<gR!ynBv*d>9$Gs=#9nCuGQ<(a-MO2ja$CpCo zJI8Y`9QXaO@k;HT12%2D`fpFKy|d@d<7=t%=6ZH{(ccQW?;PhXkl(()_RgPgg|BZP z_dRWwSMz(v+qaLWrOKP@{N53J^SE!m{PsPycRqY8+`OqjdTQ;R6>lDwZSTJ=B5%IC z_Rgzsg_A$cxMP=B(tq^rW3g0ubB*6S&c1!Dw*AI?yFCAzJCD8<7H{g8zGIh{Z<m+n zTbKXn_LA>v)w4d_bMc>iclL{WD(8Ja+)??iR-TviTy2N>&b^&)eY5hNswdx^ed6Ad zbG}LWOx40xiA-G`$6mC(G^^Zua?XYYi<I11%$s%yo}9hop36DksQg2_CaRl9-tD;W z_RDP1Q?*s*ou37d_N&}uIp-Uc|LK|93UkZ7owH}pxVPks+7ju+J)W~?Z`gTqR_}_u zl&@-w%?0;%&bs~Kj9B^P3pcgW7thHpGCMiD;aph7WRuOj=hP}@KhK@Jz3|?)O6e!L zJ2ng6d-7Rro_yt}i92ovrB@eEK6mrnnQ7*;XU;A-$7U@(_hwxB?BdC1Z>~GD%sl#; zuStH>Gqv=*NtMFJ<_GtjeCn%{zk9Fe%-JFLT0W^wHlMi1^NH_?J1n2nlJk_FsU_xp zst`Ut+vHx$N40MAz&)Oid<F80DufTu7P;5*L9N+ba1ZB<*)=;mr_V0g$vJ&?&Q8w< z?hNKd>B{S+8~1WPaM!bM`YC84fAP<WXTJaP9(|v9u=mKlCGXXu@(z_x-a9*GC+D=; zF*`f&`F_f4Di_u^Us)uqWxjLw$tkmM++C7qc5$}HPR_f&i|(+T@_m-4R6beVymNQw z<k<)AK6$H_XZ&++=X;;3^iAn%dyFp{Prf_z#W|JpJ|E7gl&h7eC8ewFFy1-0^Q})- zx|8+fn=?<GTXN1PDV@n$*vhza?#Vebcbs!M=M$BF$ZGP{nJdnzob!2bMx|6OKds4X z^5vO3W_G^x@k)2HnrvpAXgt}}SaNRX3!f`zR7%tq7<(E|K0mYK+>&CoImS2VbUyRB zaOTMwAEWf7G_@Jqb9d@~FgtMjUs{o+@adUTW_mvL(MUJ46h1lA<y^}dABA+IG_|BO zp)|Gr?Kkg*RZG9kEy(lU`}S<^itTgn9eZlF`}V7}+r`p%bCdG8i*G;9?b~j8&n#7~ z&p2}q=VKqS^hFkvkIYm!_oP6r%~*2|=R+Sh&}Ci*#y^dO^^IQ|3F{f(G@5*1Cd0WW z`D%5>FK2g7oB82vO1@fTT2rc8c-kWK$$Mr#ID6!j&#E&mr+nUp?KYaMX}oc^=UtyA zXIk>q0@IjM)ryTL&OSML=8>~UPWn7Z`(!4({VG%V?M+hCukKniEp_X)t54QE`!(tQ z^dI|{d{?cGJM>$~R{v&g=R5CDdsxnU7sVGnS1k)W{7LA}^gH{Gd{f=Jnsc4m4ylJ( zt*a&1sqK_{oD~-5^jm7~^@RBD+O_AhH;HfDd*ZEYfqv3+rE<MPl@oVdeHOFii_)_7 ziIuviv#ZyC+`H_lQnlWtYQg*6fA&2q6TEaaE_`-OZ}HZ1S8c+7*Z6+kdiJ_S{Og*v zXR_PZ&)hfd$E-)$-Rm#z3;R9mZT9x{56`ig&z^ieB3`?ew{Y9Jo0rb8Sw+9hS*Aa; zw)2hmt34{;R5$1^{5<LU^fQ&6uf3n_S@KnNjecZp=PU0=dsxnS2gQGSrn*8pvgYJV z?>l>rd{JGhuUP9jd-{gTle4Dx>~r~|niVJXOX$M%C6y<id)vfc`YCj-cTet-T;;ay znUyD><<{z7tl^v$pK{Xsbn&cr-skqHd{#}1Yx+6qbo?7xX7NJFc5j{Pn|qd=@z#oW z`Z?+3bdP;1pHx%gn0`(&)EE3b$w0qyj@x5z8UNdJ-KJcAFlX5_lZ%(#{F80hel@XN zzH^>gx>S#TW)0_KZ?X7AKPDZSuCVXP2h}!x%^J>!-fZzoKZFeQe|{3u*MIp*NKgOf zr%4B<GwgfvUbRmDWp(GY=^yr{yjP8kYkI00?v^aG_M>EZ=)A<Ik`?D)eB#kR|6^0n z-P9|QZ0`-<=qxUm+;{p%ZQFBWyZIBJNiHayxA0ew!Fhq2V-JkJ^|V>udb(()@#3wT zf2DN=PZ>(}A6)T+=S1oOz2<X9ahmfT-9AZ9UjB4m+w+eSGmmeuZF_8((QnA@{9U5> z_=?)jd)}Yon!XEZ>#zJIq@};J`s9@9H})=hry3OJ^nH?se&eS}JEx!6`{bneqqs*; zR15Sgt2=Ld)rCLWJ?Y-mH<6t0y}q32GmEyK^)_?z^1yjvl~FS<>-b-`etXKR%>Q)J zt$Ud*%SGp<Ror@**>PAx=Sy_wyUd8NN$IK;VUu=Gvew?XS?JExJL`^=sczL?7<=-K zS4wzLy6Q&l##qj|Q}?V(Ip-A<u9U92Ry#5F<SVa`aG`Y7g0M-uCYftH#-4oXb!W|y z64j;qf5^5;-!Yi;gx5J=b;;Uw>nCexZWc1rj@&%y;?xel$EUomtWhaZU7+o`dD8i* z73-E1tIpBB8Poa9>%y8RXS|Huont#^PEA<%q)2s|w&vzZr=|w1ODR&Fq}>_AIdiJR zx|B0sN7ksE@sbOFv}4k-sRrv(3RSzbJ!3c@dGUoO?U;0Es=&IG0@WsM#?6!TwLfl} zq^JFG(<EJO&KS-IUjM=#ZJ)GnYDOgIeXnm}lTuY9!irK=tF%u>bKdh>vu4RDuN7;a z<f#USC2gOyEAx*pbAeRj<r_x4ddqjt{Z=M*C)3ZbTl($S5~<)YrBtat?S;{vQ>HpZ zo{X81`DU$4o~nPC&~_np?Z(+{Q!=mk9?nzE*Dka^`QEd}|5EiNTlI&wo%1HYndkCd zrIPKpl()e3tFFhIR-8DYKH;=o?6;4P7I$pzSAJku8~m-&hI8KJC-a`1^L#Uh<-5vu z^^LZkZ#^^ojjDxiPQGI4`NlKB-|4x^di9ybLf0psu=ITG8R5UFO6cn3BbJ<VCNG)y z<g90ae^Hf?xw@gP=Sxoy|4YwQa{M+uQ(3GoXxlk!a?3oHFDjXSpDKmUPcE6)@>ylJ z`b8VgS(E2jdOq_!Gl%7~%5?ROHl8ylhs<mFq%v83qK)Sh&l7W4KB*-8DLqq3^!rpH zbbPYOyq1qD-Rglho{u~Q{EI4t4o?=D*YZK7SzXYEbH?Nv%g*VOODs93PtLLQeBjC8 z|EXMP|Ku-oT~2%c@Y_^ANk{!)(Ijp4i`Jg^JU{p)Jyi+yb9$;$u0FF!X!qnRb6rk( zzVH)zsuJL5R4%l0vW=zZ9nU#)Sl+4Rs~1Y2eD6`?e#v^0t@1<J&Uq8x^tqI)RJt{# ztL#+XX)I)|d{Fk}TaO&~AZwvp6VLRyl&O@u30Vu>n0P_*<ZBPVO_Qc8XmBmiN?O1F z*`Ze(+WakS%_dnY7s__dnYg8|rBr3La-gi|oQVe{Jzsg;>tQKXS*~1YEOdF|l)jb{ zm0Y(&X)4)nMpi->C$5n6eBt5XJ}FIQzVb&I&*vT%?wc%y&Q4q)d2;5&l)fWpJPh26 zEQL-_oFeJ@)I-DF$WrL!M3=snGad@=MrkTZZbE4){mO+ho{v2w+&5VW9i6Ds$5N=$ zu52jd`Ot&I-N-`d;KT;WlhY?wNP146SRi?F+C-K<mI9S}<&V;y_dT}swB)N)E1xtH z(ox=NB(!(plU|m5l`yxURFx37BJ)X_${VFU?|LlhX~|OwbYn_YDOR2+eRA@|BfUpX zdOUFZWG1w||4-eK@5+1SZ+;fM*Z<<@iFfWL_LH6~SJ+MZJ<(cz<7dG;{dek)d{f>k zzwqygH|{C+LC=*p$~XSynA^XnF6EqijJ?ux<+bvOe^0z}53v_|u3TU@>DNSadB?ve zUb^3@Ir2q$DgTTE@d?cGH(szBTX6bzb93`J1~!~hULv3QS<p;A^7F)t{T+2KXWgCb znSM<)k^lLp<GH(){h^-|&-Q23v7B{3SEKS-InA!==fu<f5p_$>xNF%v{hWBR-=j|D zlX8k3)6a>9@`9fy8pv1v>3HleWq;_$#H0Nhbt)f~JLE0@bUbwDvUmD1@nAnk9m^T_ zeKki;yYH%LIqkl!M&*NYgZ$6m9rxXT*)4jiTqA$;x5u>pgr6s-_P?lAd9Pd{zwy&V zE%}9?ChqR{`Pp&T{gs{4Q{@u*&QF3G@-u&T+;N{*qw-GK&yMN)#O?h%a-Wnd?~{Ic z_r$#34|y)<-O9`t?Ve~OeQ@){JH2;qcFgU4koTlad7JdgyBu%b(#(~1PqdQmyvy;% z?M2R$QsrW^N4o?qr8DnxymkvSU$kqYg>>WQiC22}+~j!W=4bvWO?jEL=3S1LZntt; z&bnR8NhwiYBptYU;)ULZJe9L<cIJ<EPCVCJke5=dJWJYf^F(9mlXp61_9o;#DN>#$ zt+{#PsosFRlp^Ix(w%oWX7)PdrJQj)lB06QP0sw$j)}*54f0Y7mAj-p?{GYF<1<g% zG4W8ZKwe6La+5UU=85{!A2&_ZlYY2qqOLUO9gYWX|I8k3pSZ6#<0i*_w{K>XQk5gj zic*!Uq)*=FxaYPeXUQqI6**7xl!MKZwolyE>v5CguG^v<mQ!xe%#^lIRG03&-7&fM zK<<-V<-F~G?>(!IexF;N_js@G`P|*xU*4Pc+{|YC(|cvl&8)XSyjNB|`)%&l?HBKv zRnLBtTbkFsm-m~Q)%Lyj%$}QFzy0LSwJPb?xvRIYyeIbD%wqe}d*7a!UAgU-UtB!< zW$x1L6YpJnW@fg1?atgUW*2W?xg%C3{USFr@AsawpSPX6ZIOR_kMCzQlkIbN>V7se z-kx}`taA3#+|<0)dwM@@J9%3rzk5&bncNB6dw2St$xY0w-gEZjwqv&?@}u|oe%yBC zwm`o1p0gje9lFhtFTKb2gPFnh+MQ=l=f>teF1kJKHd8+Hp11GK_TT=McezOVes1;l z)SbMibHnp4@78^9w&(VmJ7G`Fw6@>9YX)xl=1ne=zMC7E*Iab_PHxe5+nu`a%+$9Z zy!)+e_U)Ygn}43WW_^3!&5HEP#<$<)?ArWvChz&2oty8@JbNx@$L71|(yXK3=4{z~ z_MDn^^xT_C>CJO{&*iM&e0Jv9QZviVThAS{y8S9=)#jr!dC%pn+`M-tZ|SznH}9ND z12qPhY~DJv_iRpfn)F=X5;N1yt>@URqG#Q-OaDA)ZL!(8n`Y^k=kT7*Nl!aH=j@rB z8JlCzrCCPLgm=+yDy1tMOFzj;P7^kco^ewyy?D;rLbGEx#nOZ4=oXqCxyhGqJZEiz z*`b?k>B4h(&*a3XeKv}oesjl}ZK>N1++;}qJ^O6_wtY9ZoH=HG`(93D+F_&HQ*VAr zJ3X5>-%NXR=*-?zIpv#uXP(X5w(I7SGj8V5Q*JIeV`e`4PL6+C_w2JLa|$-^J^Sq2 zt@qjc)_>i%?YZf_>tFV4`#tMjc4ge*&r$EP%i}hGmU@@Hef`aSVZWu`W^Z19a$nf< ztv9YG#4rAQ>veW<Tyd@Mx$L#;H}2c^Yu2mm!no$oQLnP|<D6^Xelay)KXYH$FR7Q= zxpB##Z_T>y7B5`udp3LF`o?|Jo^3sU-6sBZO)sb!ZW8bO`PR(qM)Ag<rJiL^Umv+I z?Ag{+*EQm2*Z6+gdgA(tJ#0U3&A5JKkJz)V$FIx8XV>(8+<Nr7NW6Cq@5il&uk*xf z*Ytkadhj|+JoD#U)35K@bM2|A-um21-4CV*uK$bsT)p<asqXrZd$)a$ns$A|p0cN= zd#`_rJ6wJCRCZ|G;!n5kW(UV@u3r1jRCE2sy<$&IHP)ZqtM>iY<m->(ia$x+$<AND zGxo`MrG4Tr_nw&7{UOffyla{MqUwn@;s=W--s!%xvtw@egSaQ(l(vbl+{^LSHBDcs zdZLwh=U$FCt}kMqd{rvedsHQ8DW18P<F#v;{-Uah7UGS?6R&jd*~#(B)ldJ?Go@wX zntM53y55RuIqP~YCgqFLBJsfDi5I#X;#AJM+UY;4oOrIgATH&z(kyYu;)%xMC--#B z>`sV#@=0l$xMuOhQ{4e^DW8-kiFfYdnAz<RmvYASNQ}xES2_Jh6%&tj8^oo2RO%A< z+{5w6l}|sZV&b80fw+_pN=@R7#S`_#KNd~Y6MtAVQCFOE561)7e|nF~C+_Rc*vWC< z^_$+Lr%DleMNgHg#82+#xaYbiX2~hn6){iVDFy2#l~3H&?Xi>NuIr*0mQ$|J^pwgc zs*88-?wH(tAoj^yrM%UD*FD=E^**aQ>~XB``K;ZmU#^>$Zfdjo>AJFXQ|r|a*Ol#_ z^)_qk>Wk~lcF%f~RT|bE%Ufn@wR-P5vvkwzSD&o8woB@D*6P(O*NLT@TC85W?pvDa zm8*W?#hYin%v!p7;<{^Tre>?xM&_27Uc7o`jo2=!7g?EMzhlmVx_K7iw_|*ZO-)wM zjnpkRHC~;#u59P5r&+0Ct7CeLww}DI65butdnRkb>fT7-Gg*mY)iGxaw;sDH5gr}m zTe$VeRe^Bpn6m|24_)O5myYo*Ff~|R8+rD0R&3bgO}D09WeR7Gd7E##|LU)>%bTR` zXH~CGjpRL@6&`juS~uTx&($?+!ctAOR^MG~mTJ2D>Z`EHo22e$1%@?mx^*Y3Xtiym zZl0<7>Vs>)ZJTx5rB3~k^~8IfZ)S45clqLzQm(X1_@uF*jqu62C+2qEn91?ZB~Lxc zdg86l3w|kON}GgN8c)2@dBCsboJ*X#QM%GP;fcl*uXS$lODR=aCERH&Xd&!5_r&bZ z1%6LTl=9S)tR`OSoZz?Qtji6bl(Q~w>Pl9Erox<aIbOJ2@_ACMG*9^D9FFHMX6lP9 z1x<u4jVGSzoH3K*nTwu!lI27r;gxeZp1P>1D_KrF(K%rz#}k)hJ}zfm4*5JORO%DX zoWt?hMNEB>#l$0>3Vu%tl-h(f=Wsl9VN+MK5Ht|}X(XsG{L)BJPxz+M!~>lSeoyk1 z>V#j;?wHp3!8aveDN?N|RViF;k@>_uoezAEoN`&^({jq?otjaqQkn3|*&S0lFZimQ za#`S$lBZN8Txm3MN2kS1jyo>*)E<4CaKHVB-IDK$)pCb^3)u4CtnGN`{K<ypymOI! z(R0Nzxu)L}ZnxjCTk=hD3;)d8jyKM)Y*fA}Zs1?|dBXMfGnE~$ouAk&`Kq{vKeD#t zmGdJTmUGTQ@}Hh5uHd(<?U>y@!*0nJ#U=cip9ReLBR@~L*xq61a@N^Np6S;F6aJqy z9nYPu<PZIvaJD_ej^(WLIUAMFifM99KPQ}SkFZ;E##u|=>F0!#?H+b2pA=K%n0`($ z<QM!r!GOQArsJ`*l>DI|6OOiP*r|L}?BKVo>3HbOCGYfO!ohY9JC-xf`)rP!cHU*v za@u*Djmihb2L7Mb9rvAo$t`-SSi^s_+GARKLgk66?JsOq-YZt{Z~Qbti+|y#3A@{U zDm(5vzmijWs#wC``AI;7e`a;Z9p`yAD(@8i<e0us*xtTF_er_pKJJ&XC+4+&&~rKO zR3^S?_XHd6gPSMZX}uHKF}L-B-jg!LZQLtkIo>*@i7V}%V8z`T%kjqPh0c>w#bU8X zy96w`Gh;bkJB5ia+BLy~yK(b`E3JDXIbJ#Wi9bqHT*j>#%kk3bmQKrAr)xSXC5nr< z12<2&(AuD<a@NUC{L#({=UNN&Qi>I4aXW6FV9b3orekJng5HxN#cAA{n<t!V4bV#| zQk=xy8N)HN)j==ijMEVvl`~Fq;*WMrIM!;Qmr|(M#qAlx@yLl!JZZ;-L#+aODFup6 z+>Dzi=yQMEG(nI1;id_?+?+8S51jsqJ=#8DUu#Ar$9<=7Vv|x8BgBeQ6|1;UMswVA zTBEb%l+y~GCwYp&VoBR4>}vIh<hbj!NQdQ=(=#!p?Gx0wJEJ=$w;s@alB<}<{?oSO zy<-*srsoQK*e@1OxZC_fPUXDg2N{*`3gvuB&lPsC@3ifB>zKvwR6XHl^9i{n=Nyyx znW_b>*eh*M%xT^s=W@<5ivLj6gsaUf<W$Z%K9EuQs*umuR5jsp^A5|7myTZiPE`}k z*b|E<n6gXScD!)BBBSy}VF9~m@r3iu6>>{HE6icPY18q{@q)~gGmb|5NzW8!u<x|# zc<OjcX2~aoDeN<CI-WQx@jE?Jn7}SsEMUmaSv=u*vxVG}j|x5Pkv1KV9EJFsDkdCm zmXKTWL7|0R(x&5q;{ll`rycjmEIIACLnh_4BNIPU#f1IMKV+A@SBT;}R6b#EbBZO$ zwB{Jgj(d)u_?pTEwAoh{323qJv_3JV`G)M0cM3s#PURCc*c*!`>})<E`{bnKBfdvZ z6bjfYtvhZz)UiLZo^Y@6jU>l=hc7%S<qEr)PZ|r@FrSn?F}LxCB*#04JoY5(3AY+A z@THU~Y+_z%JmE&;0lt=V4sq;8=?d$ZCmK(<*0_N$rBq=RbEmO@1+%B@iP?<{_@0y~ z<gq1LO}NxJfp5uKhZ{U8XC2(wm8=9znK@-SUN~Ihc~Yz}kNKqx$8!fW_C=NgCd`(` z6V5cwkmPvgpvRtMIl+i|r3}YY2Q_vj%LykMCrENUaX7}~a>n5h&yzxhKITjrj>isS z?29ZW9BEYGds3j##;hsB@z8;dUCBbgfcdA9fIjm}BLO|;n?@53G&1l#$ycajekt8C zt?>hIO1?rQTT`k+INKug340nJ@E$qku!^VUl*2nVqf~`5=9SVNQyMSus+@9Iz>|`v zP{dqmG+{@hg(SxvhkI;~${6;uKd5Hd%f8_`Lj}LXdB!(#4euDASTfkKPpD=n=R0tY z@qyfdw~ROB9NscskUQ{(@r0biT;>j2h8xTaiW%0k8$4%-;deO4xJ8cPHRBq&hF6S7 zEEz1=CsZ-y^D%s7@Z&#lmT`uh!%M~qat^bZ6N(wK`4YY`xbQoiWo(gSc)_^Dvf(`Q z2b+d-%nxiD&N5%HVK8AYc*bDFf8Y#bg4}_pj1h7UGnrS|Fq~qZVZ(5exx<FRh@GL5 zA(_wN3}cIB!*S*Un}%b|2{sK!nFDMZjxameG#q9&uxU8NtYFh{kXgWn!GQh4Q-(b< z4%3+*6fs2eDSTjH;D7L*;Sb+}_Y7NP816H^k#(5He4vOSoX_Ds!zVt5_Y7-f9Hufa zC}JpQH+ahMhHt?;h9xo#cNtwQ88p}p${C8-KRjW0#CPB%;{n+Nw;6Z*ef(X1-~ShX zkI$?B@Xz_YecAtozx!?eANbsVr~b~*_PO;B{yqLCzwQ5uzwB@A)BY>|?zj5i@t6IL z{fj@3zseW?d-#jr@_)u(_Sg1d{}=x1xA@=ix&KQ2o}cWm?EU^fd?vr_zs6tom-e^* zG@rG<_9yv^{G$H>pZhP=H~dpRYj5}e;m`ha^#%WuKg-Yh@9??b`2UGN?KA5W{yqLA zKkdK9=l)am0soRe$xr&<@rQk8y~DrcGxkURD4(&H`~UDq|FL?5f5{)^yZ(FpVSi-L z_doGR|Dk$;f5{)@oBlI=?$`hS;Zwif{|BG?b^mkxVSix%@884k{rl=OezM=U|MqX< zQ~8L0g-_+H{-5~Ge$Rf*pT(!_SNwVWPCocw;`jbt^&UUj@7gc=!+gsA*+0ea{p$Za zez#AqKk)bQTlu`-Kkl}_x2yWTF<pMo?+csz@7BI}r+nV-!yDyt`SNdx>GC^%@3`Ck z)-LP2<L>^OwI|*!K4+KoopCq6)$fYC$LG}Uc;|f1F6#TiUHw;USG-d`XZPTZa;bd& zx5i!lmuq+2Y=3Fz_1$q-zuE7E&HbjoCGNJru)Fd`xkP@!Z;#FW=W8q8EiRUy^ZUk~ z_Gfk%-aI~IXY@TWO@7Aj9e3KF+MRl{xJZ7=?-_U6pV%pVcTAI?@LOUtzu|9=&Hcw~ zE#56Il<)Z+ai{%}ozVBj9sP%ECEhJAkZ<`dai{%(-GMicPuuNzv-q^#jyK7t?U=qZ z?&#lN`{V86eEFzv2e<d{txdVfKCL$9X8S$6Pv081^K1WJv58;n_m11gr_|nfyEsoi z=$qsAevRJ^oBDUwo_PECq}`)$4^!j|eplRXzinIh{$X|hz3MkR+27lKxs&`|ZrAq{ z#r!tkPwYKDxBA9T_II{<?-Q&0Z&hEom;6m`)Atp{{Wq!)+-p8(8~5Jux!k(%6N>w< zRd2YL{8et%_l{zIi|-zLkI$}NaPRRKxx9CYRsEN$C)`_n*7nAo<g>PJ?-i@~O}}&O zWq)CN>CWTNa`V2w*u(zZ*6jVlN`8~?7RCK%s%PwEe`c%qKC!aj==+L2>`!gg-YZu2 zpQxU&ll_V9u{+LZY!BUe{86s&d&VC2$F^ec7gqEisaCl6_=8;Aca1&l4{g~%?FxhM zKZ^MEzrQHr*ZY2>sQ*AU!@bAv<?6n_*xf#@`orDi_i~Z%8lTFAzgt+|zo+`a-NUDB zSKVnoW&7@(;ZwP??<;n<PpQ6eSNW9ff;-9Y<chvm6!q_@w%Eyj$M)X4hi3ivD}S6@ zTrOAr?4UKj?dKbF+uzxII>UV4rs#QLx?I__M(h6Dl{d~UE|c5xdB)uKH#V=%D3{4? z_`JZl|9a(_neDG_o}5`+D!1ly#N75*HjmCQpR);i{xMB%#b=AT?XxRqoLgKXx8!q% zF~8a82;=^Xl^y4t&)PUWXSC`!`TS!}`*Rzs=LaqO&sJufV?Jwh?u>G=T-vin%l^}q z5$6`4vC(?&XxV?V(&L<RkzC3%M$3M~&jQB%2A?bDv_H0ydVbKN|7fMgIpspRj?Wfz z+8^3*J$JO|KUm3ej`@tuzB7kU+w3~ieA;H)8RY`GhR;7{x8Jw<^=x6PT+Qbjv)!jv zCd@oOwerPT<$Sq{&l`;TwLUK}>fc@IGqe4!&8ugMsd6QsJB;`>KF^rle#d6s8Ra}V zzh{i*{o5;d?0x)QcHjFKwa4d`f7s`I-n#7m!ry&1?+<+LyHkFrvVCs(gME*`$!>eU zqL%%wb=rN!-+fl^J8IeASijiw_^WL3y@$W}EZ=9;vcI+tyT9;PpT+xz&wW?Q_f)dK zvi7_G@R{tg_ZqeAFRgFwX+CRxZBOzS*+uUIKKETHZ`h}N*4pm=!=HWU$_w@-f0mu~ z-r;kf@%s}s?K8_0_C5Y2JMF#3=e|?r0sE3a$xeFTQNup7++knx8S5i^l+Rep-GBI_ z?^wCPzT}UxUGF_=*dJN*-B0|{cc@%oU-AdpruPh=`}E&`_|&KO{=ug{-S-?d><_H} z-Fx`GZ(n&vCHsBrZ}%oXm5sPp_*Ay){fTP!d)90AEIwtuV$b7uvcdNfzxVAb_o!sQ zYrSX>^C|0R_Y}YPslV^2Zl7F!VDIC%vU#t6#J0b;s=B^0U3Smw3!D4ymcCf0eBSEA z8s&1?@@t9dvO8Yyh;4ssm37^5ci+v@6YCbAvr4+oxSP-Fbw%v)Ii)++IiItNx_)q1 z-__C;>y*z~Jy@e$Dw}_;aaZ5v(jAfQFRi?;JMQW;d!4Yk&-ArKZ2JqVD{GWXWEZ^l z*xYx%v|`=jV%a&bZ^X1ev%0Y6@fj<l>xpTyGhXkAX@6>UYR%#z*(tAQ#I!%LQo8P# zCOhG^#AZIj*BqPsj+a`jTU;pH^Ex7?{gIW>^~N22hf5{aEiRC4c`Xss{=n+Mn#ZTD z_N-Za+G@v|<kMD6*BN*8?JxbYc5%LJ)U|`#`}UTmM6ypSjfrf(XZ7h?<90sn*DE&h zX}#VNeSAvkjkSyOWP`3bZtv50-LR=|XX%Nxk55`Xy7n+dw%~O|bo*_~y7LdK`|cIL zv1EU5`DIS>cbQ$!PZaanJU?N3d~Wd#OZIn`dFK<W`)(Cqn3w!bX4CT(#eFx556o*m zXBl_i@VU&o=M#$it`%>Xm;6;`)$@*GK8xobw#R1|FPQiEi%j0R#Hzka#S`W&K5Kbn zPV!kxxATfse5TJiY}sE}UYhgxv&_8bFKpPKTbi9;SjlJd+@iSeOz{j$_GgxQ=MyXY zjGnKsVSj3=c3!cv??mwgOZF$0$L2Vnu{<>A@kg1y=NUHak1fT{FRbW0QmioV@duf< z=NdNb4=vfwD^~CsJpWO|r~mv#5ue`k8%2EwiW%lTelJt^{DpP<wBiqQli$lko@;z6 z6Mk-CdEcJm2XhafvRpN%`IP0mbB0f4%AT*VZl6+oVXpEi%LQ|i-^mm`uPEx<QEXw! ze#i3Oxrb(b_X~gYEiRX-K6cQW&-U>R+4gr9pL&?jTNE8HOqVG;)@a>#yYNQe;xd^n zk7vlXzp;4Lqg*Dl;qe0FzUzf&B->wGJn30nDzoNsglzjOi$^`o=PZJbe@v5E@z_GP zeRkoDzQrXnOCDz!^O-%4Fz&lp*wN>F*23vHqg9{D;~z5Z&n>KuAGGW{TbR+ueAePz zk8-h0+ObB<zSD&feT&anXdQR7>^oWL(WhJ_lX8sFvd{3bfN`I};|iJf#}-n@4_fpc zE!5~!E|lqbY$4PB(1Po@qeb7rLXJM>GZy=L4xhHz)zf_1Vq1@LflR~WAJXmjEq)za zm?~5A_=dFmw88|*<5LS?^eX4eR6O2b)Ti}$fl=S?LLbTYyB4pGDW=MlJnk^!(|9~X zy8VvDydLE|8NXwU=6%}>cho-qF1_#mi@(R_<$tJiK5t&Of8p<5oBIbo_uk3B^RsPk z{)4*5-=w$QU-6git$Esh#oxVF_dEWwy)l1L^Z2WD@xF(@crEW|{AGJ>9=3nsuU?D$ z4WE0j<nQ^(_R8FE|HEg}%kFFZWqWCUtETy^`L&wlFVc(d2Yl|mkl#?JeAe7<|HGfX z=kg2cl0Qq&y6^D0*ZBU4KW#Jf6Y3s+lAd;7<8$w+{D8XTPtud_cl=?SneR}Se8&7p zjq(|Dx&04+^d8GMs7wAR-F4sN58ESizWs?mdJp9b)FpqAZo1F#xmW-GhflqF_aA)f z)xFR0hwXv+zkLtC_wLKj_{nzP{M){XPo*RF6+V@&x_{y~+dcC&HH%M~uc&$aPC9sB z;`iQN`5r&n?wT*EVLoO4Y@g!yUiJGOzuP9~AE<r&RyyzYkGpN}&8oI<Oqbqs`@-hl zySXp&l+T-e$WbnrF5i}zF1_RSj=ODd&9b&T?(V&rdm?Y~IkTkgjJtWQZdcqrHYayS zp7S}gsO<-L^<K?gk*9pl>_LulsdWCf#$COab9dZqduisi-Emj1+3keQy{5M%?zX)! zyON_^BE8_Y$L8MixfOYfi>2q>zHz7Rnc0P$$7jrpwkM`Z&$zwgPTNznQ#p%^q^I1T zai{HxnbLO0H0cSqB{uUK-safcdpy@7Z*ie?&+UjiZI8@^wm0tRJ)A3%x41yM<+j9~ zwg+Yhavq;H+mo~SwAqfF<kMzM+ZlKC?$7;^yEtDuYTLo>y?b+0Zn90wjk(!&&+OB- z#_hb?w^wZ9)w;do_OU6sH*y!}Ne69n+}^8kyJ1uB&fF8Zk58IC+V(I-y5M%j?Y7&d zb?YBi_uk8Xvy<(;>6e(~?^3(2pD5<Fxqf2rvANkdcCx)Q&0C*X-FqwhLR|7UsZG~c z6!+f9J`mS@&NObl;d7~V*C!PBUd!GPm;6;~)%A{IUW@A<dymb|UJ&>Ai&Wma#H!v) z*%RUxpEbP^lYG|HZM|X@ujzG;y=*T`FU36mEH&@?i#=@5P0iLXtmHMhZc*HOCVR$C zwr8e#>k}(`jjpfQ!}iovZM|Y;?}_XQJK3I?9*c24V|pm&@kgn?>lu649-E4-Us%z5 zBwHcw@dv54>l%C59-6YPSFGSQxc;MvSO5BpB3`}gH;Q@>WHZD)elJyb{l)IKY1toQ zliy24u4{ZM6~1m^dGDU=2eF4wnXZayK4toDo#9idvg<2$w@t~u5UYI3bU{q=JE@}U z6-B)}vMqM9-7&ql?x9)l{mdVJi_4{|mmRd`wY_{}ZreMPPd?1&O^TKmrc0GAYqajY zoq5A=ahcSX%QNP-y)k*^qg*Dn;qn6G-s_oXX12XHdE&FURBFxTh`DXAOdk0#pEC(s z{xMBz#bt}RZL>3H_$@AxT5>tVnAhxbgmLf1%nm>2vnEc<8LfIvF8`R*_T0p3`9aIx zvzZxw%x6u``6w4lr7df;>^+?s;kWpViPmyQ%ifcj9)8M2QYp(AEqe_w3mEqrT&|eY z_Si&f`9X``qnR3h%7s!Lmo4VBJv8B3?r70_Fq6ZN`HaavpTnn3cKI})HreK*Tp-nO z`N!<G`zF7ZElicFxqM@`+qBGtna8GPzVKDfm#VnD!Khd3@&cpY-I+c!+wPjYTBevP zRdTt*h*#tCjM;5>Oy>D0=Slf3V>IvGp1EW0x9VB%GxsikYuWqV#CG}HdCRJ!=3V|U zXIk~GxtHI}X{)~VHgntZtMlBdZ@tOfwEU{2?l+SgmtV~JR&{I6<rx3T#Zj*_*DPN< zZ&_8;oXZd9Osl#z`*MK4we8unnah?>o#$2+HT&|7IoqCXxp>*dKe#yRMdpI#OD*4i zHaUOU%Kx!V?q`#;moLmId$#4w<uh~IDsMf_oVI+b<=Rgsr!K4cPqxwhWMZ`3ah};T z6T{`LmTy0r9KS5(pKO!+(d6i5A%AC^w;xOnU*_^xw#ofqa`5tjIp3a|=r1n?1$wj} zw+-)yE&DJ3@q2CUdpfgb`P;eEo|@<^kG1rDzh%$m4}P1AqNZM6F{iBj)|AU{{8n50 zp2{p)?rO<<DzkX`$+_R2Y}s*n&K$P#Temaw7XR&gW*zlDquTAUtnc}Z-HTuLO-nbi zS^TuGEZxL<@x#6{>sfCzwl2QdXJ$R?O-89(w=8d&iPhr0eP-z<*DpTlxn?ExI%D<X zm3?CACKijA_I*n;xpL9Zz1Vox%Z#OqC-z-SGcjAdRx-E5<l@CEJz`c;FETRSe#@LK z-g54uh5Kz8-(nM!#d9Tfi%pCdC-#+D&U%`W>b6>@w`j}Biz@EjGQDRqCM@oi^gWZ2 z=vFOrws6a_ixTe9GQNddj$9OQx0X3uu;tK24tHr8-vSeZ#kG=WPiMrsJvO>E?IM#q zv&`Fkll>Qexm`Arx}Q<KI8~DObVj(_Wog}flRX#L^n|6FXf3|mYnE!V`{FCN$wpFl zGXmY3jc(n^C|YbQshek_zW8A8H?vu{jqBz={M~ae{Y@p?d*d%Q$=@Y+oj>uJ$L9Qr z+GBImZ&b3qGtQfz_`By;`USh>Z<3qNulU?^BmIC~^Eu<V`G(IW*PWm6x#wE?2D{|1 zlB>>leCDw@?@@bfcKQOl$6qA#<|Y2>xs*P^Zt+>;8#c*jjos!e{^Bt`&r!?v!uXQS z<Ij@w&cCQ(dv0ttf8kFalk*mzd(NcKsAPL)tT#XLXOGeO6*X*6jn(EW{_HuCKB1EB ziSaQT=QGBKY#x7<>^q-P!}i!%Z2rO@Jx9_N>>huRY&)+}!}idaZNB0U9)t5gK<mX` zeB#kNf8$fnfpiAD$L}TU&cCQ`o0k5;Hu=3|<h;hGlHv0fe(%|n{=oL|DdSZ(&8Ljt z%`<!|S$2L!b=#Em3%1Iqj2GA>zmqIFU-7ADN4iBN+a2S3^B!*Nxu5z&Z*jR~^|XV# zd2CPLh;4gk^ht;Lyiw8g!gR^9X^p#kZl~VRTU;i&<@Ai$wl_wvbd<{^H=JIux#xQ7 znaH-+Mo)AWmrAZV9TD61%IJ{}^EsoS=^xW1SDdzpZJV7sLvL}3<dV}Fn|aJmM{Mr7 znA)M|eAdWmI^(V$lhZ$9+MXL(O+UD^=WJ?*9`jkFb2`e!l4;W#clMl4jnG?s#z<?r z<IbLwsUCXDMUpAg7<cv<o)*~LV{p16rtPtj)bxWpdXA=Q=qVRUcAU0|X?tkIHQjMX z&%sm<J?1k;`*aSUHrl1reA;N6j&gxy!|5N<ZTF3SO<R~MS#$bEwA-}Qgveu4Q(x#R z=Sx<c-ms}h>-2(6J-btVBHQj7y_%+&Dp_*6V-t_Y=^4>&cZ}xgDCbG~O=H~Nvpsc( z?Bnke`;Nb`JvJ}-gPiku!?ON`)jjRKD;5WR)6jFN=<sk7QS1^(X-mtQRN^e;VIUyE z*47@X_PmH)*ugP+iJ_;!Q4N9SDTb#coO=|NprCUS^Jj?=-|E?x;=1SS-p?%!z43)f z==*^SH_Xz-^~L6I(7l-O_{OaX8h)1&5;WAVU9)_0cjK*f&dWHDZuzIk<MnWPY)qkc zufr{)o&DSD_v?LoRI1n3lH923_+fMFLYuu?k8HV}($h0#^TNIReyRTa*tKKM+SbYZ zk0S59-SqC#9py@{ysgm|+fLt{CUvTMQpeg!fy#4y7j!>O`>ky0p)<>D_5+r43njN* zQ%iH!uUKouk$C#r&d=`Cas{8tKMmXVpV>NncTQ2g=Dv;5f<b%3b>((lS#YVhFW2qE z-nfMZ_KiO6UA%KU-fb4Q(JR;69eMsw+>vz~^g7R4@=IrK=TTELs%Kx_Y8txb!Qrrf z+s`Bl|9>MYA-3&QQhHgPOXx4IMcir1Hzc&KSh=w0aI*h-^O1A5a`MT2-vn>En7%M; zk@XR%*XXF9c>Ve`?^P@{yuEz#+Uut(={;WCdFNB%U+3;?UMpN9C3=^1tg=ks+HEoS zT1m>Z2OBbdRa>qeTDV|qPV3X8lHTj9cko&~KD<_Y>FU%@wtm5ai`O44WnkxBy?xL3 zuN~KwJ|!C%cZAl;#r*!HKjRl;;*+Yf<F}W8YBm3n<;W51nJ_U?Np|(R$emS+Jl+RP zvXAVJ=|AXue#7^*4V>F`=VnYe=(OdO(A~2R&0jYEN_h9%$j8`Y)>YAiVU`-+ja@kz zOH(oe7q8=d_IuwB(+xL%#p#;a_I2Mi+<o+2>z5FQ2cdWBd_HZdIQLkP(dI(^iH(`} zPL)pn>SB9;tAOv%sY0oD`+`puJzey3)=8K8OJ1d`@5nIligG+G`QR(?Bl)b_T2+qt zl848>hr6Zt26#VJ<=+|YyLD=y@Pdh{daDG?lT%nXE!t|3W5Qd&{md<(HG9`2&C7Z- z4o$N0t9=^AsT{K}aD(I>uE#8Ig|)LxqPl`QTb5|v-x)or;+8;|H*4&=c%StC<e0V> zK}V)6Sy<6?Aj)yx(yeN<wmg(-`u!kU^W3Uirwm2vpLAYQnwop!r%=!)4s8#!TU@`} zau=vnGkJN*q;@nZTz7P?*|GLP{MrSEp&u)JEnX?C`u#xGsPE~lYXO|mfB1AhB<@~o zwPQiJM?`?uy6ci_ye<^g+&*=4ulveVn|?%>TfJO4HQak!)WRv(*tLZ$L*iP^ezP77 zTgP~W+4AO=56A9Wr7dTYUlrzhCDHS6PEm_{&yoLi$*&deG~O(&5MOp;ll+&WD`y!V zG~PM<tI5Alna#dp%gqxLZ%uHvoMn3~d8XB-hV|O>Q}SP|RQA_7{<C69+k;I{7O*{) z>S}L!%x4+(T0^C*g<Z;WS?hMI4%1t2ez5KDyY}~xbj%86%M~K(c4{jYZ7F@g%Jg+& z+6{*CDLWO98@v`ccG$hO(sD=cTIc%@rXHTeyDUpB)B4)E8EcEuk6mj^^_g~kA^V>6 zrbHo|fW42yjy{Tza`KAsP7GEsEecf?sYsG3xFf!0lcvdxNr}%tF}1B`$eeU*{(T(= z?goYz;h$eEed2iZ!egg{-zUUQ%U$w*WrUuN@5Y?g(sha&(`0VCpWpXg`+MXjtAeZi zi67t3UHfpx2kw1KIkgvTmcAGDhvk2rl5xAFs<FLO=#*s6P+3v`qLoLpRx@h%YRAql zF52VvTX*HJKRT;!_?f?%wzS15*Ll^vD*bbhnD25)f4P0&=JoYg0+%ES?%pSMX6pV= zn=hqI-1#EeAg^9|cbNB?14`Xo*KfM>CO_T65cxEfx$a6_>P@F)6+xyw!b|xt&edJ` z!{Ju5`Y*xT4kB-EIdAWoQ={`&*1f;cbDcx&d&z^lzs8no{(Dv=JgJy->3gOlZ4Jj< zJQiM^&v`<rhb3zfcUR+sD+<p=G&dR5xa9Ev-*V_{ZnFIH!&5ExJzDU^r=xAfA03U7 zWf^TJjy|k!zHq1gjoP%ni$?^nDRW8fInvQ!ZPvX~f6|-X&Z!MS!m1{7%r^GaZM68H z*;dkS6Z}E&28&$gUgc8@Ha%F`;=N@zbH`89YspsAE?aMU%fRvXPG`x#v?B{0u4phd z&9M5Fk!-v9?EHP@(>(9|ZR=uCy<qS8#G0|Da$&0|zb|9ZPr>+JyDE|AuNj`z$g*3- zyZfiw>i%-D`pwU}`*r&NPd?3Ox;pNwy?M>^`t7kd^=+HWL;hRF+^yK8V8bi2?#=fY zHSYIsjv3#%?Q}1luQ+ewzQ{w0-}+wZ^XHd8x}eVbcwxQPI!nFvH*BtG2;SPQ)~BPa zV7>6+ivC~!A3fZt=XP;!j`7<yrMV6V8*atixK^g95+JN@XOMfX@<Ooht3#2C1r%%< z{6Yd2CZGEy+0q)EWgeLQIyaz_>y6sESv$CwugT529(Qg*-t0-?vsbQtd#du*`zts6 zd|q6cdSlk5-Rd7FpV0C*Hw{$0F!7dXzgG5_{sO~Id`HxFw(TgiNZ&Nuo7HJf)|u9t zMLwOLHF`Ox-p2h%j_RCZ^naS8%pO*r4Qm$~Y&o)kZ=tHYId7-;y2n$^xC&oxTJgzW zT&An1NA(m-g@Wq@8&#eI%fA+E46rcdvM5sE+w-!oe6eQv>%48z=5I=0r|qeH-BGx` zuea>=6{g_brI$q$qS;f=uARu(u(4D$Yh~^8;(#r|4J<b1ic(%3w-)KTPJPr_bA+vt zL0I0ndS;f=E0@_`0ZAX&U1zP(w9HWc)UG0SM&(}$hrq|>uNN{&)rP1khNKEEG5WrB z%hUt&3uZlu@D+7ECG9`Sjs3e`&e~_j4|SL<|98on=g8$J^C*WV2yJBVX7s+mBgK0} z^->_yd?`7lFl(ldiBs9XKDfc7!@eeQ#%u3S#ob0vWA=I|@bhh84`Q!5oHA{e<HDJX zd>mYR9fO6A7$k;2zPH@z)HRMZ49*R@p9JD8j`+(gx>5F4vtXWK+1>P($zskEGs677 z{5s72z=hW+aLZMvz%O^Z7cq1$TGK4-8l7=hW7R2*s_^|9ULJ=uELl%^U)rWE8CI;} z5!bhEZrTeo<=B-KRbjU^a!XzQJmPpXeM#u{jW^DFPS`KA*2-(~ZJv_YkFPrYq#j*= z5c@R1&{I3Mv1~#yyGZettE%_5&f1vmY50AjlJ0Kn?YBMn6?HPNrruh`W*zlfYxdPQ z8OPVXve?ue#T9XgY3aHu-Xm`emOtO|iessr_X0V#z*te%rFKby>kC#kAG&9*VX{^A z^7|?MmruKHIxe!V>UmJVwDr!D`;zZkym3udcYWQxW%9~(+Tn73(~Y;Ud(ZN6-BNZI zy;p04{?}dR?z(RN^5(R@uj#*%ULKHIeWmlYvxUEC?Nc}R*(LKo-njSCyY>;|?hh00 z`C7@l)LJ(`p1OKzyM*<cUw>Y{YEqE+|I~*4)e5;)-nE;yrQ6zGS+`wM_1d0*y>6>l z+`A;5xpJ|j$;q0XExV5tq;oy|yTfIzQQNC8w^j$lo(nq9xy;GX*|Kr#Mb(K@Py6mM zbu7K+vC{nds>vmnOczy|{P0S#T9qxvG0lo!%YLmXN2+|oE*8u3iwzEZ0k0Z2=(9G> znLH)lchx!5CWRQTD@Ls5FC&<1zdZ7N^3X_A!Tg)>U%`uiA2*+GKk}WY`idXZ5C2C# z4gc0^^H<zp>oaeD&+<Iv?HaG7^}E;Kb6o!3uOmr6f9hnezRSw?%e621^;@~+%=vlh z=Igyxwf8fAh%mb}p6T|kxb(d6#}#3pRi$s{FkI#nUiPf^@}Ah!)75R0>SoLAJC?b5 z()$_A{}(?mdv@ZKK+CSYmuI)cxy~(K8SZLp!B8Ky+<(5>T(fuA+#O5voK|rKnoD1O z8KnQd@T8H#tC$~oA74}yAIafa^}lnv`<0jRsZL+H*3F*s{jzCfV1KP*PJt<7Vb$&P z+qIOYy}W8~+bA_@v($3-&&9hY6~8W&Hm-fP)l=Uh_PKCf&iS90m)nMm_FKsD?R&oH z1?Q#1`!hX0coh_h#PazDsa;xrOEdJMal_mbKZUkhKb^E~>E_=GmC5U3J(J`)Jz9U; zAGTfeNv3#K!e`EBJ61&J=d|1YuDJI2=gWV^UyIHj{&FpS>aI;`Qmf+At|r%Y3)|OP z-spbz<%y5{_W5~_zDTX$`*O{_d0mkH0srM1rGl%Dhc2INw{(T|#JNHG$0{w(eKFM0 zEv@)dvUbIvDKEnfm(Ek1TXNNMm9b%<Y@F&&r@Ooprd271ObmTr$-GKgsC41m$-7Gv z6TSDY-kUcmYrTwK>Ak}xwprf$)}4R(cDvrp700JorMlE6o%d_sa{8rvVgHA(yDz=? zHk<wGIrfnI(^yyE>;Ky6{m$G*Qn&P<Wc6Od=ab_CUmY#A{c&^lm)W0nzUsbW+FZX% zP9TEOl(DgVWwT1){k2ybZ9`sV99ZJCl3VfB%kK*_jsy$6zwke-HM{tMNy5tSYOiXh z{^EJWz}O*t-D>H-{^w6Ut-HQzvQ4<TNASe!FZQ(uUV7gB_R{FwOW_O4Iq!u|2+R0# zXTIGZ%h)Y*+r9Q`e5%|zmD!@FVs_pHhpwgbcFsLv<bUIw;v|7tPXse$x8`1+U~n~H zSwzEzNXx_uSMiP`SG6jCY|On9uH0pA7WKq!`}5-Uz5>rrCfY4Zo_o=GEvsn%MV{%l z9a+~W2^D4i)%Cb_q}9pcz|!8_-A#*&LLN_Rv$_9xr-aO=vIjgr-xv3+w2s*8TEn+n zrhCp--OeeeKi>MoRnL0UZ|<X`?_cHY6aF;Ad;NmtiOf?rcSikO<Zz;Ef+4rY?5Rw_ z$2GKE&Ftz6?mYXFo+fuJx%za@^EFOO5BVy7Q}5``d3wZJ>07i#Ojyr`uKv%bvahZZ zc$syhVVlzH?3s-#Wxv}$w=uO4)|s&J{jQtoUw>cQVyyLxMMGI`irJEwc{+?fN$nqb z)2l6?+RgFZ){+$+aw^8yG`lB1ZsP)%PJv3f!*h-vKK+2>0Hc%k-luOYJ+-Q@2<yLn zuC@D+jQ^(j6E@lYZwR@0{=EIRt3js%ce?i{E}3z%VylYG!?mYsGp|jkkv|>x+-wTZ z?Y6|i@`LT>$?v{j=$M^&!Q`4%-D8h%hG)h;lJg2TzC7~&(vct?8QX8Sqh|Q6zUFo5 z=;YE*mqRRfSD4PVk&-&15R&rtRFZO|B5%r>)>|R_3J<;2xsq62KU+xGG-DdGdXv@p z^yx0)6GN>Ee|2UZUXU<-#xcqK3-SpEB@YxlZ@L(<Z~a#}y%vXO2JiJZv1aJIF`e)Z zU&67d^UYiy?p50k7{<t*`gD70|I{bOg3TKudczKDu?E~q%Fr&4nEN7RvF>KCqiOX9 z_pg2x$)0zv!1GR6g5a5H=Z=;*>;GFibLs}k7f=2fS{Q0BoV)RUqLtV0V^hxZtm&=$ zDZcE}g4cl>{;TK7y-s%cwa;E$=Vt5GN#XzUdagJqM%TaL6Y+VFmGU*><(ebwg_o?V zij<#xw*Oj<s4SN`SG}jdlbD^3>#T@lF4gf7$6}rw-4_zEd`qLv$x~~4t)!|im&{$0 zX=CN?%O4ow>UZSBl0$bmD@8ZIRF(<+v^7i8M$@b$)lYSann<Vm>`4E<UiEb>RUKvq zyRYXrdTqTU5Odn^k%s2OQ`hZG<=2)^oAM?9(WHF_OIC*@^Q1ptH919y+u26L{7%Ex zG@+Lg;y(9Xw@y8I>+HrAd6{7*e@#3pjRog#yDuJMwbivme$Dk-@uiOssP(<vvPMJL z^QU0$6|wB3St~V+rX9V!t}O6!&_a!cSu<@jRgNt47ybCKxy9aWbJ(2FilqgL%Osw4 zGlu+bn{dJ)$?3wDJe4Vec2YCA)mCVm9m-;~)Ya{hTDxX_#qGrNFA9>LC=`cw*_ArZ z`zySq!|rl{&ZQQi=~HIC_+9TYlYL^2$&MFRXBN+qx!%91*zceEOvdDO?GcYQKCnKS zBD8AJ_e;}03q@bDpJgJ%qrUjIhs74R$OHU}!GWft1&fj!ofhUM8t6r3DhjHYJXt+2 zQ`KDWX|&Svungs!-z$wSJbd%Cr>pnIjGlwch7!ATYhNnNJidj&jbFLz&|OxcfS#Vb zQw&dTy70M|b2-U!&vY@BuH(P_PIciCvpdsf{x**&N_c$maE|dMA?}PNJ^mt&iw&g9 zqT`eTC6CN&JF_97v(0Y)wr&RLA1U5mCpNVIPuTLugthm5N?25?$0tvpS1kf*`;0a% za<{0HN>ZI<+ortpy5NVzxkh3O4e|meIv+gE!s1b(d4ox7a_FJlOM*uiTzYH6cvL^t z(S6P3C0C|pD|JLi9<u6t=dd(@M>&Zl)n#pz;DtwBniGzQuxj)Q>|4Map^&^#HHxux z-^+=*-ew6sE9_b(_`VMRqY|IxZpvEvq4;cDpUL(&!P_$QRFzu8Wo4Jfu1MQ8i^o9K zFw?*#{@R_RFN0qBOB5Te*Z-h?tiZc)DnrGZD+?ngRWx%-?>738wt|84c!x)t$W5Mj z!FRSCfj#~~Wiw_r-xHbj+$LBf)UfJC=Yo>bt4c=~1s*t~vdTccWa1jTD3$<kW)=|! z5I7Z^8WmsrMpU+qfdPd188{gdOH(rQ1B&vK_44v^@`^)4SQ(gWv`?gKY}Nm};D0wG zL$3h~U$Q%wgr7jbXN_J)2S!$r00&0age%uBXYGHNVE?N0u(T(Opi9Hy)oUH53-IjE z6szQZrduH!*FN(ahhmEk=i{dlTF266NIH8crM%Z&dZ+sR-ruYZlAYo~;&nBd_r>E? zZ1%aA*Ay?6jhB(Se_J|!w)Fid>HC}e^?Q5Q3!dGwslR`{Ah(9cs|Sx_9tvMOB*r?U z>(Vhdewm_xwVI1Iv`gAl+z^P;&imNLXMBL+mY3E?SE0w%Tb|Bte$@T=QMK~p?Awo` zlOK7nPW>r$b>EM90sB1Ch3+pF?#zEz^6BW@z?%GYiTK-*$F`UG{hYU4B>vXTBiCOn zdE~7;_lJ__PdV9-XG=dG&HQ=q*pFL_YO)h*{B`$j(cI^z5y3bi(r*Lf2Cn!eGW*=E zYKpCE3T<lg{q}8B-e;;2AEkTh`iizA-=}<XwOaT?Zf@khox!KY%kzHTJEymA+vV>3 zw=K0FZT%%A;%{+QKh7?(>eT0DJ1(x-_kN<x{TPM#ISTi0E5u9pOvr!R^1k!X^k*Uw z>u!j#UgD`d>VM8rQvB)cDY>4qGj8<UShnQYlc>p?JN)$-8&n%QXG}YCkfr*D(DNA& zW<8jra?n@tV4&<lTS@VwmYT<WKlXOzdgX;}$cqi%t{t{rD|Ea1zRtqDp2CzG?PO{3 zS-NJ%Q8}5LZk$WlSh%sbueh{l^W)pHw+~%OsJeCK!-kayzpYvMG%&dMvDBFf#qB-L zPokA>KbxbFQ13TGX~ukGmNn-&qgfsoA7c2K@-T+`am<fr;@b{#T}+v>;GlxzY{Moo zXO^=qnRau2C(fCa?~vpDYQrHnPuZ3mc{jF&Y<_ra!sfQ~3QQZ=40=W0F!UTY;$|?f zeer=wLb~?F2dAy;Zyk-1In2f%ch1o6wfGF%g?TRpniRJv9A=a7cpbpbb9JASVjd%# z_yGpzjJMN|<kl2Fl8T=rcYiid{B7C$w=#}@7rFZ5(ybFZ49A4`Sqk>+pKE!a>+&#r zv3gCmMvcF)s+;(hJ06F&#LS<3?t|vPg%6KdEqnN)dvezY%eDn~nP!#=i_cx8FkhMH zcEq7$i_8|e#m<>>#PZmJUgeA}u4k4xI2U+iJ#^+am=UJdWqFXz!RGO7;fp^WJ^69( z*^gUpR{OSEW!^Yo5%qAfwx#a#BRUp_r*~X9rQ7pp_KZW@3VX6sYM$Mjkzl{-WbDsp zM~p1Y_gR>mPwajFwl}wbJ+Gf`e|~OHabHD^|3x0(DUZFC*B&=Ds6KS#s7Ya4uUU;m z6Z@*#Uagv9lXm?)pC{GKtv_xZQQl|Db?AG_L+@ylbsx^&{c!Z6$UbwmeP-(SXWe*G zz3s!&-Yy5_eHLOpQ9mv)g>xIcnedQR{D8w+$wM1>^mj0@T?osLy2Q>Wo1k!*N6xNf z#zWT2$DD-sd34wG3;ld{z<J*eftL5YAy2Zo-G5wjaNd{haY%gPd&y}ZmWqBdU34^u zOF{7lPrQokK6mSyVw;*m+nRi*nq23aY?qqzO8X3I&P(hwnbG68kmI)e?Lz`v9o8{^ z;=H!em2t}B&(7`QUeetD8yL8n3@y`->`=QuC#U(QaDwTa&53t-?-$&dc15xC+o3J; z=QJhRk8D@HopC~kAwfTzN$14Rg@?J9m}(q;-p;4ru<g{W8_Tjnw(y&tIlwF#r?56P zOU9WmtDw<YXe~3l+=&lPnaP_pR>)j=;B={7Dy=|~-DbkWR*^RhDWT^adG%DDHgxi` zB;B4g>r7|%GM~;fObsS)oq3H_K036Cuh2~mGV@YmYF7YrCuPW5fEh_<te3Z_fXvQ# z-G1bH2dj93$D)OYdF1V`JZQ>HT__lTYl3hNgOh5!lz^Pw{0zH{0JR(K_6grwCUo>> ztT@=375{LGeqZ<dwcYE_cIW5zzCXFlS4d}_>Bo~S)1NLox@~FOvy$c}QHL3A%hb|> zIkwgmrq|@B*5szuWEa$&H{a)`Q}SR!ippjNj?Fn=79M6d%lV+dB$ajIQFYeGrMZH| zQI`9E%uC1!&xotZOqLLS<E~>+edxqeXI|r)2h8l^9<SfE+FvnnX6N%XE@)WTCgzbH z*p+<a!NF6U$JD3I@O6A*wAP2Ek;~=)gERNleJTD`3`^M~jkp{5Pc55za?2TJ$+!;+ z&X>4#1F}zTONr4vAn?>WN$|h{W^Ip0jXe506ecIho%qni?Ww=T;8u{CDywzFdXF>9 z6c#@cv)jVJ%<HLt;DXa7Zrv$nW?ny)e1FQ_ttmF#{j~e>r@gKpx3+#<+bQ|@^^xmt zPor0d?prPte@kd~q`${9r6n6riF=;BxM;D|(eEdwSs!ef?ELBMOffD!zI9#tdau=< zUwc}8dDG0|oRFH_xGasVteWijb0X<d_b)dsT)809WZ#xuM<(>1zJBaUK<?@7VY6p+ zoLlv@+Np6t;Ll6PG^ABkmww>7*esPHG53em#Wk%n19GNJ`4W|w_fu-)g=VQ$wUxd# z#mXO!`hMIid+_?Qqua}lf8X+HsiMM5CB=_t3x7VE^W$FLk6UXL_Bu*69bxY5%<p5_ zXQ6jrTBs&BrY1X9{{H2Y)2A`SD~t6_dKV~kKSm^8X6k$1_VsU13C>Yga<}c9_T!n? zgYL@@tG%1nukFoW`}lFS+0RR26TElrTs~*YoSr!XMR%vm%$+l9PX8RC`*S#J3N35$ z4dbOH??-Jv{=Ma~cXGpeLGB$(y1PG}_5FDC?1#Or`^-f580_2X*Z2PA+K)>)4|)E4 z=J%lc@WblrpSO1Xxc1@4JpY<Z-W{rUr3LTbnWBGg@xy9k=O4FhboOnv-1l54{?-EZ z`!ZZLh2}N+vK;ZVw;$cU?P+z`&r32BN^)Zb@84N|Lj3vF4|^MfYjT(I+>a8zAKb0q zD<E_~hO4H~tR_E}BYw8}k?n0ytJ^*<wN-d|t?b9MeXjc~4EJrf+_z0(pXvGT_b1sV z^537sRa2N*ldn{hn^%(^wNG6-UW#SXyOW2_uRr~8v@*FS-wYgx!TXj^ce1T1*8XsG z;m5tbAGS{YxHk6Zyo8!eL62P~TKjhTwd<c#eq7Bw^T#b4)%#K9N56l$_2Uwc=Et+8 z2gKEnem7%@pWS(Q`!(K=w!3RG8FyTq^lt6Tw7#98clTJBZ$9SxGVR^Y%7nbYeLGny z4v0Tb{duqBLALV&zM5>un)Ay0wy<y<xZc4kt^4xUoSNdheDQO>ANl?*>(kbk2htjf zTGw{GZRb5@KD~ke$D>6*?g<63#7nc^pCxera)*8|gK5;IwT4$$Tv?N)x^Ncz61FAJ z46pvVGU>-NhX>uaKkbz~czxTk?c1JIJAGWb(!qPza>4sC*PnKOURRT^AQ~?{&G_6+ zL(Bhj+-r&zKOC)$+P7Wq$E`Czu6_A2FJ#}And=3)O`<L>b^Q5k)sIITYVvJ9Y?WMa z`%(1j$JKQomu@V`Y{&@OXDRsOk&VVabIF=qju+>CT>JE6o{q%DNt<di*%zqBDoZi# z(Yqg$epK9jXHEVxj`-Qrk8V#p{=MXpH+Rac@T2C(nd0x9v3^{w{4hKCarEm8Kjz(& zj=#mI!m#SY+13w7TR)!qaqoa;eDw6A+trSL|8nQYCBC0h8y$|Bt24*XVRSItw>__Q zeea}?Ya0V=&d=nn$ux~u7MqZ<Wyuo82<8bjMa^@RzdqoS44<@fqFG)__w2|c+gBY= z`?TTZhO--W+2^fz>L9dr&d$Ztlo@wUV~(6-DW1;fdhX1`&ALUJMGrcp_<FN<_#f$< ze5kUnK&?Qb;HiZEzRr!Q8z*kl+ctCMO#xG}?LueP>J+c;&MP}AK3}Xm(_GnHao<fJ zwb{KLxn=@Bra=?36{hm8Nm5RdPGa7jlOQ}>GW7MaD_+X!XKOx1zTR~qOW%3f)l<sP zCNA52a{0>=tGU$~sT@&)s@FSM`K9eH98hfI)vh_g$S!+f!j>j(mIU4ayPwzMe#|re zafvBmQN>%!?4^@7hpAa+>s#Apr%jGxJYZzX`r}%{oZ55N>D`wZ_N8;p;?LO3XTmf? zIfMDb(wU4KwDv6HNZ|jlOSi$3iB-FS>4(%t2WDPrP~ps;kdfHjzC<)eV6#SrZcbFB z?~~cpkGi`LelKh4{j@bw=YG`EN7Yf9@lrcf(z}~%AI+Zq>FCA>)y1E-T7Fy`_;cR2 zlhfI}Vx?6}8zO~uCe1m+bU@Ft*!aWD<WqZHo=kZVr(EEcXR_m9j-aWTT6UK2jXBdg zZnhme?B?mIpUouU+Pp+G#vy95OHJ+q>HD*I?_b{Y&|A6wht$S`Ll+jZ@=MojXyP}z za)CMcw58FpsUOeI+Nis-d0OuztC)?78%=Mnx-o6(vk>E;*G0akXFf@>TKVIMl6BCL zU?1;CA4;xSq|b5pTQT{V=Hn8p#eUN1J)2*;JTm4y%ej`_m%W#BZP&KuY0cYO(p*0~ z9(D|NTD<7vg1ZYmFV32gw$x&I)7&H(z8ddW0Sz~%^iHzMx!HDOT24StcMx|Fb5Ql8 zSyLvTSXq+va|-9gzX}bu4sW!kr7m8gBC>`dDU64iM^~lx0ILyqg9MMXYH7lzy&E=e z(7frk<l3Tbi@qH;RaWl_KKk--y5P)ik#~tvLhUm+qk05#1$bG`cKdKAE?&ZC-RCEx zYnAnIvCK}Poh~~?&wV_w@PO>0r3QY-&mPeYdr@|1yVN!TGf9rw+_&?txLpys8nGko zu}GnkRp^hNhZ80mq<|c=QQS~r=WT`O9FpND%$>KXmaa%%yoAr>%*E~r{X$RwJ^Ay5 z$+Db7oZ~t>`w0tIb4PQ#?e_a5C+f1D5WAhcS^oB(rL%H_H|J#C<G=nSz*vMwX1=G4 zK%hz7V;SkkldQIU)LnUacGMiHIZ{%)7oMzqY;0m@qPa!q@xrBsXZwoFdk#O2mb*R4 z>Vme%dPBEI#U;;AoVzF^|MKM1lUGlkEL_L$$KS`N*H?J2xclMGqtEW}9N+G|&B)Af zo6|PlRdZMNu1sAyGc4=blqk{5S<zW)(`IQdy_ULomi%>=xxs#GC!e1Aw5KS@@Y2es zdy0zY2G^9#J0W|D@l<WWI+k?;@A&)J9tYeJxclcubN+Hp=XCl12et|O_IjW7^6kk^ z^V3bAYTR?)WQ*PwJt>LH=W-T4(l@jE|AWV6@daIdk7r^ko4JI<luIp*w#v+mbq}vu z(%|Rn7nm2I$8oMyvmjL|Mw5e`<?*#U`|fPIbLz*ppverc8D6zAnlXuVzjZxkCg8^# z@>q)V$(hHOmpD~N8tP8yoir(HLm*RJgU@j{PtT?aehd*z5k0w{vS-Xa0z4EZ_?Wv@ z{k70q+~*_izFyW&YI64E;K{Ea#Yo<fijk5Le|+Z7ojVuqZ2OUBv`u2R@wKGetNThf zAB!{<-zvOS`}#INvE`kYPpON)WnJs?HZ(QLclEVJVUtz_-dyqR*j8S3CNI78oljL7 zO&C=~CL}2Iq&!-|<gPBG`{`omoWOG}bCjMZs1}G=q^1kCyBzztGjylx&buGa_P_1w zJ)L%Zo4u*d*0oWx*U#83pBnty<mHbkPTh{dh5HuFD06F^c*sAe<l2GdT>1)zK4NW4 z9jqqynH=&k5OFtQkm>voxM0pSnW=N+p8GiL&<QR`lY8tXVy<&P<A&Fbv~w$F7U;GL zGj0&D^VT#G?$7jM+rXu<^o*#PmsXN;lu$e4jhou-nVvE%3A_)qpKs{#ewC0K6~r#% zkvw6S!byWmua608JmYv$^rh>evXJ{Zl_xS1bJ%*U8FoDV*7WeU@N98u@!7&sB2m+I zgV$LqwomZ#u9?-D5!Su;5|fItNBjh%1Ql_aiI$&=S{UUOAF%E;-l?~f+hRM@I-YY+ znl?8Sny~CiyOW_XLz?$W(c--ydczL8G4H*Rksv00wl&v8+EhwnnH%%QD;c^mi!WMa zKA1k4EzUAir$$YIZHtMCp$Xp%HfCihW*-x`Ee2*@Ubh;01ro#-1<o`(YN>Us^k>-7 zZA<t<&AeWHD00$TKjD<ChrXI}q@`A|%CnBs?h!@FMTtex=TZ;OJlJ?JQ}Vg?c8QtM zZ%&_$+;?`P?ncFpJ2&cj@OtI0T6Wmf>iOi$Cw~SNO|m;7^L%ozpP5~}=P4c58|+hj zbEZr&+04ywTY=S4Y5If%rfyk9E?kycGi6?Wyx2J>be&6IOR-%+s^~eJM&+cKerb)T zY(;lleyF8xj>);!#e2$3W$QZEwaJ0TkD}&Geq~a)?c+`t?Ts1Fgr)c|3nWM<oYy(v zbf9cwV9+H-9p<<|v!H`&4@{RZh%xphEnc#OAwu-cQ4LK^R_g{(H&1e(yJ1bSb4{UB zO}=AI?nc%7Q4;qrC)8wa6xwGdv~R26zU3V8Q9W(z1$EPcKZwUGi`ktBVCIz$`=Q{> ztLgEpfjcMA<CCqez`iX}wY?D;j2SE6oYEIy<gwY%bm7*9j_?bS7g^(%h}ZNN?6VXL zV2FxZUz2<F)b_Oe2i4micsDb$E?hfb@%V;=%!@V)ef+6vwDyg536n@o0;@>KZ>Hxz z<|)WtK6SvN&yjOg?a2*$nuIFKrbISwYpQeP2w`Xv*ulW2ul?I+^F?+qgC@}h3Y@I6 z9}aK@7%*KvW+S?9o5(zlc<G8`+plSUvUUG)$)o1QhQ>Btxtaoo(+uxh)}JkY82wu8 z=RCEV%s5Wg8|*WJm!IwNpDlZPYH#P+&b58(d251=*{_EExaKrx*@DY!KG}Y~uqMMh zBO{}{CNqwC4U3Ns#|^F-A!c4)Ne#UWGt?NbG0Mq_SFCvG%xmcpb&%ClKJCK6Ha=sC z16mGe9!6h&SiS3j;UY(=^Aes<3RQ|e3QoBr^n61>lJMh0(%S`E^Ao46ZO`@3Ql5E> zU)nCY#XV!;l+5H=vl!Mex*3}-x*D{BSzx)(>fV*Lg}KLX9$n6VtMc%c&qq%lTFxW2 zwl#B$+;Z{xi-H)|a3%4Inbl-GWajmB&N%4I<EdHD$PuuC>BLW|jRH&;f(%=dZ#-~x z@m*4sdt~N`<r#;zY$}+T>8aha;MVmWhs8PD-%k|0KWEbVK;H@alm0%gcA59#Y^dwL z9di4&%kDGlKD>R|;qPlqYchlOxv2}qFHzp-9=^{~J6>k(r@f(4`^==CMteW1Hu`y~ z?uXPxH|c#IPBr~)Kc2l2klMG!W}lm>?LLpP{`Z2Ghs?tdi>Dv{o|gaPmWRZ?t>XLA zTOWEGKgupK1NR^;Kb{TLijU#BA3gP9_F}(%rb_$L1>>W5<vmO$%;}rb=cb}8aQV-b z1J>(qF1>u{gXO0M7hecm&QKFj+1x(yjm*}Mfk!8=&YH8jydXv9G22hG6KTIToSn3S zvuN$F$j8Qk6K4NBy!}$|+K}Yvv%#Am-<r3%@4QWkkMVRLRq30CXIE@H>=E0$_*`p$ z%aaldahB=FEadi0b;z;Hapnqf-c%an%v7Q@)uKj8_EqreyqMX=Q5JT*7f;D76Lp%@ zTyoq*#mT+wh~UfTC%P<@Vr6bF{`Gvtr^Lsz-zHl#CElL+HQ3s!_{j4UT2`An`qCt1 zC${92q<oxF=CbHT@{*XD%WEDlj=8xk=5b7)Nus#qbnQUqpVO4R4{Tif=HabQ<8a2G zXC`Wi-E_I(rnRcKVC|LSX@xb{Y`6S6wnc<-gVuz`oR%h02F*iT?)1;%d^)Rt@|}ml z9leQC=RU2N=@GL!?3~t7bph?eTW0q~ojkr(Mt$Ys<-AGhGtwpp8c*IK_BiI@<UNl2 z4Ay?rU0Lh9uGi9U)sg4DqUmP?BQGrIkeKT^p-aKhGODO$YVxGT{smh&`HrtWy7tzF zP;s+gA&Y&sE-QX%<HYSGU42I^VNRmU?|{Qxej6BnWc+w`z;2&~^geURecSlk@_C;; zu9o_^)bOX&#tA7?t|-1d{IL7W)DO0Y9?xd}{Ns_&k9+%s_n8Is=jZn8uYLCMqv@)~ z>Kgy`{rZ<)a(=S4+H1dW=Vj(6-PU^@_HExR_G#<fs}u6yu4%71zgg+i(zzNnz2_A7 zZ4ue$p;gl#_TyQ^k4Hs6?v?zwRrBLo*^hZPHJP>@Cv+J0t%%ZnBqe!BofB01i>}-F zVIgz-5<XS%prC@m!GFb{Tz}2_As1?~Z>MH_jOhK_=|``N9p4`I^vAq!t@(T|54$%< z*W@n{jgOvwY<t-1AM;Z9<D;gZxZc6KcuBN%@CN1;#WmULmB+u^c-rjSGWpNr?2@>T zODDF~Oyv71r}*jY#Lk*Rznc7zecQC|&zkza@~QXXPo|3!Kbl54a&m<+m>uORsF`@+ zhg|E&vz;H09{srY<j1XNKd!y_(bn5+-`3549#+p=I!XVn&eI=Kb4zP7<Hh5bSnhK# zsVPpCjlUytUs^IgTJruZ$@`c4^xyWZzbG3mqQyU7=SU9M{OO`93l%t7uI@V^w$E}y zi~c#|$JNZMYjTx2?n}wupWLc{*7;%f5hf0Y=%bo_iOuaBPU%j06g@pxCpmiRt!ddN zexi1+y878o5~-}7iU9|?91?WbSpB@!_v6}xAM@;MGQEP9_nusy+y2)2Np|-t$(6Q0 zuPr#Y%((NtWy`hZZBM*cJNa#3Tw#|JxWd6^!GbLt1-sVkp5DIfX?0)pzAX;>+;om? zIOsfSs=u_N@Eb?#ZJPVGt)3-vU+S9V(eE<zFSzN&-(u%T^Z&sD>WxMnj;P>}v)S^& zDM+ZDOF~uk;D&?E?Yx#R4k&uE&TjBO<z_SCAuGR1?TZb^OcfltdU`U{9S*Xusy(^q z2Q#m%h05MFoC-YM3~X{5j+fX&J}~iz{GL?%5zK1X7G>F&*v#&I+|5&VQcmE8LLcu( z6TU2DZohOaOQ0TP1)F4)fa4|3fDerPGs4(Dn<gc;^0jO$(>vI-$@HHCGrOc+&I^NE zn_42vxejb#<d8GFupzNkl2^V&fVo{X&FI91MoHGV7XeK(1*{4tG#=(j+j9BEoZ1VH zJTf*s2ic8l7BCqF@hlQZe8I|eMegh_^Y?5&tv5cKD_z~V%kur3AJG#ZSr+Izy}hVo zQ`CRbv~R_ed3=*Q4y`Kp%?a*kzNc7p@|bpEf6IPDy?YZ2ZJkaoj*NI@UGUZM?Zq>* zKl=6TcL<kr`*@nSuRY|?rNk!-#rDt3nA#rtC+YFTN{?d?qzw7@|5)mN<gng;j{pV! zoj+V#epN^u(^tH5%Gt1P>q0BJq>tU~xm6iQ^)+67xzHUSXfGG{F}q`0yED(Uho3f_ zoY$epKbL3T$4?(RtX-q!qzp5Taeo%*+$%VDMti`wS8pmMQuA3SRu}W^`_OhrIQc^B zyy^^|*$1D;NgXcvvUB;d4YGCb)*AL4pI@EB^IGslob=%qj$O}>ZBT4}x7V<TV_|gx zk9N`XILX5a0XE;yNQgB*+gX0hK(h4RQA3N4X@&1H4SyV;U7X*2(4pvEsv*aV*`L>U z9T$2qp+3Z?_0MY~QAcm3|I21f`tj%w_oG6W3H3of&NY7QTXi=5*djA0>EmhkrwjPH zgTwijZ9KtRQz+1V+&GZ^bHtIxCkk@idQ)WfBnKWZfAm1IJ>rVYoutAG*B>czw#Tv9 zx;(tl?*3vSSG(7}`RztB?|wMh%y~HBv~$UaQwxQ3)Dt7jraoT4dH7L{GGCbGlt;2w zFODn}(M>g;cy^`2^uQyg%Nt{gI<x#3cis`YJm+8GN>w{c-6ugudoOFu*3r<M&HLa> z-~OkiZN3Imj(k3U(j;JBz0a~H2l@X!6)aCAf0n0SaGTJq&7++1M1lLa%jJV&W?ipJ zF0{^dI#Vdn?x~a5S0A%ZsjptmCvM@d@<V1uU;A5Pmze!*KcwcwfA@ECgizLtc%H)( zE;#*9ktl0X+V%YShUHd|?sFgBu&SfFk!LqoA^(KLFr9aTMmgP6nnQH5YMuDj6|T^D ztuWP9ecrrgUztrmrty_ox_l9=tT?RHp~8MxBIna5nK_ROTHBvL;BVK;lL;yEIDYJ) zIp6au7AKA@oU{J8qZ}XOMT;Fr5@l92H}J^)ERlKh_(R|I=MUuD^=<6f|5}uMd}?vx z_(EA3_U{&J9>29*aXgXRjD2f_j*IvCHB%=W=~Qou-5&cUwlO9yenwDd;jSZTos!GL z&HQ^Jm;2?|br%0RvaNg8WwmW#R?_mT-`G~B+C}Z0>~yjyt))NwTS`FmIV&~!g*z4} zKTV!yYpP+Fwez#!(`4c5#JHL-iTWH@kIR4CQxavTbu-y{YYodIzCiOwi+QST_Q=Sm z?>K!i@TbS&dlFtxl6lL`tXB4$-6>q?XLql_eCtzXzVf)JnllgA1swkp*lMd)a^kr7 zyLBaccE<|RJB(^=Qi^>h^mn`oEse8N+a#WT+TGPGJ3vled}pxK`#DV;cB;QNX|Xl9 zd+oU0yFUs)C5|hI>d8Odarn~X$1>%GR;&9<?ifD&6><FTd>Q$(H=18fv71*IzEt^> zV6W{OjvAk2xe^__RfXGAj~_RE_o^gZ{^pI}msEdR9Gg4WaJg#E+!J$VZAd-fs&$^> z$WgVr&IO8kem_l_&bVsN&OQ{gXVb|vkz&EqY0}Q(+bzsm&mPt4TN+S&u`5~qkxj|t z8Qtdm_brYsI_qrFw=&|hL0_`Q6PqJNZ(R3&{&31rLFBBnNu8in@x?w_k29|3W(y3& zzuu_Vn$caEV=sF8u-UhntDY{LI(J#s&L79-1@De&Nj|+YM(^0h$5(X47vCtznAM*4 zN6Tfs-lGqzxwh`AIK2Lrt1O$-jP{a0r<^^D!{)8p&`}!L7XSRH%({nX4x837{`$1x z)Ux1{HcK|K_NL1<ZG74GtYXK}^|E1l*Ea4B*;#SyS<r61SsS<S*=cdeK;X+UXU)2& zD+&cq=}T71-P&k*BDmPU@1W(CbGABddp}&bBb{u($69i}E75Vvo!5`7I;K5clNOtj zY~5Hi@$|e!o^oj)v&$}?6ko7)j^u-+$+`-U9|`RjDVTUtdcoE?SGD<P--!EQvnDw> z;HtCQY`-HmK1uyYPCQ>Y<?Jeb{%#2u<7W$ns>?MvXICc32^r34o3tU){aFe}b%loK z(|O|4t&gm$j*t1oG5rqnW3P^BvI}NLJdKl>Zo7o<*2Wo+n+pYcolW)mE)~u=7F_b7 z%UMlZuB+fg>of(qwgQW%j8+jx75km|!!1~n9&hc{zS<jZzAiL)ee;{l2U90l%a)zW zR;*63(OBQcV|1{}HpRbl(k4mXIKiE}oxaXG5hv|+Dorj<bf>Y)+MKke+m`QU?YVPl zqov#FT-oYSx$A3Xs|y?M+}jw*%(A`Wj%M$Uv&qH%^P+9P9S8_Avp)D%s@(6&sWj;w zsgLuHPrGgQZQhM#(dKogUw70d%LZuA?hMF#xFbe!*3RDK^(WZ#p6>Yl@TqBA=G1kU zUCnm;?U`3#nPKuV>(EjK!}WSTn;R12qGu$1JU8c(A%}ZTA=fb#0j=d-IYE-%d!`jg zo;bErV0Pc#La8HSOCFh=R_ic4zH+LsxOUu8i)C&{v!^F#2JHzd<mq+&n00icvEsbT z>bFh9mUqU?6IkshxYI;2Xs%pQq*F;z)(KTktMwi+c?*~1e7kJx));n^hhceD4b$39 zkzC6wbC}j{lH^)mp|d#DOxk(RqJo?3Z$5Dy-tzj?OVP_~wbm=-gb8l+<J{@v=y}tJ zVR;!(gVA!I7%R)ds8pkNi)SULQiU%b%@mpJy=X?ThThBvejD#>oKUzc^>Ryk>8jKT zua9U7SaWp;tKQ~T^__7tk3DipsM+T;bGi(FuyHI;eA9ZPu<M9v_vFjsX0ro=S|bJg zGH(bwuH`a*{dh~;LE|YQiD8FV@|Jh4@JqijM{2oW>Wvg9Pti0di@k~YEL*kCbQJ75 ze2*(DbO!H3k#<|5E2|8oH~LlHSd&#Gb@<t&)xomgUfEc_O?=;XRg-6S$dsD1XD*A$ zWtygMX!p*^)J@;sA0fuH_UW3{zkVFKJ6%nF`JIzHKV9tHYpQ7%v{RVnQSxMKrP%&{ zzi-PXeE8U5E0u1iv{91jRkBcZ#4<a(owJ*tDo@$1I=8Do@Eu$0j~!3eb@cW-o{AK* z<JuVBe5)wzVvS5v0oU746FYn*=T7c-y<>Xv)5Mc=r-{n<?od{Fw3x5jI<Mx=!~DSO zUnjQO8qJ&3ughcf(x;{*(SPORPl9cG&8{4CcYZgkr{>G!b0;5v{Mf%+Hg;lv!y8-8 zPlglXeFc7KoUl6a%i_dSE=hULo7$J|{>V5kJGuSwW7+Z$D@FN_H#RSFw|iFT`QnJX z?KiKQJCFHJ^z_%fF?{&r#j&}9^78k0yq5YT*td7}^8VaAjIU<dRc*ZPI@PXiqo&fU z#S+z#8|)?(K3_8V)5f0N4o-Gm1}hG|{#e*@P#|_{zsj4bA7|C*Bo=h7`<ZblcACDt z|BcC)OMiA8nm0S^Su$67`>~iik3V&uakVpx57;!v_d$~Ud4|%9{k|e!PP=N<-CgJ} zzWGm*llPpi4Yo;-N?P8EsGg2w*0VC?-50ZF(@MAVu10;bCyO`sB>Pq9Jt|z2dH%5O z@d<x+avU>MtJ7;M%<-Jxog@={==mdw<4YK9uN1A}T-Y5W(`@u2N&NUcj$JQ~8m@5= z-)~VC`XX^!a%7jBQ{kDex!plB!K;c3yOQl+#O!%Iqu)||rNuSnvq!c28ds#s*=-cR zsKbBr2kY{*of^m8n4dk8+5f>~=ZYuSXBhEc{_%AAt3|x~R|Lead3asKYnt(`qw@b8 zvMr5wy*O-gA?ln~!Nzvm3sL7Z3MRJKA1E#Ch);ZR%~i3kabc8r^N+8svnp2{TW>FN z%2lc^v9hSJEj~O)yxf8#L{IN$Xq(?ojwAX;_hz(r@T^)HqPJ}0R-K&^hu5!O(XIDq z(V<ThPOmr5)9d<>9XPvN@lTM;%@~%4;fpNALvQR+exAfNyX;YnOXB8p9M2zd&MwKA z+^zGc%IRd$vxe@db&PAD_MEERabkmDuz2(j*4AT{D^3^)mg&vfurhs3@y3?3Hp+76 zKCWhI{cJEXQPIsx-1Ei}=jn2OA6Ijzo|a_NQz~T3&`oFGQE^0K!PYswwR+n&My|-R zElCQ#sK9^x$5EB1N>a16Pq~_Y6T5!Ybeov!>BzggcBH27eGqo?u87=npKps4*C)zE zyPP!QuZ`I9x%21u!;j_!oUpfDm$uLR|F;O2E6?vs1v`8Hzfqy`<?(&#{zivY_2oXz zTXq~jZlGuSPS$9R@6y8a6B2XM{$wZKkTN)SP^~|Ek4(v?jI`r#d1h<vSo&}s=hjU# zdGoS&Ty|QU^Q<{q`W?s59j*`a1y%e1L>u)6KE2YB9Hz1Ixx7s9()vOhuBxgXhZhK2 zJbp0Q-8`1hDN^Tip`}FX#4}yZ&Nh9HA3wAn*U{taD(q;RwrM6uUj7c{MJ3&b?}@0U zZsyzWa))zP@$6%A!m9Ou4nLAo3;7lPRO{H8!}4P9Ym)!*ZuD@!uwQqb@|{1glWw(L zu-9Lwy2Wp{%;`lc-R;UUWsQXeCl^b}{a<GDYvIzIZ?aXjk3Twp;X3|!lI)#Th0m9> zY>&Pp+4=BWhqYCC-ozWh&Ym~VFmLy~^V6f~_u;rHv!fNhrLEZ!TU1dheI!o8>r|R_ zUh9s@&TIRc)p;fxKV2Z$9W8cBds?c)o5|PJr)ehcb=vrbT{Uz@Z-AWL&BKo$-{(5~ z&tR|CMjckwjsGTY<aqjIzGSzv-KzR@9U;c=f1H!OI8N+0kz;SH`M1(g_lfM!^wbF_ z!oT`=x~j;}sSmU1`myF;>c$x?HNV7Dy&muWReto*<!h_uW=B4nd0X+@HJQrZO+Brb zS5HaR)~I~)K)73Xp3IR=H;$ZhROJhdP+A_P!>stEq&vB5*}s#9tWMtQ|DVm!Yy81} zc*CmqFBkB4n?=avJf7HdDO3D752NLbLk5mprks6qNmKl|Kx5GV7c)L76t3@2^tW5u z+$_V_RTOY4X<GSn1%Y<W6dRU@6MHY)8!j`L^KYwRhw_E}>fwS(z4ewpWmkp&i%2eG z<@<H~=+q;#>*Iay>ioQZ<Vt&2bu-WDOON9P`|C3_XZI?{h3@zxylkg#^7&WMpZHHJ z2^1XPuhw5X>rZvks*vZ30+($R`|G3EMICVZzh%d)hR^&xFK3H<XOV1cJ!UVfHou|n zpQ>SOi0>col!&mmh58c{>)z~nv{96Ko5hZ&8+m3tPEhJExv=Nf#@?XkU;A=rd^nda zciYhS|0{_-ino50A5NKS|9?S@V?*x0vj(Zo-Zy#Jwx`?)H2mas%t%znZ>5h`rN_Ui zh7m2(<Sw7l{&c?2QEJPSvwL*5tG{`>VAs+l{;o-zX7c3iz7x7I%#8W+ov@AVPhEFz zO_IBFTl?L%7Z1d`cUzU^ZNBka>F`>y@`g2e3vaw$v?Rx@`L<4Z*ovuV9dx!wEsII+ zzO6f3$xVBE%r}cWZiVUXQ8#;7w+G#^To`8d`ruoU^2QZY&$<XNFZOw~;nS+a55%}1 znRX|~yjpZ!{rI+w%Cm`|xm9=b32l^kYFu=o*Hv^ucXOo8tc67Z9j<y&RsNgvPdn;$ zCuC^OZVib0E)nujckd@d38r(|^3|y}n(OO${5F30bv$<3(r|}wPdYN<m~R$4A6~6c z-ac)Q-$qT<JWJn4lQhq0OHKD*+;`o?EdD}8=wrzhQ_migTA!V>h@sf(Sn4Ff<Etm1 z71NKKozvIwvFeB#r|$OZoc@NLPm`^;KHb(IxggApd-I)N8%w#0e;>crIV0L(TZrPc z+YZ}&A9Y1LvMt@Uhi_wS($mtD(>hOQ3q-4i$F<&&TKUQA$hMB@*Oj*U?Av2>(~NU> zT<;Ab!O%TSh9{d(trk8#YssFV$h4N%TTdA+TN|!@y31p)+r}KXE2~9Lr`YVBwo#{T z(q-j7#g$cOH~F+r4%a<hWKwx|6VLHU;d-a@ZmbSgKR&A=%x~?CEZ0iWL}RYb>!Ny$ zfktMZPfF_DpYyBG^XS=3`RTz&R$q413)Glfx6w2CPvNVh&v?8}r7>EpeJqkO_3RO| z<ux&08w<5ki&>{#mp0qo6CRg)<4Mrd(31<Mt-CJIwmG2kYob86`O~fK2eo74vTukO z7ga7zH16Ro+?5jCtLe8hM$hPD)KNo2)wuN*Vr@sX#g2m-znhifCR==S{ZqvCRETqC zu&Um2jhBXJS6y-xX=7cqG>5Hk=h?)5Hr3S46OXRto2{s!IlHqUul&YgC(oNY?AvYb zoLv}Z=6o=hzubGp)U#<jzCO$o*t+Q^M_&96ZBTj5xU==4zo2TWvB23}o@!GK&DoWa z+l_eq7B0zgYr1XtZpMnKXW#T+SL+j9l)|}LD6YwS!IgQ1>dw6)x?AelG+*3YobbHA zNp;6!g^FyW9z{dD6|8gLBsTMS$~1j=nCd&B^yw;#bXN7<Nix%<H4bgpF`FrNJ0&{H zvEWgZK*kRp-qkgkVd^&+TAFJzL;n4+5qZ(Y`R$>|3|qyLP28q83VEb$IvPB(3;Vd` zXT3SZE@kI^)OMe#-M)0W_*pB|(}EWiBz$A}I3fS+1WA{WcHOqz&MZ^ObyqpAZn(0d zCcDe;)?*R&x&AfhXB`teW@ch)xNh!7*M*ZlMxMT~p(ZnF;iY4Tw1czTJZcJ4YVwn7 za^u0vdkyz(F)+$`k;T|%(B{425cedfWkM=jKODXKaqrEKTkn2c+p1S{UflhV)HaK4 zOAC0XKRL4TLnm{~;)mUni!VQ}ew;iz`Sj!L#leRkM{|eHdB}5L*!|2hfprU7jcPIz zCTFH5Y+Y~AuD`DTeQtlg?v{tucA(+HDLncY4m?fu{V~s~X2C({t@@GT<v$#n*)N3M zW@Z-*h;H-CnIdwSjsMyq7Xi@tq3X7&D_T2ZlncB3YP!@#4mzAL%=r-T;>%-WlaN~x z8Xj%pF%KG}g!dHjNZVwb)M0Q~J>8V?j*+0pfoA2~Y*HyJ4mzhuFRz(6?}wc1&u2b@ zefoX<@7JEb9(HuQS<pw@qZa$N%zB#L{3v>L#6ET5_**xQT<`eC`^j`stzpf?xF2#` zKb+P5`DhAwIj3VywnNQ%!F^jVdq1h3H%~GC)=R-prdIVoF6|T8=h0r%&-L@!9>;wa z8vC}Z@7tzxKZ^JM<yQUNHt^!^_ijO%$%z7&ZmE4d8~O85(SvGZ-6`wWp4wjawEC8M z{4Ak(WwBMYjfQs`oiAnT>f9+}=ks*)v=h+voV4L=Q^DGpPnn!E!(QLeSh>YeV9v)) zY7KfZhk33YI>PJl-~)Jxi2?JOSqg1CA4`~32>hLJO=+$~#*2d)-ZzB>5;7E5vg?0Y zz`ks1W8^+ljeY9V^m%KFns^y@9^3A=>7#Atk81_N-jAbZf9~<OFf}p#bk=i~W&VYf z3trM{H>Ml&Y*w$(KPO@LR{GMd=0qtDx9Fhi2MSI6mNyg*XLw4^h<SUewa|};j}5fE zI?pgKwZ=Gka-wzeY@V~HXI(hE;@}3ywygL~ChHDvaAdm>cJY*9&Ibi2!POqG3r@;s zL@2&=X6IY1>wO_;Lz}rkV>6F#!GVX}i?wR<leyw=3*48IIPyKl@Pv4#$dhW8BjVei zR4=xv$u+OZcCG2nQsBM6xh=o<&<9)pti#jGx&rpOEw?x#?y2&q+U10~`3Z4%?w|Ko zD8@_kDK<6*sQhBjbSW|UW%;Y|cO&!6js`DNmB-zi&AL8BMhAH(?RvZ<QPtna!`mZi zqO#!$!O$s-8;X(@@BCmde<Ak5P59;th1(S?%$Qa+daGwV7U{j<qOe%;7N;3Qvb>_& zjbK#?n==Z{{4!Pr4L5~X$jv%#nDb%7^02Ktjy+HHT~JmNWOMD%5si2m{`<H2;-mTQ z&*Hnkxm}<4OQ7$MM{YmvX>rC&=RS^Jty<%6p1*2Bk3{DZE2a5AJf@ubS#Xa3U0-2{ zpVa*fdFei#BxyZYUiCv;t?WGYx9i=XmlK*39h6+u`})YIO4rRvQkkNgH7EF*9ZEg8 zaK}a0?W+!jIo{BiD-{~NTK$~VqaNpI=f%Z2feUi1J~T25$1l<EdLKCV;q1qsjuw90 zTN_=IYgLo|nD_qXOCQ%(cGaBcZ_z&+y`^cIsFk7i6;164CSeNMYc_0cy2cf&9T1s# ziYqAW#HQoonZ55PO5Kl<ik~BQKUywcTJHWW`TN2#52LNErS?6K<omdEE@Ql+^{J!w zPTQt*)Hl45GyL~=<IFujo*xfOO8xUX*}U;%fB)Vkd6p8#H>gfM?P$?vxH6V+pWzzM zzeN*z6a7|AZ_ehKy()okm0{1SF3XBz8#GGKI2yNku1w*ZW|-rpTRfpBF=W-W<^-PL zpo=npl4eZJdbU9P@UB-gn`3xZ2i=s}l5}Int!D|MhfnOVypb9)GvK_l>9T@XF*y$l z*yeWY$(+(CzS!BXAvx(I$00u1i!T>SR_mO*;e4@lV!NyMmYiKXQa`e;c=AZN+irng zQsf=R7mLK&UG}+%f46A)B@lb%@dwX0j|F);!)@Q42q-$|sMvP)Vey2v#8w>+$*zPO z0>*AKF^Rtgk}ZxHKYcXm=sH>PzZE>r-sevp_L{LIv^A2&PjBa?Rflqz)axzWS95LF zJLRcSt+&a~=%#n#-5X^$&9;STEQ~hWmc?{;*~Xn$FIFyn#Mc%r>ejUVQE2aOyNbM} zH=>h@Z(N@EsI<r0t}t%v8`HNB!dk3_;^IE;2!701Df*bt_w1(c%g$s^R&~gAO}xOe zUPXsF>h#U&PeofC&8Fz2+grGXoO{(3?6fRJtK+%|n|}e<39|)T=PY~>deSuN^i5X3 zzY&aErWxPSl$UO}R#Z0c-$#ZF+0`+^y<yvoe^fk`$dKKbvv}T(B*~u~N2i{ek*fXm z=Z_Vs1)jd2ZXHsYsGIk0&!!ET&F5Zo%{JGFtex@4@%IjfAivEr+Ja9*P8$lvERXK% zy<zpV$mht^VD-L>3sNGV&gfYetbIHw!g6U*&aoN6YRB6;1clT%W~gdENtUwi;r$fY z%X>_(HYT}#VP(wv6>{O)Uek<4=e+yKo1vSoJ?Bs%_m*kK5_66j@@$!AEI#MdM(!=s zHjB?W_Yov6HfNF{A5ZthQ*vF)!^P{~cJ8u$96n$A&a9368Fv<a%+_Mv9#`{uPTt0q zOP_~y>&~=Y9+`7Gz;1a&&e<cDZ;O0RpVJgQzV3nL+(!}9W=ykQ9w>8o(z7dl$^Kb> zuPxZNKAX~)9GKyE(_-3^voEEN&)H)c`Zz*#&SjIn$Vl_$88VA+O*(Y7>9Wx=#<fq+ zY_ep1d_&}DG54`+U8{C(eY`96^$w-GMjtscbkoIl<R%GS;F?_<YA3dFdDEl~%HRAF z3LQOfym|f0<49bWF*|GI8RkWn7AF?Tt!Y>EDZLQX8hN}_sB^DalzQ)tV8;xv1<|V2 zF;iO3hJCo$J~^ZH>>dSO7nT1_JFcw^`)6y|voh{yd+Lmd`4?Or_-0>v^N=~o_D*7; z)++`1$>GXZ4%(`%b#mn|-myr)(dNy=Rfo<WmS0vPWE)dtyYcx#fy)+&^P5Y3o*T&4 zBnEj-sqgUVO8lfh@#NaTSM!x7C-S)&_H<9vI&;K8ed-*SwOpIey;2dVR+u-(C+WS* z)sq_K-5dP8ZW>>Vog0$0zUA^sWwZ6O{H$(nS+s=9xavvDWZyNn4x6t2RM6^la@S$e z)vrFBXxUYH_Tk2pv#(39^Iyd)zqRt{!@{mfTiaYMrnb4;m9`z%d-XiD?YNf6g>0Vw zkc|1^5@L@p*ss_B!@H;~Z~u)`56pUs9af7bbGv$4AKP|n(#E}qcIhVnb~#z}?dy)W zNdYHk-L_sAGp)~E%li0YVXs>j>jHITlmnyBy_geiDYyEK^lh!?yz4iX36`urCU$n- zZS8MyJA5B1t5p3>_UB%7-Q?Z73ca|+Jq)(nBi<M?9&|mIJ>6Daa($2=!`7!f%-j9- z7}q|{IsBGG-SJ|!TzT%bJyw;BWqHeQ1UsE9n${StF}opb_2D=^uW80NbUBan?REOe z5WDcU#<x{(c6dBBIDgqywcBKl-m?uH%_m(o#ilONbK7|1@#kX0ZfC`WY2EQUd7SLA zEXl9bFB~;kR`bI~<w*f&&nJV4t{Me=X_94a(>6)&{diq^wn5gPr^nK`yyS|0v`mZE zvx*c+ezHisTjR<eIYZ_Zy6N*e!cE?N%7|@A`pBhIH1Xsj&VUm~6~){`cD^|LNK|Zn z@SW7ioi`3EO>-9CXyIe@e4#|U;UAeCqc;;~98#Dvue(I%5kqavoW~RTD^d+k&h0jl zxyJB$L$|9w|K5lg=SPZ4?GegyQbiT2S(bO0rs^FkjNp(dGCZx+nSb%HA>Z5%AMv9* z=5cb``Xpbx;QrzfXS<)S-lM{b)R&KB+uNONVxDZ^I_;_`wv}P0#PNlSO5$rHbPS&; ziuG5mkV~7nZ-MG%S4%PfjvX9_7EYbj?9Icy`1t~%!(T20nSCyp+_GEr%BeKXJt`^8 z7qn)djFbDskfFM8huR{QnW?{1UM-oHykOd$O}$Dxb2d)#b1Pix<h60C(sGHIq-zGA zEvL^avE98OYo2*yi(}=TIf<X!JdZr#_d1oPzDMT?(*>>B6TS3z%V>XmoGN<U<3~m2 z(T#$(adCw{EEA_k_FWYCRCL-<(lM^cQY`s|x9;&YkG(BLIUZL|YaDNJ*gK_2hvVm$ zpw49PZogw24{KOy9%q~U;)%>}Zq<n~Pc~^hKJBU|cJsofIi)Fa-9H$ww8hwL;|#4R zx%k|F>fxveHrJDm?9De6m}Fy&d;(QV1Uh6F9XTknZBl2mMvlT3o%DO1;YRQF$ghw7 z_TY`BMCuJW2lkmf-di->bW0|*>{U8?P;lD>VRqLW-dcQZ8`>j8*d1>qO>7Tdm*Aqv zKYK@+WRXDsYH=n0xjX7^7DaHKo)aK+-cj@LhcA{dQf4eP5MQpdi*aYnnxw*>Mcs-r zZk<{(PMno7PaX%J`TjhKv)$RjP`ogrM)=o`8%L98t-s(Z!q+de)8kMQPr*r7F|qmr z+mMuxp7XkuWbQF+*X!HR@s)E|#-W81{m;27@g=J4tT_Cr=fg2qX}R4U)-q8$i!OE? z)!Fi;=Sq<T_vsl|EF)4QWTS3bdZf;nYt24aqD=j~qsHM+61ysnDhf^Pbv9Zg)t>BP z!neO8MW#vcw1tTBtU`%rw~IHn9hP~}-yO#HyTMlPRKX7R^R5znxgE(ocC}@C=L$TS zWr{a;x>_h+KB_ABv&C0@sZCj{tDaNk8X>8jGma>W8j1Hu^aw91>@!iGo%mqeNv8#& zX59yM#mi$|{kko_9XeF;_mPCm??)!x$@x3toNqiiR46d<xTQIt+$W9a%*7WbxSAC6 zRT=JOzGQjgm<Yed^9SO=*3s<65o;EyUU!xjTf0x5{i=n?m$w!i#}~>g@Ml}7xOm@O z!@Aw?PGRZYpqs@>jkmSR&F9^Ty1Dt$p|?|an-}J7e8c<jz+2&Ro0vOxH@%%aZ|1Z{ zYm^6Wy5n|p@vZ}Fr&!B`Zufc<Tlui8)7qjaZ{`i<OO3bH-c>o=72EjT<)q?wv75?W zf0z@euW)*&xydzTS#@QOu;{D%9KYRaf2kkZ7C8BjcjEOGpY<mk7vAFYxxd5J(IoEa z4!^)nr<`TPmQJZSacJ>W5&p!AE1#BHcpO_OCc=K&;*8OYExpH=3mnsw4p*Hr<t#_R zu486VshbPVtdxq@Nb$RTBW<dsYw~K<=U;l(PPbg1mb3qYrEW5}+Od@~*Hw-D9`7*X zOrLY8FxTnDmtOZ3>o2U7?hjmiO;d7qK-3+-o6b%r<-dQCVCy(xFKWiA^55x(N!Arx z8?(Ns()WFLpFCbTNqf4$345t^$%o|rFWQl3`PqMhtBue9A2VkCc=Jd4iJ-}+_5Ck9 zm(|z#1YY>*eqwQ_(*J)mrcL<l-{CIg`7d+kIfu{u6OKA;nQ}I!;Pex}Q|`~^^LLk? z*>h>*U7?S&4lSK;_Wx6a$rsa~?MIRXKAf}H3U-)!+}5S-YvafD?Vf6d$M(yrZ9F3V zzhK4|rgcBnQ&+LEeChAZ?oeirzoE5+@6d)~4NHl`*8*qC%t`#b!OG)sS<sjB6A}YO zUoYU5KDnsAooDi*rx({Km#lBM*K+<|_ha)bzPrJn%2^-Hn{2P6^={<{cK0KDMgJY> z*>U_({0!0WF+aKwKf1?VUt}ZxJ?Y2d;-|4n)9p9x34RjfY4as-qPJySN43JAQpXbg zmeZDt7F8?9Tr(_O-(qj1{%+=naJHj+h5wydvSa$8`;z*{_DcSHx23}T*wvRucN?wq z@Y!Sh_}AkjyN%a*De*B~mwnjPec&obXK}$>!AEn&`vY9|{C;GZeZ<;8ZtEV?=M9e5 zD)(AH?)a)(#cz|;Si#=!pHjnC-|(u2xozW%&wZV_GN#iTQsY+GCZ-))tJuF~mO=2t zEA4A%N=LRGoxO{hCo0#LQ7<!PE~8#*K=dJ<JH_26zMY+YTgQ5r`_a4HhWi^*1CQ9b zH=Q<6y7$)LX45N|4X&W&I^3V+C42-Q$?{Fs`C-GUcQByZM0nyJ=A@tr+rRd8A6%#M ze$$N{p}l*!A6?=+>iNLS<@{dVelxDS)tl}L`|VUu&1ODjtG>)vVQO{QvXIJmnU!lk zeyrrSVUqmxu5-22%JR^4O-*|)Cr<KvCo5R4*RyB#qgQMv>}O1Aelb^gvci$&<z8x> zt%2`X#4vaI-b*ahbUJA*X1366MY(5=lH}rhrZ;^aJ(vDt+8DjP@uiT!#mon{7M-)U z|5vc1Qv5`M9RIo9PHf*xGVg8N_+7o^lV6|qB8&Gget2(uK3A^Z?#rInkJq~|sCN8g z`cmkw@}u=$6RXX99tkdf_s}47#RXgWf2*uqzBl|htW>zZ)!tC|-N6s$$Eyqd+xA-} zEvi<Exzt$5-)e6?#b#Ek@;k<GIW0S;@MRN@IzPBI<%P|MjIWxzc;wdqxidLQ`}wJp z64Oi<E=d<VcT#NHO3v$hvNrC0lvw#RaYJ|c)6#ZFz2s@(GG=>Qa`S?32tR%l*1FeH z``=CjpKguE^LZ!xnq1uP)aKZj^Uu;ScJq@3Jk`gq9+dhvQRu&bBwOnFz1nS089tvn z^u?>^vSQgRt@Q~$o1=D=7JXM`*`9HS(XlZ5n5yE&b8jW99ZL4}6`tvLE%G{IsE{!8 zvfgC_^SHAX+m1h~uzf5sUuJ!@%xmXoBHfxZY?cS*+*H`*abj`EqVCq1<u_tXo=9(f znv+xfal+eav%5QTb{;bq7ryBhSr|AmS3*o&{ASleUAv|YvcfMO$%m^q=`j^8l`1iu zkUe!#cax2lq<E~%lS0nYkA^L0b)5K_Z-#`tP*j-ReZl5WvasK*_PU&7fuA?@`&vGp z)n1cx&hleHf4oM3&6UUDT(ce}%Iy#NQJHaK*+P4M?wd9&FBBDLcktNCJQ45sc){7R zu62Qy+@p`#tiAnVZxnBS{Mco!ry#%aMlsW~WU+GdzM3mZ=es8LN8j1o_;F(QUPXVq zvcltwj~&;o_N=IRQY5}K`j^B}xv9sWEEXxZm{2n(>AlzXC(5Gb1-m5VcfS#Qxu9mv zqjm1fA1|J=cg4Ho?yB$lJ`{HDmAo>|_>;15d$8T74eHCdKW}W`YdNp4U;EC>3%?>x z-0eU2^09b%SjKgCgJm&SP9L_e^L+3~xO~CZIkpd`v_yg?>r(yvZv^$G`32rE^PIcP zK;x-vY)X=W)<svHzEH;!!|vqRcD<~^9<DjvB{JE8cVpfZ<+!>(N|da&UlgPAXu5=| zc;b&#)zXix@u5C?>ppOIA91#<o4KIy<H_|Bi|4hs=LmTnII48*Ku@>lpC-rTn2<-) zxw#%Mni8-3qPsh=Zezp&SLJ676WU|{7#Tf%I7L?VtgR8-(+fL~A6=lJ{@?3{kNlN` zn%(AhlKj3FDaJ1nx!Udb?Kx$z_0cnVx$gD}f0&cBoKNo8xh&`NPj};vkSB?J-HK~$ zt|S(on$YYjqvus5&~;SGk<ZA|XG!UWQ%a%JChO@e-c<OZ<G4lq<%1ex;SDByY9D_* z`(3nQ!ck*y_JcbnaTaap%bpo<+)-~`goljClY&#Tnqzf@J{1~FIP4&Q`JjSW@D+=W zBg!%c=Nv7UmHx3=@-V=?|GMUJw#Ib7)ie4wn#S$4NNYcqEq?q}Lz>_68C?@Uay`8< zM{~VOj^E6WuZ|j8EuL~+&}^yC-{RyzkDhuPAE|{)XVrTx%iI*VUMG6dlAN%H>z4a2 zYR$f>vEA*>$sdPgVp0rwbzNn89vgItzj(mcZtEb+E?T*U`N`DI<o2dLdWAZISN0n$ zGZm8E@~EJ*yIFzf^`}P(9EbNju!uNhU}4DDXTWo&w^@a!n)&epj>G$I?AU(Xz}%nj z-iFC1c7&fWFpckQZjlLkSh%76u)W4VU89`rFNM1g#Z8!aT$j5#&c$ZC?wgH23tk_R zRZ?5u{U-H+Sj%piE2q*P&b}@1?N~sNne#5uhv(R~Zo0{v=f2~y)7qSEP0>Q{maUk2 zwxA&T&|LAX)XnmDW)*~YMBU_Ri#B-oC_sDm(g$We)@oNyrP=Hdef*Apt2cY7gjvRM zd$G2UjJ`HG4?l9Pc$CP~9~~ki^SI!2`U}%Ocg+t+oW<of>R4qQOB9YeS5a{&akd1% zw?z!I+h6JAtj9<9OR04?`22G;{G0gvzTjp1Ejo$MB(2UqoX|OG-olw*i$1lahHv@8 zb2exCWHqlDwQBPi+hecPsil>j_7s^GdGV96;pP~>WgFgjo%R%)7CP}~X5@6;;#sL- zZ)S!c*rX$NRx{z|G?V!asWB^b6Uz?0Rh)fIC~e;4XjiuNHGde?uK%%M^4auo7gNl& z3m@5M*ld0*V9>ejxN<^J?~1RiJLUwo+;;gEcf-_v6A#Z;)iZI+^?WjQ6Vq<Xq)SS^ zerA69OhELZGs#suQZ}%ude6A2@4o2`=Zxe7o7Na?Z%B>4GR>g7Hf{1Hp|r9y+0%R% zem-|<gJ{XQ(u5gYh9}z-iUh9gR#fBe^|-fpL!?VgmHXi*a}?ii$$3|>OTdSTtBBvM z*rxLO(QU0ip5Nt|o>8~wa?*vC?T_bjG%I}B6a4T}_l)PV9MfYr+$+4fX-C!d)ZI!c zpYytPr!6Zt$l;Rg-_xBKeCE@;HgBcHmv@VpwO4rGn`>|*WlGiaL(ha&`_nw%*X(%h z^!8%Us><t!^qrHYmpjFn_4>b`mt&<{wfx9axxmxbDtg^LKKCqdh6FvnCsN;LBlUjT z5A831cOHKl=rN_*JtvcASNjn&{)N?^IbU^lv8NWbOsNjsX6mH%eaek{0VVyNue)8p z&)RXQqA35=m5wRZA<KdvOsNiC=I12veO*j)L*(~)GpuSG-#LEtcp_Y)e>z#vro7Qz zs(N3OyQ*3F!3T3!)gLH1;qm0|)U$Fv@3+O=*4TU4NOJj!+JwvQ9#0$#VufG07OYG= zVJ)flmZQ@AaLU|^Cw2>&O=Z~2vaM{{p3_Au51+DDn{M}S4%=<3z<aF)oz3U1wYC*3 z*)#c(k^6$#2fm%_C=c}6RZ+#euKtkH`&BzOef+Gv!zTHK{tYdmFTyt-DSqK=J#F4} zPqJ{Qn$4GWZEqJjz4zJC!dxm5!`K=8zG8=rpxNHdkA6KnVJ)r3tZBvN)8+ATUHeJd zDF4P>9+~&kVwN^ks6U;=-&G!<R@xF1-}shC;C<hW7{^CC20xu%-<`5j$V=|*0cZcB z)WomSGlX{jGSxj)D&{lOrIOcYc0;EfbGUe|9CN>S9UpVQ<38*9#^aK?_x^qiKa~BM zZ-S%E+}Y(#e`bETw?{c8z<*|W#+$c>pY?ie?b7e9+<3kH>~7O<ETviZwr*taIJw(= zUF)np&5yG*=2VFv|0*!2y!g+;2cOSP*soZ0@9M|pP3Lx7|BJX$*?v5}&-(qRAD1sa ztlWP5exJ?zA3q*ne12E4-bKikZL*@%>hc3&N9R|2|C{5qyxJ+|A;&KBBlkm=zlam8 z51Vku_>q>nPf>r{YZ;~QSu^%1o88@=_{o3!i@3@AwS&GF&9G6?+qwO4$|A?_6+em} zW!|kajA*{C|87ITYumH?bl5lRRQ=o_GbL%GcW=1aI=^#!u5Em}D23B_;&JH&Bi^m5 zXLM#i*AaHk<=o77!Pe^Eq#mbxjvH4lcK)o_ZfjqCPqgr->yuCK+P#&1?z!&daXh*B zCi{yxk$z{dJJCr(ZW52=rmgN-vps>k`PSl_CrXrW{9$|{%Q-!>M}|Y~FQ}zD^Iojs zO?Q(od99}{qQe{4@;D!Fe9e{BpQdmvHQ|(q`)2<mg$V*j=Wb8f-5PZ>r|k1d{)$i2 zMXT*x{%k#>wcy*+!*R1z{<I#N+p%JH-JP8$3x#{F*DjwOd}pKO0bdPghEwt3Z@zMT z6c=9Ka5moljqJnqBJbP`?*4ha&d2?6npAnXY|s8fJA#uI8JsxAlIZp9#90Y8Z<lS1 zTQ^Cv<QeUBTb*OpFuiR}gQPzDPqsz#rYGi{p5PN_75S|x<kO~;o5WHkPj}O4cGRmp znKF}GL4UD@+ldpW)u#0(RGmCxB<QO@J;JT&*lF=^3#9a8Z?sI&Gy5t1<?GHLhZ6-p zwmPbW^C>6J6yeK@oWWrbH$8$OKW^iWW}(MhJ41IAM<2aA@y=SI_6O&4`KzPMcGy0Y z+fn)U@wuL^>&EZCROrSn-LaVK<F6-?bECDtE$Pdf9>G$ZS9_z`>15HdL%Lk21@vsM zJo?x-^>9pt*wvpqV>e9?b>Fk8P<+Yk&sj(BP3$}WR;)Z=+9`Q~66qsx+}m|ySfWl# z^2V{xY@Tu5>|IMqLEQQq#*g+^q$V*v@hsZC)`)}QF4xBAht_gSFFZZ#)v>!ms)zOE zQd^>JZmm4HTH(FI+12vp>2^xzCC{uX;+~PS_?f=hw(#VCS)a9%13dfp|JzaY@yF@@ z*VA@9UT?Q9O6T9B#}l)T##h@|3D*9Zdfe2D_1~f7C1Uk2KSq4rl^oqVN#A-~?39|T z8<#3^{p#*pD`j{gzBuP{N5j8K$=z*se?^Z!yB7ZSx_GtkF4_9TN|yCX-<BynTrXkg zG--DGo6MI>@{SdjFP~jl+-<Er`4q>7U)(*mYTos>hMX&E4R1a+Iuviz({lcVugkX` z7CSYM$I3gH@4Qhgbl|L(`h(rSw7d7Jde!^xWVy1h;l|~_mi=KCoU&KWI=s8(b2sL3 z-+74_X`<D>%Y^hF9RB6qZL4bcuP8}4;CIxf>CGJV8w=K)@O6B5!er;kV|VA-nXkRk zoUCWa9QSAI(YYf1`&ZO3WyL$bdALCDU)ICx*Gq~g?A7zi+g8|q{McFTck@;hOzxCE z@JL_p-MkZjQjg3N5votU$$VwstT(B(-v1UQDh0@zi&nC$<y|TiKXTqz|6AXYytqgP z-G5S#`Ld40NBwh{zJJae*M++ORy|bG*tPS7jNhF#kNr-}c>YYh+RbN2XDZX0AKg8> zRUH1^GU8ZKE4y*~)F=7^@2*YRk$L>C5SRJ<h*rI$@v(Pge}0@k)!E@~c89I5vN`uo z<HJv$NtahK6cnE_UbTPEjmfV*UZ3jf@V2|dR%7v;*=8|Xl`}WGI!)XolN{`Q=d)1H zT1id)tr5?zd^PG$^_;h7PI6@b>gh!~w;7+N%ABs55TXAt;@IM|r_Hwod6{nuK4o?c zl<hLV3iT!j$LODrFmrjmRPuC_OJ(FSBc&(Typ5)DDo&4+E97C@`AC&(H(%d$b(!Cb zA1~!R&h)k-@{pmUW!$6!o(UE&o^sqaTgnk^oXQbwq82B%@%o$!&m(EgkDdzb=AHTI z>7?YskUcDqX3PmX8Nzk)(Nq50d^aC0<^Rq1@=+?kH2=>>s{Gdck&jgQqIo$VKjl6C zZh}$VtpXi>%g3p_$G=Q4jJs68<Dc>TDbHo2r97971I@qQ*dz3Ky^tRN-XEu1PCFU> zlX@s5*!oeNb9!5xwa?C$ynl}pS3RA2cnh~`yp_-PDF!}^4R%%@e<rr;iJIK(D2?9z zPCG(e(*LL)N?mlF_p<)NIr|G{R4F>nX-V#8ESc1+n_BbPXri}<g}&&{9wqlVF^?mp zjgEMl_N`U)oa6I&hIG&oPm{ilf}C@0l3%->IcuaB+psh9m}+<7nX`s^@hv+&kEM1S zUi3896D<(#RCidNbF5*uXAEOh>Sne(C7T4-Zo0{oCuhid%+Y*jOqeA1-JVCEPG!7U zHqBc{dExA6y|q25d2NM~$tuOcJ>C)`$4?rW$roJi@Q+G0X1|kT#Cy?KLvL$OdtTbk zGe*ZMcb-@}<>_akmfF<cb7E4G-&)Q*vF+EgKSxsw&Zs|KufcZok7efxL;X5GxuP}P zswbV)+5;x3q^xdzwO(U(+OmI>HhC_4wO)O8`ijZ>7vxNP_^Z3i(IBe6RPu|^Q+-Xg z!!^<MzJ@=ZzS37QyBx53|D+o(!Y`gERCg_y+?1o$?8mlgH^*F-l<<vJexEk3K4xtm zykn15Nl|}tD%adAk8eo0sDHLdJ0@k-dEC&b*zaE9&Xn_>)_MzHSVkUyI$6d%e#V!B z&sAinN2YO4cJvWfYrV2l^H8ejq}hr*UK@*xTfDVDx9Ey{ok}ygWAbnv>)hF@F+mS^ z?mVO#Hh;EO&Uu5K+-z%0-2XN0Ht}vhD9UKQScWkw)%eyEeT{bvTh+KvH$>g+x%Gp) z&sQ|S{QHe9PD}GXZR}jylW667@~n;7<|`J9A3qbgzDC--c}LRH9h^s!g=Ng^ci4ee z@-)u2t8rO<^RUt!bMwlIC!e1$<LeLobLU8+A)jy2=eGTh>y5X0Ui#B|?AoiT$1E3o z+j?wU*Cf?5yEMNy?3GBo^@nlV+>g8JnCe~agxMP%C5+!~{BYxIiGJ^17q534KZ-k@ zv9<iS$!1M;>7TO;it_vI73ay<+qd1RE>b@h{8?|p{&mLhU;UVT>F9kiv-gXBTz2xm zGyBn}zWL=9f4<KA%-3sg+HkM*=WpXjKiDSQPK#r14z;LzyK%uot|QS84ZF&p>VGJ2 zIc=*txn_^+_X!d<ibZ$3H<dcN-${OSsVAZ||75A4ZqfSFX(F;k{XJ)uBi}V{2u&*V zZ#gMECFDue;?K!dEtBR-_Ip}s$;~~Y``zh=Ua!)<pBrRb9^K`??ILnwucX=ZW2WEN z#ISuVyK%0vD8J(^hvK%HV`AUe&2ZWHb=}EtF4L={e6%KQ5@kx+^YLBhNomDt<<&k5 zJJbqncCw$4?_FKp{O4rGr**CNLW_FJEn}93?dg6f<#MJlxI=qNz)@e-{$Qm!v#o8) zI#d4zC3Bm8oz$1?DI|L&alb-gK<i&+m7>7T<F-zEZk1=0?JAEXKT{IwE^;yF+nS-d zt+2u^=JAG}8OIh%e(Z6!*dlV@qDJ`HjvG%ua`$zI*i<b{wKUH6{^7d%X`(*6g4o_q z8@Ib2T`gH2Z5_9G$7-X`vySjBxR$l^@qx9IgB@0{O+4?$v%dRIYr^NK<MRagkG>W! zj}Sc_E8%@~?i9AX#WhV@7H)gqZM?qpNVa5oMb)07oz+dxL_4J&*1j$J?sBqdTVu55 z;)XE8j>B3udSRAVoKIIBkClviVb*QUw_Wj0uf^xABZ3RIITf-Wdr@{u*5l)@V|OQ< zdTctuS0*BE^^P~2{N6N5uJ@8*a1LzFYrHWzDffo=<;L5}VU3;P2Jg0LM4l@t;65~$ zBkOcz`%?GW;cCtew~C(qtf+m|*Sj~7zn494Vg;)~+~FP8AA|a18;XQZ%XRs`Tr$;B zz1`2wP=o2DxAtkj4;7V9BRZ7z=SHL@omnkgo;PXFl1)5Ed#CH<IJ?IAMT#9dc3SM4 z`V(n`A5XYH@kktz`ot~K^5~Ji;k!i|k%w}&d{UmAm$irINtLVTO&y(&M*WEfABFm( z7kmtwu=?ujl&Oh+`m1%kcf~$bJYo6w(G9V=!TNo!E0t6E#HR);_8l}RmO5gnX0+V9 zPtdZM>xiLps^3%@ZKJ1OP8kYM(V1=`cBslS^wABz(@#TAZIt<TI&(_0P0sXSjpHQ| zI?Mh0u5O6(n`}{bIL*@a(G1?*Prq~|Tj*SU$yq&Nt(9q_@TRcks&AaWy_(XJd%|gM z(}vd(8uH>dJ9VzPyM9xg{nc>d+7os^J5IQjoVXX1`zzywzGbjH_s`Z7FTb?!eRg6c z|7>2>({g3Amj}y!o2|0kGRIu>l}LMh%_6`28)ZxUa&MGtL|)tQQO9y=;`2<a*2L8_ zt!fiLCl<XrbS-)AoNXI^n^>kMzF+C<7yhGkg`Q>T!|MxfJudBwk9^f1ZdI4N(#tRS zhw2Kgy~jRwdw>0?b$q?t9<`$KrdvhFn!{b+d4V>??U5^LcWMiFdFR8kbM8a&Sqj@t z{untwF>8y@Y`Jsl!)u?ZR;~}j7wr1->zKa2L)^n3Pn)khy$cD5K9^Qu`b3X)>(ezx zclUkB_SG%oKCEx*Y`19R(Hk=ICvOC0D9p~+>I`<Q`>XJ&u*bIT<zk85JrnyAbBwp0 za5pfMRFU8RBlP&OZ1Me@wEDvJ>vH$*$lFu&(Oa8Mes4wd<m-xU6IWEJCe7eneO;k# z?t&`Oq=?R})XDyPH@#dQbn{Q}>YQ&kKh8R;uW1#x^@r%uBgggX7!OvlCPj2rechEJ z!KJ@G*o-+;-Hh?7(DLR#L90GaoKTzk__2cY=}SK(PHc-R$$l!%x9Y{WQ|qP8?U**r zkC2i7e<L8Px4-sJk<q7(oK4Znd5s)-v)?oc-VyuA?No63i73xqr;piet|hadhV#yP zA$D3{`pbp4!u!1ntdAHj*g9w8gJ~U!3m!j~t3K7&UzMX}WA|+1?y8z2$>AG~?JjLx zt<`;9pw9B=4~yg57JUiq>t#K0+@ehE_hV(5-(8oFo7bIn{CKf9-m$MH=drk~<a+f# zK|%2~DUZV^`Jc|_Y|c&FGwZ|Z&W>Q`x}Pf){kVT#ZN6q{`{a73#PaSxlZpygozPct z^Ar9l`uxaij{Vc3_H=!C-61Lv@lW@s^QX?A>ZubhY=1UiqFr&rpWQ}lG*8=WAD*-6 zU!kNM^HF;vw$uwoJ`V$rUOlY3%<|Ck_5d5TUs*O+j5JubRCT0&?6~5r9V}oXXD7+y zbXuUpb=ijthb5PFF0d&v68*HXg5&Umz6s|YU6vI&IkNwr@lCMkLjTF-YV3?NpHzLy zpXjL<{O_TmC)?|IxyjMT);8<-G)b=K+h(v*_3S~tw%Ewx4_%2#k7S-0@$l;2m$_qf z#%F4A!08PpC45nmZtAZdadq2N#XNZ=*gK`$N+)Pxs^#wkxk8&8!um7A1ZB@8?q=9+ z!;&26D_Rn8a)ayD3kPM*x}DieZ|J_7-|WWYERt8*!g#9k#37}*?U6QZ9J6g|l1?2u zd00DGTtQB($iwxtf_Qg?h~l-v7j4rXiM2=8%PsmKk^CxgY9@Eow9R^_-%hiYpYo;W zOwkOk)6)VjZT3HwsLjK~nHw|b(M0a4-3~foKbii9o=6njbUMDxL$6+Q6AyRD$8e=~ z*9|ghhm2IG=p?eW^dFepqY%ULE^Wt7W*zUxPenKIoSJ@J=Q7vl%SM_Bew%x|&-Cgn z{`8D}LyqsP^E$tS9>;O_hdN1pkBjJ0&JdVfo$;sFqR7A3*4g*_wHwMUPvWGj+k5U* zC+7#us4n>9$XKl3w_iQr-Pw=fhda4fRhR$SyJ1)Q@pvB1@1K4|KiakY`20&#s%!qR zb{4Jgw^x{VZLj^myo!MD4L5?Hcs6$IN<Vr}>Em%*jq94qz18tP*FK!s<NYN6wDjk7 z6YO>Mc|}d$fBG@|(ag&0$KplapWbcpZ^o3$@MH4f67?}0drv<KbYD;&YIE<!f*+@q zTB=R&T+AruZ$GU#PpCdV;LhSlLj0zM{$1YI754-`eLuGQGv5Sz^Lca2YyNEg_-ez# z&wai7O>6EQ{J1^+ytTo<FE1*OAB~@9^M1pR!=InaPPey@n_nLJ@5hk@>nF#PA9!U? zdduxPzww~Zl}iWa%{=u)r-Ef+iS&)dhrdYQa8}$`k$(8=$)nbi>pWdV->2=+Yc+f~ z^@D|KOX2wzcg;Ai{+PH))hcfkSNY$YYp|wu`BQ@r>`R}{<DXo0OzL~zj4h5wLi_ux z&2v6|5W2fLaRN(cwF%Fr4+3|qlL}Z^3(t3+HhjFOI#}=Mm7VOz%(^Q|^*eGov>wF? zPfuMjp*k=}N^<|5*N=pbus@kM&2iQHm-ED%L#>p)AK4*oylbcVv8RITFIda|6KHI` zm-%sr^95^dxx$iQ8!1WWJ&zN$S1>32Zhv);BiuFV`fk;2)^lz=+EH}%qNLH(OI7M8 zL_DXKSNW{BvRoEArR1^K;^1VdtDPTDOnJesx?5YWwj@e!VoT-sLkr}+$_#8iEm-pW zNtS!dp<?%zPwU!sJ7w3^y|}bj)vP(B@T_s(&hLkx%+vW^HRFtNOLfG%tPMNMp9Xo% zst(m_)VRqc<-3PFsk-B&t=ziWV?y6cZb(Ua-Z`BZ&GCAkK(t`2V7Q&E;BC9R0@3!5 zJImd21bdC%<r-Qxui7#FsnPizk7R`=s~uTW?w;e_qjk@6rjFshis?s|bX`1ctEVP@ zQS|$^m{*dqcMc~RxlVc8uO2NQTA@+6)#<71)U|zDw-u6kBn|FG&g^;kbgoFf_W{TE z+iac*`0ufPGHbDP3fI-YhQ2~kmF34pUZ2`+xy*l;={mDrhH|qHDc=?Ax3x3)&LsI} za;g6Wd+E^c96y^ApUzXPcUyJG`bmH0X=|~6i}vV!KldZ}(V_X%CEn-laND?}{ZMEJ z$KzPu@D+~l!+t0qc^b=AA2d%g+A3Oo{hN&oQaFu^&PXRjiW;Riq=uiWVPtcikhYHD z^p-i5Ogwdp|5h1sUHYSX<X*2@{mugRB$1NI6ZY!P?VFu<C->xz&ZDuSs;S1zcXE>0 zFKEp!)%v&U5g%vA{wWr$SN2ui*!<D)Z|R{}j#-b><g1;s?$|u$^FHCL`R<U#&+N8# zhqXCw)pvYSgxRkaKhP80x=GUVsjArNzJR@7k|TN5^?ygWEq<jce>%tKZ|Y&U9fiU@ z)*AD##OvQ_);o4q=iQ1$|C$md_vr99?pGH&E0_>DUFm&;qi&Y>j9Q=V6+C&R8hPgm z%~SpqZ|*+5Zr#geisk9MRLvjmIDPryj+IAar@cJFe&QE*_g*c9e_2Ul0l$Mbl|Kvq zG@Ywl#Y)ATacA&l%ex_u`Fby()vM0e(44LMVA&K^ht)aX0(WvAR*=+jH{xu+C6xAL zmivKChDPspe(*T@a-MW`>z<X>6@RvVm=*K#%#P_tRCv9X70&(GyF>i&11|k@)-L}p zR21Et_;LEOBdG}+SQnOC{E_8SS>aUKe#k)F<cO`-^prgY|6DF8eBY5{B)Kd6SiF+P zZMnqj*4E3yLSD|}neE_pXL8ZYw3B8Nu34)^3s13`6)5z5!H%5CM@#!Hp5C33+m|=- zb?>{LmzTdkjZ<u{iMnI`(2C{gS=D|Y7d!LfiY1p=cX}S3n;&J#U>5i8Blne4X+jkz zkL|G0KYC-*$*Pk_4Ry8jw@0+KOj12_O#67lN%Qn|jML5CjGs4nO9%a9tTtGoo2bUL zlQU-b3f;tS4^<1;o39C_na*K(mvkZOP)|xRM?_d#)Qz4$E1r01=akuhD|mNGX<2{3 zHy@Fo5~=HtTsp2+UDi=!lH`AWLch<qPXU{lO@90RF-|r(Hseve;A9n-)%7kpjw^ne zA9;Go;?aD8XeZ5oa}6z+&)Mtp`8pWx&^Vp2$mstT9=V{;`KJ{*RM|vz3oV*D9i4q; zCK-Hw-{t5MAv5hn(guOq^~o|_A3o{#9<)l8nK$86{wV|bsQ-r~!jfOhOB~KA`1?EQ zMz6;IJrZrnr|h-c{x0~mzAMoy_Wv#(=AftYqKBvL)BArxV#(s?4>|jF7Rd!w#;~Y; z+M%?@YR8E$ZY!QBa$XjR<adln3Ho}W#dUc>%h6>UT8@h;UU77l6BRVdICA!&vlwHm zl8zeJ)QFHPUnX>9ODXer?^wjRHHIg}&}YY?Me?iL1D07FQstjGV-?3zn`Ik58gw31 zRGi!%xJ=v0nLmGqlGi7L4tEy=IXS~0k9U8Za8e<-r`?Nfs*_&7zJmt;(ukN(PZsiD zmi3o&+qhy0$D(#;AJJAn{?rN`5wWU_!(Rj@wVT+;&Dtnp7HJ|RR~d15@xl-1T^*NI zG1#Ug?Ru`I)#fOtCAZ69#^P2-Sv|RZ1~-_$d@Kp*Ke@{A<Ut#?m4{sUD|akAVfEwq z5^-gA=9w{<N(%Zs)x7u?8O~h#)xZCwzBAu4Lr&2r3SyHzr}3p3cDlS=AU4@An0@<< zo<pS{PNhg_v2XO5rnr2LOiNNw*X4uCYO_QB-cFvxHL2Od$4axvpwm-RfqlM@Tw~FM z_LF+veBTUKsy<!7KRLNW=1-zg*Q*8GlY`g%yK6Wz^^KLr@g*}w|MT7S*ZC*8X<?Mj z%z_n1oG!St_IRfB#IP_h9An^N5SDh);4Ts{I{t}Gq(OaIn$$El<%u3Le66iT0w)?3 zJQJ5p;fiAV7X6h~MA)b4*1vrT^9z1$kZZeCEt}xO{o`F>*TNgkyj4e4UG$B=a~u0H z*y=<bbi3Voq2O?m`+SxIwfZ4-mnx<i82M%f)H=)Yoj4QR;8FYj@^;rcsa&zel6PuW z9}W`MxRgCriTMKS!>u2^4}4`3nZ<j5^7MC~_dUPA*1URoqVe7Na)AqHI*L2rN}Xb; za`8r3!KMJs#SS&y_N`?Vk@MazKkM@3)kCY@2dBH1BrKkNymjA`i~H~0u3n^mORpjK zsps4`w>iH~E}vD+9vt}bz1QX>KKGq$27W(8W?4rZNbaBTDO73auMO+&z1Z-dBY{Dj z^`6_JdCi4;?aei~+2^0^-kcg|Y}{w7d1#`*NoKv%PuT=lPUAUn*Z&XK?m3NHof;!V z=Y9G4Y(e0e*<~-XJp4Tc7S*osXNlL9e!%1~!@a^~hV048AI+{omtT3#{CcR2ccWRk zXX`gfMe78UXUA`PhBDlH_<Z3!t<Nv+N=N^Fb~X5YZLrq^0k@hrim|Nv5C7hs{`{Y- z>$17s_na87vdI3AJSv=KCH!~G*Ut`Rx%*5cnj{}r*HmxGTz|N7BR8*>h1<dt{x&8v zv<oh}zbM$bKH#{9!|rPn-mh3zW37KYY3=$CU;LP(tVR2TGbU86uHD6)Z~AZ24pY4v z#}kVmvpl)@_xi4vdL}PkojR1vJ;kN#L&)*o%b}|)1HC$<co#XXTR5|+eS3di>j`#m z>1{RBb}Qu__}zO_O#SZmlk;1rY?djX?-u2;&sAPgWh>YIFAvU!TZS2$T|3ogm;AiA zaOU>YO=6ijhf{KFrKSrW{88^z%6xUnHJ>d;GBx2EJt{(X>t_cAaS7ILQk1zqIpW>Q zJJy;DLb60lxAF?D<Yx+W@BOFy!GGCpSI^KI=8wNld^~a}XP45`InK#B|EC!5yW?ql zruzmDhd|^y?JJI%n<_sgD;zj-)xEN0w>;P3kCz^PK5VRV)WYJ3@qV#od>sjSOmpTc zu*FtSwoUN3d4HPo^7gCI{H|BeC(LfW`?*oJ;@R!zWpx}Yj;6g6zSz0CZi@EPM~jv| zy&JUq_z$PP9Utn~c0Z0*S`syFu2#@97K7l8F^7`Nj8C*4ohrMsyhpg!@A$WW<wfoX z;<q`?D^_wn|M_LhoVTVb`_i=*8GZkE;&)5f^oFKwM{U+rERoG#;xzTxzn;iV@3ViO z%Kft2V4CMYHL3Wsesf#0!feu;)uO%~a23~=T4K-QcKNo7@2t+r_U5-^9^Cs|<{nsj z@2XW5zk2!UIUiOjh9%TZ>`p5>cc{pG)>f@^O>8ZDT0h>LbWOd%+>cRF_{8zvDQuR0 z9`>!3tK}^3Uw<L&d|tCMDKfk{w=8}86tN6jGymIjmt57md&Q{vsLJxvAKy>?J-K9& zK&p9nPDPP?M7w#v--kr*o;~MPiWt_W$3`)(-KJ-mBcb*6)LE-NZDyLEXUd<*S(kZM zsOr7ie?BeYxI_Edriq{DjL(-=uV}E#vHO^QIX_b|Z<fQob$=ZKpN6XH`rp}cdB>hi z$+?Qv7e8&AP<nEs&RQ|`jYpSqoa<elayj(&3pEj`;6P@ZYs^{SCT09IC@Zcr_rIt7 znf>vhBU{B+ng=}nzv#}pO`852?{8F|s28U*+uLY*l|ZEKydTjfmM)JhcM6~Xu(NdD zoWH^IRAQcTTh_k){aiVB+e-<3wOt>U#vJhyk%(CMP4LQroSRx^-whQ`Wu-j0&30CA z+q~SUIUdtJ<WwGNBzrzg<!!zEV(0OWlh1FKzblp3*N8Psx*?U5_*U=xG1bWQqaDv{ z^re@)3(8qvzvJce!fjvbE{PPEdfMFS-Xc<PR`%)=^=BVnd92=WGfJVeQ@vJk5tF8s zcGJAm7Zk0X6t{_eR{Hej;*s}re7N^Jd%lXD{6kvQHzQL~fGa`v^E9>KrBBp-<=9`= z?&Gr+dBrSe>2o^y=!1E;9zC6qVt)7tpYdI8gIx?AdaKIg7{30Sx&6kEfFj{p((}wU zzpDvb?b<5z_POfHpNr?OcyG3vZGrTnl?LvQTwln1<mG%9#jv14ihswP<I8N~N^Xbf zTZh-JS&;m4Ls&11TguLVJI*{~ao%?DhePJQh7FRsVP$>0x;o77=jYw;n^L)<;P*ij z|M-QW>jlGlJXp3^?b4r8c<ZF&hM6T>RCQaF*1J4m={vo2%@WSYhE>mFR`2U|cVTnX z6pBvGs`PyG^XFoD#_Ok6F0f*7H08VU>U*KfAD;D^3$C<I?O|Cx)hf69Rr_Q?=jXmb z$|>)KzOjnTl#OotwYKM-m&3aWVPE2fm;XC0G_6fx!LhDv6^$c8M?A8;5+^lx`?E|_ ze*9q0Oiiv8-5V70IR3>eZ9Dt?*WuJ(Z5&&!FOi7yzm$~I{!sf+QbLQoLD)y}|F#;A zQ$P3_H@vsxd%v-K2j>c@US<b}eH@u<y^c+su&!yl_`xe8jN4UMkNya4&FW|Dye(3o zT~=`~ZQ;HGrX8MJpU1>nT}w?(%j;P3xN=jp@Tnq~j0MUklQum3&bU#*V7iL^^_m?& zuAShN<(FUlaKn@0+OJ~2<UZ)n);#h4+5F!&D_gr|t9T+SIz=8lmaBb|wB-cH<p~;H z2V}F}ZQ@pV-p9V@<?}8f7xn2+A{?@%&mVm_<K`CM>^X(GF;UMSzyENC^;g8?=?gxu zNNdrId-9KUPuD-5yZfdm?dd!^sV*|ewaH>>)vLaM&fNWF;r!8)+ONqSd)qF(cK%8` zrxp6m(^Yn?Ke_P}Q@>}<j>~r=L(N%rVoVa|{8s+3=g-OKCMMg3s`B&$@@}q+O70J` z2)moIfMLe&{T{5UCwG0`<rm{xllvlM58DBkH)|KK-@UPV#*KUW2@_u%dCcZma&_m{ z9j4cwRZ2fo*l#$;X}jUR(u(+(S0<cw40wK#Sx9!j`9e$0&<g34WkGA?*esrH+PypU z{8`7^gSOK@x1A}={8am(?tx(DpH(~ATbTF0e|Y`s>Giv|d{g|g{PcdlaEFp;`Njpo zTiQGP_pA5t?MxBKwf0PE-sW^D-Ipst^*@i^s$4yWQ)c?V8Si{)FF07K_koeS@sN=3 zZ*FT7-bZd*Z8zr4eOmm=X@>lzP2ZRK>&kYxhc8)CC0H2NGUepiZSnqL3ufD~Y2SL5 zAztxVMwWMqWbR&t=NXntqGuyBt-fz&i#b;K)YP_o+O+ehU8E;}Ip~#X%YXO)&kxQ! z6FKGt>^qSA&-qO7jioOaH#}sw*dVi9&*i(|yCu1^eMHonJRP}~ajiD1%unH5C$++e zgZD|{9rMo-3mLj6olG*x=&27|D#JEq!j5*;!~fTHoC%Lve01J#4=KsHdXxX$T5{n} z%WA2_$+~yu#j@Xff6q<*?W3!rp4<}>%C4;05V9it{lDk;jvSe@Yu%e-ZsV<&cpf-k zsrQw;A-y@EJnKotF-C@~*6Z_GO1twuPWLSi*}09?c15(~ze)FMyJxU-o7$~3tG}VP zB+RJotzAH0QRSvpKV^-3Cc4({=lY|3-#6^k<PN!{y47N{H*nPQ>^gt@<87w@`<5LH zIaGNwdz1MJ*<6KJX_L0g3EHdA3;DZ^<M`<_F6E!v0}Gg!9McowdmmABra)%(nL|tN zY!uu1QS5cbdY0d2?FO4K=m(vVzr$Yka(lxKjbF=H8{SQt&*A;L@}_oj9^<w}2Og@e znS8zOZ>j>XTlCgxbF15PpWD5fZpyIC$hdjV86}3i_l#;D@=J5Ryg1;ztB-Td{Mu;2 z@V^sxpAJ1y^{I7RjPA2JO@9|F-DUW(P%?L3{A1~_8dV>6_ncMT>>JFsCRuoo<hgg! zN`Y+$zn9sblDsTdbGNVa_VlSaMMrKKwl(_Ji)q~7mXi_R<hT2}meh`|JJ$ZyedNNk zGe7(JHqm)orpK<mZEkK{BylZxp{@4SRQ7;C(=>OUueI*)jns@UTwT0|b%H(rqo&Dg z&j+v{xc{q(`Iz#<EgqjerFZg9ePHy(&*9kCi9gLgXE98(oIE)vT&*o;u4M8Raifd9 z<=?{P*jM^@{yyCO?N8kqTW4+a16$1>pOH{$>Z}ug-jy+<MOm{trbIF#_t;|fNeSFN zqOM^XM!OX^u*!sK{9VrPb0jz<=SYcQ<%)Q&pXFx^8`v$jFJEQ5n^R-e>D}vRKRJ3y zSU%Ea?$wg*98TA?oqzpqF*d!KnzHHDp|h$dSLb<NepV%ImTJG1d5OB*NqfCpy_dzd z$lUjNBI>oG)r)oA3ciW!5B2SLWvRR4@l5xTLE{zHqYJ;T-1PDr=Toyg(eJJ_PYY-M z{7E)_b#B1}&RvhXN_br+%~_Ov@7nAaLW>$^Y!~yM|1DZpLg#(@<AT(mXTE+pSEs#a z@?>p&pWFv~xIfe`5$$2#JpGfw!;+lrT#=I@5lT+h6Rj+yo`1M-Xl6uQ;IW=B+_iCO zZ#RTGuhI2y3a|{iw9@EPucC9wzfJMiwU?P_Ue(R|IomeGsMeb0_y^7XYT9f8KSR4@ z9OBMAGB(%fIJ4<j*y*KtS_)IF&lD@X+N}P8$K>gR2c}*^(N|PtcSZWxH5w%R{1|Am zCFIiajH%ClZD7e4``sQ^*Q<~vBT>w?m-W-}<JG(FnSOq}Sm5i?d;M#S4qQLER^ZT| zz0n*hiZ6Hmlo6e}sy5Fh#C>^n+EbNR>b8k~{0f1NS{A{7%BGbV3JUiu+|eC*e5K;4 zbK4^?{FgI{nj`Tesk`8Ix#x8o#+8ZZ1O&xX#k;3I%MDp^^pNZsL)Ihi=lreh-t5?9 zYh3mHa<k~|f-d&ItXse6zqE@A`ge!*Z27u?U!B@3C79xm%PVD`KK7kuy~cjVS#Fc7 zR<OTQudwaflgc}LWkam1&)p*mQue0%HlBIQvT;+N_6#eofShkk8IETsyWCd#IU#lS zk(HC8Cw)!GuqaSD^MY}uM|ISkiOO2L8cVt-F-#N`k-U6z?g^1SD_U>ydvndJT^+E5 zQ%B2k@62a9Y`2}xHI_bUxh{Ob_KSfAL(Rvo2{X(xFSjq*)@~vi{$rcN*%hKMa#uGL zI0XJ^_+=87Q`UU;ePmgNjKzodYzD<X>ef$Zyq_9Z&2a2lpX#B>MgcM>d5j$T{?@Eq zKbu>-;h+7rpr_Jv?thoC*}CVb;rq|;uADm<Hi<W>X3-_7O$yCYowvKAa_he_got@( zFuoGInsxSwbG!rl%1`+M7a~`k|D$r;I^CZq>4yogzD<py_wxtrsq-!!+VS<#{r>d! z!<VAg6*Ruq5GsgQl2DW9HM196#<=#?#F>Bo9WJs~?+O1usbR-LL8p+WJl#v0y89lj zPB^?LWci(=uRnW*9DmQIYw9!cy5j<$8J-f#7d|m-tg0>jVPJ8TyT@{CcF@<>O)t!4 z1Z)L(KITg9W?OmnywgX&kiP47`+FaTtv`M5x<UHtRU5^RybP(?GHc3mR?o}asxhBD zJnopCxjj2?k>dIQ`(TaPtmoW*sd6&>sd%xc&P??AooMSdoJ<_ox9(PEbV}U5xMcmL zn+`|x_Iy~?_sT8h*Ycj0;+d0PC>XrdIq#|PZO4x_Zo65xC|xU>YTzAm#Mecn*01hN zUH$K85qHb3lx%rf5yZ7~71KnH`8&>X7Ah)m?vi)An=SOCRQ_h%{~y+8`zCw$Y_8sB zU*+|<v`Uu!p1F2dPTI`>s>@yes23DiXFOg|!*#Y<%I0j+juO?3sVR?Fzt@U6&pG1& zi-Fc<focW`SqAGr)$QBApJCW9Y854uQp4_hPHGaDj_Bl-L0^t~WKJ%O|6@MAX3gWY zg{8ul0bJKFI%jX=Ha>0mVCn^>DVt~7ZPZ+TVRNY_llOwCUZr=uoL>+8-f#Ky=7F-v zh}9E*h5Gm$xwa^L!xYPDe_}Mht><!%Q1mvLHls>+wd$ho_1mmYz1qYiz<xyR&fkw) zCYy8?UXx|xxgN<8sT%Kogx4UioX7FyzbCg@c&Gl~Y+$LHdD+0F+dSe=%$~&$*;Aa} zAI?4|zUKnd^V7nYjCAGlww-ZK35|2}=VqUFG-#tit;O^vPRlvIm!?GQ<NAMgd*R0j zmo&v-9-Alo?4H#0Y`yH`m%p)f-qbhB$*R^;Pnv_9GcFxmpKydl^;FU=&SwopSw7mE z`rA*{9I5)e_@0~mhKZRXVs|83#7?i?5o^8AN>1*U(~q(h7mFvI-2T32-kp$rqIRYS z@0<To?S37-SWwh}+vr5B@&?<v1^3uRzn3aLnDtNZ=rd>2nZgmqpB=mI)R%dvre3mm zzEdpg*+ScW*<mxz7*r*AD?ivKGk>z%%E>3rcf@@V?bF=4_)W!<drQU460==49m;g= zZ;sLUF611sI_26jaTnEF#`n2m7CKL`Kk&9h{BMNE<0Dt{g(j?Emn(EknX&V=o%wNV z$%8RdZ3<3(4r}PS(LY)6-DURMSJ^!#ojje)ta^uEb)CVCQ%C%Q3sVoY*l3+P=6btu zOTqUCYhUVxaCS#&Y&!8uG=Q&DCw#@v8EqZkcclE6ce?uOiqHK%$(ADwf^oh3H+-0^ zc_>sTS839x$hadt=kJOx;99C9F1#kMC~8aS+E-VXsqZ>%5ctY5!Ff++d(xv%E2ee` zcK7DJk7rOdC=UK8{C^E!;5)YS0l}|XGR0i$PPfcr-?80t$}dAhM{{4#I@O2=Y5|A# z`+ebx>^v>7$Y1B^%Mzz4$92y0zw&UuyRz}DDeLV^yIXi07d_-xUB@Q2>TPT5s%r@W z3uPlFn+OFz(x|NJ{Bx__r_XiukM>Wh+c!>~ST?=Shi|`UQtPGj@$uK%B#zWQl#vv8 zo&S>6<l7Nb#~ImW_g%`(9a9&2nRr<$98^9#_4rxK@@)q+{mUL5&sh8AhX0K-+e|YK z>{K&)Q+t<HKJLrEs`sgL<^KH<-&}s3L*`{6=lSJwM|V!ooV9;<^<|ORW35+ILX_f- zxbkjz3Kvc~t~76cmC5t4%gc;*&EeYna>Wwq6H3gNk68ZwacXzWzKIiZW?C05^7wm6 zg+ZKwC*;Ky2^qN+<vTmI3T%WqIaM2HO0aI6@m8o>GV@7Cv8d6>;%S^L)jxmlY`cB$ z+q0LiC2zhfVn1Wi{P%jf6?4D2gU#&9V@rAJCt0W6v3#j~CL=Iz_lKhYCbtik&N!C4 zWBv@=eiiokw3A1lU)5YZiNS<5Brxzs*3Q3k{0x}VSS{uoZ%VV15(sitTAN`sVgA$O zSt}%VC);}N|IlHlIYB>2`MsRWBi4=OcX@VvT05I-M(=?wpL$*x^DI~<cWK4z@0;yc z?b|S+qAAzq_0-Cl8*UukdXo7!|5CF;IllDW2d}&^UJ`zorDuZ3X$|?7>d?Je;sNz* zLJt&$tT@BDW0e_;*$Lj<e%?nq%y#=tms*8wS)zSM;oWp*wc|_jn5Ils(Ud(Xacq9( zu1RZ_-!ryJoPF6_@W0<bo7cfVCp6VdPq2Sj=QHDw_J_(2erAhh8<|%sZ2zhe7BVAx z<;IYmHch5;CRFxV^4Yu%Q-~=%DXBN-@s=31IsR+Z=k_g3iWB!VitOF{yCN<3^-d<f zJAY+)0w3yTv#373*0tSp;T`r{YnM+HntK1oLj6Y84Qx+&m1|xdmXA4YJLA$khH34$ zPH9Z--JZ9j;C+1JjD0T*IG&yjRBKpuvggR*tjgVwWgkT8R(xI{eAk&p*rC(vL(LUN z2PQwS{{jw6Cv2<Rk<qQA$+O5_K)A0zf%i5`#F?AZf~LKc@mVNmy7-lb`>Xe5{ht4W zr@Xq;@vmUDe1qw0u@$=WZf=_x>H9eBebCa?2cEq05qhEIXfAYPhNt_CvkK->S_b9W zhnd)~SJt(Dib(aFT~gP?E5pUu7Qqsc)92ayNm*pFyIp09%EmxOUSm1!y%OKGYaX#Z z-RC^D`L`bXK|A(Y=36p*veqogNKg>Io@9|~VlNWe_1Pfsb^gx(r;4Q+-gs(z&G`TN zMjrRo6_&pgwloCkH#}bWt$5|V7wa!M?(y2z?Um(!tn%XO*|#cnKD^IsNE4kAuftyV zcGW|XJ1X%y9-K$sC2pFq>)+L9!VVeN`KE;&5BE2XKd@nw<<ZuX7s*~<9`~Cw-u}P% zr$XDw(#;kN7TmsY<wm3Ng`><GAN2NRbtH3qe}B{BIR6dxTbgdGkI(I?xg0SmSMblz zH%>fjrrX@y`~UG-q2xW8Gm~1joqB!qukcR3JA&WEk8mkBG_xOWlWj45#XI*%(T6{6 zR~~AZZHk(rxhW~avq{m#M<%p$o8ae)PaHqk=A=f<SrhhL?t(j;#m5SVQkRD+7nsvN zOrQOa@y5ycGgH5&wf{Yy!F$SdQDlexj-q*nN32X|@48U*GU`*xVbzawY^@#{E}7cl zJTp06?S|J>{!)))9A@u)9!l;K*l*Hvz_0ja`Sgw7UnN}<JhZd#!;$w_b}!xV`N^Dx z%lB=W+2uY%^N_dTL17NpsFRZv)}&2VnfYwa&6e8SmM}%Nzbsh-Gc21=F1?&4(9ik) z#>#Vj*59>CPIAOfJU1=Ek9ljX@uKA4Tpy=Tw~_zgyl6v)$bQYN`$DRm*H3v)%SqiC z@uH8zc9NaiMwc14v?Uq&BA@^Lz5fsYU)EK+a;-W}q4_SV?wLiWBA3Y|h$okC$`(ws z=`jDKRU_KbIB`kv>h-+~6DKXay#3Y(J1PGqV*lD478adV=e)o2@e9j!X18@G$N4xm zF5k8JLEdkkcWE|nC!RhP+4;m`L0pyeg9S&^nXXPfo~UBzSk3Hn@YMd(+_N{t7Ugn0 z$|(9O5w-O;=Zw8q#MT!$iRLeQ`TL<T!|ik23c~zpWdf6T+Feh0D{MJ&<6d>WZn4(Q z$rlberDX6+y1H(jFVwxZQqD+2uc=aHrhJl8p~7VMvJLGwL~i#P&yi)defT9af%zNL z@8D%SW~uLKT3@Ux$55vB<2i#Wm$Yc3qpQ*bOV7(&SKK}NBX44vN-rxT;~mW%a~8-I z_iDPf^;Vx);u-L9tL)j{+BD@4b9SD0j=tJ=C-?!=%_Y)rla5|I^n&Bw*Xyyd(=KYh zj8L-RS@yPd^#bD#tCccyC2E&F51cXgm|w_VhglXGS5|r-o#E#*zezOX7{hbV2g#qE zE83Q~epKK8UVCTxs|#tIzs@8VUhiM_r>W?svp}WC&O_H8Cy1qJ>^*ZtPIT!*Mz7OZ zrkd(!W@fgoQ#ow$OyyhCHtk=U>;>C%<Za6?CAvy{*=ij7QY7S7eQWU%_3OWttd0Mk z$lDgtZ6_Fz@azNI&sft*j)ip=36mdGeR5#zH*WT@7vatQn>~G!(oU8$n#rzvS9J&U zt+3dnl;PyyzqE9I-IglBVu!*b3dQ{_>Td!+9M~<fYW_Y+F@--lzl&1WFH3LZ+hOTY z<<-~j8~x)`Zr_C&vZpMAi_>4s%M|{f<$wO&iL1)5E<_}5(U(|lcqm*wUH;L_N0wP8 zN;##@lV*Id-{$lC<dYNAHb%@iwL7)l@b83voBa=0vneRY#9uI1<x+duGhg;X{v-{# z3ry9s=XmP~DRnRKcy6`4Bg5%Q{ry$VlO4(wuWi_(IV-jxL*^dSnMLm`k1}^#?&0s` zxE#y2L1=flNM6*2W!s##|2SvCaiYTeU3NrQpd90~uThB;_2bG;msmFFXQcjHa4dD5 zC8JwY=rLLKGaZ{J>`e3IT+Cn+Tlsf#YPhPn&yv+%>{|Sp$zFY-L1~%B;(HgEa>d48 zV%vJJSNL-8A$Q>vSKrm!pSpF0roIi#zPHn$SK?0z)ASV?dwsNj?b6P35NDmAw?Ni) zE!Qbop7ga<2ilq5HGSju-n>wAO3v(uCQ~*)a}t$a<;HQhVJ^$r1YwS8LhtU*k`iXg zo4tI_&W{qU|E}G5usO|I<>%A9?Us8gADISAy*lwtPHk_`+<<6RR(096aor&=<`mXt z9DOqL=Ep{ZWAFO5C|;JE9&s~pt9kOnGez0!?r4imy6<ahzGr`&Zh%@uhhf;yHM|;( z+h>>SDM!5A+o3fnwfN=proP5*L$`VDUm3)omn69<p7<Np_|fL6(1oSTE(yk)1Xi#6 zy;m>s@y6RM^VyP|emKMxxi#e;SGst!Zo%f}kdqvOk5(jdssu-Q6{@~W^b^yZlOi>v zYvZe_R}aON=WZ{VyK=3z8JE?yN3-+|Tc%%@42X{xxVwGo)s43&v%cnuU$N)Iny-I( z9wi;NSnqQ5_dM;cogI6e3(hR^-2Uh5@ijM-Qm%9e@p0zb3uP8Qa60#7TGRSvQ=inB zym#sQd-ZAGsYx>ZFXnGink@19{_*<{FGW2UlNYtrI<;sq-z%l`=2V{MbC<cMaH@!( z{37ppJv{#4BIivHj3(V&^(Ql@d*fV3qwo6bZX0PI|MFi+W4`n6^cmq+J6!vV!UbOI z&)#5sui}EW_vGM`RXJ9DCe!Y=Pq2N`s514!sSgXknu=v_ne+Dgq!}V%(d%t@gveZ) z8ppk}Og^J@(ehJE9=jy??|t3tJ+0aJ!fe&u!9g5zOeMtoiWYhJ?Vb7JSgkMLk<G{C zb~5T^K3^Ho(Z$Ec^Oqq-uk~Wj!o2PUo44$?yDq#}wyx@YR<U=m;L%m(%B)-O1Xay= zbL-SXmn6@H%#R<{8^s%z&9=VruWJ2_KqI}M>t$Q{R!nFt=n30(cZPNCnO(<jyena7 zvemel@itjT+_S#nqQHc{>Se!Fc>SIyEId2!b#(dV+X;tX&$V0k?Hl`#6W`@lZgs8F z<}~@*l=`sqlIM~(;p=V>n66%kOM3h{Ol-<o=N<DV`SuGZMDE*}W8rYOoPX(e)=55R zF6o|~xwOMF(!WqCGb!n`$obI5E28TJx18U=sJwW>yVO%&%AOXXA1ZF{T@)qsYR)A^ zEtToK!CzcnF4=H)&IcFE+j5f%O)45wM0flNW-!c(U``g(72YwkWcJ3RBI@&)HtU3K zb7x(o9HFZDj%&%U?%K*<tEW#|{!AxFzqLo8U2S@o?X8OzZ%*xu_t{~8_Hdzt{Ry?* zEQaEihjw0``1_WsQOdoF19?W`#V)^&G+i}YwAeCwiOD&xnQXxak8D#e@|m`pk$JMh z(s{>QJXIB#xR~Z>%00cYQQ?23V;0BzMM?V?g+~V-s}7yd#c8rA%b4%s)(g^4&7<yI zXr5!bI5i{sbp)H=p4TqR)Bfju3*8W&*8lxy*TQ_RQ|x_bF1+8JAM*L^uV?2Juc@7T zwvbDE?`Edv;9rK4pHGw<1+3O;T>o9G(7B=c|7tnDKl)E()_i!jm*pzoUb`PxPrTDT zt}?y#=Z$zKH-Xegl}{V?W%f!Y7P(t;y<fbzd)3#rSvObj%~3w2U^e&C@w#(@)oU$^ znm6v-q@1(2B~0VT48Jxvu5)3zn<wml>f+S8K>ON;<Hceh?ptj=vE=G;@3`bIot7aX z=29D{zkAyA=%&~ena<3~lB?D%&V1>4SGs$Z#PvSy(89*-h8Mb=^^-58PFbbIJBdvv zy<(F@-Tikm*|qY%&a(r%vV2%~FYa6%A!K*EW$uB1T4m$c1()3(sh*xLB^wj{SN6Bt z{n(#5zgC!>ZLiyswJ%BPU~h}I><T~CSq>|vhBN=KIL@)|%gPAV6H!8D(g&9w+26Tp z@AG);nq6HSMh}^0t^c=-A<t>@PCucC3?954Y+qyeSKMFHEgZa%!QXC^thRI5ETd@6 z`%Qb^^cd9aQi)A<ldux5ecPrqJ5ciWB(9p)h%J4xXWG^Typ9WFS@y@e)vor)3I8Wo zBm7U4OKuL~ba^yu;*aTnbvAyH?vFjX*>0Ko*=ajPl9s$z+ne^kovCh$Qk}_@`(Nhw z)?c0UfBS`Vom!Jx9*2H$jb+~x;u9Srzj%MW!u^c+=%rdGu4-}$h8yH+i;KQ9^;zAz z{LVj%__MiI?vuYu+8Z<c^SG4$<W!A{r8B$fQ`ZBAo#NXpSsQy|xp!Jg6tCf5$}4YS z!1G8=*DcxPdei2a8>79-I`%GE7Id@nYTT-M*Ye+}{#miBOuTIC0=4DB^PPhK3C4E6 zxBq1(7n$8zt9*B^r(|no|88fgR4Jdd(C!U8rf^%N_^5L2{1owMPiu(Gs@WoX(~mB{ zm&0tnappE>$=4|>B2Gjoyi|F0>%`f-RcHAEU({R=lE}_vRsGL-%vF<tPouG7&b^Jl zuC<mlRqmcv&boD`^~pL9Gtu_dks=4za#~M+%b}QI*wCoCMs%j-NzR2$^KU4{?_>FM zkkzzA;D*?yZ70tAXmdoU@~Is#ew~u=C&6iPUef7be@-p<){^gYC5<_oW&YoSg}n!U zU*R~uQc$?AQT*Y(ARq2&2c5(^9%&!mlvn?hqoE+<hsmB5RkqHKKJIHz*<32}TAr_x zKHF5OeByJFmLt<8?(TS$n4&84C38p9n>k)vc5RElRF<=mRUvi7)s;UbSbm>7@?^KZ zf9L|K+J~919tD@akN*`Kt*=;qBK6;mA6nD4XFn-eT(Kd2)5T+b@u7*&kGdRkdBJpa z-778qZF8BbRD!ouJ6vQhI{7PqqV3Gjg)GHqeM*HAOj|b0F7I1+X9}~u_XI~T?Wz7B zJsx*;_XkZ$DOR_hEAM_VeFvxLspo;FNx^}a*52&A<+()1lEEaID>q2<?AnYYFXkRn zeR*o)ej_m_)hSKK)GjI+I<IUv!@&G0p=+^L(qYlf^UfUVx}LG&gH_myn@(bFp?M4Q z)>SB5Jjf`!^@!!<tdwmIO}!0=w(VG3?=s2mZ20H9iaOT|r>M=H?XAbGkYPA&yXCv1 zvd<SCKf#^5E|*y@q~lOu-?nodZRa|Ufk?@XtkJEKIrl@)P5H2A@3f8Q<L5nE;kH)s zMy>Hf#YyWY-t}X9>l=`y_iw?Uwci+`7wWFfsy`#YEGv4>+@KIiXFmBS=|30ebkFO_ z6#JzdyCnAJ61ViMw>}sBcK<s0$@b?Qt4nSIzpg&C5bUkfY`XUJ=sAZON%LBx_O1)E z2-+0-=e51f@{Fw=+}VX?y02}P{>_*XwdUC;(UtNYub;*{%k6&PckPTi+gs7u2WQT| zy1H`H^Z$ExeqMERzd_#TjXc~NSAA03lzHy&<cQxn!5S{tnyyx<8`YP;_RE+TyT(HO zC(}EzCHEaRKZ%J<JgjS9Tr@3Q?~hheNB{m=ank3uTb-O)+HLdnt0r@40LO*iSAOEH zmp>o)cCNELFS`BypP5tQKfRi+CUbSs{_GBmm+S%F%q$`d3=A9$r(#p1)<jPBxE##L z@UNSVfuDhsA+a<iGe4jxKUps&wJfhVG=!Cbxkmd$de2_=88Yrg&$S!sJSNmPEVzHQ zDEwaetX1#qJj>1b?g+9=B&0bsO?vk%rQ*`PwP)6Td+GOnZ#DaS+lPC<->aU`vYo}j zf~kf5A;){!&gqeFwN@){e)hZUrs)6pkg$}n)G(K?3wAAv{QRuAf4fKNkDKS~zxDrr zeYdOs|JU^T&mVU`t^aCX|NrCr{n7vY|NqI~|KsNUzkl`bKjl=rulx7s`TM+Q>MOsC z%l|)peE*N@{r|u5*WdUOUiUOSPN#C+r|0tj4<G;k!~4(E&#L~@tJX$6tW<r~d+n|D zgYtNd%4Jtp=f(5C(2dXEyGZu5y_ZAQ@h+`pvXjqGwFzBSR=0V{lbe^$?ECNdc-FVC zRlo1Q-h2CcXxM}8SMH_$GB~ff#@yteZR_c#!+X|jZ#rypx$l>OlXV*Z<JE_!teks4 zpk4Fyo8QZ&()mBDSIz&n_MWr$U7vzI_d|kq+TGiH%0EA2zkR?C8;g71RoWK!x>ue2 zbm`WuewA7KgFYptZC)6%`@ca-`HGcCqgi+Re2(Y6IctCHhSR4115aH0Gq)*r;m_TQ z(VyjC%qYFSv(NH&>H5f%+)t<O^1t<K?w+rXm6r2@4hOCK^<&pN=VjgXYi_Tp+rP>; zHnlW#Hh;jXiQDWMF0V~<e{+9t$frH`_>O5UWLLiNi}&sy_t4x`ukL3DH!iF6mVc8e z8rv#&F+Ie(%6a?e-&xnY5?s8$gc&T-&v3unYajG5bcJkOuwh8bpUWDRD;KQ_UA?Mp zmDxeT>`hL-em_n>Q$1_sq_^kWuZo*Hf`4f={(8OYV%W(CHd}ejnu9;BdbBpEYW308 zs_R2mb}w4AYTcGKyEZwNudrIQ($HzGROc!)ubdS*&EMm+Gvlr<+qY?3X~p(yuB+~C z^pjns#j*74X;sy=(bKoDd&0X^RQ`ees)DRlw_Ygxi}`tl#qRBv8~fs9+3nBfWc?Fg zmA&ivuP-G5i(_AWVb6MVYg*WY^C7oi8LMB{UcPPX)R~)`;)8c@U%Q&8Og~tCUv6yZ z>`z^%igKr~-h5$OZK>(K>$__rqCX}+2wTV(7ahLdTw8R->Z@m8`I()Jw%Tw%EZwnu z$HHgLr*7R^)#VkkQuej+-d`SHwS@hmw+7eRJ>w6xke{a3{B}Oe?Uf0?kDvN~*lX`| z`F%k_lVU4w{d*%{xc{WFs;^K*<lpHFe{NRw^_HA>RbJ-&YyL*@FYPX!|2}+J6qmcF z?1yshuL{rlkcaBOoaYwob<_C4US$|CnSKAVFPpUXtiIlI?P=4)>sv1{+F#i0X8CX4 zJm#4%ryrP|HD_}h-xqW4W%Fk4U;0gFy^HYQz*hNJY^!cD+^UiH-5UAV?%t)(tAG6s zOXUhR4bFX?Yijo5=95&@iJQVCt4uTFJ_RLQyLx>~?rOFb<&*E|r2g1^NA37;s{?Oh z+_p;XnsYIrEq`;bhV^Za`D*&C$HR|%EXbei!*EN(c|TLqi+G1MJj`4j$q9@fjd-*a z8V|CnociF&=*gogA=f6xBY$|-i>XV0)r!8qU8QZoZGY`>=wye?4<`e}YKo??&u0>? z3bB45`}d<@oio4tv#kHgr87fVvg2B<s`sxIpL(gQ>lRDYb2G_XPs}W{ie@wA7SA@C z(SC4Q`>i|6`gbLq40^sHZu>g1rf;uah=%?M%?(ripng!@+39!aMwf!?A<{hmH8&sp zINw;BiFYP%=l@AJKYR#3cuz*>hscl14}#f>_bfVfxc%Vre!he0Lh`KhHUCt8n0YLI z#lrKtvv#aJzC}smq`d9=9a1MB`Zn>l33l18H4~aK<8IQ7DR&>um~&TX#-zKGX3V<# z$w2oq$MF+q+77HZ({|*=nYMPrnY{AJ#?qcWr*oDV*lnJ8tYyK`TV4GIdprC7ANKmQ zRqhO5g>&r|uSeg%x^va9`15sFvA^#swa_fhigim?O1*sT8}KP9qxYDu?aPq;@wtJQ z&P22nM$3MBxyp=pX~xW(8i%v>r`bfu2LIbPi_h4Ex9w&56~?Rr=k1HxRtMD^Y`G*a z^Zq4&<NR0r{Aa(k_dgH0Q+01uk9tJ&`xlD&(aYEO?+;|phz<|DyiCaWE8~|}-TQfu zc*!0}E&XXfKf3MX##x&!oeyeP%}Sq^^e4R3&v-Uh%+=Qk);5d#R$oi|6YF`>_*HOY zw$+PWEdS>IOZf5qSMy{07yOO?SJ-EL+!9sxO8e`ic?a%4-!56VOzC8v`iJhOgCF!+ zn;%bSb$;+)OG4;>l!48k^Gy#Q*NgV3{9Bu_<U_r9kIBFJH6}9ub@?lp{^uV|_;H`L z`SE{k38w$cBkxUku|j;onx_kcPkg#)nWB18HD&1q)0Cwb*LvEiHU6KcuGILB)xPxX z7mXjDe{A-@eJKCww%tF&{d14lKiwYu<N2R5ssGBivo>g*KP9Ui(UJc8w$nMU1kW2O z5mIKB(^`ESpC$$;x!(A)!6@ffgs9o%2wAh>2w}6!5z<`~ll?eW32)cSF<QevTV{Tn zZ{ztx%Z@xxynMKA!^`C48$L<FH+&L{Z}=p8Yq&M;R9xZr<l2Ul8+3Dua`<O6Ssz+< z<lKgriQzZCY_!f%`{;VZC(-|gPjbG7TW6)BhVTt>Gs%5Cvt@oCUUr~ygJz*YPL+j- zS*;A;Y|k1|vtSD$v&$AzT^A4k5%?nX!z#x}hI_Wm@x#jwbZ*crG|H*65H+iv!#CS= z4(DvmIlQwoYlOQl9&Zx(B5WaUCV8&iw~sq9_(9T&r7B`=Yed9a*O-XCR&i5*aq5t2 zZTjl<YY)ZN+W!t%y29qi6M=)v8+hzg_dK}Fz3M7|Xx*yr<f;71qBYAVgmG`%CA)8( z&)krI>!M!2s{3}j|Mma3^X-onTV-EWeRFx&niZPC*Pj``$`j1l8rGRswwdqz%%jJG zyRL+WPhHKkF*9$o=@(y<>m{@M>pQRdUgce8vZH>*kNFqnZ6;@O+bp+FQG599Lz9;B zCV$3~IQb=hxAHRD|F3z(E5~KBB6{hScXnPOCI=rkwmk^@?S4zu;A*bPQr=K@wzpSa zl^Lt%CR~3h%iaFd_->47T*zX9DJ8$e!f)~M{#wi&7j$tt>-XJ8Vt1CDwurkX&$Rvp zzvPlfsc``Z)8+M8&c2cfxUKc;|Dr{l^Gsj7uzmY(aVwWn#j6RO|Gsba)~kwDeEnR& z(faGIy8&O8RvkZ-c<be}RUcN_wOjo%%H4iA{w06tyj3qw2d?WWYP<f0{rKx&&McaN zJCDr%b@;SRJcsN0&r!LnU;K}96}H)V;iCH1-Tm83dxJXu@o(|g`(+<CHSa~B+l4## zSI^S>VzGE3o4t0$%N@+?|A&3J#BVy~dcA(d%i~gw*Uxi*op|nPy@;9QJ>J<e_I$IM z>@{T^?ZpD!f3zPGEcq%a^H82U?$^VPPruavS|}}E;jHE)#>^clW0S(kVQa9{_-CWs z;|nvdbnaXA=H5m3)3&Lc4y}Ef-<K?XxP0kWQ6B%7eIn=lx8B>X73{x?$5ewmwC~62 zg;pQtf8AJI`r!YC#HXhZ#47}`{_D28J5%Yy^qszPOHvLl(_{L-bt%`X4<+gyNp+Kb zoLjv<)W0%lPPc1xPF0&HHMjA_8QtBnZS|*_#Z>ZczTKoycv`~d#MMo=Q-9pARA>qT zN&XjJ#ctj9`k>##stud{W;VMgul>@-=coSQ>Dv$HzZNss`SMr2`x$KTg45(|cB}Bm zk^ruK>&sT1nDSLrX8%Hamb&2j6AO~ofB10wRZ05%P}XF@EA2Bizi!w1_u%5xc|rTi zzJIjNdeYP$F;nwv`z=-TrI+-dai7!kExPUVbo$O*xs>TUXKk*oi)Oo-vhLNJnfJe4 z)Lt?5%8Lct*GYeI-mGwnzf^ZASGS#SiB0Q^)g@Cxf5i5#E}1BwV-R}(by-R5E&JU^ zLlWoTycAlS=DniJZnJ8r<@}qULNw(~4uwj}=Wv7`VwVn@`u<?sbX|kcRx72=6VHfs zu5vpo(z(h*cJ2EGKebA0E?@T&sJkG3#C~n&HFx{ZhbGPOe|Izf!R9?HcV#}8XGn59 zw^Fe}W~r9L-#K|s!LiY=xmP*|l&KXh)eK&mxsFY4`ufJ>&$A!+l&;Et5YwvjS#;BK zttSfv*Ks{ba9;7t;>LuXpKb()=5Bb%&YBj${MyN2MPquZ*(I&b*VR|a+MSzvsF-Qd zyT8TEml*di_se<qGWEh~d)_mDr2eR9E##P&#CFZyvC(F4<}wGlyCy*j{yD$OPG(P3 zpL=tq@w%6@RoA=8Rj~P<%eb}Y$<jN)=N)EV+OlHbyenVWRr5t`dC!{JnptFhS(tI* ziq73Dzo$;k)y^({o?Ud=Dbdbf{Ew-`<q*;1GmkGh7^nTEl7HS*wr6J;*!g{!t5>}% zJDAseG_w89KkwPA4)U#A9om@vY-Lu%w3TOG%slgSO91<%%6VNke|6+)7a5)lO}?b_ zKgaaLzf8|{@8TDw=WhEDziR#T1s~#<eO~j1`No;6tJEF${fWQlqI-Q|`lgv2B|*2g z)xKNtxv9bEJWH5KAoKM45a|m2OX7WXY8y6nzRG)cFqc7$-JdP%WBk=uGwM5L+nW1^ zxPR&|Vt#KQ?!4}uh;M0B^h#;Z6^jh=4MK&Ub;p|)UTe__)8J@6&idMES^#Up!AYzB zX-CY{`p-3O{i^*<Q$vo%AM{#zYkk7f(3jKsdsZLP?+^JobN%locJ^pzvGCHkgF>s$ zXh#HvR<fQBc8xpuDYQ3y!=zP5v?DY_ABwKoqV?fZW2aN4xQ)#X@l7R`)61u<nsHis zp4QV(ZR@#q?wJ^p8gtKMrIKF$l2Fd+xx3x&ALwt<S;n=)srB%gNxd^wrH#GK(x&B{ z(YZMza+Bfal;pIN#~>2sStg}?hfQZ$bv~5xoqM!9F?hu-?G&#XX*1gxzS(x`TF$Dh zYdb5luJx?Ry7nZI9fD1-O78K@=GlHo?fBabng!}PUZJXOKK$PgE$jcCXxz!3Xx!SK zXx!_*P(oF%eSyz|-5XARsJ-!|LdcBO>eW)cbgvSw*>YCxzVfk$(u86Ur*YjqlqPle ztFCQ!)eFI<I40Y}YR>C7oV;kA!}W!8wovWiW$kr|m)-wwn0cgO!^}emHq1P>AfU&N zt$o4#1L}#B6FqJ`k&rTDZEKD4N~$>FmFRN9D|yL2+pFSv`P$7}x0gPfcxc6ftAF<9 z_3bOY`a1f0R_*drcdEr!vc;XLn=QssFL>jic~;}!>F3$}h5z|I<vKV$yYa{TDZyXQ z|J(KF@%;Zb6>9{hEcvyOsl-)qic3Xg=31>U*CP+Q-S)DP&$$w|Nae<g)knO4b?*AN zY17VIE3TdU6@52r@3R+Dd!zi{q#H>yoli4M`Ea*(>ps3OmwU2oZ>(DUaJlIEJprG3 zxVDBGRh(J4J*dL#=d~BkFW={Vb+e7qSotsA{=dZjprB7*+U<WIJwD^&#*@;jx~H<d zqs?DjiM;#li+ib)Z?;!@mfPwp%Om_(Sh_JSOOxi@5vAp!a79F=F=X;YuCCRkt(RJ( z5;S60O>MhmyY)%HuJzaCy!=<Wc3cY1GF|j#OVl)uy|qqjwWgfA(&fnGQf+$UZpMOD zYElJTgC;txaP4BLGF;sBDC_8gl7(VoUemMwE-dla4W6>D%RI<`m23Z{;H=ywC3~CN zzHZ{r{@T84le~2E+{-ghnI2-Ec)c{sfoZPlgof2MjVw;7d@2*P+7~oE(t5hEWZ@=x zujyKQ7nICZ2;6mUsz&T`-zn>iHN38$6j``h`!~x<<Ef&8%nR4Q={8BwN>yYGKgrG6 z;+5>75bEU2dNlN%=SwHm%qe=I+uUBx^SZ5ZH~gpcQuR<Z_m`SeF9lXbJuO>h!}VoT z|E(^zzL)x~Eb*M(@xKaB@-r>mv&C<!N2=*pN9k78bk`pC!!xGW1$}a!?#>i{bmxjW zw&z+O2h8a{FwsK1Q`k`Uk;H{FZxt`tA9G$5-_zc{&rr_p*m0LxN0vzRi!3`*vBdD| zqre%n=O3>y$l1tKow4s+Z*k%b@#lvO?a#R;IL_%lvS<ch;hUb10ud6A6*rn3JAAlD zf?wV^*=EuV(Z>@Hy^-iQdFEpCD*Kj{xF?f$l8wYMx3;|}lp8q<^>~CI$5^Nx-^t<A zT|DuI@MXomR6{wY)5$g}VaF;eB19iQOit->7h0Tb6C@$}c;YdOZudmd8`6Mrr7 z&>q$pT&Tyh{Bewh+0mUGYdVW3Mu=Zl?E7md$Hbmwqr!KrqQXMv@x#a668$QD$10W- z=p0k-ovi<N#gn&t+O^Vpy;U0B&+o7}{5SocZsqZF!Y_WWx!m}$aW`vUdmek^@jUj< z_jBe3xSrmux9;5^KRv?(CvAC3isYN;*mNrT%{!#-H}6=&B=NML-=F*tc~;^6Nv18W zLVtVnDx14}GIQ_nG5MdEEHmro+_`g~?0EiWiG%p_e?oWX+;J{f|9<iC$xznUPc*+2 zeln@DpY8qm^y>TmCMp}O=YMBsI;<Bga3hgR==Ncz;M^Qz*0#0F6gJ!xQ3>0BWzUnP zJpt>rvaX&u)^TWq;v$Y3u|O3&QxVxSzd8<WFk8eS)2|^E|C~7|^j6!-Pw63>Cp^$x zSiV_)+Mbz$tD>%M2&wp{V(^&NI(5hIKkKLMNnOn8Q+=>ea@lT!;}+s#5-&S^V&9*? zz4CxF`_zgj3*_XiSM<M^G3h+lHzV}nqXnxn6x$c?TlHyq==;AWje&Y+3}zil{keB} zu)0xu=uD@tTGl^>A|5Q@;Xb)+b4cn5y)y<UTP?rs+Hk<mJw>KlCM2P!+3QSs0RLf` zE5{4ieUn<6ml<4h4E6f`hu`a-K}PB8S-XCnx|aLe-s%4H`<j(|CAMAqpRoM==ltn= z#L}`XgTv?jllrC5c!kmQ*4LVMiauhYAyZ%33t9TS;&GpU-nP`}3rp1=2d1`pCJ!v! z%~cELu?dN1Jb0)ise7C+Nc@K1t5u&AOZl@s?;iiYWS+})aShwnye0G8z9;SVncwPt z^ey{;x0h8P9WrbiEsr*@WjtIXRiLw=@qm+*2hYOB_IoM%7I_o)3heNFCA8h+?%~{^ z?}jsN@`Q{%ZCfLk%sci*{GL{3=BwPRFEeK!aNtgqaG9_vVg5m}LmxaZe$4jzDzD62 z*LUfv;k<6XiUsN$=CJXc&N#4f3LBGmhQh`fY;s}~FBb8rlr}Oh(YrYRitQKWQh8+$ z+s@D>^A33h`6s(>j9Yg8kW*0lj366<DE|k$(>)J-u;sOwcfnzSaxG7%^%pt0stFfY zS$@}9${nL#x^>H>UG3{qe%tMuP$l&JWLeM-?^l_3s?Dstv%cJqT>10zXUkazUz9u| zH~8msC%*W6=e6qD!z#tjwz9I<Pno@%7kQJdJ5Gn`Ro}`3lf>h-9VJ-I?;BstTOpnA z``hd*=bWtqZOP}|-kq|Xbl~Z8osNgMGsHJ{Jf8H_gXw-$$B|U-j|E4aYHT*TOgNIq z?V0%Cvx(<puP;YdJY2u_=+fs(nE|;^tDjC?da(ZDa+M-O)*{JY(_Df)*Rn?jOnCi8 zyK~NE9zEy(i~hcPH8phB&jSsM{xa?5VP5%H>pu6l)iwOhEB<QT*FOAU(h1WqiK#1h zRd7yC<XBk5qZ-nfc(L&3hD~n{pJHj7Y;~9;=(&XFE2hOBv5SOPR#yn@y~w(&zkSKP zBa2_?20vYKGg4&lrcEsmeM(k6@nGuD?RYqGscqk7F{Of2PAYy;9qqzmj~?%6RVh5@ z6!hbs)1@DJR^3;kmZn`i{QQvkiVw{}%F%tV_KO;5o#IIQ@vij_vox3bg}q0PN>*7| zy8Ek(zj*pYXuieH_MOMR^c3{HkKHR?@#v6{Y;14!j`z22yQg2j=UGztP-NGx0;#() zJ8GFe$3%p3^#xw-_X@YLJnW_ZJmTpSrqy#}l6tjkLeKBmuqrDiB55Lbb;O%PcGGqg z2o=Xzw5ICKIab7#E-$@er_Iq;$zuVFXC3GCDJ^Qt^gCyG_atkY#m*x>>fa4cU$yPq zsHbCau2uEe?hWmndT){!ajP4iYz?0A=1^u&S%T^G^xX!BOS4$dKV~trvOJup{`|+G zldRt?b{>h#ntG<N&sR@p!_8LJZ96u!uhn~#Jeym6<K5Qa8xId<zNt~1b2m$->csZ% zJ3lz@v*CHwV;i_^znA9P6>GAByK41sL`d%Ikux}*b?f@{N8K6I1e$nx!sl;#7u^>7 zt@iYleb?u89h*|E5!w6K_2aXM>NRQ+kspq1lImW&#&(*-`DG%jHCC^>KJ$XY<Ib6E zzfR7MGM_H?R*<1B)y*i1fpg7vfi{2LmdD22f<Nv!shCA{w8xfQkU5>Hu%U@fNIUaE zq9|{T<&l?2HMAqNJ8=>|@D_-R;2K*8OD0i>+^#wHn;nB+z$TOsU|wlghiOj`r%3 z3#*KlH)@^9T=1$$YQ?5GY<BA|DRgF=cs#V>y|g2c=Ty_qqB(3l?A^yz7&zx_5NMk$ zcBt@_6N_JT#}P^Hj|J2CW<3_*W#PN*@JL&#!orDJ-aT`|k?STN4<&dnMP%9do?tW$ z->3LJp!lhbrCQaxFO?J3c${@xl4rDX@N5_86cl@uxa4DvuD`{v%MJ_lc~yR0JaCvn z>W73!vkKcqh1P>69*-xBA3iU^Bv50#Anmk<bdp4_<Exyqjf!pVsz+Do6t6jMwq#WW z_oaHXWM>}#u61UAuf)i%EWg-m!oah)$GwJif`dDE;*NBi3mO7_y2l<LX9|p*-|CUG zNq}Fv_xPU5Ll-B%>viCl@+eu}*q&$d;o?-bRi~Caa?Q=0;HECL!gy!nn*~<=^Cu@S zy52BV?9hfoP9ar^icF_<T#{C{Rzx_lubreS#ZlwM-1*hy!-hUKt?q@5r;;)z9Lkbf zvT>Ef6xQNYLtcq%V*Q>BLKX>%Jim2Yl2^BKyxA-eS{d2VZd-CeCjPR+0}WmkHL3XY zr;WSfFIE5e(Z%K|v&@mJJ!8T#N1+uvKe6(LZ1wKzoTvR*rzLSpYeCNzfkr_wCBrLD zHa^RmpWSd}Zk%f};f5nK|Lx2LS&33AA60pSX1Fw)9FvZ(VpaGN=6`mYq}dUJ%S~5q z&PjFV*~}R-&GMRzbkdA;$5#=bb2}0bZl1Vk%cebhax-&7|GIPPY%ywk8FtL@>?xLI zQ8SN-Xn!`ibE>9i+a{G`Hq!r^95+9RJbL2=>+HY(wn)h?UKu}ai~I7Vpk@1`*1Dy0 zXZE)r`ySiH;_s|xBVG9;;OevUTBlzZo7P_!_l%i-^zI_})Ai}rhPPJ#Dc@GSINaf( z^=-pr+kF+6T(XsZBq4gX;Zyu`y$u45C&iQuPdeG~Y%V!@oaMBgXV3NtBF7><q>_8} z7cnh5UiGB-;r`0YMGs%!$ttP8=y!XuyUF)+HMgUC=b2>m$LYJtSxen#4o~iwy#4r$ zMcT@rT?<XqqCKk5R2qKwH!o88BU`k!PV)4fMTgsBZzabCKA!o0=4!*!PPdX7ucrOe zevze9^66WI&(@fq^Q@lLaA)?<yjXbt?23ICmrwp$`t{b8x$_0@dTuPTy}9giLt>Ok zgN{eSnpT4^+XVU+Uu;rywpe!QxcCew=FXEQ9}GI#?9?tPbaI+_B--#^k`Q0ZFPae= z`ztO~TE=i++j)h*=jP>m&V8sI^xVSd6;r!M>?4JR*SBP~G9Q_6{l)f+JpIpFB@Y<% zu*o=QDj2k|3C)+NcbLSOH8o4vW!wHGKa5tcU9r;pfj4*J4RPIR#VU=uW=A&MY_l+n z<U6|Yx)aN{h>j!TVviEHxBiI8R`h(cWrE1INDrxK?=JU0hi|><$X6-*y&<gs2OD?b zR&B#FM$ZSkcnxDVEB39P=Df1&vQx;(OvP2twg`llM0NDXicLBa%kBAaS?iL*#VSRc z|8Ce6qPjg?W9CC6)zzE&Cb8-XZt`l>4>CH;>h;XR|0L6W7xA_S@9RUJq-P!|Xk(Lk zyx8Bx$l-y+-u5GfQWX}C%<}4)6OL#Ktq8R8Wo|1rd9cHkx$(BigdNVz{NFPkJhtPV zR3WhU(5L!xQ~Oyjp8kKA6=$+s_s`v{A<{`NQk-SBFP6;u=fCM$RFlArWJRIPx<?M{ zaDOzs>@;acqN1kH_6a7-T#X-|nV)jE%Iy35-K(OuzhCgb^yc@U^&h7ON^CPR7VSGL z=$a7hGV#U@opR}O8gF0UzP>H+ZG3&#CCR)AR>ysV#CN#9652jLEAW!edgHrGz4cvH z+IY_@2_5ehSDrL$YoLDT?4Ldw+E0I#KGzg7xU-b;xUCe&o#l;3L^tRElK&WM@O#;R z(fhW>S%34t<jt(T{D0H?yer<kb8nhYdw<*6c!5kpg$r}vaT9?beQZMaXV*S{wc+{M zeTAh5tkbT<$i6BI3A*kU67+iVP4jv0ZwrIezxZLoX<>EIVSzEP$}XGSBg$72&(EqA ze$`-pcE#7-Y5Pph&kkVUDfo5Lj_hx~Pkvv!%A>(9;Wu;cImSL_69Eek=1%8lm6z?E zOK!fI9m2jR&rSF1)BJC~tD0lFt32<R|5p24Yn{V3g?Uxq0><NlQXDc%8;@L1yKhr^ zBQLFE-oNfCD~^0T@MiXMzE1{Po;F6mpFRCub_Qfe-{pqKEW9j!mmHGz-!zYp*GqG+ zsl9h*#n;z;`?|fD!WUVudYSX>?D_9+w@3!?tyt2<CX=4IK*(ImV~+9fX;-c<kxUAb z{cwB5k&j2-L<h+}3A*CF=&|>)!pT4P%{6B}#W;1srH02!yjK!46+W)LxqXUNPP*}t zDOL}Tu2?cnw>WIs6~}Y#dsVDv*LpwwU3L@XBDo6<$#Zu8deU&9LdwI(;QGleRypp* zN48i!*tz1!#iMVcqvB-(^*h(4-M{p6pRWn?DaJNWlLs?=m>V@sCd~L%V&Qyg`#Wp5 zWlP`RxvRwG{=Gx#g7PAdIi^Ny55-pBx;*8a!Q3mBX%luGhzOcKBXy4MI^(tPdp;j1 zT~>O`f<GobYWd<x2Uf0FvMY6s!k!74XU*Cy_$8iQa9H}rL|}$HbLY>r`(IvGWqk9U zzkYJsm0vBjscRHs=5G`Z)bCVIyU+DJr%^KC;S${?jK`IvICPdZ9ueA{Z*_TB{x{$B zsN-i>lrAg1@@DqAE#F-BUh%#BCR*{bR0><ji7mS>H!No7WvRO4uxR>C^SPOKO@A*l zUTc|l#p<$E8d&e*Nk=$0=ePQ--YyxSc=?qxbKgD_ffw$~o&VDAmn{2w0A#A)tiabk zuR}tFX5{uYoZBKNxmsC2C5rh>1J}%J4pIdriyIFlNO{yGfec&@a*XNSo~ZPw^hI$@ z!HeUB{LkzYHCn5f=FsYst-)*2cF`fhf>*_DM(yR9*Ak7h=4SeuExtDMnt7mpqi3Lg zr{CG0$6`inCxX?B@LITCc1YmiRY{u#Qf~=Tzt#Yx{^yG62YOaa*O~)1-p=jPM0Otk zPx&`Y8IFH92@uufRgrr=zd?gxZe}c4eHB=1uNTwm#gkmhL4JNc5#;A859ZLk3l0yu z(>)fP(gFu-2FNF$&wzqe^Ofobn^&qIdoAyC&Aeu7e9389>2$VLdzLpkn12@1NQt^T zpDW$t*SE5BCuV-R`}VfDZ|mbCm%vb8m%wsA<;z-kZdOU0U&Lj;ZQhb|7rU>p{+hJQ zC13fuxYgxdS##JI8n1OdRw-b0d6(E%p$LhulXhgx(G5JY#Y)O~4m(e5<^rKYDUOn5 zjZyo~?0e~x-BK7XY;}27zS~;kwfT$Vn7kLq37zhVRO6a?&Chs&)3UFYycTwg8xJfu znGlix%{RU){mhE5TP;+y3TuR>vRs>4dwKd}(a(EC*BviSb7<u*(&e?dcELe6#$-YS z*vqz{$lH1e6nV^x<CuyU$7xM8J|C@kIVuyBn!+wLBwO;bOuOiiv=Wq>zM6vKK?fAB zUpuQf&-7Gz@|gS%JF#Wj3`S9-wMR_?Zrby*gk5xa<P8eeSE(R(ccxuAn0<Fq+^a+V zRh~RXAm!g?f|Tzyd2qv-xioxn<B>d&a;ZF!a#K)RjS`(2@>680O54m@?Ubn9;DpB} zRq$p(<AE|Mj~ruAq6Vketp`AEKfEZ8X};@TmA2U+<<VzB=~PUrAZJ<Q0W~R)921c8 zgCKKfrGc!d3)FAa4Ah@`V&>}<#mlo2L0&y}u_0N9H>Aynx$`0@BbZ(R87vG=68f)H z3lv{1)#LuWN7QI-AUK_>@LITCa0oRsneZY#CF+-0!POI6zQ`sxxIB~)31pFzo;fY< z-*)MlVToVmvr;@-kJfXnTrI7+a<$WxD_1|I&e2_IyjJ$u0`Iw*y2h8ZRvNDr4fIUN z4VknfEv9qRgiKzOHVghMA1*d5w&!JOyXcVA2nzmK^L2(Rjn^`Rd~!>ED$6%l)lTU& zP%^CnB?i-eHksl~1%q}rq0MLaz4Up#45aBTIL_v+Sdyn7==o^c&FxOhOi%Nyn2>pP z3FGk!DGs0IjYmv2=U2_TYxaBD^P5)2S+*CqxvMtj26`@P-`I5GP|WNswvZV)!5Ie( zXR<L(&QLJC2r47oW_|WekD3h%psmKQR5uvDS}GO~&SZUoq0bt%czU}m`7OjQIEaRr zOo;f>BiMg#%dwe(uYIzcCAXg2V%P8Ws!w*R$}w=1b%Uc!NUA_*Y2&F0nF<^4fUGzM zE-}E_xK?T^OPOo=6p8F_YbRu`HDK0CiPFwo5M(XIab|hr5h-x`No-qTy!LKj=i}}b zDjzCCCf$H2HU%kuopE5}JT@)E<&8(u&$qnaQnicM^k0$t`E6&9-Hq_yrYq>0knJ*2 zBI(XXZsWI~(=}pazb`qrapINGPady?X7|dy^!(O&QQ7u*nbgHsn%lG&alGl%5Nhs~ z6Mc3)MS0SpFH(N5wAL$?HcnikXL#zx#CcJ_d*oiuEWOYX=D)^S&^5u`C2;Hfi;EAf zk;-)mnh}!h5u18&iJn2<m8(IiUp)L*{B`U9QWKTxvU>UD#*Y322RoDsPrL|B)blk^ z()3x{x#XN-=ao<+*H=QpJ#sIbmi7zIUKbuFZF}kIsZ$+F1s0p8N7csh$Zrf-?PIE> zIYsNT$J}JAmE30(N;_w|^_R@Nbh*PfTr=KXr0=O)e@X8q(?`l1ue=Cc=rl9cB}gM6 zZL-yInIQ2Q-mio{&pY{-b;q^l@_Q-w<3r~j$g)nc`04X5o<a05i=LInVO93077rIN zO_vRM)YV+IBkR4uaZPIxi`;gh^fwm{M_PaR*ek16c#bdFBELN|X2YRxoH3i7+g@M$ zc=`W|vf0f>IpqO;vV2+_E<380-E@AoBe9*y{B1y}PT7lx+hl+3NN)GMv-PmZzAcAM zwuv2nBfa8E&Yj$L9^rXfQu}Ru9u$0h@l5k@INzzlBaW<nRx6HN=X>>V#$M-D&$c)> z?k&^Uc%IKTXQQ+H@3#h>%w;nUIa>QXo*=sFX2l&o$*Sn%{^yT5``^|)oXGz4$0^6q zdCwOJxzFF$w&qi{waAU+cCF{zo%w&ixo~`X*^DD~);<p#WS13wczMVE2v0(8Lvi<- zU3KpJeLmdDysm$`!ty&m@2c#3tF^A%-;TK+uuOjX+&nMgwqUVCg_oRI{31Gzd|bA( zez&07!TZ1EH!Ds(ctha$b^quIQJgu|o-zw3*6k?k$qTSgvCeaT^=hl>3bw=BrXTT? zdigwI_Y1bKpEo$09Vxuf#^QIgPqnb&p11v`TlvmB+&LYOO=@-iYP|No9hWt8$wa;K z@3#aF%Si_r-*3B8mE^2dzG&jQ!1cET4x35`y=>s+yt7HMbGFH))~BV?Njs99Wzt_v zUX*?A^tQb!`<6~z$EJT%;IOOoqa6v(OwV&Y9?A5UaF$PdH+|jHeH#_~@^g5(YVvM- zKhoJdecf)p+X9DWq#s4N$$L*v*_>1DnWJz$d~em-Edp(#Vuv=KaAJ8D*>R-CXvc%~ zoyTtp9CnfpQq{B+I2bRfzwNE+#um3Km1(yG4jV}aCEl2DfXm9sR!Tc_$@Pz+CCyc` z@wv`2**P9p&zh-h>~pJ<jn8-HnSV>*c)HmVwN75oHC3~AWqnD=QCY8UD>hrFC3$lz zN6top&b2BRA8$D86tXr$ah2Z|fl%eBjw4Z%UPRwy;7*iCR+L$+>yj{~)uTtHb6<Dw z27$JRVuuXRII*-vbsQ0x^g{ZEy4WFu8%`E%ksSvdxF^2w7pi?Pm9EJ1Shpp)sg=WL zi$JHR%Ej$#Pl_EfIO=4vEUM#x3HQVqenPd!XC*4~80)qqn_6!F`ZjA@s(j~a-=ZbC zulbwK&+1ym|7DH+ta?5fH7(oxY1P5OapA}Rt%}?-ch{*`r#@A+nf_eib7<o=CzflG z9Y=oEtX=tU{rlewwX_?Vf5xZlEaN%-+1|zD0?V-{@h^Q7+8FiDT)f}P`pZ%&c&6Jw zapvxo2ZGo`w@0#n-EhOP)~EP_$}+1Au4);R-i=QRp46Y-w4U4e%ff<((+$*j8XR`X zTC;g-8I!O~$XbJx%aN<5rHiM%czQ@?`3i1>c2=_><yl8%{AEHGOkNx=BrAL6u&n!O zyE3Z`p?K>IE@v5&Ud^BJht6EQ;Qi_M^Loz94F|Iy{(4&f&gk?f{b|Rgn5MG6?>oNn z&wB2(zz<e$Sk6tbI(+lbY<Hg6yNa0y3?{M3EY46cn8ha4ocSQpNOJ9}nI5rI`<JW} zs|)&WVDta7Z{pqKJeTY{XD*p{NGHfYxePoItQeGjBfthU5Ns^9V$Mu9nb(;M^2|*p zSU58CA3vF488&g(fvlkEH{4#yZJV^KUw6s8BWXeYiNY(Ff2{o1d$!W{xAzQfotB5( zO;cEZzu6|RRYY7iet(d8<L{sG;ykZ;!awK#Hu}P{?Q%n+G_S?BOAZOfyeeiF4;;=i zit5|FM6Y1>71g|nyV_?jdH0xYrF!AqE5Q~HuQK0gTs!?G@`l4Jp84YZE!Tv9bg}WU zXCBx%nT_dprou;6UYj?{i=Y0Oa)tHU<Xx+dc-}o674&`M#4A_V_FoCM@OqUgb8^3@ z#`^Sn&SHm)e@^}tdiL>;^<=IkIyatAX<cDCrG9euw3NIR*FWXIIeYSE{GmG&>$5}T zrm*gB*=hUV@0t!r-ahli?C17}e%;e*Fz59CP*W$NiVSBSex0`~XU}crn6pJ->$K>O z_HMC9i=)3xc^W_W)=j6NikwW{UiXW_lPgQJzW?dwDK2_3-Jst^{K6USfRCXjQ%&v_ zbTMC)4*zDlY)15!_}CxQ4W5g<6@QT`A$B&W_j*rK#y@o}o|v{ueV26$A51rRE~IsM z>+|l=KJAuO=ZZ^Wn!@L*7R<ULxlgsU@9z@552`D@c~ndJV;5xxFZjK~|Cjnl1*@l@ z^HZ!v_HO!=pJF|yetT4lO2NT4iF47n9!DfAGR=?dI3mXVvEZyz&7F+`rd(o^4w;Kh zI(GC=_VrIL=NBdayuWglZjJS9UH8?(5x+Ju8=pB*Z|VJzWto-bVH5S|Gu}O6N}dyw zv`o7O_ZaXhmy(3Pc@^SmGu}#s{#6%TJZH|r)su@$ii;ZG`RQFeENXXLMqF&h>qA1H zV{RO-5&yRFK<ng)7l$-;iXNJX1zY@%a5#H)p5B=Y-BMR={1PTiHs&cS>hqrylh84F zb##k%P2W8|oeRfXRe$Z;&|ataX7PS*^@~SagC!mu%H%0YFb!|tX>hpU_=;CMf5jS$ zr~kNj(sG`~P6zkrA62b(9(peE@DP)7Y{a6?+|@hopJ}YMDR`(Nx2u4wKgOc>_}QZV z4@UJYYo6ZuHRr(cpT|EQo$_$=@?u}%kgH$x&TKf`8k+j@5Yz6Mh({gV)fJCkh#wDP z7rpUdfsnN9mBVW6UpL-#oP6Wa0?nM#3ntrcuAH??_H%tigpZ_^ZL+MFZQ=gy{afa3 zuZ^iL{!*IQSf{6R@xGvidpf)5i$@EDVr8#5o3nqtc-L|Ai-!v|zm!}ssS-5V?x1dP zz)_M-$a&^+&KMQHWI5xQqQt)Z*oX(4xV>Wv6ZykCyUp7z*(|Gc4Q@E9+7$-yJIh=- z9>DJVXqoaek#p7=QsQqy{vX`eY!tJdf9bq6{4p~gF61t@DN1ZSuBY>Hu5v-2G@n+% zIY-qz%N6an`Brs>v->_;*SxIYyyN8^|G(^3eLv;uzW<lozQ3|I*|Kuw+W(oksjEM| z`Yz0S)l@$0TGHLqVeQ{?Z)>%unz2vIP277|@$#Wh)0^{eZsL3PFnprU=KEPqPx*GW zrOf<$O^WgA)h+$sDqBH{mYcQTc^H06)=0PJThRvxPs@<4EAumg&z{n{@BQGz>4U-| z!MQ7fbCa|;G9L~<!l$$Ouvrp&pYjnto6U#Iira7bc>F#qYm}ANuHPXm(I;q~;(W{Z z&4G`m8=cLT%ss-#<lZUklGZMJjIZ?SnoYj0OtX7sH)W-@J0IcWncX3~sn){d&4G=L zHxzHTGaun&lJ1n<RQu!7orPw?m&A(OduH8C)ZfT_{P_{Sn-jhXTCYf%dP7nA(!A;M z8kM`=EZ)YsX6@M=!!sxH3U$upajrR+=eg!gUS-7eyPr1N?Vfrhed}4TdEwE#ORs+A z^jWPL!L!&&?D&fbss?H)o;|#ga>rVA<R3eS2?=Kfd|I^aRMxHSn(KWMYNMSKB9gge zw0#{D`ZPUq3|RSh`y4#37VMFfe0!SedXbhkvtR4k`L}8dv3?Wpw%aDs?YSoRd(z3x zQ6VR<e%iJ(w{7Lm+`f}Pa~m~(=5}WO%;mrQ`L<o!lkJmd-2C?4yKeQKtJS<ur{=!W z&c3?wwe*(A(8~c)adDC1*FPMren0j4mb}$smUk+CntR>rwb;IX_1Vg>Dc7d2lK!*T zt-|n5evA0=X15B?JDDxhk35}TzxRoIo_^o!kA6(oAI()+cg(tShvfSwkHhu^3vGWq zH)w~@`zgm_|1ME@6A~VGtIc%E^lhP%ZmND};ot8i#RNwGv#Z+v!||l_(KXvPt=lA5 z8#UqWwQb>Hv9`aq1#pKLu3Npv&3@O-UGu}Xu5z!h+FvDlj)8mCibD?4s}72CXT`QV z>V|9!?YXr*R5`r!U<i9<-Gi#F3T%DpY<V14o#)B!SXi=qXQQ;^(bLV1)mP@^m>Czu zzWn>;K<Q=$(*oPv6>Q9LOD9V0kh^l=<DCPg%dIp%F<)<$vY05j<J^@4oo^15F1me| zH^zOHwvxmSYp_V|{W*91`R|mAEn$1Nk@sqXiD`k7#E$l}osGgf8>=tOd9mOO|DD>b zRj1AzxVyMYV>5I4^gMI(0{<23P9!`y7Vz9TjXlrA^nsDYjzF;`Y<Wv~uO_gV78ptF zcz8ury_nftr^qwa-KYN1wBFGD{l|6df<7HK$QIofIxTy;tZv=rC&$aChrNFpYS#F+ zw=g;4{-f<)bwaPF9a!G`Df!2)z&e?D(^LKl@r(bc@R^@Fe|VwW&z}}IH1@0TnViyZ z{oDJ=J2^VpPx|<^V<yLiXI$2>Z@bz1>G6!K8upDddp}K{aZ$s5YMj}r^T%(v{ZuvF z8d#_4lQ|_`YO-|kEtYL2%Pc1zSmO56a81^kL{&5MV`mR9JGSHJjT;i%Rm@C|>9!i4 zm3}zwSi0_+GnYzMX9b7GhqX@q=x6%k=c>~yOEY8l*0o)Jblt1&>bsEOg{ux!ZnWb$ zom6Wv&8qg+w)GnNhlQ5==N@g}ni_HE(Q#G#4ZmL8j_ePw-~HtJ8o7l|If32Ry=S${ zeqFqrrEHr@3cr7xYKpw9=6e;Zz#^`>nwGtKy7eKS$~@L-tmg{qXnQs5(|2uc1C>c> zPj>#ZeQvqlEn)Vhwr!?czaCs$R_o2ZW<^%BfAp;K?@U(?Z@%P~t?jM-A=2A;=gG@H zlRi~?e+~)TmK7f^8RB_x+AWWS+%^1%XH9!n>hnXzK=+>K)n6a|WF9}B%c6F~+Owy- z{F8y^y_3hER4i9fJ7&Gq!1|tMujR({*5uM0=U3}&%<pw3#_bV4{-;8{k@cgW5clJ` zHacD9pEg?D)9h6J=%=aETke${`6f~@LiF~8T`%?e%abAmt4%sfH`>W9JM!zt4AIw< zkDQ5^FOqiT*Am0MOCu|`tkTVmy%`W0cP}6=IxaFgI=H*?Y_8s`|B};po;@q=v(Y;? zboTdE+J@>u3}Q0TQ&)Y>-^OjMlb3f-#AnU{H}&)z51z=(x88Xm-B0i1%E{Fc@1NLR zv#b=#o@>+U={N5f$Mp|IZ%W>-o3{R;-#LRbCoS8ob{<go(=)tr(w3*F)IXt}+dHQ` zk^gqgjpJeBeo5Wj%QxI?y&Q4*&Y2HfJKileOAY<X`K9Ayf`q{1gBR_OIWLIsX?MB* z?AfH`ijXVUwuJpGo*yCKcJJ(2)0rPU6TJ2aAAVAy-ZychV&RvruYxZmUn?6LTswaF zOoY6=aZ>H18FH^DAKDTz-(;DY`Rhwb^ZC72uhufSShYH!PX5}JFckyEbFGOrbGVQ1 zun=$DSg0rD{V2w!r>pp*;jW+2s=Cg4p--3Q3OlYeH2)-F=>2L{NaFWbvsKrNEnLO2 z>te&g*Q>82ez8}tz0jn_^If;)@w`@!J6i=ht;HTa+}8Tz$2q4-5_vEExen{7^e+7J zprB-7d7$}PMX^I4I$H~Pwh1&kiY;2UwYB0!o}%5aXb+*kIx4OE#3s4PR~4{WUEaOo z%J%K8245CQPk!OT%rBey;ISvSkjh6}-k=JXW)rzd3)C8KD=;4B4Y0lPU*U_1W$xGg z9Ja}dGU>W5PxYRZuKOSO!hBk-&7AE5^5Qxwo!w%S4ykf`J`O1^DzJEWsd;hQ+0<Qz zrrGNvIF5&M3+_l$6uPc^<gg<5$B(V8DjSbG1>MM2%zU$$-TeWdtwq+g8c*|OX=fRC z8Fs!i`S3xPx8}@p{jVxw7Zh4sO+1pzpL8$hIbmZn$9)Zh&W_5n>UnWG#h;@bd`g$) z$8l#K*wA6qv#+vui$GhT*dfDXPAq<cmji@5H%_ay>DeqG|5#V0lT&QcAs24XWFuP( zneLadS4`$4De?sCwj|GL<?z`q&?zal$S9Eeqv2_%Njn_ovzYRpkM@vy-qAh%<?QP@ zzYMaZ>YUA&EqfTb%dm6l7tIeFdf4oyT~_E6HStJP<-Ih+g{kzjmE#&lpZk0Igt>d! zINxj+XuB(RsPMKEOI~Eh5nt|)1&5t#=4_szGHr6Y&;32$qCBLkPZY1ri#z_}@QTRK ztaoS3GTp7ylDxc?qb5&L=(x_2!-d=*3$Hj$vPe?YtjSc&JhPPD{XuqB!Id>LJwTzw zvdge@@t3bJA9C>4)VMaQ@Lg7DjWzLjobV*y*GQrGvz27;j?(JC#*gH#xi6o^Hjl|& z<AL@EQPxRZGJWgprItutsj*5>Wct1G*cb25d>0QKc3ib~i`}U>ZowPQrpw}czN_-u z<fz`dWG{AR<7p?BGNE49{lb4(d2QY-yOs1y<F<}Vg8bDPb*!)Wq$+OsZ9V!mP%pCM zfa@y5ze(p$n*_X+ygKcI`_E%eEPX+}FZZ9@>%iRk+2lh(pH;_|cKb|4CiNA^zF6Cy zzu@r5gx6-yBECm253k=W(6}`u`PbID$1@&0%$8bGFwLrCJ^%h=PAp-8y)Vnl@|HEW z>za5ZnwCyjV)rLqOzDH>)fsh(&)uXdZa6fH*e&N<G)=sXQ8)9D;RUCV+AKw(=4c_t z7e}*%MQ6sXsk%_T#9)e*M^yWt8Lxac?6ch@(AX}f^s%AKXMK(HiW6T>nRl>h*0{^K z{9mPhSe84mb4u$9mo5&SA1lxQHu+G{&!!o(knd1Q!gU>&&<A2l1=Fp1;^Ol!IXo>l z@px!eDzJ|Ewey@hUyG{f4hQ{5M_BAP-JH)R_v@lU>%J?FEqrTsw-%Ia7HC{|CGktr z-N|fn-!3b(zK^fn{$#EI$Nm#$KRUav7FZeRH2ys5eZ?`!x5r&d{Hwj*=IR2SQg_7- zXWlAoyz}-!V(aaL$Gx^Y3$2df`;|ZS+b&rNznyMcGZLOB+o<RrtyuE0H+#!h>4*Ni z9*2A{+}?boaC>w6<ve!z^gDc>b4o2=n6J+-w>EfF&>ONLOQz%F0}F}AiUk_SoF5hR zv}^Gu*<7-CZngZ^+)vhb7b|W!{Z?V)?Y9pea&K?$x6Nx;`L<K$%kDSryJRJ%?SCY( zGU4D{>Bk2R)sHzRl=ZY9StG&EZ=7s%$>OQi@?ZCF>Q*0psj%V7TZN5B-abg&c>CaS zrR~l_+_8MWt}{N{Eh|yB%gxJDWrNYN=7*mp_@`PNuUNrib<8=bwx_+l)KD&SPU+mz zzo$8@?>tuBaQCgk#<Oo1bQNxI?$^z0S25cy^F`mNr^wpiOL^~<<>#xuOYpa~>+iO; z*mZ1+;sw=X&WnnB+S~m=#+1)3{hKZF_s(P03)kN&Ts;2v!NTpg54!7bcNVgZ<@*(G zAh&zh%6gv16JPiI{I#aPuy^VD^S@q5@b@tq$}uS?+o-r5si=^Udpz-&=l6mYQU`1o zFipP8Cp7sEpPbq**&3e$YZb$LZzmjjG0m^t#BHa{m;C8GWwK8HTTUumk5K<wdim;9 zXVtHzmsO87FD~wB*Gfx%G~tEB<+gTp!`vkw*S_9$asO?j3$}4g(s%fT_QuYfR%dbL z^xFrEm)}<Gl*?-u*|l5tlElkhd0+I;*_4z9|Nn4?`Fh0iFQvwpO7}IT$0;Y-ShO9l z;Na>07;w70r+wARq+gScab7Q7T%Y@VU-cfAcROWOtahH8S?6Sa?(Ku*HMbQz4fEKy z-rFI2N#fnEyf5!r=9ZTR|Bty~c|BtJ*V1CuW6ck<df1O|mEdoDZ73&Xo@8Tlr{|-` z;~gNUPW;kay@%!8E?JdxJ7jHQ3ao$Zcv0r@Xwq%P*8IDCnLPzD<_Gy1?-gwk_#^Wm z_jp8hI}6{@3WGOYA0PbCI_CVqqo@7IMG1cX_~R8z3J(_EWwCFpIBpxq)P9#wX!l({ zxqSuJKW;oO^GI5MTd}n^uia!{i6zVa1Fy>xEZ#|FFIzvmvF~^Dw*bqa9`@r8B={Ts zlWbJlKoJC5L#ukdbn*Ovc?JE`4|r~GKBBq3x&3q=yL_!|x#YU}0pE(Pmt462cET}+ z>CJV=ex49rQg`g<jncaXwRd}ZKPE_sKR)<z?&7S=a!mJ+S9sWTe*AF3P_56zZ}&Rs zzan3(zgMiZxXUMW_s;Soha;}rI@z=SJyP4D(zZi3Na9tQNAir*j`r?vAC@IVJeA5` zxPJCaLpdJfBpZuoM=LmdIzLW`5P1AB(Y422Dm~_UZ{4L`w`}8>vhVN-W#8qKYumX_ z`tOp`#k*To%y!BK%>bEqOZ(aWByQ^$MXwqr7r!YlYCKs`*s1CFE_v2u^^MmKmPXZI zIcb?@x${7U`t%v^p2#h;+S%XS_wlmi8@E4kC-d7XUa#t6%N5%@$LZ|8(%x@RBkp9x zH-7E?HucAri~Ho#%x+yj-s1LK&2ZzzeVS+Tx8zH4OT9iFT6H}mzAd-++tVMrF79KB zH@y{}RKK{^w&rF=ynM9O+u291x&2;sXzj&)nK5~5)_XiiT3joscc5l<%EtT`scO%a zB3sJL?y-F0J#)P>qy5bM=~-2OLO(z4pY^KVdcJKyL95yZ$&Yb7v!xy$-MCONj%mL6 zA@4`VGycDs`r%Q8$a|Fy2KPLZ;`U5#-}%wc?%5G*RYU80nyr!_{W5*J{%FtNw$^^d zD$Q&S-v=&F_?H&9JdB<dvhCp06Y?tQp1fi1aq-icf3dILc#JR9Pq^}WLTqhjZtd@F zzcyVDfB8e~*<GEM=xjT^Px<wqVm2C!g+&IpXNrA`i`CV;8Br^{&MnSuO7i>gx{$co z$f)SH?1}fD+1#2oZGV5aSw!?rzSmJRgss@GdS1;xvHGCz>4Tg3wDw4UQ`5@26>{yt zuDzUZ7tFG_dnji8tL&zWdU5No?74q&)5d)=x24}|Z&-VApHQ^)+t-QSi)(9h^0ur$ zHB0*K>_hY1elIIrvT>i5{f|`__wlq#zdc>J;^ICgcImg;g$pk3)3VRlvcA8z_nU80 z{^Hu;8#glUo9xS2v;Gu+nfa~hiQ!B3UEX(0x8C^)Z``eg1*hdNUz+o0;cnN;w4HPB z-?4FcQa9-U({|>=hfY|VEaKx_)AcbSLiX{&jhe@tAA~(&OuXX!D6OZxeYK&S-MXU{ zDuuSklv}k8<udPdr&I~@PkphY-FYqF_q*(Y*@v1X`1{%o<(T@DY*gfqS5*AaJ9hZ^ zcSAW(o6e6O3zN@uEWLQLb}9FnBJ+jI%AQN`x9PoW_9!W~u84S5_F?0hw-b&OZFlC< zzQdQfre{yH+M@}T<zCmHt)DVwO8E59aPuiI@B93Cv~|PTf{Pn0^(3|(s60H)BK?Nk zKEoXObYAODZ`SCwC7d<cH+a(HoKJQ~?vDGfc3CtyVMo-B#i5zfrayV3eZL;!`<4G# zct;`^k9mA{+@~N9j|T}Zi54P(7JTj^q3!M>owkBU4`1wf^!Rnhq$3+UCLQ|NG3glR zW?`A_KH^(<%<0kKS+2x&{AGtyf#o8PFSU0=Y`%1A*j2etQK@pBB2wix#iVLaUWwC# zZIuP4k-KMGuRM8EcTqt}uLe`BQkTo~jz<suo<!JLxz<d2ArTl<A#_u__x)L8#lj;c z6FF<d1103#Mf%(YT^}U6Oso(Hw7Ju-VfW5;ipslLj8!+XxwI^eTUGX%w2FM2?Igv{ zwb|$IdJ$P}zFTP$X<RbSzK#hUnjSm`to(<44<7Hh9yP^8n?p;e#IW|(!qu832RxLo z7=1C3IVIsXlj(Ryuz*A|mr%0r!Ncmy9whG(%$mu!b^FW9e6>Ccnbjl~PFp<xVZb?q ziy{_kGn)>i1W%Ze#3i?ER#SiGvVhK)t=S&jp}7W!LrOaHzpRh>{yx#$`I7(PciVF! zKXoaZZaMgN+Odzf7EYIRVCOM9wvfrc$5u;%O{zMifX9rr@#r#zjn_nM))=$OzwXrw zeW6!sRC{aTX3dfV%i99NyU)j6UN3fz<7fLH&N=+|EMz81p4(cpc!}%8HDdWe=@!1P zUhVUF#pLc8`$%bJ^^a*+YGc&Cs;rw(#TD*p+nasGprFQi=j!Skr9tUC9AC+pd&XXs zy^_d!E@;ycNw04OU6X2M7C%whw=AVpdwEK!eg4m)liZP!y34;uiK#Wj`P%dQa_pUv zXOPp_+orqm<ObUuvmE(or_Yv~`|J$*Zt#`!>}KA!PmF5=Px;}9wF_?_Vh`|sQFd7D zM&b=2Yu?+3^$vgAXf2blq3WBt&8>$M1s&PfaI#1A?Mp1*T6E4Rr|)K)uEFsQs?Vgh zwO6*iP2QInp5&Mz%Q5XtYukdbGp-NySh>^qodd<0cJ2R`b@FtgtM2sahh#%nKTSUT zu3_fGzVHRn76w~G^bB+QmbU3`II}@@n)J4I(YCkAsTMC1CjPOLnGmJC-}nt*glL}Q z+6k+R%U=7Oz4*6x!&!rC8!V4WY&(!~c$!4|4Y^~|+xj`%<{nwnBzr~1m^V6BD?jCY z%<G)9hWAAzo{4@7Fm+=R=AE6qDUrL!D5rB}+uOuhiN9y$-S9l4!Ls1;dX}`=Oo!)~ zZ%S9yRxwamv|@Ie%flCffh=t9BAxz9UG0j3M~`3Vm~>=A$D~6aIwl?C*eop5>?6MQ zUw@AVPp}f#@oODQ8&ns0<n(FCnYoI{ODlT`Nh^7A&3B(-VrOivc;RwMpy`?Af~|?Q zE)OHb16i)QiFBF@9zA@g<56;{i)T`(i)Ui0i)V7{P0r4^W!<Y1r@4!?r3$+0g68Ty zT_#4z1ls6yY1oOmO;Hh>!C3Vom&@+g3@4#lU&YqCWfR=~n{V3w=-R^QLZ;w&^_0?w zd*}J;@>n)zE?KvV3$k!t*&{Z|Vr8|2+p8?SNmWA59=5Ii9=5#)uNbUY+FKNETq>~A zm`9<s@81$Vg9%n^RvplYOcGM9p5bxwm7Bv!&z|K^M2-a(NhQahzn3?4jnUSx>z_}5 z<+Cj9hD^NFwgYyDr`^cDA@@yUTfcr$zXDTq?DiRX6<ZGH9Ddhu_aVb+1M{BHW!p|~ zuq>0>cIpaGbkgp`>Kkb{?6$Qr^B8Si+U9PpWB7*eh78{Z8ScXosaf+S&5ny57Q2yh zLr9wU_F=Ze-#%X0pk1i@{fg#>&}C(J1wxjUDc@PD5FDMR@yh8y&-KkSqx=GA-pY9F z@z&t{2I~iDJ06Qh2prQ2zMX$VW;;*xgKdf4cMNm*%Xx1f-&=6OaoM&TA2Qlq+wL-c zKfLYK6*&>6ytV7T{$#xq75_S%clP6niQG13Ii2r$ZXf>M_V#gSV)(-3hjtgNir=0$ zvALDlm$<s>Z*RbX)E_22SGV5ZIU#)Owd-}_hs9oG-4MFVbNg`SVq1B3-rZd7T*~*X zjK!}>%DvriZG-iLf{K>YhT1(>V}6|4VA&_JP3t{x^rP&<-wMubsFg8)<2A?Njn*6; zAJ*LM2Q{4oiXS`d=stKSB3Yv<Z;jrW-kwFz-0UBFyxnkWgY^TS3I(kVMm<;WSY+Rj zxi7KpfaT$77Wp^i^dz?Rcelm1dO!4IDNb#Dc#-ck?{4OOytlK~#qiyh4|{a#wf+<v z*(>6czvg?gPd&OM>aT0T*WbLUZ=?5$|B1`Zb^iIA_f^4EQO=mk(|(dwd)EF}IqoDZ z@!}9qbIgq74cy!{j}8gljlFR=K>VBGnbye??+$796g)I>+m|aJ+&8apZm6H}sa8q1 z-5a!`V<QsQaIao;tu?gw^&zdxg%4HKb`@}azhCsgM9*?vaAREGwot<_YZvE+O2(FL z(Y<)P(9HHrVSs$CtVw4b-z=wkcHiU!w|~vOTDj_<u#MS;^~?5sT*hiRr#|?<ZM@;C zgB|8y<9E4oa!c(=P375svf1$NlY%PqWwZArr}C7)RGXPqB(Ycey6?TsMs2@KCdZgs zPPym%LQOtG^04zR&xNyOoZhRIPVQgR^U(0_k`UiIr}xiZs_oxq)V8-|^1Q4fgWi(v z-#Hx*y+3hXdY&e*Gq@n@+iiivjGJt0lYULKW_|v20k5Hq((TLXsR}!T3xfD=Pw;9x z@$HMIq{BOrITMx5jucGE@t@!S?}^{Oy!?J%LmB1UpF^wno}4>r*WSlwM+%y9^jF?` z?fq`brMGjpD7FQsRBK+1(=S@SCGY(fUc)(xx4l<?`?W`M%DKozVP1dJb2#Umw<$18 zZR5^o>1R?ZVv3)%Yt>|rxsMrEa?2=|b~Z10_fTNv?;RibmZ}%dyAo{S{wlNPGoK-k zjQGmKt8|{|T<!6)KR3bZcxI6JkFG1KeiL`KPhRrwG0RHz!Z}xhE!<vZ*7))^AK=T9 z2zgX6CCe?9$9+YbN?FkLS?#e?-Xxo=s&8zc6nw+`WM)qF<E=tZQvdb@?zedGD*C9_ zVN<84JN#BKncw4*_gj7Nw{?Dy(P3S$XFGgPGL?6w{#}3VeUQ;%Td%NiJymWSjcH%^ z=hS(e<muO#`PfjEyCz)cb^YI8wyMT93sd^~@`X1!EKiEue|DX*s&UD}l)iOSbS|uo zxc1&h+UX?EYt5ODgT2Iltckdm|LoVCNs?v(M#tT}#BPM>yxwk}yDX(mcgmTKQzx-* z6FvQF{*t`qDQ&V-&TQ<P#99Ut=zg~>rA=RBW-`Aj_nTU4tLU#+Zo03~3Re#4``e;b zu;4{O%=z!lQ`T(K@p@+AbCSurJ5}}zlULddr;{?lnvn@n8`nJlUE-BC!||kyv1VjK z7>J+al{Ulqq)f49WI`N>zh{wa^Xs4cx+YnM1#LRel@xjY`_n0BHuOxgoEEg{z$6g= z=#(=XIwo0)1#LRe1mgdkvgVn!>gwzXlUVsgPgm`eUy>3!HDJ>$t0_7kQbA_gd4;J; zs;>U&cakYy<nG+BPyd=OG&-X{>*lXV^ITRiad)q?U-<m@yG0sb@{<$9Rg2#&Ntx;k zGAVy~N?Y!fHP>>z#3Ev^6x*tbi+&9}f8uD%sf{fGtkc9+R$1vOhqOIyIkmAVfHh2P zWtG-CrI5C(EvGiN1+ZQdTUjOLrxwyS*)@5Y`a?q}QH!`GuQY#%E?lKEBfzpxXw`vE zrBMI<!7Zl>W(8QD6IgZNrc$W?-h7U!4`mh>%~20&<UVG6@KE_i-qfmDZ>kUbN#<E= z91mp|eer2o?<>tOH+HR`=Q&sAcTq`MK;yfxRo7?huQ`78^*PYWrF)L7^CDKxyDqyn z@a50HCpND4YLorFYR(O>uY2A<T)<;1JLPe7c1V@&j?|@9u{+)@;0c$R@_1G=SIqXH zy?J*^0@}R!P8A+^WPKO4GA{g`rN-e}_NNsu7Odi{asEH6u<u&fs{S*di(}2yzdSB^ zQ+51hQ2b>v_xsoOOXL<N&P?Om`}o_%w=#v>4mXv_9slv7Y?np<(dM#!9Um{gl_{6= zW_cUa{qf@4nDSpI7R-Hnt|H~aInQ+KxC`gzO32?m7rVS)e#_?blKt{qicj72Gs<{g z*VCW(eBNbs(JjRXB>lBAo^RPax8%aPw;<JPx64kid1kcdW!c5GF(tB{>1jt3!`D}& zh1ERGQ5QZp6{Kdn-~2OcEMD}e8*87lxP9_WSKqlZqs@z!UsgBP{swa2IjhGf&vdN= zi*5#q?(6w@@omjxkdA%*Am;NgC(m^4Jy&70`O%!sbI+`)ka)cKcIAUzPmHIZ=exdF zsrYM5fvjgr+EKIc^)6{)bIP|(o+&y#siwsGZj55O-ZS@ged+6-uGX7=X6c^Gr3=nX z{j+uT)HAJrj=WtI{ql)bz%!$JkIOEul_{3hC>AU)-#Te$TlJ0@qv;>=ws+?}ZPA`~ zhPCGK+eOhkbN(!K$o;Tzzo@s#lyJ3zgD1j#S84q=|7!2EJmYG0ZdTUSf7Z!15@mZ< z#-}=0URk;7K40kD|7kz?jkzYII^BPDh$s4<Nt;?v@xlDQ;=~&%lJa6L`!705irr>P zas1;QGb3@OuEn(e`p7xlhl`?He2(uw<R}?-i|L8upVcul61VDF%<HfBox^=ND!L`+ z`2KT_lGkoCi8%h5zsumTQgnfm{Qu{LdOXrOf?Tro;g2nwJSBHY)XxxEWYn>LGxzGM z|GM4<M)LK?EyUZ@xBXb!_rIDw^+hW81@1@kuMY7j=Nzp3`J?^#P6M9Jy&IN4womWA zfB9p5@?ysy>9SKEE=rBn@ww-1D93X@O6+*TtGI2>ZQ9dr7zux0)oc{A`AF!DZP$}+ zBzEm}Wf%9kwQ<^sX3N`mi}o1>Z)<Nh`cl)~x7r|a|7MrC8SD4zPGrBlwO`ig%hn^) zX0G`wGiBj^)lY{)WCb1{G`JuXV-U!GQ}J+uch1brU!BcHJR6UM&RAlun;bV|@nT(Z z7X#PhHx&<8oSyoIeWHIsKwIau9e-+`Oir?qP>XK*T>2#V&4k2Ns@qZoewwhSN+fq` z&+M;p>tR3a<6Yn>`%}j{WkznNuBeOMaYxB#QIEWyD$f^xe9+*&P>h%Rz5{%cVo^!n z>=XUV1KI+o?YQ*wg!{1ygPJr>y|v2!5AjKsxhDm4)~(*u_UqKHs=u{|pPk_04W4?? zEpIbhsO1c9W1r&0zMXnH2FF`f({^uYkL;@}%qa<I<mJ=)xU;$H#~H`knpX=v*OXrn zxn`LmmAy8kez);uN6EB^cB3B$`wI2eTr(7ZCh_zT)8g2Oq#o{SiPta0kC(8E&Um#z zC|KsoVGs7Nh9?~-&v>yw)2HBq$+7)Q@ApP8SbOT;<@-J>o;=|dGPqNr{3m=Z>x2WG z+=&)>iZaJ_Tpna|PqfHVl-qXMvAD*Sxv|(}!jCpKxpS8e9G8?*DV)WoIcJfhlzQ*` z?pN8j%vheU-TLpl2<M%O<9?Fs_6zSjpd6yQ*1jNN>c?FM?&jiRFJ2uIijBG9oG$+D z;>p&@FJ2tdv?=8Emb!0!Y|7(V$?5B!b#bqLb-LA3Zuf=*%Hq>@JUt{Qw`)T`yWX55 z|IgouF1<bRN#s0#<I9WRt-2R`)%fn_u(XsEH@B1m8ROzJ>#8oao(NjLWc8j&Mn?0# zgdRBq2U5oN@1D<){u!wqrt`PHTE4irIJy1zEmil5r<0QRluXe)?0mdGl2bp%=wPw? zEjc-h5c%)2Sq;^ErblAir5>(y?!EZi{H5QIdK2@%N@hN%vmUL@zqxN;=xw2Ef7hLG z-teUS(c!6n{+zeFeOYdI`HDn$`|<>L`O3A4&#vjwFk_X7%;7Rzc0(jFXQSq^9fwvs zZQN*}crVyT$LwQi%Er#5qK%!2Q5!q`eY$+@(nMx6ozXFCoe`STWw85(NOIi6(8L>| zGX&meKNFw*!)yJA47qRORW)z4zp&hhe(|vQx08R%+}3##)^*eKqN8klRlof7ZEQ{O zaJ+x*$nGVAt#VBhTjja}Tjkm=w#xA*bJ^OliB>W3=zM9B2zb$XVgCi;#qk%HE|7?s z!t=iUmsk}?n^=`bns}8&nrM~H8J#a5^j2IDPN-U_eq@W2f6L0&c@n{0daQ?+EJ~?( zZoKV+v%$_60di_$kw0#2J0X-%Gg0-(4khoFMAvB&hwCTrGmG3-^5>`hD{1SG|D&dV zc)4Ay^TFTz%h%gYJHua|&yhOF9z99qeU8v!_t{St{!SM=>CQh}WWjP@u8XJDTwml) zPWrOZ<E-kJ?Vc>mI;vOCco%LCRlbqrJ!#Q=-=rIPlWo>)^|ZToa|y%i+@KC#GtEP8 zvqh3Gr@yRoQYyT*DwjPlyHfGrwKX4%&Rpg7)O?t^F3Vf!;O6@?E-#kIuoOD1(ETWJ zgX>4bBa2unQcpT|xQnSCO70eV-0Au7^gN+?(IQRa%N_}Oamwck9aQ(O64BS?icgFZ z;a{)Y)o(7QdLX)6=+Ru)&JXt%9r^J=dgt7!*2n(~7`|ut{j|z5Qu)R2+a<|y*S%gO ziF5vn2wwUk=c+_iv?>4IHM9EXh3Pu*uNGUhJGA@4*;PkhWL>UE-*G<d)*f@4FVfx% zJKufii&fc?>pS()g3x4(gsVTe4z2pRBj+lU&4w(+chOrpzORYu+0C{#^2nY(yK}w5 zo7@VHuL`u;l(lE?Et5J{`3Tdd-(kIv=7lEj_#L_H=#<PyhNkyiCZGK%l$R>qr8e`y zv-~6XD>k20om2RVW%*pIqngXl6<k_bZSn9*txWkV#y-oh0_}6FS~~ZazO4EBFWUWM z(47L+^Wg@cV^4m*dYWmu-^L3ojdhA%$xX8;mG_=o)sX6Ed!%T&Tw-f*|HfmXdw8zL z9z7kdsvUdsv*<~!@K18<cHZjiU#(|(Ky<pyqYm%Bf^(|JEwXJsFYA*P+S_vVnDP0N zrmg!$>%&j1nr?6>S3%;TSwK&Tw1nGEUOBhjymrTS@G>6T#jDu&?^tGs!MV9kJs;0a zS{Cu#r{O!J@Ex|Mg?Y?JRkk-KZo2&-vFrAO<W;u?5@y{NNZNH<AhGSXK=QK9OC+yZ z3qQDfP-lB%!nV&Ga%L8#^3rpw8hrh1kEAV^OI#P+Z|F1ER&Cb>uZV@`nzf`37L_(d zT&+;g66gGO%eBk4Y+~Z}*e@GS>;1ZsDbD<6L$~6#+ebNWze(yjdhOEUh_t_o`FEq= zDjgKt?XmHuo>9)GZaK4CuJY1vk{Z6286L6PEs?l3_Q~NLTfaz5i>f~n{U&So3HSW$ zRW(V;S8L8}&SHEPy;XpFZB&bEnC>yA#{qg<{2ryf413ICH<fc+ZCcjnnK6gzmiuiu zu`>8f!7Co)xx3mU{q7#mSuU5*7tC*XeWm-1=U0-?l#A*gmAZbu@^|cmE1Y6hrCl%m z<|b|oelB`*<!8xV!Ox9LmY++1;WzjEg}GHdQ`bF8z47puW&VTJbF2<#g@2MO+m#}} zJEo}NuAb!)hv_nj{oZ{Wuh*sdKDxLi_}E69%bb2v>e`}vTbT2h4~A`TOlrS<V8h+q z4;#+re%N?4mt(`}T#k*mb01tknEN37P~;^M^*Nj$>=NeRcGz$~cfpO9W(IT0q-|mf zrEBgKOEcXmmv*u#kXEuOkxsHHnr3piFr8_Sb;TPq0h>a(gNF^5zk9qQn7`o2%65x4 zSCnOnUK#SOntl7End<Z-Wlw^4dwh7a{bg_@+s2m7d{ZB+vQ6xIUN-SzYwVW~m-K#B zq>3}|+19Oi@5WJ%{I^LxyTdl_Y$-0A_+Zc0KS$VpnYMcs9Ghowly&!sf{S`pJMzS9 z?`-I1%)50|VEdb-mfK~9$L8K&#{VmA(GGr<xM@4SNH2CMxTw;>{$76uYn^P}<hqtM zS{>60Um7z%ds#oNPS>p6+amD^%kkbhk7i6XmNAu`79T8}W|4nL?%d1`{hQTf9`taw zTjU-R<eOE{GkLz|*U*HI_7?>c=UWweh7?W|{<$gYrm*G9Ls46g%9I)ghgNb${E4=8 z-t$)0@X6%uyAHP%iNAfjZKCiUqoOWmw{r!jN+P<P)y@=LZn2FqOOy}yu{aPa%vX4} zMLEX&p@ccl_4Sc|^mjVk<+;9oXVqUl$#wC?Pk)^?joBX}dOg2ySM!x+kL@QdsZ^F( zeXn&@2Fqo;V5`1WVTTlUdl(#>rFAr9^)Z8^t14&YT&?lhl*OnPwN*fPO;pRrFx_Jo zvyaU_nz7o=;8a+~qQ;Vq-7It0t_<qglEtIEW>)*du(QVvR*NO92<0|BvdUE==W0^P zU!9-DmuITk$sXJAtX%5LhN#v@tjo7recAA%{G~aku*N=54WYZa3*^52@ypxNq$Yp! zUcw={Yp)}oBu(Y4j#w!hIHO&1Mf*Z2(fi+LCoGUVmK{<vIlr;7Dq=<8N7=o)Y_AeG zyB*#B-c52v`$Z|y`>Ums7RW8j3aLrX>uao<u{!YM+*3>0UM04=9o?=zU2;YH)BTgx zHBTO{Pcl0nJwH-G;*a%D&zY+Nob<b$E?iy|@MVJr&t2WF_B^qp?%~}+4?N$U+_*|; z(dMV-f_9O0!jE63A3L;ABE_#~{rdScg&%XjelFJfsMR&GA~Vot&n6AKxacVi`8r-5 z+r?B5S$7LP-n+9vf^8OeyMCwr4B^Mw5Br}TvEebe?l1rMtW!f>nxaemW`TwMksTMU zzi+8~`gY;2Hya~2KK;Amg7XK9?^ewhgAdJ45<b|RBz*LClJMc(Nt4^ZA61k0@A74| z6PxW+@_)|-k%jIXHQmmi?_S4s^zb2_kKgXB{*bXJ^Sho|;1^9Z!!NpKl`q0`9=%<B zLu5hpM$IGbkBYi3Y_(V_5zDon>+t16i$C@)DSmjdV*aO<8&az(!d`s*xaWfK1J{M> zM@~EWw}iLOlUQH;p8e&#WkPx)ksRm5Wjy!Dm#n$qT(ISWbK#N;hYNOGc>FM6;ql`K zo%|anx6Ts~=e8Bp6Rk>$(c2{=^1N%(!@n1%I`JR8>coHauM_{_y-xk@yIbYt%eibB z^Tey1?r42+*%A4ovvBc?AcHx&UTx)e*ST$3u6OZ0edsgs=<%0I-VMR7(*)SNbOilG zB9mnP->uL4SkKjc!!~pJ-`NQ<yX!MQ`aim#Yjx$XkM+m;#81yHuUuHAt5!Sj&(>Q! zrrVAl+4C}gsZ~#iz{<lKtQW0%0t8kcu3)V!l~}H@pD$Td<Vs>s(;=&#e>Sl}(*ui> ze;?zU@uBjQd+YyOD`RKxzwD>$ePaqci}dG)Bxk-4!g2pAKRquCzR*%SU%kHAqFXxZ z@7$!d$86S|{B$C6^)jo%FR@xmH&!at9gvNjxqJoZZF~RgZIYgRyUse;=1kqB-M7<1 zT=>lCqavS+4deTtC+!nDf7DLwq~ZCulh)jE)tR<7$-Hj+l&vgk^|vy&X8Hbe=-~UA z6!EwAlX_lQMu^Ur@T`!Ul)7EB*8J#Zt1dYq{_@rPnK!0IEDij)^506WuSeu{W-L?F z{x|D@h-jDVzfb2ce=lNLQ@1xx<mR;Vm+vmyGvyECwnj1El#*qx!j%#!7mr;3-yUW1 zak@#{%*~p5*VASnF*vQ$*(9Gg&1E8=p|?_Z^Vvx!9x}{6V(|F$llRrrm#UqaDiv|7 z;Ms~3hu@q(+EzOIN$#2_ZNmE#7Ww<UQ%ZbfUN$x1x_Odx?`r$)zjm$+&Q|Q;_p<%B zn6Z7w%H)rUi*BpQB>k5^Dzv?8GMkcDNqeM%#YgvzVb@!Q_@YIc{yjUQv28YMTWs3F z!+Dz@B=<*l6kO0bVv!;wp}#sYP4UPD`>tw(t^@TK-g*o3U;OHAyYR{SDSJ(26n|Q$ zHt)M~I)Fp9XS)VV^I7+WGcv>^)Mm2sPd?k!ubHNJC}guha+BwWio~yG+=^8*S?2#= zv^$dH;!Tx~X|~671bWP-CMlfyzIfp`uGaP9zT)10CQb@`w%DM@gj>#S2CMwxvrOx| z(;Bb4ZWc)Fi0m-DrqW?Q<H~UzfiI?0!&JOp_paQc6c`nIrZe!1F*ncOvrX-cX$Oxx zY!+C!JhJ2BVFiQ0?QD{IdcvjI>pTTYv*$%B%*fXeR-AI-`l1ipvi*9J8Y2@TGQ@0j zjJWNV&2D8leAcN$Fir80$7X@W%RxFiA{8Vuv?edfv)!n{;(xX?kjH?Vhy6@b``)yJ z$Ne@7Je(NWQFvOV!}EyoW`_@VO4>GBd^Z+reXz#TuuD9x@!`_Q4+R%>epqCRDa|qB zHuRHrb1`h0XZ%UvIWK2r5?9qh9f323Q#u5^BvJ!8mdzAiydy<SLT@%JfB2cE{?%!U z2TV5$Bu$I#Fu1I9WJaRUkvBQ3A{AyNX-!TkGdsCRz{gD8&G5F4L5~@?+_4#~^2ukN z8Vb`Ck5p_HNNkGiFubVJ@<(F!8K;ikwkWM-8ozsNj+ilvXZI+(`4wC#NQjT=nVQy^ zFx61CE7|Q_!JQMfYfOvey?bm91S#`xJaa->$NZCoHct%Ctku195_%UZOj7*3E>dAf zx=&})#)-yjjEneR_ue_qrp&+L<_YH<!%rO1y*9^WnZ>2KzW2^~(6~^+DbT6*43ndM zvX=;d@0^EICstSFKCxRTUD+V*Cby`4qWg=~Cx%u34P$wi+wAWzG>Z0=4r!{L-|fC% zCySFk*61Lk`z?{<vRa1?+h09g;rzAm$U--ZHvvDju2p%t^tk<wE$R?1i(C^dzcZz& zeSWw4!Q3KF^Ep-rkKDJOw7lYhmDS<e>2Ds+^Zr(NU6p;u<4MkU%1#RE?Rp|%{<dh- z>{NX*!(;vb_ihcDJh5(Fu=<SWUh|UXc&ACcp7fLFfa-6Fx09HAicZ$BJ)NX{rtGBQ zvm2=!Pg~tr71r4>UCX<zWcfMwvhYtL+_6T7eWt%j-01ym@jmZo&HKHd6*Ejfvz$q9 z=6Q}cn<A9wZZc=x7-W_E-f_~`ApYu=>Z_N{TemoPPND4FhikmQ6`WK3ZSj5*b4<ZW z#k`$QIPS)%i!J{BJ~z4T#kFe7kfwXzmbgyjFFMK6ESnkprEmpLt?a7yb$nOd>)WLg z8=QL$FI0Jj_*k~>NNHMl%}V2mP+a8e4Hr1$qSu><UhYd=x7c`3;on<_g{QxHywaQR z!x`1~ig%Ng_Y|BojJuU;c*Jho>7)rYuP1TdyUA@Usq*`#ew2~NyN%O#NvPc4-FNQ8 zLDlLXFDBL26rE(W+Pz6^QMY$r!Of`^J>J(&F0=TOmu)QMelIojsK(~Y3)^lah92!b z_LgsI!tAd-wuLLN?@HOnQo6)<<JE;mYsyy0nOTR(&z8w*`O0T{%xbmPHsx&=Ax*~D z9(in2^V^-$6x_E(^PE*k8!zA0!*1=T#OGeC(%h!M&hiRJxNKIBc37xRmVZ>S>a=a@ zYgXO(ZTBvK^IH_R)lJs>&nIz;SzcOZ@hm{H&nkr9U1nAPVLsCXitSR57C84799Vel zMt<l~ouW2p)fsuZr&9PV52*_K6fE&IJiE}yr*wtfGK&!T$+B53o_waqPTcP<U%o19 z_y3HW`!?<jE_SYcz5mf+`OnVrt~oikH?1pGzW4v)kH_&xu1kD8_vdl^tjqHKtM3@) z<o$_WzH67?+gZ}}?^NVE<o_&=RGg6-sF4;e(q?I&_FR9;8xg7cyvrZu_t?q&Jh)Cs zrgX)gw|^$gt6RP8>ExW7yL^Lx^Y!N5D*Yj9`%htB*2N?9t+(a%cJCMWf8r74ys|~S z{({26V%Z>@%Zi7Cc|URec4=q%UgA+0-_FBt>fv3n#JP#xYRQdnt!$F>vL}4(T+jD2 zC%j!GzvRS+u;w_<_az=5;@U;zOHO<UY_8*+U*d7$XTQ{v7mJ+R)>(bI;M;Du>$Smw z%D*oZ&t)1sc-+ayDVKd+<3VXexslAph3$u}z7&SHGv>W8I8w^nrW$iu@nEWKP|QWe z!=b!xd+J_I@OLZmDD-IO@i&o}{vo8D<$Q@pVQ@Ro_I)1mD^Dft?0)f&{Ugu(mdWQ9 z&aRsI@0fM_IxCeIi=4a8S*83~Qf5)FU0JcvSzf+G;=x`%=RKDd-WS|^HQ_-opJv?a z2@j|8+3vZru)p7m=YqF&xzM8RY?bQQ+}l}dOFb_7xAXiheUaF}SIcuHvBA-b$1tLK zPqNG+=ca{LPrB=6jd-pvY!|fpaxu7_@myx>8K*lJ72fB}e?7rmKsLzcs$zbL)t3(u z?RIi64GuWVwpA=}yw`aDx}v;5sYhXWJCAtDi-+6zYVTZ1Y?y7uQ|R2hhk5@x=ca6{ zB|BC*w`p5_DTr*h(|b9A-^7Y%qt|N1J<RqCotqw8Jz?(U`}xbMy(V&@^S)UZ6ysf9 zOjs{#a)P~;*-GWcBImAJtCSn7o%yRvUL<elV}5ffv16{(6XvsgvO+o673asioRGMh z&vwogMfn}25)b<LobUW=HmaC<oo)KQ05<)NS_foHmuT<*TkyX73tvgw?d#ifZr|9( z_uaQCg4xD^n}z=@)6u}q2MQi_mt-n%`#xG-y!~H77)M@|NSCx&>%(92M<zd*q_j`@ z(z2BcH}a|P_qZ;&>B^lOn-(q%{cR=lM=3V!)GPh7YpqPwK4ot8IsJOI@hesVZS6UK zm10Y`<~`Hi8~>wdsh`ckzr}M;)U4jN<JZ(5%Oc|PFE88Yd-DkI@&79SPHj1RAo$=V z`_AxMnLaC@pO>fW+HH@zRi2S`eceXJ$^Vx;H!be;u06Cecuq;m*3jGEde?5eK9T>O z$LEh10@XZiE5A(r>u@Nb{rEc-j(IyKE0k8e@@vxneaG{H70;i^#++@2eL})*Ry}3v z4-=={evrK6wm?G5+f8O4zFmkp6u8{4;K<5gnX*?r?Q?duH~ZZ^&bVAI;s5+g$JV{v z!?NMM|I1~K%RXJJKBKiw>tRo<ibc9@hPIuq{nLQT^ydMU?au=$mp>1%jVWGXx9*mI zhyIT@N{3T-zj!z)_DkVKJ+>VQ;?8rncMG1obyQ;c+9culn>SsX@~(Xn)(<Z)U%_H4 zqvh(~e(J*Mg;g)!2GoApIA6due)owB=k=;y<crtxZ0Kg(b>pZ&^P8lWgJp)t1hO?v z%>Q&Pyf**er4IFNa!X2s|Hl7p`0{RbiPM5tZ*O($J(>IV`P*COCR=ll6hxo9we@-J znd;jT7uMCT-u9KZ_v?=Y-~ZE}wZ-3hwD|3vS+~m%s7HU-S2ImYyZ$qKdE<i9*QfC; zW4o8eC9~(Dh=s;3aSMqm5eu6yIt4#ogg)T7u={}EBL7BZr~6FqJ$3J#mcMxK*EH?J zwXpmk_JePk*pJR-Vn2MBslC0Ll~4XVw+y44h=r4lPJv5B$b*iLH|~l^<n5fCplYLA zAYfB0f8=oS_JhZ{w<{j--mZ8=d%NPH?Cpxjq_0=16@S>h?PmTfg&m)#rp8XP^x6@d zqPQbGW#Nwal!xKlP8?eAJ+U=@rV{slGgq;?(k`7pzeFN`h-zuncWr0w{9u<dBlqQu zNDeuXrA{CBojCMi;fY5NlP4ZMzFf(>;hbx_NK)8D)kA$s-aT(wbz2gn9hLT2-;7F8 zyb+YL@J38Z;*F4$j~h0gc$6GDQT4ztCGU<>*J&Kt-8zQXM5gR-zslNKp}IzElfW9C zO#y2(HW}#XZ>qQvobqww?h`@@;S*JloKx~{;dPxR@tEt>{o_BHT>c2Di9~X!iA8Ft ziAG8+6N|L*(cbi9MqCP~!QvA_Nof;R58Y7m?zzeOR6fDaQOS|BxLb$ibC-_D=WZPy zZmuHfq{K$$LyMT)dyZbq^qt-J{{f%Q$~8;0tl|%(o?kJg;IsC0zk<UngJa5H@mxP+ z6)&VB&wudj`pvD&mTk|v%^03zzx?U^`y2kwQM2El^V?GU|DRoNpX>bmd361z)HFXa z)|f-AyFCj2t<GUw)2%wEcm+$kjMmY}_EQDFy|b5T<lS^_YyNimW5MNr-ty*ek{Vu@ z86Gj+E%9(wY)|1)oo(4U|8}-ymQ7696}x0cqPS#QUF1riN3WA)Z*M)#^XRs@NO671 zOP<f0jvtuB7F9Q8b?Wk8d~aV{ZPS1F=z7`I2Tg&wKcatbe=e(auyFG%x$iB`sunX% z1w2K!S4Wj)h3da!NX;%=eCNWe_ZHcn3)am!kl@Xf8mVIN;`Nr0RQC5be;Cek;d;JL zZ2rY9ub#-yeI%q;JNMi5w8<9dQg0W&I(1w!!+X)1ZWXqaXqlByum9pZyViQ^|E;-u zD`Yk-)Lm!AD|`Fl&FL?X3UI1#zw(6r*Sf!oYv*>&ve{B>T0LdCQkVNLy_D@QRJ;mq zJ80@jX&g>w{`%pP!_TaX4$M3T7X+9~wc>6V1~eUH^D;c*AlW9hqCJuARq~ETHi^Uq zif*r_=&S#h);O5Kyi_820Z%gTmBSXyPd|Gz^BNp<aQ3;P8DHmX6wq{%&CBqpgXAuW z746|wZy&E-ew|I~L2qMQ#p>XX97`L8_Lv8B@w1)!aM8iG#wb93?$_eS+&$CT@;lb; zn(qI8x1@$+IrGvNi3@mad9OI@Gk?8!+kyFu;RS)((o@S1|K*vIFr_hQjbT9BR<>7( za~pp}<S$?}lgQ}kwc_=@k+OhgHt&?A?na{=(|~<NW&!fIc}))RF+a`rnd_$d#weia zE}PfJ;|`MFq*k=ovb{>)-^gZ>us~7l#T5Q2mwBd~pUE@De;Ut}^OJb49M)m}YIxh> zr`9<K=QDqm{xUhYPGdi+ee<xR=sceG>08~j<&yTMEMO7mo${!u(P+-~l`}3#YaEPZ zUTTrNfM<E>srKo?Y+f7gJ7_+W)Hob7_fuj*U!%|&vw*Hhwp03>{VL0C4?9$TH4Ny= zWIJULx_nQxZ03TR8%6<Lo@}QKE;`uy7zEgzk;-T&WRpr-<5#)e>X^gNEe9Ml-6S;* zi!guPaMPhS$LIosG_T1Kwz;43O7}JjtuYSh+RAongWK{wzoT;(uzcp7lC-qZXw3!9 z_sgb9YaBFUUK)|LfXDmaf-?1|?Oe}O`*(Tn*{J(0d$0e`jfYm=oLnn9&o+F=g%usQ z&v)x^9Zc$W+IVD<fzDs0+GqO9O**B+x;GzZ4DC#q=({K)W3q%;O1?;_%0|J^x&sA~ z-#8NeR@)@*u&LHEP!E?kj9DmJ@Kf^e)LUOY<cwmb+GefPTU=h3EW%N?!f9K@y*x3F zZJAD0J!{h%6TIUxRy@i2*YDPt(5RKNGb%&u$JEO$UnGLhFuhl+_X%H@>bPg>wF_Uo zZ<~m$F1>R~Y`dAaY+k+YK8gIz9jh-3m2=sa?wI}7Jj8bD;wz66zU`Xrn0ug6{*u+Q zRZ1_bWQtDmTFjY#RL*x3OMdJ;51&)w!J&D|s|u55&UpJ_itg3iK0n!6QKnlDUC#Nf zv3&WX$@<0Z{@djq1!>uzE1O<aWct=U>XwuL(e^HleP{37*tReAby%rt&)<7mLT7&2 zm0#<;v1(m%@o&DjQn$^vWc{8lwC!&|g5r&T&bJItOnWvh_y4U;EwW*~3Cn#S-@KQ4 z^#{wX2}_sNtotq!$?+{M-`{K6sqC4-JWInWwTmakE}8M8_McbSw@sJ2xBirVdb~*Y z<`>_6)25V9*V>x$&^+tb&!|md-qEX6vp4ydhachdJ?R&+Np$<K*(JrNmUO*c8@g@2 z`tM}zT>JB7$HO!t*N1nd9_s(OX8P9<ng7ZAB3^wyYUGzWBX#GjZxOn=D<h8mnek3x zS<<D{kME|Pmdxs0YcDeE)rP0-HD*iB^<VE3I;L%^yk5BHw_R<-ofYL-k=MU`KPIGh z<-w!9`)-F`zp?A(bfIO}9w^ON_AGj5$!G7Mk91$JtXMH+e+lnT@A4f>md)K?;=X0e zQt$FDQ~bJvHtsUs8<WYg)AwcS{UyONks_CSg{IX_&ht1OWF-2qUF0*rPLNjBtBjo4 zl4A9XQ?>5Cja!tyYS+cRe&<7CCuuf)ty=c#)6827(!DHJ&Aj!)cb~P$;@s1IMfDx- z_n*CP%6s@&QLb*b^yA?0+Ti2cWTj?Dn{O4_{FMFJ6qWP$qqpfjtmD;dsy5xB@$TA# zq#eP{rw_lCim}VvdCJkJy3+Da$x;;?Ps=qc54vvH#U_4ql~fFmc6LE!L}2sj<Dc?B zzuo@kk?5{a=fxFL-;Zto`E35H`t1M4{wo;0dybw+wM)<bHIx5)edduz`Jb8nZ(hFf zL3|>6jJezbqu-VfCvmQ;TWz{_)tT4ToZ(zDEYtU2omKiM<9?&Rk)EXg2Ayp=Kkm!k zE?;r@X#Un~r)}-GXWgDrxAIZbMwXU^p|`8%{J(Z(Tk9$Jrf2gj#rtMHQ#LXG*IWL1 zQMT3hwby=MSswdRH1*DUuamXLd!Gwx{ZDsJt`20>(|lZa^TM)?zEw{)AN%Cn^d<3& zZPoYbmX}P<N$78yq4UYb%i`@MPCv=w38y?|Eg~N%>`2qupTb$~CC}e-q<h)>@@1PI zcV)<}t$6+7^W2p$j#kB}+_}A~i?<{0{>-e@%G(UK`;B8o6sLuMwq4`-xvcZ?v4bt* ztog#eXFa#xPg+yp<R$;{{kOR<XK^&YNV@pd`my4Un3b31r>rcxs$`{JVmLoZ>3?f@ z*Ezqr4<>I+K3&pv+;8r~=HTxi?ydY?@%#$&p3+x}_bk40tdFfK=JfA*Yj&Aa&bqYA z-EZ#0g~8toF0K6i<HZ%`nxa>VR@Ue3oy3#Z>*Zbh{B84{J3H!p@AX9{=GY|d_s;ph zwqym%>YlSoq24#%PvZQxGo@><-ps^)<#dtNvRa3w+Fvc)4IXD<vv?EWEK{^Xkk9Ih z1pAt!7ge_Tt4q1}hN#VWFiG>+?v%Ddx1FCdS8criD%q{lWZpWRRP8Q_9{2w%`khWH zxEQ{5ujM$sUU6IC-qUNJXxywmdgYJY%nc@2o`wtV-hVl*x65^nQd#Ztvt2v1_jg5P z`*_B>zFBe5b;DjZ@uS<MVtAsn3o35}HJ?777H+v@b%*b|DO#&L41eXs77O;QJLqb- zicS3R38@%4w<`}m8OFTt*|~aG*NqkCp$pSjcO?E&*{$Qfx?|xlnOW7FLXWULX4G2U z@l|hT!rk(y$E!}A<S9yTY<{rKZixz?q0+-?8|OU<7c~ERQ{}tI>JI6u{25Y5v>xrU zd+c@fR8RH-yOqMzUTHnLRUY;K@`QJ_U(ChouN8hZUZ%5F>6etYN2zGx)rftb6V@qJ zy)OQ7X5zBKqZJdiS9hG&o2mb$$8tirpgXq=i+In|>1&kQUMM$BsJJ-CJgz)bwD4TT z-OZs#B3EmL38wdKHHvkeb8Y#Bl@W2Sb2h2zh6$ejlDazJY3LEp$16ECV_oMM#0e*Q zgb5z+EsZ)c)oQPYd`GbNrY?&#yQ80UCWd#p`pr#P6})`LqbrhX)}{QD=j`h5^s_y{ zvRp1{PjJ7%xuu^&kMJb#K3mfC(r>PR^c<_hA<N$-b_IVoyt$Hn#``PIG1tQ-C&@jW zweP9+mrq(rYjze+4Hr!A>0NG9uA8{US@lJFj@ZhmLk`n@3=XIUe<?r7vslJ*vBb*& zNjHlS{=>4X`V;v~4+OMJC3QIW8r)cTOd>_MFGaM_;r`MUr&!oyjgBf#KT~i+BRovd z{mb0tpVmsAxezWmb+*-vmFtzHCOLK_cJAxSII&)7+w0XF4=02Rc2E5>xt;w~xnQ;S z*_B#T<)6G?`o=Y^bmGT(r}l+J`pUgIx#_y|P46>0SFKg=PW^3gXyQKR3uh<n-V|~! zHr)B$s`cz8hELY%&EM^R^R+<N(pkID-G8FqbSQBBofDDY?uP5uz5mu>dLt;+Z|1F6 zv!l$mpI_GFJ%!QYx!~qwM^8OcNngRUDSzL|`?hO$Jo$Rhq<Gs^*87K|a#*;tHU^$q zfApBada>E<JGb7bIkWPpSVEcZHi6Aq8(nAoocmg8N%mREb6d2!&$8dYlAydJzPtBP zoUU2h?yWa$?yNle>~WdyHiqq48(ViocIzI>Ub_EW&P(N({8*!d)=$H{wZg+sUY@#8 z!+6R0DQCl$?XsMiu+G)b*!;Yzu#M?++vxcF;m<l}&e7fQ-m<i5_bDH{_3Ecr$DLa2 zGpjY>$@i-NQS*0SHhWh6I5VaD$;JE9zt7y!`nfl;LvY?JqslAak8wxFp6&Z#_y0|B zmIvFy&25P)SEZz)xBr={U#dTAy{nl(tZDj&m9?o`ZM`FBE$u4`IrpgG>C6dNf_@tP zmwDP2vF!7e_L-+Gq9^ceJ)Pug^`yFXf^VwbQn?(xTd!u7Ot3Be=RfD?Gk@KG2@|BF z)vsG<r|@ifvdCtmd_wT#nYH!BVyA>p3H{oc(zNeclmAnpdo!YgR(*~;vNCv2`70jz zIlJ2X{qDMpUw;{BWAHsOb>_o`-rqi)QT<)<ZW8mJvXhE&JDzap#}@Tie=Euq;%@!h zb}}_o{l@bvn%}HS+wQhi=Ki#_*b_J}dbQWn%D1oo1n-=rw`+3vsmaq)P0iQe_2J!o z#4CQ{PP4$h#km1_`Xztk<TFJ3XWT9`{&JZ&>2~C-+hyh@qN4pT-c)PwAAia-_1(Wc ziFvbkXkFH~TmLHaTv=UTnA7v99G3Y!n@!HTecUD{?L0q<@72Rl-IYHpqPletOpeM? zl)IkP{o#bc_O-Vz?aa-8UK#dmoA>^;2i-2L6_a-T9+ksmo3*j>MP&C`_w`XZjK8iV zaT_iWi*9jj`?+w#(#yvW>djoRx`<g}>gwX#-EH?mB{qFmJ##X>_%^GU<=Hzb(|tZ1 zGMJyW;-2-bWp4!2ip;j(eRqYkOd>5hbZ^b?6(^iFc<<alF>1-qw|So<(~57~p7J&E zTvt-|oF~}*;mUKl-)HHkl;-VzA6=VwdqUoZIc8^agLP-$mNSj-&M~k`JGa1OyKSPK zo26EI;p(4Fq0hFR=XG9rq4E{`nbbLFbNO$j8g92;az|xyirs1(P^{ni)&Yw3Jtk*z zTi2Y+?T;!7oOfGp^U9NVzU{E&e(EVO|H+P&rc!Wp&$B9RO81-lXlHPG#ltJMdrDr} z#qIR#PulC9cH_Y$+nkb<cGq@2VVE6T)ZwdVdB}ab%;V0zF|&@``8G8%FX}3%o<(Vw zwBOu^GgmrJ+dXA^+s@obt@F9@63@0xEf@H3$6$NziSxPUQqQ&>nl%4*-h!WND?XOF z?)_mTFi&&$!<C07AGAu{zE|sG%4Gfs+ux4=3#;~5uT;GI<@3q&^*-_2rl?%3-221u zzA1Oyz9Xu8wq&uaUn6xid-bso$5vHVWM8e>vpI|LUF24Q@~OM!W=^|u@oCw_gx1(4 z5ozL*VK-g*SHE4<Z(3$}z-za}qlT3{m-r984U~I0Y0H`*+t7P^gx5PQlh-^n`H%OM zxmMdAXRn`s+vK`=*{Xb<ha0`sDwde2>pj1}aMkhE^SN|*UqqcUzuEW5*Z1T4w2zan zo|^wg>)@*SpC-#ho{ifO75G9i;_;^?`r*3TUj)|tTY1U$@2TZia;;6wx4ye#v{UA- z%i259wVTf_5MERIO62z(tHb}YY*)SNeR<?-;#XGBP?a5DoS(i~wdm8U7k{1j5AJp1 zKYG`R|M1*b(p8g|=h`{5uYUjQrVmSdm#;{Bw=a+TCjWg;eP7Pr=q{^u&~W!D{j-Jb zUImBNU7KETX`xWgrq#TvYsy!!Nc(KntQP#SZKYXiKGXe8U5}KfmQ8%n7W!m))m=TI zn9W^(T-M)mZPLD)w@xc;y2bZ;laTPIf1jLQrnPp@f<Fr`IA2`)A%bUa{TiP@760RW zKV@>)9dzBWlui8THK`b$+dh@~;qmh<Q@fu#@y9!aAGbdFS$=<4_QwNLgcp`(=+@fi zU+<r~bm}Xu{lE6#zS2L9*OSTG_pW2)`a1gu3;${)Nk0wGS+noi8mYR6rJp8xt5xKj zf2{xLaaFQUvahSU#Oo`X$1F?RKKk7~9I*Uda#JwB!NryBGoD;g_9=U1xa`jpjkqrL zb&r;+`jo2rb1t)lEca;({(j-~%HKa8oT+c<_p?2szFcnM=FdM2ckSq!{&1ysWtdZV z_@|x)v$ZSv565ikf2e19fMdE$(h~1JgHx)<C1U5QXBB<Z2>(8x=WyWeYio}t@!QrJ zmDnwE_xyF-q;=l1Pmh_yoM)Z*{i-VP+R^a7s{bi3wx6mFQ+m1W#plTjnf<<Z|C-eo z9XC<c_)eYQ+y(nzJ_z00vNMnQpw0Hiq<yy!Y&eknVZ(*o4;xQZP7`6CV|CbJ`Mbmk z!QTyUtYnvXbjA5h*(<?k7GEVc=de!EEm=4F*s)cO=dZ1rt?1^=Ih{+UW?jUC1s1^% z5-dU=d??s;;NjzCzf&~V?c(JLzr)5q{Vtol_}w-J@jGn-&+oQzJipT>k)GT3t+%G= zc=l1B=&hR49C^Eg7wkx$^<VYA>}2cX(NkN!voC(_a;^IMI`;6&P!)+Zq0PI4oZl)P zWLZ2zu6RkTiiG=S*9g}&b_cu7RkVegwaiT8C+AqKlA5*3df9ppGntuBKCOG{Jt?$s zvb)R^){|B(M?S9prTZpbZ*Q`-%;|mH!G904C0*Y7sh#^-z;r)@+be_56usgxK3lc= z4ZrxBrJ0JacKx`_Xq;p3|G(g%)$Q|{pFZ#0Hh+2jj)c8$pFg#a*`T)CwlcD&e`U+n zvWW?yVOx2UIJ;%E4m!1aZ9K5h=*;%?uB4^0DG`a{wmRFp?WWy4%HaJrsiU&Y@Q~1M ziR6uuI{zOwy)2ukFaJjAXvppp2Cd6_w?@9=+cdrY^!d5z(!86TD>wX4-c}y{v4d^C z?Tg6~c7G;(+d9j&?$7_)ZGqE^HOoWq{he@c^%b9=zqRr=-?o05`>OAieCmy;To1On ziQIp$i~ZLrSy&YHD&)}A^`Xbo<>Qyv&)9Ry>qXEdg`)zi9PLyu)W3T?rA(&ls{M){ z{-^dU&m5OJE1t0Sn9`bHiHFax?_6SZudMz=`h*UizCa_73O4f$&Z-|vQYL)h$-7d+ zXqKsS=$ZT_{u-`nwP8KypYAhCXgVFgtbAS3juyH2nHG-a%FAD*J>iM%z2hvd{Qct1 zDZX9)@lpoP@sn>Kwh{hjc)f-BjA5dkqxnOQ%{~^#&a7Xet!SYCNm5FA#=of{x~JB( zWLl}rSmoR$Xq7T!jWhq`k{8K~rYU!EN+nCIH{^e8@+^7HF{Yl25giw$9%ond9+jAR zx@30We}TQnMRu;7u6Xdt`pf+S-V+j(u4*2Q*vYIJ+~rxdiii1$TXdV;uh$pc?Pc3u z{BAC)P!f8Zyg;rhJLHSh_4-NK;a=W5G7r_P`!{(Wo3p?3DLFQ&75%JiQVIJSKN;R~ zNWOF7lS8H4vy8Y?pABw1FuyTaB9OG(O>#YVH1CuIpLM6bGdX8(2})jc#Z3Crf*H%6 z_I6%8^Wf`-YYw$OhD!tzHZ>l*v0~|ikDq6(nA@&??(vE;xp@}-$!#VT#n<|tce3R! z*yAa!k$;6v>cQRbR=gjNI3&wlEPD1id;4XFpO*D&=grYS_p_z!+&+uvliN%*<T7`D z_-W}Mu$#lrw#Pg`{xOfqfe2>aj|U32a4=t4*M3>z_|`vcdsMzjYRnhRe989bPMP!` zp2J(_u>QHzCcUD6A)8b}M`PQKY>TLtf46qB{<*`JW%4AwFxt9%!u+F-x_2kcKdQ+5 zwcv(B?H!{f(hruoDaY+&_Oepl@o{g|Ql-GlY|>{$uJdvl-!Ts0zq^%9_#;ob@dbuh zUK1B_=3YaO(~)P2uSI@7W|KaD%bcS&>GHfL4)M;r6zyu(_hclcSqI6g9#t^)T5Yu` zlkd{1W{GO{)yeB;eCoRvoccoj{NK|Tjz`-DGv0e)a3ovy*o-CfG)0Ts!?RokwzP1n zGOJ1Cdo({<8nr2e=W8%;9RGRVIQjEDCI^C<c|RU<NcOqdr^fc{`>gOOH#N?BS9<mY zSjRhhS1c^s$5gz%s&%DA&HIew!iQhRGN)E7H_O#(yZoDNeSbTf)Ps$UpY$TV!+DlZ zzB0|}D1+ry2g!HRK_5OGai~3GutXqXS!3Ib)CGp~vR`&BEZA9=mz42p=~9JVH|1W1 zI9?F4%i!$3S3W_Jv+W?;tHh4RPliVvn0bsZ2pr}$Ip(gLzQ|d2VWn%k)g=e!FBevA zcwtqYWg-?kCCp}{#=PTEYA*d&QPy)_1TL3e(SG%oX;<Y(9peiO-Ml78w6-o@v}gX^ zwck1xmF5NfzPY4u-^Fu|Th5-0x-GKzy~@__OaGLZzdD{&@qaR#t<HtbuacMZG3Q)Q z?8vq1`51QAPB`|H+g5L}Dg5?X({&6EW_+Dxd{K3MNcZecy~@c^9X=%|XY*b;F2>Be z;i`l48bcY~LlR$&3@!%tU-+cmc5&-1OZDu%owqF255Kl>mJ)frC8lFx$(n<&6K6F3 znvu4E@tX7{-i@GezZN85S~WW?Ymry}rE``mm%s1KTXtiOtlyO@ptXUk8-LwMTu@V) zy+E-{GNWhVt&^RpFUsCNIpVRy(b`hYZ{7MZ^&<fm;ns5`PJGK=d-!qt?S-;_Jo(mF z4@L)cy}R}B$cpW!C$Jp0(G^a*GCO&JotRWcgDaa<QfK3_i1l;lM2M}sUZi&HknOFL zs;~F!U1|6^C&D^?<CWV>W&L&beaq5hSL)=C66M)hIX{iBb?53b8^e-&m-^Jjlz(qk zQJQ$f`fY`wx%`DQ8x8EuXGl)VTB_r=qMJ?X(VScRyR&KnWI0`Q?UuyyU(~*P^_*`^ z-@GWxjyvCi-DM3GZC3NKEfSQuX8hn`2Vbqs)x?Hmt0(EJ<Tae~ZM_ZOt$6;VQ}w(p zv#Q1VZMlx#7WoFjF#-CG>QzsVW*w|r6h1F+K}}aC7%QHW=o5RmI4afVV)*>4pS1OU zs=j?PDZ9=$mW{)w;OGRlqp7;$kC@J1D$-f^A&2>^;c*A%Go}{=!g<`gFSxJmo44ak z_|`vN_loD;y2PTJIJfNF$++8W&Qc=bTVp!>O7~4mzPM7)AmH9gDUJ6bye3EF4qsHV zxw>xii>&RLKSg8T$}y|XSbc6PtM0>zVM3n#cid$KY&SA*4?jKoM&s;dbK74`n=Y}U ze<_<(!pz3D8|fBNE!(3!J7jmX-?Hr9yX|jOFaHtC??KPwn1sJvI~V!pjO>LX#cvPz zq*nCbWs_PkTk)<yJI_6dyQb?S*z~Sv6>j2@SvS{R<6Lr&B5&8>TOW_S`Y<yrFR7zC zYk^&#L=Z>86$fV-;|mh&uRiqjsSaClcX!72<6G}{3)iiD>OJQ@BlFT13;S3XY0eLD z=e;<oeMNN8B1Qfy3;QSkI?p`kQewwttDcMTzQJ3rP0hONzszcWN0Mmqtk6}aGcSD! z@(($a5w`kTiqmD^tz9!utA1V75qstDt6u$$(YyTHdAdtpJnWgbtpDgP;{!snZ4s*u zODw-?a+L3Y=GR;5(hTAy+DDB{0}XdZt#jtrE_so>mydbP6~*{puMLhJeG{3+7;XM{ zY3SYxqs6t$ug!gR<nH--@k`G~&q%3zIxVH1_skOGFX!*QGe0T!Yv&V&^v&0ce2mtg z*0XfdufEo4vGtzc+y{@Zzbr0K+uLFnZ^<jty*d3}4_lYr&Fl+qa~~#uGgs-g(zkmq z`Qyd=E6h9vuN2uVK8rv6dR@=<n1lPTBu0Z1fej}^>J~0$a25Z1Y9iys==~)zhn76v zH^DY9#Ux-)fn3AGox$HfoLTw1;?)&qo1#~WY}Q^8KIhvkzDlIaJlAJyT-bNN`{eDT z@^4t@_&jd2)GIVvpi>Z&WVp5ZjRvFPN$GcI7Pf2p-928mTrQzKn1AEtmF_p5UP*pa z_97|g?&B+*->kSSW`@iwj#-paWx4u^Nx+$s7$rmDyU))k9Tq;WDpGun-|z16>g93| z<_7a`ygze42m73=p3i-5O3zGg%j_55{A7DyoW@Lj`J$M^DbH+Fs<rOrEST|bhfC6= zzsCYyl6KYJsN|CCvnZ8!pIg<C>}PwVaJk&Wmf-%vOAEVqKG9t6S8%J4b<*uGtM9UP zN%m<gJX^s%$1)+}#T8qfqE|ISudn>9xwi82%C*7$8_uje9`WwN(QA*m+!@to_k4b1 z?76Yl^rT&=$nS{S*rJBUo3pp6SsppHUZ$ue^jq=bBsI(Xb$O4}?2g7%KV6V?IluQY z!^yo;#~CN}9w^OYJ}6VIuFoT~d2ZyA842^bg9>Y}S^FP6%(nbp^5S6r4JTK&-*|IH zndelTyXh;#Z5DO<c^bK;QwoZNGbb))IGXZ(Uair5n-d0hzooWB>L)&)?#F!8ES*o| zn5Evr8@hL&oLSg@*6;3dvE_0JtAqJBo?YpF<K30yH$N`j>N+ZV=j9|$F$*tuk^g$f zFS@?W-}pWLQQo7PYIfB;?Yx52bBE=oze!&2&9~vaYWs}mr=nT{zwHaYv*=E~e@w{l zo!^eQuAQH(|8>bF{;l#cAums^yCUhftL|-Li}yFf+fyqVBK0hf_)M2c-0}K`VcX5r zjqm0p`p4*4FW;TA?_Ig7+@kH?&n~C_W)=57*8Ht(lFpB9w{CYvtoPlV9;>sx>gH#) zu;}(TmBQUCSAIKkG%BlWuaTPf%5~qGyGt)bgumiZj@i`yQ19k(gXuB}E4=v%Z-nXz zPTT!N!uIWR-D~#lZ}*Fxp0D^V$T!S>_ghi5$lEeo!X-9tk<73!-nePz+f#GeE%k05 zkC`r$FweX1!r{<7!)Leab+74gzj-=ojRoJ0)2=yU{M)8?-%xy)cYgK_?YNBd(Pz%* zT%7*$xT;X(p5I@KWv^$h-gaaD>9AjIaqXL0PcPnd-OV(zrm|p<^HPbqdsSDjd>gf0 zFLD0Y6ESKxUQg2ewj-tOuHMbVdeh$|FZbr#a9Fkd#=O}#LZ6)4eR=j=tAkq0{We}& zX}m^bseb;m(s|q6w2W@Pe7@_6>C>l6*GJbp{FIs>tgmf0?f&ezs9*DS|Ct(x#f3lL zT7ALXP0?k$e6Lf>(NESjFQeb?nW}4;*tGOhcc*B~AtPn=8L3Z1w0q_xE}d8%k^00g zZ1yJ4jmKIfug%)fUaIycc`qk>McyIBce4swl+|P&|C@d(yNv0xQvCwMChK^w@5@fD zX%V+lsaWaU6>gPMvDTSCzvRW^wbPWl%B7Mk)*JH6n>>5G=opjC^@t8(sqTWHWJAA` zcXNx^?Y^t}z4OuRDO1-ezs&PLA)DnVcK5AkyI0|<StXb5zAHJ&VjW|2G;jKuf;+0! z7SATt%9NgD?AwuI7kTQQ;rY{3-+h}9tZwn*%FhFDuSkBo{H68Rp17B?lew$gm%M)# z?YpPxL7e7afrg{1S_j|0`K=JffAnh8;;mV?_iZ$tCQyEC_L26+chk9iZTyd_byXg1 zf9!oAX>xnuQ8oF+ohDpTJ~uUXw0kwrJ~_R&>33J})4+oKZ|xyI$J(ax@}5=IDUUsR z#CgM_3x}UGwaT@Hw#xCZ=C-xFCQ`+;=IlP7<S$pc{nw}5KW=CxbvtSA<qNA?c=MPK zx}~c{eO#Co+}NBD+1Q-q-PoL%-FW!$Hm3Iex9=t|z46e@;7y72kCYp^A2#03<=AjM zmt*7g+>Q<Bb2~QP%*=RnS)}rGjJbe~&dyW2I<I%Rv+^|^XXWcsXXR^K&dSGso=e7V z-fsVCk6LdZ_;4xr!-reBA3h$-<@j(dm*e8`T3_Wa<<dz!1>5F7Yl}C$GxLakZ^VmN zS1<Md>@TRiwEmZVk?e|{w(&AM-E=>mHTgLAzwlADChv6*ZB3^Z>|_%^I8`b}<a$<t zVa)o2PanGR>dBjD7qIRKZSG#*m{s6f5zySdenOYNz|p;rmSk{>XBP;{tT^aexPeXl z@It8=Ilk);J{2xu3vXC#y2D9k^+C~x6};;tes}8&96tGINd)KetOCI~dUgxij~-Q% zPw(<&JSR5WX^ys;t3`ZHSK-1HJd;8X?GD=5{h(I!Z*ZDkIH!EpM!}jjN8LWI6q9yN z4{APp_-a(p=ZjmXU18d@N_DHi^sJ4pJ0iM!<1b3B6FvG<O6YOzjh#_BEa}-B1Lv$f zdaPiV*zES;tv71stT`(7ph9<>!1U~mt~-Ldb&qvQtrI=GRZ8gb-J4&da##*$t=Qd@ zl%Z?ZrnvQn4bQrx&yoXlw=vw!+SvLcyj$1lepHTO)%6R(RWCxFlj1mSvo{LztU2m- zaj%&4;Tcgma$;AKJ{#^Ai*DGt^@bB@5I?a@cbmlKtQ}!?Y1byqh(FX5mBVs5dt;!_ z>Z8XDwu;Se*W7xe#%JA8v4kMqZ34pC8(n7vbn71bA+;{Fq0m%CY>L4)F=@x?Q8^;E zSsM-StX(M1U$~qtyd~OnN79{=+!JZ_-EZ7hx_19}uKBUEMf2=Sdrmd;|I@|(hkvrn zICp%@Y8DpZ+kb<*|6jSVFLrs*@@c9%9IFKnK727h`p^BykVBG|PY&;w37Rw6d7suq zXMXV~7mmAH@)UUT{VdRKuZfs?SR#CJmYimvhtm9AF_WE}UKe>3I`c{9om$u)UG(Dd zHW{`XTJ4HqClXtx7D*&eo#NiMIOxg486rO|^jsPJJOVo=2Z=nKB68G1cHz-_zr|G> zPj0Z{=eXwbf9=uwi4L5nMV&VIDvI0|J+#4DQLaqu$Z@?^mK$p)2vmy*C9Zc$dUJX{ z%h6kVTH_!7<$Bni+4`Y%vy;R*?IX_LE&OY~1b8&e<Kn#NtteQft>QM{qFjjQ^{4cw ziM4V+ZcJcjG<)XQ;ae$?SS)wshSL0`$q@&5IX|@|6nxOv{pYEsy63B?%Z1?5kA8u! zJa<E%ES%l-W09vT<E|ANF6pAKKbAbxKIU#;Zzs|`<^IQCA02<nu2*;;>hjUgm1pmj zEjDLDC-z%vaT!FrDyFT}IOHRGbjHFM`L;%`j|L8kcE7Y$9PC?LUaX$LQ7dw2`L=`m zxBYlNWy?E_f42%bYm=u6ez`2+THkBP)1m!0IF^%nX-7gp%uBh~6?3I^+gXyIOh{TI zW7IR*ng8+A3&&4q9gjZ#`dX|dPvQDo>DK~FCVx62ZW#0=afQfF2{l*7Ht)a=!61>u zB_c;9LUVtWeq9v4FFtoElYq^NZI@W46u2w0tQT?m7^TRQFY@T&TBn*l!5$6Yxi~*6 z𝔘uRQQUgWtyH9J9RtCxru-Z8$#a@GIGzZ<f%1GRL&@UUt>iV`?o4QCm5ua?by? zvg5j%Xkbs6;9(8XN{N+9^2#Acw41w}Y}S19=3F#m)swl2T~0PWVI1pAxi}316&2Mq zR1Q_N9+40ad6iPjDZe^*!Mq2-TN|%@{<K@%OYvZ><&p}8b{_tx7Y-|1e)$-|$80n4 zuz>$lgG0WSJs<a2y<fBZMNICauY26<6)P+A{{``B#+_6=oNf8#gBxG%o~ef!-aj!o zQf=Au!IAIh3+1O17j{o^4?CoO@-)Y}6N*RcEK@8L+U598E$nwLl6bH|rp-dBU9j&| zVo!3AoNdX}PcNnx#C)n^QGYVw(GnS>Ig_0Emp{F5+|H7xAdJs>&g7zp$<spqGu@fA zMPU0<jYG1UU4`a<>ZAW`H_5tr=TCO+>2G_MANcv9e#;MW*3VJ>+E)c_AKs~Q^i-^L zTGrC7=2LL8MN_Z+|K6kZQwt`)n639yN@d3i=PqumCz}KR@86a&@sQiT3%i6)86LeF zbEhFYNKWc9qxkjI$v+ma-8tjY%#uK<*M@qNE}ihosM&h`=>&aFL&sP0Qql&7t9ZVA z?Ol6IjeVX<^sR(VGTRP|Xy*J4_gNeFw@ql7r{MhFu-D6fM9<=0wO^#(s(7JHkj^CM zwxdNa5<6vnMQF7%PCJ>{!B`}*uv_NX3$3RUliE~1?OQQhMyO=Evz_-e=eBu8FBVRp zVsO|;^OHeXSIwDeix`TRXdH>r>@x80N;=c8mAjy3t=EgSN=<fJi(af&YOB-wbiw{t zebj=UTO~Pn7uK%czvXG^isFz=b4k&+A7i%Knr+p7o|<5K(&&+}vuGesnBe?XD?83# z4>{8AFZ%J|uBh7C|L)!RQ~Y{ae8IK#B9Xg=&UpwP%-mme^wvkIN)J9xzEg@v87)(8 z=(o##JGrobZ;`}<B{FRl%I$)2rxSa^gXA>*JeAf@)PFkRL90xV&2;Cs^r9CJ=cu>Z z%{!$ffAFcnkz~uB4<3BUF;hRC*x)Yo^TiJbzMneIe46i0Djtrt{8He~S9@ogv)!B% zi5;_xBp$ZO9NVGy^x|Po&nJr?n_50u?5NNxBK~y3!zP(33;lMxbEgs;ii;$YvP;XZ zojYEr>Cf2b8Q8HnNaSIM$We<o|Lb-CRZDGsb7A4eow>2j$?W&qlf6IKNB_z8^K5l? zOg(pZU7U`eTZsBYCV`$ceC(VWiO1)EZb?|~q*12XlDOT;=1m|+z5o~J27g7xZ`vw{ z(pryL{CIxHGoa_xe3pEr_D}YY);`(bq|v9*@^FQdjZ84d{wglc0w+a9KP{C*nyp7H z=Gt?%UHm!uLGBBmf9`uEb%QyM>a-f13F~OL<oakBuE_XIQ{_lat4qX!2@>3&)gLDM z{8On=j-K*gFh9Lp)M=xOB9FJ|qr_!SH9FxQ4We9}8;#~W%3H5KUCPLmbJDsZ`D`=C z?N18F%6=N0eDWco)Nz_X6Z2Km<e5`8#JaLf4mqOUG_OhG+^Q3YB}IQ)tX;&gJmkpg zWnD^h0s?y;$Nj7MQRQ}0Ge7zGl=~mu%0gWCOkLTLIJ?V6CTL=Rvldr@gX^CC0f8;G zT3s8%E#=#4PyPJxY@7Q}+5G(7p+|Pxi5k8Mnb<C?^=V_QYt6#tiv-Sxiaeazm1Glo z?)c%jr!^I)s~7$0Gv9k$Yf;4#rF}2LC$_U|eJYH1Wqh|%<4COPa=ULDxvB^9T3c=` zoxou&DwOOV5c$Vs`}+$*tN+DFvbf&aRl4m_<lpC~WXuFlWv?`?pH?5&zIEpgx!3<< zEX4)8TQB{Zvy@T#Urc1YY4`FqlS<5=`Q&cU6yJV$=c|6JPl>y19QQt1%4{pR{fXY6 zol+lHUY>t>XG@3I4xRQIS<Uu6-zPY?Wf#48xJ%}fXSWR74#jrGcPA5D*o!0{H%xKw z+CAw+b=%>aTK+98?N25=S|DR2Gr^hvH>7;p5YFeEGwm?P?xzOF*5xdo))8G)zC@;a zhpww#o@Zdg>>!axi$q*4^j!`0Qk|LmUmg9El_V$d=EOVEr3+fRxfFLS5a{~Mm1wbC zfZtu@(c>N`ra56A9l>0k1wo32eksW*GXrwYNB<DDTPM(z%(c*Bl|b87u8$i66z#+` zR1Va%w#-;QfumSdDB16y%8wP3+2yu9YwXvp6iD!wYuPc0T~O~i<9fj&w^>K-BzRt9 zUe@B@uqn?-`OXyGxyDCgwH8GzQEF?|`n18p)$ZBq4*wq^B1x0Fl+FYO_T0RAt-PyZ z+mz1_za~%nWF6<2wyGnktxICr>Jx`eM1Rg$wTK}&L?mfbSA}h0V9(uCKR+0Su9MIY zYW*M->d~;1i*uu=r{y7|)*}(Gmfsd#QstdjR<?TWA&#`no}{K@5@{j7_v{i{<}G+| zOV)Rdypy>ToSVKDd2ICKle~6nVY_M3i{yziY!RyMH9~q%o03+E82U_9;-9?q#Bmi( zE`z`>r!!L)am-$#aqL*uVv+J$UJ(=7Sx&EBYr?3?bMo02O+|?{0$q+=i4rRW`0t87 zTD;$h=}WLj$G)qH&H`PjKV?3%2Fg`LOk%ead+yk<xl$mh;-7|K+f&D$g+=FPxxBx+ zGb;J?_k9K%Z?&9S(y~uWNn)K+SE5#u#406z=a47K8@iZyf&x1lz5FVF2XGv&XswV~ zE+C&QDwNRU<kS=9(GtkjX=rqNkK&_gG4o&TSvc=O={9?nMMBHMmis@Nc1Of=$qb!# zp2<%x9Okh6V(7@n+%x^Kz~d(dhaxO{4BbvCyXJd7vHg`{sUo4;E~5N&!o%9F%mUk= z%saKPU%g1;!A6<33YB(2xl@Tf>{qA7oxk91r4xVf?({u1U&})IeyW7>{j3S#``Hq} z_p>K}@8^^NKIc0V4s%?8YH-X{vtO>ttMbX}P1Td8uRpQtq?T*AWy%Ysb~&rl3;X?x zf*9>iC3f%!>D7Fhur9ZOF-Rn-O~iGEo~z-qzm|7jsqc&~I{)a)v^g!6Yme`G6`~Mb zx3~MV=92AC?#(hdC@s9KLU#G;Wg_OC`!Z`6I5zQGExED6xy|<`)1)c+C--(eo$)AD zFYf@iCjZpvqVuW3^2y8Hi+l>5vtIUot<X+gQsn(EcH1`J1reG1rq^F>@V{AXt>klk z=G@KIwM|<c#VvE%`Gc6&{_pC)$z~$B{mHI0^VrTEs@K>4@-FmVw_iqxXM%HAW6=o% z55Aud)Z6Xeg&Hg7oxD5sut50}gG0HNJ%y2{43BDiKB>LvYpJqBuU+K&lL-%3$yDu7 zQay9QS&Qpl$kG$;`kGu9qPv{FOkBiKyHvw%|AtF!o1%-pZ@xQ|N6;of@Tjw>;hms~ z?Z+K=&2@YdUF6^7wR>Xz$+c^@9e*?J&gHC0H+6TWYb~l+r_?5{_31;9tKGd78V43< zeO-HJQgra$j~U^IvNV-uOuxHWD3Ejg(+S@Niab7s@k!o0xv>3v(Tm5;GN0sv`J8Je z9p=z~V&FFYRmHu&q@^mKq;8t-o~q(>Z`qRxi+0O=@=|Z#bM2(!`m&-Eujk5q>QZkP zbUTsQBN`+p8Rk*>WbtFKlc(K}hZcEkjN+5Lc4A@s)}j~5b7j~f^xGB9P9(PU7D*)U zoZ`-Zda2E)i-nt?JYD=)%yP*Mt@avM{dT!+rx*6i7D*&5mub79`t*>yy(ZU%_%0`& z35z)Nm(G%UJaziLNy@KucP@?FB^?<UlsGedW^wm!&KgOvXAB1yZ7`BiX)xz+6<8C< zaWtycU`=pG`&X`y8v_&>&9qwdlSPFRH#;TeoSe^c^wFMH^NDM@6mKjO=z7bQcw?ae zzqRP2<mpaKZ^Aq}Y`Ho&gew}VJ@s!&^t^ZI_631f0iIBf_~#lei94Krtcg{$bJJ2e zpwapvwcSanC(xs3<Il+-Hkjl;?9G|u#Bof!W&K={Lk5A0a%|d1j;FM;%vdx*Ao#Q7 zmyJ67OgiVA1++gY96DvwvC+%Ur?M#GZl5Q|e95rmhm15IZtCJ#x1u9yYL~>il_w6D zivHZOP-)+UfWVGutw)m;MUPsnpTj@@ik8~FW7|U6?;9yutWoMx)=IKirNn<c<jLb5 zT}*QV0y`#abrpEI8v2E+@8x{-x$tSt5!r&L<w-Y!1drB;8tMd0Y;V>2w9&(rQEauw z5g}36h*gUuPEYy#aYLk~{Jl@pwD&*u&2R1camUG(=X1!D#I0R5YXSoswrX*0^gFq- zU)Sp{*M?BVnqBKAaEOZtJzf+Z`A22@lVz<_9S!Qe^Qt0d9`@S)BrT=iSZxcRadDw- zTB!Q9o4Px1T6!}-OX>GjE8G<_Yqs&$gL`7`@O@rwzv|wk+jG|PP42xVRAy+YcH{UZ zy%jRk4NRnOoNh>nkPGNJ!Q3{n^1;GryFmH7pA{U=+i+ae=2!Z1u34hC$a49O`NkjO z7U#<ed^yeB^{?`Pfdan`&lzU<=1&R-9@uags_-lEoNboazG6;s8}m-%j|QgVpC77= zvscXgUE6Um@~_V$j^?7mo_mpVavpwsr~bRPvR}}8GtacgLTS$#53aFUFk>b=kMXAi zhrif-Fx2H|;yK+c5WMNL55xSNr%7$DJ7<*F?!0llDMlutPLIz}U)+7h?C#_<E065o zrd_J_@X7v@h6g)id<t#Ejb%<BmFx4`_~WpQdy%31;UdGM3uDd{7>HNjP&@i4U*OI8 zqkG;yOX}LYb4H@S-nR|WT}Q8fEq|I6r(a}vv@hn&23zrJ3x%VP_*dOMd6Z>)vEk9R zF=q;l#jAHH9(|Pj;d-&*!L2bqg{I=hb50$V)AQc=qv7|`7@q<|@!*&fM|qwXZ)`6P z(y@!JGZPn{bMmOj@?yiow_@HTUfjR*uzTM7LZ2}0*U|q&uN-Q<xqi}&^PK;5bKOqv zzGNf)`Ex@;oLs<}v&?Oll@AiP@wnWbJC(gAZ!Wut@u!BwD!Gao)1Ll$J}uYP&HQ;% zlkd(M=UIvk54Xjfxn3Kj^J#~kxUkN-qaxWwhKI8>#olIy>OB*l7IS8UlK5xWeIni8 zHtUEB>zq9*;$39ue7}Bra@>m`om=PE#P}E(i+>h6e{|1*Vnh9WO|iG>S$b+SCUtA7 zJx|)V@AOeQHLr~s_QFMm>xFjCNQ%)*lbGFY+cPogVx8Obq^7`~Gm=vD(j+E!+n)LP zy+r?sey+6D)?-g|vRzuvM*MxBSt?S$CM0BkXr;pjBYusrCya+b*?idW=KkfAix^JI zGsUhFXj0{R$UNKW$Ax7Ab~~PSthmQ5;$#r7DDqk4kU_YjoZ8cl6+h(v>-uxpX|z1r z>m+ec^T^@bGJ?L3dYw4pv|Aocb&`nFI&%1~j9~BMolYF{G+Q36bds2-apdr08Nstp zCOUD*X|+6Rbdq?dedO?78A0A>txi8utjcv=7E7)YXjA0+Xkg*)a#?bTKvN^vLWu<e zZU4AFUeI?xBH#C8%IVENK9|*s@Vn->8hi=rXrE`P^sghDzg3_loWs?;)u1G_qg}6P zu?X+dVmX1BlWvQQ7DW8pU(}%Q^7+8wKmS!_)qd{I;TAdMp41wk6U1>iskI_vlCsi% zZ&9ZWK8ky^gE$U*wN}iSt-L5PKZHX*S=4D`q#{qW$fLyUzK*uNzKSfuB2I=8ihH!Q zj~u=rBgk7l!-=C!yG7qp^w9M=Tptar++7aKEf#3n$n`LJnv(>ZW=r`3OC_mqKCJ?0 z!Z?n~v>L1l>S*T$301WUtO@0qe_f-ce8Ej-ea(*_?A%=r*DV)l+RpVbcC(X&oaT|k z-7<o_zjr!STwfz_&s4jm{4CeU4+hnJ>WVfGk5@We@Xp(|$cX<8^S(vrnfd=dJ(RR4 z@p~|bV|;7Cmp~5Z{ML#e8uJ;1d3UFuQ<nOcebo7*n_^AWsz0X=?~)NzHS|_wF&1$$ zj8){hEb=HZtFNQY)>V;ZvZ#|`pd!y>(MO3PeI0FgofY@&59fIQUi8rK>edhcK#qL3 zMxe=mXOJjwb%#x#;l&Nr8b_SJ%LwxBp6$f3ORHs3x08gG_L0NOWB6PT|63to_dJMW zez9iD!;MZq=4gXFxmY0Xwa6jqZB7-_7Yf|lP^^-9gX<x0wBnvb?H2Q#)`|*sbFaXc z$4)YDSy7z)xgp6%&Y<TUbKJuxPTyS)%dHe>669Jau}+{Zk?Ub{NS_LCv?5EhsFR_G zA`i3ZqeKUgv*Q(6{)#wV3|Hi-6?wF<ysyLP-BN)j=})a6T{PzhavaWVt+1G?yeM&g z2**Lr)&QAsj>Cqn6&ACU7bVIEavV%-4af=SIPBP3@#DW_^=pHhr7WjEubzAC&8k<y z7hKoxSXQ+vy{g=Kl44T%s(aoYXQaPQ%5!<v8g(Ky?b+Tla8To3G$FU~uX|nQ-1`%C zyf+;vyTQ)fWwDXJMWpGkVrx>oN8%0XAe}dy8jp_LlMUi1YZd95tJwOmz+>Zw8}*Yq zlIlc6IONz^yVxBYAJzptc&)Kt!Rtp}fWi)85sf@{*0yNJgNH2lD{y^x3sBf0C!(>A zm9=fP<H19s`xQ=oEecTBAtIuo$Hv;m?RfA|<$i@<r~3a%w!YBX{%g1B#o#<{E}qRz zO|6}EjwO>PH!&T2vcSPmRl~rCm#Ze>-}KgMpMB?_hIabp8U-^od1g5n2J-$`u$E2i z*ycl@<?9~A-j!Ic$dYW*lC-7mgXiwH2#J*{i(bnvRAl*W(vq~k&EU;N0dDK5E+rSO zO<ESLZi{%aNM%v<KcA~D4<@$-)Lap;I~y)^v3k#Sfv$3?Lm$GNb@qe_U0j$GD7SL^ z7A?C_5hWge(?T7a0HKS=Z7vBk9hY+Y=;HiC#?@KJCRpgAqV08oCUGgJk3r5q{&+iA zL~3`w*n2O7<6t!J!X4`sdET40Y}Zm1jJ@m5`*3ZzvxZ$Z$9!|`=#KK<<v;F3HhkbI z31)73m*sHLnfFJP1Fz1Ppw!d5)viQ4bLg$&yR_S_B*IyuFQcP%v6oWc{~2upGS>y{ z#4ii9O_oY}IAv1DyANC11m;{6useT6psiTy(D6J~!Ppn9yowe}6h)?+v^?C@_Cd2V zYmbO)K`1ZBx|NJaOHC7YEMybQTh(CkD>}=eAevX>-3rFTv8E3$yNL=cK4opHAhFhJ z=8+6zH(rTjs~TGcwG`TBIc7N+1n_FGtzdMnH{Ec-pY_O(%i+8nZL1iM#+W9|Sj;At zwz@&0Ds3g>K_AlvGZwP(T)ukXkcFtg;&UdZ3udfh;|acU;82R_hh-PC4ybR=N=R%9 zt+;jazjdSeQT5n8>N|yQ#O;~>u9b6}sJ!FJcHszV5sqsttX)?f8xunVHf%7`xDg>J zBBR64r8kYWRi%opRV0qBuO%t_fP-*_BQw*%&kGzr>S%oM^bgqZK}F+6g^Y-d4HuW5 z99yf3980UnJNBY;8%!n?Pdl*Jfyb@DT;l_uwuZqRPA;)LR#s{A=B8$8$Ad?|E|_p+ z>w*b~t~#94&Eb1;$LoQYLR-c4uz&}LeF748NQmgfaB!KOV`pW5-qh5c*BF+Q9IWsr zAz9%~lC#2`BflIhT1(dyEZ*Z>S{iNJCR!h<(iFMo?_YE6e@1hcg}$`9ci(&}Q_ZAE zzJNWN>=Jh3OfQekVp-R{{mXM^7V}e#U9+Du9G+Tp;L|tbB{C0evn(A9_sAqj1aegT zj=a84L?I%cFF;3+U1C~AW7VU#%Xd$25Lb8+$rtb?>)Fx?{98<ozUUd``v-G*R#Z#H z@dfl~uuHgYdoDVif6LP&0kvlr-~4lLSCwWJV^`i&250{K>v0Qor#sAN`dntaVnWOI z*9V_69KBG~khp1kw*G}@w%J9C|Hg;^iOfw+W;(t3r*4yIt8UlSR^5BEMYrj!(aX6R z5qzU)!<r3GlVZO`i`F0AeoO0h<^EsWHp(bfJm(JF^SxEV?uV0@-7hCIyPr;ccE6qU z;><rW3oTr=?AozcB}}_#F*6-}x4>bex`x3UUM{h3tgO<%o12=M9S<H|m}YloN^8yD zybS$L5%tuIhvXtl3YPu7AM&SF;I(kXjhH)X5h1sp`R>?qHo745_MuCcw)Lhi&9yr+ zWn1i;jDML&`CotP@85dWr0V{|jMHgSuWuRk&iH-wyjI<kmOc3n_x0=IJ|;UJHI=jc zbWOi5@S`xV*u~$|7kw7>T(owpr9`c}=B^&sFO5rI)EJ#zviH4?>-3Esb~{Ao`nRv# z^4)gn3nQ_M>Qfhe=3J}$wzeFs)^h2K8m}zR>ieNxddY&dWtvv=Lv~%dw|)Ae(-AK7 zBfHKmZ|&RiJr}Gseaa&B+dr6W_I%d`^OsLwwEWf%)ji+OLb$bo85>;o_-Tn$25&s* z72q;A>dxkf?9;qub%p1-bQbw*x<2Plt-R0ebum?Ek-whn^KP4+2iIwU9l1qhZn;8H zb8H0I8A+N}@fjz1%L2h}<XrlqW~GYxjiX>|j!$3o`Dovrgy~a2PLz-CIyYUrs5v$Y ztkFl)D!%gsZ&@T*<He;fY9=b0-*^hv=q@U)6MxA2_q_0&4MHM;?qc6{=4nlkesk*4 zJkbaj`M@r}TQlw?gii$<8+OJbKQQRY)uTP$0WPv}Jy}~%=H~huu3~Y$Qh0PlO{?zP z(p)ev^5_b`*>{dz6J6{T;PN)S=he28Z?kK`Qi?}coHW~+o2%hAbwP*K0twTrYTH-$ z9$g_M63AYAFukOyt6c8xnY!hVX16*-?=rgHq+7RCxxbV5(c_59)(6vOEEHv`S^0=t zaE`va>dzz3ev0aE{Q2~VM&1;|v+fZO!uN1=pB9u@H_h<0d&DCU@06fUjCQiNeB!kD zk4k6s+&2{N?Ri_%va{}J_l6BJ2M<0iI&ieLNTIX)sRP&aO*P-%vcB3|l>eSvC?@~> zb-$$vOxusPtdlvHp0ad?$}bmv{nfMn8gjm4O%hv`Sf`M-Q0-dBSBJnQJ06`EJe*p8 z;gD+mg=4Pu7Y@$-c7OGcGR=q;zn(Qbds{TSe!5=X&&4}`W<>wJc&BEI?!OFAn-A{Z z&lcx?0+V0dy&vwgkJ6IQ-3umTi(QY-zkapL^=!){wSz7nWi39QC_nCF)~kFrKIG4W zbxSRMZ?C!?YG$_V_3c&JuQ$DnndNV+l^XWH)a<(Bp8N5qInqM@ZF<zLusSm%Yt7j! zW>1CZMQU25o}7@GdFqpk!RnxrQ(kve)&;Hoaq0+XSl!Y)^%K<%PUa{`B%1~Jm`Y2y z&E^#oli+VknmN%}$Fzu>yZ6p9CFOF1!zW^W%s;6tldR;Lynm8ZfbX`Qo0h4~K9IAs zP*mcejPBwYt5bPCZ#i?QMtAc@hto1XSB=EGx9D^pooc`C$G#&jm*W?yn9gLGmc?;! z)2aC(f97_nT!?SyV0$5O)Il~$Vu`aD+v^FvinI0v>s%LT6O~FzT-tUcVyPluSawIZ zsnnz+9=x6l=g%@x{qWA)RI%ds(oGe!Ds*ixC^r3z&lEUX8>`b+ZI$w{kMCy1x|7yN zrFj!8)+&m{Wp?yVmwNP|wQWbm8bwc=OA|!o>V7Wndhe-nw`1X{SEsL)96PZ-B+zP^ z;hIn8qW1hvCC^LN?b@_)*`}pNbI+Qk8a~p_4AHLGGk-DvboZ@H=lHvnwdzAZ2CL?u zOndd}QskGlBAXvJEM9lCdye<@<S8}(3SaSFTU^@FUs7Zq>cFXU#i%Jc%B?Www1i*A z%+|?KX^*G86VN#JO5m`C>?VV__8gxJitNIrCyuJfdL?b*TWa8%Co0p)|EFtb3={K{ z#4E=+&92X~I9jHhej`?UTD;JC^OKiXo!L6Y`1?<9Vf7nJZdG;$=NK2Y#jZNDRm7-# zSy0ngU*EH#Q?JIW7liS1o_ncywDPA)_PTSOr-brzcV#VLF@I8-J5z0g<2!{@GahW^ z{Oot5Me^RP4Xx#BIgkH_|9ZUWMv_HV+gi4)#MzCvZsaZCE0b8!9m_WBh~{Czr5l2y zWqgxb4KK8CvYk|4%CCOm^a;)?DN9#=<@6VMPsDaf?Cf2)?C@fVoI^bF`%MzK=lk3^ z#x7iDaAixrxU-K%r=8jyr}yiZ2=Ct+`#i=w)jON-?vs^a#kI9gXHKPupU&-EoU<$J zg5}j!9WD!Yp6Xa4P&m&>Nu6_!f40EElUuaT)=c7*F%4*P=eiZ3dCI*c&s$`tZcvk5 zXqDH+^A3`~q*t^uh8C@Ty0YBpTWClYr|_5HB*XkqTqzTbJ~F9E<Qx)l_L(ui<J4mz zi@Oo6iBVc!)l(XUd`tqm!n@Y3_mF%iwW76}E$i{bMym=Pe-5q?pTgB2d<+?$?F^rM zcuVNht4|7qm+e^n!qRKT!%oiVimXFs^JZ>nzWvE(dBf(NV*8dvgsXnlxu>&qrSmqn z+oBtSH+8A3syHJXx_{k|NQtm(A!gm4dw1NMd*GY~_rY~qnYBWjR1B0aIU5*XayHby zblAXJ>$J%4MLIm}i*&^L+#+*ix;I%^$fXu;R5&&1$QBK6uFFp^Tisr{YO9(3(z(H^ zTaEgkxvyY3cIxr2e&HVDqOOnennn><cdE`k8G0@KmDFX^84B^+&qQy^Jm1tA?|J%4 z&hl5YbnIKc{aid_b=JE(7uT)a$M)G|!@iZjvVA66Gw^q9?wGIjIkfe7Vcqu0t3)jI zgY2Rb7G65Aebp?f?4p<7W~|hb+Zd`hFLKo*!RVupW-iv5S2p#g&$?YJ7cMkv+$qS{ z^iz<pt5T4!j_K6p`-__SyB70IN!Z#Lw8ki)?P-=)*Np2Alu~)COeP;lVpfevS|H-h zJ0&r4>ZUy`YyHaw-Y<=pd#Dg0`0#=1;_?q0o8~)3tUaG9Jiq?s>2;k68ykc6{15V% zY^zzBvn}rM`t@%n9Fqz?e|WjYRo|Ph-0WC*rysYMSC?4$#FuyGF&}SUdrNn%&FZsO zEm&-_(e~8rvtE^A&pyVa`nkxj?Yy_~)9Wn{lAmPHyXp66m+bq%(vI?F@m}GJxilJI zM!6X{pVmmrl02-VyV)T6bk3QJM(o8~&m6VU^-kJ1ZRrMw@RXXGi>`~oIovhM&CvC< z#J&HH$8}0BAA9^dUbpyy>*<)9Ywz?s{iCKGI&PoQ)sxtiSFY1|eV2;lLFw2@Vrs9n zP8?H_Ei#B~kKwtxP-WSx0Itg+=}R4Ce|SVrK5*N9hQ&ear-Ii~D(VeFuSS3T>Yg22 zoL#HetGOy~+cLAeD>qBDsA*@aU7vF<P58$Lc3agK3tt`QnzCqlqtTa1(<SCyD_{5O zPRz{H4?U*7s(5YvSw=qWYrTx<GuL(#i|O+vWJ7$ty>~2IY1n)3)UH+Ub=1}-sqT&s zO?mmNq_nNS_QmI=N$jq({{K1>7b^EhtjTuO%gESWM$uQVXok<vJQj8J%Es7P{epXL z{i<8p%JTQighl(aa?UufS50bIb??<|>04K;jBn+xs;S-)U>hBJ^)T<(pFg5aKECe$ zXukSd^qmV+PMd5q*_QHpXZqw-O*_=@GnuXO+J8_w;j{2<x11Hf9FLYCn&!49Jayg1 zm96i0Zdx@j{Eb8C%c;C9&1cxU4yG|Xmxsz<zHu!kvU&3=;dLulYSrIx4!!Fl9KYrI z^UCd7uK#aM`JA<M^{PvIgx0TH>%E|xQ@Cc@`sOKyN7aSXeylip?_`z3)mgS@UVm&h zTUQ?Oe(Q|#lbm~u6PvcH`FuRqA{lqOpH;MAq2T?CQe5eWyni0^J$NCeS^U%A%S=yB z-_PNW-y<8cbxV`-?U1R*KA)<O`pDgUQ2U>A{J+gz@zOp=_saeYnm*%2D7X8UkbQU5 zd{}?ERC?UIyYbekRXNL~+(T-E?_G?UdpIz3|GeXt8(&pw#Gn6M*u?JUR~SF@@1wT| z%lBUoZsOfq<+bssgJjtit*X^&J^^2g&o<WO?OC;0<U7Y6xx|>MCI9CK+eJ#QW@AYX zX$~sh{pV=I#Z~t<Gwj_~;uBiS%6$HfN9m)QglBJSTUM2SPWTtmwz~46<QkT~)wkR_ zh36O)bxn3VS8(ct?wqi%chA1%@~vNxbs;-XU5#m}vc>1rDeVdMOWGgBENOpOv*h?8 z)~AA|A<tefUjOQq!^En!4;LBL1=psUUA`o_ck0K@p_cm?&c9zcebdeY_W5;5GmHxP z_Vz7U{%OmJV7u3*0bTb#<?8tD{rGcI){Apt+dgz2P72j&{81Fpt|4}6`-)E|v=5wK zl`L|lnjzhB>!TFy>HkDS<0}7tn(=wH=<|)&&zoO;cImC~j#W~Tr`VdZR~hcEi2S}w zPUy}B=dRhS{wZJIInlrHm2vNkrCPd;dztqwjjG~0m3;2X(Jx*_C0n)p=X~Omk`8@d zyRLYh#WSDvW`#|etBypwO0Rp_v+`<}&Gu(|j<+peq0HrG7<qNsr_aAti;i|nTPvOP zEYfK_GAUz`RHt>da7jnP9=^by%ZhCqtx^(u_-;mMPj7elX<hpINt4c<H(m)V?-+3P zB+Q%^sB_(@O*ASkap|<15vtqO>JEK67O*3Gzn9(0;+a<KlU!NXP3dm;zr5;b@58HB zE*lm_RXJ>MtqD_WOqFul5HoYm_dDlpD^usYvwSb@c+|*eXmdr8+r0F|v1Hkz4=(L7 zHdhy_%zGKYb$<1gr!E`gf}41<O^zH2;@xQI>@0KV(vt&6b9p@<^|dW22=_d4=0j_n zz@94tUENZL3WA(<=1e-C(BJVhNYwB2<mPFAbf5jXs%jV6RUj~@x8Or(P)oD_<R_XQ z2M<0k5f8bzIx0KcXzSK{?WP4vha3wu4>=Y_&ih`~_b6j`)Vsi%ISIWrrmK(c-mj|n z<mvjf*ooIA9k~x))!;t5RfGF*>D7y3x_c&jKX7Yleh}EwoD{P4=0Ss?d3;Y~@7+Bj z$mV5m$3e4AQsZ#I&hmW0^0F-B-kCAhYo<PTlM^eSYv3aH&}PQ!Gl?5)OsahD7#>n^ z^?w&T!!Kb0pCC`b+jGt}iaPNbS_Vzuquf3^o|dr7n%U|fmG*e*G|Lx@Y}v$Px9W7} zMNM-mkDVC!<+|%)&My~?n&w5hUGzRJQTCc|Zd8Qwf0>mBa%8R=vG3k;=4hd=_oJ3+ zOA8|FgbdG1{CdgP|1r*Uy2|6b6ZJf~YfD8_7Wea6{#YaN+xE$$t$eB<-P(gHR<D^; zvTNZ^*}N0m_pu7gUprfN$oJ<;K|k;0Q?kNp6$yt#cKgnFxO3AP%e-|5jZ?2&`a5s= zDXow1zS~TkAN+MfIp_C{YBvwHPIi}y*>UJ=K;5yU?R!ffT3uy$xm&U%esg=6o#e|K z9o4H&Y2DS{rDDJHQqZ2$lYPTKsotv!T^_gRY;km`(b3yB+rLcc-}Y(i<7s{~kCn~T zvI~ouF8@07<&}V$nQQXSK0C5>S#}z;qx{cXTkktuyq%NqBGoM7MW)$}7l~#TFV<-_ zx1GwF8*!`TvUAhADvygxgLX;XzO+(M`blZ2_rrZ>xkI%%Vs6Cg#NX7gI@0>qca_<z z-5dUT)(M?E&S@uQDPI}dyQ3uW_u87o+uv7D@BOqi`+k1hHYtt6em@VDc`r}PnWmq! zb;|mv{gdjqUV9X~wQeOF%l!S5)}?Vh*ss`qcGc#U6{*#0U)6kwzj<%bs{Nm)zV&@| z<a7A8?W?qom#0?Gu6n+x`;*v*8|z+8oqfCV@*&w*NA|K$bvd?Ot9w^PWL3A({h806 zvGJK}eO66S{8p8lQ+MTci0`@PJ+6;tY&vFhYf|31)PF~&tBNbzvWP3$iab}g<$11T zD|Sw6wc_OLNAA^bm0ONxKV2UB*ZD!zPqS20(e+d3hg@?J&X;Zd_ow5gL&1%l1r{l0 z1~MknIx+#gM^l}bZDjtYeOfVk>gwE6Vat!E{;fS#q!V}Te)a;EYMv>N7S+7>zfiK0 zqj%2q1rt9rnH0s<xt;6w-)H&iaoo$3_PcX5@65Qd-07#ZaCK>i_zb>}m5XZBP5Sp- z+ZB5?%G+G++Ntknx$TR-D7_DG%gYs6{h6gqO5>m&^V6AT0d2Oc^lYZ*-JkU>&R|F6 z)YnRCH&PCXNc+x6Z03xP$UkIuZRUpNxvO@4dUvg3`GNe^T9(%hFGsXTpDOX*9-XIk zS@&MvP3`!n=Yr)v@6ua#-R|A0w%$i?r`4{SIpKZTY0;~hPj=reiZtD<eJ^jP%73M3 zhxcWt151zmc{`1J>Z4HS${GK4-rp*_zxKzp_=mP0RvC{jI&sOTJ8{W}I(79LD;_=g za>AoWAs(KI@eYFdE%D+f7F9e|V!OuXD^l)!Q6j8GgxlD;t9R$Z5}7lcntE!jQ&i$w zFL^FZ&)w-XOG;{%)a_NTKD|Dvb!Ub4_H#<wcV>&7-sWCvzvf3Chxzr8hlQ~_-->?K z$-kI%Zqfl^X4Q(U1tRBprs&V*y>h5~SJ7tP;6fAqrOmv1lrna33iB8zb}dvp^I_Yy z23;P*quUH`w8-)pC5kh@KD9TPQT+a_;<$E>zLx?=3uTim7CMXhy_(P)Y<0xnTehfh z*}6R;nu_;c6o#=LS?_voCF8-1yFS^9*n2!!*e38Ld%2p(U(=SvhPDWa6^dqTTZ7YP zeXn`7IB~}cHZi@GjlHL{9z5uh+OcC5n`caLv&cGa$6%((R~r(RY<hF_b^g37t2p1s znY28b*k)jJO@RBZspW=?{&O?C+P8Wg_~6E?vnPyMdi|9H2fa-TK05JEs#wRSX|tNQ z{G;hT?tHe@QFA5k|Mp0B>ixcJw&L4Ed-G$vE6&Dz41c!$_SE^gAs@EIcxQ{YNeL_N zNcL%YBK-39I-AoPvtD)Glvvl!68-v+b84*Sf8|>*CGuBv<#b<vDf)O`NXqTwpKr>g z`m{WlVmR^8#{N9<cY4yVqV~Mx?z^5PaFA1Wk;O`9p5-Mc4rR)2D)eZVnR8K5{CcTI zXR+0kL%R1*Cw=nR)4Xt7*Ws#t8OPK$S3S7*-pl^lwCRr=LneRIljxl&6?E~y`FR_? zX6#RC|9)wwMnW;~E{$Z-dw)JS&Rl5oh40zsgAaUP$6uG^WywFo*0uf8&xz(8ul3(A zxhbB$B<5Q2lE#>V^=sHye0#mnDRTe%V3D5m2dRG@Ik{79!(T09eUkb&P;zy^waHT? zKTZ9b)2vu;cr!=gM~+#*o}j6wC#RQQFMC*7u=)G4{+Qxav);T_X;bw3S^9q6>lbON zIk&v&sNb7DIiZ+IVv<bH_LMi3POmzrr2JyxsqA^G8=OMjD?K*E@M}(crFb~WcFP7A z{@gcVS3B7?tFrnhEu20r^2ORzvtJoAn-im+B{iGP_gr&*lgPD~621v*rwOjPXw-Ez z>evRa(>iOW{O<lE9V2A1$eE?RwBykPK0}!+irnlaCyv$07H#lvk9jlo+6LAqx=y<# zG#tY-7d~Ii>0_GMl&R)pc(+9|ZN`SynQA%7(;n{7*d?iP*!uq4^#)rn92U6l=FePu z;j}~S7qbg0zoas_f<v}^=qO;S=9!YP_zvsSl{-2%db1z>dt+{u#|CG9&26t051ZL; z+2B*I6IVSi9daIDW22FdNdR~El80MseH)+4r`=m*{v`hV=E$gDo!Op?xXey2F>sNa zCN}Bl$B!PlKkrSS|KW_8Nd0W1MS7>4FT}6ny5GM%%&U3j`|ZKnUnV5&lrz%F71vuO zl#}uH$oJj%a}+ER%mQRgq$T(~CBNK_j$hQy(*1Qp(nL8UoykU_O+O#o<(PelGMCoq zo5jm>{8nfAmgl^WE~*qZR{G}toV9tm;hJx;ZQoR+{Vyq=Ja~M=lSjo7UP*}-KSicp zV=H<X`)r=*md@3;ULT&+YM6NP*pCfQ5{e><3{`bh4Rv*v8Z6f7Twl{Yn=Sj4YS@Gd zW?lKzxWyK7(<&m{Rk_;_PwEYQy6Bv@9$#y$rwos`ri@4Tdx0q`A1l@ER{F6Qy{^6U zyJd-?%`_8se$}%_nLWK9?OU?6K!1wldaw1ZJ&XMGy=JvWPfB~dY>DL##mpK-`Ad89 z_j*Yk6!lErp_6H*=Q*o+?WAW(p&r8bC88y@Sk$-@+fue{h`A)AGucGkTUEDHb<(s$ zk0z+u{oWODi9@W#D5H}{Z&HuQXVtTZY&<s`hF_97GjWSbns*e}W~Z4OUDLL;_dM#} zP_5dV*uUiC)hSCXZ>VPKm3c*RSv$@8QS-*kQ#nHR)D~r<y@5tSITKB|XRDq)xNL&j zK7rkeTZF^kq-5<puhSv&dg%$VYo4>3w@#XNM9S0qVVQ@peA`^vTltfwfAR4wHjKR# zBq4k02>TIruUWmElb$8bS+dl??1pW3fA)Fqn=XNCIc`f_YgOgWK0K-WYNBRmXrgB8 z)Pu2A_l4r)q*oX%R5ge<3euTu(w2Ep@!0P<KZH)EC+~VvydmO~>PC-CK@kF%j+}kw zILYl|>?NI&$tLWvs=A$eioRcV8#`5s#b2AJmB}-|$@l#4IX`qxrax|eQv4zAQp}#I zTU73OL~*Tmp7lfExv}TwkA9b8dU#~lznJIl5yf@bdDe@r^zGbc66>|!sY+_Hc}qF- zdu}#xxRmo{x(WMVm9wt#p5BZ4mn^+tedW|%z28}-7c_Rgu`^BVeYoYx<^sP<IWZGV z*u_=P9#!@9eza-H(t<TsGcwnoz9(eVrT2T+62m)_Ot`nJo;@b(S^UA_QjE=%Eh_6g zqPU`+XZ=|8BhB-%v+Iiodlo+0kg{Zkq}B8z<wuWu&uZ<Ql$N}I%DnF8Nz;xj3`m=# zWbE(kX1I)p%as4)+>e2i(vo|YSW2j8dit#5d}pCrc1JfwWWHLx;HjP;T0gTrA8Si{ z7Ju}-RM8(UEFpX3$!7Nmr3&#b%_4J?oW(N)VmLeEKGv3cY%;KvnaDps@#Mi98=fqR z-(WLCV%ss+YQ=@BA2epfs<o}X7nIU=aZ*}h(1xD|hB;p+)$mn$&FX$PY1)x=O?}N8 zj?5&f4`G)$=6OmT7503*+%aQ+(g|tJ%su8)O~jQ|bUT$7)^?~FScd2=+PB@?u1#~& z`9p3e)03AkvD~4asrSw+O2}MQw^jOJ@bpUW>3RnZ`#b&B=JUFWB|rA`^j<Vu#JqK# zqAyRryfdpt+jjm*X%F`<v8+(fthvLVX_haeuiE>-b;D_aD5i2%<)gKp$vgBi#qvC) z;*WUEYL1>X?a17Kv^|QiDo<#%tze&&_ITowofS%%o;Fjrh|Fs(d&4U)>~vM7tt)d< zTH>lDH)kki>Zy4~2?;w($!i(tUy-al?9PAE!_e=N#4)c~t%j4*lD90elu*s|?3uDf zgso+ZNPe`fYVU&SOFr_2T$1?ZF{^doq_oBJmsq}#-=m<SXV1gs&C={_=-Qdb#mD<- ziJVa1Ndps|NC~lNIXwK{e0{Bx{gb>Syb_By7)Ei<``<h1*mLuPY+74brg^*V6PaeR z@5N-3eXk~)NN1~@J(%TLywU5@qzKJS%{3gENexkInJmT5?9X-M(!JffejW@wD75$* zljr6Q0hfL(3iLXEkjJywF!)l?4ADzR{-pIS5%l4d?Jz&QQ%h3wnWxm@8qdue94_VP zOfg~aRylh#$<sTjbIH;TCQ~xsKd}%t>e~Ex(-Om&NhaLls=fMYDrXN?dU`+1@emIG z;O8xMaAm-<y{V7+PI?puU6SzgnAK{zP)&JS?{U@n-MN#d9r`vw?bxlkliW6VU(zV^ zmO3owxp_nQrJS6pChWge&mLv;^nR4!AuRr@&qM0q#Q?`@=TD+1Jqm3ser`On>f?qd zn+rTH$-J4oMdVzo$;OJ=YMC`(rkb!bH{ac>y6$Buf7!)FiM{+ck1UMk{&sQEP9MJG zTMTS<c2>yr%{X#+fy%6-dp8`Z-k)&#vs!|B(`(s_0eS7oMtiutYXrro<?P|~uHh7) z_Jc`TXQ_cw#e_wzbGV*)n@li1SoQsu`~8HZMYqnkC*QguI87#p+gs19b*V~O>r#<# zEUJYw6C4bLA88%@u))PpN5_zd$6M@O`{eB(jdUzuNcFvV<a=Z4k<}Zf9QwRq%9EY- zGSfKJ*rIot>+TWT7RbZ*%*ltVy+xJV{ji{{42QSgx7MX9-`bXnl(EllD8KqJb;HMw z%zG0asHPa6mX?~9!^7=e6DKt-#)emY{*licrX1@2WWLR)SND<r+_h`h_-zj@%`5xx zGu!s*^Nzl-Zgn9$DUE~e>%IBkwyfCkvHQE4&j-Kh+rIz&u$?XI;Y_{EKow4za}ovz zPx`!!3}*Fvux8@teFsix`bk?J)>PhJaNtC)j8PFgd+!|ca%KOd{S%jOxE``cB;5C+ zrhI+xoc^P3euZaFNcKtZY!!CPd%SC+^^U|RdU;ZnLfd<6T5m6Vl)59S>zvSz<R>iU zy>lMTn`nH;yoj5>=gu)_<?@2FCt_`kKB>q_S91CL9L;{XNpsiK1HsDb6=_dI&iBrF z*g7$~BJ+t^oaD~t>u%@Ti-JGi*pYIGPjA+SZf><XM=FJ%6+iWPsjg#`x7zc1c$r^9 z=9bO`&u803_xBil+*-*ae(;QhUYDd{4A14{9XdYU4>uW_ww*pWMM6(}a`KKzGeizg zJ#^#+*P;lSxgzqOYDWqLd-@+Pk`O<9)IhuK^r0IPdeWzpcgU>icv!U2s7<>w)G$VK zP2W0&HrI=uA2(+B@`xY2C85_<Y8b=wI(dgqPRGMd8x7k|AKWCNw{KtfL$9P_o^Y<{ zMcOA09eT0kN5(eOw(f*z9`U2!B=p*34P(U0juqUzA$s^}LOIX$Zrh8kS;>xDP9FS| zF}b_);xd(IZnJ}COxE0c#XT|WQ=OPls^X73>%M&1act6o)gJr@PkZnm{p-Q+eBEct z@k57Cl;qfSe6iRe{<5&p{L<m{c@yRAe&>h>ii*r%H0h!8{mUNw^E=(De&m>3I{ZQ7 zQgUKKNa@Fqc|J>yAAI4_-yJw{o{F1WRgi>WX_!Gxk5!4?G2Z@LqVf;hT&pzN+^Qtn zT&i?<`o7$d5P4bnLFv<tSe_0a_0E42=ZXAs4Q*}Z54`zsape6Ku^%_C@n6!usC-HL z!tf=>oz8po_sUI_W526xtGCNlbYbuJz}o)i@<1{1{KYz+dpb8Q`LOGcRnvl{`&Q}& z>)PyOX{(XVRFe3!HLc?NOraN|hmX1+w0GOBQFY9td!ake^wxKVF=o4t7FfQJI{fre za8I(&qhy|NF8O~Fk&YtGi#8v1-}3#U^^@dVyCw9xwj0Lq#4d^!KYSo#ax3FSHR->L zeffU5&W`!gYo>Zp{niwx_v`=fdla4)939HE{_zctxZ2oXesd2_JJ9gU!mjDx#u|~o zNk1ii9s3knA@$lK=S%0*dChSfYxJs)eNw%sJWap#-B-g^y^m7o9DbUfvE5?#)8`r2 zBkb%>9s6Xsr}OeJiMS&hBP-;Nr!DrLv#HoXrSI&~2Nv5@*p6;oYGBZ|cHa75|0E6{ zTm8EK;?;oj=Wo?*togI1_vzvvb2=X<O9*J&?qlhE`ndRhgdKZx^3NY2C;aG;I(_{3 z6`!80#V^FvtCM1SH%A+8I`-+~M$>8i`k{}u*tNYY%n_?Pvhn5%$>YbYpIDfQ?|ppZ zhllj>X-@a;r>PzN`ZDVL^Uqb=U&Xsd#_TbR+B5rG{vsU~{r?eB0V{Owz5OjdQLgFv zvDixUPxIX(b!?2^d9INZ+9B{#(IS3c?<*TlnQk*dnO?KNIXz~EGM#3T7Bab;3N>y$ zd62N><k7~AV4>@aeKqg&t`Y4jp2+zz?p55D&Iff{Iv+W1>3o>D<>aBo8IyaDUsPlF zU*xOz&Smzb9kRJz55rQ{8qDeS=};{&zU5M&e9NU!^VX39-CHRSv$mW(HZ@~%^XZFf z;^K>aJ#+famTpkq6s9w$)8~X&LiCo-gzPPyN#R>M6U(=pJTxz3a_`=YYV5xk`RbLq zO<US~d7>QqawS{6Z|+r-ECgSAKCGxbwCrQYN++i7<ewa3e?OLs?(h7jYG6EXVuG8E z^3g99Zf%(#d&HI<Grl!L==_U>BAdnCH$V1x=5(g}Bo?ocS`s0l?PzC}*OjhvL*l&0 zM#Xs(6Kic2x0ZhFF}rrm*eXKk{EH-Cn`EKYk0pa6L|3y(iXHKq(7#0cu_R~M5#u18 z{`8axvGW;;nKp}iFMjOdb30<ZOG5Pgj3Xy1j)}A#Tjj|8Ia&3D``w2hdsq%XlGHqQ z#Mr2(H+_qN-n@&)epI-z3qO*K_2~({XZGyqC(9Yqr=KVJ>BI|7PWl-%<LmKD)~>au zziYj3?>Ju<U!|Kq!La6%BKP~!6K>b-GbS`w?~2fidlew0U!u|ae1Eu{grNP=nnkHB z{abq;<^9~cSMk^fFX{7#QgdyjmH#hD-eZ4plL%Y(j31_H^18||zP4Yo{&T2@f6uID zf&KgTEU@JGsD0+Mlv-V2rQ_sfKT@BryuaG`@!vy5vz}=j(bv__kc@woDH&goF|*e> z>RH16X*(;HrFz<2-y|ZIHRH#|&vLrTH<qn*d@nxFdaI!3v@FT_-!o>m`bMQCZ=Pm( zV^ON!wk*l`xGc%|(5x9h9^MbpeOz4YyRdRUpUv7{4@3XcKT14K>%?3)k~ZIZ=3uUF z@yC$UlPZ>^YRX(Q_;~qfag<v@+-VKHEXl*Fx{qrYPP-YgB$cl(dzawH!^efArX5kp zouxVF$`RrHoi=MzS<+4JhW!iQa;rlmeQVFdInyF7)~A|%%lsAhQTufFtqM<>%bP^> zvS<9*`ZV<H!D{{MI~MnP6#AZ)SeHGsRXXa}c@^E_0`Jq4cC1Z&WIn5WYfr-TX@P65 z8@2I9r6n$&cJs#il`$W+&z-$hQS)|Ps%FkrgOB_AbEDh}7W{E-Ht<eZZ>Ds#OgH() z!c?)cteL&dvzi3$bz+zIK2O>{O?9LD;UgbqL(iKWU9I))<%HJ1Rw<7g_$)0}IP3Af z3=nEB)o4|I#k@F4ug3E<$F9}C{oecb+ivN3IBQqY9&`I|SB`Bibd}a`y*H~O$?taf zX`L^Zjimo>J#&yjx7aY?^rRQd5|gxSTuyVety0%$QvDDR?3tux<1<_1aDnb-1FzFL zJ=cubleg$}{=QYS?1RJMBPHJ_P7|~V?Kf{y{op7)@zJtnrkyX}+3Ifo5H)*QuX)t7 z1>MUMj!gNs*({Dr-qc6tzTnrc$Se0XWjAdMZ<m>KK~em8X~%id5{=fyuNHsHdfsU@ zYsH*qt0M(L?LT7N+hy)tRTSqh)o4Bb>Q43QChJ!jNss<JwCn7-qA0z-<itU4*`mVu z_DMUIJ8Q-SDjTleztk@6J+G>C=|zdW7ZZB3t)4ts#kbR9fwQO1#f2hbtBc?J?p?lm zap%nMHL{xw!rODsTvTM=TzcZDj;vSG8mZ2gA4O!7W~^}*Q+qj~x6|rLLKELkiS^E& zJ(m}Xq^+7b!|(kyMZ4M86!!&b)o1H<R5)Kz<Q6YIaV*zVX!1SYS2-P)?`ywWJoK%c z{&G>^n#+o9Tdh(Od--lgtaauKdpV(ds@0SuT(Vw?KjppRZ=TRvC#_!aEPHX|gE!Zg z@*mgc<&5br5Qyn72zb+9@L`(J!wm(-hY}x$wH!Y9mZQDfTCh*$ots6_j&!|}uHt7Z z8BE`mWmx!?WJLItWqA6PWW?m$Eplu+3oI;T9~NvhJ~Z*jJ`Q)T>a(rq3hvEe@lNn> zX-+6_X-*1nX-=$eIecgvM|-cVARl|QvP_Lne}PJ&!J&zV6pI%|??~`F<n^GSrTKwJ zOY@_Qmga{MEr$<%<Y?~|7UW}BSC-N9o5_9VnCs?)2URy8Jes;$u~R$EnJfJC{_}y7 zM}AB`Fe5|w;EPZ1S8rLyqIR@E(5LU=qu|XFdToM+F=ES(7Tla6clc?78_)FanT9c* zXSyDGC6<-22KIFMb@jUyC+*;PcB~-qO!vd28S;ly6CHV`_qH0w@U<N)*eM})c<PZ4 z5_<b=y4ESQO}yy&abmV7kNCk$5_(;!hA}*+lXvK>0kyu2+PeMcCGUt?)A!ITDUc_e zD;w1My7FSl4~^|+ZQTj6JmN=xN$9ol8pep3fm&Z8ho2@C^Gxs71+~6%wwye;BSSb| z*?sn;83MUpiI1+^ulf;Z{gLtTs+?5k=wfH~_w~YU*Z*&M)Xo(!`K5!Q55F$Y+W(bD z`%`ClUthlZ=!?uld)}KR-YcH5p;ho}eK;e3c(Hr-+8aIz!Hd@j<>dSUO-N@kEe<t0 zsNpIlA}ykIxT-ZPajw(WjbRI|BGv}Pt_fV>*%P`#vxjr#&8$EnWf84|9<5%6ehZCw zzOR=2_%-a~<>QK6QxjG=J?(X0Xv7n?LX1r_M4DN2RWl>kRoD8+vuYch77DEiSs}7o zMC-6nYgXb;r>z@(7FtED35eDCx;o~xN!O>j%K|u;X@zus<eHk;<+Rlxa^bBRO9Etl zLRRRhozT+1ugf(xVYSoJ8_NQIHU%w=y0IqU=b^xbRyS4##J<VWDT}?ztGg)DJf*Td zV(vK;GxZI-udMf+kPu$GarKwO%UHIpW<0p<mwZ@_IY&hj=a=k&mn?s!m$cm4Q}cGp zcLj!neZS_1{?TBWbvyLgrJE(WOwznluDkvHuC*_A(bcPxbsEc6dLOJ>5*Rblr0w!o z`*lC+B0qoKUv1KokpI_T>z|+N522`b4!+Dwi*GDf<oRuS<Pan8#=-z+8JX(>;>S%? zIu}b#IwZ(?^859C)0PKw+XD7n7ics8dVl?oXnR3<MfnQ~oh;1KUeEnJeIB?}mgufz z5f{}us@i(0Ao3jJQ3Xr(8k-5O-04qVn4LSdsJVF4i${BO)Cz;df_DgH)NH+3QvI>; z{kCH=?{AfKBy8pj%(<j!x13kO(D?D~q<zyIzO(Tvy;$ZfQd`=w(0{>mO@)1nXEx4T zwL<s|M~RK9ZR5u*2LnG|jbp1A4@*4Vr@2hKv@O$X?)u0nyLvUf?jB58`Yvfwkblym zApb<COs`khUtgZOHP`gdpQxNeEZtvrO#S|c+jmBS<6m)6`w0ck&ZW*w>$mhga7$Rg zy@4g~mEu8N+a)^|xAR=z`g38$%2XcxEoTlD>u&z&b6Upcs*$+=7M;%PQPU2YUah=y zE$004{OzS357zMo-np#U_S-7uVI$woiWSa$axW)z9}f~1Ij&ui8@f#JGxKB~dokvd zHq1+BtX@{596Q-zJ)5oSi`)eww!Bjox*yipsuNmJQy1}Ss&GVX{v(#vJ#&&;CmOGr zQc=8HX8!DtPb;@de48#|c`!(Mc|_V1p3|vu@$;80wVJCOTG`p{H8=N=$Y!R!4t0k# z)$ZS0SbN2}$-m0uV<f-iE|z0^(hRqSnQk@fy7_IE!{u*^O<S#8Hu|;y_+)2r@RI=l z2dQK1mO<S5a*eyDJu@!VJ$rQLb-9n8`xba?*d1H=EJRHB#+m?5GtH2$UaqO<ji1a7 zd^5?lZSJOw#CDxq5&GSH+fFa)&fPTQNLZv#qPN9&-g~z7tzHG&Vm~JJ`ujdu<)yY` z(Iid1)hTV>UA94Uf>-c77hToL&2{ydYwN2ATbxP@ofoPV#xDHyae3^=KLT3$)iO)8 zi*>I)+H!k!JIim84avI%J};B|bkkww3nO32jTcUsbc%kQ#@6an;Gi1(Cj2DN??Xjq z2g6TVgw8tj>&Y*jbKYf2Z=S1Ie|(d!YAyQBcv5UhcI2FCZ+vd(dz3x9sc$B-n`2@4 z?`wyyo3@-k=@lE%@xd>+y<+-G&;Cc#+6?Yo6W}g4IdaUGxA23HbIhHq6IAYHdvM8% zsMr_fToJg(oyBo9jW=<I=n6|eqtbhEVp^q5FPCN;Guk#*W;qzd&lEc9v-F&S^UCNM zi>~DQ1i#{2rd2Awd1{qV@sujAV&+~wXJg-_-K$orHg30a`QX+WHS0&zv#orBd$NA` z9zB2hMD^ue6^}*Zl}xu^dwwa2<?gkBIi)Q&GM^HQt26zUAL#3q<vm)bb7ZsW+>OEF zkzdNc#y?(QR<w?FddbZET)ot~?93nEZ62Kvj!@`k@lKhTv{A=Mhil88n#`)xz0<QC zKKSu!%v;SEU&HFBaL=$f^h}wMg~*ydqs-M04-2YgDhbJ4b5Z%(A#5tB*_WYospbgh ztPUP`Q^82PM^7A{R%K@wvOSsotHM@AVtKnr^4AH89dc0;i`&iIvKN$h^<Hvrnpovw z=)^DC_IhD!VpT?RhVw_KjSWBhYMPe_u9<FP$I9QcbDr9|!1bXgS+YZnj%H0gvq9^^ z16#}aJEvwjY;fY$n6{enu+hsI64TgbExK@P)upYzH%p>@mpL;X_nGlv2WRjcgT%JU zL2i4c4|2u?GdHcyawv4=mC$2n6XrMRWM5sTS-S5=;46{pDOQK?UGjWqYO*nKs(oO( z`6|wXGQ10CtXAatY<lF74(~?8^&2hrv36FNuHh3bxvJQeXLaO4Y`ad49`9zwl*R2@ z@8gae20L@4WpErV;Z2NKpeQDm)zRy^O8HUC^f-T6r58(`MfR3<EbQlte6iZu?AO$J zJRfc;+b`d<yYjo2ZI8d&j`fo?<yNG$wJ-e^H|_OiPMe@tEd5ihjtVb5_aWqkq}}he zCp$}HW^L;{8X_up<)fbRn(Os<4~bXo^pSmc{qL?v=}~v4d0&5+r5oSVrX$(2>T$bd z*lL4aebd*eRKL8l`mwHZk4@3`cj=<`Toc=@HZq7Buim?L|EeD=`?{tY_H{okI&rbZ zu<P&B`FWDj;(FQ}C#^XoyK>EupiLoR+m~+ol>FX9&Lh>eBC>b#sjiMqQu<S;PS{(L z=UJ)Vx@ni@RMC%9uga}lQ*%XowQx|RU|g86$nVqBuO8Xe)n$LaU+M3rR^O(7H}|jn z!JTj1_;6`%li<?au8B)?+X9#7axeD2ZFX$RHrXEC+}#r4xBQZ7GOb%VkLm>QXq+-> z>|C0A@9wm1nsQt9^Njas<wjRT-rDwY(U!LlDl=~%-F&G`$lv?6rcGx238upb9<7UD z>hBhF`><<^@5SX$5?{pL+IC^}mfXeZnYSJLFKz37xAd*buBqFCD}v87wK}@qe3TrJ zIr~AeA-CAk<(JG3AHTG%m49ijbiVg(zI#)*#qQC6ck0-Kq?^_+qVJR#F4-~j$c0B~ zT!%N^U-QFzqvpKanYTi?1bni8g&mC-W#U}(p_OCWZzqw{HHvoYKeg)Ud~D6p`PM3< z^QkpP=S%CPhzHzD4ffA5w7W4?FhB5fjbhWzeF8@V;yc1u=^t5rRs50YYwnM$zP468 z{pGZ$s&=8su4%@{3>NoPSXW<;;1ewQXxL@APvCGy{E0&v@h6UX#Gg3G5r5)nLA*w1 z;=TZ`+EbF74L0{wyq12%Ey(k&RfFxP)1NILTXlFowB~&I-dbV(xi#jC_WL`>ZWJ9j z_@?N<(K$s5ouN-1xU^^2MSgVs;COP?uVq>J&t?kGe;V<ZcX8u-!82@KQ`4B^r`J3V zuMxN?`N~zVNRY4T83()TZw_|nZ`Vcb`7Mt$Pg|?I_U5ren-;2`a=P$qc31oiUCuwh zC(1SbSGwf<G2X38;@@hI{?`9imy#dv@BCu%<Gix1-oMQr{zCsVm#DY?S6QW{VdbKF zc=y)-7MGkq$h%f){5$Nyf4E-Y<;DwQFE?Hkd0BWs$yP68i8`myOHW0?P*EP)#giV& z{@gG5Qt^kmlC8-9swM3Y<&|y4{<$tWe$2lAi^Y$2kN)oau2qwMq)(hDQYRNGDrV)X zdboYve77o&eH~u{{-|6!{Nb@je`~x;Rn8xyOOF>=U3&Z|JkT{`aq*O+2j?%+(fc=7 z!&~To-W1i=`uE#K_g{(HG*7e9^nOQ~;F`b3?uY-;IyLpcHVd;R=E58i_Qy9Q_>OLj zw2(QT_Si#acJtrD9KCNxH>z$l@6&CyHGI{T6x(y;$A@j!eZ2|cGSWxSS(vrS7Uqa; zJHGK|gxv9G2{AIWyEhi*c=mKAdncCk>`ID|S2nc!*V~n>EFpQ^%}}YYH?cxyc57l` zj#=BWjg}HZ$Dbt?$V3Y@Ke`e0LVEE!ot%z|67mYak8BkD(wDsWh3N5P7mWMPI_<YG z+qa=7x!AzG@9fbAiKW@e$vo4WeGOyu%#IhRZd7g4Ze4r-hvU(5XT6VG*Tk<*5#K-Y zNmilq+xe?fn(qJG@Tgkx=I>uu&rh*(oI0iF`_$ELQ*#_`lI5lxRa7ll9LUL$*Y#VF zYr=tGUd0`26-D|@TOLkqi>O$kXeO7@(fnKL(W7~7Duv<BK|2=f$#iAE(x_3{yH1g% z+N33Eew)FY>jK>DCP$7r@)j0&ILF9bo1oH{;lbs8we@g;w`?na>~WKp2RqsV-du%l zz-zl<u~w1qTt-LtW~oU>EO|X2?%7qKV-x89F!?Aeui}msuuXUo77G>4%Cb9}wWS_C zTJ_5zcTW&AyZ+S!N4ZTs9_^G`@?lNjopP>*PuiP>UVP+bR(o;oqyH9*#{Y*~IRBLk zFZ(gycgDkd(?st7-JG|7{I5?uz_&}H|IE?)>_eV^%GKr^s?XO5?aEtL`<d@ky+LBr z|BEe}|I`mRe*U-VwRzpL^U{a^r!5fsXV1L#<A13Y&Hs-(#QwR@HtWd$)CHP<=3grP zXe27Wcll}YbAQ>IGVcp)H~ZSglICi`k>+lp@yx|S;+VUI4o^?PjTzz(3k>WJJxp?J zQSNLN>=O~2$vrn|l_h7LoK9bXfKF#YfKG3LfsS$dl*F!^35lC-CL~X~`5<A@%?C+M zHzyq7*{s+)^Zt+4g9p?v+8Y%$$^W|)FyT?#YxA>gP5TX{HU3@7N%)by`QV}WnY?EE z(#<S>?7R8kk#w4~(EnLCCmgajoMv*AzlZaK@Z<Am*qZFkr8WK?%1QWPoh&D*)pO@i zdGDQL<vn*8d+r>)FI6dY-|UmfKGnNNz7!i~{W;CSesI5TY%rgt&<~?iP9H+2v@S9_ zbtu6#Qs+<Yl%sCGQ;s@XoqDv$?9?Qe*eR;5Wr9!rKjyot96CS6XG-gX{y?#=^^0|Q zcm;Q+dbnEXs3^;r-Qj3wRzLLcP+x({$KM?8T=hTlj&3*d4b3&3dFz(X>>s9&Ugvly zRlJ)%%jSCb2A2<NzxQ_KPhYC`@xc3FPvwefqOVssT{~ucP2tn^X?ZT!=gi2}_K04$ zbSu~0qWkN9WH%l)&fv5;`KyVgfAgcCJDnyhpRjt(D)IctlbR__`z$w}dGzV}%aUTN z=sW&QwO1Mzl>6CyIN})0wAbr4i*5JLQ{q1S^%K_bE~<|XoXB6r`bpz8zwn*2iyq~> z?AW>Kn6V8H7YqL;PzT9>h34~3-hM)ycGKsqO?|E&sq*86Ez2?2eTVs%<~DslswC>G z)>!^e;o$A0d(oec7ugG_?U=s4vFE*}(8tXFjX$M0PO&UciL9CPXp5Jc#bo{CJv(k_ z*j+W)c2!<Y;yHJBe?c#Q3#ZzTLyvZQWiux>J>9qA^v_KWGZHclb=GAS*=Y)>&3M7x zoqu1KvxQS^Yt_|RC*z+#X=`<COxPGN;JJo}i{*7!W>@z7J0EU}iwW*3|Ip4^_4Cl8 zjNfdBSpL=|1}>Xl^Rm<E?W@O&eC&Vi(71fhPH*4CtLg&J)T>rscTeH6Z}Zv`nw;N# zUH-=slWp57{x<rbHYpQ0-t$-2e(jIM29s?iE7s)ub|0&B;OFFfrFc})HpOC1yI9|r zj^|mT2KBF=eVOuLVq=hvSwNdQTh_x&Kbw_r7Mo7hojTJ^CikP?-%ax}*Bskez<cHT z1J507ud-(upUu3dyg#2)b*}IAPWj!n8Jr=y4?}+&&e-sM^KJiwEuK5G?Ag0#vaH(X zy;|&3*vfX1yI&?O+$|UN;-ZwsBcm^?zPWB-Vw&=xuQ6zjaX=e4Th_y%4OyNWv#PhU zt(sO5dV8~J2=kt-`>ojvLbh&i3ia*^t=@f9x~047$M@;(QOumb>Z&Stgxajs6Fz>d z_1b}bUiLd|mRzcoTlPeqiSh1t<5SFQ?@659Xg=3-OK56T(bt)2Hac5>FbUWntWfFx zQ#1L<ul0qSU2VR}iLCyxB_tqqtJ-D8^HEMaPrN=`5vO&KX~oMs5eYj>Y~!X_O*9bu zlIp!tggInE_|nPi8fF#PiJ#i|<E&cTc9CNN`=eUTml|(T*#11ZVM*YZsU~gnCZ#Rh zz2xSPDcsq7b{@03zfYQWWc8mV%hse`mi=J1XL5{~c$2EX*H?R`h}Dbul_ZqAMHZ`0 zoPK*n!r$s7Q8%{N_k&&ke&>I_DB^!|^H+bTul6<(|Lt^MY)JSkzS6<D`Kx~2q(aq* z|0?nSotwVS4_|Fy5i!N(%Kx_swgQ3w(^Y#P&RY_>LqF3@&SO?{`lM%%+LovmnnwIz z<o>FG@uAP>U-CDCW=M8gD<`RK*>4egikszk)c)X)XBR!F&8f`yP`n`=aD*i@-9zz) zV89WT%xVwC8)5-RTr#sg6mJLx97)M6_E5a>#Gb=&{%r{n4znMRE9Re_EgZ!0j7?<X znROGK3bYq=D9@J*;z(l=DGV`Z?y_i;R&0Gx<sc~hHe0dvL6(DH{Jxz+K^%I&MW59w z7%UNp;n8^HvAjj3=_I48%*%@toC@p~bXeJm2XUNZ6A6?`cjAh_z#<Z8r|!&ku(Ltw z)bk1tMGLWjBS|T>9*Q?)1CD&}<!cjZy3FW0rFc4@W9x$1@f%$%zjJ8TG)f1!Sn_jd zvixQ4(s*wDZ*TOXIq%s-0?&PS;yU=ZLFv>_M-Rmci2xT%doE3uevl${#nuOz4uVf> ztd|Jbe4pQ>WUb%CbkKXhg3)I!4S_x1xRtc?o0#GwezQ)TSnk-Uf97w~igy<s8~cmu z97DdfFL2m!s=g%m<|~$E&ziUnZkga@XuHJVjE3G(?)U2@0%OF);$jVL1eQsNaTxRH z`UUTuf30gei;98EB@Q-kDc5|@WC@i_F*dJRy>@F`120N@cuiMN6Vtc&k=hh_bY^+v zw__7;dd*Gfa}s}kDrKpkf&aqp;-q~+>JbYrxHiYExWXx>S#r@vT)VVMl`Gcd+ETB% z2{WC<d5b%Oo^M@w!PQuH)fLXRlUJ3xig$RaNhmIV)Z4E-)#{)|tKP2_7rA1uoRV02 z?suZ4^`g(x%O`OjJH5%HrpZffhQ{edOzJCBnw&T3R85N2nt5I*B{Jlm+*F?p;nQ5N zz70Of^1HaT&wb82-aF<78Uj38-xTgirwP4~=Md)6@MD?1XUga9&ALZV?(|=C=-Hzu zcb0dZ{JHGW6Pp#`iOGeIGAvs|Zv@QA6!YXgSmn7mLOqiwTlMTACC|+pqb|v;nPeia zt*YB8demFZ_3Uf^*RPCquf8p?j7jmmnyI6&SJbs=Mu0xI`dRfW-iM>O-wUYpCT(0| zxMrdWck`j8_k%!tzA}$A7rd-)*e-L2SKfi!zTx*l<`e5Ly<?SjN}O6|enY@(^%m=c zYec?kz2Qt-%2DBbL)Jz~N$QZE=i(chnLO4iXAhNmZr<n%TB%{O&u`Kem38dWOq?by z-3N<AviF;;Ydsxk(z5#Dl1k?tLf0yscj#n_OjqfBxMNAAg}C0|Bxegry}v=u6_R!g z7X|!8!jJwGuiLiO<YRN*>5gXxyDJ|0IW77XzNM>ug~^n1TkfvwEUM=oUU?+Cmf_2^ z8;hsBtP)<}m%EbX+19S*p<Cvt+r`h!e<<*C-ZEeN`R`|*suBNndE(kuW0enWlbDZY zvo?xPk<sbP`S*SD2fK>pPU4F%J^b_6aDUu<QRi2Jq5{dEFFrC5tZ_eSIQ2|);KpCJ zJGW_S$9*$dP_c8f&L`7$ouDt1UEB7#8cxq#qwN=cyljGt-M`aHM<pUtB(5(k*fH<L z>{EOp%yzF<G9FDZO^{f`Cf2s9u{TkcYxz|6Kdu%r&Oh#WI%~LPaU5R3*ShV=#7CaC z`<xRO=yPrE;@zlF@x8=w;o<nuKa+oz)$G0Z=elTp*!{i$pS*7>?7wf<Z+{g1_0h7V zgJ;D<9))d=f7Gp47=3fH)GR4uUEiDA-0n==l6FUI`>dVQ5?i%T)?8S#cIEps{<#<b zYjDIfWpW%nW1x8SN?Inz!5rR&GnCs59dBi=s$>-9`_^LN>8y3|q|Ez{Pgy_a{cO8q zuKn+l^GueoDa<U|-kzx$A479E*9aA_-Sg_MYrJw;`ci{~S%seaMD*^v$Ls!L_Ee9U ztSytUa?252u8R}PHRY;y%5azKKWp>&x2>(?v*8pe&7(8b-KN`oJjS|D{*3r_%VsJ4 zC>@=tIe~eP7XM=M%zgN3N5Cc<?HO`j)1FM8E9BKsanf&E$iz1)g{?;GpY0Jh_vhha z5oTiYO{fV_m~rt?_&wIf>Ae0=W<_-W7HN9z*s5M^yhPxR*3`@&k?mWhoHnexGNI!7 z#(gph5pi9U<>z|~XT<1Cb(z@l>80{AImfNGRo-EN8GEDlt@z;>@wB`9)v2l+k;6_C zwz~HRS!<mW?W(ktzvZ}Z-LBS8PA+k~yuv0<f4(yz&SvX`>Anm12uz%QK561)@z17p z+d}5gb0|<#_^`@KR)oWEYSx~W0gvB%ZJim;agR-O-kI4GoIdO-dG>q0<jpk!oZF^^ z-Vaue7S%eqEyy{;GojkjVZ&kTsz8Z*Mh1<!j|``6a@b(2T@)$yqef-Phn*fC3oS%~ zB>37zxZRz)dKW7mJ-Bhgqem4Uo{wBSJRhcfP|zr2(cSaGzg48^vSRBaACE)}X`dO1 z=>Z!yXlvZKAuA&DhLcP08(XW&H`Z2>Z`I0~o&x8&_UG_<vgprpo&I9F&<_1fmhURP zkJc?Qyfe{+JN9<Fsq|fyvj-(L*LC|(ns&t9)BB<Gj|&>}+H?1?-S?I{XzjVULOYWu z|IqG)3bAQAHoV?udF`s~{f8%YyC-UPwkK+~vfsP2k~v;D<!H$&X8k_NNPn+~F>$NB z5;j%57rXyxs)?t~$D`)1fBQ4V(l_3CmQb?!`A0j`>u)Oe9GV+7CD`J|Jb#xX<|aSR zxu(zlKg%^#^u5u!KVi)r=UxdMwUkY=_#eHmC1_#Jtn&^>ij|E2U3{Z3L-OH+%Nsb@ z53i~JQ+o9LmOi!jEBD=Zjo^@Ti`0;FiIjNv|J`#@`)~UagPt_j^!#OKR+C6RwC9)a zjPpPLH}-F1)cK@l*H-#)dzMo{ptD9_Hpk(o_dhLN@3N;xWd~z+{qm<SA4;qISmW0} z_xX^y|MA_@Lf*j0^XgC8t=UAIk`-GYd3Zcj4)xerpt0n`M6D$s@@$uUsJpv9Wa~4l z<aBpCEAgqX%BJ@AX4aj07qo)qw}_Vf2@$Qsb*)(s9o+AIoO<QY<KAwocGITTNp2e* zE=fG|p4D18DJ?n8Av^2MgzSS0{c5u0uB<xRebf4*WTfXex#>$Jp7+NGM+Q&!O^92* z!ObB4QpG;i-o%AVA|q5XZSGHcmf)!#FMF*+NB`{+_UDrhi5Hz_Vv0Yb%g@E4&D5Fl zV}p&=k`KW)O9Fa$HF+L8b+vv}JbG-ygL9f;Y^-gejt39DT9A<J6i{Gbqp?FmP-K#X zq)3p2sKAe;CH2P}91JehR~0BW1}e5Dlz1$Z5Dt<!)+WOJ*r}`c<H5PXJX~Bn&CN}% zijD`5U0CoSAtIo_&_+YWP)TFS1=|V6A64$QG%QV)`u&G>dfuvIV*8cvPC5LnZxOp5 z$J<$(X4-EpU6J$l$nmTEbFa3X|7q3zFv?Nr#zN<sck7)+=3954i)g*|>hf0I9jm%e zeTZn+$+@g39c_C2*z<K#hc<XS>(so_Y4c${`TXB9wMD(wvpUM`9_jkJux~d#a@3F4 z^U>tCB?a-mvxHxKQa4qsSfqC+?H-%iOM!!KvWs4PQ%{x7(A(CSy=w)Jf9Z)sY_gj+ z#uZ-?={IRf+}RdUv3}jDXHL>P)^%n)UZ|F|koV37my!<-&M`UHCaCPndS%?2KDT!9 zzKT=LRyAM#T<{E&wDVeZdhhflA~Emwt_aADnGjO=_~#O*r4|bVB>A*LxHpTe>b*Eg zD=+4I@CuPyQ7vcr)~towowi;yT~p=1&NqC8$Yl|&!y&C%iCs=x4I_j0#`kJK=J1-F zwi*O3yfs5*<s-*-Nv^5>mLgh*b6T?!`<%8Kh6f#O7U~w&Ix5t9YD37vsEB0&xjYj> zKBoAJw|ZUhT^LjnzJkYAWL4`tuB&ePo}&EKU&2?g%s-s27_P~twTGu!WL4`yuB*oc zJVpH(ctcmP92U_!TF`pRz-eKW#L9r&o=G7eB~B~0dKE-0{4{ljQ>w+P09~2j6=r?j zt6nVj(+p|4%r*5<k5j6}>Hyt2!7I$pd9Ql0Q%^gjNt<ixqXwtc8;b&T*95FE%WJ(o z^LxvSRU58XdzEZ;S{ku9K=PVa2={A|RlRp7X;tmC<(itX-f8KL<pDpV!WTwKEDorQ z^?0iAYu{|HsSnzmmhM;=Ah}L6ggabxRj>9Wt*RrZxu!mt<g|3hiU3JHjS%khqN{rS z>%R8uPA$E6wd1X9eZ<Gu{aST9X0AJE_WzgD3tnH-nYVb<qpkm~dF1Z;X!nfBr?!bZ z_chOpipXAIb}e(|cZa==LODhOU1!;{5?43gy0L8OBH6cQ0Zq1SUK_7F=)N%zkY?W! zvSp1jo0q|P2Te6ejrnIKR`jln(mM7elFiHDwu9y~35~-s%ukDzn710ndmok9cfx^F zOj=|9Z3&I}k0mtbzm{0h`!q`H*dK4UQ{fG4ULQ|6NY0a7(R!RM>+!^CU6zM}nN=(D z7KrHcOnJDkF{&bSfmvMk${E2m1_4dxY+i+D93=asSF{SVWj$Upt;_O+C9|qU{sIwk zo+;}kc&{7^WZqgB>Av^*f%8%t2PK)8TI4R^S<Z9iP&M<`kAB`qGrnGM;FOclII6{* z8j-m`tW07>uV|Fku}w4CybKOIXfBi1IBdea)!?Q>uFSO{!%J_C0-ENsd2PJqAh}I? zMXN4bR<d*Z{IEX(uCCiRy7BA2x$G>>UK%jxX8V^5hnQ@)Yz(mBk7%jcHDmwnOSkLo zS1u6x61+lWzKEtlO~?wdU8R3+cXchgb*1U9?EdJFYm+QypIaLJ;Y$5wt?BEsUtj3> zI_X+Zs%(A8$GwZx>@I~x2FL2kJ$`fDlaqrD(!#2EG+#lyVMp!X$d$r1K~GLrFImx@ z#D8zGYx-5gA66l}5`L>2TZ6L_9?z1ps93?Kw{6wt*+=3`Jr-80hjs?kguH9|RJy=O z)oa7<$@w22GIQ!&6KK+uax#o`mQc&&m~WKT(HklCD50-y$BfmAo-)@bi1@AGZcl2L zGFTJH%su<+fn$cI1qC6zF*1S8DtxOQx!9+yZF<=J>CeOFbwSS$uMH~y5Vmr6#hNRV zE7o2KezD%7LG5G2F&Ed*xkCIYJzw-E)+#iv1MS{*)(FeskpEzM<d7Ec#*I<VGHWgh zh-aIsbe2j@Iuum;Fn}k3xlJ!C;o;^Aoj5kLU#pTWUMyzQt6J$OWP3%SbzWjX?9Dru zX8A5&|NkKiW7aC68y5nFIL&^!A8R`CJcMg%!ZfF)Gu8%FsxJ-DJrlaZ?Ab}l#Wz&C zd5Tje`X5QTcuXX+#2{2G)@Sljm1U=sxQv(FNngGH*nxuZiFqR7^DY?2us_l@wmoE< zzHV30o^0jD*AK5nDX`o%Db&!*dh}uGjJ61i`xndmH+e|S%DPlDb*VE;cuB{jO?-xP zE+}%VhicgOzY^8kA#*-DKT_p|a`zvZX|8SF4}YEcXj3wE?*Fch-+MMa_7K*te;4VY zeOJ;md5NT_vC-<gw$<mn=l|Rs5vsCLCuHGju9WR2LZ)xjw%$#doYQAA>z!Scpq#>< z@As-33RoN}@;MGwH!wI>2;389FgJ>y`Q2z=N4Wj2gXQn1PZW%IYde{~s!u(CYRw(> z=Jn-uTW3Z`G0x?-xwP=Gv+Sy~`}l0%y}s~#9-B0m&V_}Con&|ETwQovNcNY`xx>$9 zojY@K`I4Q>8eM;$TpoRW)~aVw%cj=7(x^=j*ELw4eJ0T@bD?WkLNA}}wO1EX=knR^ zyRz_nl`LD%g{&t=!O3#frpu~U9%Jgu)OoVwn37+H&a)-Qg#4aHUT2zZ@@#|Z{T*K} z^M`xsCka}`Tw8crRko^Tx$}HuE1n$zyC$Aoa5`-5G`ZWR&o(<JGn#Mx<dm18^Jv$x zq;;7(4_`&A%I8#U-nQ}Q+hy0Kul!#gReE~)()mA6W>1}aeChn|6V>zG&18%3FS>fQ zCe5<4Ds$cqzVDR@mks&r!!~i8DDj@Xe%d<T+pUMUUgBKpvcmaN{(a7454#TTxMMiw zS>g4B8a-(*?j0e!=4F}dU4MG5B4&F3hn<S@3xCbixw0)tOz`@X;v;t^^?U5l<+>{Q z?xa&{#F?<F$@SW4+IuhSOZ1#JcFvTum*_cP>72>vfArgG#RrXdq)a!RaQ|U5rJtih zrF+8hO&vQl<|{wztZ_MXdHyt;qm`TIElgd%+vv^m`u&&x2gSeM7xa5pl>YMiMLT~u zt(|;3e#O?z-`xGZy82nKv$?+f@x0C5Ui?yAwo5;zZ|nXK7PqGQ)vy2Y=<S>D7J4H6 z+?$2-^{36)S8(IvzeB0%zq$Kkb@ew1=VxmwSbzNXO<ZsD?f8l_r{b<y-mKhrit9w2 z#hd*4ho4Fh+rRj-Uo3vl+Psa-x_Z`^`=%*vGVaZ+e`xeeJ#+OY@uOj~r*moz=N{kW zv`L)r`0e-|bMopJ23`K7WF7u~8q=oY+R3Q~)eEQXd#i8bm-_Nd+@86AB~HD3RCu&x zp6;{=pXX1%iR(3Yw~Ac;8F{+<&5_knTqpeYZ2H?8WwmAhhZ)niT2y~5`xb3@KYiQA z8TS<<J8yEgKYXiyC3o^wrRs&>rs=9#7p|Yiv`P4Te*HtE1%F%J@4q=3ee_J-?5WfC z7VNn3@6glT-^AtaZ{Ks`obmRh4R36wZ<b2YkKx<C|AWPhR5Ot2mtA*v9~U*QUJ{XH zxVimy{0^C{`h{GXl@sSy#CtQ|?A*R>e?jEMe}|GQr`>$x`tgW*{ipL*e*>?-I=3}H zeE+Yg(A?zQ(&W;wueV;!zjE#Kr&!a1r+2cqem%9P;(L7AuXI(l-=Uw5<;Bk4ZL&A) z{#x(GM)?S48Md3uA?lmhD{QLnOFbxl{kQ)1OMNdUDQT%o9k1Gsxqa==^38p2o}FFs z@7@24FXN*R?ONsP`25%POY<+(ey@N3WqQ?<)uCrYOP8wJRe%5g=1YD~;agX`sm`yZ zUu_MVzvp*-`LA+Or`z0hF;#ElGT+2}o^^|5RY#TF-rwu3{(cS%zI$P*cWCWd?Ypyf zg{;)w_UUE$!O9=+_P_h0Uu2m3Gxz5qi~D<jr_cRoA%EpU(4oKO|My&;|0HGlEj`_D z_jYH^p0!!`>h9>OSF>)Jua<r_TUz?nomI!qtv55-7kYI@-Qh=mFHN<1v+`bC+PUkS z>DI@&r|L@Q+`e|=*sb{`t97@{j(-1dw%`Atjy3P1uHV_|{i|7R)x(<qd7jIK7T;U5 zO1ogQaw4C(mC!P=Oj*v)JHo2Mr?r%>%M6&fsx$m7qn5DN1Fx5%+MFx0{ftX)2J}AL zwJugVJO1sT%Sos7_x={2`_G5pdpZ|ye(K6Ny?Bv_(`Nr$7xnn{#G`pvZX7Ua{-0S~ z99s3~%BA0{?|PS?nNt3L)8+ZE7sjrtyS4RIdMR&c)`Yn+nnx0YmI_SoJT~FjtyNN` zid;S`L>?LJ3+gy+vGe0vWw*@7$4}WV-nVe&zJ&`{b4e((m(=cE6?fNDE1~4&gBx-e zbn9K+_pUCRsaiNUH12rKgmgY<yMWtIi^3kf$TxXW;M1;my!1t(Q@fpdsYJmm^Pf^H zOR~0pkyvjypHqr^#)^#-Jf*s4tl2nYrTnu<r}z6c<=4!bwR+ZW)2(+LOt+rhdi8A9 zDT$f86T1V~&fTw@<M6Ibr){c_PVPk8;=KzbZmzXm5dLyY@Z}}XL`_Ba2i=Z%F#G<A zV-NQn+!13S(Vx$I?D?XDEH&u{iobc(l5XdFJ=-yBnaS^~Ti5#D%A1zeoH#A(aN@Kq z=A>zMyX!xnxBi>CyJl;2ZE5lIwqMt@-fqln-)r`E(^vEQ3oqYqe_ge8`boj93xA%K zFZ*@htMk^}+DXoQwx3Qct(IG<S|#`M&kTLL2dQ$cdrmCwcwQ;;*;!82=JZzgw<n7n z^BR{|KKY>1A0zjC;_<aMpLWi1XAJ+O@gz&mHRkl<8Lum+6ewSp+q&`$Yh{+<BzM01 z&nKQ<YV&EwTz9+jPZ|&M<XZ2XUfl7!QslEjzmVLsTaSwk#Gi;qOn2uy{OLq$g<NIN z3FY|{D@6>n`kmaK2OgPW(<M3EozuDaEvLm4ZZ58#^UCd<l}o1aRz5M*?5|OK9(Z7i z4cE+B?t*_mX*|1Z<Mm=*w*QHTF#!<^+~q94oKc=0SNY_kb$Hd0n=+j*|9V<_zWC~S zl4V`m>Q%3nRsH(2EJbzpp<BnUU){B0+s1W{Z#VpoT64HxetOTO%IJij`CixN%+f2c z|C_zuZN1pvu=k%Xyt;bq`m0*G=-LCqtNAOlz6zdS6UVzdZ2pF)!roP~VK*+VzGQzb zY>!ploU6NgwpaCYhP^kw_=;IAvi3yE>i!u?Uk#Jj*d@-=-K(da`!aO${gUX9S!#0< zzv>yUy_Y=g>hCk#el=Kz$r~McrTpvGrN<jrm9$Q|Znrwu>H+s`zR2Xug4wxN&x&XJ z{kUYbZ0DElGHcfTs+wJPBjK{1bndO>$-cjHw!Li7HIp^Ezf5`A?UFM*v;Agdo?Ll6 zeOmL?P1)0Yt<P-BoNj6M*6{kW+GjUQ4w%g5i%hsI$enAIHqlpIXWNr2=?za4wtk6D zy3FUDdn<LO@9NI0%WBs}yYX$!*^_oTt26(yV0ezzvrWG0F~5$?x!lk=t!(Af=jGEj zT@CuO$ai;5_GLNooLkTL__Eg|Usimc6QwJcYxS(hS6yb?6O)t)s>`!D_goL!7RC2| zbLRB*Ik%Gc`~I%Uz0Am-WA$W#ue;2qmose5<`x{8bh+c;v^j39eNm_SWcqGyIbAsW zn?>SfJM~<v2UC38=WKh~A#Nu7`O-4sx-Cyk(mGU^7dHC;KekAA*X=Fd{IkEk$h>T~ zH^=J1&ZRdCJ>+j+%$k{g+3;_U)x!VxXB5veI5+9?k^||}HVL;gn!WvW;L@8TkC*xW zuF1d5xIM?}$u?j2I~!ll*ljkq;Qi!D>BlYahTM+ve}Ad`-=&5>vn#ine*JPj_uFb| zsn<W>OrG?7yXKpy@ULFZi?xamsMp_l$$#26`Rw^uFF)s<ynWC0-Y35(+h#k>%70NM zcVA`K-`ct@>g2)se<u4)Iq}8zV^!NTzLWQ~*RJ=ve{ofMgr5G|P0_W>+MlnwaqE)c z{3(0fS5-`3wJTg&YWI??l(^9CPt&icKjmGW9}o&^LIs*umFW4#N?VzmURmz$d-m$W zZ0F$OQ`eszoAzwiIxXp=r^6EF9<{2OnO!zhcf;LfvSl~6oc5dj?MCWlyWP1~2{V1$ z&uo6#@zYFp^MPf;Vo^n}Hr*@QaO<e<rp)%EW^XqgS!O%!)|T@zv-y79S>`<LcF7U0 z*?p0jmpNDKSbn{cU>WiDQP}M*hsDj_7FmDS*yvOJ=Ff>mwPiO;4&=?|yP14husYW& zZN9I1&DV*R%k89fBLjEu_&aUWRlU4+-`z4BGW(m&-WH!&#>{uS<b>etK8viAUI)~? z?RjqIY!uAaF-u#0R4wQCk|(?=sk>K3KEL6vx_RTQ@KxED`yZNI312Skd3g2uO^)0v z{$>{E*81l9UOs>2Na*=h{r^^6mY>2}^77V_cjBC@f4dyg%k~n!_KkD#s%6V=m3)i) z9eA=O^wUpe)2|Xev&wkfc;~S_-gqe?#NAAy)R5OL)}y)ehSKadrdsd&=K6+we|e5A z-G8v6Ce6UkmPajN!NC=4*BxBJ8~t*5{w<&B)BGz%C6d1KIj_B!I^)f3tL-&CITbID z3wr(ak7jb4Qs=T(=fPFIoXYJf7cZ;5{`qh5q^qIQ=co0~_MYCGtMymJ#n#+TD{|kp z(slKVzZU!Z#_rzoC%w^kX?Bd|iNxfW&&#};cbS^+2?~w>9QJ(Hv+I{PU*Ej&i?E#P z!krtwE|ov2#d-SOw{<U%m+#a08<LRe@_WSrE9a-)>}=PhvcCL^&iuOBYU%vN(a9F^ zzvi!%H~6#2<hH6|@747iZWYud=N2c2KL0gkgVy}xkB*0)x)kBE=FIh7Cq5@?f0E4j zdB=DAm&M&@ePgq;v$@W!ka_Z<GV^*a|EiU%SFg%@_a{yF^e0{&wk7|kZrxD)H(N*d z@0rkQpW3(Cyl3aGzQSd<y1G$Swkw$X2;b42I<Ere96xkv;^NcUv77eGhDOK7F9?6> z5s@TQCEepK-~92#@4%DG3`}2&T=Z|}`)jXtbKlkjOov%teR$(Mch?=^6~}8Ef7u9R z9B^)Ivk}TTlH7RAMlj>hW&W*fyK9!2`{s(gd&Roq??Ha1(n`I6esQL+m6{hC<XNRY ztqj=Q@s6oiQsF{N<S)^0FBZ113jLGQXm#cy_Xo)phZ`KNcqG>x?ohPqkz94SB~i*} z|D<2**kqsWpS`~J*W(xW_bv}^?`A6f8MZ)9oaI$<+ych?941fZI4GavGI`eHAndn) zx#^A6dG`{oX8XTnT@hCuv_Mat<yB$K0=wU=QX8BXC|a{hZS-DXxSMs>2KW38$7A*$ zTy_23RqlYjW%J`2&dcn*&vNEk;t3sDw=-7~&oIlX#Z<lbxB9!-=ttN`^`?FQB1HJu zQyvxth{?65JS>h7V{}guED8}5j6bRLY=@4JUro%WR!`Sop)FN@bupV_bRKP&%9gsc z{$$P9xOW}fr7kP`)yHho(tY&B_%`p?(yY!(w+Z={S3`Y!^RE_vdwhxS;+Lpj;$OLP z^k1vFzWPvl^JMgjS(X308)U1zZY*tYvEAi;<9RUW>W}d&=HEKT9rE@b>ngLiOrh1K zjjMEbIb1y#v%v5;%d88(_fPrOu<ZJ+eNCS~?VWV~&h(B)b)mXjS62jTJ`tT4&b9Jc zyO*M=P4)VesgXiK!O=mpmrreud+7OeL6%%N->Qe7s#X2ny_&K%KmTG=vp(f)^t#Rd zvpzrAJIOS^(&6>;i#z|vot(EpWm)$0Rm*;@GT-q%?xb+5QT**Y2V$K?jiQ%+vncOr zUoDWgKm3#DPodi(x9@~pzc8nE|I&5E)6bo~W3*&ZbnUjOzxJ8TeYyW?Xsq|Q<wx}| z)_yKLs?gp!wK+yDl<(7w7|Rpsr;e*uZ~Aio+SC2F-rcV`|3oHFV(#g$-@VKpWy#;U zvZ82h+xhI&<6AyVn|tHxrPm=PnV+JwijF49hV2VCN-BRl$+kDkvcT_ULfk5uXVdu3 zEY*^|^nB{lrKewqmY#h%ZQr^JA?N>mGP*q{`1}<9Qz6M;XJ^e>|JQR>+O+VXmAAe` zEy;4LjJq}E^;P4)dnfq@JqxK^m3-ZJ?H!Yqy4BZSzn1*!I5YFzvUl6U<8uR!+f?sQ zVZE^Rbad#;>rVPL0Y)~<;@@Qc{d7<=a)s(Fohw?tVp$8-xaTKt;;_)-HkCi2^r+xv zd9Kvu_g6(MmKe4NOBL^2Vc7rP<XN$2GUIg<v7*>yL36MDjI--*Z~kf>9-GzeU%G5x z_$FR`&WvA?zhX~K(`R0KKd1Wr{nB6I$97!P|GDD8$@hQb=l)x3xZw%6qgL6aYSnmm zU-tdi+8s-`UVU|6Z|l|d<?B}Gt$TfI?YfoII+u1&T$Z<fh0x`a{2vYn__FOaBn562 zG|DQK-_R_YzWKfPo#gEj?>D<YVXR)7wQJ3k4ad1Sf9p&yIAFxtzGh;<5i!o=Ia3P` zZM*bvX~)%oz{zQK^F1wVzB-=#5VVX-r|$j}88OMZr=1pmjz}o6``oLSaPN1U+KxBo zThBetp8Ux&A&Bw*tdJ8a!KO-TGegd}O!k`bq3&eE)jJWP_ovtig*^V!aw&4Hi^%u* z$GX=N=N#SDvo)gMQ0%qwtt4i)$Q+ON-@Tq)5xV_n^SZ5HH1B4bnO`gS{T%#1%GSRw zv}pFe$h$Fr(@LkWTF3fp+B)T1JDlw&?*1aa^LV<_cS(g$4UJ0tFGF_)=I{OZYr5ac zwcBI%fA{{Tm}`D}$ED|Kx!Z46H16^dSaIB?@t2QK#(^i7j<>Hh4~pNrO|H7+#pdvK z#@{6ppQiFDe|v54EPAgOr?Oqn?|T7vrCYa4-`6X6oPRs*yi(A~>J^6V?o!2-f3~Y0 zwTvvh|M&Z(4I(R@zyC2ie}(Vc_L5)KuGd`G>RvszuU6vSr7L%K{_35d`Xk}@lY4g} z!q<G-xc{_qd!SUXc6sm0f04(!O8<E!^Zhn`R`lw9)a>ZbUcb_9uFNVw_1p4^!EzDP z(CemQhVQ0Ze&I^~ykeGNWr*{Cn^mbHa(hpmu&L@5to&ki`11<m!=GOKPt1_pdvHSh zwr@*BN~=|K)xOt<3VmO8>z#;1OjSmg4BOvLrrm2-9LVamTytqglwyXDF2BjLYiv^7 zKVp&<Pn(DtIwu=?n@rnaao=Qm<IfFWj9sLPBUTtrXO;RqW4+=0N-6Fct2a)tl<J<b zcH@jlDeoCG^Jg7zsF@M|V9_y|Yk#%Q$6U)gliYi(X5WQ~16jSTYc53`@#;Og=0e1w zPc`yif9l-l*?-{qPv_e9RH@>~Rfhd9r9MZl+1TJJ#T~g~V+X5L_ssPhTPlApW9>O- zuB%~SUZ1hxn0oKdGuLJu*XjLv=1Rl?p59iSixEczdymRoi#W8f=CaeZr?bVxEN0l7 zlvpe<oW9=l*+%>Nu8yrgZ!4tv%Bj{&bY8#Wq;mK*ZNA?{%YEwiRjfWHvn}IH>gHoM zW|?Qw7awCX%g{;LdrZkKTW8t)V?w{4&3?AYTz;9`UOTDc7i$ft$4PyDvC?q9oD}zq zbsH!6n~Hr*UblSDBDcNMrMxR9?w^pAI!)iNw`%+6_EmxJ*?V`|T%K_}xc8^c#fSsR zy{$HvB91us9<{j;ap-T&<(hqG%zb;SzJCI#U3V<zUB;QmJCD^Y%Y4o;W7Wn9no{5{ zuBVjuj2Z7IFz)`8n000L0>iDJuFp7a(OcPbb;fy#Ue+(yBTi`ay7pX&IK$Da%5&aa zHznQ9r?={`$uonHWIbn-XNDH_T^+KOBAaddg|<BnC~b7Cd}3fwek#lKm29p0d6~Yw zldZ3$I_xvq>UUmw{__9%d@C*W<HJrIwCS>#;-2;B<l+|P_gmh1WWDN&?vLMo)TB(; zPTgXHJ74_siKqYBe7Z5!-Ol>6MuNLsYtHG#9cwE^KL1>Q`_;W0p}CuG#Bg2m|B|D{ z&L>@e_gA!lYd}J1pT(Lpg40DUA8oX8ui5s*<G|fdlXvH6u*<zZ-6*x`l;eS2MFN|) z$+)c1WH*eT(%f=2b-}^|AHQYKV`>+EKjoV3-z6tnWeR_oxyR@|>o{I+`KVaaok?%{ z{5wxmEI(L;^40iFZ*DMts_^7>kwD=(nIkp9d_w2;f9AI?&0^j9b&t;3pyadXcLYg& z2-DTCyIU20{hG=CPn*|;`uf`ZFMZ9desop*`c>=Kug&)Q-*cXSQ$X=DohwH)xlOB2 zIr%Q!#IaMqyF*UCODXMU``Y)<r*wSrFDdvcdviyOu|)rCw#S=qCNPCb_?+-+?u<w^ zFkF3mU0K$Z6B~XUzSh26Gh;>l*3a2@rB-iBU(#)tQ+q#Db87GPS6SWR;ZtwyoY$JW zf9bN4)9>HvXH?5f`zIH6clObVoBeOMKf7!{E2?7mySGe}Z^`j&HEKWkfA80mPSV;2 z?(KY!OI{fHw`a+(b)L^^#S^jO@B~+@o`^MvXQ*2FM6B9+DYfgE&9W??wF^wd3}TZN zjZMT1gOjtiuiw~m({h^Kzo=z~?dOYoPs?%t`>|cB<VQ$<$;)-l{c=`cUamac5NE~n za_!*`JFA|Ts}Hx-MQO)Q%RZCbdaNcaODAFKF(<pr5l236d%kR~&a>8ILUGenuY2l; zH~vf2J-I+C@c*{2^RnAn-Tsu%wdxUBaayCXsz+=^)E_RBgf$M%&$vt;&2UKW<2HHN zaxY=4&6S169b|v;TuMBUAlt@sG4V)%>@l9p3!~OOPpnd&du8F_l{MCzqhBs^knQ8T z@^q4etseK4=UooW>$psw%yLj($8GYg%|STt6>pj4TIYUat1p(T4>uHB@%(ga7kpkS zQS8{xd4HPo^S58_{fcYn+kWcy%!5qvTvrnJI$XUJwm?pr_0?w21&p&<r8c=P$kJE1 zFe8`A_r^N&8&9|K*}i*m;rTkgt9`ERit#T3)}AYs*c{f*`TCQ=-tA$pFC?b#n|pI_ zslx(3cGg!#9t-5!Szi^qEMPQ0?f$r@%||@r2v6g&Ga?y>)_nT8;0NCoJzH+M-K8%! zySFn|mr88%Zx@VzX^^&`Pk5hR`@7pO_nPkB^GUO0M)19JJYqA{0@_oVN`J;I$YR$D zm@mr28>xDs<0@0=ZTG!`dc~zL4E@{f;{W>dvguq<b{2bOkTj7`c-oW1s_Zpa7arbK zGkH_=;`t7;zqqeFHEyip5m<5Fp^=S8DC0yzqZ^N4#+iUdHJ;1TZ$2&Mv%U8ELVEqb zLrb<FE3h?MGM!Jk>b1eLem>z})12SG{c`QaO6PXLr*F?BG<GtT&d>^&zLe>!g?hmJ zd={x9#|47-xlEody2mknqSY6PrOxx`J`GoBWla8ZV!}qN9*O0LTR1Cc+>@GK@}j`< z{*pJ>b|?q5?`A69sUFb(o9Sz%!i5RTnR+YK0!-h$>Mhv0$hm*D)t8%7{mX9MUH^Kb zVtT2>$Gv>QdDET$zul6ae{JF6X`j?mHym(lw5rh!n0}b)tA$d){KZVY7K#^UOs*=8 z-S+B2@@&4^vgylBTNSInoaoqFIpf=z%T^^8lh<clGcNxdww!M_>#L%W1zM3zp~6!f zoR@K$B&~EvPWuy<-Qw*pTlMAA!l=bhf@gd?V^@{p5ijfZ<#OVo^rr?Vs-Ee{TAk6$ z4`So4Q4DA|Wh#x-4(Kms`ua0yf#Bi)e#;G&|M{(6cUoyLuUvQOi{f=QYc+Wy*SWi` zIdgbRdf(akwr^)X{w#X7Dy_WH>W+TAdis^8jSjYT0xQlJH@e*s&hX*iyGu{F<b`2i zyWR88C%tyxma#QT%CqFzJnw37JKx?@mnR)$+RZ9e5VJrqopn}$pZ!dKdD&IJUE2+r zKN;-3C0_bsgIWErH(B+H0j1d-S5g}tuC7%KnE#cD_lClSj$WqTo0=C|WUDU6h`qXy z+{srv?Ug~wQa<Idmj-F2mOY!^Z3=DA>N<1y%dVQ$&h4L{zCE*GmORU=0*?iH?5wW} z9TwQdbDJd1cS!#B>T2mvw|2SqQ~5hYe#u-*JR$k_L&xQ(2InsAQs=+SW2bk2#<B|! z1Aj_Pa%_)$ntN)+X_Lk(pR4DeehRRke*EGmx1|@JM_Br7`qi(eZ+!BV{r;K)zlDeI ze9Ba5&U&a4Fnur6SBn+muZ`T>v-X?`H(mRG$^Xb-FRW@4f)mnjKd+9SdARSBP`C4g z$)C!W1;h%;u4;L8;c+8h?Y_&22V!O0?p#|Kb?=qIvo=2Ab=zx|c8KoExgPayV`Q@3 zY!fj9pJYY-t;H^TE<~Iu?p3upy>SbF?ByAUuf{yyWSA22t|;|x))Ky0w-*<ZcktC# zy)Z~v!ROre!XRk_UozWEgTw^A$(jB8)@+T*y<qapIMUiIVbU=twJe>arejIRGIbJt zBA4F%BHO|QijuhYQ)R4@B^D9ev^AXC=Q5SvR1D~UTeVS-z2t@Af;aQdFF1eTe`Hw3 zw9<CXr^`>RIGxg1<)dXE{!xe*L`v~qTX=p#QAEu)<B)c{!>1Apqiy`!?H->_ES$Y5 zuH8=hRAS-X9gA~heCD4M{jp_DeX76U&y6{0Cn6eopT6{1csN6LSI_l@$17!56$Z33 zUN4a-@@^OOFOk^n+0ME9)V)1E3s2XqeJc0(^gSNmnde!0S$(cVoTzy#BiL;!wmB%7 zGrLG<@A|UrGl}u*3VUBpjSQ*_7pwjiBD-tNrG>|{WLN!L>}>jLdha1g9?8Yvj{VHF zhg&LluAXo&B|cO4yTvlY`H!WzEtYPaa4+?7^eLrZ**a<Sj|rtsQ$2M0m+<rd?k^hx zTUd`xUmM$HlF;R#tT%P-<t~$lUXg7^uQofiD=z+;b+qT~;Vq|YCa=%Fmj3SP`T4OA zjx+UEXkBQL|8&)B{Rv;$Rn_6`hUKRcf7xs~u=D>y$M(%nc~2xX=043ln{gnqu}wxO z<49ejT8_^7#E&=MFSp`(vF`AMmsUMD7aeX{TRG$2=k!mU9_t^xtYO-uy+bMf?;Eal z;u$CUpN4OEdh%^Rqgv0I_!(bzox7|py}_Yfk-Jo4vrjwc{ON}ueZFz}X>M+%%daIl z>>*1S{aK|x`7AKhXPNb3Q_iB(D`r)m77nN>vWjefb?sW>krLTsXD%$1iuz>mmeKkX zXNHe(!Hz#csU{CP9Gv^OOrEtk2>ZPX{qSO~^ZYt1o)_y6cQjgsWGBd~@#wW5eLnB@ zY2gdMG&b$|CCPh3<-&xsOuaYNF0{O@61CBLaUp#oAG6qNgQS^!$#&Nh4}G%nsdhO3 zUqAff8ul;$*IV)a@L8b9%pzqRuz<7q-|8^de&_ndj`QQHQXKMS-M(B*^of^M`=Z@` z^!a4{Q|t#HeLng8>D@(7e?IB>WVY32zKrID34u(#61o9fe_wpP^jn)1kHn(G6Bb(a zNG?0v^00Eow+8u7oF3_o=|5RgHXm5K|6=BW+{U&$f*D6*8`bV;zh^wUygT{pDK?u+ z%FcN&44y6H6W*uae$>6s9~44Qek`$Jl+^jKWX~_j(BNi=t5*~*bjY*J`Vg~z`uSQ} zHk%8HC!A%E#avH3^w{RiJmzP@6TUUL|71zAO(>{jKbLXfITLTB-h~cPCf|t2x{clE zZQ@@{<*iuhy!FY2#52pQW^&#=o%r$Pi^HF`Ei;(QdMbO?#fDTS-kS;+X7n=o-dGZT zFnKCpZP;sr2i&r5Yc3|9iIP=YbNcWWcAFFG3k-b^oU8e|x!cxzf#PFUDPzY4oWftV zDsrwZJTE58mUA`nh?(rMoa>2)`idfI_SNjSVbl!aoDmrJw}<VFNX7|^##ON^9E6{} z2>mc)iSt&WD+{AIUl}B=;!9rk(jc))Zkg?a-!)8|<ThBu|6RkbBbad_sPWhu@r*+~ zpMG!sVN$y;PJgTTg~S6jvTiyT63;lvs_Fb%ztsBuqqKr=_n*u>Jm*u?vNZ>MWUX{A zFFgI+>Py5r=dCZVB_8mSZCi6G@ko~Jv6_X4TTG+0W1nT6NuGDC=2^B+?kSUH-%_Ny zBbIEOaa77XVp4ME`Iyy)?MrtaOD(=EAZx{Qb>Zm**{Yta3(s4~vh`d~Ji#LC=5r<S zjEbz9&$XzRMn1`Mi%p*ydnIRuuG%<3P^w#Eo#EE9>ocNuPT%<GTV>ZVnPt;V^^#fr zUR-#%gfG_b<%P#v_-fC+FnF+r&-vU-gGU?qlFz+1c-VB!YkH>C=Nan^=Nn3K|8PrI zbT<(z3`sUTZZfUF=J=-X$4s9U7+&A;W?tla=XPGJlA9}>`+cpx++1_GLD!1s<|=2? z$*%*>KCuch-g(Vy`g@aSg`UZF(@n(+T$2^UO~nd*lMSs+r+rA3)V3>#SZ>%Jo7#Ii zqOsYkWX4kG>FWP|o8_cSUu^blXPjLsvB|YvFuGJ?vu``+?f=pHrt0n9I<5N6#Tn=0 zdRgCGjyMr6)qP|A#u@8Prxhq1-;|!X(`xzmGv{I+Z>oOQz$eT1>cZ0re71bAFFfzy zySmM*U9q@C!Z5tu@N>xwgZS*Fr}cU(-&~t<o~@Vl&DDq#cD=4OOE=E=E9L!TSC6Rt zMV$*X4j<ZdY^%+J$d%6Rp;jf4Yn}V`OI~btYiG<ZmDuFhE*SqRK)0)O#s>H7rKgp8 zD|N2TI4{)8s&h5sgi){SnQIYeih5PooY<IieSu+n^v+|c-_In=THU#_@N}?jmCfaa z=lQL8D%KsIAa2!DvGVW?`O+C5GH>p#(Ja}ycI%zTeaC9vW$8Sacg*Qsw$7u*V@YyZ zIu8RSwe7CFiVJ)G$at+>>ig&0Y%W<vUwg!3HRtle)8<xREY>^EUu?zmBdlFfyj0@j zT)t$zmj(|vT`QW-E%g~z^e@~vVX{=W#nO#4E=ze^Oiq4jTkM+57hc?Z`rS<L)avUz z;599xvQ;rx7oInjWsA9<c!F2fZO-+?GvBRzc7$#_?zix?>Ds4q*G-=lJ0~*=n}`)T zTDu?FF4etr#m1KRry@QYKFIC0yrY>NbBycF#f69CWOu!}yzqFq)t8&=4>znYl_+v( z7i2G$DE4UQY~LET>(12~$8CFm-nkxefVsET=1Rm7<=&$*7b6b6lkt8(Gghkj#mwxO zvh#DUE|fZ3vck<+w(8E6h37A9nr+<7c(_EuD5hOdxkSP^sGW21sb3Xbv3*&(;frS; zyOOcY&~#R&Pj8x3Fq}Je<23$f)2>=p?>jkT)3MYw2SQ}6d@e6MT_Rg`=EB1BKC*0Q zE+u;S%evWU?!G12Q@QGJOM2Al*kf6`-zAnC&i^RIEwO0hgifjM8A~?KI4R{lV^Z?X z-&NqG_ZgJ*mX+(7-?Y8#-mX_$`r@L0yWQVXi4QaRoY`I&BrSk6S2kQLnl2u7+BPoh z%yai%)|ypQ#V^Gs3)-59eU8x9UwFMf`)})~b6?NC{GTNqzjl?L+X~-*@6TR)_~w0d zeXhp_*1j8C4kykIs}6?DWmV@`C2aR?f3xLfhpd_G=JU&h_icJ|<!R5;gzX3FW|#fA zwJetJ#+Ku8v%guUUuNXbwR+O%>wahZ%Nf;Xa|^Cd3huu=t$8m~dCske+kAK5*_7G; zKKIu1WxnipHoTnh+Dx|a?6Tx_w@MC)di&M-Me=>)j@g#k?rrw=(_G)`m@S#}^K-2p zH2JpA+5WO4Uni2&B6Fjnx{leS9Y>GJY`)R5cz^!i%Za;ccQ4zv?o~RgT4+z<j6c7x z**B&3Xc{apnsE5eDM!7}TI`i)*2_HEyr3xHz@bx)irrf5t~%>vM2vSA1swX(6@E0; z>uA%JGY0F5CLF$T%26*_i@nlkh0K%9ONs&xd^_c+cw39zHD|4i$mXR*0f(M-g&$4M zI@)yQ&Zd<`6Am9c<)|mE#a_8)z08x(sZSR)lum7Satr6{iioz<Nb`NVphaIRPIP{z zD0k52%dbv3@~zfl-??Uu%#)3aiYA=@bjp!&emEajWSFH!Qs&VZHlOtp!bXdee2$#y zGBn(*bxg(QPwi{@{US-PxN`o@J!@U_b@w%UP4!<)x8E@GMZaa#i+;l>7k`7f=GrZ0 zrfWBtox*N2D}~);PTIGHU1iPov|Fk3w=$SU=WbwMVR%vQ!KRaP4>q2Yd$9SwoIt@z zIe|}eZ519ZvQ>B%UF~p)HGA3Bd1s><W;LF>%`CHTBm0gyo7pSoY-Rs3XCphyoNeqa zb2hQN%-O<zWX=Y56`Ad6x6=E!GMKKG+01Ssvz2{^%trPKnQiPpWHzz0$ZTP6k=elR zQj>c&_(0xj{+p>^1+CZGrL|vG&-s6M|I07>z56Vti%48))M!7r|M&K}{}u&>G#bis z2CshK*Rr$cwa1L(Kl)mJ`n>RnIB=w|rPb$^N5qjQeJw|O-g!hEN|)Ucrsr%GFk@Eb zuX2?$3*+4n#r!H$Ig{+*eyC<yiHc4_s{0|Quo4xWq)PWgNp|l&A`Wfhi_z|1D68Rf zHrCr(=-GxP%87d2)<VxVu24?2<F*zO+ps`6QL)xaNX&4*a-!j9E1_uy+nKMQN{?XY z3f_EwPG5`VnRgyDPOs@}sXX(>W5)TOzLu4L{oD^ZEh|;gNy=j1ByF&VIZ#pAN<h?b z6LX;9Vk?2E1|Q0&{f)SLoA>T4?wB9BOC{Pr@86o^)@{h@7s^*;5omd0>F@ViY?U@E zV<w%ye9DpWycT=wp8!jZN0m<(%n+a2EEE^EM@#R1h>pkEDfVG}ML)JA9lEOXb4QV< zoUPXMCzm%D1vsof<*2w<o87f0e&-`Yacy?hn(&XSD(0+?m@rFNKa{U%M~vl(r(RDL z`d3eFu2~n#$F(EQQsar+(*+%~r#4?Xx2q`N(Auu>qtA1WHeGpBzPo6`;kl<A^~$x` zEAOn9c~ZQ;DBwWzDMv+qZFX0iRWnXE7>g!5^@a9yTErQuJxe({qvi7czukWIX<eBf z&v)$JzuA4o>R-F}zxncfTL;s1?N*DJwIYv<-h3BzviTLt_3>rArpklOUW$s$TCFZT zYea;MR|I(+dT{^t=l4asot|CsF<Ww|@`{bwmY|MXuS2;$O2la%Nq1Q~p<&`wCnvX1 zuFi@;O?|`f+N~-!D=z%E%?s6VIUC8Z-D+X8N@P)(;8dq8r`82^L|qT%3S7O*OEJqg zT+?Ok3$4~8cUFrm3M&@<^!{^gtJ9S?Uy_#!Z0%cpVd0fCSM~;VL>&(03e?`=rI__M zOw(oUJ*`%kFDng-SnjNuaC^dOQ6;5yAsrn%!xWVs9((_9$7T66!P3%q+M%YVrKPdi z($bY*KHI<g!mhe!XNUa1Jzw?ZwPLRC{MWne*{WxGzN~U54n5qb6Z7ump~vfVYTixh zTGz{amiH=eY3ACz>0QTey}ETS|C-sdM`1f(tlBocmv`llIPtSHcSLH<%6gp*x;L$U z(!R#)-;OIpyX4ODRsHsN*Vpyx(>lKx=kC`#nV7Y!Y~`xDX50OGo|VDdm#sT>#eC1v zcbh&a<ySOIFE!1o+q$c`_IcX!mEY83#9L-}UAE8vyCzlZ)h@HJ{U^U|+rMk(ruk*- zR<3^f>U{Knk(}7S(pO9KuUspB`uzWz%krXuhqLFdzHE2t%Qx+#_hsIG-FDLQOWp7D zR)06X;o>p#ZdXiuX>>)$wr%Fp^97rEBoe17DxWn<ni6@e$Lw^A;juS6zZhEe?{j;8 z`TPr}U(&HB(%r-j54=cXlbSnA=ilTvm-?goO=YIny{Vd`n0fQ~dj0?Ei%WJSP16fM zd+G74$X_w$ryHcxB#SQVTrIn&lbkm*^-yJUTI?}t<JX1<U)1DDpXS+-P@;HWD)xx$ z;_jd4UI^)R_Lg7D3w_WRX?5qX|5|yUE2)j?Vy{0mAKhiMJ)&P+?6vWW+|9fZ=^GW} zqjHW^b@$#(*vKh;^taCDEIqUIn~(QJ{<1MR-O!#U`RRm?vfS*{Gs2sFDzYZMbl7ro zN=u2#t(;TwW+HDlMzrr0d%fv=lI^}t5%d3wNq^XSv`uEijgDq9>EaVf!hExZUv4_8 zW4mqE((`7Ud2ZxQQ?x!~l(anZ*c+qMEn7GF)En<VAh@~2LhHHyWY)BZHHUq=OJ}BT zl-sO(Exqq3+nKF5CRB<^8{SV!R*T9x<W#H_+c$IRai+~*W~NMIoP5?Or7P0S$Mp1! z%(R&XcU3NL%$yi$rDOI~UwU>}^qRxsVy`zHOS0uVX&jq&_1ER3t6W!-u1Z}=x~g?0 z>8jP0Bw@Cb#tt9Pv*{S7-%MT^`Af(6bi?_xMkzZZ-PRbKo-s9T<_526FZCy^ww*qw z<<a_O{1ZQ4|MdE0vToSg>$+iU-F3s(dgxwDUv!ksXVZ-dC&i=<k0vEAi^@4<Qml0K z-xVEfIQiGCrMKqKO0hEYHTQ0pT=pk5`SRTV8QZd0^EYNiW^K%h&Dxlin6)u0HEUy5 zX4b~6+^mg;*LA|y`u$&QI8o2|Y~;6!B)g!uk!R7d(Zg*zm*b5>q>VR+{5G<zpQ&@f z`(5_T(igcK^=fslC2u*ps&(bjRZ~|UUA1)O(N$Yl9$j^G<x#aa)2w~6u8Liole)Fp z)O-H)G|3N(Bim}S)&;nfZ|-@SIE~ZR*GP8uw;4-sO`rK`datj$PT1N9x?#HBy4N1> zIQnbOh8qo&#iWZ)B`Nzw=bVx3_O;0NTXO5rtfjXO&RTlwz^tXW_Rm^+Yu~J;xAx9T zJrlM$q&hru*?x)02Xi8=YLuVrPtIb@--yfmf}3^1bp3S0*7}|8EQw5<mc^buEsHsO zTGs!pX<5Isre%H4nr6s-Cg^P}$dO^QVUC=wdo8j1=&m=@zL(7W`s1Jd?6v20yLory zZd5GSF?+P`=&?JSZ?ydWe{+V%)>hN>o5^b<f2}b--JpNgC}nS?TaLl$8NF#UH+W8a zsb4Hsp`fn#J2p`6)X&o?jnl<me^`8USIw{MX|FHdO{%Sm$Z_!R=6#vEQE;!W*|Pql zYG3|lCo9Fu&D{Lv;|-nKc{5TE1aIc4Oq(WXe%9#O&PcUA1}95$UZs0ES((i>{o0X} zW0kj&PhIEQ(<Mi%=4^_Xe_2er;8>FLx9FTBmfgKSk0lA8o4xtXr>&7yG6vrBo6{r< zPUtxE%}zZcxVgtNYZ~YAl$rmSvKqP5UVd0RXTOiwj>L^w_8A-Xil6?r*(~>2=UV!{ zqii}GZcJzuliqkDDOoH!=aA6PZ9Z#HyB1Hg-Dm7Q-#bmR;HHlAx*4fQLO1v9Oq<4e z9Tb|=W=)#V=X=`c=jmh1OvPSrxRw-qEppBA>0+-p-%DaPi^w_Q*4=v}WuxJ2U9-e) z%ZYmPXD>ZowE0V9;xtC>vqmX>k#1{@PS3cSHuFQP&gJ-7x(3&DWbGz@o_>-^S?5~f zlB2tNHbwMb6nkxaEQ$G7bj}Hj?p}%fjfTQHW{Ha|C+abuxs<#h@)wWM>4t-8l14{# zl-p*do-x?$Bat`h<qeaQI<jiBK?!p9lbt%7`TC#4MyJKfMXxz7-2JsOYa`=%9kVCw z-Mtlg8x8e!%pNZN^Di_jcG-;0Zw#;M)GnKudZ1)8&&<SWg2rc!(xyeKotc*Ur_9Ri zuZFtfRKEGA{;E!9{T01N>bg$Y-8EvX--UIDZeM+L)$glGS9!BHzUtc=QF=OR&93yP zUtO|dcg<ev&cFG~%gkwv{Ab1Wmu&xeqQfpt^73^Z;a@XTtjuD~LN#P(`<*CHR=dh{ zFX<}Ry`-y~t|VREbtUQQp({zuebH;8W<{-unjN(!YEIOesJXL*S8m&owz6zP+Dfwx zX)C|2Pm?UVrgQb(Rh_HPuIgO97dq{wzWVCknUPj^rhvk8?~`iN&3yBt*PJfu{u+_E z(N0^}EMe-=wl&*sbm;4trS%?FTQl|j%`3ZV^3QELuDkim&fIB?<!6kZtc!HJV|;qX z@3fg84uHzfxtg3WZ+uy*S%34!mb*!^Wf5ym`*nZ4k-E`tx2{=2|IxNLTW@sKib;Q- zdQ{EFT>Vn&-pHyP1Mm60X_6bx=s26rPCX*Fx#woqG|t=qHh-D<@yp~ARyV1+hc$Ly zxFR|uXBuDdnM<jwBCC9iz2^(2Ng5p1aZa0+dPHY)&&=FuoW(siizRbQ<dS>NrLVcj z+GD(H!bdl8!-Fr9)TCk$sWf}HFWl+&+~E9#Eja?SzR33kz5RVA=xr)K{I6NFTJLl3 z%&(v|8{EBm2@@~2>X_~7P<0dEeC36ZU+4V1!prN$L}n<bsZHi9{@-kpCZ5`uDz^HX zGhRH~;KUq{>6K|O4e#sJs?AD0;Ix@1GG&@z_gSN~&PX*K(~~6%RX^YNUV3=EKL13# znaEe;RCDR>U(st$r;EKdJdjk&7L{{=+k37vTXbKqMAAk>XI-<z8J4H@=FeK{UcULu z%fxAnwr7o&OpkQ?Vs?6lUE0hG;nQAD2~XG&Y1K0U6zSYL*B&l8x@*p+i2loBuZxc* zF`tXdIbqq|`{P(r^10}oL#)M0v2L@L9@p6XMKX69WAYiJlr@oVJ;tYJd`z2Za8Tv) z#+T8XOLk<OiZ?U6yCtIiz3#QA(~ef%*%mS1T1>j&dXn?I$ebg!-My6w8#(#Uc9#4+ zr(>%(bLsii%{&%~(-gzc8a<j7c`U~Kbc^&RpZ!q*3ELyB)_^nkZJle0yN~XAvmv5? zuGs6%r<0hkMdh53>+Zdgz0vTuj@d))Vx`!9Cf@yD(_U^qsKabFEA@oh=AIil(+s1} z7$vUuT$X&fZga_xTT}Mu<m^&iA7J#_;BZoGTGX23I^ADq=5Ayx)-g+2chv37<{LA9 zip}2O5ZAEm+S3Nh({k)*FFl_S$tGiVdV+GAWZ@N^<i44yhYBN?#p`Np2=H_I#>y>r z5>%9jioM=&D=Bv0hKPPsvDcfgB{5%%%sDY#OnT$Jq-3**oI`HKO0i<ImmU||{3SAL z8sp`&Mo)@2_eA7PGt@p~l(-b+Mvl!TFH%9_$Ga(_{h-)uqa#VSZBc8^8+7wZ<ZV>6 z)iq06a`afwrW-96pSkS%V&>g%m-h1VbsgqkGgCe4H~0KFqm#@wBlXaMdVSk&uk?dV z$vx*1*TiJJ;_@-}Zcj~nS#(dwR&U1A^V`!T3ohz7?=wE#;hiQ~d{akwoy6wnM(1AG z9Fv}Vo@FujjEoY+$vt{Wn=T&pG2GR%l5IMlb9nE$hqDwjZz`0W*O7fUd+BNB&0i`q zrrGtMF?z5uvdzZubjR{E$<JqWgzF5_&OhCCvGR_A)%@9R;ve>2Y^_Ny5!~LZ_pI-t z>K)NotKFg%c_n=8N0y}ez5RIRQeuDPt~aLM{k3T?KTnNhdt-ij!uqpDNs}Xw$(WpO zaX$8DXO5XwzpmT!%~xMAZIg^WQMS1IMq-Jfb+2CH^n**2&m}G{wn*}bGJ7`1)VsYn z?PZZ`%#HM*wN*#Ti;Px^*`}?$W|JmqbV%oF*KwVzO~-YvRz0_$wdr}$l^K!!$!^b! z@4R60lZZXxxwzXRwZw3FuinF+7nkw_R+aYM`1U4yNss8{X_i~h8G5(BOnYf`SjRSP z*3$Djn|WsBPE#yCW0bTm^4J;U(=9)by;)h>cM%=C@)%leJqN}+O3p+kl<;x$dY(Qu zN4-9E3ZMVkOHXG;R{cqsW_SLq(Sz2=wml}NJLJy>=`x=&TDaS=T5rD8+~arm8(Ge; zb`vkS|H4U5BKC;$;_k|n63%|t>2=1N9QZfZ-n{PoQuEuKGolh1)A*XtTuR*#S><Eq zJ-;?h^25}~wm0UdJJz2yde#uBCS#Ize(Cg!m0!%P=G(c6U%39l>6c`zOa0>RpJ!eO zu}Q>OJ(DrAYCqhzBsKY*<mM8K>}h(>&s=&ez4?n}{xnAMGe%FMH}~wwm}Yo?X6hl; z<Zm&35_69SF8*wpR>F9^SMN#7Mb|kdyJqZln_duA^3q(6JI2haT|4QLQFuaYq}3V| z@9CjwFE`%OsoiIAy1_I}a?>>(<!duj&nRv7iO4@Q?{w(m&p$4`s1cKnJs`B0J2I<8 z@N|#fv*N|x5qSkKXKX(BLdHyL(zIU7-DfT(Zjapc#?ZT;`_U$)$##p+8YT2Zw)L2v z?kG%?G`^=Jtk&_mA!JvMQQEcS%@=>>818CV>n6VG><guB(y?dw7JJ{&-1TL4535>N z?)iP^PO2DY&eS%ztt0zv#?sR<o4?FRpJw;@tWiQ^WSfr3=?>R4$;~%(gr|XW%FP!x z%OvKW4_VATBdtX7a<5)e+r^_jM!Q-f*{0XB-hUx8O%mip{UghbW|mZBPSdlWwe<M% zXT`rt%uhGSrAc18tfO2tGu0=5vrmOeouAcIz4_9{uP+{dQ6ndPnx`VEMA5%j@6pVQ zNB<<0aGrOaUgx~gA$}w8&FdyF)zx&1S=(kVJzTK4L^5%jobuUA>B}%nsN`=kzoh3L zPj`E6eBcEWn^f!xg~i<xIVFb9J$i{NKzW99aj``vD9_YnPva9md+Dk5<}W)^rrAwD zYxJNivMt8+bVqiYWbs`c;XH}W&x=mIu<?_gdtP!ew?%e|;`1K8N79SCE%Qq_+g+yD zeco`ucX9ELIG?CzTx$%y+qb5@+;mRo>bk=^wby2+9$?$db2DR_;OsL-X`3U}atzbX zKb>~5@{XC+{Izc48xFm23X_UGqP4g?GPi^?9+U!g%&ppU+dwHWJniL%`#Q1v%)I-V z(_R)|&|$9Xy1(yiiU<GZo)>x34Cl{EJ=Fi`{#VbXr^}MlY|A9(p7&eKeIvC*ad)p? zQvb!HZ%lW!)YgCXx0<+9Z|-iBe_J-LTDENCfv59dUwIgGd;S^ig{<d1ZakdT65ICQ zNk8gr&>>D+J+3RyqZ`>`1Ts!kHm+ifT44B`WmbWid-keXtGVX<k66wpZl{-D<i;H{ zeZyg1&fRyWY&ia1=-W=!L{kP&izmjM?mQC;&Ny(Y^9bE~_i3%b8!-u;#CAoYGD)q( z{zRc~FZCZb2nz8@YCh};6zY@Ieb{2?bUV~uv}rF>vg#WHze9R=Ro{I4qRjZ)W^%y+ zej&a}y@ws*LVcB*iKZfTOSa#AZoTkUU8BG1n-4A;x5{5Cx>kRgvcX@Ull{xI0uOmk z_b*cmeC#>ZdBoRMA5c}a(AW@gh_74a&8CP$a^5O$HisNyJgp-0X=jV_G;fQv#uni) zu3Y||IxOw1VV*Y<x3<LYo3P=y6zA_XQwt7QakihCP;i80p{d|=7OCRU1)SII^8T(~ z<Qf%ye#0lvw`(8iScNRedhy#ea&Olb2YF6w8@>6i-8Ys_57=@#p|Ofb2(olWV8tvm z7O9Kz3tq7aWjNiJ@imTIu-rkm>WR_Bi%kB-Gf!-g3RHRXX=;nDnMXu!go@0Er7h0a zJS~#ewj^Kkh|s-x`nsrO*yM%_Wv1Qh#7+flexb#=8)@0?bD^;EsV&OWye!f>TZF~9 zbHD!x$=E&1Rh%<*MP$jsmRK>58_D}xYM*&pB&=(3e&%73w5=sM&C?=r*@d$C@~sJ` z-FlNZ9M<IAJ!i^><D8toWu_M#Fyw5PnObl}kn_0Aw1Pv53*R#8gA~e5*>ISVbG7dB z7Ta&$H_{ikFn{y3NLk-<b(;4f!`-TLHh5=LpWs%EIJ?MN_00z7Ls{}#iT$}k-)?F> zY|s_ryQ%ZA!`CTWX&$%9GxkO`o9y4W@3^i?yLfNuWyj*%aUlz`^rNgIwghbzpSt02 zCg<)sLS13ifsLz7_c>ez%W4H|6&GD`tD7t2tt;!QZ;LEvr3I~(xp#e~^ZW0oXV1CF zbiQ6Sp<Q0(&8L7va?h7+s^#;rc(9<wna|VW(T0}fJ`anBD=w66wGwK&s#E22i0`=S zn<A$}a_XvYioFgoE?1Q)ayuk=zNKV!<d<wstB2AH-)8OCn6fbK;%6txEU~=`iCbq* z->{2aWzDt*p|IV`oU41ATa?#%MCcw=U32Y$)9u<Sj)PfZd-W3ArG?6NY9{vk3Vn-I zOWayDso+Err~8@-1!ufC)z=8!lDiPg5w`h77N>QMV&ZgDp>Gk|iStW^!d9nowy&99 zaD<KXc+Ql9LqQAQD$eIRm?d^sC$U{usO+XzqG`9+jr83u%w--HDa%`w%RD32Dzvz{ z{rRCi_t+khj6>b=Q+?K*y(w0j|MSkpO|G_z0j5gR{HL#tzVcV7?1$GOz27a1V*5C+ zJYL~Y+s9$@V1vWe9Hk2#%}l+P3Kv?|7n__-X`IYd`r=<+<z(Zi2cCMul3~>qoV#r% zZ8$E<`P*hf!GT)N_B)dcj>K{vzcaDmQ0~IFdh@w*!zaJiUC3j)vra$J^n}-q$89aO z>%1)<%xZC7=Vg(!w<TH3+aht|g|dE7irc9-Wy4`6&fRCGZ#Ztl`TNY&f&(&~?PsPH z9MR!Cer8I+A)bYA?LaARr{DAqhdDTR`%K+%T!izt&$NOADxB>;Qwol-a31fOUU0|) zlr&osOn3H8-Edffb9c|Q4aYS&fA>r&IAFrr{$^sqkvz`hZzdHS@>}>;@qBB7>CSf( zHXJVF+?_Ld!|^cA-#HTt4y19m=S(U%;>LMAM?gyQW3xl@JWi8`FZEBUT`zgQ>xnpM zUuOD>?C0yYUM<bv@Z@m)y_f#CJs$gWUtJ%5wLEXts@H#_YIAu%+yA-j_upc<w6*!( zz=U}REzbPiu+>plZLMFUrBK<7zYpche>wAtSNzfLw6+o2d761*^_klEM|Q!k>#Xu_ zM=fvJeP&YDd&A&EcDwBa3J!>9T0Jp-IYp3JMaDSb5U1_^^RfN6cHMe)&a`x^PyPS- zWxv#yE_?mjK09k&*1Ky*dj2@ZO24`in)6RG{@)|e_LQJtn?(oCe0P0l;4P-N`Lk$U z@Yi)x?`i_>eV+4aUit6lPpg*hy74(rvS-$j;>_|c+xN>_Wc_MF?lE`mIIyK(^_@ZJ z6TNVkcZKdx?8;r`3f8~vT_E&s)0VeuPYAu)7}xc!QsZI6O(DLSst-G63WWtvY!O~o zKWDdGuI>AO$Di7s&wO9W|A~F;*FB{>=RM!@ZI8Qrx6|6_)Q6u`;vXiRul`k7dA|5` z^}Wq8SA$~TRN71Q9R7S~=AEST)ryJj(|5`R9AVujvh%Rn#MNsToUq;2aVLHA31&0T zt0C12oYik8Z#W;v$^K?y!HGQ1)pM7%B$s(vB)b2r+VXWz@6LJ8w|(Ek`?L90)xBFW z=ZmAO?|qA5&lcocp7L;$J;?IizXB`Ie?C=xuc!ai>S?c%&d<&>;JaLOxH<eyWw7cS z16A{9qJ@nYmC7Yli>6Oh`aVOWXuhZte}rD><<w0rwrZX?(py@X)jTXx_OvJ;^R!4? z(;|HA$$Izb^S}Qr{xt9T*6({#!Pd`;IsZAT`rf2|mxmi7#Pp0mi|T#b)4Frs^XcFB zu<ith|1;^H!+Y+`{F8KkwsvCsJg~?6y6-%cp16CC@Xq6r6MxSUtUQppa+Y4V%9}#h zLw3_uWePkGDc<+Ac(kY`dEeB6L#=<lX1uOkUSxYd|9z$UXHb~ygE((1pBKxnakYq< z+<N+JO>4L9`?Ph>S)baz4_lYDQt#WI+iZ^u)XSGGxbgX&*Num9>)1sK8`mn8OZ@0O z68o$3&f`1zD({M2o-n4@DTiEsx-X=<pIs>IwGAh`&7^`8$(-&rx({c(74o|=Z9gc| zzvQ2)j=OO0v)gmA*N)NWqvyV^bj&_4{j*U+#_hzR)QY@Dd6DXS8}9Ha>%6aYE)MlB z-T1uMRKoQ3vvVS1)%Qv`t<U^eum|LZR;BU?m7?iKmA*$P70utL#9uM-c4umguVm0$ z+ceJ`>DyYEpLtlMtZPwz=4p|(uSHl49QM`oZr^TuYx}<a&ONi7*OjY_Z1r>AR{9r5 z-w=EF!9`53|Ffj-w>|Ig>%XrItUO;mV|CQ3Zrk^b2QBWLpR1|$ZBMmO*^b%Oy8@4} zRz0tBn`r$-Xs5UT#Of~sJN?Tive(Soy+N{kr}EW%kGHkdvU#+!)Tlk2@K31kh5Ex8 z(=TZX|9VmtcjemWx-(z*tl0b9_pJPtYoEiORmEK~yZx+fo7lo>j++8rFQ~EZvVEU( zbLVVtxf^SLwC2c6`@iUGwfNd)3%#%JIv4u&YL?~gZzY?KitfH^#A&Vb*Y)Mo{G+UG zdz1^?4=R;QsucA%DuvrRKUtN%2NWp_L#q2f*Xt(C4-8UdR92NSayle<SXIW@=Mbm! z{gbh)x8;359RGY>==HYkS<=~3_g3j&crQD-_{D7PjeiTH<eLj$%+)@x`BHpuk!XJ9 zm21I=_-u==FaH{N+i2qII+dd7s!HD@bc*J?D)C2XgkD~{yT#US>W1_EJKtUUwBqg3 z7Uwt*i$^<Kl7D$G_xWK{zxLLzqfLLdYP}Ty-0PS7s7Q62{hL|Y27JXuPj{;RO)6XW zNSFW6F^?OGY43h*vE!JnP}KfWsa#UMsDGo<_nE4n8s6?)eesh*PFqNI|4X57Gu0k8 ztQ6v#sr0bpq)^{Xm4_{zKeMl1(?9p@829!i@pBBV+Ar6~#wGn;yds$Gae-0zyzoo< zeou^Uf12@XM~iIV-_oC7Gi!amPCX&}t@BRm`V+Q%PuA7HPF`i3RC6G2<t#mS)i;GM zhwR!_WePkFDYC1|6gnI-jQ6%ktp69a^=tRqpV^_8^tVaQJ=_=5`If({OsePbx|qs3 z&;38_!au&zbZ<--Dyx{a+s-xSLEj14yzV<sr=76fC%E&x??m=H6IQ+a<Z?)^U-ivr zk3)?7sxqG(4heo&l_~Z=#999N`l_|@G3Vn~PpT_C`$A^jGq0Tbp5mhkGJ4NXaeMtb zx-{nK=5ztpeUmpFK6>Y?<|8KCI=#a7cS_|yL!QXlKRec~^u1EEXzRsuyq6`*e}=8v zdz_W?_ngTE2UcwJ-!=Wg1UsR=7rGB;#0mMm`1$$H)~x#(&!7ESuq6IZLJ8mbBIDrS z&eNpX7MI=L`RUN4x{nsqZ%$)3=vR63!Jxc0O8&qdk)2ZUF7J$Nuj@z2AMg?0c|K$! zyU)p`d!I6%VP5%7?z`%nV*f*o<*G78?uP`otI8C6AL6|Ke0^y2{uzHh-vK3n$vx*1 zlh!TYYR@z?!657FbZvdDm*S6$X69Df7=<0;O9rK~k0%l)TJs3)Jnb;Cnnz&g`E(`z z7y6->Q@6C({_?t!zNCfO&BG#PM~iZsr$yR|7U8xhARAsx-yf#>_fgKfe|hD6zl&uz zEZert`}*~tTII=QE3<0WZq~}0e?s<K*PYb!*8g{0w%2*s8+vx@n{%w@Vf$}J1m8Zh zt~|;<?CPQH*&=s;`n^71P_ni-+sgb}nECZ<SC;mtW^woYxu7BIHgoB&YiTbHkLlDN ztGn^bQeX8oSMOH)#N*cgS6sHwaGv}6{)=l<-@e~s>$$2plymFc`)hL7<mRMAR~s8& zT=3)kja&0XT<dR@C2pVcd0lK&)V`P}e6w%qXUx5QW$nuB+*;qm(m6T%1xiiV@42>g zq1#l$x6Zs)Jl7ZAnpk_|o&)RsHEZ=YEL?KY_1T7fe@rxkA79cpePQr(`p?C&`>uF> ziCAtpf2$OC#Nv$;^i9MJ<E-<~u=K9fy{CU$PV@TKZw#hJ*Y<}+Uk->rJtcnIKhfo7 zbK`!j$~(*ZRj2ZQe95onO}b(M30tmO^VG%b#m1h!CDpdY{;l5T{L*!qb$bs4%r28q zn|k-ol`Bj4eOt?PSod0D%h6puTO#@c#a<hqNMb(b@iS{<{<W(HVOd45uKEUsAK&r) z|EA0Hw`ZBYF*P;67FMdsSL(}qSL$kw*}f-#=DxNzFAqDub$LnF$*bq0+cU*hUo%qO zwr*8iFXOAa{8g*8?=^YrUVHdM|91YTEhnyLy}tW@|3>5PNssottFTaienisx-2Kje z`A2h(biO^}m$ZA|t=EMwUOE<ExorC?Z^Bm-|ELp!b*E;hPo5yK+QB2^{zL}P1_s?X zP77owFl>Ck`$o9u0@0$?t9Gw`eeLhEzl#q4{ri6Vwd?oG^L;}#uitBqO|HNCZN2ZF z<$Gh6Zdw>4dG~U#*5w}?t8+Fn9<2^qR~!;i<zKvZYb4k9DSMT|Z>Sdu|8~uL*l;3O zMr3ERbMj=~jnCVnxe~*>Eo`<G9^N0&xBYydwAa<5rCrL&7r!0e6|z$7V_Z@3z6)F2 z-$`gEv?lxRn4}+2eQ{&Sp3HqoF}r`wKiPDsbD3V)>9<F{%EPx_JF4nyyGqU0>gJUa zX6-qXHgsN2uD|&0vy|Lj;q|xX744hM_x{I>y3gm1ox5ebY;m?v_>Lvh(iR@tlr}?u zTffee?ue4~WVzkPr?`a|s@+_<`*_G9;}uy6sl^v1wAP$*5?=j&jsCM=tgmHa&xjs7 zBK|Vxu~B%@mP^^S5yk1X?|CzKyy>p^eePHC<*CtHfj767|J(F7UMWa-##_-@3xA}X zp88of_UawppLcq9lssR5yUuVAzii9%W7nD&ZV9jGnV-)y-^6N_b+N6;T7wGbA9Yt= z>4a3QHax&0^}}HSmps=LK8MDr2>k$QX_i+BiydNXbOQM2b6h#D*2s2aj_ig`)5!44 z2gDk+YP14`qgk^OXFKHPh_7ho?iIf)^y<+B2iqL+75#gecncoX8#8bFP_~U*>@ELI zE}d3yCey;e1!8<$SB}*+THR0&5U*x=^>Bkjt&C_!L-I|=+Yz&6H{?F3*v1t7u*1Q3 zj`)h!(@a}8IxpZW<GONGud(WeZh-uA7O4k0We51KrLw<~u$a8|K%j+gfbepbtcOb+ za_0!FXzpium2@sQ@>SwGhuSm384c6(PV?M2YJHP&H(T7fum@Uulmdi5vt}haZZqV6 zpH?m?|2{Rb;rsDx#&;#kwu@VNKh5LH7P}W_Uy{7^&vApsS2|Pjj;-EqD*7rj=k1o) z`dNBYG#)OiFO2ysu;$qFE3Jk9uYP+iF{P?XGc9X*nAcLRzvkgu(+{g<FPC2T{eSuG z`V;HsPT3$ntG1o3?*6yiVfzwaTyxcQ5G;Rrw|i;QvTwWDLvH{7!}`vX`TDQ_o8R7_ z?ft~-?%lUX`z9{dzWY{GOJe?o9qA9ubN7q<+0~W!baC}6+1RNkKHh7r+Hy$7d+RJU zo<GsI?Ya5x{(Lv<c<r9GeSc=etc=i|{$h<@`9-cBJNL@CaR{^5UH>LMH+k!oyHij1 zYdzPVI<ZyAm2GlV)nzA%x&7?DCz<!>{+@cw+Wli>c=@~}RVmYDJR7DeRrO`+OU(E+ zeV3NcZ|~U5;<x{~V|8O*tY6L(d3{}<Q03(d`h4$QHoN#u|8+Apr)ZJE3>&@g*O~tw zS-kr2fq!<L!A%##`8NdLIncgo!G<_7pPUJ-+|sEBkEw5ZkTCg1w6MFYU-X_6xo<=* zUG<d8H-<iuyC=M}|GTT)gXVozL4nVwCRHSP+&Dg2i0{LchL<%JQHQwBd*3*c!x>$% zuwPf@mVd_WU5fYbP1G0nTKqyH>!G82P`vHd>lG3ed!}|DI<9+bq4T;{cG(JDo18uO z4jn#N`)B!4n;4sGi}?79qbhz>ENgXdQ2!<68FX0rj^}4t*P1Kg`^6_`xZaX_^m~Tu z9&MlUtrwi7eeN*0K0h9{v%=!SsiVgihUqc#Z7*2(;ID_ac<bY}F+MfdP8~he8K!6E zx4o#aVA<5}?#*jsY+`brK6)IaJ)I#sYDd7b51HEHty9;=Xz1oWO?tRCOwa7vmZHKB zW!mE6+0i>IBCdz;=M5^(<eJK}=U{y_@79j;UlD(V?~3%DzxE-{_3eDF_TP~uH{Mw6 zijwk73@w?gwPsI;vW;IxQTjUf-_qrQM(cJK@V{7?z<a#n!;Lqtfw3zkem<($U+3`C zKB!vuXWuE^qcY!kE+3Z8<7*fBYS^>OkLPmPkMmVFRh#DLhWOS!z2h-QYT3M^eNlPu zwc1#g|CWw)eRJJ*)$Ut?L3-yhMEKK9yZZS}y&C#cj+$(UTda|DK|{?fON3iKTZF6C zq^p_Vq^tX^)X~FYy^kIT_X;JfUUR{2Z*TnB5BDE_U3u5(uHBlvBzODYH!rSV8MN%E z&+5wbWjVgwr~B3=h}%y~w*8}>^>*L8cVco}vwyv=UzAZXr8xPPwn(tJ$kOWONptwO zw*}NC8(cozmJ{W=$L_?oIUdV*-7Yp4`*<ti@A;Xgrn@5V&RbnJwJXBt#IAcP$K;!% z?i_MX4CLk)Ucc?bh7B89+k0>7+Q^*AfB5jxRL5yc%qm0jU!HvY)Nz~Nu_vo`8t#1e zO^#VV)3miR>g<u2wP}fcVVgfL3M>B56jr=3VZu_AEkRa?Sl;XmQMmWR`om_K{*%vd z@$NC#NN6o*pZ&Sl%4~zv6Ry*)c}X)*=<0~>>|O5q?g8h--4!8E<m3c*_P4vrB}_Bn zRl7IwPUhx1k0)G{muBg#nY^KQtI#qA*F$>OJZ>DGE%a@p*CEC*E?Ifc>!oS8_TCY$ zcg`)Xx_IQq@veQx?(UIjH$4AICnVz9^&R;)8rb%UtT-gjWcqQZgKmu2ir&vmr3HGo z4TZfoUpO>7G9%eb%xcD*R=sVh3){~|zDTYXW7{#QQI(mWFHK?TgXWq!s<Za*Wis99 zzCf&u`^quBMyne$-!^qV-*n;7=E#i4Gj&Qf7;?wHdA#9HA@{bWVLAI2TYZ`~@0%Cv z`md()%Z_NSdi_Sqbk`lZ`4uar)l~Z5z2x}MuxH2mpEGns&T!?<-YP8Bt+KJq?(x-- zBlCqcA4J`_zT@Yd6}ERvOdc-{F%t0l*!wc+W!jm?x?$GE4<ECxnzWxYI>^0BY1YCW zmZtg(BVH^lV9@_&>K=ag;rFT8^1=5^G_<*nv(2`?zeK`f#bgb=t5?z=t#I2|=(6~S z#hO5-H{!blY)`xI^*&oQdC3N6^_e$TPS$yI$<wUtwF-N6$)xUJtCNS#txg`_Zl!sk zT&nZX^Y^8b4w=qPd9=%Klfjks6Lan?oh)-_?c|uA>z;D&E_&L%yXwiPmZ5U{!1Y;$ zH@SpMm-_fz@)T2htzxBIGO2l`)ybnKbDun{oBJermmimbv$7?d+yzfA<I+i;?4^@h z+e;?(t~W7$Z?R(*mqwCijYNvV=f~@7`^wHbEL-=>JuUvSh|$MsZiO470uHT{j93{c z62=kRA;NtAg-Yx4(n+1mOD46dmkPBWusV78v6be5<XMR`Bi3oU+<x`f%JZS%+>}R& zb5jzh`EB|*!LR7U0>2`|VD-uh2lbyb7EWgJxqfDHYw9eghyR~=t8409^i&gjt-{@1 zGO0JbbW(HctbMm5R%yCaA7%1YWY5pyx)^2{AAI7dwA9hVuceM2KP{ztU^DZ~T%|u( zo@q0m{hoT5{lw`%k7or32Sz;-+RtvNyVdyWl?|RzpRGI-clj9^I;%%kIH=#8v2t?8 z+1D!K{4Z7bHwPUo@Xh*_&=X?xZ`(oTmC<)M9@&@tb%nvwliFS7oTb;}EcX0L?_Kg? zs-Mxvt$v$6^!jc3xYn=e!(6|jk9++-6}&xvQ#1G1ugj$?Q*4$xudJ}2GO1j9p7e!G zF8xeX;m<+mV^iWH3<{o}KWwFO=dSsh=FX3Yts{1%SFlTeUl!E=OkDoivTakYcykxC z&H6lJ-m%ZW^p}~nKU?mpz9eY(lg>-Of6aRTHN5nEds5P?<C7}GGJ;;IIc<&6DYsyZ zlQ<D@{XkA*P?cVQ@M@N<hpQZNV+2<;>$ARk#NAl+BW8h}9=FMXr8-}EVnkLPN@p@H zj9Vafj`PZ~$VMv*<pA;JtgjwUaj2amlF=X>`AT|+LO`qM%G>gWriOtF#NG+7=;dT8 zEm-dmdq+8d|1s;U$9auxKeVj74jc%$W*)FZ@5ihiY60B$xvv~6XDa=$)*-e=Ie<T& z`^xcujn8(=2<nO)nr)i6W=p7k)Q=GTTQ?So%jR6`)-!wQ%0K^wtGxW{qYTnpl~h+} ze_eE_YH!9P<-HjXo%d!uX5RbaLG9ibk8Jn8co@6)#bepM5(#s|mavpH$Aws|6Ze(5 z+%4Ak%2nF^%cADPRTqyc?tSraLEM)@2Yt31%S2xq+*&R!`t6mgaP^l(t#_HvK8%lD zGo|=xUo_7fDYwuYC+k06{m{Ap`mfC)4@(<oRX8sYyC<TNYRy!-!DoTqH0~>hxf*{( zXkK7gy=h9&^Q%mu72XTf(zvf2I?S~7V~2yRjaGnoKj)RhkD0!HY;j<=nYnbzJ3G~Y z*5#~Ok0cwTD#8|+%@bbH{G9bw(liI#JqiI_imXxze$y_o*@Q3P`uuD5e<i^{dGAdI z2OiaZ6JB+#-f2rn+@-E9NpaV@xz@jM75@BnQ7dcJ#bZu;Upxri`yy##+?Ne*`oAio z_1Pj;i)P+kd%2tIeMizB#)o&WELNKsv2s#EqI=fq-^t;LC5GWzV&02aU%C30xmP<z zuk2aTl&KOCbN0LmXy@{t^j%5n!U3;Ftu?2@5*}^U+47-E>{f*#x9pyot$Nea7PhBG zzDTYVV~d#BXntYewAL+aQx|rcMrJ&GtFvXJ8Mo}3(@x^=QxsXHwqL!k)swKXUGLHw zp5O|NSc??4cc+|AO*CHWYcnHJEG=zDFT2s1gFQUOhPH=o?#xfLTX+9dWP{>6ck#5E zHqD&JsV_I>oHgKDVBGWQ8PDPZ%flL8=M1>|^4HdzDkeQ-{W(MV*U@F(Zqt*K+ca~Y zyZYulIB}?|FQ?|n#AbfWqK}O3a`&E}I9@HoS}|R57l*aQq6_WH!n-XNJ?K)FuC^%J zFkM;vx5cLo_O|U)YYrYr?={bV?eoy3ZKg$KdT{B*(>E;&vM;NJ$=!_Gw{UgVg9Q$v ze4JN~BsNA_C<REnv%Y%Z_1P_Iv0mIhWpCR(MedJf*Bfw|8rbuSh}{XSe`>+Tc5lz( z%o~b^8#<L+&&tfaaY*p*42w-0rz*4GwkX;-SDD}1;?u^-iy3y`s1TWEq%QTyT}JcG z!xKm1`gGnrK5?wBPe$g!iKC8vHZqS+98c_Hl1Y4QxUt7Fa7W1$<<?}GnHJ|Jww|}x zRM@1<u5MZM@#<Ti${FR=yOxW^CDm@<*d)lVEm^p+OOSuH<j0R<%8b{Rh;Qp`%@m)t zbh~6=#OaRC(w>Nlc0uX)MvorYy2b1{-_g!5@$sXgGNYU~|Di*xGpBzzpWUNjbEu=U zU2>yAx1cn?;iCtRZZT(4jvRJzt2vXPa^TAsg&ZBP-2q93_R3tBB@7Lx3UUWaY&4uH z$Ua%3@FRorlrM%(obt+3-YjK*m{54@=)yDof~S5-EbQqQJoQatp-;cysZSDxhO-4v zy^~mYrcdzHt4qxV8&%#u3hFw}v9!ErM#Q;}*2_INEDm=xZ|>P)alE7bbI*?*2Rs_O zUpiJ)=)Qd<x#LL3p;R}YnsXhk`Vt#Isw$UUGkWw$OIdDT(vjnuZcH_k<y!i$U)I~# zm@gUlqfb!yzH!n+DP^;D#*Y#*a%Sz=(JjbdZu}_OMw#(lW=*Y{65~A&b5V&M=CK+9 zGY)ig{+8HiFi}u?cjQ^VYsQa~n3d(sj2=Bsc4Mk3a(~R9s<`s$8Me4xv5&a)@87Ff zvS+4rg|C=M+;mpq{1Z*B=BWpd#Y7ft@DYpAna;|uopSKFS0u*<uQ`Ik>pNHv-LBc> zpt(^eAWDaOiqvVQrN=ipXl~L8n5Dx#Me8)vQu9p$4{8hDbk2x#Ur7Ahp`&4=!>yKf zvZ=K_<>0aAO%D>5>0Id4;Fe1}(bUhmN#H?Up<9lQ0QZHH_P$~w%T6_QYHmtM+@y13 zh6*>I+Nq}Q-zf(VmqdQ}xI!m@L;YMwb88YKm$KY+)>ieDgGVNBN_eOlx#8m$9g7M# zu^1a|Zn=4rS>?~4c51l!sAoq-sE&i-N$yPxJ}lCiF+-VKY+6@tb%aWhe7CDy!pXP2 z)yqud{zsqJ>|Ipw>)W#3nNNSnX^Z_`Y3Y0Z`Kg^XJ9~^*UeSzyb>wD9;-{B;b}~N? z+F*P=_+0qMqdT7Ytho`qOEA7Kd)G`yjhd#%UZ>X1d2d!=H}$Y!(2fbOJX)=a46my7 zu3bFouqxl-g|T^US0^sEzI|NchYh#rz7tNu@o5WNwIeegXNd*%&1%|tE^u*IXpH>v z1PkH#`}ahSg;?|O{MBR?dG{;$vW`pr+}U@2e97#UsMuKP<h;b7U0Jx;a+5*7vb28T zlLx7NF?$Y8Z2u|qGvYvCL+j&9j{|2MI_B(LGj*!+dRLj55oad0?zY_YvGViW%>tG` znv})ki=I5>a<^MoB=TVOvGh+#E+>_w`CXOcHy4St*BqYMnQyu2gRHyRzUL<nn)b!S zJU?+*V0I<<<r}v)71}HFEi-<U#HK7Km(XIC;Kr2WtDhr0{qo&~k+-JlxlG<NS5Vkm zV&jI%g3`Ms3OCFa6t9-}xM8}W{BH@)4feJi+>bBoiKNLUxI9!)R^u~FdT5|*W|w;8 zV4z!!O!AS#g>E%9$2=N@Upn5{q5jrs;zdt45kJGEM<&W*=M0h_>nQV`Gko;OMp;hJ z;L&3xWyWhu*&jZ*w}qS4c)g+1O#$U8Y=%xh`IXJ4897PvD^Gc4;AF|CJmrpoQ>1T| zfS%ftSdD)XXE{_2dIFvu;#jKF6R_@3N3&{AK-nRVrK&vvc854rm0mhl?9hMvNb*O6 zU@O0*;YUGbv1`Uj$;`@pW=4-5CA-<w9O~%z?P0kwN3Ny6GgJKj0Wmj`z9r=b_m4F9 z1gtvJ(Hq%gQ87bMyx-u_!$7whn`0gg$0zr{XjM6|q+~iXv;PuqRxW>|q(_==IyPrJ zdebEeKj<jy%}YLV*vGBrOq$98?#Hth-8)vy!uC#R=b@*^{%ac?<@J$N=bCc&WK(D6 zri6!eksAzS#bnM*U_Diydhqb)O%IY+>#*EVJJ-?qF^SPCe*5XBLwu128-vAk-b`YZ z-ko~zpj>3Z#&9v4H<MZAf2S%QU@UagxuYz$;P9^nIvO%U*_#U2pOEztuvGo5^xYuv ziJe-f&4DL5?vG{O&3=|+ER-dA;bEcg$=J6mrI_#Eo41tfKIfGq-<h@+=(-+WV)&=; z>GGBL3ul<f`EK?1Om^RSrTO*BGb^Tf$$7KB4YaD5quhEv$hYO?gU%4QP;YksA_>1^ zD@$%>NiLU8DN&6-Cz^L;rrTuGq(?cAv#toFuAlPg;hh~-^X5J>;eQ@H)g|6YHtWul z+`5iVGn0whcWT}Lb}h5`TO&Q)N>w9gGOKWO>cK<HHzg!@>sb775R1u~#A;QRdhq!1 zO#%tYkK5c|73%khr?;4G;Z5@0vT*Hm)-I!zgNNETB_waw3Gh?oo)UF}DOF;VLuZ># zz&^!u9Jv{fdRlI_M+DDkIl=T)X_JFyr_K!vCGIH;PB1;y+~n}GODEu(68Drn9mY=5 zn}1|4>WzG|#jyL%VZDuY^46|$3GOAQ*9Isoo^IB3?_ANpW%nj3SM#nhOleiB=uJx6 z>b7yiDUSPZPib8|CcJOQwjcb5tu%Bb?;Sj{=%K96o`3Bh4*N;92kls%`~U6-IgzX_ z9}G6GZDmjWv!7c&Q(r`?Xcd2O{rYgxWju>#&9LdoO1$*`u<OkwLEC%oC9zHq2@6<Q z5GZgaV21)nn8uv&hvvsFIpyDBoW#4vr0ue37|-h25q4|x5@&ww&$ZVppX&ClY<gGh z)u5f*JI=FNzCQTzV13qa&5e4ix35-?&)9$T#oJeJGy*z4Ubw&!88l<*WFMPZ6GQeM zI$kpA&||BUM}(b~H5fRT-s_7A&)+(I5igg{KEC*UNg)qsf8bU#eEaH6PeOk9##_>L zy_Fx_bL)jYW7+)F+77LB3ypoYqQGkPQaR@3>=&<z1<wj&{@mtf!rZ#kSvf;Hv*F&Y z=|a47IPE1SKjcb(9ej7jW&^b)+-;n_57jSAX2rVNoK(H4QR*MIYI;>x#u5L;-o8N# zO;%~U=RSP7;jq1@^Q<HrYm-OmUpZHN40`TiGx=!fq01FluPEA_Sshk*mH(4fNXXYS zI()0^5;BhX->Q>%%#~ZpmS(WXz}ELrzJ|e~4;~(MA<7T)96x?am=&;Qj#|jY?>!nZ z-1bw2CrW?*Ij?5twrMW{ES3j~oHL&mcJe^$kpPQ}JN_-bRdXrn^$V%Xot7tSt}HE> zwXDti|NF~7)O=1_wNEy$%s6uXcHObU{Do7p^&ebPSiho5SWWe{`m$9Q7m8O(e=MDS z!g|RezPr=^IK4J`bK&cU*;R9{{VJaM)xGuaPV=1;mj3v(|3Jr~Hzo^Lf3s2MI?vnt zqy1K^&Q-nt6@3~u=^d+fbt|_nOv$m8J^A$io{gz0ArHG-`uuA4i>x>__1LKei{E7> zY1D{HP2FQ@WPj?wv7o~NHzr7^g{if*_Gh{6yOEes?teJo#%u|-GBviRd>bFSz1<@n zE+EDAUZt(Gv@<a=_iV?l$nxOB0THt$)WlTUT7OOq3<$K&cNcYGbN$|#_~`SI4Fx;X zT;AFQu2#r;e)5RH$B?9m3M->ig;%F9;!-+%<mizN1q;7C-nPHDH_od4{Pis>KF!>! zwdhp3N?Yqr^M!BQH(re1qS1F-YUR`)T624~I(b@+I};z3Bt=++8ZQcz?ObMWB*LfO z)>+$`nCNt+Amxh~Z&ro>or0R1e#cCYO@Hf>G~>op39-KGJu4n=&lYvzUGK>w%q@KQ z(4`#@FLX%TiLNw1u52fBRYH=hUFh(UgGV+LEJ$<Vjqf}rD#;Zt-0XDp$OZ$;EDNJY zZT7v=eeQeo*BQ!v>^fqwQQc7I%{s}z!{@JSbx6g{kx-ka($@O5@bJlw?{~kH=h{n5 zKK=FHozQd1!8cCM&VF5y8Bw33q2tZNb>`4lQRyu~21~=VUY&THSiEuJhlr$r8&f32 z?r~WQN$+)amAxsna_iE+saK+MGvhsZxWgAOllK0-dzP4~?d~wwD_@QrF)-9M)bZ(^ z)pxzp_2Rp&mO<I!f1^T@->#~kFQF#a*A}_^)#fa>D<8fdS@3pCSoD<-M_o2<u*ur- za+Q8}?AsL&GdE^uX!!W@2u~I|d}w>&^fxOW`e%u{@a~@@p%&-K!+riJZ#$c>RBy#) zU;B*TuTS0hzgx8a>;C|6W)=|!1_lm>Q?aR0y)1ma#~BzHY#13B_!u}D5=&Du^8<?V zll3z5l8Zt^SQ(gWv`?hx`uk=dHsIO&KKzAU(w{)<h1c6Q#m%}@`dq=tRJ2&8;I7!O z*ROL<>&=y$^x)&X3abwX7UpOB+*o(~MxK*+=7I?q*8i>89Tc+Yiqts~N!$B|A0?)F z_uTDV&$5bzQ_uW`&Ai0A?Z1+@G~eB~(mt%+cQdQ%7DnCBP>=8xo`3h>br9b9yy4&7 z<nOF*8M_O%tvc1kwQ`xv<_Ec7=G!Z2K8g9Fr5a(O{^n)pe8bi&LRnUijxLYg=U7<J z*~#A2YH%*Cd6Qc8zWbGx3^2blfc-A9q~N5aFarY!^P>2@C?yf@b&ak1e-pfeYG*L) zx^YI!|H7mlmJIe1DR1kocsMTYJmmeH&1S+J$0L9KFLucB)!MRqH^<Uk?%dNM+q!&H z5<1u(a{aNpYpI(%$)#&XSZJw|)0CWGdGS?zfw$e3+ni*a#ni>r$E3V%O18i*t?-|F zwoW}?vB6~Jw8W_yMh`z6uQDlJ+<jClTvM~N{Pf*L_j8`A8dN`ckvlhXindAa-NJPp z<uC4@*%-d;q>}GW(}y0-XHR8@2tVRB3*lTJJ2hDSPiFD{i!NPy9dpezl#LQ9<QB_( zS?;SjN&Zy)l*<)&j#gBMzSFdf-syErIZIn#vop~tNIU$ez}l&k=Y5G<8*^p3?z2r* z^DmzAJfHghR0Yf9)oW{-)?XHl-}Y&e{Y%~-rAapr37!`7>&*O98hY<<ZM1egC!?h% z<NiyhLhIr*RE=z;{<vw2|IIZ1bThP)b4Tg-hc&L3J*v)z=`FKa8q+M`{<HQ>(Ff_L z_wzr*to>8Hq2*GeOMp+xoD#iXoQ^MT6ci+;gxT#<-?O*Id()HUYh}KunK&)FpY?QU zZ`_8!DMFHR=k_gm^LDmn)R9?nQy;f0DvJm)8D&2$e;nxM@yvFTJnQ+`sr7dACZFqB z*L+@MKmRnX7jveRcsZoBl&CAKC@(&q@icLVwTh<3908reeQR9nc5AIyaATb>Ik&@9 z&eyv5i(cWsFFot0q<>ISn9%=x$>m4|Cne`O@4rW`mATz>iSJICO5)vKJt^VRC4$`* z@iOj~TOPl-IK^B+M$~BfEZZsxleepPR<>6x(KJ8)WQnlSqlXjTRUBQts=(xj@amYS z2QOt7Grel4*yYE5L|BOFkmBd(ofRg9`_@=0MpzZ@dD}QgQfAl2(lDVfem{<6N)~qL zKj`SFun5`mwZbx7#e2>g-#PD0PaV7-{>3M+!`-X8<f6)+Mlqw!zZo`{Xg^ecl(}7~ zPVrCteX*b|pDGo5@>CLQox|K>K0V}3u`{ao;F)D3=(n-KNo~(^=_u8mu0QT1{G1nY zqJTw(<w|PNlF!@3g~T3*aOCfe5m^#dohfn5JAbcFZK>=#w~4*(K94v1&JJ(;_<pT8 z&t}upTF1<VZ{CbJQrQ*iJG<nt{05o1qK7S*w<HxGc2PTfu=q+?)XTQV+vC<*y*Arv zW!kfOR$A`TJ7tM;{;?T1*K9wwgj*{1(`$!?e`Ahnq#N=biq^m4qV^%$?fINLX4+R5 zd$7z;Ejw({bNt8D52sb{%2~%ui=E-t%*JN_d|6<3;Rp8}B22bMZ~R>BUoR~bKX!O~ z+?u%(Yj3g(ugUrL>O#o1>|45vZ>cO=e>G|+&tri<vL^*Db!^=yKlAjvB{gq%tvj3a zr+NSF+Y57UEemAlD$Ef7cjrxMXwUouKFe}!k~r%gZ_5qq3H}iLdbXng%a^=&4huhS zT=Vq!`J>$WFCLlarHLH=!IZx@AZl~(<p(=Y#mcmOycn&&HBX{EQK#<lt5EYE<qgO4 zRsR%49M<}H`^>hLi8V*J9`9f5UX(wXV@+aZO<SyFS>hg7R#n*m&#vR!R>evk<Nk3r zeP-Luu8+FS3LY<S$NezMy#DYUv-6C}`8N`$tv#46z3gUpp2f@Zj7#EMoF^}T-D0t2 z@!V6FR~61V`s1Gv=X0rR-0w~a=W==b9aF9@IV?4e$$o3kt*(z<*7?;7z6#&YN;5on zV9TAQS9u?E?)lney-TRhV3%!~#ch{s8`vtMo*zq;uz#?2`pwcE!ntQ(zGJue^5K~B zx<tVb($gZ(B-u26Z)n)%uaR;%x@Q7sB*UpE92MKAdrlPZs;S@>H4<4Yzpv#J!@s=z z*Avc&?lt7`O=ft%aL;4Suv*Ti()sIOxoh07n9%Dk^T=Q0`~8I{rSA${QsMDco^7)8 z)^D3VlP})Btys?0dM0!6;SY7OFM5BzTQDtjSL@P_wG*#sNp+h%GOX6Q`}f1kT`B$| zzPnB@VVBaLY<a)0mB;<ww*&9ml>Czek^^o2$QE*R-ERxF-E-`g>KC{5r&B67GsI1t za8q?=q@A3A0FS=^r&L96p>vPydu<i|xTek8w%u8Jd(3ws`{#3lH%oiD?)jIO(XyIB zp&@qCyHvF^3k|-w=pOR^vn1}lPu&6QQv$wfa{C?~R@syiU+b87?Y&lAP`%Nklj76O z<~-Kk`bhoj)4ifKPKq*hi?%q<nRHTkq9#j|!UNsf+rp+|(LcEPIXYTgrSDyQR2gw% z!r}jUlR2L6b@Y;6Ug6-NlOj>2I`<G~#j2HY{SDh$tG%R`zo_-KvHAS#aAo|)&mXi} zdse>5u{a(bl5FlR^wKu{>z4ILH<f+!>P?MQ-Dx`Q++Df+7jrk9Y`#79`?{8dm6s!= z8^rrRlzZRJEjsg{k=@~SUqy*y@79w+<?ffHJonh^zn^xyvuepkH|0x8y%yD~ckk-Y z_Nd)_Y}Vx{>28ZR+d5_TPCRx<=h9i#wHx<sP<HoKJ(L~v&7mUoP`}$B#-skPob&s` z_xzOhG<o---rKff>&Z(C%8NN$5BvX_Gn@0#{u+Dp{sNKsmHU<ME#}<vP=^1&ec^le z&q|0ql;tmzkvqg%WHM>X1cNX;eUZW)jFP*3d1i0BwJY;hX=F~`3=S@i6|)_MCROGY z-8y3M@6l)TM;F7p5-%t1sd>%1d)2YR>!$HFZW~Vp_T+m+t&ub{wBDHcmhs_o)B3YL zVSJBoS%0{7C1-8P;jP=_cKH5&pguj-^st4g)7H&d!6xZ{j@|4{lX$<NIA3n3k?&!X z9_J4VFG}ZL$}ZWh*7os_0^eRqa~)TGmU}hj9qxYf3#HdGR<*0uI>w%0y1?=N#00M$ z$6a3@Df_nVomWB2<`-pZ-n+6tx(M|pT{|5oE5E7aLB(z%k%u$4-)U?5Velo$L)q@3 zw%z34haa3xHP<@Iw><0w&jZdqM{VqElpFXz2)C}U$nxBAyt-@s=A%bXSumXs{B@AE zu}AsCL)JM3j4?5LmRmezno`g&^zP#qr-$1AR_Vz!-rvCIuGqjo;ZWobnFkNUxY8FM z;*>Zb{NqDU_t(vr`1ROrR3FNz{W&Zg_)s_I2V?%)<EoWE=h=w*9Y{7`+Pc5ubf~Uw z-QiXFACK_4KYVyCdR^AZ6wNESZ#%bq5ZAtQ^t>f=g;2hJ^n;E_yFCrtW3Eo}oGkk8 zk-3+>%?!^^n`3mugk%g)Uo&@Y-Cf~jo2g>IdW&z$iI)1B^h54nCaj!w)j;t5rz5u_ zL{9c?HT}6T+HG}z)*(%4qpyp${Hmz+UN5rg&;?;<v6s^#rY$|RQr0N??gih&8@A`4 zXb-kXS;4tH>qLTK#)%u;x8E$w+;U0L*m#!jsnnZoiBeaWEe%~P)#jX*bUAJ2)*GSg zzj?_|)J#b|?e#E(Px6=K%~?8Y`8{7GM~ZPSPdo9%x-TvwZ4!^W&S|ZOBD^yN{Z3Bc zblhkswj<auC1dUEm7E7ZDr%@*JI>S-te^6&!d3f=ONvDDIp4I+*_&rA-TJt+^J#PS zAJxZ~6$|~hACdCRIb`o9G+FNi)B9`FO(w~Do6M}Kc&7e!*`BW+Nr&tYO#GAgqDw-R zXYUlDcY>Qj-uY{vW|#k1ny1zwWIw5*S|x1XeDONFIlB~_uXd;UFxpBe-(i`Ss~5fg zEQ{fziK4~l&hmV$x+6cQIcWXoyDE!Ls_3}Ny!ud|^|Jl?cb6)c$tO9(KN{cm@$I;t z%;o7`CogjDQC(a_(GT{vGagw}d)c4$8K2f~?p(pt(<OOy%FLO96DO*8S}}17v9;XX zvwahvP{ITYp2HeE$r>DoCAtnPxU>lbDK&PSV9C6*DrmQ+`2LA8vz540m&R{jD51Jl zo3~kd)~2xI!A0BN2R&xD>=E2*x&2q1wVW_l#@x=kj8ij8L{pzFYl~^{3aT%kQK;0p z`~&~7%a7m0t<~O>>+*~v*&}hwhoFf*|5R@9yf@r)+~-2{>fAe>)r@Qpr03>@99o@` ze)|_o-fO)tep@wG`AJu=5ICiz$;-ac_n`(y0_T)FO^?s|y_=<X?RQCEr}P4yC9iDC zRvwDl*K2N~Z|3q?hpT|yF^1`Pb<3ut%|G`aZaFnGD8wML;vTEZ+JkA8=h!|iIzR82 zcw58e<~56VW(NIC=X5>sQGQ`laLM(2{~4>2X6`H7|4WSb_vZkW+}-kO8EIS#KU?$9 znxHasI*(-I(k(xqZ?Znw>h<22N#*>xROT~QCc8BB<zMmq-NpCs?atKnWdYlGbXJG$ z{3p-poyIt6<;tDsMVl@-E&h5=Y^uWhhq3qXcnan$&6|_TcYfj{dF@2o_8&713-_D~ zC|u7S!D!X@X{S<7oUG6_LBZ11YuzgrCOoV9aCz}Nzp0Xr886H%vif2L#Mr8?723!3 zFgeWh*f-IUt*<)ekjrVSmvU8qA|;+`pXC1&vQOl!uG=y1`37q@JBzMSa}*Wz^Y?X_ z{zR`fPkshdZO#|trB17(9?VILIPr_i@iWW&yS(wM_f@=Nk5}B<og*C0zUAc0$p_a) z^#uI4^j_uC5m=T}WnHo5o$jp8D_4c~N}pS#^6JReonfKYzoRmKO)HQHXP?Hwwsr-d z^TMkOk935}&%OV5m+lAEgcqJCUUW_m)wuL+Vd<X_6A~l@4Lkkn!>&GzuD9Ho!2ZWo zs!4i_4omK*tl#>Pj6Mxwi(Vvb&HT+-Ip0%-(@pgB+$_z#(H?gf{hXX~{a$T`K<t^- zN28{doZ>yQ?(MTFsyt`znO_O|`u3l9QCi*~PTODa4cI5I=+kDgTl{LTj>oHc0d|_v z--K4n<ZC&~7OC#tW&V-1o4?YMyS4wmQ6qcTOo1IgS4Y=8-tOI>Aan60Z&?4Hx_Ogd z2KUZbnbIM$RP*IGpR7~;qAZJl%kR+qCcdGEIdZGc<keqR@&;=kU+UPZ94h$C`)W#1 z-xMdI$$TO)Au~c;WsV32xCUJR6XEOWBqeOQ_RqZ9QqJ3#C3a<fi~Hu0v~u>-Rd0j! zZM&vK9Y|(qwYsnI;Mj!sla_|MXl3~1xG%Z2*>48_48hynhqY#_%+%)n)y(9-bXJBu z|CH=U(JQune>6?Mrv9tg1CMia&Zxv|&iQLPso>P3%5#fO$i~HZ6_%}7b)mL><<UOp zx#iC{GX}eK7N2xX^<(cZda5Ber!pcaQTN2X-8;n03a?wc*B#l?vL)D??Y7Zlu1^!> zZ26sTo|RY^<f0ueA+_<dhMGmt#h()|R9;{exskhk%T5W`{RT6l=1YHAv&TAG^SaZ` z$e=DBt-f0-(Fr$s^OHNDZhOCfbNsV|#XQH^Wjxa+Gqk&FIm$FT8+<(RaJ$Y5k0Wbh zr_YRZNuBci>BA3MqWv6ofkn^He%748!Tz?#cK-!V4wa<+UZ#f^Tzcmo(3%{$K&JWo z&DXzzHhu6r#U;#h<LH0Q6Bp($E!lJQU;2u&2mcJts9dxUcn~V;X!GOQEPaKD#OQ?I z!9`AYydI`nPhEcS&vXH=FvWuRts={o3h-8xsyjW{z_GwHLC>pVuK66-UmK_Wm|?p{ z^@jW2mlw4ndtMbKcP-XPc|CV^f$Or5nlE=fTE-;tv?X<G>cwQn?+33+v5KsAGGBbM zZndy^yXA`DhmV`SpYP<U;qE`Q>ZkvW6egDa#f@Rl=WpcW$$iOqg3Dvu<O#=j{z=LS zJi4Ympnxa-<Q5l3>lrf2UTKqAmNBw=v~(PL-{Qxw@$$s3)6CJ%St|?DO)h@ecY65^ zj(-RKpWE<D*XM%EC9xjex14L9D5ibLzQ(k*q|4TR!$ID_>~ks$)>d|yZ)*J9XS=xA zVfvFBO)5oe*PQKKucM#&K6sLL-8T866{31=hwW|bTn^VBb3Di(Z({A%5%ik9z|!OB z#V*Fi6DN4sIu%^^-WEFkPT56M?I6ReI7`iMRR;yqt>ptWPF5buS6{>P=I5F{&b|3R zON4HpDm|>4x4?zd`#3||QBNn+=z^*RiGTT0HuY~vy;okc;6iLbIhWXbb`^O;=Ut3b zH;WprstftEH-AHsQL?XQ8bfh{j+W8KT28@Z-10s=9b05)#1!lmGGqVFFYk3AV7Eu} zrw6U~cL&;W3qC2HUsHazYDe_auFS-lo9*w$TN`#L=GI!RF{=Nhz_Hl#gF)TGU8<Zj z9yRRS@aM)lflP^@nbNMWJ#55J?YYx+X<om>%17(x-WExYc%tU><97O*;2pkiSs&C( z%Im$@mcCJa`piAt2Nm}8IGpo5qHOT~B3Dw0Po%%xh3kvC4l)+A1^P(MWNWVAaZSk+ zujxN<yUB8)Q^(C^`)<0K-Hg+IQqv~d`9$fga_N^_43eUW+n1YXzr4Vd%fxiCK)9Hr zB)42;f6{b;=&!$vyl1V7%ygY%{rj=If>z^STi)Y)lXnQ6h}m5%Id$gRu2V*ZS5j=l z$~!Jc%?<i7QQ)eH!Gn6enT`^Z9A-a!;U@b;&|�uN`~NEOcFU(!jUW%As5MR&ny} z8?{$TuiotS+-=lV5c>Iti{Hn-DgP7;HRIPV=PjRn*Ye~}g-d<SAOE(?*iKp#C3tkk zVofJ)!B2}*18vtw$4>D{>Bv+Si}$<w|4?AQ+xo=0v)749|4Lt&<o@wG$B&6`r(WC@ zr1N;n>N&E>`?lV%%74Zk@@u~2PqpJ$BNlTsS8igT(<(57Z7pmt4Kk3p_AKXnMrH<v z1}+8$A>_fd_@b0Vy{zK=Jot#(+o`enmkmVP-v1PJpE{w<<au`Fwy$|>YCNMGw;8Ck z=$Kn(+R9kp@QZZ({r<*Fx6MwPF$Y<9u6*@*j`{N+d&>6NF?|2x7NMQ{uvKU3x*1Qs zzWw^JwRh`B<4}Fm`DfQg$Ng{o@x(&ebBfA`K5o7B-{(5X9at$~@Ts9uQ0fY|4pT(> zPnP*p4`pslFgnhpSFui%r{G|*$^L+sZ`Wtf(olV%o3(k>w(ryQBNiqIR=iYbvkecN zX4iC_SDaPG&Hd&{Pqyj*a&tJ>J^Qhve!|zq!aF2<Y63IEYY*sDT@_u=$fwx%JM)^q z(s{YEo6k>ekq+ic$~L;HV(sB*9#mx6tfLy7_wekNiQ5>%Bd4%&&hbAM+iDyd>LV8C zvVHLaRw=v5Q#{qCwMi?mn&)Uu5IeIeXvKMvtzl9p)R(TzjM)`ewxCS?W%{z)QYWSc z3LV<H>OxESNyk>9^oK_$AG~=w@r9S(&J9wWx0XHdiTWhFa_cTG|GTTImWImhnCEk1 zb<_n5o;xQFU!6DkhlSrJ<x6kvbv{|~-Hg?`%F10M`u*FEw-?R-&fP8l&G*NL(z+v) zG&b3*9lmjo?^UMq5urHFXMe6_Z=5Y!>%K2cSz^7}sgLikzsp<5X)K?3VpZ5_ouzZ{ z_bvE*POK*K_v1txw<U{|*pr?~rEhsON$MZ#++dHBOR{uC_Y^JmU(C_rwpi+ITGBJ0 z-!oTiDw(rVBQE-Ekv^A~(p>G=&pK46bG&OeJ@oDO`=y(%T$%BCQu0T}6G?F#yC(nY zhzS03Wrrr`lxe$+c5ZxkBFD`1fmAFfch2uyJ$-XZD_g7AIe$LEd{V8@Qs({LV`k2i z-!44;JQ_q@e0_PouDx*9sg~Vocka!5%*4ubQrJjs`tApu;y*rwf7rRa&cD3(U5M=Y zzrDY!H_4VQf45=fvK4dp9<Ykuv}kU|E-S%*J8!;^d6Rq0_Vwyt@7~=Mc_&eC{H6U{ zr&;I!Z#P}aSN&W3ZROv;zr%S&SlPetJD0oX@gj|mMe?7w|9-lE>b4EmrR7mC4>!-w zN6k4;3fvM_@-r|@&}L*n9fmGXNke3s*te55@8SJ>e>JDXn+dxs=c}Cg;%|NV+?QXg zm6;zptF*9s-`jS(c0RAfYY)5Q(|Q&ESN?l_zyAMo`@Ey~_waA6zvTb+z4^b*oafB{ z1#Vx+wN(GlWV`D7m+te&w{)G``Y-bS;nuz@Az7bI&Eta-jVg-2zAak#fBDvTFPuH? zdspY3+qs&J?Y;J)z{7P5+Z19N7F^jBx2d$tVR36i^nwzDd3Wj-EIP8^>3Ef}X~pGE z+kY?Ltlsec-fDl%U*7}TPl=j`TP{!E-}1lJPhLiF$L!Bmd*iqG|Kh&Fll4uRd8)|) zjs*_k!3rV*HZP7}%zt}o*V3d=1rx2c9~VT#>@8<}ys=BEnkC%eP_}|ZRZ6d*;)K`t z4t{6e;&41>#lB7T8E(6d|NCh9QclD@eW|sf%(Ut6w#LiNnECE#K}_B*_Jb2|zLfjz zTo)x{sPx9MAg6B!_rZmckLp;CKkfW&C^J>_oqIvZx*hTd3vWKE<2nAcyV_vRRKs_N ziVDvC5aw}D?X5PDnQ8v-K;4QhMs2C@yjZi=t#S!9>AMmm*t_z~E%m?mn8W4nyq$gM z>s+6$I?Qt%e_BtiRl2=QO3zkp)wY^|qq-*DT=^@eZxDZSeQwI1>AzS1bH2Xy&1&V} zTiZkZ_nTh*ZSa({{r4%?tS+-C)AD+$bx*ecc>I7lc8>M=2t$oyu1ul_Cu;Mgypv22 zylwQIX}aB}?~4{NH*`pbU7J^MRJ7Mnb=9E*p7k4xK7G>`Te|9^ef-N$S|&5ATY5UG zGW4zlwerTsJTRV_J;Cki8(GFRD`z!u#djuNlM`JrrSaNFA)||TUfDmJ80>moFZP+s zt;Zg3#54ZQsa(C_ep{VP_-%vR1(Me~H+}2rwyU4o^r7+dn>X%@r!C`ndqe)+Rzt?U z&(>+2-`o11b*BJVV&AXms5ZUMop)99?f+bTT=MRX)Fv~%Yip%8bh6o&9rzLTDeJuc zi{18>zpF!@?R=hGA0_hWRqPqVKl9)06~1j)zW&gLb*FTnGw)fwdk^PghWRINyE803 z9NrXGur>AGzk;Ldr*_?+=^e}1y=wVRrPwzAyE5~87kn_y=VwkheEiJS*18E=?)H=Z zo-u65%smig8G111@X^gXRV<qd8?>Aw12ccP)GI4Y`_ujR$gJ@7fxaIyZWw;#-Jx&G zX%WpJ7VEKWe(e3Q`*)VsBt7@2bo8nGsH!+iVgtvEZJTCot=cd0_xd3tzPjs032IA< z6XZ&HjPh1m^V~dg{A|^O+G))Jy?J|QJBM9x|5E$5uy}p)hC<fm_ZC~SssC_u+x_nF z93`did@^hM54tYaGVFI($e#5;@(8zlXv$h&X*u0UNxNq!B_6&%e)qLC`*On)^*d+n z*d(v%)pG0*4n5kUa^v;qNBh)LE;7F6U}*3;$-mQ^=}3*pO3ADI6O$%Ke^3oGJH`G@ z{=2o#^6BNPd!NMp;7%?o$w_n83sFAr9wT!+H9~EdFaP7DKCVk%UkcWhg^N$QsBq<m zFpCYtqE6KZfzoR(o0!bbx!}!k^=j;ui5FXA!(9IB+J2MU$z+}CyWq9<OVRevcTOK$ z8Dd`ks@yW4@q_UcK}mPrbyN9XFLUht{ko&%$Ns+KO>EaLMqWH<b!eZ`;-~|*li0(r z_FP>cwv$s%IBJHQM@Tbo&LlCd=O3rc7Hp{veZOUb(CZ%tT89$PJZ#LJDf!huA;+*% zPfs?s#z{4Mu>xCER<Nh)(!)&abmv5G30k-2<EenUj`Fs`fE~}Z^zO#%{*!vGw&coL zafzuWUs#=#t9~(Ul+3Mdw3MEE_h-`cjof(`uD^cyQrGRgdzw$^;~6)@E?cx+3pGhq z3g5YGUiI|LOQRnjGCpj2?azlCPusJP;{1j34GT^9WOH>2Pk61ERFvK6m1G<HOe!^| zmNlEF|If7VN+*uh_ZY0p<o;7<yL8{tBNr{M{IKnBKk@#^<_D}=o%eslyIO8iTepu% z`OmWbD_Pp+7~C(h-KW4a=XiC?FZ1a+hI5$ab_tm!887JT_3+h5Q@*zEQn^;u-ur3X z3qA)L-@14saOv!o`Nz`3J^wtKq*%on&ThVVv)JzK-#vC&sO{z6&YkTRC|dn%$@imW z55GC>Uti##FaGTw%cA$;#~NG8f8YBdu)y-(N{`m4_R~9xl6=4Pa!s5+d3TfAvGr$n zxO=7E_^BX1b@ojDrY_y82iI*MOuD;bN&)K+fj7r?{%5N+yHo$zS?$j8)W2q4-`dX` zKi+%QFstdh#cA=1%@4mZ?F@CRzma=s<4voe$HiRU)(grOiT#*emw(0Y&0fJH_tu}$ z^r_mY6Sml$vmz~FQ`IE-vh1#>5qYOh=epKfzvDUfOY})n|IC^EbvNsyzC7^tJEyw# z(?8z4$9w9VZKUt*|EMT@@A0OoGh_HNdW&ls&85@yCqzH}Z1S7y<6o<Jz7rf{`cB)t zcS@E&Tku=@$^Y|Gtxn4yom-F|={PS`e)FT|tM}5L9i07fzIW8qztVS(Rf0UU2jrnW z@hR*z_q1+{Bg~!s^z#d*84~Hyckf;6JMTIF{vXDqV#!DBdz6+3+lPK;{=>A{bl%pV zjqH0Bx3KmteDddA!^FGX#$PnLoEYC<Kg7*%Tg+L`7O*NQ?BUw1AKdr)l?^p^mrU)H zZFa9p&r7-eW_J2w#>JjT<2di_y~S6(^K;TOvHxqf-rL}Gu;z75<)?|;e!uH}aoC8< z_f6u8lIv-YuN^j@s#bkZV`lySJztl6{3ZVD<@CqD^gkA@Jp5We@ZtN=2mhOXt<mQH z%RKi`w_G7-obt~nNxIL^g$N$|I$iyQU(TEI`)#*pWo>&TW}285e=SU(<=XA4u)@Xi zdmXoMf8iC@u$<=`cP_$HGQV<nA<Iwes;n;-h1(<c@YG&da6wq<H*4SI>sk98l(Id< zC$HhCKQ{SE{DND@-fvbGFRZlLb#HC*W&L~4=l0yp<_IdP;%(-eef>hl#|qwlLpJe> z`7KQOH`j4RFFw9YruQEA86KDETQt7>@ZjXGuT}duGwq$`nz?89?%Z3_Gdp@(Wti&5 zwa3?~mHoS$d*MLp^84%$CS-5XXxO&GusrW?N_|u&&&?T|uWeZR_<LQ?Bq6VITUGHJ z{hubMF=an%`K@=`;QPiS+lzTtdxWfLc(wDBpRM|yt1kswltm34x+PpqXS!;?@RHTm z-^d_-e*N?}Hc!v4W>?s9#7}aeZ{Dd0U&G>Sx4qYFY0sTge8XA2=%RvOV|zvNY|A;d zTRYZ>7x4%G+;wC9?ZasSj`OREn=MpXSEkNbt&#h>-7c=O{`a4M6L(czn-F>7oRnGg zsYqwdmu~vsW1TbO%}XXS8(H~^exAoAyejh1rbRPVjjFpMleR3MuIhF>>tjvjlZE~T z@g?$V+**-~HZ7f?YUe5Y{Ep|1_Vw%eCYr41$t%Cdv38qYT8GbyBstaSSu@<vOjCNc zaq~L%Eo#@^zEDnYR!dVh(o?=AFkxnJM?>JH&bT<$WRvyNj2@hRw{}g1?+1y%;}%ZW zLJa$+Eoc+kZRE4ScpbA<k27Dn%Ex_jBK1yZV>WKny0^f1;Y9NvJ(|yWgFNlCZdEp4 z-Ztq_O6kGk)Si;3FJ9(fvE<##`gE=Gl>T-5eATu2_bj=x#^wd@C&AZJeeYEwEYpJa z%L__hdFOs+S?O=Vz1!E^?0=E_`f7jrJj3(|Imf^hfy0GntJXv_KL6CwaHpw`b;)UE zrm|=&F$4X6j<^cP^QH5o5*BBOPd+7g{sZ$3CVwA|aF;ZfnM!8Gr-TE&P6geWsh?!B ze%3|i4{BSC>J;v`IUN(+w5+>ui;8K6UA*ygvG7(2sVfJcxC*e97}OfJvda1>r+Bkp z&WOBxa87iLXwr-@_6nEg__q%x-fE3Dk7Ip#@4e*eo%7$$Kl}MS$EA<IjKw;Sznk*s znejCLHM%jLdu*TP+Gf9qsM0^SY}=Y6S6^&3^G;@AshhXi?<?O?^)$6N{_<ZQf7sM+ zVZLzYft2~5INv+p?ohN0cNOVcBl^+fk8QgD88e&tGh$Sp7{wO3yQHXPD|(zw=4_Vh zRyC=TnRcNjsle)Aneq|kB#*OqcYeLHXYTFmkKf329=Tq8Z|Nt_PRn(1qBC#Z411LM zey5rDZw(F^-AkLk9A({ihReNhdwBEwn(2|Pv)^nq(!W{VI9c;~RP5=`swZz+#0MrD zUC|I^Sj^_;zI-E3`9ItJ>o3kZb7%cWmB*j2pKzHbec)<%%7mXSlWuMPoze7{NvV{T zV+PMk2Z!gZs&h8fZQ+iNpXq2CvgAfn;oeo3t{h_O-z6m0!Sgbq@oaS9L8i!fQTfeF z8B?;)RINKQci!grPD|D?Gfq}i<#=%>!|}ki@HPplVCH?@?C)<M+at$1w^JsQb?Ppy zumZ`TV_Z(guObd!56=itESX>z=kp?|$u*Yu@`)Q4514o{efGbh{7H4yKB?-O<(Dly zf3f(rukoAu+1uvUo_M8AhySXY>3@h{{5)Ri^=hLPjC)-7IH)pSm3;A2)#a6yfPrLC zQ^UFFi%kt{*PmG+wXS91q0R-X8yVZJKF14gJp4VpWX*<}Gt%pnY`0&u;q^7YVDhK+ z^}&lWEGvHftjsZNUq9O`PhOk#UuW!*k4#<cM^7mGvrJ|Rzkf%|-!%BeDesr3y-S~8 zKBs>8^!<CU)vWJ)c>5;(PGwboefFMrrLpSH?drEqY0mzzwMxCF`z8DD=*7uRzLR@a zEtoGn&+cbJefFM7n=}{A-mtP@dCmMw?7!O<3+umLB<v@7`(CuU%xuqT&o1|7HkTgW zICD*E$jZvY+Tv`<%&%47_?14rRG8kBkloYPt@Y;kqjvV-hqVD8SF3kiuE@M`UrKl1 zl23|}vp*I8`@Du@+2yGFQu0sdtjNE3a=WbfIqe(wr8Yk4$$I2>I<sZc*N4qt*M7`g zWhT1qcadH7DPukPHTk_go37nb?Voh^D%<Vo<f#)}3)BCm_;^oi=GnIWSn7?|xm=0Y zi|)4m?O2vjo82O0#J>1#s@XO5?R!o2PVGH^Yx3IK{cF3Dvw8eO<p2Ke+3sG^xZ|Zy z^AG?2>;l%g?feZN+gEX|Sdw@5=@XAf_od$JCzj01e>g$2pDE(^F>`_KJNO-@H%$}` zd*-)OdP9@S2iq_oR}Cq>rB4HS)|E`Uuh-jtXi`$c(=N%|DqC0IdDSK8&X`wfb#&wL zT#+O8x!h;gF5mL@{le<yvUy!9+k5Qh_|43yoPO>9#MCEpnSbTKns)vSo0WLS^83{5 zIg1a>DLi?*HphD9-L6G)w`I6{IdvDG)x4`TKR8PE=uOQnM)UHogiCDSvgwe?qV?vB zVqOIOEla=6dArtRS|MMh?<-4RpO?z_nDbI&ykFaM?Md^K`aIoJZ}EW!MJDB^>bd_X z#<t$<KEuE*VX`w}($vj2pMIYIcI}st>c=Zj|I!ceW@Hj!7D24A6WikN&&0r>$icwC z&cMq60*nj{3=NGSR!VY#UPW$BXb2|*bFKD?bPz^1;bd%Tl=I(18M7G~7^X2ZFbJTS zP|L)CSg{vhTvD2(R|Yn!TKhyg2%{Udi?vralaYZzm4$&p7{w@m7EGfuQXmcknFPY< zriCSZs@l!Kz_5b>VH(I&4UL~zkxeT|EK1CUdlO_52&0=;s6G8(EGXC*85ks?ZiATi zoDJEu%z%u_V*i2?y`t2DoWx3;{sk>>EPSFOC&t0RP^*e?Atdly*pbalO)Sc()T>BI z2Ac+2@d(1mE(Do2@5}1EWC;d_Iqaa38sw<F!jEJcL33<QWiOEoV_+!tVPxP(@oBaO zvN^>Wi3O?nU9>btQ<0H@;Q$l5|8~_OnG~OtpIDTF$6b&Gk&!hj%9H*xFn};Gin~M` zkWAAnNJ+x$Dzp1MD+<*a86wmf8F*04xn0kQNU(Ypd3cS{iF={A`U(TXnyU;9sLrWh z&V*!4DrlID6S7bhHQFHFS{z@+QYyvB0K%x|#MLk(nNwVnh{HV)bKVL5JGsn=fdPd1 zP`t%HodwC9iX@!r2x8DH?+4#485kHq7&ZPMokTV$C9Q%$NK1q+R2F1lVBiO1NQ#8y znZQ%XCMD-&<1`84rt3jmZoH=%7{D0Cb2Cs(Dk5M`eC-=i*)|3S5JvUe&8e(Nfs~h* zlUIx*xFItNYa%CmTn=Vr_}9&bmP>SG*pN(0Ni8E_66zvnNGL%{0n-9@B$FUZp7Eq4 z)D^=JgCN0eScq&8c>OR|b0A(roh*Tv194DY3z9iVQzv)~LmfXxHf%>bl3{w_(PR{J zSlK|17iSP>Sjon~Fm*aRgC2vcNnV<Pi<gsMkXLwdv9`I1v!zo&R*|zwhHG|2dZC}C RpL?=jrN3o_bF#6cEdU&q6Gi|4 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt new file mode 100644 index 0000000..f342ad6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt @@ -0,0 +1,160 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:26 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.249 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.098 | +| Device Static (W) | 0.151 | +| Effective TJA (C/W) | 3.3 | +| Max Ambient (C) | 84.2 | +| Junction Temperature (C) | 25.8 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.003 | 7 | --- | --- | +| Slice Logic | 0.001 | 1350 | --- | --- | +| LUT as Logic | 0.001 | 587 | 133800 | 0.44 | +| CARRY4 | <0.001 | 38 | 33450 | 0.11 | +| Register | <0.001 | 589 | 267600 | 0.22 | +| F7/F8 Muxes | <0.001 | 49 | 133800 | 0.04 | +| Others | 0.000 | 23 | --- | --- | +| Signals | 0.001 | 1011 | --- | --- | +| MMCM | 0.085 | 1 | 10 | 10.00 | +| I/O | 0.006 | 20 | 285 | 7.02 | +| Static Power | 0.151 | | | | +| Total | 0.249 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.037 | 0.007 | 0.031 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.078 | 0.047 | 0.031 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 3.3 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++--------------------+-------------------------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++--------------------+-------------------------------+-----------------+ +| CLK100MHZ | CLK100MHZ | 10.0 | +| clk_out1_clk_wiz_0 | clk_1/inst/clk_out1_clk_wiz_0 | 10.0 | +| clk_out3_clk_wiz_0 | clk_1/inst/clk_out3_clk_wiz_0 | 83.3 | +| clk_out4_clk_wiz_0 | clk_1/inst/clk_out4_clk_wiz_0 | 20.0 | +| clkfbout_clk_wiz_0 | clk_1/inst/clkfbout_clk_wiz_0 | 10.0 | ++--------------------+-------------------------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++-----------------------+-----------+ +| Name | Power (W) | ++-----------------------+-----------+ +| audioProc | 0.098 | +| clk_1 | 0.086 | +| inst | 0.086 | +| leftFir | 0.001 | +| firUnit_1 | 0.001 | +| operativeUnit_1 | 0.001 | +| rightFir | 0.002 | +| firUnit_1 | 0.002 | +| operativeUnit_1 | 0.002 | ++-----------------------+-----------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..47a948913cd882a476c55696062ff41ae3498b0e GIT binary patch literal 435332 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^PFuppz{McMnOK^VnIBM;pDcBjlY>Ek zL4rYnTjM6TDFXuog9U>ti1Gyyq5uEa*GD;Uv4tfkXXcfNGB7mQ%l@?bZKLWi>z=hP zNQo(kYYUQa;P7AwV2A|?rh<r45K#*vpe7`iR*0shJ+qg+Y4^)U^_qpFEyM&6mpBvh zjg3W(je{Lzxvnp^R_*1UYXva@#3j-MBU4cZ1_h`IXV3VMZi1l|l+se%ZI8$c`~ z-CziE!vT9)kQNe6aO7eSOHR%=G&U7Idu9z;MnIx7-_S^O*36k?nc&RD8J3)!SXvRE znNN0DKzx!^l$Z-iRys2stdIfdA*j$G`%?jeq0$;l8S$O})f5hW#LhXy1(6H}5Q zLBVx>u?><xKwJX;NMguR=3*<#FD*$;5#79@+FrItZ<np=-oiQ?Ev|r~{FKt<lFa<P zq8*G}d|YhKIr+)i#o#>4z$MJZ9h{SyoT}iHpPrcv7G&iT<KpoN4N*uehKjD3$|1q1 zz{VxO#pdi76clM9u&R|wf>D8+ONfgjC^bE^xFoem;KC{a2}T8WE>SLSH*<YA3kBcO ziqv8Oh-N-6el9lul8n?Mkj;z=_t?4kx!8j<)AJH@Kq3qZj9ffiEWW<Zz5-kl3<_dg zJY3A4`u+lQnIsq#<iVvt6Jug<L27blTBU+ZYH?<Io<cx=d1{e@Q)x<iYKcN=ab{k+ zjzV#2Nql}mYEfcIW?p)Ha(-S)Cdl)}3c4v^P4NXFP4P((O*UnTIi;xznRyD~i6te) zc1qJ(xI(!EDw53;jSLJ*ij&e!EKGC_g}9RQb8}ORk~0%?q}WR;3o?@vbCl$`xQq-8 zjdTsnbqx)LkV0CDrOZ&zK$mB8j+3d6Uyq{&hXaRDHzO}7eTgzKoN$zFi(KKP`f}zu zM_qfd5C>BRh6N55zZjjwb5m0?OLG-8d?9o|QGQxxPO7G_vGHVw0EQ@YE(t@Ul6*r` z1r0+Zg_3*)LsJEx#LCp7Vof0dm|jBzr3ym>B~4k7O$r<u90nW-92uMioE01mj2s<| z91|Ehq_nvh!-W{bq?iialo%bgnEf+yO+ke)IH|cVy^;~^3*v@?2qkGQPG=u)Ljwa} zk0>DoQ<i~2!BNSAODH)fJ3ha(#4sMjD9@~lHxQBqF%9)I^NLIKK}=L>be+cdbs|a2 zu35Fr3CT_q{5p}OWf>S2z+9V_lwVqcTPK<{*tJ?Oc1m$=ljmX(Vvzd5$i)q=0^>9D z@=HsEkU2^M94taSyi7}On+h<2N@xcq0S;y%9^OCy@0ba&f?VOCB*?)e#LHVz!)qyU zo>7*8!QWX)kb_Z(m-m3Qn5DoqkWiSjk^l#T5D)JbId&@n23ZD%2OyL9gm`#UW^OSN z0I6xPR}$dh7UJQZx<3pqBFJ%{U5JM_+PVy)je$W)kb_l-mp5N~vZX*5$W5&vvq6fs zcb+#BD3xVkc;%!d$iXJW%j>zc-%?;A$Z$cBo9=;Bfs!rMFH)PCxmZE*X($Atq;4^C zv4CP(hzl$Xj&Z1u!TLZhXJAkg;9wHs0cQZPU%(=a7$PA5F3Y!oIQ#)9oDdFRmStdY z00jXkcu|d(7vkaFW8P+g2ow<^9^Q2d>ug~n&_I#iyxtaJKP$|BxY1&0+5|W-MbLE# za$s>IQTAgx8`Ep(AtJzm8ETjz3rcTDK_qpDk&7cGskEddKd)E_&VnY@Ncr7nuyBGT z)e`gFNQoC@{2h&O3jqmP28IKUN)RVBrIlC+NXs%X+yIGyoVj#rmjyU*!3h<VEJN6Y z%>|%=3yp!tWxAGNMc@<w3d@6@Iu-&7pq%2QB*4KY#KXJr{T*`w9#C{UDj|n1DCshQ zQUEAX-fKExCcrPtz);{Qb(@(>ATuwsBr`E5vnn+noHd0oM5JCYa`BavXM!q)lA`>a zoYW#Ad30_1s0yG#lyP&52{Z>l)Ar)*aCmNpr0vK9Z_EY!Wf>Uef&2lArI`+_76M_i z3=C^PxeJ^tBbH8udmoZ3AJ+F;2(*AQ91AR0W?cJYDxf9Hz@Py#2^1pjJ)IT;5wZ*n z8$c!@(i=QPK;b{j${AudIQ&6L>Bvo8GXXYGtbsxelqlHp!r>y2LZ~WH-cn#E$bL&u zh6fcwGs{#h1&)G*TtQ9(naxnljg%?DUU}|V2oZu8Fw92_Ed_Rf+%yMd6vCM-vJ4Cj zpxg{9VFdWBECsfJOg90U4oW9&EbJBn9H1lsN=u;F?OnItT%bahf#HLb)CYDh_MFtT z61U7EAs9pI4=WdET4qsbUS>(Wp^zX{ULP(b^^B2=H#t8K6wy#c@)(NrQ4~Pq8Y$mE z<66nN6CP-gxDE^bWG28V%fKK2axf^aZO+)5LzAPD00+nm_V-#%1h~L;hY~dLGu{)2 z<Pdn`e^caOCLkosz%T)15Gbluw(G+a7INZ;W+O<Q&~|)>IXD+RaFCkJ#KjM7@RX%O zy{d@mRWv0yJ=^B3Weh6~ASq<x*1eVjEud&^0!1q*g*@K1*HWOBNtU4jEW|Fv%e!sm zCsTphplFqbMXO~<hJ^sHECWLTC?A6p4TIb+v_#Xr>xiYmRgh7uK&FFI$W4!>mI9MO zMhSz25a|fy25<oYN|IiT#t@^x$sJTLo^Y@-Lljb=goWw?ghB9X7-XIMie;7pGeFi! zfUE;mm4(*FECrr}9JLuF1oGcv0WLFvr65(RAR(}CKHk{}aRN9#K{*aJ@nAX%<nXrR z$Q2wYI9*pBwiK8G@?96mY*5?aS=~WPfnJac+Caex%DX$KcbN&WLmC2t99Z0h#pPK1 zhY<>pCIo6w4XpR1zOr+16lJDofb%?@CG{UA(+j~=Am#e!7`a{nQx%cf{_yVIXxV<> z)Mhh8IR(o02Up0O3-HM@FeHHTFOF;<@kj(M+p8{aLCf~dT@|Ed`zctmy%H9`V$b)} z1iqrx`5)FkH$u(#ljh&E6lepb%4Sfi1SQns@<)~e9U!3=kPs*he)Po1_g}YUqUZZa z>!a|T0;%_+i_cgJ+yEK124oZ{p<cMS#!_G!D2s`Kgb)c8qzX^I7Y?*R%lD`*Kp2FQ z@1Gu8X9+K{AdY&`dd^bd709}+AQyoAcklrxM!wH}bQ10xNOK=GJVE&$)lt~<{jQSd zmIBj2)^&rd1LgadYadt&^nrxhVfnsr@gB5%kLD&&zDIL8C^*slhY<>pe2*Gb;Cw$| z-jkZo$i-KjoD=Wq?-c455AIf=@v+uhpoTQK<OPKrIH7>bORxyE`vI+hk%b^NDO?0x zi-58;SOk;^!M!U`;}=N;;=+_fR2QP~2iS#5*!nD>y0B@byrqDeECYiCs8tCHp!v^E znhVIvGBCUV)z6^Rx3G7wmB49sS%w2eF3=w76}iJ!0-r%bH7-g59H6A#GU=F^fT1h{ zgA2$cP|`lp8EFk|k3hXIm^RB?fLoS<!2nb+f+8sF`6X)sF;M>(B!Z)Z^!LSacn1xV zCEq!3uo5`NCChMN1;|ODEIGqW$wJ^Pi!6hKunS5DL0p!BVF$=BpyXdxHqA<)n^l(K zfRKyOnLaKKR-t2kTwE+6l?AC>+~83#1r1-1Dorjf2*)omH<e2mGA5-Elvt9gpy69m zq+hJb#ipv@k(r($RLaHd6B@$Bt!rdxVs2t#Y-VD?#p+mGnU~DPX=<QnWNBn+W(;N; z7#J8B8%S`1A*%$Z0;>kIX{uU+xs@T|GFm@4C_XaUBsM-X-Y`DiK&TMEwII6;3~*Z; z{v_VqN|#`Z5ZP7|tu#RQDa)B~;;l3WdlY}*8tWMvnOK^c!hMNhWnX(Z34sf-6BM}d zcmmhZRL{`B)WqC?i_^qh&(hS`(8vrNqnr}3IJL?SFt?Hh_c$SgLHf>lCGk$lIoZ(= zJA~qJTfkwdXKZY2X<>@n{8m9XT;_ulh>=h+9`lX$ObpE}jZC;W4E0RSj7-ce(VV~U zju{D|W)6xeBT%U2<F(Yl+|1C>0A{I~1)8O+`^|_?9S}Q(>S1Hg*mIwWrJlK=g_(sp zB!QS48d;d5*}5f^-`vWAnB3<apO}&o1fKDVPfjc<s*H~}#GgDZ^^DC;EKLj{wuACM zdOAr9;3pxVjX(hn&ii$Q{cT`kZVb&B=0>KL=%F3r!f$S6PDJ*{>TSZ|Zfb6BXko;~ zX=15oY++zwXoME-^Oy3GAMOT1gag3T)X2ct0^$HOLqjuTGza*$@sS+>1PWg>JtGrK zOOWqP4fH_eunD^FkM{ErpVlGKE`+a0GBVRMGqErM`xi%%w9uLdSCIj=0AD?3ZlY&t zX=!P04h~Qd)6xVjK>x8ak&u=R;b|G4zbsAlEDg+zOhE|=hrc{GFyiu;0oV?q7DfWO z#Z=G2(7?zLRGwStnH!lJnxe;7OEIIll`V0lgl}n13AC7qH#LV96$V1hgq>k#U}R(t zD)ue(EKJNz%+L#~^|u(zt!#+Ow76UmgS&|4G%?h(Ff+9<u;Ah}G}5!Qu(UKp&oxb( zznNJ{AXjXe@lY>j#v9>HqoBgr*u>ZzlqYe-C4<*HGaS_>B)x#*5>I2xRL{`N*c?>g znpo-?n3-A_qBlV%w!AX4a-bvx<1^#UggO}U1f!{up0SassUaw@Sm;?AnwyxRm-}9G zUYc3CP?VnHGviG`buJ;NfE%Tt{9~zSXlQ6)itZE}_2*P@iW$f$1X`r#W|js<pww*% zDoYFu(F>4V%g1I!w@ASa07Lxg!NgL}!pP9f2oez%=EfGr=;^tQ`4Q#m!4!Wpz);V? z#L^tp2r+^9+#KB%45#jz5#I%XcpVhrE%=h5iG`lAp^>E_q!D0hU|?o!h*o54hu)#w z_vXZQ3n0F?v_yA>qSFoH;~iQK;7#==rg|pk29`!<;D(p6xuvl&da6Hfb%hG4-W(JO zcvHQho~f~gfvFk1+B7gli-XLJ6U6)83{s=v_q~yxxrvdH5v0p$Vqt1wg5JKj2|Ypu z-&=rukFU{SYN%&!U}0%y2=l##C3?!g$$Er@ln?Pf$l3_p<u8Yso`sQ-shJTMr=^*m ziJ5_gC3-#juj{axl_+fLQ$IPc#3<Sj+zK)#P@)*>nVVWzfSN(326|?OhQ?;-@u~mg z0OfhgLMR%4o5k2v&&1f&&>R%B271N@md57jNqlk0dNV5#6i*sJs%oJm+@S}m`7Mmh z4J-|~I4uqIOwEkVEzm1b)Bh{YtmH7}NI?RQ(U3SYA+}I}wvaJ8?ryRxiO&|$h92Iu z2x*BUjfh~klIVdLh@GIcSWO_R4D~Dw%#F=Kb+ECXv5~O_Mu19Jt}wGQA*xk^)&~`; zA=Pqo^lBGuxhZjN5p>%d3AAaAEcJ{H3@uDRHL$6kfvJJHDSCb>U%t%D%8EGau{COi z8VI|=(8$op98?CI>KPcDn_{#)PG&DPv$7;A{INPA8Fzl-Fw`?LF*PtX0hdNbX66Pa z=po>;Vu6{J0&<($C9xzi-oLaYJ~OW*+6Y|V8wugJ#Mr>h!qSY3)6!JW$jsEp6urpn zaG8(S5(`kxLdX(BQ&VG5I9gihS(=!dSfJPBn*ZnFv&0x&c^eBQ;t4JjJ#$M_12YTI zFp-(L8D`-VHg%qvl{`jhdFFuw3t|O+e;DW)7@HV?Y9&icJxdD<3lsFg5n&y?{xE`8 z70vjXU!cm*+|a}ll<mRIJ_`f%YWM`#95X9>;*vgE&Wn#XjL(cW0Tn;#_)7rL(14+- znTZLw61FfhHNfbN7~9P>vr+&R-^fd4^ixuE5-XGQN?^4HzGySlGc++aGzSkU8km4; zeY9qd+oc%<qs<Us|B=H$&%oT$(!!Vv#4<87z=*YV6K9xN$)co;_@H=yaJn#p4!aT> z3oy3SGcY$au>cL68|j%DnwnXn*M3s@Gt4L(3oyi+mksnxjEu}c%{NP9Jwpov14Hz5 z^WSy`zHDX$&dVkQ2OL0tH83zT12vP4^vo<xEif9V84@$hC>n4u1i1oFkKIVm#Msgt zseNpUo)<StO{FZ8fJdhBwwR5~^-PV-EDepgIE~HqOpVPA%+ZU_H^P&t&|(IU-4ZG_ zOf5`|%uT>u7Xt%BGXwM{^Yhh{C<_o{P^m#+02SUW1D6_>mX>B1U1#%e6V1pSK{g^Z za*t`hDSBlCwx8UQdm|yd17T)*hDH`f7NDUjGd*JyV*`v4LAk1lXaiwR&OY9tvIaCi zCsdBRiUZ9F8km@y8$)Uaa|;7gjPYUD|NUlG#>CVNLGi_<xzU!O+8dm@h_D#cF9r?9 znOj;Ipm!QvNVFKz+6N^lygAI+SkK(R$PheJXQ*drVQy}T-U?Ek(NBdO2CfnD%zeQE z9}xy7=IAcjccahDN}q_<ASCP|K_HYxpc*#OGq*4`vIHe5P^-fdvl<pV-DhT{OsE<L zg>?lfsmlaoq(13bpP7{j@u|xY(bplEyvz(uK;!6^dgi7kCZ_0h=acDuB&BQcWF|OW z6A`?I#uk?F;YDLZ^ok@rn7H6IBql1sgUhh8(GsK5((oY8E+gXXGBSkK2cX#`w3gLu zcjD|aCeAKHP!fd~zi4(%A<`}rV(o&?%!0gyo>qvp%M{;SH)#IR)Y#O-1d{K}j10_- z&?k46T9TCC5iLpF?HXfaJwp>y3j<Ko$`Dk6m>8m0U&=RnDIX^SH}Y^h!^Be0(7?hR zo_b6yP0$-QTNZXx)|vq4PJG3Jk-46Qv4I7s2MltLF{nk0maKNOb(2&qfcuePi}5$6 zjPxwbEiFJzX;6YTG&aMSQA=oRC*S)<psF5J9^)B}1SLQdOJhq@b1qIJ6FqZNP*O+p zzR2xX^pUz~NRf+wqRGfe&)Cq!0JKoV*ig^Nz|;VvsGqc~l?t^gxCtGEr_wPr&@(hK zu{1E@;xN%OH!w6aN6%!P46SBX{5eI*IoZj1C9qX6cqcH7jPwjG%`8AuM#e^Z2IioS z8CuABe`%&X6M-6|xbqNbHqXGw5VYC{l!y!r3^3L*xJNacSy>a&V8FJPA|5nvT8}4e zO%3%-j10`cZ70wmrlC1TH_a@#ks=2e3*m`e(0IDJff;BF&j>UxZDeAC-X%GBp%x=@ zjqtXCO-%Gmj0}woAZ=h115*nl^!j@Jl3J1q1@NE@xKO}54h&uVgjke=F%Dc+Qc1#` zgekn~jdxVtOwYs;Gy%!QX<@8qWMpB2(XXo!s3bJ1Zj66a-B=I2#0oO;11e`Qymq#! zocK|7h|h!wq)k&Z155A>D|nF0+!(zz&9<_TqO@rOnvsF-Fvh;h%g7KkpKNRjndme$ zHZ?auPfO>f7LYY&V`K@LF##3wgw}5u8G`2B3_(jhK{K5OX6EMT&RB1kOU`f#vMcZn z!kOt=n3`FD3JOaTJu^!K3ye;d_sSeXY0Olp1YcofpoiLb#q3>NUzBNPWk5t}2<bjS zI~SzcYHEZ&i$SWbkR}9awo>j_NEt<%t;Uw<ope(D3T?6CYovjyHk2_56ASbj9BeBI zV-ny|NN{;fM0XX@A2&5akJ_uC1Vu`B713)XVk7`D3}b>Zg?h3vla!GFh>!7hM=-+K z6k`~hL`xw<Kg1*{q%bx>kKE%RpOTPiAwf)FaMwT&G`b94lnAaIOflB{CYENB-~2X! zHNWxJP)2$d76zuESO$f?p@{)T>t)^h46<t|a2Eh?6=`CrXJ%$@WDJ_A2Zw@*33^Z0 z{RR0B00)8)5jhML0-#Yp3j@qzOfNj0v;iSVIR>f~NwV440zGe&Y%`=R1KCWtI5V?= zOnRA{8yg#;cYKvVekP$fgS3V~7L!(}7@;?a&V{CvQmBAue+`K!RLsCDD=qcR&5aE( zR=n&d%~FVG30rCg?iYcQm8pp-#^Rm?(kz9RDfr^m#8QvYXpX9TI!WCIM1mqIDPy)k zgbYc{M35#3C`IA(GN{x-t(h^(53tQ7BxOjF0$Gf&<TSAWjh+}7z=r5d4KR}O<e*ft zOHR=IwIPA=4NFi@$rv<tXKJozZeeJSF`(>MluY6H2G|{-u)wnpox>EA>?}<!xj2mU zEDVh-Fxn_?28m`?vKV9cAOYx-C`<f)H8j;TH#Rdgv*hBmFw!$J11%as8|?V=IG#ek zf+`E}>>u7?Gh@(7VH4OgYD)`4V~kFneNG%@^)}cQ`1&%Skp>Gh15@0q(1hEg3H4=+ z@HbFQK+6M7j4dtU1Nj&$B|n)(k?&DZ;{fc@1l&C;4nsWy10z$gM=kYCEG-R;&_`Do z`XULWEerfD5HmevLjwcQvVKs<)Y8%nV+oRcV<g!b8SG2^0c~uqXK7|+U<oZsjE#&i z%CH-U!zc@A@E9fDbZr9K9$;z!8pr~NgPA49)Ss$R2>Gr6<u0%*@TF^GJySz-Q&3fH zX`yFgXl{(rNB^`ukWjidz#rcxdKQL;;Lry9)6^7W?p(DzknH#ddlT;(E<-&dV?z^A zwz9;Lq>HEe67nNx8V>iUtf85ng@vK1DX3L#re|VkVrGoqrFqltYi6Z}yc{SvD84u& zGpz)6I9;?sY%~!q9U{gmU2}a&Y12WP&!B-V+&(n2&@(c#G%^Ow{DF2QnHgb>7)mty zP&WDvuKR>?ahFb@)xZXZ#zvsdgP{fX*^A8OUS?LBgu)r(Wl%laif`4Nv6-H^si`@5 z)trT%p@E?xM%rb0>}h7@NX+cDb3C@yny_AD7C~>Dni_C%;_~)8KMx|37sT5_jRgE` z0GcZVEl@SrGcq+b#%Nyb+2U$uWlO}E8g4HO1>^ItiJrN!xe0je(p=BX#1eDAWN4cU z@)$p)@hX&p$53N4Jp%(%V>1ITPB;^-Nz;D73E#>?*eqBj9$O3zK*O#k#s*xR=7xF} zrluAc>*XqbI+|ITg4$vz>l;f@4*k-13-*mqFG{S8FD^+eNrlW%SqP=#v)WkC613J9 zG?xI{iVm9FL-U}|D@QXcMdZwZWo@sa3BI`!4l_Ly17kB|BQ6d|4#cQ2Rvjk8f8dm9 zB!q9vHfYk#%mS1s%uMu5K-CC(Uv?LVgPD~Mp^$|HC=n^f&{)sd7?fnVIL$$gU{f=U zF2|a)w)oaQ8iVIe&GDv7LnBat8iN)98=L4EgQsNCqV3r|8+^7vmSkJvFF8R$W?}$J zm&OKqW|rodHI#d%H4!Bzq+1LsIq_vSLjye{Q*-cM8#4<%6VRy$=oKPgh&5hMfrl^6 zgfj4@cnduXGh-uApU})$&(hMw45J~JywBXsN(CcHpe-f_&wApY{WaG!GBGy;?O`{z z&@(bNH?Tk-2cOt(PFej2YRVYmZA%$j=vkT?nHoU52o?tBhUj^qw%LrLwv;j6)xe-G zu%(G9X!(kno{6cE1;*UgAw^TX(Fz_QHX;<QrY06fpsj+qqV@S26XK%P7=PX~(X%iy zF$e99H3c1yfThUHbTJ|9A9MV9&q&Y0($EyV5!gfzbU+8jz`-6)6C&~+c%%f}rpL3y z1GFC9)Y9C<l8Y0xp}^e87^530ve?)R`-U_8iw}$~^b9~tlR?YTLE9FL%`g`52vix9 zo%}#uH*g;r@BFHvxt@t7WEGLIsh+91k%<BNFs^utv6+<(>1{IT)&fxB){d`<WCYr5 z2U=jl#c67yXJBq*VuD^6R9YCBSvgbS1W;obynGaIF>9=6YH4Y1Y7DNSjEyZUFqRY< zA2Y<4ejzoKCH{s5X!_Q|#2D0H#*s^cISueOEWo+m&`>A`UukZnXJ%nyU~b99X=Vo6 zFORv%+w`iwnUw}cX^z%(Dhf_X1U0LL@Z}OyJ<#%3&^9<rGf<v1HbRfWW?y|AxrD$v zH$zK3bMU@8aPlxWFg3y0mf8DK&&<l6<Q6nMfr3+q5Z*q6sh)wMp_!!#7pJiyB*&o7 z|Ifa!M?{|iQsLt(MnNY8nVXq_Rz?|F=ouPeDMi2B&?6#!7zs5I*ga=x2HNUnZf4HK zX>6irVP<J!j=qxdzmzVqB@l9d3?8%wLPfX}DX4G-ZJx9M@69l=Fg3(j{nY$J$IMEf zP#R51EJ<{#gf;99h47a*MtUZersm+YI6$2W3o{G!YJXXy7G>oPsPO?lHX$3g?>P<4 z^^7eHO%084_n~_IHO;KlF}#o7;ED&?XN-62FDNa6&guc})-(jwpqPuYuK8+W8@K@l zZhU4mxKDw9cax=_i4kaJ3KyrDxgO|@6O4&D9eGWB<tL==ZGv}h%Fsy9)X>xzG~x-G zJ^?R<LTll3i)j*(Xp%BZobyZbN}{2imrUGI#bK#uXl!H&TJmddq-SAZWNwUJOa6GG zMr`&s5+aZ)42=vRnG)8?M)P0zQ8l7d1>VLX2e@Zz2-=YeW*J~CDs%QE##`Wy8G-F! zMn-xTCT1p}I>OXk&(hq`5@WC8%{&!~%5hLGFvTCZW_lLprskk!3%G_Yf|68-3S3a7 zVNB36Q_zN2-1+;4CGnOKNH%7m;I%X{!);lc6mgb;T8@N$W@2UpYJ=nIQyh^X-ZCP5 zW@>I^z{QEnvT||aEHjSJj3>fpre>DLxGhT~!7^feW(LX<xO^5#q-7@HPB-3i1(ZNc z&CK!mj1<d=3P#YvYFs`GC(>u8MEeX+0wKjRy7|lu+^i-h&ZyuskYz^rJJz5BBh5`f zJ1R_!u}=s57gHgkV-49f2kQ6XZBiTRnVK3{fEu5;tn0N?CZbM-Sck7O#9^*yVQ35< z=mE7Jur(Rv9F>Uh8Kk8E@)`aXriq@3v9THGlp0fWJp&_SBQx}E-eMmWDQ{td&j`aC z#>RS}Jy9m0opU(GN?u)3BqEF<V<q?}f{j3%+s!P%HIRj#k&(F>#>q@|^A#zs;LY&1 z4vE;1q_3_>%6uLq&hc7mXr^aiX>1DGTn9Rv&d?O|6qsMX<Vmp<+MvSMBsJGFGqf-P z4PN3((~UiHL_{sre_^;=znq4app!1mpleJ)9a4;TB2&GbnUzoq^uif^&oEejA(A+A zO%3#Ln~U`{C;VLzaOhZoR@{JgPl1g_Yedf#l_T60F~>W8YpQ2rXlZV22HyQ;WMp83 zvHWy7AAU=~BRR(Si)8~nBMUR|Y8hPpofsBbA_^~X9tN-3#ybdRpl57q0zNPkSIE6m zmnIx?7I?>tK)b&Tj6vi7CZ>8u#ui2xo7LxVOPX1UB2TXQ1c5i<#}imQ06LW0*c3Fe zg)6x9E=UlOFCf8%ck`5qo|%!6F~}+t922XJM<kFZR>A8L@y`jE>KPk>&glfFDRToe zGmJCE)22xf4t_KI3lKoVz80p2py^u+BRx~lIXUQKAUX09luy7I<DHo{vd}ZIurvgn z&4DWb-@Fq?4nSzRi0`;0&@8)&Dd-e8@B$f2V@ve8vPl=k@dY4c5Z456&Nl#!cN&6r zq+5bkt(q8NEXs9t!D|WRm>~ia1crJR=Eeq~W6eNbF}1+l-rU70PVDd;#vwzX-ZFu) zS7Spx3u7}w&^i@}3yd+=0WW<aM%k(=@UT3dMlfWI!pOjw3&O%2qi~55Bck|&Bpp!O z4R4FdK+nL;&=Rz(8gyI?wx;4%6EPx+5AfO}W4x_W3q4ayQ}93!u5~DPGen5+8F*w4 zJduTWwGU{{+St<Al8e*SOb<M)jJ{Vv?VT_Y)<Jv*3P?QGaTw|unwpt{I_;JgdS;eJ z=0@m4%euzGW>#7lW1DEx4=(<GuAp<C;!T9`wT3`pX=VsoT4Z5}-E-`QLPSIxBrJvS zEJ^{5&s!Lofo6#;%&|9GWVD3Ltjq}c4BMI%Lj!!<J&3iMtmQD4_(#nR&Gjrz%#FbN z(M|M>42?`N<_e;&2vXjs0Ux_c_&^W~3rjQ5wtHN4yicGYQRl4?swYkL%neK|KsFhJ z<|YkIOwk8^6D$SEsV9;4eS%JV7Ru&ga&zP2GBf~f0|#wH=MqlN$&N?6PZfLyAhuJ} znI#YxRJdOdz;V)cd{Jt8v_UN1s?9{t!ragdbZVE8iJp;>p#?_Yb^d>T!u2PiWBQCu zjm$tx@GMRB3=AxdF)VSvgx3<tOo1uEb@v7qrk0>R2F50OMivH`*4UipBWv9~TJ(WR zL%b_q3{CVbOf5`ILDRA3dgcZO7AEKou6tH|lqV!Je3L*N26~2O2Bt<9;A8eoO${(k za(Jr2OE_xrPBd|V4zD$^Fad9;FtIQ<!PpmAIhPx+9ncObzS7=Q&%n|U?|6=yC^r$M zJ-9t?Bov4Lcqc;>JwqcSOVDtRiGiMhA(kVj-%R5&vl54Qe?f;1BTa$gJwD6OM9;*) z&=S-XHv^q+Y+#OY(8sHXoK#4p;Q2_r3v`V@N7$NMfV*V|dX|<J78r*g^|WzNx<D7) zQo(m7g$1YyW(Jz0!PP6^QRP7H6@V*kL%c&YMxbH_)S82yy$52V4G7#zW+!FV5YnC` zPym_e85n?01?J*31D)7zW`fb1D}KO6g}4RRns}G98tIvs8k&ISnoSKr+pUZ+R!t=x zW5c(q0MacoG{9SVnClsvftJy6ahe$G8JZfIV%Sppiv?fqHv*65;_o6`=$Tp=8-aUx zhI%F@2Id$yK|GhkZwa^wYlOE!32Gu685lyACV<C}&|AxSml=sDVxU&x-Mj+ojT;z& zwxDqu8-teZ7#pDPXf4TMq<G}ioIqj)b@o8@0~e>ciJqakCFXL-azjQcBv$a*^7y*& zpa?dyGzM+(g+?&?wyAxc40ux!IMfN8_ikvcXJTYx2D%!?96acSd6vF<GXv#SvN`_c zV8(iurX~iUK_p{SP@f4iW7@L+H6^^x9PhnFpp`bp;MHJYmVpsQt^DfuFH;g0+CWP` zJR^x5pmlBr#-Npx=CHySt;A(q{R6MZz>Ag*4e;hu6FoBvOG6_IE>2v%vE+;&riAwj zfrtC>5BGvr>zNsX${o;V1Z+#oTa<p7;ydjdJlt!6f5!;u;4cG9V*}8tAPYTXb4x>v zX%ugR@1~UP7%|4%*#j+iv9tgmZ*6R%XJ}z*Y>r;|2?l*JCFhbG)OIs?G#cMwt%gQ= z=7yG*ph;^Zb3Fsl?F{HH@jLLz6z8o)hJ@1&`0zShDRjrCkHn@OQvxHdMtVjDmPVk( z-sZ-7mL_Iq7(<31mVPuP<NhMlAhjS=Kbu>cfUev!1}&?!urNXoPS)G+@g`yL0!Hw< zVw~ICI4tx`K#S@Oxi~?mFI$?MV5Hzj#cwG0DgjrR>zP^_TYz?gSQzM;n46kmtl7Gl z_>wYLfYtyR3I*Vv;^HvXGdDFiF*4`k02S^gmKaTy@BdzyTJaT><`k!zSY&%tf!74! z-BM;`1ZtX_fv%u4G0-zNFvL90hyTh83JVAVO9c${ER8M9jSRudVX=-SezkmIN_giW zxSj@g2=LV;Mta63rk0=+n{iEbURQsCw<ZCX?uN#AC$Krp^~?>8zzYk_P4&z{qb%r) zn7=)GPI-bM;0n-OtBC<<pa3-bZe(PJ(H5+HK%px@BmahYZz(m=Gd2d@)d0Gw!NkPE z2&0&|UH;tEN(Om)4s;2s59kO;=ry)N1-P>Xrx9qowxu~}nH6Zp-oVn#6upV}DDasn zN!!ni@Gdnr(=#$R0&k(hHDuVV@QjES5Pa1rw9|?2WC_q*f~l#20eHB@$j}ty*y6=c zo|>ZHYTyGJ?FL;90v?<oa0{9t=*Tupa|=r@P9sCmtwH7(cVYUkd1`9qK>pqd=uH&( zJ1{1Crj`a~mY@>fLeJ35+!CXoYx(xEDJ5(4L21?kZ>xn9G?HRsU~U0%g@KugA;x7e z_3s~=QnZl-><rLoAHMM5umEjfHv{jzFxNA*Ff_$Dm)P*>Lvl(I#Gn$Wp0EHlIq+}q zvCy+LGB5>Q9%E{$XJlezf-!;jUFo4IIagjFnjQGp+jE%fnVDIbflds-Rjf{5dXK`! zB&Z?=pT<GxAV)(BJtG4H@I^N!rh4Wk#)cT9ZBy#+nNqah3A_-RP_&pC85kKDa&ej& zfbR4$!MKB9)6Bc3WZcey94mN}D5x_78rA?`2MS_fEa}P6x=Uoif#v80@GuUzVsF5= z_Y1VM)y&Wgv;qKE`E_gk9dZH!mQyn0O+dFu;cKi~ni`lIm~e5LTk4scm>6Rm#W3;T zZE{*u@TdSe0pEH-4of{FLsJV-kI&3h&(Oli3}ZL!@yj>K$|0~&0B;a6GyoM`_y%6g z^h`k;I6)iWaCF)C?YW7!djRg?ni1Z41lk{B09k8ls%HT@5D9%=E&KRYQz8#u#=7&! zPzc}2JZ5@E28Q5kTunjOxSAT9qOZ{WJ?E;al`MQn-v#B`F0eOE3D{t0X$iWBofBe% zk&y-3@JFo{Asg_|$%3jGbI{g(@O~St)r?*C6)L0~@PHk@=`9X(&<u$wcupL2h?b?9 zA^HI3De)_&<ecn*l#9U5z*n4bnClrB8=8XZT?-t}m?wCd!n|xDgntgjNYBXB!W`5T zGPckIjs0VsJnH`Y5?Mh3zi>J;9$eS8;VD@;O!O=*jLkqNQyPLgYbK@``<AcQU!trn z1gdnwBRF^qH_*yBa|6&YAg*rs&F|;QN~G|l0lqH{?2;yYK>|A8z`z_7B%p?f1!#-{ zEk|Y;oTsRf3JMWpA-wTo2|8oh7&MoN(-D);Q64Yg1VXS+Z3=3Y;TkuU{dtCnKD80v z1uLLM=jH~6pmvU#p1GM3<}GBO_MR~%@B9`5{b<nMS#tx>ZU)e3t(m0-#^sn%tY;{1 zgB##o;$;rnX$f8-YHp%uYG`PVaemGH+EYY~lR!q@K-D*%Qyn?X^h^yvcb##8x<Ur# z2Bzldm0Cv7DasmCruc_Y%s@-p%s_M5h9;m}9WY&RQRftqtxDA6j$kbr=*{Q^4l*$@ zurvgnNQZ0lQJC6sQ=$$s0S%MjZ_0zVH5wX%*2d!+Sa8^M)D+j^0B~OccjFY)MKLf0 z<sS>sK@H}X7>7GIK0QQnA~wRGh)wm3&5cY!Q=zzq-`H6W5|N0(0~+8y0iNZapk2`x zhUOL~c=o9+J#fI3*j>>kcqctMO!X`+jf_A6YzVp#*}xFv-qXN<{iar~gbx=0E$7S6 zOU=kHi4P8nPb)1cEeZx5HU(aD1syEHQy_y*V>34~FaS+Qnu4}Q85?7)5Nh+<kGJs+ zo-e~c0RY;_YzRK0(8Ns7)ZEMnqje&tv5&AN`0sfI-Lq(H2<p$6fVOp*W6m<vJ=trD z<(6saR&#JdF~oZep}C%g5$H59E>2T(Jwr1yV>9$s-Uj*jtbop6;ycX|bRq(1>H^$D zwlFd=!B`Aak%P|)=sG3>t1=*6Z!XY?C}>RzMlak`WG}v27`iG0e31suVg!`7VD{je z=>pqB)G#5I-afc`0yjDE1*kb_-ogaDOA0i;ZfJ=yR>{SSFF+xa1%xUfLsN4z&@3Bh z9k7X+DaP3j&F}V5TwofS;dce7%?r8<i;L3;d|8;K1$uYr(f!@zxB}7S1r0>vU*G^* ziDzPN3_8!t!d%bL&;)ax%!Y%z$?A!~odK<l@pZ~L4M8XIT3DEYj=8ebvoJ6;#u)35 zblgq!kQ@3whRk?y%O7ta#7xh?$kZIPiWjuo#nRjyqqJD&u$!zt2&`EG8DOylwa^F@ z@@9sHrl4ySLCdhrLAM8>^+DK9?7~<6LNdG=p;=x_b4$=&F}PM?F(%-(1hNXt2=8z^ zX!OCr!U)upF$UcbZDL@8K7`Z7vy-eqg(oj?s1j~5nCV$s7?^{0HsCtcA%4?#qFW63 z*9?Hp$uKvw1ntN+!oK={QP*}N)(n6PGrVU*f-(-FZKWUiwwsc2mn@{(1Eo^DO)xV( zOG5(#&@usB4d}V=wh<MepdPUa-l;%CQ#}hqb3@Q{wxOAxk)@@fCHhVgx3$}do(hDv z9SC^O!qm_byg3xd2`h(uiT9qN5FyJzCmWgIK2XBIg*eMV=W>`3w#*bf=!h%gSZs;6 zj0m5ZnuG4)z-8G&8{#Yjoy$Rt&mdE3I1Z-_C&4med}anZAqtnzf{C;YbS?*RJ~P6d zK!QlHj5wcB!7|Xf9K`tyR951Mvp^z!2C|GepHaaw&`}x2co&XY=$TuZ8-NDS;OolK zYH^;0TZyOypoLXE-u(w0=6XiPhGw99_`oB|re+vJxy!pZn_5{C?xO~yj;X-vS<nO- zzCjLRU0_A}Dg(F^@Q&PqPT#XM2K5|p4QBg@Y^HeRmcTqV=!`={6B83IPEgmv)Y8Zj zeL|<><VFhHJD}<k+}<J3oHa5sG6O9c2Dt=VC+Eec4P?0lwK)skF@kTv5wus#)BseG zTA1ot8k<-eqxW<8|F5HLz|jKlS&5({YfQmwjB#zDo!+&Ei2kz?L0gQ$tKBSd9E`K7 zofup2FXrK})H5(OHa9oJJrn&sb`7QdHSl(8yek7t^ehd`%t1G9;)+y>H>)We!v#;} z;dO<%o}sBZctfwbnVvCdM<RM|J5vR61<8dTY7!@~5ZYYN6m(8CXnB>9p1Gwd=8@QO z6)VZgX|RGX9^A(PkDcJ#CdOf^XKZF<ZUI^qZmwryVQ7MJV#Y*?m8Mp%<QKsZml%SJ zAAB8p4r9=jGiJudT%4fnU}9m3agD>ddn?G9WrameX1t*RXlWD90WA(w(ES;pWAizU zafC*6{c=+)N0L(qYP1;Qz37<3OwZWZ!q~`=3v`XLv5BDt`eN#{ip$BI+``*41X*li zY-j?t*uofNYK!sk5;85uUkQRn5=~6ZEDb@&3|i`$nOaz4tX$gSvV`*aOK>X0)2{+; zV*!tsg4b4pS!gY=Pp=o7T4@s6gzp*@1X^zykGF9NTHau23ch?7bc=z7xiN;PlhYQP z5_6Vvat`=7yF5H=V+}zE3>$-wpujbwboc8*Q^H3lfc);72U;kIe;^0czO*y|Ek-jp z)3Y=;GQ~*8b=ww_Rmj0IG`Ng21Q&96OBPc-6BFpEG&n{qPn=vpPRRn#)|v691cuH` zjE%r$8?Fr!8rBQ&jn5fD#=`N3xH0H5XH(FCm8F56nUR?}Mta)7wtxyD4$eh2_|h8T zvDqW{=bKtt5LJ+38Jfj6T)|<iXJBGx4mvQ-!cxz~)ZEw@eG&Ng>GO%tRs`l!jr7b6 z%}qgDG0ecXPZ*-F?*GL(pVHP9IEh!|4sZ?&Jwrp#?mO_UbS9Q2#u!&VocTP@)XIXG zYCI^uC^a#~F(suawYV5|oesW5Z$^5?=4Rk|aZ6J@b2DSiX$h$}^GvZ^bqKlS1>9T3 ze_{~mJ{t>D@EszC7J9~}hGrJ%OAv0f&ZB(h!vycrJJ95ynFXkUVr-;mU}}bWuZDl| z9Nhi{Pu3cNI>opfAclIDh87l}^Gz*H^^8GVaL|u)`1XDlg(Ve%@pm&lQ$tJebQ-Rp z<`kSoRv`i}Ey3kBxW`b3CrumbnOm3{SilZw!n{2-k6{*Noj_xuI{ZT<W_re^mPVi{ zCPQOAQwz}5)M(9ttamesEyl1cClw+vM1yHR`dkRueoJDqHR>1*v<k&vpP1<x7=m~A za^h++th1R(R#Jdk9}ih#2QJ?5j?@_HnOlOU+MtJZVWx%KmNO~rJAxh2g>RvsrJjY6 zrG>d67wF_lBXe_0BlJSZ)_A6=l{=Q$NY2kIDay|Y&C4u_Hw0fBhI35^xS|Kwuy{kn z1hl5w7<8bRg@vA>rGX*F;_h<6nG}s(fu@4--$2U&x@o}3+z@`#5oU<oDxX1(-Xl12 zD)1B*CZGb@5;WUmVX0?kX<}%Eo>P(vXOP}^0WY>N66(R1EKKz*%`6N-x3S_{>%(d{ z!_>+XOR~r>NG(b%$t+7HCSic<5AdWkz7Y;iLqk1FQ%h6Om8GDg=1k2EFzU+f=ciGW zGQh)$_?Ie}=ouJ+cHePvS{msY8W~$+Y)dZOIE|bN8(wmNlBXg5o%LpVh9(x~pk3a^ zpw&Ev2FB=9fZgfS@V2_aZ4=OG>%^U+1-jMT*u)rgRjY})o+aoODNt(=`4p{a!)c~g z(&#%Joj`|jflkpfj1@{D^2ja|&_$`nmZ0SaMkadTa|1vF1<01wmQOXc5`k|v0G%HM zUN>eCi@)GE)iX0SHwK;WjB9-$+tVqO75t#+GXn1%!M7_JblL;xnlV$*Scs*Wi3!Hy zwZ+9#C`!v9ci^9z2W@Er-Py#&X@+BJzC>>_5wkMjy-NgE7n_3a?lJ{kooZpJXJBSv zhH<Uuvvrdw&o=l+V>nDf`NkBqR1%jfl%*$89{q;k!VF)x2DI4M)D(PVlck=KnSn9J zF?kc8OeCvY11{JN@gJE1Iwb<MoF8-$GiW%_$Pi=UGhfz3a$4g^TP_H6d_cz-n;C-& zbI_={iGd+To8uDC1j-YHCEkt?cvq7NXe0-`=g7ny;{qO;OZ_-^H9?jZnc|%aG1Id! zGqMD&U&6JJQ2$Urz6lp2@YX3q1H%3=1zooT_J^sFC3*vH?k?Q^FaocGwIo#Ufo{SD zZA$?U!5Euh+*mf}T_5G~Md;L0b4z1$Q2xbLVjaKOM{J2@MBwN@(A8-s7F--ApyRVJ zt9g!feRykF@PZ`*GgzQC>SpGk)t8{uVQ6e-hF*_<bm}8(j0M)3$c%>!BjRgff-dy} zZLj6x1YN^!Y+{aat62HQUecSG;I&gmLijfPo9dYvm>Gg9dUGQ^149FIjB^u==J%Ld z$$&;?QLZZnU+4uLtHrm3)>O~H+yuOG$q;nTwUMbI`m*OXrye{jtHD*9A%TQqW@cny zVggDihL%Q#7-!mFpV*Bzp@92E1jgb(1E5A0pmqy5_hA_Ty&Kj|dF}&Uenn)1&`i(J z$ifJ8#)yfTp0SCs0eDCjxsBH3+ii+^(2x`OK>EBAP*V->a1keHpR=Kn0eDHKrJk9A zfd$4vFlt6!6s&;-_e1e+(FC0>X$U@l2sCA8X=I6U5<pf%C#884JXVC)8Af{M24<$9 zMPs-&lc?Nir_dQj;9e-c&KHNNo~fz18EC)=w1fiNVvc2p+Q~_Ph_N$B=L_#<K+rmI zGh>h|!0U)i3^C$l=c`uAC)2>EL*ltCl*2;L(%9U>!W{QD1g(HpVt4p}8;f|Z6X7t{ zGcz$T2l*Ow`Gb*xF-GkkGOfkbN*LZ9$}cT}T_=J!ZJC275kM;!K&RDP7+{Wa9GTie zg;`-^yqO)eTFTVW9CUmku3FH$y@j0CC~_r8pbR%RGPVTG4}-P=TbdiA4^HQYwBRkn zq4fmbEMx{cBhm!l#<$hpE#zb&m^Yzgj`%llfrbu@Esa1UTV{GDh9;OdvCrphp~A=( z5oL;*o`Hp_k%<xb@Kbm<pA+e1Zr)YRlm`fby(b`77=Vw~Ho>u2>F7c#xWXKN^V%4^ z;l#*<3zQp-jLk3#Hj(BgvPyY)`I;FIUY>?;z6<0CGb0OgF3_}_v4N2x`t+jA-$p!* zC1^ti?~JvXp1HZH3FweHT(zp2P9ueR9slMo4pY#Dq-LO&3uqV-+foQF`+CYtJtOda z!nkL|%=Ju74J|?UQQ@k~{`uEYGy(*wP|XRHdzOZVmZrvBpd(exj4@B!>kF;LTkb(R zr})<%L6$$6o8VslG|{}4th5a)_(1EQKzlR@EC@3Kjs1b{ZZ^;}voyyn_|C7arbfXB zZav_g;xGc8@MLaa2|DD^$lS!(6f~BKT<!%;tfp|%2b|aOR{o}XhL#qV76#xW3Bh;T zqc0c~KTt)5Y+#CiMAjHIo^EMi1RhVfG&eB7=mO6UucE?`9O1kFK*xSqfDg&Xb>B-; zUj-3^3*chV1i!CAqhChmpj}ZG7J9~(CdL@E2-SV%RPZ&pe}Qi<+DOmL)WpKT1ZPsT zjw+*UF4_$5F@pwr2IiKQpxZOR^?|X8F?t8RcWWsT#|(mYLW6HKhYq{oZHa+SnK!ot zl}Lu5gRG4WFf!noGldjpKyU{W-&%0+p|&QVgpbP+nfnVU3wi<vzJlhjjEq5NN`lv9 z7#m~kZBI}vpfDJ~J3R3&&Nt9AwlJ|Y1t0ooVrFK9F$B9>FW;2n0|mhIU55CNA|hf~ zd>>yPSv3{%xHzb$!kave^$ZM+3_;6rERFQA7KvW$c@(va!E-~VLim!Wksj!f4$!fx zxDrQbRW4=8(-MEX!9>s005ma%yOTYAVGiXLl(8Y91BlEG4NVM9aW9ToV4rPj<&3%F zkL0xxc*jZ&^ejxxLCsbULp=ji3nPrJBmJkcaIet<r+;IiX#6V;EG@yq4CaP<CT12U z7~7_{XJ(jMNni}d7MCP}7tP`wx-ro+H8VB?O(0uX=$Tj=n3{m*bdei9g8u204c&lJ zHMqRN+XFGyGcz|g0v*MSYYU9u)fCElAjSrGvz9UF_FD^M+<m?7-DEicxvPgiYY`5E z_@)%H3OYoS+!$N~;%$P0oMCDSy7LZKRIIC|*csrlO}sS_Xav9zJPU(s1i&yog|fyN zs4Hd&-o!&7K+Mfe%s^*w;R=xckR(du1-v$aKo&7KH!%hEka0O9ALI;M%pwnc-4EIZ zO61fbREVc60$u86X=ZK=-mPG0V1_aJfBr)vDXl{AdFBKTHU*_d`~`#h*8~a+24kUa zd@HUD^h^wlK*vII80cYb3*GyeK!pWY;K~3z?2I>G7=w<9Faa%svoz8(HUiD@pe+); zQj|bhz5o^3hTsk}zI*|m4l*(^2W@CIGSo8#-7ttgQQD)IKw(oA93c645~V5V*jY=^ z$+sp3dX^SuMyBY)#Glj?h(34$JUwcN_cT0EE-*GSH38=W1JLSlwD5m;Kc0wvE$}Nr zjfC)d&qU7vTK^ka=$RTDnqsU;iJKNrwD%y3`Us3ZnHgA^n3;mszFHWX7-O8}q{tYD zck~I8j`7-IsAp_xU}9_v8q%~dH!?6q-*CIZG#alR;I$F>cRLyC85)6hV}O=&VZGbo zZFLk8lR@B~E4ags@4!^hDMhA+=7we<9~oL&7-KAtHJ6K`yd4G340xu%KpWePjg3J! zb%1WaGBq$X!8nFw)9Xm0Hlw5@g7<jkgO>*)R%Bzl(9?vA6Mke35>tXx0(s_Hpghvl zN&%yt3SKmj44Hlg-RenjxX>JY;Ic7};ld9mBB(K32p*|R!c**k#-)tGyCy9`cQ;vJ zEWA148jddsLzXES;-7;v(la*zr6us;ZIH9i(8`*?^<k7%enxmtzu*8Bb{6o$&e8y5 zldReKP!cvM8sTpN8-mXHw*;LL0q)+KSQw#~<+a@*WVN)ATH)XpFuqPU=m2$dW6&5Z zu4*mjc`#+2Y>MOk*2y3W<J}l<ZwR!_%+$!h2wZxan41}*4~8Fl7D$c*kmA7@@8UUQ zJyQcq15jJjQV(?08OF6oiwXkp%zA;RevJs$<Az3t=8$^a(7+shq+ND~KN0mfs6Yd+ zc`_2hH>3+{I)bht2H9qAVQ7qTLg&d^f6CGn{)-VoOPh@>!N+ZYXSP5yY-nXkg`+<e zicVv2PX=!(ZlY&qWN8Z8c81F-%G7ZRxW2?Ux?&7k^$gwzZfK%sX=!L|h+g0X`ubrT zUCAv@2am4c?=Be{8dz8wf}$MMTf#V5E^UD?DcvP#;|>3!K_f#ma39eGbacF_sTuls zjzyFY>5B%THshT>10`@1OEYk@7;6G&y5UV>UjW=C!8=F`Y7|%)fCh0uXQ~)l8lZ2> zNn7hpY5f6q1-_0ED61J8S%QX&ai#A+IUr|{+*5~_p5QHwMg)gy&5RArKs$wSIm0-E z8qTl~!n=<RypPocJg;M_XKG<+Y>eJZoDt+n@jf<4#|<=!k9ViIfu0e86^!41co4hA z5_6|G{@c6E^*|^5f|iVd&J{N^u)w&aW%?TrB1(kf(j@Sq%&^_kc!zk+^~@{{EkJub z3~>biwH$X!N6I0gkM~Rh&=MyLQ_wlCxOPXWMZ4o`F&lw9mIPL<8S8<@>OiZPa1D~V zMA(zla6uX*!{6^T)iVd}$ui{PG&j^UGd9B9wq53BPkaxIz{%gBP&GC%Gw0$o!Le|x zMA)7RwYm|w)r@yw3N&DAWCmJEhikz0R=gc$15@AuTkvE;EuO9!Xt%tDA^84xOFcsq z15=Fa3i5hwO|7hmD1K27CxBFgpfZL)C1h@DU}<Oo8bL7x4?UnYv=*mXkyQyH(x4GI z5b$+k3_x4-%uHb0*$ps;an__-QI-#k2rQlh9ZG6p2)a!N*LH5ttriqbn1Yjo3ErJP zhI*!kCZ?d9xxhV2P;8(@hE#_+zMVeMe!8g;{*7Z6riSL`;PEUYLqki9i-rUB%}uSa z?VCrPjSNOjZ{PwC+=j*5oCX~uWdy!S9@n7An;mA<C<DN&bqFLnV*?9IbDSx^&d`j) zL}w{fg(vG9g3e+wGBoDmG_laLFfld8*q0qtVrpt-PIA@<M}-mI9;>0AiG_&~sO@R2 zXK7?;gmIjeqL~Sv9xHe<#83!d!Dd15*cE;e6LJbRNc9aW*zg@zWdb^`%or57rj~k^ zmPVGw==<5&UKkT!vk^S^-Pjm(=`!w>a4Ys2Q@+r^$V3RwEi4>{dY~&4jLkqP+Q7oX z7-LIjd7d#5tIxoZOW>3~@Je*>-b-BN<<TS~D%79gnjCKh2CB(TjX)b0an<BUn+z#0 zxh?Q7z6QC%$QX1587^1Mt)PM{2%I@#3Yu;+2HnDgtATa-fj%_~SMab2zIEWBE7=T; zK#TG$jr2^+j7%{$YcD*ek8Q09XoSi!8ayHf+7?UPRx%??Jp)SvBhY4OT-*KsY|_WN z-QOq{?}{!CV?9eVu*slV6GL-zj4_u;M?K2tO2Ek+Z#NxueTTV)kr5Z@_(iOv>qRql zsZhcg5SR-yGB>aQ?Nl_=voJ9*GelqBf2C3fZ?_0swix0a%>`YsZfpRWZ^JPx5>cu{ zPQ45tkI0NS7wW`6hi+kJY-R=?Yz19tj}hn5d$lPZ1Ay$Z2UTNu<DKvlw!it>l*hZV zflxen@{G&S05pwa06Lsd7<BT6p?+pwafv>NnO|B0z3AD((h$^4H399Wvor)>DuX<G z#<yJ4)QUd`bTv8BWEq~@$vKSlObyK~LC5o%n(0{@Tbdc54{-A)she8qf{F)}ZM-Gr zneoteX-;a9esOY+b6!a_ByffBocRczECP)yg9bc7G)A9e;YT&1r>dbXu3T^s5}bcD zGS@Q&UAkbw#c2$hFfg_Nuf#(R!M=@ZxSL;~&9BkMv3MJJ&`1QYiN)$Ym2f;ZM1yCN z4TXsJkcp|KsU>J1mZ_exr7`68dSnl6x~WRQL#DC#=i5M=ZVW6yBZRm%-7MUyLU~Rw z!hiAw2WYOx*xcA0v{~E2(%1rHv9ZNO6^i!UfEKji-}6p*nZ=vU%J}!Z8wthY>6w5| zf;KY(EvN=rWo(YIj8i*S8OKRI(crxc1P)OFwH{3jK?`DWwH{xJDN>>J2<`)BgEJ)& z<(iqEnTdr7s1bqdfTD)a3OKwC&XPt1jt+u)+n5VcLZJ2UPrp^5g15mdK=7^8ffNp) z@V5kYjw~%PZe;(pRe^}Y4^$dM8*65GJA;G|tKr_LKu%{6QqY5HQM{uLppi!t(0W;% zBag|;6sXZK1`mJ`s&>pREiE9`j)einK^fL8B!)b}Gb;@&49!eHSApT!oO=F{JQWfh zc%}*8K^mZ<1pngjwR`1p*Ab9{g}{CkP!2OUvoyn<!xT5mP~I6N!WCxbpnW;Ginp%y zRB#1>ZE@f(DfkXiTwPMvI%z6IJb3jH-ZreMo*C%ubkNExbL<y*ZM!c?g__%#==0%> zK*NxxrbZ@QoVYrSjx!~&b{LIg@!nGdx~$9s-0{VAzR=p|;=~TZ;6KWUuvPn>5n~m& z#x@qJ1J{#?stL#9Ir#J}Y92#w>uvofM#RKmQf7&Beko!tT{@BWn}E&%HUQ;ub0g5{ zp2py%3dr^gHi%(c1_9b+Qk0rbsFi7KVqgZE2rvd63U6U<h~5#g@)e~*S~Vx=3}XvR zP=gwmGi=<c;S4kUM?HYDi7~jVhikNc;y+<()SKWY3%*$k!t-SBjtP@>+#O`1jL?t- zXn~gzXkZoVB-uV^VRD8fkmktD2s;2YAI$;E^q}D%w1#7#6QvHYAiA?>tY>avWD45X zXAHWh+R)StG_;PK0Rxus<F3eIO=Wxw&<IbBuz%(?wX!bCOwTBB%Pi7Q%PfMP6Pu5A zZY;KGD)4X{{-sHV1|~+J<BW}s^-Rny3{B7%eLJS}kiIkt(ptrz^NsY(j7^O|cT(dz zt!ev2Zc1ll!2ytorw0g1W{^8Kado!mq;U~Zje+YHQ~ZStWQYsYv4__!XsO$N4hJ;~ z8Sw5Yy!`|d&>{#!&@etOr|7<7rG`_$Q{n^$A&o4JOwGUx@{CPQjWBjr`?fGsJO~Mn z9&n!p&q93;&^WA#1*lF0pWFo+PC|<y*9VM5Y)=3W{(?(-ynDw$3&}wTh~r*J&Uo;@ zi4`S#$Bc~d9&gHFq-SDiW?%^3S7K;lXl#MLG*95cKNBl^B4%c>9xWdaUJ{4@Mt1`} zBMUPV&=LOzdPc?;CK!jC3da61v64qVE!icpBr)E<v?M+=uOu2A*oJtw>lo-6nV1?I zfVaq5m|9|<Y-m35w+Yc>uHZQv6THi+4D?JbEX_d)$;3?00(@Q*T0*+c`^&^i0jH-R z=Zu=;yWN(<0MsZn1XWKa#-Igsmd5BUxFF_V#Cr=m5{hrG8RRW9bI80a*5-lqo1Z3D zn#jHi#&V@QIL5$xP4V`oK${E=O+csq;950Sz5Az$l_N2ABlgsp8E*_O6Y$lL;Iv~2 znqRlH)H5{(oxF(_l}0arm{^&DhKTSD*t-S$#-|r0R>mV;cw#1$j;Cre)iXCTH!w8E zeR*rm#qTCoDi}7yHt!%>U7+PSM9wf8fEG-cfet;x)nU{x`fh^lKyi~;ym<mN^I-{Y zYM6mmbsHFA>}o%B@Dt%Yf&Y#rh$WzlG;vuXuoJH(kd;LicrzYoTcx>ysUa7qDULau z6Kt=D&v?*wIo_RYMtUX&#zvr3>!2O+rUn>?zoyTBX=3F}epY}K)S&T2yo(UP3)nzM z5aDW{n>~9$VU{!kw_XUj!r0INblnoD`G%#Juz;CjSAa)*%JF1R6FmzvV{li+LJ#Zm zvKeomnOK=(R-MpUJZLi>TeF?$`6xq6Jp*$i3k%R}rKKLIv;c1{K<=V+q&zdRlEW+` zKvifaxQk*CD@5deLPHCXpTSo!!M7Ho?I%>e^Vo#YenR6|yc^m<dqmC64a~VX4E4-S zOwG;FyCh{Dk4>!fF}#E}Lkd6U2wdHm6FgeUz{mi41E!go8ODBIeS-(2*a>bUfEp#B zQkURRlc9wk=z;^#JO!?B<>z}qFkFpd@p{rw&&V89qkx;6CWfY#hUiQF4c^@&!jtfY z?$BNy@rlR8z!H2#7%oq0C)^|GNfQFIr{G4l3Fx3!T-{3ZWp^koqQGr?yp0!#Ge8|{ zT+T2Hrq~(aX+L~r6lDI(0Qcm0{q$R8wq4BeuJAR|voJ6=03BgtWUgmvVQGYM?_*T{ zEfXt6j7$t`yF?q4n7YjMEDX&pK<C}#N?ldf*9oRB(^&k=-wgE3jVvuK3~>&S9iDNG z(!>RxU?MhCfo@+iGzB$J&5ZRdElnV|2qRYj`rj@R^tKuCH_jNE>lvGy8-Q*%z|}xZ zcySSH1JN{=(8g*rV`CH0;l{Y~Oy-n}6!wQr@m4(sdIpBZ=7!+02m@m?0|WFDGRgD; z5hWzN>LED|8yXpygZ7Q!ip)Fb&k>AFbNtnSfu4b>r8($gb<i<_#ug?Rn|N<HpCiSS z;QAGGUIuY>of&BDra5Q@6fRF*mpe<)lNQ7`TFmq;4Gj!TK`RPDyB|!AG1^EDE@uc@ zXc;S1K-|QQA-KzL4q9J<%ZJLhPU9>5z|As41CneswFF;kg3HE_%ZaejkTe?&!M8== zvT@M_B5X7w$wm_kQ_y91xC;1Btwh*pOqz{mmY_RiarsfIkq8@2NVL(Qv+9k1(% zu+fw>8%-@i^TW78^<ym&Hky%WqdDl723$5a5@Vw|sWyVnPQzuRKQT61kZ7YB=(tc^ zHd+y5BWY!hiK&^nCGM1?PlSy|q?I`!8%=TBs7;KGq?I`!8$rD^90j>1F*cG|=9rq9 z<Ec7`vXR6xhYB{5Smsc{MpDZhGtfyBxN?UEG4V)hnM1jaq?S39+emVmLvg5*Ugm&~ zMaPjJiHS#J(#sr5Z8VG}bOw!ysS#+yB&e+nnw>-&@i+f^%*09yqwk6~00low&KU1# zvVoq7xv?o|><QN*x}`^s5HXsZn37WD2c8+rj5ow<qluoWv86evC53DJn!At~8=-p; zAo~>Y?=CU`4IJXzX`*`NunC0+14BDo#9p0h2wtscX>N*pX`H~%Jy<)kW(4+98R{9E zS(urbK}IkwEHUmm`?hEg<)iiBL8u};Bh&_Z24-ev2B6$x4mu;#9Akoz$!Rw!Jw3=Q zI{q1DLr`+IFtfzzcZJAZ6wfG|;9W#yqGtr!)?>!SX=15oY+`AOF<TNiYp02o4RNz2 znCFRrr!Mi&8JZdyS%NZ{p#kXr3R4sGnb{wkc91#lW+X)HI)6h`JwpRi6HtwSYn}g@ z@@*z~E+RGtb+TE4eM2L-xOI&TP0UR!j6o}`SsjZj^OCtZK?kN7ni+%pD>xRsrp(-8 zVx@&|Mi|`LHHd}n#EA!YvI*~EFf`FKH#Rph1MMouu{-M|>n0Pl-B~1Dtqiun$jlIQ z^DB<4l}~@$h_!q$k0o$CIcQ}G=uQ*p_$>M;lbrfS6DwJa`UNBa-GxB>gsvfIgSe?V z_}n8L-e3t{gVh@rpix2GxAH*dT0ukHxaL}yeqC*1rHCB);Q9%+aszr_D`+({AzMJ> z`M7L(^%=h{;PEL#;^)*r>-SBKK^v_N&Gk%;Of3vS6Hv%Qs{fo<5tvgmizRS01)&n^ z82>60D+|oYLyT2_&hc0ZD5BSggL1Hur3vW#YFwkar4=i&rVh(kp;Th?sxfE?4pbrH zT!qfUxx&Os6n-rq{FZXiC>ROWSeP0bfM-K-B=ti9%duNyNRn?XjEoG7L01~$u;$~p zW!SAT0%a-CI4KbYoUxv{r6Kqpd0bJWG-Daos4<KcDhEdm;h9GxJu?dv1JJmEnVFt} znW>pEXs0uBV*g}5)5J;xRQBLo-cl5tk_alv@isgS^$ZLQ%|NG3;c9qZW1B%lQy1Fs z1aC$)Bz8SNXimY<)Y!lR_j-OFz3D_Whfvn@gNHA0mtF>X#>NJg7NC7PW_sop<_2cy zclOMiHkEiELmOa3?jSHU0yl&}L+zlpfQ5xIc>5c2<jTfRHo<aD1?V6}&<+A3x5XM7 z>Y17t7=R|<42?j?tQZ)98a&7rX{2=%C^89zq%r6a8FSEJtf7IPsfm%98K_i7z7!*3 zK^O5M37()g62jk#voJ6>G6OZALC4mZW47Wp)-{vfii38S@b8^7Gd8sV^-K)S^h}LF z$8w|fKzlAXnpkNQ%9NRTCGkPUCEznL@vnO|1YO)>z{P1~pl5DjfO+`Cil8DBD@{W7 zxdsKr`^RU-<3Gg~bO4*7xf!H+Ze(m^V2FOar-W-V1<iACzbFe_{t+x?IV|;zjg2iW zOu0C5wULt>67jW>P4F*fG0-zGGBJlNJv9KWokaI*U1cH>We$8s5!{$D!&}N4=vkT? zS%OZ`Ff`UPH8(NDI0f5YJ(k3rg8w=w4g)>VC<*Ae4A3QESk`dAw27v)dk-!9^10ZY z9fN`*O|W)JIL!1wr-^}9Jm6RwHg$g(T8G3ruO!|nIVam7J~J;ZwWugHB|hFjKQlf) zIkBjy67S&yhI$r8hTtBy5$J4Y14E4KhEpztm{`fe+cl`|UvOj^<6SjL*oIwaL-4Me z1m8PpLTK9C$ixh^x)3yEU~X)No=C<12a%jejqxr<G5{Y02D&iA&{)sNz|aum)XnH+ z#Mp_t7zzJLp9XrC7NF^FE>6&)o2HfqmgtpncM~yoqGm=@p>VuoFvdn^plx;>pdnIY zbBwdoMJ@-JScxL{k$i%{cfZHuKiCYkRKd&~v^&t!QqRP~(8vNk(w-jn$JUF4tUm@1 zmKozc1IIwm&;qm`fs519OwZiV)D+{Q-JA10v8~Ma%mXjXhpgZsH27(1Y;FQtZER_* zXKV@@OF*mXtODGLD65bMKaD__lo8d&2Ho9jXkY|7`_;r!&&1Ni7<?KFa=V4$gR=?g zC)0si!k}vt+8K$ghOi$Zhv5(lVuq|xjw^(@B#vl@7?>HFfz~eII`hW%uoL>IiV=9# z6z+i{&_&G#=4PN(O1Q?jBdQ!J8WjYcI%xsANEELt42{f<3_$C)p#v}I=Wrhkw5M!b z7VHerD(V(SF1#)=urvkVs%e7btOCtyI}<AhqWb^7r8y-*sl}x^C7|s#;7ThVa=*C& zXg?YuXMk7f;c^C3shx?H3o)q<`wk1JJ3t`<8MY+UBjqsHvoHtmNaV24GcmR_u|V&S zX4}{i=t-Ewf{wky<8L!POEV+z5;k1N$6b45Wn$$@y1(IPZ-Lj-8-kmn?F5p7k%6(H zg%N766uDpXWWFWki4wLC4^OC=>lvDv8-d&9I8H78y2*kXp#omES_>|ah)53DPUl80 z81ri^h-jO@+6l1ou$e%l7#bNEfI6GF%B#X^bBfC=@JaD_BgDYc%)-!+ixXG&)O=({ zc_~5cGIb7fJyT<IjDx9=<Dy*J4BNUkP`@!ezbFM9_=e`-*)u%;Hq$dVGcf=STj1K0 zD>up1#LAK6(h)s(5-uK%4M5jN;S7HTJyR+ak3{T215X&>UdAnTT-U_P3>3`x#^|tZ zK{GVO+e|mqGqy0b0Nns;Vxb2*01xAKL3URiBC;Q9li30^S&TOUm>C-yfSL=q5`g1F zEh;1cQ=vTKN+B~nb7NER5i{lnddA?bk7)Z|T6QX7TS5wo5_rnDAe`_GObsn8LFdQd zIFrMtM2QOiHX~R+8W<ZJfOeMRIt}CQCq-)11mI0p_{ZSPEG@z3om!abSz4Nynu3mC zK&~C9h$@mk1_$19XN>n~IM7%%DA$3`ud)OKw2q1R4tWzRX;1{C<T;RlYaVzI4Bs_0 z#2K$oL<<CDA#_&IkYKzTnizo=ws7K#S1nIDYQ!se3j_X(+KkOjK{vW{80ndr8JHV_ zT6xIPdPhhO->8Nm^sd2Hyw$jwo}rPUp)qJVEsp%uwpW%4`N^EXbgwz6v1tHajsV)M zh;ahvu^wp?D;eZ50MM9}59nA?*a@HbhHqh=8BkIMC0Pvf<4#GDvR=uQ$o+sEW_l(D zCdQ!dGjcNtxj~S>Q;Kkdz#^8wIE1m0sihHkMTxP6k+CuQK!;ecB;K?F&h13Ea?JFM zjg3q}7Ymz$&Vv9AC!&@0;(sLwd&-i~8USN6V+%9TxU(hpqr$>3NZ?EqP#+P<VFnhU z!)rLemm->h50665VF|ay@rD|7o`T4Bx|yDlxsjzATHhKuDQxc%C!(Q%GP!0HD}?W$ zJ<zDLC3I{Zv?Iv?eK;?ZPaNC$6m0zgcx2R2C=uKSAUsuIre_E~$^o^fhU`Vn8c`D~ zA^2dgXBfD_YZOa-GsDo#z}N_MTrTLMFf2(==86cxW`-rf>||*O+P%&Jx@XPQ2s~AX zoG=bA1=)dI8ljF_LlXv}9+a7dxuuCYYG5H-Q#4J4;vN+EWI#L(Npn3j&}f1IZdY8J zEJAtb8r-49cc>TPDKEbq5%Sl2m<SP!3{W))nsLK1+~g%KOmSp@k66PS8KxFS=Ad;o zxJF%e^$L;IokH!`frmN>4Z4_`TbP=I){fx_5RXbB%F_k7d5gb^VhXB4!A)&k2Qg&` z2vVVmVnLv3XsTyyVqjos!NrNo9l;U&)My%lPj?_taT%H$S%A0y8=6|0U@V@EY2(9N zae=2c2sMR4;cjSx&%Ygp+|=+dI5iR)4F+}kK-2xWy1P<exX2mjLCY6Hnc$j^;Fz1K zp1Fycr72q3ja<<+UFX8n_W`XOA+lqQIO7J_RuKIdE^IX(Y@ax|hBXAOQw7cV5aTri zLqj9bnr~x06JyZHOlXZeo;{p|8+Qh=LKBFc+A-BLGq*G_H{;?2Et4^{GyxyGfE=3Z zjXAOAaMXiBK%+PLd8rxsCGo*Q@dZVx#i@BE;2Q_QVPFs|G?B_qa>CO4B-KrXN<Tvj zQ&UjN$5ragTF8nuY_P0@M4kshP5FkF#P(=R^-L^`O)WudeoXYh$pbV+gdADRs#pl8 z4`YHGObkqnjiKXt#ugT!)l$f9qaDu7q;%Mb?%RM$Jxe3d8QG?w=~fFP@OlMg@7-O; zM9_OihJ**QOpVPAL6g(CPIYbC_{W&?5nKZTwVIipsj;CcXpK0o0gt1Ve~hUx(F49G zh{zc(Q#~U?Q%eIAE>08BiUczwQ&5K%ITAG3{upCf{|%nGhh_G7Jc|wt^-PS7!5h#` zaC9zHHGdf68gYQO?}<Bsg2P15(#*mbv`XH@T+hhNz{mi!E)Ur^bNN3QV>#&qG;ReS z8U<}UjRDtAg!^G8dX}bUhM+6LP4x^-O)SAf(#RI>sCaK|#aB?8Q=DpIk?m0h3NivC z&L(=srUsUv^DuFpH8)}UOJmB;nll0?l198ERwjDJhTuDaIB~h-Y43Am%8rCIAhs|x z(K9nMG6o&MXN04&EOUEEFlr6WVugrZeE_<H+{hS|UvaHIh>W;zjJBu4n9y{ush+u+ zkuhjK30Ez1W$!&|lmg(19Q-w&iJq~6u^H&}4O|gydj2k@2@UKHLJ7^t+`<@i7A-D! z^fla}Frk4L4dUq#n(CQZSc1a>SG??ecbf{egrQJ1xM(5RI>f#)61iw$iMnk}>_MTR zVh6McsGrzonTejUr2+V!baOq>(qm)Lcowql{c=~Z1_w!vG9wb&uEe>B;&!4D(d~B= zJ!3NyQ)3J85<bw)J>ao!<S^2Ee2H+8WJqXm7St>SAK!|j{+#yaG|u`Hx-9{JAJ@bH zRPTe1kuov0G`0lQnaB-5?YiT}_>PAqZUETQ!rTaaNv08KJvsW!neet_c<q4pqwB$W zhnQ;F(7+V3lG;+w+|=9><E}5J)MLh021Lx-2gMhc=7O^iX!{SD4uD=cgPb<Vae!g0 z5Sb1zhwS^M)B#4Z&>b$MM}dVUsAGZQ0DY38z=CZ1Eeyc3wG`TK2|hIp)Vn7=0C1#1 zLo6Lzj1DDgB5WYO5J$-dxKbghPB0w!P%t7tCs<%?p@d{b(&E9Kd<S5563B6Y1=$X; zFaTeyfRPmpNDBc=vK?S<ZfJ%!(#1eVacoR>VPI|!zNHyC1i%g;tuQjDARCxrEF}dy zfYh95OmZB6rWrt0qNzDXSM^T{=~bc$>0tnOfrTmhcox_Nq=tbB$zcFm#0UxlGh++T zZg=El@C6(Oq-6v%Qqv*mC{h!U15C}phcO^K;1$RLq@_bM5(B^lG_(M+-^9qw6x7&5 zw*N^SC=rkr0H!1cfC=cpPKW~xEkG?nWCtXI96(wCn8pebIot)>P7k>b&&UEi90xvI z8QFSiiDUSOyGUvR8CaT{gQn0yo;9#EFh@`DiqDQ3Tao5jl9IcDrKuU{tU0j#78c-B z?vZ_a`pHpaD-z3nlUU;Sw3z4_n45yTNG6t`0Xbug@J@Ym#F)%IEr#HmKZ$LO5#<mv z_p}%iT;*VDXb8I5)kx34*w_+d`HODsUVObDL+EuF_=Z^x^b9P`Ah*!t=q4U)-Gj56 z2;R?VL}=xci4o{N8V*A}3qwm&aLI+-O^nIkgVz&aJBXegFxIoMG&cjC1!-icXKZ3@ zY=~Y^tP9>luybuhd~cpg7Lw2?F$Ue{ZVc<$n_5_6Z1{5S-$`omKthXvNc%}F9*ha) z1rq}c14BbD4of{#3o}S71UVT==<URpj368NU<VHo97O}Is4zD)GXh;4ZD3+(X^!3r zwe8w!jQ>gkf?EVk^vq1m%uGzVaE_D5%-u}kFsdPVSr3sD*~WV229_3}IdkMWeB^Rp z%5k$XmYWe!ra=s1L5FMM88S7|Gcz=?01aj18n&IEw287|TSHT!BqE1=jP=Y+49r36 zKTS;aKu4lrOeZ$JT5oJ63||qKUs?hl)*+>cFf%YP2VLl5ZmI`g+=?8oIltEuER#TI z3=$oYCZK%|2H;x~;H!0!E!?+t1;L0Ue$Ah;9yRPUCVGt_b?h^tvwfy?w$F@EMl{wl zG&TUQTmYRb15TJ|`vbg%R#2D`%?M0df=)OvFa_;r#pQ-;?aL`G1c_L~W~^suYHntM zmSvDDn0xycQR)uxmIC~9TA-_uj4i<{ZH%z5sg5k0Php&x3zdNjM<QxL0~0gwc{LW6 zdM2O)*g#txkjs(1W|NJHIanz<2UKPfTnTMp4p~rQVs31Raff2gqDjVh?$Cqorhwdx zOn8{pSPyi$2k2O2(6)I4OH=R(F35gUFPubbD~g2SMI!Adu@yz&`Wyp2Q!`T|6C*B8 za|=CV&=P7;KOQ-iuRhvqO!!`+q|##Ws;y|~It$22H3ZWW;zkv7BR$Y9bl^iRk;8mb zLA$Y)45&SUvLOk)QqDCGoQCkvNf}!h8<`k$aTw`Yn3))X$7ztgcU`>&Un|iNx}2VX z9j2DXCI%ooj7&^T!9#+`cHDPt!fOZk*d{{r%Eo%eX6B}#O^dk7#pT*fl$J+C%&{Bm z8JifIg4%?*+_77$k;3xGkWdHG%-qDt1QgyTrY0tqps_dP@SY^th&Q~!C)5zM!`Q^c z1bo=7nSqh9DX6)RY==>B1ztO#^QA=2(SuH%G`9q`fRWFTL(T^Q!NsJ_(G!0tC<o*s zc4N@C9aGS4rA7wmqw3pM1sh{K&jqw7HVRyI5H4Sdw1qndv_s6r5S+DXW4004Y$8Yc zjPyXA0nq9lGZQ@%Q&Y@pp?$Ll{*gXI5(c`k-;|D=60DxMkvq_3M8ZH9kq#kqpo{pf zgrT0LxjAV2Fz8e>(9&k~p-**XdxBjhLz7sd=bH`n%q>9^OW>W2M&?Eq7)zUX?bIc; z9YDf_JCXL2*bXoRo!tr=;v`rnW4{O#IR$=MBuH9WWCR;mCtONkU*(BxyGt(@uHi>e zz6LE$D#f#m6uz$%;TBMeLN42jIQ|-08Dg|K&`!cnDlK*^N=<dnD~X0y;6&~!#C~NV zvKLR@`DR48&4qIMhau5@Nkcs&(BX^5T<~M%(56s>dfpfjTH0y|xl@kF1Z8AsW(qou z*95cy(9{&;s;UH~*G8mXHVlqy66R10K?{`4LEHa9GYE#37Dnh@m*}6bj7XeAF(7`% zj7a-Q%+?0rL#l|3215hz8AP1M#(E~^7KRv;_e!}}$%_W?(Y*N1i#0T|Ff=s*ZRIvG zvNSgZH7}4e+%w-RBwHK}Zef`cI5g7G(9#?<po;4<apmR<M#L_Cgk*DL;wP;PL8rSK zflmA|0iCsKYHS3$h#onk_nMqCB6HHpn1s5VNQaPFmm8B%wO~6c86`lb9wa(Gz$Jh& zc-;V=!DR!`RrSUemY`LM;4@CpOMB+OyNxJXdv0if_p}+%*(&Ci;MJWt&b@tTwws9J z9^S<UFJUwi!oU3vbQGnzshJ5*Z-+8(Goozizae<nBSB}Fo0=LK;B?0Ox-FDC1H2ir z0q+h3&`m9-CKjeRo#B(aiNZu+N$h@jP=YkFG_XK%3vwRVw{->K`qzly3BBOqTQ1P~ zR+gp)hUop*uNg~7PIHE!9oa<HH3s0N-sq=HBYScV>l~7cd~k+0h!uhy;Eia%8FC3H z=VZql>SyK^m*|6-`K2Y`>rTy0jVwTSIe<p=%*{+N7O6~WnrLJthjA(Z<g8Dab&xq0 zs#zzG&pOc3V7l4|Z#UA(fAEeUo$Q0pA<@Y`_#6_Q?1RrC(aAnDSU-bK_L<Y!J_|bA zXG!1UjLz8|v;&f!#TjT5FJ0}Ub8%)w=i<zW&c&G#or^OgIu~a~bS}<}=v<r`(YZLI zbM`~5xS>z&XKYB{+QFE<)|t@PI#c>uXGUM^%;{^L1%0i9?3cqk%wuV6Y+(uB7>ae6 z=Wgl*3VZm3hg>X;Oz;i4)PCusbgaV&ap*izMG%%VI+1(f=?>jSI8Mv}_kImyg@~Ng zz`l?K*)D~;C=x~-z}6eY3K6}}+(6IN*u=!#7(B>qY-wPKKD-|=)6WRo;VdNWGdCn* zpE+?ZqIjRVA@NfH271`8a7B)!HbpP;hGmE!1jc?fG_oTYIn0R|1V-GB0$POtnaw9A zPhdNu6xsH5%XskS2_vG1yRqMshin)BqyL7)PCtNigh{MWC3w9B!D(FV!_LT-Z#@0g zkofD?K!Hqr*UHG!(#Xgdv^L+&!omW)>I&KF7s1aBai0VL_9<y?Eh9^F0}B(7eWn&> zmS&&{CS?1H);uL>A4y$fBMUQ26HAbNMrKBq7&j2Ezjl|9eW0c?3E>Ia!eYq9VWDSX zW@%`NezsCf|5bwaS&&qi8kw0HLhjBoHZd`?Ko8KwQ)dk+E=-L{DolxS5yge6F=>Hh zXaw3R1-dED%*YJmT!4qhODGQ{6Vd{S2p3TtNF)sk7#SLXFJUv&voJO{HAAnJBOWax zm~zcYC~*xf4Gh7P{Kk4lhDMg)%i)nrf{jd*Nh@(p2~M;an;4s#f|?x$=H`}W=o2lu z*CrVfUdw@cm4qR58!9o?8MZ@RkUeZw+;51liDw)uR0FP2h_cMw$QX1XDz1~!57@LC zTImvX5=SJsls6#9b`wiI(A@>#b+*V}CE0dEa%_kC9it2;*>)oeY{xhuh-BL-iFb_p zm1NsZDDgXbWkj;=rsUWTNp<EH=;v3FY`YoRwws${oc2Jn?dGs99o6!?9&wxe;Tay% zqa?+8NYGn?FN4I$@FZCe@6eKBJv7H-4||fVHyYT$H>SXP?0KFf-<wciJ@x{RB<oGd zu^y82%rQ<F0p~lCtT!XudUH#RZ84-<PtweTp{WsQ+b`%GR0|7pL-b{9!4WwG8*L=b ztQZ<wTACPw_Ewu(7#m<LxYkUFAZQ;+Ge@8+GmXJ}xeYB14Z&ByB9|*QJ6#CcXF^J& z6w4|^Wc!%ZEJ<sWk`}mzCYFZa<wh2U#wHkN1L&?dB<NX^rX39pjEz8DM`Jw`&{+iN ziAQ6rJVE<Nn%6M^E%7u0EvGUvGd8dUt?xq)+|_Hv2-;^z`~r3C=VKsS7|J7xx5aG| zE7VQw!D}Gfj7&g_2XXDd*LlllXk|lGle;)0Gp!^jH9fPqB((_I{Ejw_73!g~Yi!AM zjiEv8pmL7k;Bt=9;Bt=f;Bt=1;Bt;B*g1nGpF>jeU~vs3ArBVUK+^GGaSbFH4;I%9 zu2gI`sPdHgpmL4HpmL2R*fqpYZx~n_ni_*w=Np)rm>Z!Fv7Bz_CD?>BAgvu^VF{Wm zM!5qSxed2GoXgP4mbifptj#L3SfO4LnpMO&$Bta*fZA1q$~}g$(Syo8MuW^f#)Hf~ zCWFj9reODw(rqCof03QtA<22rI0urN2aR(eiFwdC2a=WtjdKQBQZ^f0Im>)-Imcpf zImZ(098&rU7M9?Pcuhd3S%UTlqV4?mljEXjEXj=2HW*>oP%@S@n4CjS9YMp;A*YU@ zp>xQoBWUOxa_R^gItNlm43>NjNy&r7HIRfnSX={1$AiT+kYqeqTr;>*F{Gh0Si%O< z&KWGOfi!ali)+Ye<(Qco8FL|4NTaoKo*rkVsFh<*Vk?IT*HF^R8BESGjEx>l&M_KX z&M_Wb&M_HW&M^f$hon-6h@3_4%s3<^4;I%z67pbi4I~{87S}+M@nCVy;7Y}2gDOv% z4=UGK3@X=Hf?Y$>u%wv@=mrVs;#7?FksT{oDVxDECvgUg2<K2VgEgq!1F0TJn&G2q z_&_R%!Q&oC6)||+1F0kik9#21#GuLUkmNjQoC8VCgT^_K#5`!614+w+#yNv5DMOk( zgC=w!ZJt5n97v;Q&^U*TR*$KLi2)a<iJ6{>p^2F>`bwegJ6R}e^_Y^_>LJ286t#K= zm3tt|NJ*+iX&OF|g`|VWJ&>iOgU3CP#iWDBJ&@(3B$Ylyq#sJw?U1<p!Ge~~fu!a^ z6FQK@JZPK)Ny~%AIfE=ILzYGln$Ur)jUF`4fh>+5G|nM;b+m=4DQI^j=n6AqbMOfh z$ZM5VoLLC1jyAL;ZO@s7si}bx7pJkIo{6P}Ir#8!WE(FVvrsgHW<uf&8WFCcWCm?8 zIma+IdN4W1XmB~lcyKw#WN<mh6zm+5W<rR_Srp8mk+{>9mZc6PArF?Yfu!TX;u=UY z9xSdIT&dV>P~|D}LFF2YLFF1tuxm(a<e3;*nsIR&8|ax?nq!V7xrH%NHkM>U;#d+9 z&Y@^5X;8Tb($FDkY=WlY1F0Yek9#0h#Ncrcq>>ms?txSjgC@H}lJlT(4kR@X8s|U~ z^Pq7KBrOjb=M1u>3~BNVn$Ur?c?OMhAdQ|u;~Yq<hlHK>=9VUA7ND!s&CCo8F%B-C zx$mn1frFV1NxD<Sz}(o_&=h2!iK(%r8T!G+Tem+VXdg)@ml>Fu8(0{E>@zYkHNd#y z^mM^_g7%q_Hdbb4YG7u;#c61v2fm#e{rD+g^OFXYoX=!L+E^JeuAyYCY%n>;FgAKH zImc*lImdW#ImcvhImZ<298$(Sh{;(LjFlM;o>B*rkOxcHK+^GGaSbFH4;I%9u2gI` zsPdHgpmL4HpmL2R*fpdyVu>mJ$X<wH0Co;3V++JMhoZ4EBhtq1XzLzGLuc@W52S(^ zJnn&15rfA)kV<0kxCc^A44Ui?NzQ}DIgr#mXq*E{%!9@`khDB#oHNLhGNj2fXhH|l z<{323fi!vsjdLKa9+KMbCZ;B4T%1OxdZ5#iF!tC*%sfuf*p4xYV>?8+hLW+J!Q>pn z*yzFJ9HYVI9OJ>|9FxK298<7!21`DNq~yWk8c0GOEUtm1<H6z@NHQKQt{GgZ*lbYc zDf2<)8jC^Y8cVQiNNRKu)2<-*@M#0Eb4cn76X6_+#&(QJ9NVF(dmz=r;0Yf{1u=Ns z1F0egk9#1M#Ncrcq?#Bs*&ULc2aR(esd>;i2a=cvjdLJrdC)j#kR@eElV{L`4y4U9 zXq*FS^b8v3Kw3Q{tb;N$FgG&;trIdZHa0OpzohQ(i`@j*36XT;pn<80v6(p+hoPQ@ zp{0QV`nd%q@+%11N7A+11}5ef7A7G3%nS@IF#P8)JcXcr#-y!CFfleWH0I(oG}1E# z50;^wx_SO?8%1MfhNO*^5#t(4#>xhha|~mn2a|J*2A6Y;2bXh92A6Y8!OkIN%!8Pm zMZr2K!@*PPKoas`2^&Z{9xSebB;n!%Nd%?4GTG9Of~u^3dYu>`w@ltwHur5`2h zpbSYHn<B<J6pfV)D)&GdI;4!f&^CM^6~y3i52T71Jnn&15`)J*kZNMkWOqn%9yHE@ zq~<~697tjwG|qvf<w4_|L6(#uO`bs$I*>Ncpm7eQ(KBeA18Mb;Fji(_Xl!T(8cQ;^ zFf=zuA1jM%uO~Q`WE3kzf_=s&W@d&U`wUGjEHLbgi4P-apJA*}3Kz3aXb2a#u92aM zxrv3bnTZ7#t7CCxUNRSlfu4bZv6-PI7pJkgo~fyYxrwC&Cm6Cya4N8BFq>|wvNW(# z%*@Ly$xO`2tV)efEKSMG*9QrN=NF|!8=A+)XT}?n;wvL_OG8VL-Im7224)}&k<ZjR zy+((iuMA>^G70#~$i&15br25ZFPN|XbLtpase!!`pP83mTB08u6pwO$akK&GAo}>s zcr$_lXk=nx#>HuBsAp_wWM*s#4n9r^m{rMFwD1R@B_sd|MU#=S1?aq23q4aaOA8ZY zG+U0QtK+rB$N*wXGNE9z0NG+}tY>6sW?%~1!-*Vh0@5l5RtliF$DSCVUdbU~iLsHX z85gHH(qcknOMcx}G_cYnDsD{U;|+uo2-s$1X=2XBX=I{jYG7n)fF3=HOC=1fWU+e5 zG1?3iXy!tM0?p9W(v*wS*i6sD+|0-fR4gF-$CXzIZ=gYAhEV()T7p(!8k*`^m>3%x zfc6g~TVf%}k2n4e4MAQpB*H6(Cg5yiY;J6BhMvk;tXc7T1sq<61Y^X&$jpq3)5H=n zK#uGc0XY`jF=7JoMlKhVn;RFGp#i7>GcYhP<PuKK$&NSF&&(?>(FZZ}OG^wvWsHH5 zp@9Xsv@tg@F~&H-??x_@ft5URVsl9>NsRX|Es4*}D~T^kO^>#WCF~(X6Jy*SVozqo z?IBZ$hX^GxV?#4bE>3d;J!2D45ssGolVuq3#)}2S5<*GL$ifh`$k$lU%*e<XqaZua z`a>UYO+aKqHZn6X<>E9o(K9hKv$QZr^GcZL2fVfz5u1>WOboa<EcHyx%uT?%`;n7a z#FJNeEir^9GeSj+p{1oE?s(^tzN3$`Xn}fzP?Q*&TUc^&8X4-DnOYi~pcgH^rf2Z_ z!^jvE@kRt|F#HAbtN$nUtrT(Pk!WK`yc-iNTMSGMEVwv~^^8mnP0c_Pf5=f{xA8b$ zuNWGEykbbuD@G>f(5z@?YG!VRmbx0J?Zs~ku{p)W6cjDSdS<2;#zyFc@g!>kwm{2C zLYdyc(9#GrGizcDnl(l9O3I&Ic)bFNdm;kN$OzQ@GuE>-v$V8C_sW9l+wj_A2u@*y zi+n=^13X3kp&1)+=M-qf6UvLmmS#q{^WwzG>v7uvNx(uRR4RrhhM>bk4D?LQjZ7^- zfri|K^>JLUPoPq<Afi$+1x+L4swJQ7TaDX8&`2TJMmDoFz}*hpb#IwI;Wje3{xT(; z_su~E_nPS$nOmA!py&MsPnP0OWME4Sg}NAtul5a%jm=C!rH!ExXc``E5<VtysXir# zkr<FRIY)$JD4ByFM6NN6jUGg<F&b2^F&<Q|F&R{@F$KG3kYsR3A|51;fu!L<;uuI0 z9wd%|q~Jl~m_e0*%?49$G9OHiu^3E_u>?DYl(i$oG$bh4pkhGU+Cm~+L(!c3U~&$m zP9SCKf~KJZsTBr|b0GD?pm7eQW*9Wifz%CyC7(l5@?dcdBq0wL*Fe(oU~vs384niM z46alRY2pl)uz|F328(MTjhw;a8b~Xr7Cdyr3BRQq+k}k?c*4fe$k50HJRxmjYGGmm zny^D2T>JNZs=gI?{uyNsvZOpSJ~=<Hq$ocpC$&gFC9x#Ysj?&$JcDB%D@2m@2F4KU zEes4z(Z}|dZY9}zGt#U#01aRpo9mgFn;M&=`#v?4Wa~{yv>r5-1-9PU*a$Q`gB<u$ zKc<ix_$DM;4_%C4Vqs!o2AY3Hw*JFPlC3u;$$H3y4#@WwmS*PYOB19*NVeXHh;d#6 z3p@kY6ZYER9_IzmBN!8$6EQFXO;Z_}>wy+rU@RT*{9=iB^c6b4L(1^Dfw`fnIVdw5 z8Jk;xClZlkF@V=lpTO|AIpJk1h6bkQ;K6GXOLJ53J~HI#q_hYQB0Ob8cxKnc)Rc?U z!dwqjDjB0sC#i>A*26NxS6q@<k{S)3;WH7+Cc5A=z?{NB4z?M*N3dB2TDsr}F6Ink zg~~~=%)$&jEpA|7Y++%6Ha^~zyI;>r3uH9DLe|CK&o$m3WH;28L`}#WKxP}^H36E{ zR+0<#tYlDT8{>oG{o&K`2C+gpU|&+rGFjY~8PeA_L%RCSh_1F7)73T;y4q$+SKG|! zYMVJ-ZL^@OZI-cgEV$^JxsB*ra2e6pZ*(oVjEw1-NQ~%d8C`v5LQkKW($g|CdRk^q zPs=RmX&H%yu@QLj3a(b<Uy)XfR-_O4tw<s)Lu*AMTSjUtl0w@^EQ~3%jl{y3Lfc4e zMN()RiLFQqZ6mQ2Nug~dwjwFCjl@<Yg|?B{ilopsx)xk?&D^B6A}I?<Qd^M}+D6xc zi_}&m5q?7(BSEfkNNhz?W*LdCNXjfDu@y;~WhAyDDYJ~kRwQMXk=Tl)%rX*Nk(5~m zFN}$qYBGR~_am>dMjmk$=61zD;%W$M9}{O8#u|8J%edK&>tY#8293c&hIiqankdT* zFjkKvTlVv}7k<m&nVLAuFgA1{TXyaFB^|szgJ){uEW_Arg=|^>{v`aC!80{+mSIfJ zAY0aG&WPVKvsj@T@L)BOmKj5a<V_7s&Cw?web!WJlQ{2bNTTgVW}rD6149c#@DhDw zpPn*OCe?O>SRoHCHvf{0)S_bCyN^Lf<biDkF+lTupjjLS2?p@yV+IBW1}kQFKNle{ zB27X}3Sc)Y%-LBegh;c%yQ4wQg6_OQaTP!Eqyfl<VMLlrz=bI0f?OL+q`7!q%Lm#L z3EdqDa%?Cy3>82%G~Q5%SYru<0kUgBK@dm{*Ycz!m6nv`=N0RN0)W_1CE!wop&)lg zQp24hpb{Tt)(zy|Xd;a!;9g9_LGI2XrHC>xK*_Gig#<XeV(z5|PltkL2|+iRlu^?z zO^mQYTL$791e!W5N=+BaATj{)W+P=n_JN`*i%6RYL={21KrvTJVDCGq^g`Mu4J#92 zXA^)U%#e_$l1hu+ic(XZ^Gc$jJLQUru@*ff$+i?ck!~nNZ@)tK9n#xc=-xegTMOOs zOmAzUJNxKuEqLLKkq~{;G1yXLp$5n<Mj~5;1_o&P7o)%>A^(C4VS`wqI%*ceq}p#7 zJK#ZJH1JL^9(X6147?Le2i^&!cF{oXA9FoZOH%`k!$iQ<DZ!<wNu|ZXpao*!%xD-Z zR7%avs6nVQPYF)W0ohD<Yf}=z*3!5Amy!|;wYL#c>S8?w%T&+I+|t0@jEmFIP|wuR z(!>z7>=x7!MQO9x=cJ~UxMddUr)3s}=4F<|8|p(>97B0-!M^eNd8rxsCGo*Q@oA+c zrA3ICHh?E}tZp#SGc`5=on66Upl4!iX<&$c_6|64QRW0h$&1wqCVIw}1_s6^TpZ?l z76#@<#_0R>so(@e$&1wq26{$jW`>sFlY5L!Ow26MS6ouT35b#xmlF()j4dI{SIvyf zOhK!Fshk!}VyV<0A(SGi*&iWf7pOmyL!G{lDiL<Y8wwHI(?aiwpbX?-u`9nIwJ5P9 zvn&;wuR)<mJD;K31`5X}%1bK;Ec-x7E3WVrnZy-W6uQAMRtTRPh%2usbb}FoHxO4~ zQRoI^f^Hz9#G=p*CZHo1*(e{)RUw!xLAfoPI^_sq%RreempYcI5%gJ@vva(m5V3y4 zTWVpm480%$1tbmqhHhP$v$F&P7&1yQDljN8fiaWNA1)3Sq3>K=!oiv8d5Jj+L5U@) z3L3s8Mf$~>Tx_Ze9+~MGTx@QMpkp|>c!F~>lT#I-JXYtN{N!vdE}#7L%w&a-%7Rp( zGSG3TpkXagJKR9e5)8PQjV(;Lm<^2#xr9K2(xAit;z5k^%&K?;E@n431<-k%&~bcD z1r`k^(`f=<&8#%A#2LE39D_hd+N5!D8d`#Gzcw=h9rkGeJ-d*L$<UaK(S&$wBjtCS zSxLgjqLcGVjH01uo5knF8wf=q`H#~QY$ND+LndQ$E+!n_V|Q~?@CgmU^x_<Uelsi1 zqT-Ugcu%KLH=#7pVPX~r#s=mVT%4foKo*up76x2Q#ztI>mT)ha5DK~aS)688QWzbL zl+>KW%H+Hf1EDxBPD2AdLlYBA0~0O|6FqYSLo;(DP%tqX!L2bQWX&PZb*5IrIYr4i z*~xh&(U5U5a2RERTBx8i<V+1f#v51~o10j2F`9CbVmycN1XI*qNX~gB@lMG(+0l@( zFtAm5py)6(F*Y;@-yvmSVh%b@5*%V?#Czq7qPVG*GV+WO_>@V{JkYL|Xk*9#C)mCs z(BQhIsgb1-==54+JyUZNLnAXTMsqGkW4L_;V<_Y1785IVj2J3G-6L6?oZ}3Nt{miK zVQ!>nU}#`&WB>|GMnrx>wvz>GYMWphWrF2!Sa7m%%>#A*z&^|e`4DtuC-T{LXlVo4 zGOU^R0fW7sl`4h@K?2}YiJ^zzfi28|T4(?%F`#7!qX`$1?+7MFaKA$dWIoE&3}~Ba zd}cIc6ccP)Ig)KiBmAVsAlN=_4ExYx5HT`uAi*fX2rhg<jaw!KCIv<XP$A49)MmgT zFVtwj#o?*%4=zHvctEV+lEl1}#G(`~K9{1*vQ&lOlA_eS^pXrNF3-Gz(h`M$%)DYQ zZvWB}IETwAGbOVKBEj$Jui)eF?&+)$>>A|a8qCGv>9648>f;#6#RDp86ny-{6#~M8 zV5Wtn7UgmYKuz<^El5pC%u7z?;sTfN3Vw;Xsa)I;wuY}ql_vJOf)kW!z|{wrAk-+= zyu_rOR0R!HO)deD9SSa)#Rw6wOHf3h+I;d8Qxr4`+%&nk!jh9S^GXyn!XfO$(h4w} zH!L|hv9uySGat+q3`<VVSMbl%P0q+HPyh>wxK@;;7J(`>kj0sKi6xo&d0e7kg$fG( zX=zZkAjLwHnYfrdg19(*!km5mgN=<*=ffEdxfl&Vh{-RXi`hRdjSIx!2nq>__w)C2 z<zfMo;1h09Yc>U>v0+2-vAv+P?hFjLn1fw{kP;%iDS=lEvi%yIKd`EUI0J4LtTx3$ zb6^qUl;Bj5*I+c=W8P+F#o`p==S*^_Fh`~qbD;+dm$Q$zp@D&~M-*yka2df42R7h> zwj<z9$Lc){Su^Sd2x!nAG=_kNVF6-c#R>O?(CpE)f|6Ev5|iT-Q<CG0L4~c*9Q4ou zU9<>Jv)~*A$x5K?1J6g0WXl)i5)=l`PGEwIaBea&(=#-(FtV_K<R;|893!cqR4wST zhUVbGB&Z|7vPPzcM$l{x=7Z$0=NYglE0{z}Jkb1f)5^fwiajwoJ}EgTTWA5jf``LE z&&bl;0MzUTl{Mst4ybht4+B`nG&G}9=s3AgG`9k!pp-<qryvd^Jwr<aV`EcF6OaMu z++^rU=djfmhK80@3ZnGKtd>?BiOKQcrnb;rDyJZ0L_1#rJird_u|ZBx1~V;S#WMwI z2-KED4Io2f19K7r2wwr6pSax$oWpWKIcz3M_!yajt6fn3HK29@1iwL+;`C!|1j|_P zg38#^kc8mDR{(W~-nF!1$w^Hy78(s3L_rO%+u>njG8#5W)fZ~mn2v@G&ag2X4I7+c zV=lB5r7SiyC8t}Z1{x<s>sCR9kcJ^p`xYFAppih(fC5<^Em$26G619LV_-l+xs0!) zReol*i4_ZI^bai!8AEO-N2;?7Kw}{&jb=nI3s=J#C2)`yGa)<#4ja%&ps9(G8R*V; z)EXLOKSs5LD@YKgLYnh%KBB<IVBxE@z~d{-#mPAX8aBqpdX~l}#*nK7K;Z$eo(YEo zJOq%!kg8#mk|;C@C4Y?$TQC=w8;=GGxIhM1;oz|x=Hhab(Llir6w}c_!3-3$(Llir z6m!_XJr){1sE-)l2dPqE0MD+12Km9m{9ryr3_9<s0Gb4VVFm>zp;<y43_??dxcJ?Q zQVUB{^O7qSAcO5}PNivSsYP7kkP&zYPXTFYofpc6OyF{HIi=>nXJ~^nOHzxuxFHj^ z`XC+`3wWaT7&FS?G_#++BWm*uEW&JJX28X4Xkrezs>RaC)QAftA(V}xo5d;A%^j<D zQ%jI`Gq83OV+&(5uyzTw{=5Q%29s&ttv^;);Bi0bPAF(I&rqlU#WIlnSnL7~ErKuO zgjUobWmxTr);eWjB?~ef%^t)^h)^Mljih*w%{?bSDKQ7VwFBNS_mAVSg_Rhp&ETeO zQfV4ir(U|oWlhMb`<c0rns*>yV+kDa+&hzrDQGIu%)-LL!V(-0LYc^VaeC9x$bgH< z!~~?-+{oO>+!(AGtBW7D{IkRsi6%n%$X4NSCJAxqg*6V5TD_<ilHfP|G3bmf22J8| z#o#hg2`g+di0sfF7UY39TtQ<5n(;;I+4$)@3WX4(5j^e%HWfr*jWuv{8#G7_9zp}H zr7(mo-M|%aL`T&Ri4Y5HDZ&^OL56U9KyJg5aEZ1?SH#I2TR<a?Zwlog2N$s(!<QVc zT3efA$<W3)lS9q6nOM^;vMY}gRWdVTOAn<)6<b7yY5m8ImR5?W<yBfzerXBFe@LYz z?aOC~tss|xmkbGIk>yc*Q3)=a(Q2PGkUz0z814C77}*-#jjNeZ9EmG|V~fWaqI4R9 zh6PYd1gwq)=We7K%zUbvZp1#v+)4%%HAvNIW**X#4Xlm=YeP5++<byJrl5<{7{IF} zm=qX<7Bh2*3C&|hU4_6MkdauNst}x!nO4HZ=aN~LnUbpDoL`z(l3K(i;9Htgl39>b z2^Zmmw4V$VAS$__d_y$85gOkZjc<a+H$~%{!T4MO`Q@oa3NHEOdAQaw2u)^0i3=9L z{Jd0fteU|_uo%t2D-TQ!xR?x$KupL|1oYtrQxgmDK!b&eiMc6yfFn0X6vQ-`P1h-` zv$c{yinH9@<lOkgl(Klx1-+mKEG`U=8Aj;(6-e_IM1mW#LaU@Xn1z-~V_zOZ@>0Tl zE)G*Y6JrAl6H8Fb!^Fbe#LR+=$;cFWH5^hh!(2zmtifd3?OShVrGl}x3wnbv%Hpm9 z&}uacQ$ureQ!Wk*JtIRyOLI`LTasj9)XfqzD^)BD7g1MtyMk6N#)51#w=^{X4KW$& znVFlJ!xsDzxqJ=e#d$^YW>!MTUMz?=iO<Xv$_E*5VP<S<V2<t~bNFgCf+2Wni<Fs_ z3ZW(cVE<5SbtK4F=&K{kK<OKO6Al-%At+~<SYY)I)|Hnavwtu?HYFmC0zq+9z{Lr= z)!WeA5OfZ_p_!hMr6p(!3}}TKV!0$zdk%X#3_5wo)JlcWiZO5~mg4g%_H=>Mrwh7H zni7sYut$?nme9fv-2oLx#4g1Hh3)FO+fA*+;45f7!=fQOwZY5p;<z|K*RUIyf$w~` z(6cZxGBE+K&?R<lD9DOgr&=(Uo*IG8Z)OBpU~FP+2)WPH!q~#t+yrg;BEb}YTdmsE z%92oAfwq&S7bRB4gAY(ZUI1GI>h2nVuC@m4Su@as4zQE3N)+VF&v|O5Rs?tNLRN`J zgEucj!XO!x>y3>;#R_P3tci(*5ooz6@yl;PmfNphXJRFZT&6fV`*_E@CFbOS7R3q` zgVHGaW-u-$Ljx{G5>x2g74M9RNufruLM6B@#lFNFXSRr5@xqv>0>CI%Xd)xXS_?B{ z=p>1$rLl#fDXH1Q?BYRVD|bSrC@4+g+@grI4tXk7+_b87lChOH!K#WFN5va}^Is#_ zT^OY_q{qSPQkt7viM@DK+3#;`<w8mDfb5TtHjEW&qR0)d6a@#|5s+2Q=9ZQg#-P+= zU}R=s0&2XNfL0n4wFv^03xC)68>3zA4!djtbYx+CX1pQDMxg?b9mW<WmY@~)26`rD zW=2Nlq^8g|Z!KdhHOweL-*Djq3S>w`V-x^{BbqH-h$<0n47#}m)Cx8;HHA*g85x=y zLc<t)8HBM`9TdilvfRd2qR1tkOFZ<jMrcwZ!<Uy07>%u{k(7*Mg$hBDYhqwzY6?zD z<VEg>wa<;L)Ul*(tg#ylveLlR60~mvw9CcP+{oC(l8edE1hkC<Tk^$O(&`sIGqU1^ zHwQo)YJ_UR#v7X$o0@`I=Ac{0xfm^o-b4Y4w?i+PjjW6bRnnkEsi2FdqRnIBxup_p zsR1Z+LRc0C2Bx5ViPQ!m*q;0J<c$I0^WMNs1JK@zXk+kYqTskRFhINUhl|O`8039e zz!J3FY`26yzWo)@JApuD1I~>HeS6qBn1nj^uv4kK77ku%2VLNfG$IWeb!IX~>{LN% zefjA-qU`))(qJ+@v8LI=iZdrQ#Wda@v@Hs!!N#UsOh$+%I6;H`m{K7I8xt_t!~h&4 zu;?IYuzO7`#9$Kw1{;BPiIL!9!P$`zgUtvTYzWSrM7!8wl?}vTbBMudT%3sY)F7s@ ziLrsH5f_u8ITw>536b}fiO<4H0=YDWE`5gB)4&Moh#DFi7@1jcahh1_nOK5)_*_ip zmRw9m*n4rHfisLma+>M4xs@^D$|^W0z9=;@#W5wND7Cm4)SnB*kxo(ja|GjjZ{$65 zD^Acp3CQL>P=#orhr?&2B>x9r@0weg5K&TNdaW3FiUkz9mX?;5CXnrE*c-@L!}iGh z>E=Y$6+Y4Cu|mmQoR+3~mIh{^xj{}7b3IE_WAL6hGvwl&V0h|Wo@8z%j+}7d`~3`J zg*q6qc+J?r5?pGN;I)}-{pMCS#00K$d}2yUP-<~$PDy-nVo_0LJh+K#DpZ8UC6>m9 zq`G9Ud7C*gtu1gEgA$jCo`JcksWBwm7?~Luo0@PjnOks?RJOkUTS8XiGK>{!#}Wk= zmgW|udORbvz}(83h@6Wv21-z30Di>;xR;OEg-I~Q@t9<q6Sc$GCmM7^1eI*{V@f63 zR>)~A95`d%2*)TMwpul@If0x4(=Zl$g10aNb@Y)oy%G%Wg1&en6TAhwozN&Y1-Cv) zvGa;^B$0Mn#tNa9o=`hMy9P{1D#%Pj!pyDI38!x#(7tjsOHC}n)2<d4qz3M`Wg)~C z*%nmu>Gj?qVts0W!%`CqP=|t)DBV5Pi&&rHic@0)P=u0Vsco+-u|7476{_a~RfA?` zrly7l;6{$6nSqJ1IcWYK*FY+^9Ge#GWNu|lTwMj4?1EHQ(H1ZVn3!7_SsH=MN@HU~ zV<Qt%9UykZfgA@|LL6YKXK7?$W?~5m0Yd{zQ1&$f4N4H*O05vEC&vNi;G?`aO^o!6 zO)X3eO&~6?ur#qSCN&7YezzvFzI2X9iUUJP$<1kEp=WGtY;I-_ae}3x0cbFqv_SZC z+l(wH7=Xr0k@{gUCh4jFXt5d5RhA)oMu!w>;0`u;rkm)<?`>8iHqV(rQk<clfvF{E zDh<^1H8!_20F8l>7T8^@Rmn+lW{?zTY^-NtYG!C?%Ef7Fre|hqXlZIjYKl{y#79<i z8-tDw<uozXGc_|bGBE`wI139CBV&?XV57@tZe>Kc0t-e-^5)<;FgDdQFt9W-1(kbd zddB9)=7uEO|5urhoH#JWp7JaWjKHNm3BB&hBfP|>JY%r0O-%I6&5aE}XM&g->KPbY z7+6}68t=W^xru9^p@h02w7Rj-Gqf}_v;ZYM&@K*R10#|huzDI3ISw$073yW=;xsbT zGcmQaumlyhMtYVO#^&ah;2<C&+^4<yYi8w0YK0dcZ)AzwLo*So1J}PMrY4rg(Avku z)ELxJA+0QUulv`G^n74}UhF~&KnqKf8y!t6eiL^Bl25duajZ}(7pIY>o`I!-5vY%7 zWTIyd9*ZM260BbQKtBKpG=2#hN{o*-0w;GPb3Jnt15<FtYNTgjYGPqYawe}g{$@r- z<HiJ1(3*gX86$HeOD;}OhBGoU0*y?Q7QNXEzL*g=&VrHSzzN<&&)m?`$QYF6Ec6U4 zOf3uz!37NogJo_OZ>f;rO+lydaTw_tm|7TuoMU3CX9$WOOD-leL(+x^-d=r4WSF21 zs~LfZflMs*%#BSzISthQg$)DYo_EI9=zaR_xfzaOAZSr%q-SJcVqjzpuHh_=%q&SS z>TI+gnh`a4h#K=o(4x*r&(hEse1DIr1?WIjV-rXfLqg1dTycjAF>eMg>J0SE4NO62 ztAUz51{R>>alx6M1n1~Xy+cM(2Wj=-PI*Q+X5p~KJ3H%5;-Um2;dL>BjvF&Iw=gva zr3qtLxd&d0K*9itlG1fED?4IppP=|+(2~QT)bz~alGGy5tqbvnhL+K0peadCBT#8z zX=rE!u7%7@EDg<!!DD133{CB;x@u-60zU8|Ij;m!{u+RbdGN5gv8Aaw7bj9N5ANF# z-MXH=?=tRU-T*S%2d=p+OhE@PgP7*VmKJ89{u?Qs*s`Ms%_te|GZ#t#`_j_T!obpu zi_;u(Xom^<P!P(L8MXvw$GhLm3iE!I;*umt`^5m%a5e?Epe*%_4NT2Vzy%j6etqz4 z2Zfm$G@EZORL;d|1ghXb8(F~JcMt<K5CyJfVNp!b$7(H`&8!HYQI=T(UNQ@s8UZyS z4D?JaOw2$vD^l7bt><R$wjOudGKm%H1TWGuH8wOgGv?wnHqbM(G&chsatI#wg87f& zfa0aoYbngICZNf6PGitoTT^2*11=;cBT9B67}jq!)|g?LkOd#Ehn|B$a~Yug1e%;N zgw$f7a~_RJ%~~rJR+~{Ymth8;RslQ3!qmhJ;uLdZ3($f*@Y-^sqvE63GRmA{F4V#Z zw%^3U%ox;<GO^UNFt#)SE%qhNDdEXW%&aVk>b;^*@Z`mtfI@`BT+htH+!C}x5or*Z zw6uBn{bDmKTOwS6t1dBzbmz_Wj15i9EDXTKfU&8Og&E1El2_YeGU|3q?A;gxGsrL| z3B9`fXA6n$#+X1_Scay0<_6}LhQ?f+W+r+j7N(ZaBuPRQTAVbG3Wc#LsD%aU@0f$P zy@AFQEi5d|L5Iqc*4r>JnnFe_n1I{WMrL|uCKe{3ZXMF}DtI`T=o}jIXELsKwNWf2 z^O@)wgBGHLdhnKdW=6(lpy5)|GT-mu$>e7~Q)uQhw6HWWH|63qHisSJ3tAaO!X&TQ zt4Wk)KGRsCZbtBGXcIGI@F0S*nVyNEnYjVDRv@KKP_}Y{nH836A<&myp$w*hrkauF zoXx>Crn#P(p`o!MsF)_Zjmz<>pR$lKixo-)t*|zNj4f~&>luQX(1jufSeM~rU1VT1 zx5o^1*&S@Y-$1AbG@xXlXJ}?*U}VU}X$CsW&d}U|<RPsbt{yWh2O>&g<bEFVcoL*N z*1*Wc2^!cmvoJF=<l;0n(lfWTG&CT&EvzlpNo4OD**(#Q&?XbOS~4+$)CI66A!*gp zx0W^vn@r|NT?0!?3&?5&OG_hDbMPTGq-2fVpp!-^SO{Py)Jml`!wTJIGb>ACx_+2z z5<rcHE>K}%tY-%5G?;L4npx_ZfVLn&s%jFd%6@@nBBKX6fgmMVkYn;d+op^RO+kly zbD9|FSz4GGnSxfz86t0EBG{r$GHWukQo>kX3183%84xsx6{_Iku+%d*G&BKCdl*{k z8JU|~8bOZxCZW2X+umqqrHh$WQ8!JYT-yVhX9Z<Tb8~R1XlkTqVQykddZo4OVFPhP z56FQI&XwSD%0$o9#L&<bbn3i?o{5Pmc*X<Vm?ye&R&%STtegTZzBh&SV~va;6ELQh zdd3#Url1xh?!&aPb(Jl-N-1nQf!t#b9+5WHGcq$ZH8KHr;>jD4E?_Lh-JLWBuMOic z(=#<THv|n37#r%DSX!7HT7VYR;yU>so3A;miz%xcO=2Mh5*6A}e4>RE6iDDktFeim zg^9TVs8?%Zre|bo2`-^YYqXxdS3pLi)f7BPWoW8rW@2m!YRHj2kT54UA9u`~fmaC` zn(0}Xn3|bW(s?hH%r~=A!zk)7JMYE@p!PV2v7VWUfjM{qtErxmk%6%#Xz{8U7n30g z1D;kEd1gr4<e--y$EPHL24X-bFc|BB_H7xX4)T#U5Yy0+V`e3Vvi2S^mIzv-P|w8) zI^)d5%-jGpk71@~X<}?<U`Xol@r<Qe<dmSs;K>|NciY$uydK!lM9;v?z{0?oiwU&B z3Nd&~u(o<&m}O>Vf)Ryi=kXVpCc!U!gV$eW;Pt>}7A6MfmRy`>W_ku@re?;FJ&A}4 zjGznj-IC3$v<SJN2zuXLJhYK(sApnoVgPE?n;Pqxnwo+a$&glggajp;Sz)_9h}bR$ zq><YTX>*%c8W~xFnwZ9Vmd2*$pjk`Ox`01#Bv4p+fZSsS>3~`2nOK@zfYPS1sh)+g zftfjE_<@9}jqmFdDDHrnL3$)+dIrXZ24<kO6-IidCYGQ*_mE>ah_2~h&x)tKrZ<5& z$4Jl2)WFcloQu=cQqR!R+!C}LnzXd@Z(SVa&M^a3^oAy&iryS_b|u-xJ*#^Z?&978 zR#+HY7?~SF=k+ZNz)elknm4yw!p*EqiEHiWmgbcBgRYy)OECgnk6r{WEWn*#11?T; z_$WPSxEN7b5FENLtqnD^BIb@L(1C`aR;D57Bm`s7wJ)4zrg~;(#)hWgm?mWrBm4Pa z$|4(-F-?VHQGx(zgp{;$VtPujnUx^&-2sq&6op)zhUR*PMh4(jb7sbRpdAb*ki`>- zt`x!IIQVK1aRc(^vEbZfXrX6eVQ2xmJj&Qi&&UFl%1EsSmAd^XD~UnPW;3BYZ~z+^ z8k>TaQ5jmmCNxPbY9{FUnpr6!Z>VsA?HvU*0>Jx64L~b8Kto+d2B4{XLle+)Q4>8w zb5j#TOHx-e1PgdlRMLWympM2xjEwZmjLgl=O~C8ujEyV}L91s;%jL4mTqutW6QLL` zPEf1F(9GDx5M@Y`w3gU0U1u{Z0hGvyHU-Z<8CvL>nphZtI?srSC{S@iLShfnb0RLW z8$l-D%=JtyjLZ!{Ly4eqO%vGUn<??bcOL@mh#acGHN#<w(tbChVDfEhv@LP%cOw(< zO^qCedIlzDMxf~h6VMS9rl7UaOrYIvr0mmLTV+G!Xddd41!JoDJLbD3k^Y7zH8VX+ zLj%yZKJq6zPj^`om((mFNzFvh%*4zLv>p|yATtMTZy>tORP@S%!lY(IwIKg;)q=<% zhYn1b>X}(snweQ5Pw9iEGf2p41<huZ4NO4$SvXTYDeH9pIhzsJ)x${ipk|Dbfu4zx zshJ6Ap^g!#zhh<!>1-kjJA!Se{`sbq7wo3sHmkXwvAMYcXo~{bZPuOICb-+I(0L>y zLp?JSQ&Vs+&s@*Q$lMaNB8ar=Y<j9OMU^Y4X#wpwfbPUKu>j9xfX0XnO+g)g(i(5i zh4d(IN}36Q+Ikjxpc#Jya|+6T+gx4Z$|OY5YYIAZi;I)&=H;#~9pcIX&;o5xr@>6m z!qm_bR1T1BXL+bLk#-uz3RQyJEheUr=}S}42q0+62;8J4A+yb})-towMQ*nQW7g-8 z`xT8rvzDMMQX#QwVqj@#NlC1(bkHO&R!zXm?hH-z%#F=WKr4z&%=OHm%kD_qgWRw{ z1M9LoLvURNy2;GY!~k?A9B8?_xv3fGdM!|^oP^<sEA|>@R<^`-J`o#=KtT(c5C+Zg zfJ#zBOFat<3o{eYbdw2aw%)`7d<Q8h6L3t|R46M+K`nMOa1$AHE2*)OG3ZiMa{DWr z%2Y6#$VRcC#c-gDmJCge4J^Q`U_i+jv=|ONy9R4S5bO``G*TgDF&reuIZXA;&5Vpe zy9f{q@<6pW35yZZzbRALdNGa#os?^2u4f85c+P^0)7Vna(j0WK8QO|5f`Q=pSINvu z8DoqRbVf>iCa6;g85D(EXkuz<3fe(vVyb6sX$(F^45gkRXknn8A~6<1o2TY_po6tQ z&2qBK$h&9di7PbBAd?g(dIm<8CZK6-vReRamdN350a(Tg<$;c4GqAJ-PZ$_k=z-Qf zg0}7(nuAsa6P<w9SIQAFA8Q0&Hf9LwKU<iB`jkfIdZ6AVbTbYK1=XE}vSiHtAZl0> zQ*#Rw3MzH}$udONcIJ?Nw7H&zp*d*nBKe&gd2SivQV&w!)dIW^hU~6;+;b@+y=fdP z1eq2BEj=+aqhLbyP`wnMX(0oY&Jt)H7JPjyiNg|q{E76N5#*EsYRu*RBHB(%_#!Vu z6H60Ab1u-xs)>;?X!9b-qa@^&wg1H^YMevrQD`&K#MBZrT~2n23V$O;WQsC_OjsL% z78Y5UfrdU!EcJ{{O~9AIqZIT6J7r6o#3-1shRm-RTI!h?8k>M7G>pykj7^OUNnd7p z@scQIO&U-?$sBa#1vL_5)G1NoqQb}=CDU0_P;P!-Awr~`s2QD-33NLNV(l~ow`B}1 z^$g67EG!JcTNaJX4GhiDSC0{FT3o*>Oia@P#gmqx?FQtQX4Bh+i3?amOO(i@Bw)LU zwbRG~I*nnhXJl#tIwzYG6v(CqhR|&+B=q@`9EB;%L!jc!5Zt*p)3dZNFbB1t$!^gd zWe_H=PKKNs1Io7Mrsklv(qvo7wo-`5m_|-r;K@0%OKrO}V(mou)5HvPxEJ|@7*00? ziS#FA9lD{Zo}q!M323bi(mHhTl4w{nmS9Ek@*N+pb?DG;hq0cyg^{T#s0=jKGd4Fg zHM1nOpEL0m7cpgn5v2Yz1f5=HU}O&3uxF`fWNc&rT6{uU{b#d`lY;us9JG{?8jbds zUYz9Ys)iggYN=-oUZ4k_euPeOgGM(<C_0Xqau7SOZ3=D8T9_IdfGYxWH>~Rivf*jX z8bZoNBLh7nb4wHO$`(sKBTHjLV@vRPVI;Kje0kX@8}x*<Ez&@j89*-{2c6epWNcw% zV8+D+x?{<Zgn@-kUMyy)Cv?N^2!|ZC*ue<uc$yiSSb)l3vS(l1-Z4`)`(OlbXPX&W zf)|JwgL0Xrxh2U%|4hQn6t%NK5nu}5Oku2NY+`5%+Cd8%Tmla%;XAw>>kfuA4F<|W z#xPbW7qoZT%+SKbz><P&_nPsSDdDMp!&spZP?E3&9Z_QrS|x61YGMgFu^s8;TY^RS z>yzJ1t+)zGbBa?<EV4bSKmp2WNQLF^+ZTQ{C2G?g@<Qx*#PJ`XvC)3;0izZchGyW^ zeCB$F7KWxq;K_PY{P^YXM^kLakrTV7&LBQB-p~RxoQAtE0d^Fu;w0GK)m-)7l(<Ez zNS$djBk-yPa+|!Dy513Ir=cl$88%f$$mN&6GPSb7+zCKr(1Q{IyeDl2Iud~FHkmT> zE8@Iw1Uc>tbhU+%p^+(Qh}K-s#L~#p65Kc<CE=~<eql=1;xr@JfTp>zkr`;Jk?aA@ z&hQteR+2bY4V%Xbm4Y^W7@J#IfQ~gYGlFl@LrKpBOOgNco|{@}5t>;7Uu$6u&PREm zdK0wl8Z^ZN+7@bR3L4=AodC^f317HEFdxO&JTfJ`uf;G{2z2j@v7WK9fvGX%+yNsq zQ!~={BRdp4G{txSkOAmia&xc`^Fe1Ln_3tfnS;)YGt@INF)#-e&!ja)zqwt*XQ7cP z$U?|XA(O#JXBZh<8W<RIahjXyS(+P}TA;7BBN&zEgD#s|d5}M+6%Q^g;q!-}o#51H zlTUZMY)bY{aC1;Hx6m`UG&TpVRv<f>|8luZY%+($325ThK+n?H!W^{q(b!NAyuZ?b z)QQ^@pDvmbIdN+Qnq34<+}48{BPOQipkw(#XUSNSwY>J(x|60>CPbCr=&Nf%F;9)r zNW*m}$d7q5P<w{MT+h(N7<~PKg^`|xp%G|lB#EQK<}F7}i91jRXM4s}2-2Q0G%^RD zcw}y<XJKY(0X;;LgpQf2<{`@4Goa?P0oX<6dWNQ;)33mV2I!1GQ}Eplq*QbpxT)YG zNX?J~O1Bn9W)`5;=f<Feu*@t#n}v-*D_DtcV0~5IXKJO0F*yp}@CdoW3X(xfL2YO= z3rh=A6O<L;rl6B-VYU%0Gh?RgCZb#bUs_=bt{gzCDvb3kO-&3y^`Eh+o*}3<LLW9I zXzyvy-KJKm$knb(Vo72=>^eLnu#JY0m<Cl3rl4J|#wMm*oED%a4d_r!Qj4PXr*=_Z zJs26lN~wHM^TWi*(!c_=UB_I{#N5OTbkHYhwWJy6F1)oQI2=sCArH!emU;#TCI;X^ zDMQe{EHeYV*Xv;0UpUEaD>+#Z`EWznbw8jZuZ;DK&CE?fbp-i6STETvM3zvPi+<w4 zM_w7m3V~9InVx}>sX3@SZDFKmYH4n6200Opge?*BDw`=!C5D!;RFcXC>XL%a5HYu) zV5-!8!6w{oVMB1IhZ<FdVE85qd%mDOpCFf57#e}j;50PTGqkiYumCTTA!SX_(WDKg zWSq-r1WpH_{-247nI)+EVQi^qW@=$+3f^%_iVLdkH<((vQ_z|;jL(dRw`nRtrG<qN zXh$&kKo6wd=_FL2v2|-rt@N<C3E%eg8t|H5Lo-Wr(7cn8xgO{QGw8M*#IPg54vVqZ z8dEC+jCu)uYhy`bNoq9shB!zyl@Gq_+SJ6r0MvdpG}5y)G6bIri&9P!wEgXy)uu!& z88QT)0{|MYHv-+k1xg6yjUYF6t|Fq{Y7FVafN$h55Xt}#of#Pz7=TAQP4x^dKxapR z>nRcf`tP;nrdBc-0i9c%4qF-}6c6g58JHQGf##QxmKlPx8PRor#J1(8*iQF@jg%Vc znHrgcdVZjtP!{GU7NFDaNb6m%^j&UB$+0t#DZC=kAfct1g&}AMrXlErJOe`uaQY!7 zLvNbB$dst-WL!X7pFy=PXw!hDA!uE;r2%+tqY-!=Cn?QK`~JD))V2nQlY}$l4I%YE zsDd*E%?p8c!*W_!=owprHnxKrm!!C8(~mh+sNhUs6<jT-(Q8O)aevierYY%X92>=g zdjX)+&W$WUZ9{N0ftn+v2Fk0R8I(s8q`(6u95YbA#0)e5XJM#kWMT$7&k@vXBcZ5Y zUrhxUnSmRQ;FhYfxtSqoJO|WugG{eM?norMv{<raI^LG5C8#Wf3^jvNs+pdVk&zjw zk3e=1L{6Jdg_LRrOQ|WKlnOe48&rxIBM$XKDbWa4ME$#`np#O>l+K{FtA?NpDZzsm zrly9VUC@x@GffOZ!>{1tis-=ZY@ABg;DrHXU5kmHfu*T2Xk(qBxt^(!sRd|}CyEOQ z`qO#BWMV2@GtdAfXu#Rb$kGILD>90G1UrP8iIeem2*Jq<+950hAN^%+WC`9p0y=0O zG;;=?M@8f?f<COPpJYnHxunp-#zN1;!py=Hv}6dh658AZbg=<xUj4dq0y%{ZY7Y!j z*no1Hg`S0hg^?L(=c1vWp^1qBsG26tMKgK&$;xTyPJ%XsKw|_ZdS;dupxb6ZrvgAH z^hqpn{<HNHkt9G(1Op+^g?^yz7G}nv^H9L6<jKi*JCys#oAxjOEwBbPH$fX(LDTz| zpk|7(IcO9cB`ydi%QYN**d_)*C5|KHdTnTX094VKfG)f<Hw6ugnCe*?n^;0OD3dUM z@AbaN)XD`*pMuDVuFQA~SP2^qDq%r~zf&}e++NjfioQqLFji;+c#^=-2z>u6CwMf} z(hO9tfqQmDm#iWZ-KJP?#3kTecv1%qen8VXxU4raH8eMd4#623gHGlnaj{CJa|c;v zy&<Tq=P=O&O`8~-fP>z^9JKcZbb}-bBOX$dTTF?%gB-Gkg~J?l{D>)NTAS=hSiZd3 z)XI^lv3capM<{Usnkgs&je(jPTbhIJfG{=Fvoy9e1C6+Xw;;ii1i>7aIj7mwN)wd9 zG81z$t5V~^!;<>wJ=^3Q=e!bF*8tQIH#0Ud=i;<5)w47)Fa#YnhceJhu*MULZz87} zK<ye>f?If?q5xF-8Cw__aB&(L>luPBK_Pi)P}Q3(7r~<iJb?xo3(p6oM`KHKOYku@ z#(IXPMxbLPNUQ;brZnPhbAqR3AvGZ=2u$=049qP-$2Axl=oy<D8<2kOQOd*y3QI%y zkPoPCHU{nM0bTvWX=$WqX>MR(2DzLA(M%zjOm6+EBdcykO(&+XG8)_&Ff=wa1&v3V znCY3Cn;Ds#p{;Br=qQdYwRj`O0@N9Rq=a6``Y+Jo5~iSbo28kF33M?qVo-#jh5qW* zrdG}*ml&vl0M09=TpWgaMuujlpxss`ph-1TQ!~&-%ckI!S45W@Vdtw%tuzRh8sPCT zXxkCgU^E8rc(yRmGch+cGX`(ICS?#qV_PLTg$5#vf<`4FO(yV~FAH-MBXdL0aFU5J z<YGF~S}QBED~ahQn1JglOFbhaOA}DH*u+fF#MB5pJBDH(!E{jhw*qg%19uZ3B|;Gw zhcW1Y4Rg?u@)nkQpc!S*d1@%7G{MTjD!SCvN}XUdC+Eb61bGS-fQEUE&CNgu#)EF) zfSyWBVzK9@T0%s_7vf9M@(?3E69Z#oP-n>41h#mVL@Rrf3-N|4cpeH;*+6VGH!&~( zT`Os7sAp<w44RoE(Z;ZKxp-{^7a@@Ggg!>l0HA@PrLm<27pEa;Spw)*Em8}ugUY!S zl_7|%1Fqf73@t1`8|jhOMv#`4cbezm4FPbNLzd)#x;LhJ#%4z57NET&pv{zqCZLm_ z!37Ac%p#b3T;sCI>)x1vy7{2)4d_-uP(R<)K+oLVjEo&xhdr|KdD<Af4hb@D1um%# z4ZsBy=zK^Ma|=Tg(9QK0q?Ob+j${#CQiB&<aTx1?_w5^i2lTN{AP_7!4hCkKTB%}` z8z6!3{Gt@tP(Ji7GY&I7b8}M@a25v5Z<DiRFJ)UMS^Wqj@X~Y+V?EHJf}o`fmd1MK zCKi^ahTstu61tM!{ORO0>tU62W;}F!2fS4oYqy4kc9X=s6jLh|%oYRcR9PTs%nrKX z&Bzkek28TTc!Qj4M?w?j;D;o9DI2oj4bmox2lZRbjEsyy<D*FPH>BOAaM2_Y>->#z ztWXZ9?lUwpH8L=UvJ60H6`%}t5-cs!cgGR2oZA3)Afkz-nK`I#2c1SpR!4YQTpW3& z6Lj|9QqRcH6m)7UXa_Cm3_Z{_QKWhK_NGWGG`8VuL{dNvUSne;Q_wYWpqkPQw2BTq zA&5xH1dEcgsgbycoWZ-5IL!5oOifHd<NM%ibS#Z6!J{Iiv@G?NBJm|(NWqMliLwBn zat<1KG9!0!JA+v$6#^SR`UG1LWoTppUL^!8w@JG;onuNMu?wOgRdXpMgIO4Xj$TJv zpJ@szJ&CTF`6P(877_;&!EGWFQ$x@>?;IAOWfsQJ^iD#VB@^LiO3@+*@RC_r-?9MI zOfv`Vqow4)oup0P*lJG9W3Zvw9yI-DW(t~eCwuzOKgXL2DG}cD2eptvM_QSfgSPX7 z&c7#X#!b)48()qz0{3+wiLe{o$+j>zH2}3pK|@kxjRilx=4DF8MJ0#|9MWDd0Clkq zO-+qKSN2(2=vkVWnphZeF@X-lC*f#vPJS<Z{x=4%GJ#a6xu6OacDRYTp`MAEg^3B| z@&^(MTHQ~c*oNLgb9?cb(I%iV4M?X8y!F7)*vQfVvLxTazz8%3Okxj6;+#7XMGca* z{h<3j4D~EQ$8&>nn7N**sX1u<A&C}?UUjCpBLoQu&=?o!&}R!1(D^*ZCVGYzmd2!C z!J;VWOjiCw3~Qj2LxtdS2y_K3Xgh(So|!S0p$3B0?uXBg*tXrE4GR~520in@s}Iff zOij$qKn0Nz=xQEQGthCtq;&~@)HqThAmCdVKxfJu>lv6CL2hC<0Ui1SK39Y^SKX7a zCnBMOyQ`370h+6U^d~@rv1IorUhT0ZYpw=S9vQ-$VxW|23_1n?bfPe5G}hS2#Mr=; z)P*9ym)nvRK`<wQQcJv{IjqL401cB{SQ>&m0_G-qriO-~L&ZtV&)3^+h*+!!El8kq zSChcgX=av&7U0v;O!YvwHXDP}1u3n@_&ZjnR_=s)S#H6;@%eeF8TlpgC|BozdcmM; zYe92rp!u96@bH<ju_fsKQ>4B9q&4E*S6P|jxVsrL4r!)mXkuXsKI_q3&(O@!fOLP? z-m<_~HyMFf214qlEO2RLVrB_imyC2yIk<%mYakLVjo4gFO|9fX!v`pnR3HJ@JWx1- z2e-ikQzphnpo=3w=d*!MH!&i4aemSS6TF@SrzD8yz&#uj0~7GHJF4eMsCXHhO>p;c zjKE{Z=6c3v2Iion#7xMYO_IB4gx6c(*(A8PO!O?xEWkH9nV9PtnHd;?mfE8vM1pZP zmD>onw@kqM(K(FuEKSTz3_vFqk~?ShGSPstRvV<y29*e=dS>R92B2n}p`o6sA><Bk z@JI+Op%NT5a1PMN-laetctI@^3W`#TQ}aqNZ$$wuB{9~sG&3~<Ex9p;-}6Ue3AtjT z7TytcaNPx6R}ls2(3u*VSwLB2jGgS8r-8AE!5~&B1!SUyrMVgCXe}c{J!2DNW6%lr zq@}ArQEIr;l{sjrmKr0Nub!%6>xY3#9Mlm^(^w%$ZxnmlL6ido(@t-?s;QM5X|)N? zqyri=Hw0Y+X9PMa#?VO5#L(2z5Y!DZK|c4JU@pETrfN#goj!)(=r++aGcz&<Er>BP z)-wSwgd%nPXQPl3?&vmvtbqj|AZcc5%mr%N7#bN{T7uU=kg_m%7Lz<VQ;X;=8&Ex7 z0UkIvHin#{25Q$B7@C02*#NgMU_}YRkS}+VHMP<KB{-Bi53L0bzRL)-)dFNQXc>U1 zo`I>6DX3XvVF|j($;=Equ1ZQ+-25R;d00TESsTG!bIj3fa8R(il;-AEa$!B!!ze)t zs~hl@qiLljrA26^s1bOpyn&vDfw>Xr8a89lT?6KzOM{q<%t)I_QQR*{^h^q*v@_K+ zF|af;0UeoZtY>U$VPt7ZYVz+iki?z*AxE2Y80i@r8kvFi#aWu_85<gdMjk*5u1Q!1 zwYXP;vRV`}J6Z~A?HO1anu4-CVoMx}Yr<SpB#7v7fg72S$~zZSv6zD{eKZ0Og_syx znp>bvkP^&q_D4mDnanqb6gj4P<|YP)hKAt5Ruj;@PvBaNlx!APCW<wQ6IhFfQsfvx zHi?28qM$9~h8A3$<_3Dk;Hh^~L*V%m0bFU#2(<JI)QdC&H;lkD(H45fpk@O4!C(aI zxu`~dQ*ur!KppRZ@4=`Cr8y%rOVIr(pzEqlEXbK0K5~zbtocI|@Y+n!w7ij#i8&}- zj12Wm4NO3bq)BY1<?iI8aP^fTtY_WA2<}T-7=bHA3&e7NaJfr#6_nA&Wol(fs0so# zX48ujE8`)%w88ljQXDifg5m~C!wBpQe8vC8Z=6{32C2orL99?SWsY#gvN)IwR|J6$ zPQg5I3{*^$&_ta7fYa29J*Ox+C)>pkwB81^(A>Znv`Gsz@d(-oK=L6Kx9@V|?Hz!Z zqCn@SL3ceH8t9pufQFB_I6>QKOie97ZFq2u5}mMgesYkRupndXwV=>6F)%VVFaV`6 zBXbjD(pNh^7T_Rfmn~%FG3eG3OA}Mjz5`1=@&+0Nx3S{wk%I>sAQc>FPQ^ga$kZIX z>B9_k#WAQ?h*rQ8EP1!|;I|PR)sW>U;2Ooy%)l5lpJ)tPC<vLnB`uy0d$HiIQ6Sq@ zO+d$4T7nk#m{{tWo0?jfl00H#w~g7<N*7B$#i&Vx;)_dj!M8@2gBl8k2Bwg~HjvHc znEQkX2B@wkv#FI1@iv=-dqu{2W(Ef4pj#u5GA(HVTch*e1jj}!gJ?6*T^t-{dPW9@ zCZIEgOfB>*4Nc5RKc9hp(?1g{QTQQL`K2ZCMXBkab2toyz|#ztmPSUPHjp7`zXv(n zQtMRznOLb|_Ic4`4!kQh1(es#4Gay9Ou_5CVI4}+f-a}|53Zmy0^P(1ZcLgQnuFH} zTUzLu7@8YfnxbVjg00EZ4PQ;LoNxuIOJN&X;7vDEJrfIKQ_#K{Tq_s}TDKwc3vN%D zg7<|(GaBgPRB&<!@0LLcI)cf)r23NyNg2%mayWw#=z3^lGgHubKWN<#>01J)%=lnJ z;W0jDLYR5p!oY;&eFDpVyfGmq&s)X{ftGNBZm2gg25r@_0IgRrFodpGAffhuxa^Gy zrOUt}4YLH$(i}reOYkB0;Nrp5$Oyg1L@+r#34Vj4crX--1KR+alLy^njM$|JK8p|5 zP9SIl&;4g6R>JTC2r}Jh6rY(V1UgvNK+n?1#MI0L)cXT>cnm;8Tcq5^x-;Ynk;w|z z*((On0Y`HKOAF8x2iaR|9r+)b5Vd5|AXcaZw8X&3!~}FG9%z}jg@rNbZV{BV-2}t7 z=jsC!!e{@1$A%%(0-zys6Fo}{V>4qz3Kk;QrQSB7Xw?m5B{Fm-uat|!K+n|3$P$#Z z3=Q<m%q$>-J0xysV0m-ZgxC!Y#<4=6o(;CmXW;S_U&qGI=c)<T9eAYnDWJP5jP%S5 z%}tHWLD|j7#2j?!B#GV56G<1by9m7w4t9>mu^$aS<qjGlFa^&qg3dc3djRs+o3ke5 zj1Zs<jTpjv!!^|CEqoO?YeM=V4Tg}Nv1Xtv)Qv%nTnl48BO?nF1MsvG(p)pa8ver8 z(}WrnkZf82Dh?@L!M!N?G;zg|F=RZ<OwYu^6f{f7X-Mw4Q2&RMCS)A<i#{v_8VJX% z>CM4sEQ7Nr(X~(A=947V^cLW{08|`V8d{oiaavmHnHrlIS(<Y(fey1L;kME9Q%;!R zIj_{n2(cQg1eCZ<4b3e<OK*^NftrCvnqgign7Ua195f+%7bw-X2{?%yBrcJeVQax! z7=Tu=kQSv6*X|>&1#1WyKr+)aHnuP}GUNiC_F!ych_SPsV0D|>zt4ocu{6k%*LFrw zO=oFrY+-2z%HiZSczD?MnGkilH=gzmXqL%H&(O%o7<8l-*;5WKQ}&opw)Gx<&Kz}$ zyzZ1e#HB_Pa4+6O&)Cew)EKlU%Ty1%v>komf?%$jU9uZ@uMJwT8tWMt8X1CjrjWad z*68>yatc<|+7xmCW(DT32^sy!1K+lhmJ5wyg&?g@Q!`^T(B54O3q2D{15?l%L-4!{ zte_*94RboSQP%ndt+j+FJS@RsW`SO{6LgbQ-zL(6!x*yW0JJp3z{uDFG%R9bXbxH* zL}J_TZumONLj%6@8?)9Wr-)YhxZ1=@hwzXP=nh=aV4h*DP#I>bw6G+(I}5g0n`nzI zu-a=5>WGn6Cn<qEOuW73NcMuV0%X|K%!FkB@{?w-8Ny!B>T=N8)aE8eh885x|E0cO zZDK{de@&tG8d#c|g9f8P{xz^PAbp*+UNcGdnt*Qc<S@{)w6HJ%ZH+TD)iX7<Gyv@_ z0^eE#D{=^y^6AQ}O{|PTBY*f7a)8#^J5`pXMuU6YkkS@BOar<J3A~d6G>U6#Y)W!? zUp=!D$1shN5ZngPT7Kw25NMGCX({*sP8*Dca7}!nEC3}~M8=1%G=WWg8G$zV8R>z} zbOYUOZ3(&`*w_+u^D>I73C4@9+;S6~XHA1=F(ECla!_*%)MW!LFfy^!Gc~oa1f8>j zVjDppd|+5kQfI;#*6=hjFa}L+b3m?iCVeDY-FGqR4Ns&F255JUrJlKoxv>T4colMI zw+~w`GNEX94P-$Po;8GsonHi_{;2#yTn#Tn(DX?Gs8eNZVrpt>30~j<+f7GWl$Wob zXF~XxZ^#T^GH6K8#Ml^oX&xw%LFaPKk-KpO6WMCk875W|;1hh}GxPFGOZ1)dO5%M$ z^TnVA9QB}-Y+`5*8usNd)H5{$Em<IS6TqFM=_bTojuI3f2`SCsEd)?n0*zRi8W|XY zx>DqgSefTcHlgeQCCEq#Xn8IC<`l?rg`iMJo9ZDL=sP?n;EQI+-cjiC+G<b?7?_(G z8XABi925g)B%j(}X54FHWk^&*1s(yQQITX&57OM!$im!^3silZn46iHLRLzWuvF~R z&2F?b1{s!*k2i?61fM|70XmJz+yJyH!GheQUc{z#lQjg07!5)hCe8<?Hd7N5bI`@+ zxMp1m#<bz7F1*7s;5~_u`E%-oHLpz<&aj5`LJjmNj!>!GP7~BS><|$Ox<(bW_`n!+ z@4Y#w0yH-Q4TXTN9U`%>!7SX4FYqAQ1TsBEoxp25(}pwfjKN)aDg<6YR1@yNGmRCZ zj#py48*zHYG!`_mX{={pY+w$(KGVd&5_BsQi4l|?-AGak#VA$?bi9?Zo~ePcxv@E@ z4`yL$Y(e@m1D#t96whlyYEjU=Jw|#KmWHOFE7wfO-SX$l)nG#S-X3s69bUDiP^EA^ zKC=pUaspof%Rz-i8=YK<GdV#P?o+{%(xc_Ly<$PFobe~N9H&>zV!`(x8|j&t8k&F# ze$cr+7NCJsQmgpFuyP`*c*ued@F<9ZrKy>b0l1bmH?Xh(jZ=}<cI<K~BPlbQ#0r5% zh$&xbVVhM#+z2s_*}%Mb<b@WHh6d=cH`0%+Pp&Q^DG1EKOK~|2^(>7HEI}98gNLR~ zEJ(jDF3GEa(kW3YmkYnw=i|&wMuy-T0KC2jw9f?8v@<s`G6Oa3NK16z7G;tY;-<K3 zQPM_b4UVPY_8!&hYHy8XoVFO6#R`E|O@pco&=NB)PBSw-b2B3gj5#KPolCd&B+@(F z;PD1Xw**{(pe{`$V~qX5jRca0Q4J7@2x(2Er3uNS*`!)*1RdW)@v#NynkN$5FJOy_ zA6K-*>S54<G9=o2kHnBRMz+`(Qb(AYg031N(c+sVT5JN|3kBLmYHkKvZHRPU4{7Cu zbx1rBONYRBTtbG>AUVsx)XdVth>O$0QqRoN#Lx(Qd><)mAYN+5k)E@_2c<xohu~oy zQ$tH5@X1XkdX|<3Mx<BGKhMYFYYaedZ-We1QK$KTQ79T`BgY(ke>G@Vn}r$ZZU?fD zUZ2tyMQDKuBosmCYJg6mv;gn&GBtx=j!9Y~`sxu$L?Qw&f`=p`>a?(nc_VRG0!Fby zt<>2%b7VrWiIo+hL4RypXP{dx%0MGU6puP{_y&<O*J4bqX8F(W0XS1Ec*!Ja$CR<D zi4o`&RkF_zUAfj5-;e?%Cqj0NfR{{~8kie^chVycOGmjhieT0L(AA6hWim#Pg};V+ z=9Zv)`9Se$Xk==NQS1>Mc5+bmq;RDKe1TpzsFw~qD$2+LbR>?cDaqyVWN!}>D+P?i z4L+pIH4l9A8)&={d=8^2Xs*r}v>gp}BO%6_&jf><ZIe5;VhJ=|7M}^Z5&}{zQKxti zUgM6tcz|r*phDkxd8r#tTR``igU=8%H8TcZKMXoS2s{Bn>PWq%f*Zby3Nqqm2&t$N zK*P}%MuukKGxR}Y^ah5cPXuPob;2>#XaZTZY+zsry0w!NX`vc8^$|UpI_ZZ!@j1{K z+|mLacy4MA+8%8JIwaH5!ieNH?fPHVBz24oAg9V2>RB3ESbz>-AiFENw#<scxeiF~ zfH!?WWB+F4Hhqden&V4RkVE+lA>A12G<|OA>)@<NAba~Q^h_<y3_&Nbf$rLZW)#wz zB3ln?5z!PuniH)6of&UxX=-j}&IMZV3O?Zwefbx`a=mxI77@dYP-~&<-9cxK80s0D zS(urbfwC;<tYXspXp1}4DPQ*k-+@h?l2<!S9cP(nXbkQoQelvL%Xd{$8bn68M>t5^ zZE>?v1*h+f45-!Y`tn!_XKt~87KY}Q=Ace4Xq3pv+{nTZoK=u|VFZ)yN;3tL3PZ^7 zl%bxXk%_6fF=!$Vw3QTeA~V=(SkX_=-ok(KL}V9euM?VGz|-oM=H?cbCZHw=_yijC zd`hqh;-MjnYqfw8Xte-!(*GAzX`Cs<5S~Je3`{^>ccc{pC=0y_24dJwDYO)VSRr5t zK04b7)Sm;N=WA)CXJTdq+F%7bz=MS46>k?wVOv=PYA`}KKSI_w6@lhVj6rMHL91xZ z^h}M6Ee%Y#7{O<k!qNr7=()u&Zem67l8Vf{lK7zF67ZB2=rklV14C1AlibA6*aF-J zAteulmWfe3Wd*4w!86l_CKl%A#$2G|E66*MZ%K~`-kuP6coEVP$OYv<3sW--(B(vy z-~$9KNMBXN;vkHzS&kINhLAWe2W|Q^G&BWUXK1WvY+-JUaUV6oIDQhzYeLLnNXa?y z-bX%YS*)><nJIYLl8K(Vfti^xdfq3PU!KYH;0;*tBp_tUKOeMc2sF9`+SyHZ6KmTS zPJ9+Z8tsriEp^Hrjd>in%N@vUpqZY9k&!9rl0{21Jrgqn3()ymq!r%rZR{pilJK3J z$$2G4(T3or4I~?sfQkYWb7NBjV=hjlqZGl1R}ozlJkMYvqIZy(l2YX7l30=`R0nE8 z8C#fI7=b3LKnpC*j13LY`dI`Mr{jnJ#-uLrgv4MrD2;;FbedX%5|4$Ukp<|uE|j1p zX#L8W|BbP%V?@e|kX03VpdiMwS_H*5f*GQ$_`k80GV&5LP)Npm=EZ|b*rL>Q&{3T6 z(I&A%*&zEYOwG+KEI`3*U}|9mx}XuI-9*rH_Z|OY^BmGqGm!LC0kXo-+|UqoKZ}up zp1B41yiC*)b%M6p{`p~Sr3(r<d`op*gM#Ay<1^zSHiLUs#-J<bK$RGLJsGOa1cS9C z{EIQ+wSo{EsS}aDEuV;qNF&e=M(m3YNJ}_G+GhrCrW)v(T9}y|qwI7dZA#H`<p*OT z*Koi`$EXvqM{Qpd6R?nTWK8uyhm{$dad8@%=ouLq7?IwYn}6(uF}}@$;8khVOgRR} zo)F_fQ}EHXCVCd;hGwAaA;2Cq1l<}%V%|9X_5nT*LaIba;|6?0h^djK1!yIdr5R{^ z(-?GbJ!wg`eB*6n?3EQ{CIWK!fSHLgsNn=&NNWggw~}VdsuMSjDLm7{9Ne5W)B`Qf zHnRj3f#i(`#GJojOzFuIkf6y0Il{=;&;+z{+R{?b1T@EnKHf&K9M0{$ZcO0x6v(h8 zxPJ%Qi3qyt2gghlLEEl7UoysVn2u4jIXD#?>QOv4YT9@lUn+)-ok0SzixCux<`!lK z7RKN{3R%+z-o?j_DOzz4DKpBzZlQG0pzrY!V-hy~Knh0#JtIqV0}F81-PFR&(#(w1 zg<C0d`|wn&;M0siH)$AvR>$XqJZfZOYG7)@#c64#XJlq>0J^J_v|P=9c_-dz26q@B zg%x$G8y5MU#FWMcu|l<=2AK(Hh~FH<GPf`^2Cd2>Z6{7_=tg5JV`7fHLS7&S3SaQL z79%rDWAIWuOFc_VBhaRG)XIQhX8k#5r7<x*!IVUJ3k@`83ce-M$kGs$oeV5Z4M;y1 z{`KGa#uS}f0@^tWZ)((oV!+hQ)X2n$i__df&)Cw?0JLBWB{B#G#-DlfjR~L5oK#wj zb}Fs`WU-T>o&{)`q7fG-=$tEK6LZoRJBgRfH70pmJNTMINFK-sg@d7mA^7MBOEW!l zLsL^rV=gApu%tN`tm8+p`8@624BVL@8kEIh&2{Qzy1?G4xa|WKE0A80Ikg(kIqxSE zV;^k(-oo4nv_*{rwAKeSBZ5|J5=>Vz=96&Oh>&X}4E2l+%s^)ma9Wz`8G(+dC;23; zf;U}wi%Rfugpgzcu7r#XDV)Z8uGUIQB?Mlm0B+uyf{)lVglxeBja;K-Q-Y~8=U@e% zS|}RQfHj2p6xWSvq}4+E`YVhHAMONRTmz})q1Hkch9MndMVhr%Eks)j86C_4)wu=+ zMyBAUOXM~eRdY+R4HAI*@zC*R$Z!jMgpQ&~P%rL0!pRomJ<wG^26{%IBk93au8|q| zoNmymuO#faa$cNkOr47XOk#yHAt4Ul=my%|YzYEr<paS4z3^?iG4A_VU_~uxLArq+ zrQM_jwz0-U9a)0h-iVKfZv@MWHx~jI`i5o(rbZT^`o_T2)WF<`i_wClTMBJ+!tljA zBo{++6S!70wXg*5m@+Zb1D}IO^4Rk#ZC`vA8iC74$Q5`sAa7CHw7V1RW=#4KSCI4Q zKxdAdTY^tRLCoWzl#&E1oqd6>#)Quxf;DCgKnqF?^o)%SEG^8qKnqIDEzAwfjKG7p zB+OM+ggO#oYjJXpb6yE(R1>t6)j-eC$imRn1RUr_mgc5r=nWQvp56J{f%JA0coqjz zvebgIv7wQ<xq&%|We&Rk6ueg$=>&R$j?k&JB6;8%DZQB*o0}L}a&cOME;leUHUZZa zNV8}J?SIB)Vr*pyDyH!bx59_(;Zr-{r6tB@#^5<6q^VHwDXm1e85I<?jd5+3HiDe6 z0_uPonVNtj+St_8$eiR3=#qaL#uQ!=2Ck(c!yR>?6lZ8|WDGi9&ji$kvNR|CyyWI| zIU>7oLGh8G#w=(TH~3g#aJgm#I`tR4qR-62!UEKT1V=p4;a=#?kEb;RvJcXDHa38s zz=7gnb4${@Zl6wak>g?WSfOH2fLoZDg6=8eG&BYsscZ;3yANe7nqWFN31u@T;&92* zBycwkybr}d&&b5o64X-$pCV^q2)cj^C7cP`S}4LwW)TCQ&ot08F)}g(-QR3!tY>Ip zV1RMdJHe*Hv_=-fl^A5$3zE7l%q&eTL5nqv%#188O-OB;ytv0qRO&Lagbs+Hq%KQy z3vi`{REiPwA;$|w;;K#b+yokzz|lHHRA2<HU((5FjCEWB--)8w?~O76AF~HK7{bH? zwAY*L1$9nqe;5%t`2t@>hEld6%>bh$dV-;0#q-ICxZx?3*f0hk-3Yq%&BW9YG#&!F zNC&h`iPYrhwf2J%o_Rb-BN4hZ0(6Khw)hA87~dhXu^lgssB(y`30fY21v|;r+=GTk zMnp9oQ9>OuLj(!}12fRjoSB}5F=($S=*$yRa^RlX_wWQdqDC<?hg>^opl4uV2Dz3V zaWXYZkxnq39m&3CMAYCq+ChpS$3z<%$Hp5PgQgZh2cm#nV+p=z!Ngb(wvv=I*X($A zg#y=@#Ks$%M4QD5b%M{BG_Wu=1zpK#Y^Y~qX<-h!x0*E9NS?Z6WMxOx`6R^|nQ0|K zsp*-;C8<S_u!)T~1huolgVnII8I~?d?m}_xy+B5~G=>L-rI87!PlGfdLRwJx*qtXo zD9mHy&7)1J=9YuY&yw#J<Jfp(kXt}gQ=kNE3fj}oX=0{lVrT+7o(i-=kc6Y`kE@(E zva%;;76DuSv51Yg06C@ymR>1y%p%THMplmGItH|g$<PSo9BB5TG|jwwevJHhGL4Nl z1-S)Quuxdc>0UTSLBV1W8*gX;D&{IdeN;oxbcX?WuEEUG2(-0@#Hk;j4abbE^aynq zUHtuA!OL?XjY?>wP+Hy}-*lAxNHL3zHv<I&xPfbEY6Q9|fCF@tzJ<9Vc!3)!m0j4J zqr_EqW{Ap;!UBE!#G@3X0!U>Cz3~@Rc2HQ^xwjmmz%`IGiB#E9!!_hqcHrD<hSmrJ z_v_8gNk2z+^S1qDRCea@3dYRTzznp84P}~xghG9f`hN2Bh(T<;0qFW2SiwbMlFjzq zO+g|tjEy%0w?bg02Zf2`?e$&chYYy%KqOd7s~6_EJIHqnC}2R<3wQ+1(8AOd)J;Sh zfinU1mxvw-lK0teglh!O7+!i&>f!#YTPa8ikkSi!(<-R+qA+1<Rc@ldHAb=VhDM;~ zaRF#x%D~vfz|0VQ(SW6eg@uU)$rlam+qcmO-`YW>722?tpQQ<Crvlksm2K)9DQNkD z(;xNn!fU~e<mUyW*m!WW4_0DPSVp9WuBSL*AVS81Lf3qsu#Uozp`Kf!zpW(SEwB`W z(w_uZv6hykpVggpWCa;jtRY$r5ADuk?7AU1>Oh|LMrh;cQ0qxwO$WA~sI^}x!#pPN z76zq7Iiu=w@>2q=*Ekj2Yc#SnGBq;<m7?TL>}-%*Ze-<+rPGLS*cJ7L@@VMH4s@>~ zl?vF-<I5;0V8P8W>SbL$g=OSNm`Q9r%CHoLMH)qJ0Vf_rxKNsqFKH|#KU}~KLd3Wb zrCr+(^OunC7Vx-`Irahuv``8>mQ8enurzoHIivSTVL@T*Y&*|l^1}k$o1<P~$8dZR z`ECK{9n)ALDita$+KVVCRKSVEY>+qx97|@913EzMc@rZ`GcHbJ13fcKb23IUr7IUw zGI$6XdpALZjhP8(`zW-E2IU~|d?e91=$+z13L*;Jq%}u4hQhY~BW6k*15Uz7sfNOc z;!R#aLC9Ff##@5=U9bTG3ddE$wHA<{w_&ja?RZdH7j^%iPeI26GM<K1(oi@v;N&`= zf@p$GnZSxY3LW!}Z!QIn0T+9SkTEkcH39AOGt~nvz9c<sJ4Vf+z%k$iY>d4lLV8bt zQ-2N_{UAi$h;@pQghdZEKW34if57c0B)3r5u4F8qNxoZP?QLjYrnK9>S#vrCc^TAK z2H$@In^?nE8Idp`yg_<8C3PcY=nXMGO=&~-QNuLyBMKBYpg9~WRREDJQ^|J=xMnm* zG+`(#<<hQCrX-pmO&CNuXF*{_9i%srf^rTVPbO3go3JMnC<z;oYYagH=2WVXz|J9i z-W=TYMT#g2n|J&VCQuMj;8qM$JDkF@=57u}5d}%ai1k5~&V@aG(@#M`3~`MS{&6!B zs>`As{p6Q6;FbgRy4dnHedN0ZT=F5RZmh9HLbxonr@$?sybYSMq*B&?I;oe^+=Mhs zMPZwU+n|?%<O`{|5$g}IwtPuwNBohZ+%?9CUM+>yrQ)?73L*-eYLLoc3M)%liW~!L z2}9d^ShEue@w7~*o07^BGP!Alh$srfW+PiS1rY_VED=Qwr7iQlkGjY&_P{+!q@fTB z>q?#5T@(ZixXXgnDyOih@spz<V8H!-#IQW23E1~T2ZfPj1Yfp*wTnwaX8JO_gMuO$ z(t<!FUrGmw{!F4EWWXuL6wxN9FkjzFZ>OY~0hPeu#XYc!o5E(W^P@Hjauc`+MpTuQ z_Hhqqv{I02ASEzT&k|cqk<h-{Z{12sOhKH3SUE^(J3=hInc~1fYDZuT91=4468>fi z(hWFIL5^ZD&@(bOGcqv*9ZGFsW@$+Jx&pVRCgRqVTEH_grEy`$(nLwpfMjB0$T=pU zxf@F(&_aC+Gd)X7(7nB&b!bSdY6)(Zb5d?JBKaH>q}5T-VIfK@ATilS@+%;4Q9`{6 zXxGyQ3UUi%UI5nyBa%0AoI2e=#(=W{ye&y-a!p&<KtW~&uO2|`DxtJ#u%o?!{8R!f zIG`iWlr{{$t*xgZl|V{(q`?IW=hZq^*OMPI;DHY64FN5?Uq?a6K>E-|@bZ?@QB}o1 z)s&RC@a#;bt|HgBDoUIKY2G3R$S5s$XK7WCpKidp8^<C$&@>-uYhcz{m6Nju272WP zXhj2s;~Ty#<&;DXB;isoONSpQBR^`ug&op@1`0dQwQXe-)B@lU1w_Z0(kh8xhk}3s zcbpMx>?zGZj_*n-DC;1F3u54hQrBq5mr@W-;A((+juE|3LV;tz2^guI!?uQigg!@n zS~2;V37me7(boDwmr`4R&t5@laT8q2yz^ucIpv&TtWYCl0WZd>THtaP-@f^c%ZrSx zTrf8#6Tf5^axw{GScTG2L5{73<i`g%p&|yqC~Z~#cve8ZTfpTB(zGjuV=9mO3n;0B zAiYDR0aI*MA_?OdS&0P{<YDln6;g#r;TqNFoAStyC-6uzBA&38v?K(K!m2z<;tA4L zK$Nu>6egSbj(HTs6D&VLw&@yMT7rgDO!Q1Gj154?k%EgCq8DN&Fy|3Bq=GbQhpqEN zLS(33&846chBT?E*H%iNn@d4lfYT|WFGguEbzK(aK|{S9e7!D*{2UDKZ4g*6OhSv` znnorC(F88qsMn-@B%Vfoz<`Ipj1e7X3d@qvv#FF9ZHR$=tR*c8@w8`0Dg~JvGR}fj z&{8;dJtsDqf>cB0BrIh?iDSSm7xP%iagv6X28Q69LXAPEwt~*H1RZRGD1!-(BX8hI zHX?k8CG<E+MA1)a`F2=7o`Tc?X)Ym6&{3Fm&dA15l64@7m3j@^taGvCmnz_8YKY?2 z#MlgUD4!wXo*&W<1d{5EpdjObA}ShoH-e#oG4w!t6H^N^?jMn=iy*FrLcKxA9mm5d zNf?mkB2w#>!rpM}T8f+lX)z%t1SuWR0Xv86#hu_56Ov;nJj^3`VHgDo6<oq2RW}rl zyLHc?*fB_x+Sp1rL=jJL;G$_|C?$y)l4{J*hEbp!zDPgxg+VWroM9B|#Z9zKC<O@x z+-Rd-1M=$g5b}$9@K_u5au8Q)2&Dmo82F=fa7V?7f`9>cj1hGprRAL1h9F7<2GPu? z)G=yGL6qcXNLvNr91CnCO(Zn)Ju(9+aSmi8oqEelCoJ)$AgaK<Lqxivbabb@z=s0I zfZO?qAwf#}W4`8|6gURlkVE8dN*$vh=|O(62X5gaEgQi$3PnN+p8VFG;;sl%g+O65 zhO5h+{Ez`pcpyTC(xN7VoAQuBnzEy?@k^O&Agvh0k`}6j4Y|kYfy)^rw@{d7zWj0{ zKh1!P8AR)f(wq%;3uS!*gkvbJoVez?Qc&iBTM^V7WLVegN_kC*7-XQdWB)1FmHb2l zPQdVfy`hPvp#|uoItxQ%69WshrHlmoC;lLpsAAmE>|a`fdPTDlQjVdpELnNkg?!J0 z>q4YvH#OWsc8&oT`P6gFPFEKSatydEK{&>O!d|qxmoo*90cT%C#Z2i!bv;{0@{<fW zU<Qd>z(XB~nJP-l5wZ6U6f~b8BV>l~Wv`U38vHQZfr2ChjwZx#9i{1a(`0)}N_<c` zV+h*94_m@WVL_9nV@HW|AYC;??xwV*pkQZBK~#auJw%6u(o{VAgBAI?8x&EXQEq5F zQR<eskLHxb6QqZ42;bL5sdM@a%_+#>ps+Cn1rBuc5~Z&Bu+fx)R0AG!MwB*`b^>@0 z=~Lhs*zg^6D+Z+@BNVDhei8<|#SqV2G--=TxC1pPNy4VF@rI_L3qGJZ3NxNabI!Ye z>XbVNspO-uAm)FpMnSRx<)~<cV<@dL*LP9k7;uJy?dmcxw=^-c;NmdSGcz+VB>gI^ z<quSen&30EfVUSgQwC|%oBGF9DToSiHwbAgmBRLJ@(mSAk}0HuCeX4YVcy8>nhFI4 zKV-lKQKV2>ywz`0rX+CS&VklLlnyQ2+^9@J!T}ez)Eo7#G*Y6#G2ro3q$R=>j;AuR zDUn|fftz*IThqt-K#_ug0hhE0$57hf<@%{Wfn&h2glO<mTJR~9$&w#S;8Nc>R;U_u z9JP^&sj-1Eh-G1F3A&7q#1%*j-bx!0zEl;u0?8~kJ~JM2Q4TaCQ(CUn-jJpsR=_<* zL?MS+s*u)DS$#u_l0pvBZbGd4q%_5fUX~(1k$@{l#AF1e@pNp56a}>rq;52XA5cYU z$ozMvAY{NJQ;1P+N<-%R4@nAAFt}VnbcrZ+4F60@90N`>i0njZEL~QWq$E2*5)C4v zFmpF)jm_&C;uJ&_c;yMA%|K~Hy|NUez%k%KL_|bU>KN}`B9ufFsG<fBGC=!_ln&gv z9}%X+Igk+;0u6BzW~h(e5~85!gSf^pRtS6tl7Xd}v86c|C(<2A#-Qs<iQXrYwMP)= z9Y}~qB&7+0Z?_=%H4iLtg7?LMZU+VJi!su(Ff%qcBYAd;uU3$_PN^k)^aQimBCQqB zdRTyx<OgX5AQr(=+Em;;lb;gjK!*4c0~S<q4%zc%;Ofp8wtC*s(%i(9i_?VM)$?b5 z@)5s!9<i2((%kVfnva6A8{Ef2G=(U24C5bO^0O*9IuLH5G;KV2PpMlFbIg>6i=hn# zZUOhO5M%h5+jdDSQ5Y_=Q&59I3KX+gA#j0eY-(g?3c3~*bS}K5v6&^Q^Zmh|ta$Hm zGY9qYOhG%BsdXEO+zKWN@(Z{+p<d?Asb`?TG2m7pBEwLcNMjj(8<KtXHMk!`y{-e; zEo2w$FvmcT3BoK%NXs#Ovws<qe_uKz#~`hJqi}`S=QK)O18E)`LG!PHxv{aKDQJ}5 z#MIc*jO23=)+PTmv?5};jv=A}OlgB?mevo7BLguKfw^~$w4`CZ_dCU|K`bkyGzSYi zeKDlqGH^)i4AGOrj4IOlxGy$-ro=h$qzWC~ql$CLE>pmxdx(ZK=2RPL31^!(MPUOe zR7{{9Ib$O;GgD(O4l_Li17mX|lC!a$|3^bBN#s>`K0(et-tn37M&PQKO0&}Dhu@Q5 z!BDxfi*|WUzFWX)0a5W{)-R;xlR!}l+yZWq;G1_OEnO~O^PG}A3rUye(CWp|$lS=- zh>OES&(PA)(9)RH)?w6_dqh<)Mu>eHlok@ROYRw3kzGiD$1D-G3#AFer1%yEwF_j( z648mFifhPS<_>O>Av*q))^vv67bu7(@RTbe|5EChx#td%UzWgn;LzbpO5Jjn?+_*V z7m{XRcm5g}nV3VS^9;<)jX}*r3ob@uF4*`W!2<=_f9^B1GS1A)EXhpF$*f9^2Ujur zCFPm%&||A|Qj7Fc5=#=DDoawM!JR!baE$|PxnqU~X{DLcg*_BxAV^^bTiR}5W?*h+ z25KuC7#o`yfNzT@<;<{uiR+0f%nVJTrKbUCK*|WTmfpzB*uawHV`ncPSw)nEMo41| z81wz4g?DA=0*b>MR(Tj$8k!oLg1m2FW@2sxzDkmm@ZOxZfH?0Pz?Vm2Zd4`B`=M8+ zQtW-iiQ$IEpe)B>tY>IsY5+QmleAWa?zBEiN&-mh+aOk`1AJng0fnxaJEejAG7?-~ z8^E%Kk-4RzC1{O-rLnOA=|fH@ODl*<7lv>P4U8?#jF6{En2b!hm<$oirwA5=;@8Ry zt&}hdLXd!Cd{JsTsLYFxHh@n_QyADxH;O5!9l?zl#F4C&mcb>5iYQ5k@Ish6=`hP7 zpZs(P3mNd(r-7NdfrTNcJ}@#dH83$JwQ-v#nN3_eG>a7~1|@d`BNH=A@U8E#+Smkn zwvJ#TTw0o8NceakSZ!=brHp^7EsgvD2e%sG`Ow7B*wBoN!%)xI!qD8D<O*2BCxN&C zN6hk5m=7nKMp958P`Ly?`ZA3Chyc&cP^~g(_!vOGQ@|ZwsyXG-1b_0K0v-oIG#@BT zE#>EZC@Cl*bNf`QLr&&-Q&5M%LIyf=L}AF}t@WV5E#Mv&)xyQ_x*G*<p>95^4sj$u z@q&sMP?G~vnVFgxo0)^kRtrN*0|Sy9+dN%%#Fedxks1oq#Lres3d&YUKbLBW!dumX zf<yr;(V*kp6b6j0l{p0g16dnSwScL=X-YxBz>+9+ntsn64av_f;NfSg74=}JI2L85 zXOy^Q7U`#D7KP?zmc$$C=NF_FC6;8Cr9%0^LGi^InQ0|Ksp*-;C8<TA&O)>yJoQp1 z#khB9P>^Ea^$w&UF)_EWFaZ@LW(I~9q>qx!yQofFL1G*$lmc!Q8yFjznsISL4<Q5f zlP$Rz&ESJn1dkk0Vpm6-{K(9M3{(Y08yUq4Re)_VF*UL@2eV9#EHP$S2)4a_4#*i= z>4Ext_(sB9{QX?x{o^y^jX@nkA?j3~iPt14$bzsIICZjM#1>KVvmkgK4AmNb6gh>u zO^TCtq7=jsY;c4+Wx?N1LKKt*kQrnHSclcX(##yZ8^plSz|7Eqi;=VrYv>y;5<08~ zLaE^ViBTRf8Jci0S`uF%m^yRdED#JWV{uz!#KmYqyfwNuEI6$J4aws4jS)${aeT&% z+ZuS++StU*%n(#+8=6{JklwXUW@IL=)J6<7Q`pM6mBmC!XNk(q9PVG=4alE0gtaXo z6{vxsrKKU}Xd_~cIKj$>&-uFn;n7CK{1=5$(YxUZ1)hhM>d+Y<3f(ew*I5IKSHR$D z=8-lZ@aEnDN?ZdO`ZR!-I7Vic2FMK^QVTYV1N#h6mwCWiIzG`z1skR{23(Bh#20L9 zT=(L%2GpP`0~gbVMutWvT%5+{dM2h8CMMv3MVj6rn8+2r?J%&?Ce)&Wjb?(5PRWDV zYhno6mu{eEVs2zg`prKRSauj#setD(<1_Q}OH1@!5=#=}F%GD*fX5no^OOlx5t<So zoZstK;Rw#4XwdF=Sa2E`T0(-;!o<Q1eX@{Xa6VnJoRr`+junF0YiM8$wb#JV6n*It zL3>TtEg{8TvsfWWG#VKiSb!&i%neM84N2a~aXxcCQPF4_D-;7xHU^lv!kDD${?x+R z237*d2`3OV`U0)xF-<oFwU%Hr?F2h9##?9MNF+YdcpDQ2T#O{7#iMVg<FF<u8nng} zR~r<ZyNUKpvs=FbrR!}CVui{f5ocj!Y64+d8km9|LP{~i@xIT%N|#VE;~EqM?zcgf z%R&34=yA$uK~h*NWH;joYaeh}<FUnr_|m0ECL6ac7I^#EB&3^gt}Gn31VtN~!EM17 zVkGqBuTG7|VT%vg7D&lqU~FLqE;$Sgj4dp{3tAXSXk2~mjxw-PA(S9e^7B$5TO<q& z3=Is-P}_4xT%bw-mLCX~k?~(6iD=Im!HZ-_0}@oTT3DKyqwmQeXkDb2DJexV!d@c- z6KGXnZftCXJ|Rlb-dn}`q}Xc$_pgzGIm}*DV{?+T_%{nZQtUOwom@#<pwXzIjWfA| z&bNf@(Zr~D7{MNdB_4t`!?(4X238Wt^$w!ZXA&z^04W+FjTCdxHXZ};S`*SNaeOIi zfO{W6w6Otb8;>DmR0~>eL2N`CwIglo>6D2gI07#y8Wea?TQEu#()_ipk_U$^KGBAF zMj%PEC2bxz4qJl2wv<BR$jHRRh>H{H_7+o;nh0N9xeTndkP`y>;BvG<Eb<z>9H_OH zCgxn6Mkacu21cd^hM)z2q?DH|{+tF@iWuc3NWd`~e1wNNc(9W?sa^CZGtSg*09`F_ zfH6WuVwUO-X2fAhP_z-ebihd9q$LWY&@cMfJ8MDFhOmYI29%Z#?(whmag`31)Cw<V z?K?Ou2?F)YpfOKjcy&#?fzuL1PNlFssC;}KN2K_GBLy~sV`^vu&Z)-c#^z?mpk^N_ zS?0}*>-t!l7@#Z@o?ny#$u)-HTmx;=m>ZgcCnt=I%`J?<=?tljOR$PiZNH#TOrO@A zT4~#E-#Hw?7!+*?UvFz*U<$gf3UN9ClM#sxC(qj_^$8Dz8N<^#g{kAC$}t>C&?nl0 zT9!=L+l12+qgWwGp>1epZfVBFX=$lvVqs{6G0H)(DR%zSDt#=4c5z8!Nouqqs4|Dt z<<P84VYuBtxeBM3%;8NGLvssDE>0suJu_2FV-xU90#YI;=%qvQtMsi@L3s#e1`Z?u z&QwOmAa6lBaF8iKP&EPVIU1W9nxU=lBWU3!m*x5-O!=YPY{tcj)EpzNWqEPZQhh63 zqN;k+_;>?wNkW~doVRQu&ZtC`!W0(uY4f^q7as=HDx~n*LbYlhuPvtVLW3G1hP4wA z1Ugz38uv7`1X29a&=N~{)Kgd>?Fetdk-&Vw^%Jz#H83(m>)0D2Pt6f5A$BaSA)<Ps zT3fF(p&Do2M5HtdgKigFAx=vSsTDu3)}-N%A4CB_p;z$Qf+zrJX$#e&1?(5B1%MCr z3V<hkJ~-k&2sG49ol+*d$^)k*h^#_kDRbnLHBL+5r)PoM2dE2=z%6g0M`pa^EO1(4 z8Y=|r^@At<keULdS<-r0OrLPC-xSpLfgG~}?ZOxsg2wWY+up{cZF$+P!$m~lW(r$h zWngGz2=2mw#!Jo7=W_@ar4gdXbO|@MK#e`uym;8Q_FTvShk=0w%I+S}DisnoIUahN zqf5AxVFqiO8yJ|FnsRYknCpRNK#fUmR<f#y=;CjhLyknPgL%%#49qgLFtjitb@0YK zB1p%|1Qcv2jb<DhI6;dOL2XI!P9|vbH83!S47QsZn3|J3JE#&RtwX{juVJiEHrTVE zF-(ZHAQpHkjg$_!Yr+m~EMW`^2k4j;B#gml-hyo~1$VYAK>&SU3c<8{ly#w&l>x|b ze1Qy-hguHVA`6~5G_*7}hIIW6EX~X;(5HO~cKsO`7#OUiQ<6$cO7ioH^^@~Tj11zF z6N`!}Vf}(6@D5B%V>8fRCqq3`6Eh2pcqGmm39Q!S#Ty6}gU1&QEG-Po48SubmL{gg z#v}(M*fZ*&fI<n#l+>KW%H+HfG>?HSHP*8<w=@O~tD0EqSz4N5E(jnPd&F7_8Lxp^ zYGh^#Ie5|1($bvt{yDLhLOPXjOO4GeAi-;CX-PXvAwvTQOHC1$T9P~|M66FC84}4- zNXs0QA!%nRq{b`&r(O#aO9K;#PYsPsjg3jJ!oWVooLEFpy`cO8ueb~h;KiK*7n7kW z^1^50QZDAERPZ1Vq9`|p*U+GX4#_&yL3844BYp)mBxqY8dyhdjBm0ybtF17~1+-es zE!a0cy(qCV9vlGC;Nc)cNUm+h;S4k62^iu+z>*wiKxRnlkpiE?Ko1-!2B00Fq*QI- zRAx%Z5lN-RZbhl7&Uqz}!DdL7hBqld$<AEQ)Y8-dwDJbLSqRqZB`y>QHYt)yi-SRf zjfiw;2wV9B_BT>cFq)Gd3z*pqEit47C+EQC??DzK+Xp_zhBW_Tjys~;mlB+kh|5AV zP_vE77N!;z<rl&F80bE<1kG5GW+B0qcm?qW@tJw?2B4lGWIHp+Rs$|BLjy!wHU}kV z(k#ZT@6m#`I5h`sX(3V^B4r;lT3KlbUj+~HD5^hAX=SMq9!tUPDOv=sF&<0F$SlOg zs0kiR$taPCv(yy7r6epP0+++YSqi=9)l?63wJPZNYEwNkV<RKbt_IS^0>F0K=cJ|) z+H~d|?^~Kv5|mn8no|Pm2cUEa+8MbxEcMJxP0h_g%MmQ}EG!L8Kur%a9AiVaV?fQI z9!4%sLsLB?0}D%Y3ocG$13d#1b5jd&I!BrsBCdDhK(bSeEKz$(ogn8J>RFhXn}I^c z*g((J(##07A&rcXu_fO*u%Iyp&HkAf8-W_7CVB>@h89NP+(?I@F*U~w8Y4?RGZPCF zLqjf3Q%gM)Gjq^k7N{*b;$n*Qpn*FF6g2IOT%0E6dgf+^W=5d%zRmP3Oh6|(q0R3R z=N4<CqA4*Y1)7=Aa}uYCg`TOAv6;CEcwxV>nUSRl`X~uaT>@#~wlG3wRt*g-O)a@N z4K4Ic%`8nV&<Cf8bBP66VFHR8(DGq3Jwp={O9MmDX_m$&hDM~H8VD}t9EjOmi?^Hu z?RcbCIcH10Ye3=B3o2Pm^~}soEI>n*#)f*9rdSp;6Bj*1Z97H{8T68_3*;VZm2`Hb zhYj34u)r|}MU)X}=?m!meiLIuOLOvyIx+$WUbsLf(m{a(s*21FEi6pIWu2j!v85S# zwGtVD19uN7a5^A`orQ(DF=&mfiJ6|Iv4tUMNfH@#k_~Y&g`>0sJH<i|w0Of96fOpO z#-?V*pfPDWIt5bPwNkUVvm`H6K(P~ry-R`;9>jI11&Wh%;ywMHLfzss^NLIK{e`G$ zc1j|O*%FKrj1mkGsKBJaq`;`asKB7WAhd^>LquphGZ(k7ud}a0evv|ej}I5Ob54G8 zwt|MQN0lZQcR)sBajHTv=u87HK9|h0%#>6G=ls&VlGGwD0Z^+mvmmDuF2V=VYoGv8 z$pz&bqVbK;_{L~_6Ewal8s7}Y=L*O#Pc2e#$uH02;tESn&de)O&<NKAvlB}zKy0De zj9e@r{~`7047phR^7B$bEeP-#%-{|mqZt>Y0T+`gXkg9=#AGx8k%+D*gk@@C0Zs)L zCMM>l5}Xpu5}df8f|v%g={kjVwpJ3!Ioa`s`rxR}%}vgYPfRHTM?54_aFGfO3QP(N z3XISw14SDIgCdbhXwn0A4o0D#2kczJ!I|lKi8%^Ei6yBD8onh(`o)@DY^n+#nduo^ zY;K95jc;5$!8w`9sR~dYD>$mSxP0={Gm{lUDhpDD!oh1GL1z~lpzd2VG38=1MjW4q zx87pZU@|?irrE-ZGbc60G#-@1aT{!G$^}{^2<zk$G}w<R6=JY40fS8pxR{I(lY#^d zcCU$r7;HkoU?X$VTr4;{5@N6!A%hLMm<);B2m}gahgCKZgUulZr*UzbnCTfBSr}P> zIuK@h#wNxFrl19w<|q@v1cTx&6Q2d@663_=_#{vQ2FGOsBNvB-o}r<E5p;6G#L~pr zz=Dg(+>(pQh{*l)AP<~o`fYAyOhnr=D848)F~u<@r6{$y7&IIeiX)wZCV~-NdV(I^ z8+p&%iqk2?&pF=HDb!7<5ueXUN&XMM-Zi%}A*Pza@LDmrTLCJMKv@tx$z^G2Vg}mJ z%Sb}vI5K~_xs^H*F7SaY=;pLE)w47(GcpBDtefjuni?A#nSmxJkWLRK7@j(pCz)G` zBd=m~&MS#`O3uj!MH;9%f!I9)y2H%U($d(#l2otFWa~G#qNHVGDpZ6mkU`6^QAVZ- z`ggB+o4J)5;RFm0V^HEU(K9eNH8lohPfI-`GXrB&6D}rm3)0Hg*MCdMN?eAqLZF^B zdK7?%Vo-<V33@yuw7}eof}XUgPzg#5AlwAX!6cO0JSLguRvJVlbI|FqRI=5NDV1nj zjbepB1t!jzHzIPMKB&M<Y)&Ajz%-1-p5Q?(d6bz7g7IF^7f)n@w?MZO8pWn2pqUC% z3Y9C)kwn^Q2^#A~I#vpaNn)}x4GA;1QYR*38-mC0k!*sNYnGsWs-y+(wq+s27TFe5 z^Xc{8AYy$AJ|qa)r_d-h0q>F~B}#Ws^&-}%xZ>2<05ru$ilw%_uEhG(FjlA@JmhF* zW@>5(UEpG7U}6lO?ILzG7L;Stf}PB*jEN|pf|18>KzmOh4lpsdFtRiPmzBoGhQ>zd zn<xn8Sg|7x<T$_*5(1`rmPQ6<CYF#jD4?-Mk{wVXU{8(%%)!Mbr-_lCv8ja#Xmb{* zDP&=3VgcTDN=h7j{ccTUeTfnWpq$+R8jP{fGd4ChH-oMIF*E?3wuCZaMKBir+%_Z2 z2?n66F0o`e(o_G@Vl$$vEbu%ivaO(?x3n}c08fG<tz97K@7`uLV)L8{B*hu(8JJoc zKsy%3=9UJaUFoC+cGqfEa#EZbB*hsU>sgqZ85)8Hyv+2>Obsnf!4uA;q&VeCd}Kwp zajZ}s7pIA-o~fCk5%_9TOFat<6C==?Q_{k~Mwidr%7}0UhFa{HgX6#$w5QzC2y{!N ziJ2Z~LnLS`6>0YWRpuin4#2aum?_T^bi6G%-xEDfP<e!x*pz1s_O*$Lp1HZPff1;% zHPkaOwlJ`?0L}T667Rj+xru9^p%ygI>c&FP(9+BhJOT|`Utw%uM6v@`Ph%p-0S2)` zpa}~jGd&YiOAAXAE>O8^X<=**I*Nk$jclL_Z`zx`X2c!mg&YR)@$d-(@R+;_I3Iux znliC8hSokNrpBOE=A@;=_qu=0NY4ir=*2Fi0JH$z=wb|NcMzQnn^ycbBes8H3_5^{ z)5ucKz|z17)JHTj(K82+#evfy3F8`8FMgO=Nun&?MJ&rQ0w;GPb3Jnt1MseA6C*tf zQxgkIl8d=|<8NkUG;ScrfEdD-!*Lksf!BOmaxoiQm~b&08sQjcbaPXXU`33yDu9!l zz*pkJ*Acv-1zewliZ>&3BTFt$Q$sxiBgnc8(vns7f-h#ojqf0*a_~+xa7HuHGdHv} z0#B+~=owg;S{Oo3X~5xgKYd3GpSxMSr9wtC1&?_d=^2<>7=oN*VyR~cN*0z}OlF3p z4NJVe`jW^nK^^ll0&STD^_t9$O+YyuG}R9qegZc*h|X+Jzdbj@G5iEAVvY2S3``7+ zj3Mn=BQs0Vi&z`2hh{_#TB63h5wwUk(z7%)2JezLwa_y%GXpJUX9UlD679{8EACJs z=FPxGtbv}nfhj1*fg-@b!V<LDgORknpfmLj8AU9lwZ(xm<rxuqiWI02U}wEaT$ErW zJkat5b3IdY3sdl1t1+y+1s(Q8>;Z}(7bq!RH?tyY<`?aB@MweB_;^D@OVF0S1TIb^ zP-$RkXb2gEH8ZgUPyCvbRORfex@u-6l2eqNlbxJbf++XFr&5BV8C0c$_A($<FQm1t zX79U<yLvHzj5302Obb(U=%RjeV@uN3rhr1V?C3!=N=6yYg+M`SqGxGnVE{T@!Q4pC z(9!^W5`iglkm8-N1v$iycfXmH2*wiZ;*unxG%ij<OHfs2W(3(;2(GX}Gl<5>i=0t< zO4us0Ncr99VH#~{1lr^WnE>wvO@JGKItHK}FQD~U#s;S5pp{3Y<<<w!c2HP&fZSs) zRL;d|1gc0u6BXd$2@nIcat_>zfR$wgLsqS2vl-#-Vo8}L;2CGoEJ7+5r;&l4iG_(7 zs0K$$!=$xS&E3|chc_atm_V-eGSf3PHZ(N@om6I^XJ%<`W(+<rn%JZ2K`H0b>9rIV z3?{Kcg<PD*po@Y{jX|5O5KK_LfoK&H4C}WVYs|2mrvclpg77}%#CA|o0dAN<jyATm zG_o)NS5&0*h*m1BHlt{+#td|+6~rkPrY7*x#KMGRr+gG!MwwI0g+Pn$Oh9WiL92Pe zZ3qivOB0N{1yC|OwzgY%@)9#Eau?m1fI@`BT+htH9DL3fbQBhp_bfmYcCfrh&=rT@ zFE+CxW@#p_I?Eg~Tw$(fY-j@7$q4GW8=D$gfUX@StyJ=ATTDh9!V-Ho&A<#YOpC}G z1cM^~*+SyF5s*WpK!vfPsh+ukxh3elBQp~{6AM#IXp$sh_Nq8(9u*2>Q&39|)Sojq zHv%_fjPxulEX)nTl{zVz)xc;98L<G~!p~`B23{)+>b9C7rjNm+#Y88_kUx`gwcm|m zA(_ua&)C$!%mOq*VX0?kWNZc+4n|phMKG>^2TvwH^O-_3pP_}NiMc8G6lG|I4>~KB zg!y@~SCc5qe5T+#P(h6k6EkD*Ac?V=o{6EEIjE2(E%%kJoM2`};VuJn@ZBfodS-^; zEB`=?I-z41q_(9wUiDKJGG?(tiJ-N};5%3iK%1eV*Re7gf)>IU5O>rrsP;CR+hb-W zifuiEfe>gbgOP!rp_!3^ks%kSnSq{>rJ=b2$wPKITs>w)9i5EapF|!ngS5v$BO9QB zTQdvLwGf=9MtbI!mWBo-tp^8rSzD}=$X-0Md%#EOfiAxRS4$>FD2vBHIfI1W+_#oC z3Y$#kNL>(1&_pbx{a|EjPSS3BP;l%vZ=<LSVkQJyxk`;T!wTJIGb^%Ju9^se7C9Rl z>zRQ%4JKTiW|n#;#wNy~T|lHYocjfuiHsiP1cH=c^WsfFC&HWR85x>d8h}c213gO% zGb2;bN<iX|V*<ral39}(mV-RN+ksJ<vlXBXQ09i<T~DAQ)5zT1(g?g~l9U<bx$TW+ zR=SvzD$twJ5f^}^m6ntifp^1#=8-|!(%c+$5h^HKT9})dl3r;od)PqS5C?LggL7p* z7l)}HXnmfECFtf`6AN<_GYc-zeaN_O*umC4?e?uV!+qdnv@!UEK~PBqEf`JoOic_8 z!MA)_=$V+9nuDg0z)g0dTLWrt^^_Hipi}ZpArl)0dPbl-z(B>4rJk{cu_>sD3SQq& zv~w)EN-1osf!t#*1nR$<>KU1tnu0FVAiMusz*vgA|7r|Ag3(0J!qm{*+!VB0)5y@! z(i}7@VTpX+8A@9TTeL^rEHSfE#j<<>HOaezI_99=H=rJ~xglt*#n@2K#L~hXwA<VQ z=RgRyz7c12F=f@iNi3wyr9xAYPqdJNG8fz~H#X6;FfoUml47Q3WNHbngh*?joxN8; zM!Vb;Je_1{s%K_mYzb<slAYG(#OC8pYi8huUWTAOd8TIO2FODdB#uv%O6HqcsbP$= zVUAB2L(YXZ)-y9PFb6LcFx4|MGBCCTtv@#7VlpJ5wP9tEXJ#b^pDKeMs}rA+1WMAN z!98O=3y|561GS+|TF?kN3BAjPjvO;9DYzZUc_l`O+6lDGq@Ih@*jUff#LV0Pvh2Xp z#MlgUa5rh`WX94gaw-X9@WKbsh=Q>hcuBXRiJpO(0cf-bd_W0efg-^nrU!;uW`s|l zDlSa|SB{84FaX^Q06Hk$%)-RL+>(pa%uLU~%+$;nJcx=^M-y~`zFV@Hl@>-KDk;y5 zhn876sYUul!6}Jg+o9E!p`MAUiGd+#1lL&4)YQ}xv`mq->MA5C(aegHJ&d5*(;QY^ znOGVbfv=7-*0VG=H3v;Rlh(`qc_V?s>I&o@GofzK#z6}`6H9XoP}(#$)w3`*Ff)gY zu#hla`F(u?#eGaONT<t8&%oHwzznn#*htUR#1gb0hr}HDdR9E;Z3`2KbBy%NObrZ; z%pn^GEzK=KE80m*JO9?jQSKZwP}9QD1k|)JH#P>Ja712l#p)h~ySTT26&A)8M&_XV zt3VSy76#zfG-(a0+b!W{R;F0m78p0`I>+ah=9Kt@F51mYF^V>Z)Hp_Z(2*D9Q<q6w zol#mFYG$QIgcFL(jlq#^2x>|jn}hndW~O>(X2yo5;Fv}#p-|dX*cv$O=YuJWY*5BD z6^g~R1%|Y8VtPujnU!E-a(rS+a(pr9@-@g-m_ja2L(qYT2H=H{X2yD;O(-UiMYo8m zk6>{ed^L!;IS2DtNB~>tS%8i`Gy}IIj4VK@47~b<grY{N+mEu67}QWV6UqYzuz{hm zDQG2}p#^Min8c!Hf}XFLl@jt8l1n`J>M6+FEa>W!cmvQS6rhsU$Ux8B*u)TYyq&3u zo}sy^iJ>KErjnFV++YDuib`5g@-hcUhLMq;nUT3U_@o0fJ!2zFL(l?f(sH@%G8f7t z!$c^Cixbo;F*GwaF+>@4C2jEHn69%K>XmLT(Wc-DHqZeICKg7ZWuIhEum$Nk5trDF zAoGjndZrde=HRQQKts1Cu=zzIujvAffP4tBBXTqb*CdW9q%?)L-;Kce&cFaX)ktFd zeQLBVaqV{_lUVSwBSSp{6Eh>w42p?`o`s1iXn8vmXu~2YTkY0X*$_EkiMsj&T8mJ@ z-!b1UiS#!#shR0n8XAChW+F}Nk{H&fyDW)IYL<|sW};_iVrB+fQjAoPnUgeKSoF$* z!lY(IwWRjtss)ijZX64qu`$&%v#>NX16@Y}U$p=lmnI>r6*QYsHZTG0XW>lsq%3** z=WIq?R}Ul6L+33_j7-hI=S3TV`a5QzYZgf>O8e)VQeLo|g4?X-ddBAF2B2LgWDim7 z)HcE0W`$0bfi5C3F$LWV!f9%*XJlk<2|87SwCZensxd{CE2wD!?Kc?c8JU?_n1K#g z0*w(Ff^X^~t?~9;NRRTSq#0;^iJ^s_g_*IDfjI@`ziqBAab*&s=mi}}X~xA#cJp#q zmkx2|0O*dQA}&tQFqNsHC8!)A+s^V(Z6fV7iWRB^w_8k1Av3h5pb<dOLMd>Q7SW6* z*k_nwtz|~|dKmDT@W!Cy-#|x|fLibtdL{;zhL)7X>PiPq;$qb#7PQ>X&_vJN*xUrP zXv)M~54M7lw2hDr3pB8<V1(R%4jLvhG%)}TXM<K9n46jzfDVu&ZK&*uy@r_;MQ1!g za<L(3gN}un31~*m1hgQ*!~(oplavNK(=`>!N>WgZ-3;7BHqtXNG&VAZobpFr?|)O7 z3Puyz2y`_sDBMjAO+hC;fEN0Ik}+t_5y}#6g8jjrMk=JN_k+YZhpC>qnUOJQBM4&6 zA*l8yVZBBAH)RT2FUGM#`CObvpi^`$4J|>Z7h39Bnj2ey`a~$jHo-t}{HtVUrHnB~ z3GRGnf`=vZ;tk?K;~WqRO-wCKL7PBLO!bT{jg5@ZXR!%d7-*+RjD^tVskxr9g&}x} zI@x99-Lvw<6&hx-La|&NCVJ+Upk+N=9EN(JvluN5xR^j|Epd$FW1Fd(S0ry{C4}6~ zE{HdY&&&fiFii9ej4Vw+r=pPEz*w_H4tE2?5`5CJiJpO_C3rr<$O61_6m+<Qp*iSy z3)n0p!I)ZKDM!SNwh?&Yogt`uZea@QvKpD|fjY0y?OG&^mE2h<OUBd^q5?NDH3u!m zBD>4XKUs#z%G4avO*hxGFf<3P{v^NeB+o5FT;fFP8e4#ON|Ei&xaU$tdJ|mAaF~Ev zW2Oe?=u@|dsTh<d1GWrtYKxSal?tK73gDSr$TSydwGilbEV8r6p?WDi(_989oj=gh zIrx%h68pY?{D}-qBgnxQphlkwg>#d?h_=%bzAn$u#L~pjoQu=URL{i77_^@j<WUkb z=i2{b6m>Qr?E+}C*TmEkwBUg3Bpm)mjL0Nx2AP020<8tJ0B?&hvD7m*H36NmOTy`~ zpy92hO=1*Gz(eMX3@!Cc42?}d6EwzVdd8+khNQ2Iy?9BKvL+g+-)9awg@qc4G3t~k zaZzDpj*{stDd^mNUm-%Iov0a|k_7>F62#hR25y^yHsY8YSy&iyfp*avnHw0Ik=#_e zepQ&5rV@%LEkQd($SuvLw+j;&u!fc>kx5Cwb`fi*kp*;G##qnD)B?0{477UAz|_DH zy7P#HK5UYsFlBiNRGb-t2P@3<EG-PoLHz-;Tc}4Fgo$eeKn^kjWm|JobI|g3vaMuW zDMVyUBd0F#WFy(7wp|*rb|U;~Vg@>Ij{HFxryGJq`qLy9bm%F3CphT#V{o?;wDTBr z$|Cl<lHJWs!6!5Xb2IoHe||G7&Z6RyJjfhoGWf80LjzM2(7Gg~Z3*C=5wJEnN=pjc zgzd|Be7LqHfLm*vM#g&P7DlF^bGVI7^^DC8P0cJpCyOEt0uXHYPrSuNOu1tOsap*} zhxHj4fex)VvD7m%HZlM$<VER)67-hMGENHWR&${=&~j1>17icwN&ZIWdX^TT9b%A< zn<adWJ&MP%wNvY7ahh34VRnXLSAc<5&{CtP^U{lxoWlx?LER1xOFd)oXbN~r7`p6$ z#ChN&rX0ksH82J5Dg~WGV`u=b%*Z_lLO+lVPmA0TQnniz=oy(?nt)duS?U>C8XFp0 zf>vxIrCx$*$(NUnvVmww`v{z*KwEUdM-iCn85vs`8JKY~8G%-=k}%k_$&1CzN)mZ6 zATc=}ydxTNQYvVvs)?SNp@{{k4k3F+%<UaBWivuX@V<hXktKN1jxi`VTbf%Mk~%`n zB+N`vUjY;Wrr<q1#(KskhNhqm;)d`gHsC!xI7VZz6`5%o43ve8VXROt7idYMp@oS7 z=zasZQ%KBquNi-t5?+2`7%LP48iuk2o&01DS}|{EYGP?<0Gc2r<-DucC%>6maTS#2 z6sMY4WP4PB0+f>)yDqjb{Ax<nUQOin|M7@Zb@Jj(g!;j!+gex{nt@kXn(G-_7@8V^ zXZ=X=<Cni5O|4uo2Ntj_+X9^q4!uSr9_cQ}%y>f!(5<7m`$J$y!76B!Dg;|<(_Ho5 zl(>b%NCN_9M&Oks<Tl$cb-g3bPD4}h3^Zu;oZ?vv`Q@)ntth#H#{@aZDe1K+GruCv z`$o|7PtEi!j0}xH7fTwO>zP;@S%Pi}L5XI9mCTy%7p7z_7&L;cga^$`8JU3=`I0@v z)fxW66z9Q8=HMHkK}`h<3(#qSX5^Mx|K~k7C42+48R&2bV{kqy1D!{2YHVU+ZVY0X z7+V?`8gnrlf=;qG!G0h&&PMbP#>b{aZ21TTt&h(GH330O@Iljkpk2_Wrl8?y(1Gqq zn~MnM-}stGri8a~8G<&ofC^J%W6<&>E>3eJ&{|i}l|UqpDmfH9G{v`>$p93xkQ*Ee zz+DInLvzqo%%JIGBTGv|Q0<Jevl+#Q*c!P(C-0bA5xl|>JQ$k~8uK-^Fg7v=9XM&I zXJTSt4yuSqYutZxyN1t;M&PMp$X&CO89^1Tk+G$Lfgu;CxtX4&xsfU8USiUEN#}zu zn_77gv6dF)$iaBDdI3Ir4LWLp8oiw9ZkJ8TK5D@nblswbp1Gy5IcTj6*%|tm%VlCS zG$c+y%LWWU7r&T;_7)o(>OuE1li2t<@#&%|k;?{*KrsPYHc$^r4JM}M#-KaT$zI(3 zY~4vyD-)s`3FvFP!Pk)ED$KCAL2(vl3%X945}pJBmt~*?M~xwC!*wUfPjF_S1|x^L zo}r1cIcPnUg^`|xp%G|3Fo{zK<}F7}i93)AXM@oc+F&#^G6$cSW)8YO%F+UQ_$>(o zf2x{?C~q)=`X>fp7n$oBnu1Qx1D93C=7wgb7T_h)B)Dh;Hx*n2sd{rj*~P-h%mTFK z!WeX%nVALX5CD{o2q^UfwifJH<$b1DuCE7gWR3=(0tG1-N<p0zGYd-#Qxh)aZ4aiP zL)BsJWr7W<m?^u7D2~iQwIJkDXV6k2V?9e#69Z61Z)~b(Xle$Y&myf-I_<gJ)Jhe( zTkeurk{It_S`wd`R}yUmw$Tt0)1X?(6tr92*u<2J(*o3H1RVxUYPWd(sa=%UN=62- z!Z9DTkKDw_(!c_=N6lQ%#N5OTbU-m_;b6wO3vcBN4hP8f=t(HYi<wx$_PpR}@nCCz zte(5w6ls^EXBZ?=fkxCJnF@4-nTY{-1k(_-;nB<h{oZ$iscMqjR&ugA^1-i}@emh* zj^#7fGd43f1yz6K4?}p#ZXvQ1#I-u!FjfeZ63z4sj7-fzV<HwtdZw1<=4M9VGKYk- ze&kg)Q=SqHEnz7!l?&7q2Aw|y9)2ZvgwTD#CfpqwLvYWP8r6|t_$CU6FF>2AK`yZ{ zGy<JZY-pxuXlY?!0bYGZ%1GtWqz$HIoLOrGP6wb)yorgKC8!SxzQEAJ(iFVzkQ5hG z+ix(na;Ko7Y#5&z4{xr;ad8?NKrY}k0aXs5Nl$Yl@c1o`3K3iRaL99=sg*Ezgfuy? zB-$8M^h2^@C8)%+FamAm2Ny+1CuiU=A6xwvTesHKN)L;lp?h+%9-UDGK4Q?&%+ee* z!D?i#2Rg+Oy59~lGeod%HTGI#YGr^i!GN|S7*f@OkFkJMwfS6}hK72kCI$weroW+) zo~4l?_(X1$vYw#rZ{MsoC1S0gVXROEXxP!%+{o0>f`Vy=#?DnlH2J|13{OKDVEc>= z3=F`-;ih_q7NB$Oz;!JN0sZ&da#JfAjDXH9PKT{&6p9CRZVk)~%|H{WNb7^a*_i0| zOT@P2rr1t6g$<`0>6sdtgF4NiEsPfCCKe{(-a09RoGX2on^JNl7AW%=3KfB()Y8nt z5VUpL5OjK{fuRLB{g6^%Y?{5ul&Gt`TtH(opiy4XZXZiSPzS=&0K6y}bd?ur-Ff@| zx#ZO5sH41)HVmi&1<mCcfwr-8T3F~ATY^rc09T+Slp&jb%%MUBY67c3Ye5ZnLrSZY zs}3_wNk3oSC>Gq40nP9kS%BKN;AjFhcSsGCS3NT*k0wZg2TC|*puQETL&a%fsApti z20CjN)bk`En$}lS!9`}^VNGyr+t}R95Hu79>V87zVDYqlvDH0GmQ2Un+O`B$c98i9 zP)aq^Gcp35%gsf85JXO!PKA_e21}_app*)_`~Xyn7@Nbp04Ss91S_Kc-BV4iBr!^7 z(4uq0Xz=mq9OinariP&73m`}Pnizt{=)uJm(M5A-<5aT7eGDKAxIjy&O^rc2Vhzpp zOpQz}K+C65TtLvD&J!jRQ{kF{Mw2<r^ejO4w;P~zrcvx8*zL(ooQ$_q3r=3pPHh?Z zSVD6nOYp8Q&?O(B<t}KAHG)2@tDj^_!kNj?!p1_+#KO$N6tp%Dw1vUk1azqkX<q%h zasoMp4QdY$QrKjI(lm4wfddrl;PEa--0c8ttyT`<38q%^$m0p{>n2S=nHp3H8-V+7 z7J3#27Di^E-OYxe#<BsZML?S8XY%xum8sEv55C{T0KAONM9<990(7Yu_&9H}3b+4k z{Y0cbNI-zDAp~v7GBXC9nFQWRLrxaoq1;E_G@JowmjtK_1={ouT5e(qY7rTmgXS7g z;(}lfSi{kWZNdmtxH(3H=fw;mO%G6=W&*m`)EqRLZDFcsX>4K%+OT5+%5+4RYF_Vq zOs!n7bYF;^^UjR7fEDJ^po9;)YlEUy4eeFkrs(Gw7{&@s08ix@8X1|I8gp@i2ahey zKt(9HlS;IAMI^dStz1d>E<CA&#&MwO96X(1WNK(`3>~pFGzJ||O5#LDrE>>a)rw)P zP#mbtwJ<j@GXWjp1v+QI$OLp!Gq_8DHAt`(HM35&V04fnC0RbG*A1FHGd2N7t${gc z{~d|<O-fB}A#TzYvSy3J9CQSdDQFo7*^#__d9$gNBT+Ln$jdlT;uthvR08t9sj;Ow z=#D;9Gd)XVOEb^_ICvW*EPM&(=*&6IrdFDu44j#mlUbD-4=&a9(L3qMInH?{uwD+R zPhn;ZidIm@HZd>+o#=@&?oP1s7K(2or$$5V<ye9ntDvF=RJs~lfbMNJGS)K$-K9nH zD5|PASuTP{OT0mRW;|qSA|I3<jV;YB!AE5o>lvCFfsV2vvH2`Cr4euQ8C={#vH~aw zO!N#4%q>Aj#uyst8Jij#kbZk!%ESf=OI!HJ2B<-A4BGq$x<QW9(n!zJ+`zyLa@P_G zeVSXp>d315QPYVjtPTM8YYdGIO+iDqCT4o3=4M9bW@syh38r0+Ewy+f#sbs?f~16A zMo=a-Gc~a=G6fZfmS!d<&;|U6@g0H|`m0x)S~-(kVxR^BIIlD_f?Q#2Vr*z)2x3`) zcF}^XWL#r3*vjPFYSpGzmW0X-w_xA+^rFPdc*qDJc;pMx9x4TGH#GvyAA;`V2F<jZ znwlAakH!V9cO<&(3OiqAYNbKQ9T4lGjd4&5+!%cJgN1>fiMgqnG58n?QbvU|wpEf- zbRh}~&@eJ2AiygvEzC`f%nd=~b0)@+TUJSHldZ_EB&OeG0_x~-Sc1;uH36*#GcnUM zF*O2DnWET7Ff~^Gt-zc7!Tl~sxl{x?1Kz;I%p7!oh=rvdXmtbVWJ#2|f?yS86<um- zrA{!KlXK!jf;@!^K;!1d=4PPVK|t3!L64v(vG8?MEg_<L5Ah{vsgjYNiGi^(sCQ{> z0$Z|9qLsbLg?PgiJUI!e@*p;vn-~~??npK@)H5|T22IzJXk*yAT)Z}d3o^(6O&=p@ zMAg91(ipS>-w?D!#>CVFeX|9@v~y57m!gskk#)dzteK&O1?Wf-q;)!^rRAOGIe0?= z9OjS(X`oJ=sh+W!k+}tE{U&JNx1kB>n165q0xPo!hJb5aHhG;m6VSK`s1paeBG&|T zptgaYxw#n`dpi$%WaIO+F?baoWFQb+QX3k83n<V@xhCcoh9;m5LKa*kmDD$mWD#9b zgO?j}80&%WU^4*EkYL^TL9pC77?@>hrHWB*fCR$xi&9{7Bk`a!0P8`up}D!K2{;Rb z7Cn%&P&Q>-CRx2DBk+b04r4vg@v5NpESAQ4<|Y=FriP&2G9w8aFueKG$?06cnhKfm z&;heNP`d?d=Zb{Zo5Z{nq85P}f~zJA(4l;mpx&wpbnzwRgijJ0N(VnA;Y-<&#g~wl zSv<&tW=2NFpoKL^6Joe~r`U$LFPbD`oe(pQ70LnCeTGJ+Mh3=EmI3J07L>7Lf~7_J z?l>YgQ5e9EhBdJ?GY8e}=BA+IXvpa9FN=#KuXKVg*RTZLEde^e8npM`5VSfAd@CKU z#wE5Oy}c=t3Qd0a!l4vU#x^!KG6mf|3aTkV>!%FBGpvY|Ot2^^n;MCG3?IA~k;7ci z$kfCXG+_c-yJ=}@YzZFwA*E%huM~+d`9cb2$oLwle`TQuy3ztPzGg=5mJ$ZDP$~pA ze2fjYO3u*80=#q#R&JYsX4i<WA~~i661z$cQZ<)CGMI%C=-LaUwZf*L(vxUw`6P(8 z77_;&!EGWFQ$x@l8yps(buq@EyEeh`PPDZ$5q_o=tx5rnI~c-xxCNkQnmK3(J|#zw zC2jJ?R&!!r2msCYp!rlYQ_va)vgcF%bG)gL65-<ypcXRda6c1s&_N)kpuHiW#7Jsa zU(d=LUyd{a_jMqNup8Xfw=g#~0JTU#17l>3ct5`8WlF}aREP>3(q1nBb+HXiO^reK zz*<`9S(=!dSQv6KfvyF?zLc98TVc$}?}g9*klSY<6>2W1LWP~fWNxTuVrF3i>L8%Z zED<bdbw7Dxn_vJ<md0mBgD00Dovu<)H_y=6$kM<JydlNHzz8(bO=1s7;+#7XMGca* z{h(9%4fQNR7ZreV7-;6!9JDBvM2khQI#b*cf&>JpCa?sRJtm-&%8X6)3@t2;NxyGP zQP7#J{D&CUKq-d`!Q~L>UUAUY7DGKVV=O}r1XII@&yLtOyP*wE7l4Ld^T5-M=6a?k z=4POR$Ov=~o2eP-;B?Zugg<H=sSptG?KEZJ<)Q{=Mn<6JCMG7J^U26q!F^A{o`{4B z?yf?T1!zVJ(w_hg36tHQc(uottQjdpd1MH0ih)w9G3Wph(AnysF=1mP6JrDLh0vrl z5PvVXB`bnpP6DNtctdkojadO2thcZ<1a}0?P4r9+4MEo{keHvZx7!f0`VU%=KxgPC zfhP^kEDbHd=SG_9nOYbc8-r6TDXqr%J65Jv?wF&)s4F}{qp|sUsTuht@xejyh<nmN zy<pH?=%ATH(5zAts9ZHRHny|?UGsssP?WTOi~A}oQ!8=sR8o9qUVdqbKGFgKp?pxA z+|a}Vbj}*5vALe1nV|vc{;s`cfv;{d0uMMt>ZUAkX=Gw%30g>wbZsw6OO0S@#O7jZ zY9$XEK0ui&0|~h1fx;0y#10;qGBGv+U7^EiY^eu27YXCwNP-=|qzNW?JqJ!n5YK^o zI3@-r;Kc=~o+F`$!`N(syN6>0?x36N8JiiHgHAm(A$Lwo?xGQ1Z-M8u;NCLPvox~+ zU*Bh9u4iOs06HZZb^e-QoK596!tE^+@F@@+;0vHk3_xeMk~?$uGSPstRvV<y29*e= zdS>R92B2meXce@frHLVA){KN<5a$4W>|F|cMM6PQYH?~_3FiG!&^<_IrbeJOOs2+q z<c+AWn5cz!L>*jrL06ANK{|A%hGrH}78zqF`{rq2EY&cG6{-Q52%D2IG|)3OF){<) zTaA0#9NQGw=R7r2D??D$M=1-?S|-JiX>)L@hQx3R$aV`$b2HG9fJTOT#wNzbpqoZe zidTZE`cIS^?o@3K8Yrg5`01;ss@QsgAWx%?pPI%BLHfejQ!b(uB3SJ8rmLD-xsg_v z;Y_%o5qm>DBhcmCkYQvKLsLsbP$$R)bi4?xhfXlGZ;7dzl5@A3VXROJs8eKSW@HRn zbYx_#X97OWh}6-ijY3MequT(oq#1nDt{G_ck)@HIp^>qrB{)Ho(y*GvBu~!7CVIOH z)QYG858E3XLr#ALwW<sZO+dT%!L1vjL%!Te*3?P|l;H5?GVq;ppq)7&n?Xw<O!W** zjZ8s}Dho@{g?eUY;89&t!s6x+Y0AR_GRfNr9vHwJO9ux9t4nEaZY3Ai&bLv56jnFj zE5%V8cAy3cczOf8wcEnL+{g@cdLd{nmO1G1HYOu8(&lj#_e&Bzk7EE%{-&UV@Qq9? zxHwIX^^8p|j4UllP5zw*lDLyU<cbLnBRxYyBQwx8N=s8cV?$%mxCdzcJPG>*7xzj~ zR)<68W=lbBO#@3qQ&5&i?4U$#w-77{T~j28=<k7>xR8n^4?N&wVr*z`0%94MfJY@r zJ<R)yqPVG*GIF~eyiwRQ54=wpGTZ}6)w!UG%p7#Ruo0+?GcYl<G`Bz-UL#nt+8-4q zX6b@Cq=+-sGdD3XG&BScEt`Pu`vcdSq-5*3GEuBqipb@p;I5+)WS=y+*$q0Z0kmt( z+(6G5+$<tB1fDMuz?HU*K+EkweP%N~Q$tG=@VvW)o-wFVMDo0QR3pDBIcJ2Rj_$y> z@YI9SxRIG9=-w<)2hPNToT>05_xQ+~Ej0nJw*~FKG%_+V2Zf7~p`NLM322QyiQV?x zoqQCoc!Q6;w=jZx&K5@CO4tIiTLfIr6J6zHv~iKSwhB`GG%<qW21`Q>><oO>&Bbq= zSn~#{)r~={5NMeh)_x3ej=;78nhaM2feuN+Jk$_WEs)S8o&SK-)QTOvL)XO+w7Lki zdBDIJw8sxL%?jE_f<B!{uyntDmlJPa2)ytHIuqXt8gVwzGdBSZmT_@{c4L{ET7dct z;J_q0Vd?zjATwb>W)x~cp=n}ZWNcsnN?}IkCdQ<%Fnuh*LCz*{$N~Y-ZB>>grl7qf z<PNI{Zezte{s10UfmEoV86*QeBU5wmo*^>}Jrfg4GtxJmZ|T8rBRHxdi*3L)ilLc- zF=+PG7_=-BbodadHOgTx7Th%oWVf@4o}q!MC1@FwiKU*osi}o2`f1$+Q?T7OW>YKO zqRjM+61U7E{j|&?=uUEsnlvcBxHLD~&;)do4F}X_(9j~tW^>GKW&~~4)nqoc(jnev zb8s`%SkKJBz#Md25K^WkEd$l){5Qd|kIx|540Hz*hnb#{fuRZLG%r&NJxfCqbJC9} zVc+!6#7Y!?WqE#SNqkXiI_T68(AFgmQ$0&dBO_272sCtIM$WG0I@NzBR%)1iZ1k7| zZ)#2f<#lrdLqj7|F3@qyunsI~L6_6~2UpM;fmUCE8<VDn=HPYNmKJ&@hUUhWrlh9) z(+yuuu$*QG>OR6YC&8O;rg|n8#-^aXP`K7>5^TI~i2Q=vQ>Nf!1<;c_cn1_p&=E}T zCDoryNXlpikh4RK!1sEZnSy3FK&zZc-%&bc#s?D$PqH!-DhG8Az@-f=&s!Lnki0iz z*^f6Sq~v+aSRv4YRTDi^6VOt3F3{e0LrVig=;{m-YX67J-k4Cj^d8bMO8`%LSXzQF z0|6Hgrbb5SJtl(5;Ysit9L0knWW$vqXaxdfbtt%Lf_`x{K^u7PKQplsh8IAP$yFoJ zg-)Qun+^0VjZ93<OhCOqaEAwSQZEVJ?42P`h)h<vjs`M-4se<qSXzK4bjaSB?a2Sg zgs26g2C+gVpkB3+iHWJP8OZMj78b^!OP^3yvJ(v3o~sW`2%j$s9tnp`f`BFjO!O=* zjLnP<!RO6b8k4i^x-Rv$2}Ns+AnUH7^OoSjBvT_JOVGwMLjyfCGYiOIk|}9}Ni1)! znh?8v!#Gw5)Uz?sBV!!R&gZHL)?JmP_9>uyNR0H%49!iA%t0C2$iy6Uuq}z*&J#%& zvAYPp4n{k&6W$#M?KFeN255x9R1Y+p3OX)_>;cbTZ_b*KGeUqm`U~$3*HELk@KxZf z3F&8i7(#YCo9UT=FA3!0v@q5)GO{o+KwnNwu!g^|^)#Ud1tgmmfQkf)*Q+l|K22P4 zWDFS(Gt)D%Fa^ztavG95F4X_wqzM^Eio??(ct8xY8WOXnHwT~NPFi!PZu3c!YI+NB zU0|SRYGi3>Y03q<$i&px#K_W|iwSfw2MM=KpPzEV1kc&TMo5Q2mVgqssiC<gXrYlQ zV&^S*tuxUba@IcwO^DukOSMfAP9g`1OJru)TCf%dp!GVWMd`z}`$%iS8bSt;%=C<n zEsTwz`xH$KF-|KWSlwp!?=vB9pCTklw=;qc^|mxNwy*?O`s6iuc-Z!t5Osq9p7suC zRtq$(XJiaIk&Wyr4wor=Oei}}0e%c3b&9<1ls&|yMiX!^-bByX%*50fbWEG69(W-? z`oIOjGJST*Zrr^#Xu)c%XJBY#2;PT7?#5-K<GaWySW#<J$QiH|7+omPvDj#xV}g0% zz_)Fr<wB!aAxP`f)Xdlnv|rl7LeIp~z!bEi5<Kq$E9eMXozt<6veqYPWhp%26@l}l zsgb1-Xu*!Lo~gNsA?TDf@N_rKO(@9#+xm@+n_EnX+EePBS7HD<ArQSQZ)SnsoFEtu zQhl39ivr_Vp=40x8ykae=>>H_OiV1mw-BQ&sz$LGn`iA;uQNftmB-21$2;CFF((H! zuLoYdVXS9qW?*D&0UGZyF*FA)^CGcPdpCR?<<SgZz>nFSAgAt8`MBD|N{8@RS1@#x z(lA!23>4xhBNpZsmL&Jn!4_*1ZLtMbd(A;TOwuY_C6I@Sx7QrWUQix~j0c;UknCT6 z((E-u*bCYuzzMR~#K_Qs<cZDH*Q-sei1)84)LsKiQ*+QLJIG!GO9RrE%j-3hWUmS6 z-e?X3JxdD<6VMJvLsLCdQ%eKT7BTRZUs%g6Y#TDtl~<cs8H0v2@vVpfZI^JWEJ=+9 z4<$gV5%BmE=(;-ah8WQBw5hQv$>DwV%t{>NPewv;8$eq!pd(zM)gGj!-~%{qFc!i! zqldDN7o|AF7KZVmD@|ZCdPbmqnnrq{vkooIxi~FB7v39NTA+_mqSPnY%(RtTZi4e1 zdGIm~NRzT0)KdiYML{dIK&OhET3CV(?IA6UJ}@jNsh4C7Yf+mR7=z~QIV|)*hn|r> z#IEkUnDiDkQqKvrNy<{s+{E12!hnmD>}mVMmWxa%+9U;8!-8iqCStWM!KgnfzYtf8 z(hxK+Q~>HN8k?A!T3Uivu7LKTfp!9u7Ukuu=a~>b!XGkqnG9|!7#o9cO$8+~@JKKh zqd92h6|ATsm`zr*&M>i(Kwb+3TEp*Cl$?_dTA5N0O35aM=AiLx4nsXtGtgQL&^}L6 z*5lksnr=ee%~C<}k&x01-a-JSCD2fusgZ#Z7ij8@tf4sboXIAXoyG+j0s^g)hF?1c zImQzd>ZCT)cX&*|7tN3@z|d9F)u0$KFgG(aGyp|7C<e?(zLBHMxYxwWkf??VJOV)L zER#XaaC1{53v)v*Q1xwMZf0T%Zi6$DFd6XaW;a?IgUmO?#~Vajf=_-2T}5bOZU9<t zVL|SRJz~?k$r{^440EB3e}lKLn3|ZF8yZt^e5v87F1+JS;H{;Qg#pwFYhIf!oM8>= zUK{999HCOVooHPRM1+Fw(FU!mFxE4*v@{1*faXS^F&@yJZ6x+Jn1$Q%1s)`uK<3@3 z6L@WB+HeM*F?ei(3V|08)r33nOu?N&D%cX+-H6jGrr=c?#(JR3g`syGn;2Mvu9hP) zg0iC<Not`O#R`GWN;B3oH83_eHV5^=EG&&JNMAppbE|>k30p`l3c3o+NYBF3&=hoE zCD}VSeYqM;2ww#TZm7enwiK!quE%Fq;Z9B_)Jjg#$(1;h6J!Sj71C_!(Q@2gv7nY$ z{=}B!^okkiI%?4IOD3j<CZK}f)IiVN0yH8_Y877?R!&3}4_T=K9tAP5G&M6a0N1kS z1{M~e0XWjyj$JNgBxOdESRv5RH|0x6Y_m#;8~S#R$9mLhUOe&&5=cV>bY>svS2-kC z7m*YMX5cl(9EN(9Mh2Efke;EjA@~?a5=+7)uL4TvdZ}D4{9d1rGcy?(f@=WqXeVgH z4yb8oZenBxYTA*O=)Nt=Bq_vAao3`x4L2JcOTq0us@2ur8p$|qF*J)60xbszZT1JP zo#Wy(Gt)CSGqS*#JR{h-bZbu{y~7P2Z-8`5zy%2EXeJqp&>q}KAZZxY0Fj6w`^dqI zJuN|}Ka-Y-NVV7qI=+YEV++vrY9!`bu*JlWD_UapFz8$$679W5Vn`b!TWk!eBTP*} zSMZQ%@l6sfHUZzc4B8BAZU$OLiFE7|X;Ew)5>LdMDDbtpkRdck&N47Hv$Qbc;<T{T zGqW@?Gy<Q(h;(cM!JPF{Gmi9}g>+37cv#2O(9#He0GElLrKN!p=~eU3^Rf6E1JIip zA;VSF>B(FaipJT<F^?6>1sz0dVPathy7PqWdjh7kMG;y#18HREfCk4bK-~=s@G(9H zh6d)K(-Kfdbdlqd#V0gGL4s9+69yF+HJD5%m`0gcDPRnXgAc4iI-3D>7z^m=QVa0r zJX16H?Y^WX*{>dvL?l`8UIIvxrA~Xkm^Tu4m17hu)JmNlNJl0Fn^;+4geBV6cF=hu z7^gc!8m(oZaVd(&xH)`-NSWDz%#~81tMT)D0M7gYUU>@IcxG&BVgx#Rm+XUQSFZKN zH-G`j_mGV@;FYJQ2IdCf;};OCjZo%*k+TTa&BPB~y@+3JWCU41ZK!8%3A%j~6rYAh zrluH$EWt5k2W3wR7o5NkQpyI63Bb-5GqM1kqhxAIa;ZPr+XG)g0oz3mKD^TuG&5)n z+SLcTcNF8GaDqY3w#gk^AqbkBi_e7ImI5gRsZ%@%uW`p+JV18AP$7FPFLlFdi;)p{ zH6Ca(z!-dgI_TIb@N@^M^8%I%ZuqK2$oQTiq*_b>4Srh~8JdBwe*n!07#Na1?U*&! z3CF~y31scJfq^0DZeC8L^?u;g2b)hJm>eekuqQqT8iU()hM?nA%|W}`O+Y8BT3Q&9 zyy#^8FKd#zVFr++hz<2D4J|Cp4Zu^P<aK=4mRV6avjWK-@P-y>rooKdhF0-Mb9^ZZ za+0GVq|-#5hSn{89h?=35xDhip=WAoW(YdA4|M4tG^3E#6xn)Ei-@KO(hO_`sC{Q@ zX=-j}&IMXG44MZfeKxXpzZMaLnow(@3)MkK#2D%sn^~BdnSrt_=zwd|dxVQS)G1$O z1mDO`osw5OOdV&LXlM-Xl2Tzveam-MQW``?xW`jS+q81CQ3a>(j0~tX_WI?q63*OW z0WA#8EzLn)YS5UJk-3ot=wK|;a?46H1(FIw$Y7YEo}rP6skt$Dl9{YrTKG?%i0lIG z<3h6wcoN^z+}y&_1k?lp9~?yTYF7^pSzK!qj6iD>sFVJ`m`dYJA%^f2Vq{<f8Yn<o zkASjXo?u%tY^M}j3PG$#Fa)2sZUpMjfe(SUG}1FMGXm{X1D(4<!eWlMi=?ov;{o-C zpnFImtEs@NP>n%b06>e8&Gbx-j4cgJxEL+D7!i982sR0B@rx6&3N<sYBtEFP1Ux}z zq-SDiW?*OvZjzfA8e4$dAV}jL1cNcOOpM|QGDtNEo(DHHu`o9`<^r7pLf$csOL|1` z_JqKLnUI!1E+_|Dn3`FD?$xpcpTl55`Whw{2Vrc@a-=9Wgv4<<Xz#3{p()rpLt{N- z3v*+P0{{rd@smhi6JpM=O3ne_E)QB;kq=sgYiwj@3SJ~-qGxVkW@e0@_X$SyGkG4o z0SlgTge)+~2aUpj#<D;g<jHPgZTrHB&q7F}9nz<zPPwBoj{|qP1DSg?)3Y!#G6mf~ zYH6ltVrF0gIu?zzN+Z6F-NZ@~z9BX_uf!<Y5ZttZWP=jWWUYz0v8jPE7bnvBo8U9Y zi0&Oc&tM{=caWHpQsf6Zl1Zoz)Pyp&FtsoOO^bn+bD9|&qHp#km^d9j{5K|bIVmIt zvq5PTw1U*s5|nr>42>*6r>vm_EkWy7&irqTWz{57R)nnK$O8p2mbED;wh_z_WySxE zaUO03I%NTJT$)L&P&UXu3sZA53ky&%8<<)cf$lCvX*Ut{+<nKt*gOZhjtpF?LDEkJ z$O=PqLqpJ|K1QHfW$+=ZsN?qpZL|IJ!`Mm}6ms|$2D=6Y#rwnWDFpYdj6t_0f+{ii z>NQlG2?lFP_!ncsD-$7prA|cpwtONcB8@;BK{>FFd61THh_ufP+)OplGqo@?H%8eo zM%o0X<H`@lM6MWtkB$|CQmm1gnW3d2h-GYIVrF3m-j2<P{kVU2H#Y^WL+Ni<yfd~^ z!CVlCx>-69bZiH8V)Ll&Yhq#(at4s89_XMsV>2#JBNII%BLgGSdyw;wy)eeN(G$Gz z4w78KMLYIT#eOFf&QOhB@xs_j70anLGz(UPV^4?)R#WiN&L(;m=7wgV>r=qNY6!Yj zjl^Q$@Y@IYf)!HxL)vlRLuE{jEG<Aw%q-17L%GJFEnKAK%JPl3jj`9ukVzNFaTR7J z#-KJLc!jbdxQR}hEvru4G^X$r6mxLP-B1s->fOu|RN9j_^bvFZhB2jQkwAhb7vu;d zV?z_rl5|T;JrmI6CHl}J!73-W^SUvC^L8L3wBW9+5vYfRyAMs!w(HK9jBy;lWE5=< zPQ`|L6b}QNHXg^9iXp><kU;EW1cjoxg_(hcF}OQM)(nYv@o{5{wlY9Usxq)!C><Z^ zdwj&0gxyqzkaM36^eoJbL1$=l80eW=8e4!i-s8?>*fuDdT|8(^;S~npnR!TZ%Lmnf zMwaFV7T}Q+QwuXoGc!_G>7~f+!_%q(wHBj6$Dta4wnF5C0^i8Q)WFn)i__9f&&bT& z0CdYQ?m)n{g^2(1PP|DE++Twfpwy{&S>$&TQ$rZU3e|!_6g2Q)U=CuLTNoOHmf)dG z(i3ba#)fV*wlc<21>!yQ1QfpDMNCF!md4<9mzJO-&_G8opjJ=>3y`04RvHu25l=~k zH;_R?*5I36jX=#RV?6^)Qv=ZI1kxh*_22o%6rH{U+W!o1>D7Z`z|_pt$i#??)7(PO z*wWAdv^ozZG6)97pLz3*37=`6R9cLA9{_0mjiH_eXicgS7bobnHe(ZW(pR>Lm&`RL z`EUmC)ufO-0M6xx7KY#hP%O>#%neOVEseRDK%>IuT(EvO!Bjcz-3;8B0G!1^XYGJS zWvG+s0(+<8whvUSKsur3)atwByq`>rea5juQ^BWQP+F9)YMo?k<&7nmqc(Iw%SUit zM*}U(5d+}{dKTtJpnZ}Ypao~3A$YXnoM3vFF`tCH^#HjV+fdKgzzlTK2WS_l5$L)L z^j)9?6H~#PF1$rJ_^?k%@&#AeMuw)8&F(%|YbB+^2CrWMH-$~X2iO`y_JM-t|4@n# zf*#E|Sb?W@jD|G!4Iw_obvq+zh3LNi3S+`Yr-9d8L0UvmYay!>k&cKX&04D#qOFAt z8s~u80tN;~rr`B+<TkBUb4#&}9f5{-pwkeLQ8@U(Ek$$VUfg+vlP$!1plihp^o&3k zOn{pLMrPns_d#bWld$d2d2y~Wb*}j^i51F(ggAJ&C1{JiB?zFE4+InR!nf(hxbJp? zRRN$~5e9mcb}1Lw#u^iKAP~-75#~bR%E-{nz|_bB)E+P}H8n6d;$pNQ>BdvroG^Ux z4#~xk+yuJB!a&c|*u(@h4{D%iVr*$(2<tJzP8G-2v{%{hZ;b7PG2*KONQGz!IRKD+ zH&EkHamX~Ifu4c6CFuBM4g);{BQpaN3oa(m(l{Kg4s4<FyT;$xN)dT)wM#sBWHzrP z8gg+NQdh>*0<v4u#7qx-xF^XoL94WV@x`+dxLShTVp;=AO_a9u?*zLUlYVX=<d99! zMGEGY;3J+8v#KbS6T!NEU!bco;e*v+ZCeA-@-72CV`BqL3o|az@-A}=a|1IY@RBtW zW{xXD9f`0NdLxtxWP^x-o}rP2p{WTt(2Xq3O+iDphM<l5u=XoK&+dHfKzcJ8Jo^AC z&}%`Zr=gL#IjC!AsAmqk!Ww)|0Ma&of{xIsv?6&L2`Rmq8k?IKS#oh&f^LX0Gd2NN z(MWUJ1nqyuWnxVDHZJ(&8GND@ywJ<o%osewi?lESeDpLd3JBI63JTiBxDHBytcWzw zGqy0XG&KOnvzeI@{%x(;3XC>yEo0&i?S>D^7+D&bnt+p*v8kz%Imsg+Oa5t4Iw%8f zjzLPYI*{KD&5evfr<9t2`tX+Kq#rxqoGwS?7(!5dB&at9Ix_-%Iy<BS!x+nlj3MBw zz}Uits8WGJZizS0GXfpp4qjDkW?^9g8b$#pccN2Up*KICrZ>pXkP6e-0DL69fu4bx zsVV5r22f>XXle+ptgz1tF=I=6jI!LuR-&ktl@DkdF|PzP4Q-%@60PQzq>qq%I>|*& zw3^2Xf#<y}OiV$S=y4hvgU;VK1ReB>GUG<D{4fb+GbZ9B;?gAWXb5<3pMjo{iK!)M zK+4im&(s*SZw0N*Nzm3p5mqvbZuqJV13eQXBQqmoaM@{SVPJr9F9^ZXYg!`<;l=@E z#2Y;1Vq{@vX<`Xll4fLPWNB%F=4*oXy|~9rRQfftgpNC+q%KQy3vgoqsdgY}BgYFy z;+iAqWd>;Y4@YMQ(J&xr{gO^bW30n}_?p1jFEuoQHG;_~$1WQ%8dK#IY-8}ju%Jc$ zCKjMWJjh<V?6mfW5s~xU@CCal)d<pJ8`8>9E1pkA#0^EGBne~K_69=}Q$x_$C+Mm< zEO)dJOcGveKN#UzG6Cs$L06%Hjy=bg2*EyvRb1HS6Jk4F8c`*}O+ZH<a2V*B7#NwF z8iVR_6SCScAJ#rMvQoz~G>AR{;1X>ZD-;W|$iURn9CY-LrKO%FsO@0M#bjuLyu=bY zsBuod>lZyUvf@Qq!3CMpfE6vUghX;1=|RIIBcggbDCr0?=MIWg12fRXf|;I$G3ZzW z(D7WP6l{BD-@}uP5KRFibI3)<26_e-W~QLp0_I_oZ>~C$ea(od=}NT2j6sfxHZ+cn zH#7!K)`HIN0=dQ#eAkhQG59z-=rAM+w{z@xcZCAin8d~#nnat$3Uz`<z6~r)O+lAH z8yo7GSX!7HT7aX21lLHOx@2T!hq-bFJ$Zu;8_mc}D+x+X&nzxUErNtiY`kH#30jqh z6iwjlPIM!YYwraz(xovxC@hUkKwWU8VN;X_6>_e_y4%pl?mYQHVICWA9&JiBw;Wu4 zmVCDu$Hp6j+ya{H2PIfj(D5srCT4mjh9;ns6hX_&Nk}5cRZbgO*%MI&Vof6!vGEol z$MnF`D`k#Z#Cgid%8^{hfR?}-8iAYx%|4W-nRm~RksnW{vGJxLx4;S(3X3`23&$uZ zSPWw04GloWTqSsL1vFU;+DK=iXJ%;x+7}7wbiy(-!G40zhGRxndZ4j?d`mlB{QX=( zow9gHTOArHl$Q6$HytHEQp{rG%|O8b?l%~k8i8)>;Q(D{V_^=e#la1ESSS!IQo`mO zC9bkFLsWJY7U<h29;F}^Kq@;Eglj0Q?A%)pQQ#U#nnbGXsNouND?4y*HAAcHz=J~O z=A@sizIoezGAcWBcm-o-YG4Lh0F5#kN<yK&M}0r}dBh+#-T<_g7FKXkm}Ij(cT<o^ z3}fRB!L1Nj=|N#4d3${q`5^-?JrD_&(&~kI?hf+Z0ty&V^#UF+HncDW^@Bj$5J5{3 zK+RSY&?pGeMVY+Mb|YK^#>VjSf>ICnU)@SUQh=0R(A(9)Eg#An$6A$}C~%EYY`mcn zsCirf?iCuF7?>G?FZ;2yu&@Blzk${qA~xO;tW5Up+h~Mu4LH*F9$3rI5;XJ4Np@Fd zoBBoyT7Ka4N4>o8T5u!zdBG?)9^CAMl~@#(5$U1pDNY!Okg=f9HQy(!qcCKs=a%Si zE6H~YEX9DAZo<Ynz*VfJCF$2nWF1*SMipy_R>MQPvlv@831(OFtT%#Qx&ZS%)Oym_ z0)wq5YUL`*Fpmkmg+Xai&ZxSa{FDIeHA2?+fo28GAbW(!nibq2x7>)*^?lH3LFoEE zDiyGu$Cpu1z=E4$)XTbh3d_ikFq7DLlwm0fi!_Sd0!}=LaG^9IU(#4gez<@egotq= zO1rin<}V@NE#Pq>bL<5Ss6j~Da9wHe5_0Avkivq(Zo_t-#pH(txHm_=!j9qiBJ$k= z&O4^DLR2bLShN>WP^f?tiP<1=3^<m|Ag4Ql+VdtxmS$X>#s+$3mgZ!PWJ*^qq-5|A zGWKqQ2pcmK(AfjfDjJl7NE;n`r?`-Uhypih%@K~Fu&w`ynG(l<lQ2@Mp)jI&lNV4B zGM2INmY{wYY(Rj*an*3G1?1;#SS&$19+cKa-T&uP(D8tbry-Rz6wVAdxz48`nqX5V zuwsuw$9&_POMzp+#U3JL%uGy8K>M{#^*~FsNzdAjQFAD83^)NBWABKN-V@-|pF>7J z2vIj;onj<mElJIfS>)#*aQg|#EflsZ8Ovvq?-p2l8=99X?Y3{$oK8Vr2KAM}S9QTA z*05DZBn${|ke*IS-3S?aLyS*T+R%N}Fpd0(0)-7|4u?t=KqSjl^4$Wi8O;$*7z#_d zwCj^8i6%%B22svgP*_n1=}n}doCC*`3Dv?T?8yX5!Up6TL(qUZl`15#bI6`I2RD6@ zB8tN19sh#~6hsub6@%0cr?9NKn?q4VK@u@yeGsK{VUOSRQ&12?Tw{cP+>C_kvS>#? z`K1lG<v_hIwtP(=`ECK1e2A(WYb=ovE(`4`a0@7JgJvwLl(nBu>ZLR{A<a@z*rwq& z=%pa}LMm>=`U9*jUlQ68f21gPjWMEEOJQ}Xc&&$mhyte?q%xSo%2Jjh$G}>`(DokI z>_kF5Ez{|yq_TueZW<vXio&qj$kt6kM1d<yL{UR&%Y5&nF7k^#a8D9xD1^egQs;IT z1px!@vLLm}DJ*LI<R}OjaDN{$EKg|y_WjU7VI&#Bmn~rJ;*yY=zRd2Rpa_PvAP~uy z(m|p>lPCxoaEdWSw8<&V*SFH!DJf<^B`|n#53J&*uo>+9sEvZ$1TKORRVAf;+`}2I z6r>tR35?XU#1>N|w6FGCw^9;Q5a%FP4pQ2V5KC{SIB<~K5!eEUgbcofznOw`1I|;B z16vIAjLgl9ObkIc8d#WF8j`-Qz^$o?xHY8~@Jvi;T-dQRQIa$unb;V%eca5_(g?Ir z-@;7K(h_vVJZK#n(iReeYm1$f8;wXlg$ZeO6m(dK(h5jSwvqe_2wap<uL9cjw1I-$ z0+|<}+D493ryIx^a5jLqB`Hm=X$u=D$gJSi1BhKElr{}^v^S8SN?-*Cbi|p`hQYVB z^%SHMNC}TLxIp2&TF2^o@<RqZ&_TT+pk?>#C<qxyAKD0B-cmZMs`#gxlJXXwovGAS z<oZ@ciE|*$Tf_hvrRDA{tqStf4LEn>SY!v9<|Azl%sQ)ba@N2=@2di>XrOR>!<VI; zlBj_sT<T@%@B?M!M-8~JLt4;4VaK_)t&D<N06e0A=r~haCGqP}5HR45Gh&TBrTNG4 zT`2`+9i(tU4E#{)8twQ}3Ze;I4N%W9q8Caia11yBBb9U5))0`;=ZH@$CO<QQ)2}hw zT0iJgY76jDK}aobf@_&~o-87#oHL9Sf*xUmarPd#oW*w%z{cf8M$|Zi4Kb`j>8K#b z)<W{*1DsG1gI|=kDt|mHAm1(E@&swxmBKNVNBsqq)IpHmA<}>;wknZ?ag40Q0t)gl zc+v`~LZonw>hn!`<i`_uBpDG;SW8+G0!Cp~9wqSvX)7SgS_=x3&3wl^3gQWtpCH?G zjV&!fLn<bErWVEqpu?2G#S77U+Y*@bh#OKtnzX~#`5_@PRIlbzPzght)YNM$CC|;J zATGe^6ww!>w3oUri}IkMUJkxqmqUII2KP1yEEp!CMQ}|clY(dh7j4vQ(moPTBR^ok z!(YaT4l{*iN$A;B%8NF{z&_TJmV|iPvm=#)%ncc5K`Lk|9J`(qn@mBfp>h(IvY^B< z;FgPdEadc4LrVig@HNxMptGGp$FG78HbIoZ1jms#@FW`%K64d%`YEF5r?h-KEFVun z>VPztkS6FT%sOXe<0#2Gki<&8hHcilSn^91@G><-acg3120H7|5OGNyX$JyHbw*H- zaX=9TIui`iLNPFgUdLf#YC*=0PEvId#I;bUHwd}ocsL~q1JYbXYQ0j}8*W`ok#is| zCd7mwr2{%(=a9X)6Wn4#atwusc_c3kqadMzOL(N}hQe{T?imz225C|oTj_=<;t391 zG_4G!BoRYWjTzc73UtF4>4&~B=!KFqj6%J*iIxeaAfbR8ZPaT(UVR=yeo+q|YolHc z;z|vnG++<|f0PdHs5ns&FyM|cq7J0AoD<s+L}|bvn)#GEMolS*lH3ewt00_Xfo-IT zgl4`+W*{ZbfsCY6Z+Yp2CB76y6}WeZNH>&@?vxk!P~aGFJ0CG5NNIn}*W8l=$ABAh zh}=!7V-zGk$S?N5EnK8!BiKfvNJznx-?~%W6+x;HC~U@Xb-9xtGT;dhM95HD)MRi| z9x_N%b`&;#DRT{^6@ysPLY1%~_ZU5JIfLXD3e(J&UvA{58E`R!XkAg7v%zkmtWSV& z45gJ5*IZW$$~<r@f_j4t>snnYuPG6O43u{4KjpfTpJ>1d7;>?<fu5nEiKU?h=q6nY zLt_&I3$&$-1p6ocAeX43TvL%)k{It_S`wd`R{}a@8FDd(5mJt!uq;`5*@b-1gX==1 zW;Zq5LUxV;7x~n4%uZJq3UUm%EI~NNg2G<3x|cHrjsa(1M8!<$LUlb`NAi;lIA8{e zTfjpdh?y!%%Mr2n4iq$>AR}ak@MW)*t{VI>+kt{41CA!da2=)Tchh8hN=kfCIb#Ug z!Vg=*NMS*frDI2lb0A$cMDC`vrJ!JEO+i$F%RNMggwj+z`-2tvxf>KwpiypUJW=YF zxR2(P#1o{4ZwTMlMX7W849zLX;GnQE1O*Os^Ae@5`LNNHf>Z+@b4HXlly(Am59w3j z7})R~bSnm>AtMy3Nq!OryTuUCTr_EmNw@<wC`rPmvGIncpbI{rISMnLNOR7+f9jMw z2dU(vups7ttVThy0p+M@gkvbJG1qrd;uvs-g6--uFt;=@v*6+|(lawNFeLqkvE>g` ziJIUuw1Br4FjEF;)0_IoRVj!Ha5o5PES19cZt@KkN|Gt0f+o<iBVpdi?3xM%1wUlK z1yQ6>TD;Y7Q>G+v;Ld^8LzE6J+}x;4LBatSx6~W;t~64jz%k(QRHP-s6pp7dvMG^Y z4}qI?)LYZX`aqF_fB~1Z2**&`;N|+MK!Ibxv4m*wQd;mSl*y7GOW;!9I98|{bf~|P ziK(#x_;xu9Q%lhGdL*tuTJTodi0~c2&=p8#vGJMEi*leDnbLBl_J%YCu>$TfA__Uo zQiZgJ%IX_ZloWE1b`xUVC#5M?^s*HBi3D6ZA|@j!ji+Nfq$sG3Aa$c5{D3M-L*~CT z1t9|-nL><mQyMbge@If0g2CkqqDw@nWB6xM;uvt6L1ZUNW9hQ0BqiAil4uYSg_*la zYiwTE5T_ubz$;G>Z3aps>XoG!1&#p^A|fJ+Qpb4j5}_obKovE3kOA6Xq;%lU{fICn z&Vh`;5NL>#FhhOxmJkI+AH+3=u|nWGkPIx%j4jQ%kncb;2HlrQ^gfZSJ%TvzKtePk zDNPW3y9LRwd0>eXyy_HmJ1A&hjFFy&nX$PU$+J^@wSvTTN-g1|Cz!<+X{~_P!vd5f zKS(P8u?UvZrsC$A{FFEcGQ^J<u%L=_$eu3)S9iv+)$@jy<|d|Gpv$3*42{TGJ%8pW zAMvZ_5o>uU%^feJ`6wv6!F?=5Q;1T>F#h2sKdXYH1K}1*)5eqcl)42m$4qIs7}`+a z7H|&>F@}%1ZI`qXh2bJQ1vLnyKrxFI0vD*prbcF_pleY<=fYbWn^}@N-yiJBiuVpT zb5I}86g1}#x$N7(*u>b>6x7u;FgLdZmEaa!jK*BB8VhBMi<_H*1gium3@R{cFqs~D z$!uh0Oz0Zcl*E!mr^=GlXt4E$VC$h>OiI@Q%B^6cAiIKVGwPKcIrR(_I0oDZMdVjX z^GGbiZ$q+g-UfH0sMm7=yM^p}1?Cv&DMgqC8EF}|Z}u-k@~>-$WLTu7cN8u#`<zCJ zYap$2BWUqqU~X(|XbPGnFflc@G$Z*KhIPq54Xub+Eo6vje^c5Xo2B)G;>bV@gkWx+ zBQ0rI@BL1(YY?m2D9ypbPG1ZuxQiUpI7D<LF{6sKF7}I!pDA$;JgGuUeyTW!>@o#B zxQJ-SW6t!EmT<OtQxrCkLd68yk2E$iGcz^j;xN-QFfcYZA~_q|`F}LDl0;tg=M&`Y z;~k$FZv?J-sWd5We)v846%3UtyJ(l!<huo&77!ILX8l51J_!`1z%Aew3BGAh($eMf zHP0!@vygOY4y|4cjm(XVjkq{W^b9Qx4K0mHZJb7Zxkps>VuaWxLTMo}yX2ms71@OZ zc*qn{yHJ`iOp0$&P`f}zOc8x3s<?*SRrcT}8DiXl(wffD`vL{g1fKCl<X=i1Gxyvf z^2-v~004Bflv1~x<vT=4{)MC&*p<TuMkeNv*+c^~b7N5R5O-(KPu~%vv)BG}pCPH8 zJu`5P18uouh6ZV+nbL(l6l5SsVFp`SZ(wF%Ze|8*D;pRan;3vEt0(2ivVV!|i7Lzt zO`)Zy0cbqT2(*;n$jsQl(ty;`^YW2ZL|JHrG_--S+<>(3uIyYuad^Wj4+Bd>Q)5$* z_YKTU%#FY|S&|aoo6{B$=Y0eC>P*aivZQ%G^vYC<y^lDs+|U@5<v5J>42?{|M}d;o zs?eR*M@dNlX?+{S3Ux4oM|&uA&D<#s<d>1)^4b8FEsV@94J|=#vNSd}AbrH^WN8Ia z>B10hp@FfbnGy0V4U>^67n31k^%lyg7q)^>{92izl@i9N7f8Snv8ToWJ|j+HU^Cq) zrl58NH)0Sc!ctlWmmDghBpt#FVd|vAEQfsZ(;+Nmz(cPFX66PKhM@Yu$i&pZ#GKUP zIZra1xO8Y1D^v_h?gmCCW|rVf=V7(63G!qi!9=*UG{cba=|ZrfS3@dg{8Mdd<Oevo z)d<grCWgj_W?USGdd3!p=H?_<z#2XY#05BFkpYGIaH44>1@!@yOW>m~!^n>a@YE31 zDuafP0pvRc+~K8~Q!Y*LC*LXHaR5Z~fx^^Me%^<Yf)cW{fNFKf$vkfg>JV7SKu3-! z44J&O9u&9*+{2<;xENk{qrffH%}3QCj^rm^Q1KECFI!DbjLpnJWvhjurGWv-jcuMT zJL1Y##7GT=Y2s(AB?V<Gq@PQ*MB%M!K|!K`m1xj$ZVCfN*UFrNfPpN{r&_?&-!!El zU|>m<I!(Xlj)vst7Vz*h)rxwsQyhyj(=$rkGK=)nGK)g<GE3qO_45l-ixNvR%Tl5I z;Gp>8jLfu>pw#rt;*!)NP-h|95T1IelVaREG$=?h@OlT*DmF2<urL7?BxVMN7Nn1o z%)6*gTtQ+SE0h9m6&n~EnVNBNLeD@0U72CY#b^d!kwEao10{BKw8@Xmym;_jNKmwq zQLIn}*cKC0BTI8I%hbpcV}^xb+uP@WoS~H-sK1w)n3GwR3b8=Hq&zb|IX|zYC_g7B zwMgH^-_JGPKRz?w7(56@oys%unj{5T5Y_^xP8N*VB1(Q11TVv(TEmYbr%<;^aneqd zf*67gj!>s8`1?tSg0cWIgKPlnuo_sJnS(c@7#JFu85(dglGb4jeZxgUht)tR6`VgY z$^#}t6D~$e;tK>*XAYbNf}v$BZflIV7%hmmM%RV~r!}A<S)9HxBFQ(7&zNyr18@Er zo0ypyf=X>eQws~yyVl8!%*2)2h@oZ*TRFF~m?-HiQMsAJ{p-5{`Ll+wwgsdDH88Za zG{hWjL@ZG!SlRG7e>WgJ+GrRnR0+v2=9ZQg5SE33fhiZGInH4vY%BUcJ$YkbrBA48 zD=tYaNd>oFjAKE)4(ilxy&IlT5bm%F1v=S8VTzo(>#PCAi*N9>FiD$=cysRnC9Z*t zx*EU>J0mko1LQUqsb#9gfqe$3D@<UGET3qkG8NMr15k2=tu7_lmRsYx7pFC#wp<xF znHw4z8kul$8k_5xm|B>afCCn3W{F__QuwyRz)G7?iiZuPf)0(zgV<|g2-=Zvpl4!k zWJ>y-M-y0f7+9%*Tc+`udHJO!`Yu?H=CXjt8hXo^2~-E05?@7quUmy9ID?`=`~G3U zX<%px2~G<W3p4b2N`k@pbj5N~g3~xw2xhOLficux14C2vRZj%%HC?xa6no8Lg&@&r zWN2Uko_{hoFfleHc@N9^%=tt`qh+j63^>^sVCD*Al3E(47S1-X5<pHkfuJH7+Q7gx z-4N7JBRbC+Z=HoBk@!U8ZO0gJF_MrLkG`3X!<wLI(DG7T&0lctCfYO2Zv6(7F7-8t z6)J~BoQ09834~>7U<!5!Da8!O`#u9JT|&i-Yfuol%LrMi2kjE0$0?%)Nnx#!-Haow zeZXOj#}*UfOP3y*Y}~e3;O*X%kZ!`cvT)cE6m4h*w*^~>kuZpGb!s#YTYSK_KuQh+ zV+%8I$zfn%Y+(UjOT$P)yY6dul!28Bp#+hVpO*^R9AaQ#XkcK5+LScn0#yRA{6Mgb zjQ<)*L{riTUL-@>uArLL!qUtfeK!h0>mt2ONhy*M_8J+OK&t|CV`C%qxmbes-YV87 z#a<J*e~k>xVfLCDo0FWyzgg&!Vy`Lg<VxDwkVXw{oXHh*vL|GBDn`Y_2=*W>@epi{ zeOs$(U?qWE?;sj|Cb2>VkfIUNNHGU(U@`zNb0N(V$Csi8xVIog8ykQ&Fd0Gy)S%@S z#73k6L((?gPMIizBk+QvL4gOg1*1eE&0pIpd2ra`6K#lR@RKxK(&lmFuq6m=ODQCd zj7&_7xHysStuZC3iSWgh%fLztIU%5rYeyTzLiTz=mjC5It+g~U=i)Rn(K9tLGBq#+ zZ8RgLykzm`G_X>{C@(<*j?v)5OU%LJtkg;EqCc5&rgj79LVW{_!6_26RCh2V4oiZf zjo_sNMgk`-Q5c1O(Z}9d3yL;`?Kv=@v~+Nff2EJBbg-mWcrk0=!C^@ds9y$+c?!d; zYuXK*mLPH}h2=rz<Lfvg#RnWIu)!l!LlbaLH8wXkH!}t``$)+$Z)RNA$I`?AWts5& zq7+E3f$YbGHfhWaO~LabM#knA#^7{@)W#)PMX0u4&?lx(Yfi1SZMW|nj$jOmHiR$r zH83y*UDRb_sRvqlX<)#`WJF@a$@BI}eZpgK#_)7bVe0s(atucj^oh2hmL=2mHsQ3y zC{_qkXd9ZDTbglkT3YIvSQr{%jB*fcik-i-N*_z14IV#?HUw4Xkh&b2btw$D`zKf7 z^pZKeiDGDOVadg5WT<CmYH4f&p2R>(<OIERNPd;Rl`1F?p-ldP1i+cf$Qa};NCyrw z+X$*Apgl)pQ$sVfrG*47+~l%cpM=>)beqk%IFXuTq_r$BPFkvOrAt&*ZyFzOK*joZ z-m-}}qY_aHQ&`le&FjWpd>Bxxkiu&V)v9^CwwS^T4QhlK)=oeW=ulZ`Hm0E^h~kfi zmRQ20p27lYM|ca41m**-pP;p_fsq+n$KDWmR*+x`v14fs5!Dmb+IpP{)j0DeBBfCn zbi3FJaav+Xt@wGhCJlG|APN8qy@J;kL;*lcTc{Q-V83830DP!d06gLI!4daCprLN+ zlrq^>9yl#QWEBcanIoU9aasaDHw@H1Kwa|$Zg~?uGUFX*fzuMxSfN5l_uBw;LoBC} zxgKbvjfpWAlM%|i14<!-ZD!bPw*=mH4|Hq>+y;ORG=S$Bky;<5`K$G^m_Ffw22)UT z3v#9nwAW-{2pT>_ZtfeCw!vq&4i^#SDST(2fq|i=5oqg(iLrsHi7{v}1y?T(TfjbG zu-7BppoDbOpbbhxBSUaE4KyZfjy`9F5|h~WFGYwR(<R)X1T|<~^WtHr2IN9hk%0xu z<|)u3ARGq<VYB+s(;QvG9Vs(d_rt)z#MG3F)52U2G|OvDa?_brMMM{W_rnC7Uh80< zGcp6S3@r>Tj7S}zGLHz-u`&S#8%oCjZB-y_A{n%L0%UhQs6>P27Xt%h$b^EafvGvk zGoUI_(mEteWE;i`WrIBn8mEO=3u1w%3vnf1Y*Ff(utOV57=ywAIxYtZWAKT_U>i)q z9ZE|OK;QO5Fzp^?U8rSc05Tk3AcN$gmP3Xh!Ly@=md3`A;SB>zGcybHscnM88w?B# z3|7)9Nu?zv`FX|q$$2G42Jy*>MMagczE2W(gR7;n8E7k+p`NLUnFU5X5@(GBR%`O& z4WJ!!P(T{MqYtte53z+2#SUy`4%jQ0TNpu!Gd?pKT%<w@oMP~Zt%0S5ftdk#?#a@` z)YzEhXan1)4vH3(XiG`WNvurHD?tlJkfp|Ymgbhmpn+-=OFc_VGt4Cx1k(z!mLhx# zved}T6mp2CrKP1g=_4M*S_<i=!+dIFY-RxoUQ0_$+F1%2Y(ZFRim=p@<Y_iyeG19` zNR~qSI-u-NJ4+!Af&y^rwJ@<XFoF2g(8$!-nB)o*>{HCCTJ+Ql%AN3P(f~PkG8vj8 zuVN=I<zi+}@UR)8;xL9SXU8ZEP}*6<*+%?wc1X~+KsJ(7!)hyxasjQ*bPM*4PcKTW zj0XomG<bl`5Rz+~aX7;a)Cz;Opot3sOLCk6nR9}!5CH`S7nh-dfgXqj+Pq*6YD8dl z2F_BM;8L`t(qgxw)KurZ5(A-nq$+>|VJ*riA921nCFFaAwUBuONLd1JIf2r<xt^(| zsR3va6?n@TR)1q_LV)uZ!Io1}X>l-Y-6^EyWC&Zt1rBbcpa3m;MXX&RE&wp|H(Dx8 z2~N&|EyVy?h-@GDFeK9ai#ddhZeL1pN+K=`&2T0xoB>SG!qlRo{30QETND(dh$LkR zntUS7hXhk{LA*hHW?sAjs0j?&6b}kl1B@(0!m1i@Qo?L#papGlY7W>^Sl1Y|QUNLZ znBiP9jWckGv(ga0mjdKbRDYV%%2FddmVz5{q{S+6J~hT;DH)lCI7?0NSV~5TM4Y9j z_${SP+J#<LY^n#k9~g87yQ!X;v5^sIZwyM^kJ1sqnRxAUQqu@+U38B3EzKzjN-ZwU zDFJnNQ2IUXj9eU+dS<4k=H{T4B^G)XmWC#vHV+w&u_4<rp!Qb}BNwNksh*L6g{8R# z7pJj-o`H$EsRcNlBhB&=7cLGYJH^NnwL{nma*m;%g_*e-C}fNc^h_<yj6f{|GD60d zeCNP|#uzk_Yhr8!Y7Lv{8JHScfJOnya0}@{V``2WG)9(sW+oOUhK5|6rj~jpX6B$H zQAk`w11|AN4;r|0Kta>a$i-=5u4is$Xl4XDwBAh5!US|K7}``VajC|dsAx(|Nr7f2 z^qj<LVxebhWNc<`0^XxxY-VI>f<72TQ<p$m)-8;XNpeF2OH)fOPD2YlQ!`6b3-mEK z;#^`uR+xaI2DB2~OwZ87#L~bJbSA2?3FtZjvLeQTm@V3P%Q?`#S!$JYw&c486fV7> zlEqZd%-qBRG$LzksAp-4WnDUP(L>bcb<~hSFX_5K?x9vmXGeP2z}*829Ai*K8G%+Y zfi9siF*dX`2RA%Ot_8>l9C+aZT@wKc98gtcZfId)3NGsm&5SM0$g7pe2pqV3K!MW% zDeNpP%#A_IolVU2ER8J;L95His0VC_izytX9oQ)rdZ2YU#-MO9&@(nQGX@R4)6prA z;;xmN#hoR2p#qAXD5P#BQkMiJJc#Si3KS>j#C!TXg}TLO<`tLd`wLOi?36?lvn3cM z7$q1WP=QH-Nr6#;QGr2$!Ki_ei$RD%ib1KkD77HJs3g81zdW@_K{qWkCshHwvM8V^ yKN%t#UzA^3lA5AdR8XRzTabhyU0j-*n^;r{Q&y0qpj%W>fuRg;N`)?{aR30~7lv~H literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..2c887758712bae8ece241536b25acb1d52c74a96 GIT binary patch literal 867 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0LPs&pNxKJYml-Sx@C<V0hs0ROs)E<F;Fc85m@oS|v|( zMp|E$WMFV`SSo)-?y%KYc?Jdshoh?RoHtlaRbyaiuz#w}z`($;RR=`28iB~GU~;KN z1*^2(R|^J)5~rh<Aof%%5c{bWNX=Gj5P8%NL{7B_kxyMf<W^S@+3E%&uY$=|Z!jB7 zE)4{+zk<o5K_K?jU=X=93`BkflSjir?5PnT@+p|y8VO>zMuEtyU~*|Ri2W5z9*qI9 zr^bTFr?Kl4*4b{2V_;b4)EW;Gxe6wiCV<#q!Q|0I5PND8h<pkrw<d$wttlY#DwsT) z24YW52a!*~<kk!jdubMk{0b(I7J%4O3qj=5G7!1797MKOfXJ(0@@plCeY6Thw${F! zdCqZZGb7LD9H*n5j6Qxnj!*j;|NpPAZ=J?yFBamkbPgj(;h{y0jNuPfFfuf(XJk0E zn~~Wkzx>fbMi!6E^o&i17zJEXi!;;n6f%nyit<ZKQd62vK;$l+U{nh&PAyU>E=Wzz zOv_A7QOM0NN>wPyNX%2PG*wl|Pg6+F$xqHMUUUv@=%;gxX1;J0<`5N`c?G2<#R`c< zsS3H7#l@L<=?bMth9-m4<e@8!Y`&=}nWedtt}^POSqe^|U`vf)MwX-&<t64Q<mIQN p7C*WLaqgzuj502%WtqvT3c2|ysW}ik1B&ufN|Qn9zTqJw0|3X#1Z@BS literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.pb b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..8f8aa6043a7c7420c40e4271c98d034af962c2c7 GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXBN<1@a648-oqK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt new file mode 100644 index 0000000..b2ffb8f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 1499 : + # of nets not needing routing.......... : 477 : + # of internally routed nets........ : 477 : + # of routable nets..................... : 1022 : + # of fully routed nets............. : 1022 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/proj/AudioProc.runs/impl_1/audioProc_routed.dcp b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..af3be797c3477a4c1f781f066dd0ba7f846f74c8 GIT binary patch literal 567782 zcmWIWW@Zs#U|`^2(2Glr%J^5Mlf}fqP|U%=z|O$Qkdj=WSCN|&8p6uJY^if1eeX2i z{MilyZRfwPk0{LISDdk{Ovtjc;i#cz{G-EVeydrpnewJx{1o{6{&W?WK(5Yf)8%%Y z+4Fw!&6%<Zb=5c07bvdr$*j}uarNA>SMuyu*-m-xS<gfx_C8CAWC)uTT&C-B=<JKr zF}%FOO4eIK?lPO*o1Fcy-DGxLoL}qb<$sh+e81?t+VoFqMd>Wn1(O+PHJf@iwDvnp zJNV<#tKVO|cCm=@H0Ye1EZ+0l(%?!{-$pO#IRO`Jo*p&)VKsM-Yv$Lrlas<qcRAY} z-lP(m`X#%_&{DcwRWRmi&yOde-^3iE+D;qw#<y(S$N8>AdTq)1@H2iod!H~xl`zJr zYnDpB^)b*boFce%-HL}RzLwqI_HOUhmD=g6FYZxlzs|L*r#0El_tJzl-Q}YC3uiyf z^1k_RZ{5T+7MA0WR;unwpK(t1Q*(gmzqLG5LuEUCt*vIUyfm&blk87_eDlj9|0t)= z!DsYN{_t4$Nb}k;qu&ddjQ5D&j{ZL1ao)RGr<~;{@7kUGQe^50kBJYJ<#U)#muSzk zJfJ)y)&1jyBX^d_JytOfl0DjN%hsil#%X^tUTfXG#T`%i#9R6|Z2bMr`P}oX3pc*l z?YZgc=KL!M_1x~X^H15gca6dP+p%^(0$c;)C1>^J9KCc~wrEddp4yU=rzbCXJNGJ^ zgR9WomXC7`Bo1s4HZ0ia7$BJyw#jzRiAz52zkf37o|E%ma`V@&TBge%n*G%$JePdW z!V~@ZSA$8v<ox^1%<9RU&zJ7{E-THe7|<<HKYdE^=4%BB8~=UKo3@nQo89W|^{0$$ zdDwq0Ox2A0zgGR-?Ec+W_VvH#?vU*L$Ap?Lo&O%nn9az*FpZgkL4bjiA+a<iGe4jx zKRLd*q%=vd44gnMbWWt#PCA=++d!b@eN~s`wuUV0f8O15f_`6E;Fl=j8TdtL&B;=q z7B$vMn;vi1_mmbaed(xNw|cJP&I<({t-0s?_P%4z+gCfcUb6pK@}Iz{nct=O-FOPt zo0_dWn0$EN-HA^ZNKHHT#PgWuyQdR-5<1;g=Nz5X@3rB7e1KQgEp2`~lZQRcQ`e@= z3AwR&qn^X23r{pOvNr^OoV?)L=63tp8`9-_4@S)uIrZj7<A$p$_cm;Oe<QNJz0~e) zlyRk-vz@`B&Eji(wg}g44!*VT(CurS`*OsEJx;wj;Ukw4<f*FuGW+v_UGwHdt$cGU z^5e_jm)D2(u9&^%^qTH9=S{^{&o^Iu@p1ot(>eUh+U%F62Q7B0@fSWb>CEIi*DmO& zM=p;{k9;2aI9$I(#M9Jck4#J5Y3@mnRK*T2)mgbDH?M2<(XC~IcK2NamSs9yJzC{H zTTZun%ZrP}?(*Spyk4%7{TaM--V_7f#IQqqT5hv!<L$iE@N0&%)fq$CXAAg>rx=+% z<%(@svgo7L-FG}sr~Iy!fBbIx<vYKp+Wg(!)w}19^HqD)7~RF%E1Sv4z@W;)z#xnz zMl(_%r4A%g<NXgAh#bBDUGv>ywY<cR6>m7%10Mx6&5($nwr=f(faptQC-&`q+w;dW z>fWu-*5Bv+E_f_av@=sAdL7S_@8y4Y+<sa1u(?pJEZjiQqEYd=K{*?5@v4=#f+o3q z{J3CtVY98oBwe8yLNkoksPw#;mbmIXYhjq?5~&$I#~<sgGR=)Yc{?dYY<>UaJwBJ~ z^d-ey#au%q(xe<r6N{Fquq{(=m>I&RcVHiboR~f<PXp%-_LTn#a!iW_PB=ff%l+w* z<=c{F{`2EjZ4r!HP|x(K)Zsnrm)Q;X_+M;~n;ZP7D>c{VNa*iQ?eD_j@741d`7h4c zKKrMDqhd?J&)%Q6<TDkOc5He4O#eW#!i$6t?jg@V?fewLt$+KlYWwP~^-Df<Np|_J z)yN1ASJ>}&UP^LtM5uJPbYPgw!_vr;bI-0{@<gQb&52FNpR!FXI(%ntCil@#H%g^a zxNkpVoTGEtL?ZOE&Z-xaIJZ}b{YA@kVF{nAb~7+A>|j8TqJqSt#9VmA0E(jS6Dv84 zw-l5HF*J)5bIi>!_!xeBY3~1Wt-WR8H;NOzOX~L2zgs*z>E`---{aPppU8V1Rg!5u z`=s~llidIQS`^;9_-@0kOIF!weXiU6UDBnb({tYnubTHVwm@}@;tQ?*d+lGsr~7kB zM9qxYa=$92!u91pxvi?*e&4Ego-*wITN6_A+<$-V-?NdNyH$&q=iAq2{#|)$p4Z0i z)6QJQq4(!JTiw0y<H>cOUdoGpt4{yNw(gP9x-WJ|W<6nLKuwp0+SC8Vg6cy?1_nu# zbeS2DQCaL?P@-3qT9A`i2`_RUb3NX~aazaQ=VZ8sv5|q%MdJ{URUs=pw9cOK3_Ni@ zn8V*U@PqG~5CfwigDo43%r+Zs2>DRT8OX3wbW+0!F-?sbS`t!GGiNY~Hl+B7O6*vX zy{?ln_l&7&%Pekm$30Pz6XRfDs8vOek<`SZoJzfllw`QGmPXw!dTb_CJAeI)Sx*l7 zd2Uj<J$ub37w?cGQFptRq(52`q}9=)a^}M}290RzsrC2s0w%qknKJ3sju^9i2YJ&A z&t)7;F0Ipw4GX%>?DDX{lyBKTtr`8|A2e^S@Znomma2F5YWu%ZJ?@=*XZ>9KFsUwe zwb{LGQQMZT4OZp4ZD*fUQnYl{YL(wRszUxpm(K6E^3P<t_4W447}NdrAGdh1+iH|* zeLe8~&`ahSEDx7yhe}v4aIX$8;rO-kQtOGQ4{kp-l3{%qU;fwn&#Ws^rw^?Q%Dl4e z$J?_n=TwQfDm}D3ApO}W^oFtB7R`r~Z9|GS@EoXJ;lJN`8JA_ocL%%BrQ4c+CcSGG zthVHMcKWx(7Lk_<U-n*<cc~XujMG`RdZIkr`#%@6EdHL)dUy5@M^*DXhVxC8{r`I3 zi+wkg{j%%s%_rigj;F<5D=YoCp!wiyu^)S^Yx6c7dR`r$`{3XHybJ%cn*UAbUAO<% zgI}lF%+K>axUQR~HZd|y;r!$p)k}M&7o89Kc5{Kt;iE#u-#=&E;PG28Is5Yk6Xs9m z$J>Ngp6~kNd%1mf)$^UFIP1^y)K82#v|$ZjRBpN0ue<lY=l=QZ%+3G%`h%s_t9nGz zv@WXlJc(1iv_(N<5?@6m+q#(tCEQ-^^|6+DVQ%wcPQdm(0Rr!*6v{dViG@8VS<>9= z_0dq(NWhhg#Z^1A)s8{&;?51LbSw=1A8m=uJ21OfM(Rkn<60k`zPMP0#fNIuUzvR8 z(w=C?DVyZ)xA?r{FI!>FgBox70tIL2IL|RnvUERxWO1VJGWm)Ii-rHra<eX0kn-D` z@T`5#ioJTqYi1u_Y2>LE;@4>&Fhz`|Z1#ym9_DJ9nzK(w_iFV|=hNx2x%Ky%i~2T} zInoWRDyGw>H|K7Rx^6PF@X`tQ)N<Jm^MxW6Jq{l_p_*f!D=(_Xqx^0n(~1QKz10&} zewpKw>eE~PVY19AscU;oiacdK7d&nIJm-Y^)a#bt(mpym@a)_)<@8rZmr%vDjptOj zl_u|5d4Rp(;_jA;NA7lK_DJ0AH}5^b{W9lC^Y?Sy%kJI1(!M>8#qo>So}V496Hoto z-(10TuY@c4eVvrMF4F^!vPbRz?jGJbLHYZIYJHx)l`=mBxmBir<`k~_5-7mlZJG3? zKCZ2<h5cZTC2!3fj&6ZPH@e?HcKy*X{rTaSe>G<OKjL)q>2K?rq<tNUQfHcO$S-_Y zJ5fKPhw)~C#lhhBdNbGzAGzq?O><1qVo*zyNs9V2)4o6U(lJMy<35KZ`uF~1*4b;o z*Y-VFzvXG|ju@AJ{UIqj6Jp-(coDx#GNX*?uDIaWsHEv3`wBkpnC$LZYUbK~<WUlr zr^9VA_SE^>rmH-RV${W=4~Ql$+a_e2(!IdMjV1J{#=jrSU3Q1$MSR$y<@_^f=B9RU zhuO~_q%1XLF%aE(!^<eB<m+Ok*^3zW2Ca?!bL07{o@p}`+P0}0?F?(1bTehW4R1l? zGEQBY2@*kSy!?vcDd%3@sWQB`yk?n~vX%L>lCH`ey$u3IrpH2z92@xNZ+=zM(_v8V z_HX;N-tv0eFFFk|jXNv+Vw#hhHP&QI+<Zf$d*<C~slQfiVlz*jR8a7`RoigBX!}ZY z=`RVIQu<qLcYIlGT)j%-qR+{#dfCsq7M-6e@yRHH&FgWHPli_B&grZxc$xglo}apQ z_ITx!oyY9LV?V4r`1G2UbwF>zTCd|bIoe;;s9)&zbkV+KYjt|crB2baPA8^L<GQ>^ zD$b_u__m!XYY(lPdFo)-(W#Az9rH3@_#TX26f9MB&S9fKf#<w7x#Xp#P2F+}mkNJ* zW_GqQtuW>S7jv%-*I&04J*i#mcB<qbSr(Y5^ljEPQ`g|<XIC8*-R-6qdTXQo!^LVk zJu$P6E97lII+G{-wTI8lTPL=y)P6PLgRoZ1Bs1%XidNQ%-d~<2tT{9BeEUp?Pn=B* zMKhC_HVZEj6DghK**H^-Q*_~>Y@ME%Y4wInwk#~)B;Uso6q))|@i<%Gi>YzlYb`T{ zxK*b=mA?OjYxP~u?pbl^JANJ8)?zvJ(7PxT<Bk$zF(<vts~<V9<=3-h6ZP0#+oWa` zH|c2H%S{VHE<M<6d)##TGyN0YZ$qOVGd+4>#yRQI+x;u|o)P$Vd*QK@(?3iqJN%^f zLmbbG+o$<>vXi}UEj?7Uve>h^rzm7m(jxJHCt}O)7A$?VC&}Wc%%Ve&^Ip$9Fj4A% z;JX`IpOng78ea2H602k7)1P+e^S{2^A*svzRx3p1y?zt1^0v_Hg#~5dPfy9MU(_N~ z{9{h~wAVWlwK%lJ!nq!K3NU{ADZpm$duN%4!I!&w=RWT<IP-3?#Jd`fzc;v_{o}Bi zX1McV7GrMdg>^m5-uH!?#drIzlX&R5=ioy_J8hBayP6lR3iR4!^wZ<k!c$jD6qZdj zT~ZpHa>?*wT#D(WNlWyD0#r}R7{2|*JN@`o<1m(=0oNvU9QruvHdn!^wMCw{&;NR1 zZzYhkpfG!qE7SIvD*sb+MEob+5IL6Bc<h?RffrfXQ6Cg4gOYM17j`TbV*FfvAzJO| z_Wuw0xwWT%Y(M*qzqinscZG1porg@DX71@+A@ewVMp){F_6Y~%)mLSIe0rKccaGSk ztx5ZzdOA0muZ=Nnxb3^sasBO=W&Q6N0;aQ_d(X9D-~atjJ(*mKDx+!_=zD$nxRfP4 z=YpR3>Pww#ekL#2@3_6WU1yI?WMb>1&coA<pXcv0`<!l2vFn4@rJ_f!btat0K4xu` z`1#>p_49u5hi{(lR(U&jQq+r-=V7t;J4^n{=DU47^7QO~M%2d6yf3Tsk|h`z=CCs| z@FF*MP+Agu=jP@=-Zt&{{rAfS9@K8+&XEq@G&e<0PpoTd`tirFZSLj-a>zv+e>B+` zdU~d3;FsO{nwn;wfy)2<&x*J%pJDLm=gSGt>pcS9BRBP3zG~zf_S$~W>q2`wOL>{& z&ks-Tzc>H#`OnLr9KQGXljX^8`+glP-sG|GO{}c@-~PjUOMeOf|5!Ek&y+RsX`fFf z{k^=*bK9(UzSFyN_RcyZ{yHar#-4u@@19LRX|!)v%IlP`pI_&^TKnnr|39DOKCZv3 ze>Poy#`Y@T@a~hvpB~z~FD@*x+xGA4{yp)_^jC*&ia5SmWp;h!pI`DbYnXqyKKl9S z^QGU#f8A%*{3yTjy-0cHca!)I`$^YJc1G1($oJ*t@5%Y3tNFits!y8yvEzv;FBV?S zsx<Hath0a0@&9uw;(z2u`Oe!T^YU}i)3x%#`rB`u_WgG3<o5Y{1&q!um)`y*&M5EZ zt=alJ3iljdf3oB+<11T*@Bb!$-!wyS{?8MU&$m0q=zU)1eb2~ydiTwmk4K}Qi=Eq3 zvhRe#W~=(cI{(6JdY+nz&i(v4<zD^A>M1_U`hR}?e|&b$Gw1H#lV_{c{keZH<&<x| zanzr8RWqynPi}v5Qds=CpLqD$BWuO%b@R<wuBtjZ#(ud|zR~~HqN}g$b(in2y#8}< zi<ON+>54BOF6Y?AR@e6(`j=W!CDVVd{oanpbL;o)<7^MK=-YEJ_W!HLr2-$gbC0!L zmyg+0_WRYF{~I!jJvNEIYT9~#A%E5t85#E*Dd|T9ZajG;o%Uhl{m_~}>f66f|F*t9 zQ7`SthMPHs*Uu%fMf>!uI4k!3jn22k?#zdqPCkg{Zk|6Wl0nLwdynt2dyzftc{%JR z)5H$V?%BJ2_vY9O5#|s7-+OoNn?rKu#{DO6u*>D^^xQq(^Yy-?OU<l~gj#{8i=WEw zU31;r!1!zC!u=<Yy3bkkC`nh|a+l;cNAb&x>LzcTICrC9#+um;_qQ9DF-W~ud*Hro z%F?Vi5+>Jt57-+Xy|8NI_5~aBnEtJNc+AMOW!<K&bqm#^Ge63`Qm$w>kiBrIWOeD^ z+I{ov)FL=|GZ=TDJbYYC*!FjyrNsi*>f~E*G>hA{7cZajP2Ifj`PX~#`~Mxzo?GAZ zH}8z_s@E?c+kf7F{LHeWf73SmpH2H6y_vl(UF1H?q_=h%yQL*9wo1t++>+lB*l0X& zq4{mDw7-qgf;$XS|B6N*Tw-6am~r|tn_WrTGd{DM@MdiKdMb;jL9_n3?3N|Ymxb2w z&uZEdCC`3*qWIa(Uot<jUt6rLxZ`=@N#n{W{>|R|4t1wr&RuX<{+PK=Uxf8D&&3^E zQ@MLiPYt@mwRFR?DAk){YYrd0Re0r{R)V&{=@%DDmdt!3r*wLm|9($9>8Ezt@>&^- zc#pYVo}0FfJ;Nkz^UtKyWf48c>tjC~O0KBcBYVvK@~X6b6YsPA*jGDijd{&06Jh&9 z6EY@U>nUO1`Q~=cwyzNZJ|^!rK3-i{U$9c>T$+S}FZ)8fUGEpf$IhzT8kK(W>P~jP zFM5F|q}*L4%HFyief*|UZhhS2OeIh5?B|7A8g0#2SelEM&6M?T?_PH_{^}vyzhd4K zyM9)#*d_J-x2W4ip~WRj7nF;f3R!k$ZiM^Hq$%sJnAjxkOI+~luGOjIC5xUr*sPuY zvVyzk?95qVwm%+wo)Bg{S+Dc`{?>!_dt}{Sc=;bzHaL@Fe6o7Y+}-}|vm4(PFJ1GP zq2&HA(}|0G461^|c>_!ib7Wq+<s;F?B*<ad9e?Xm*m<+ZmpNRGJ2tore@i>mzKXxz zXU3`)*L`uSB4-VplA<p9Xk4;yDNuZGG_8AW*Z%{&$LFoKc_z2iJ9JkKUsz51rJY_W z?*ewU?z)=wO?Jn_Tg6ik+*wqz(kuO&te3lY%m%v*PL^xzfrq+NPkv&JUi&R3GfSdt z&WW(#>%VrjJnahh?CdI&Sg7s0S9G`YwZ(A}>va9JCjVN?du022ufTkbnopaS7_Gg3 zE1_oHmnr%lOoutvMow6BwCM1TrAqo<U11XQwO#kFO>?oI*QlKuddYaFzsbQDb2PR5 z)Yp4d?fm`j*m3nxmx9Pm@4dq0FL16<dm9^E(<uC{cGeoR%Xb%-WF5L^%Ij|td4D-) zm9Z?pp2XZ)Ys7EMir#O0`Nqz0YQ{2dM=R4veYw7nn)XYU-m!gJ(r@jT1yBCUy!ris zlCt}uw}j+6PjFsXdGc4|&2ojijJlZze=Shui;#Eum^Zb5*<Qi_v1{DJn@%Z*-Oh?R z6ZG)Y$<wRnoDpl@=hM1GOk%dS>eG*17jJIc9bZ5F{M2b}4;S8@b1zCy_0`cS>oz22 z{%SN<<$YMFxiR<q*MEBRwRL5UPS5>%sHjp<$&XL0vEs9FPv^1)5%MOo&A%*`tn^$h zy6?<0@AdoVG*)x9n`9dHvZfx*wb-?0F3W76$0u{N<mRqXpYcTQ(vnpdpFLar@Xj;; zn!Eh7yf5!mD}Fzl->|4SUHF#nvX|kL&u$Of{v)&Xe)23i(_7i4{qqZpGliPJI&y!G zkl(W5c2@7a>BjFTntO)bV-2f$FhQ)X_tVm~Q)l%@$G1GV)VH-$@NUYwecLV@J8r%e z*RpTR)JU1hoe|G{3-<e6Q033Q>~grv^ZbNYGnhI(-|+uDYPhd|yLsXNU&%Ep4;$5= zM9yBOZ&vZ<fY5s7hn>12_I!~7?*E>Ns6A)$zr5MZw`0~$xt#v#aV@dQPP-kM_}e#| z`7WO|@z8~;vaesZCLgKce97Rt$aALXq`OZZxqa9Ats<B#bVZTNRoF&a<Fw{fe!a?| z-Y(Cpor@ES{ic~GJ=mw6y}$X~CNtlRgMJ;SR;~IecujMoUPocd3FgP|4wz_7aWu5( zyHQue@@sZf%94u@oV}a<1Dne=(oZoh`I#%9SfI}q|2K8FPUs?*?%lN;ZzdV+64J5z zxWti5%xP8A_O*}J&;JmcwC!|~+Ny6|e~rAC^?f?`I3P9ZK&8;eJD$duZ4X=touO6N zG&6?tDzm}2l&@cpKCZm#pK;G!XI|35l7#ar-Rt$w&0JO2H1nRbK<C!L4SpS_z9v`S zHqU(*aAZr(nsiH*Wv84A)PmCMja8QYP)>5wU3KZi7ljErGoQ2{_DMNsB>X2~hM@O$ z6XRC*q<6OrHPvN~GM;;TMYPUo>4b=fN1HUaq)&Q!SNynHs#?sw&enukGxHiYs-Ldj znW^xbbE;F)-Wh4j3=gQBuHKn8`7ev{&Pj(poUnVR`AP4ddf;<mt=1#2jLm$M(l;gt zJ&Ao|xau=!S{47(+I}O`o|xs&?kn!U5~=q-p?_V;hn`5emb$qa>_4`-`yB4zP2N`^ zpsbwVrj_)-OHiM|>MO(jZ)-LE%qP7l*vlW2`FN#;Vvgr>xd+;O<?VsdU!_+~I3i_v zHYJ^5W>v*{i)&|3hRi>hvaaOQl*lZvmjaqh)9tJSYTH(+Zv5BBJM*dM#7l}okuNth zUrjfdseRUl`9zEJwv?la&kd|XYTLBbH*WJczRBvKCZjZQs-1O6ZQG>1?y3sk<d!x_ z>=e6@Yq5`UcaiG!#1njL+YX;j*($dFpl<xOR!=scp3X-T-&*XF&zHaMwbn5p{8Xj7 zoIzysy7=Us2Y7_7C)IuYHr;22IWuD>6IYqjWupzki`H!EyWW2?YvKas!=Y>H4bpqA zUSBuqtMc{&+4@_2JTKSI{bcccnV`pWt$Uw2?`>XIJ#BT3sN~|7uRLKtl8!90JR89O zY|1LWtbJEDyS8TSW7(MT=u%H<f6tLGmVs`I&Q1L<!=lU;rF>)U+hF0uA6k=_&$wTE zyYa>!o|Yojx#iD9yKhFXzP>V~r?+$KvUrgb6Jyk*8VlAv`4l&&kp026qMtJs?dam# zb}a64ir(peH`tF{eeH8oS>u{6*USutMHjE!WYQHmY1<H{8?kWVLTP!v(|;Q>K4ooQ zVX|o9!r#p2y8afJT$bEgWl|Za_U+Qvm1<HA8GMub`%G^|aZWsH6~!cMe{92?so!=w zH$9Hx@7s0!6Pv=!)jUrer?_lZ4{lx@qwM_fu=A4LtL!uuY`Uxw`u;M*MTeWkQ>WhP zPH18{)fl9AXF21dhnt>j8CN<mPE}3_O10({;GOk8@Lu~~_gZ;3y${7kkrj)7Yi}?Q zx2np%cXJJ2&-ux&bL#4+xwY=u8{E^iiMPY;a^M=_q)OQh!ix@US#<I2&$tp>EB4>T zqVMH%w*UPRy00V2;Zs=S9xv@{3ucEY%v+sNpB)`)WghclgQbX9$>wiyZD~>Gw9fUM zu~ynz(-kFtyw>?zpYs0YMw|9NPc>s#%w)XJc1dDKmg3ds7}k{CrxnfDmx=KH-TS<< ztuJKO0~6<aMyG={R8tgsuRLOiJ5h74Vu2A`wPe_w`If64joCPPkMB5aCcoN8di4ik zv-Hvow`aN6qnr*ee78aOp5v|S90&Wi7^H8_I5r{X%ogJW)rXAo%VvK!GUqjPO0u1m zc1Teo@GA5Dry5U%CuRx@);;f!;9WlR1K+H6@0|rk!n<G8-Pvz<-|kdRHtVIGUKwtW zD%YCc%~HE4%Ku^UHmUduVS%%uM#e2N+k?E~ek;x9d~!X&yw}01d?k<5^t1c-YgGI^ zbDDp7;eXeE&#qp{&7N>M)Xcbz$!FTRshk>K(w!W|CnR@2Z4Q+TF=2O%O*P@a;1i;~ z#aPgj*PDBXgLQEjTL#a=+W3==%PiDyg{96jXS|qE$#a8Kcj^Hv#p}#g*8Mggs}suC zE%|!Ssx5V2gxcv-v-p2Z_*m+-A$GaQSqH1|OI9ZK7fdgACfO9Fg*>aXaog-$*uK3? zLEbn1pkIa&dzsUf8Q1nd;8jV|ocGMJFj<<d{`oBt|IKe_X!xbf`Lcfd&Xk*MF2$k` z-M8I;f9mYKzw7MYXih#^cBcMm4C9&Trk*VU_s>|kNv=+Rro1u5R{mAT3*q^(Vm6oV zWYj1G^+(ut$Zj?N`DB}5%X4e(2_|kIr<5~!^XKj3+L6oh=%R|~o0erc%c57TC@bE% zE$3IuJ%L$XrZ=n76JPVJX?iYvn!9msz|nVBpO#G)4b!+>9@hE%UfBChkv5^hHa{O0 z8s0u-rZ7u;@9vFv?+B-P$A@XezvKB?rS{2uqDnr?q@6)Kt8X87IP@Z&)7SFihNo3- z2V*jC%Nj^+J*<)B9&5WPe%rwdWsx^LWP7*mnl?MucBS}M^XfCH+yXtzGRzXbH3!zt z2%WR6{}%hHWBxaJmMr$nG`_1V;Id`2x@&IFgpVbc?&tJQIqP6G>xbFpyNvsyRsR$T z&8zv9eR!HV)5CktYdc;05BOy$@s>GF_TC`8sA%iEY5uQIPCvq(9v^R1qOc=qs)2~L z?bN_HTTPX9UviCpM}3}GQ5oiu)yf!@&1T9h*V${u5^!yL(#0i*7yf#*#_Xc3v0cK7 zL!6r*--`Xtv_6M<(ZwUIZ(772AN0%Exb14!qC$o;*X|w1J}U6HYsZ)V&e_KGi1UAb z-3`ty6U8>n&V6(3=7|F7%#ZtSx|L4Vz4_v?nb6Zk7u~|r7hCAD+}hz>Ix$z~%d4C> z2h4=LZ=2k*Shcr5_RcZcW0g0LCBChA^U&-PQ`zR;tsB$jw@(y%FP*z*m25xzj$W6Y z8Jh}YtmXI%rDb29Szy=p*lF|WB;!*JYs`hhXT@IY4eWVyMXJ;Lte*D)4aH4mS;jHh zWszrH4L6nL8OP*wv_?wx%FJN;xtvqcRynLRbIXTICDJ=ve@t08ttE3)LBcGf`A5|4 z6S!qho>}_p>74^FRl3Dj)b^=7bNX7Cct4*rYp!yk<jQ}#YY*qoQ;w8ex#?3{T-U7U z9sz#7f@$A;It}`+JUKGuK>;_X;IWBQ=FIw{XMeIWYRBnS`kPwQmr2Z!KhmIEvP3z3 z*&A(pZ^q~s9`1TQj{{`d8+1#SDw~Qu`guk#d$C5GncM99oZio4uUQ1@teJWG$DzvU zOTDHPMi>WwNd2s*-FHGv?s|n}yvh4b1sypL1AC``RQ;^?x?W>dcriaG_p{h*pC<VB zWaTL(?%Z>Fk<6yfzgEJ9kvCH#d2b%spq3##?Ub$iuA-Q7@xsKHmO|4O@7mp=&ArjW zb#bTov)wbEwjN4UmhC*9I(Ootd*(SylxsWBoD(abBv2*ed8Tmg#6?UX!Kph<*36y0 z*)&6T;~KZcrQ&R_!&+(uvt0Qm*PeR(CAg5sx3oBOsl_hg@O$#S%7Nm_8d?td(oH6P zEg1{=Zrs`Pp0jRqrV>k;(`3O7!ixm9_^sQJANcc}miwHW^BDjBu@TLmy!CN<y;gtp z(+Syzc~_Syyx<dcWZbLJxXe_21MdP&j$O{7feybFG*(xH*qyjM+3_?;$y86~tu{KV zBJ`_6^c+(dSZ!aeS#?D9(Z%JSyJh`f%kGlhIeVJIGVQf{T>kt_tC?%Pdd3R#a*ah< z3Y%<a#Yvqn$v@@ZD0*g*YKNzAvb4|X!{;`A++_ZgD=O6I%IqyA3nYshc%wE>3C?nw zmY>(H{MsnxB43J1nsRSXxI*$O<7c0ZM6NCsIkrdk#0xEcsU*X&AMTZZ7yOu#@S=Qm zoy&dug0PaLj^BZ)I)87h{w0`JGWBmvVxs#G_n#^$Kczb5L;iAvaeeMQsy|Dz9c13L zx;G(zJB)&|^G%HS45o_w3$$yF3_1Dy^vk!)zdV_yuypm5=UhvCN>9Zovp#*cIk3ok z!llq-#i`M139l7*evuXy&F^}z(0Xz1ith`43$OYAOt`zjWHO)Qr4aSA>1qGIb-(kS zaWVE-a^#8B*YaNvFF(Af;6>uq{)DO4^P`xSPW;G~;!}Do@Z5{-tLs`MV^eLyw|!OH z{?BrPWcQ+3kF)0*=Cd2^I#sc5M(W4wYwJUf-RXav9rjiE%nPl@maLl@-_3XK3{<h% z*^;wAR?D14F?Oj<x<bk-$9*rKd^28F<ji4I5Z3clD5Sr&;@bXJk*j|rG$%V=oyk+v zXrvI9y78gQX1S@x<!L7)zp9^msr6p6;bOWXpPR=;_cv>}mtMIZU1>exV(2krgZ=tZ zr)RwCX$|UHbaa<|qFcHozeJ7Lqc0s(uAV$<RN~$wt-!cdz|UA^2jiqy6DnV2HJp)d zny{{D9`|jb?r3&R*7ZxAHZF46{LZI9T%{na=ef`mpVD(RVY99&S}b0@=Q&Tfccr*X z&W+9~2h258cW?_?tiDjpXtYc!dFHntY*Sq%=T3dKv*o&?k7jNB6kq$D_GWK*3<~&F z3c|LhGXyQOO0`q=w+qw!42rLw=OT9!pUF-7Zhy+3^OYaVb~~nY)*8Q$8&*B&T~$#j zEO0(_UU5rh|MMLC3ZtF1^Y&$OCha;^^68VVM%vxUC$-eRr5GtpGt4^nrg;YYF7v0& zwp?i!g^rX=edQu@W>>N;D4nj`G*`gqeC3TP<_@QxPv%Ov$o8ao>dTghH-d%1Pft&m z*NVT#_P99A<P}St^DnQ1M<4B6^3GoV?(2du^H(e~^H-ir{~~|xxz^@R2fKIDOK)u5 z!@j^;@a_u*vGrMPHf)N)vO%|)FW7QA?>beaRPcSGwa3brv1`n={9L|UltpgzE>$`) z{Z-9Ruf>n-XPgg>6K<-xV{Te)Au7M9T6*^yv1Q9vfRc9J_xuw3Lp|$^W+@lAp7|Sm zcmK*A0(Y<7NL^^e%YXZizv&(u?X?yBM{lS08vAN3*b=?N?dExnuwT2rU+C?Lo#uY| zl4MT7GO4(Ym#*(+f4uWlTHnE(*}IoLbMZUc`XbNmf!0h*M#<p0hW=@*dcX-qPx#Sl zuAg(fDu3;G<ouz>Ys2YP1+(~)YF3_0j|)9ev#w~S@`pKs-+OE9mRjr%ER?S>QN59> zX~nvp|It#Zd6CSCHsO*!@>43_NmZVA(os1TbbS~5#oX@LX$LL~Ts;0;D`{PG=#f2= zZ97ggRb}z83X1P%Id#sp@KQv1V#B+bH=F*<JJ#;!)O+57yU?zDC(j%Er`7Vud`uj+ zYnMg-^grBuH~jA$&!g1_^EUIa`E1#l{p4=f+nL+D*e~*S$A-yY5ip$TVS1$IU#Q^c zG=)X0ZJx2rZ$35K^_gWyUg(=mOpDss&pWc7w_#7TiIQV3d!#kF<A~0MyzARTKUFHl zZM}DI^&@T9qvBm%(%Ujy8sBURSj1GMd92F##O>qTYQrvx^#5IHvTjqe@kXz_WfSjy z`tA_3l(BYWNDiCk-A&z$ZoxGhgu{1PZ@YT8Wa>w5?e8DnCKT-!c7G%~ef#+d(|H}8 zX1!^ed!dE@O^b&8+W&X|F@N(2Zkc9fb4BBB@SXi0*FI~0|Jd`@?47=y!}+QOYh4n5 zHm<EXCY}5B_N5a2`DuyAg1D8gNms`dCky{PHm#B=LpxCFRj%zz3E#hWDmQPDWskk! zk#u9d`6o34shxZ(%GL`eZt3{PtSo<H-^Ek*H~wAoX{&v$`QoAp<C_+-X}T@$b27FG z&HHI`Zqtv+nTK^WRF~&4EfPHX0DW4*=2Z3)$uI_nQXfVJew1m6;*7+CRQM8ywYBVf zLXU2>`)%^gcFV&B&%6zHuAH@~h+EgNRm-J|(Z{6niJi!zJpyN$edblZ|1a0^<zDrB z*(KlCDK&|3A8qPNa%7a&>iYk4*&O5a;+@~;RO{Kd{=Bv(GWgq<t=H!KUlX|W)Xv<_ z_`6rvR&Qtjr@cS-di}HV9s57u-~G(|^_|t9ZRb{({f(@<%>MshL4V%&yZ5#CZ^&~j ztb6?K?q|_AGw(0XKEKZP-^Hz`&t7j|9kTM1^UwOJvlo3@-&FnoRKZlO-nbb{n0w{3 z-mY};)_)dXQ~&yC*8NZWH`VWPS)0~tZKjubZQs@Rv$yMJUi!05s&1-F-0x$#Uhnqq zFW%1H@?Le-{gnyN=Y21GcWG;zyw?-c*9Gl;>z=j?Uya(D9dv0`?B-`{rSHdH?pJxl zr5$m)f7T1Wv$xH&?=74r?;Vo8{IHSdWUIQXE^Ae;{lE6{uZym*-^EWsHP7x_)x~^z znWGzjX#KPmD>wU1T;)9}HT&+nZDGFIzfFZqb*3-6@-cf^isVnu{b7sJtXB5v&w2D} zM@xM4rkjf-gPbN!NjM#Pd;R1Ui5{~)@&2E6q|{fsJmc1m$j@oZc1{YsZWXxg#jE*N z|4KJ4C^wm=IqS~NMUm~dt+wr1z3czhmh~CiOQ$e!#{Y}H<R{ef|Eo)>@a0eC<&!@2 zx|9ls$!z_be`=Y*(&<9cTUS4<*PeLXe_258|0>D+t_2#0{%@Ny)9r`fqFtv0FQ4~6 zGWlwL(CPnrzZ+J%?p8DX`~GAGd)@sn5>u5P{%@GS+ViA*^tSA~K7|MWCq9yry}inn zTYXXA|HUEx6C<~0-rrKG`=9OZV;;XB=4#a~idR3@=iK_Rt*<^O?#8;z<Hy#%{$cNV z#mn)kndzVTn^aF!xVN)i|6%Xl5n?%8bX#`OciH&Q`CeB%Uwh7~n_FM3H1XJ-MXf6J zclWCn=1zz`T61>&>=lz&MRqQYe=V+(wfyqbA5NNfz7Cx^vs&U+Uf&7}3;x=-O!oHn zedqN3RA!yrQBtJ4e_qy7GgYf~)8gYF^09I6Z~Sw@Y~S6vvaR<61WlS%p8V_;3iRBu z`RMgO^VMG&T-dn8_c5RB?Cs`8>ps^{^vI}czt&rS|IUQ$-`h{grCR03mYuovPd|7H zlkd;aMQwk!x11D>&;5V><&-9?fB8R-Molxxy}2Xz_OrF#Ps&$4HuvlG55CZHU&T^l z^3=>#TEUl=gdXQx8*Q4a_b09MmDq;hxX0%H9V!P^R=?anL3VrS?fa{pO4hu+KP^ts z@<jBqaF?K{jW^17i~h{Dat(V@7WO1>yHfo3X!UianVxwMw|j=<-_$YPll3cC_#<C= zdB){+y201J+*W<0Yi*LJrYyRrc|&9}cYV6z?^4<Qwwv>UFYT-BUbZ$rT&MI8U#5v| zf60?Aiq(hb_J;mk^}GC(X8YghX{&erujY+75%o_##ouV@RNsKp`?Ujo+j3Y}{VZ2s zwJj^W=(?=#)1;5bUG~&=heZB&nW6Q1f8+_HBY*umXNW9OTWi03drkMPI?t-p-lz6& zo*TVG_rB#^rRab2zFb{jc{{E0-`>uQ*VfkCGA2GR4_vq{x8=TRU~H?GRY}hMRV&wA zi5I#$%PjAHT<wCe+|Pf03tilOYioX0`MmmirCZO=?T#`z^Lh8nfM%Qj_9ZI<L;tVu zENK6>cJ<G@!lARb?VG&V^+$d1p`Ay}GViV{Jih85bLWPbgw?<K)oMI-SJa0)w!iv! zf3m~2fAvuT8p%}=0XmNU+)`c_yc-uesXkb!GJ)w|A|vac%S>E9^tq+VI)3x3Cvk0A z`R@Pe3rpX;`d6<Vus?$H-+Yw`P5<Y!wi^BXzv{`??%N@s|LX^avP)n4QeB<Z92jsT zVA=YY-wPM~dDWj05z+s3-OKN#3wmlp4p*_R3E|y8U;T6T^2^sg{LWrd(Q1FdJLEF+ z4&TSi-ab8Kb|KmR>I<p*33q<IShryJR-UlW?A4t!<a{{mJEp%1*0t2FJuLs~<j>nZ zd%qQVWyD?Dxb)BWZPPxqR~CtF$qsy7=9~X~)xC8mOaB$<2S%qqp0NLI{|BM?>2KDi zoC{xe>a%-Qr$@Etinslfq&KK-I;Fbo@R7e4<CJc>yyLrd?c?!^&RM<IckXV>{QYeE zOWDYI_F-y!7iX@1X8N~q*TyH;Gj2TOkN<wWx-<LM-HRKSghtOycAq)<O&P1IZWKp- zQ;yc(tK6^5v+sY1s$Vo+pf}o7f9K*-&)JiXm2Z8e5d9;nKB()~!Jt<ANVRE`yw3DJ zjjHcDKGkS%<Er1mdtI(t-@bQm+g<rvZ4X-iPF*;|KI_}G?yF99y-xef-)~QIaSbh8 zza#X_rz2ASJs*!a_}4t^<em58b!WfrNBzPJ!vFQ=eLNx`sQQdwW@~R}>-%uQ&;Zr_ zUAz@$0-G8)@U@g2*nEaZBaKbOn7QrvW}A6WKkD?SSN0s3AS$7xDkxs{c<wJ{&v}1> zTkBskcrGnSb>R9Lno>MB=F1xWeR^_Vk0kZi$A3B!;s3{6I<HZEop`j(NA1Ao-W}(J zw_BZ^nj?HUCa!L(hfT=REBk%Ip0m3gEkF7@sITJo<oJw<>a#lI4OZ(VZC6iQ_1~}R z%;&=MCr@pu`o+%q^33AG%AAi^?(>{BE7UZ4JpZTly@X@?MP!e*&)d;?|DWAQVX?>Z zi+VG(we1fH+Y6O<S<lsZ&5{)!Irrfy{@fXo0cUt5<POVhgZQm@hRyY3uUYJL|9fO@ zTCMV5$@D~o>qj=v&~<K8eXBO#uV!(-nR`gwyvsIg%H9{9LKj2KUc8={q4TPH>O6}# zo%x;5{1#vH%HE*WaqC(y1hGk-*?fj)#x>J3JWI?YP8b;{L|r#97FZ{{UUY?s=F7FA zR{oVDH!WD}-Y?9Vvn^?{dRyh9zKRH&mml}PoyW6He0iwHwp&}3bj+sSI^R53FXh+H zcMn$<e9E_!S$%r*4)rJhCt34`>^%D3c9!a{XcGZ$+rr+8Yr<z!1Lee=Z3BaIz8#tG zVyJ%NxsBmTUH_}Ar!L>(m{8%g(y0B@qfp=7CnjV?ih5mK$I)7IUUygci}w=87M(7u z@$~gqeU$2d=a8q<ws%_F5*PkZ|9#)Wf6uc{H@}zJDZ#%^Nggs<6}G2sW%objjkh;; zG=EG@y1Dq(FKf3=g5N41@#&?`JyPVK^Yw_Hf6TWhEx#IeIO;sD(Ymc0f9HjXWA~cb z3Y#5gx*DgN6|B!WwfbD!Q{FtbQ*#V^9ZXAKH3*()NHk-T46qT@p1^qYaKod-10N(B zL7c-mEJh0|7KJG=ceR6L9vU(&4pU%myUCH_@bSnR2R0FYkj&v^mSbxi*myPzo@jWq zGK<w{L4`zsP3YP=tHSu0+uToOO6E_z)~@}>oy&`F9vAzM{M2b09;<H5_Yz)m?Xm3n z;_l7&g>{cq=vmf_={}vZ&;4!X>n`SbF=a`2^8UJR(JtOQasKtoQ;NQa7KK*!&7AjP z$0FZvM_l~x=+6uKeT1#(@elh)=9?spUgvk`JyKJ@{z7!;uE~>5+U?xGTIzY~mgg_) zR|~9OFSX=#<)<I3ch{_A-g}irQ{DBZ)M-oibB|Viv|qHk;*2nB5!+g?m*IC$yPdvw z`qVeMGn_wr)Vq%4@6_4)^iK5|;R(^F7vAwzd$OxUJpGOIshs@aV#_(FqVKOhvDxoT zecs0~F0G!Qd&_y`C3}BP4)Z=)xc~bJ#eBD=3i|KnTkZR@mgD*T89Ao~4lR_no%tpE z<E8Vn6(7bbhrFM-^yjtSg}dSoYwhRUIwkepUSr*6*5=8QYwl+obDZW;l1y2!?VnL| z{(XruqZ?DF9sHcWBxLHrGa+mfb&P-bMX~L^Y*e8*^<Y~H+eIDY6aJ?Y{G-^82T88+ zP7^q7)ck%~>IQS&X6O9<Y5N@CPd?cnV|u#(&)zNle=4Hbx`X5^FWAj)oS(|OZ+=*_ z`XwWanK_GsS5NYtGCh^e-sALxlUsP=d}^Dgp5%EHnfBrE7M^cQQ$O75OyRwzV!UEn zDx1C6=?5#f@WlC<UhGO?ySn+zgSIU^-<GF-C|lL(r&1l*t5bckH*@ccms@zw^~I-E zU%ZvVo0T^6VE<(!iw9{N9=}at+Z%czcxqr}>x}6Wq_-EGS+Bc2TGCYNy5Y>H=PyaU zeGt0o%Fh*6ojR|-T;5_O>y^EwJb3=!xlh79Q)V9Y&6Je!`>(a~{JdE<uTo|nJew&g z<9Ry4HS1G_?aYIwk!c0qQEcv)jVkm*n>U`k`SO;I@rv2g4sOnroa3EpU^Vk#X=GZ! zglPxgoPTyKNYY1o$t(@6=6IQKNdt@7A(dqpXP)5g-Su|5lj4<7CK302<%`u>-FqJF z%xqG(UFhYPDJ*%VN!>sq=-~A2ClexA_1{iB*unKq&2&}MZi$|i5B%6xuX@18Rx4VQ zGBKv+lZn2&L(FHR2w&FkleX_#>(;wt^^FU<M;7nNS;)3Y>0yfNv*n>FHpLf3C66yy zcOrtV)YWXG-!i2$0pTgfzooeD^9p@Zv`Q&{nbs%P=3PqFGkwBS_?ver?Ur1#F8fph zZ)`AI_NoVVY}qRxOy=Pdt!X-VOY4V4bLXa6P2rO^Y1Zk@R+^O4)tc%1QRVc?*^fBc zN`q^Tu3tX;kx}z5O}SL&m&+uMEXeE9KOw+fvZifU@<%t0E=&7a*EWhR6@BF0b)i*a z!Y{eM!S@%-H}4Wi{+U^#$hM5ju;ri;x8t)v5mAa_%S9ixhIre|&+2?Gaiz7w?CNg* zWmZRnd0sim7|eb2s#T%5?&=mN9nbeOS1Oh%g?2n%=_KPV`lw))!0+JJie-14CRpD0 z*FJJ-i_@MdS)GC_&l}o&wT$x-eH5@t;Be6V#~C|8M#M!aPFpIP^nXL}HUWRb72F&9 z9b-GxC9kwfd??$L%qKe~r}N*EX^|X8&($w+ZM0b{@HuFHa$eT4YWuI2_q?|rc^Tq; zMrFO;k=IYRvCRCudbwzlYn0--Wp>ARueAH<w@M&?IoHRiRRYFAtuqcM%mmrEb&Jy) zAMGO^buqCW+k;vyCTks;z0zsVEUhDzY^92RQ*R5UoLfIJtRpnDbw;hiO`-ETK4oVj zm%MU1lM*2Q=rU*iEW3@#M~{Z*9<QmqF?*H&i3gW=FJZCGpRW{p{<in@y_w<*RC!)i zRvR`~-TODE=<A-plFe23>ZS@^*|XRA<kipLvX{K7{9VfSb<f_WLqb{=okFixJn#xy zA9(O7Pu%LJ(-M39Sp9<!T@NX+Tg@pNbKt@C&;rHg`xg#{oqS*(`r%E8<ezC=am!j> zZ*2)rnOqaix<5g3-{Pij4aNA=s)x%%3tsSe{+-8__jJbZeR?<5JpVRw>7{;X*V@r? zP~LDyvSsvNwpbaq{E&mkuX(n~uYT~EJ@tcnS2?d_-KwU3!ym>+4JIDl>B)M((%bCS zSuKl#T}$pQYMQ?6WJ0WH%())!DJ@s01gt1d-rx~<-7sR!ir12BmNx~@aIF=cb0&dV zbk30k=edU3_dQsD8~i9%&9PJc;}qDw$zuDe2h};R;<egp->~ctx*{p^En9TXxd-_n z1yaqup#@saz9An}>lQB4`oYzFcFKlkk!yR_w9YgCUbJw%{_(!~GS>Y|d*_}H-h3i` zCF7sWEQWX=-Ur8nvfs?Ovc&GznvF&~Ch}Ch$w>(4pZk^P)yB<zj<#jjj8+^!C&l*l zM&#KAa@O+=lJ`FUIpbhd>Xi`9d#S$COW(c=TfSZ<UnTpONb{~`!4>6G@BE*p6m@r- z=f$I$!WU+lT>KmK`e4st&x^*AS9&eF9q)E*mb}vY<7!`u@;Sv&^G7jkrOM}$1I!=Q zu$3zF`ItUp3My6hOARo8WWrXe?3cbFP4a5+sgTIMRhhcm&DK^m@2Gh7>g(f2D_55* zY<*@oH>!AkLO^&vv-O5`_Z}~qW_fb5<^HlL>Gj^)N6Ne|x869mK<`KxTdCqUgB9E# zV^#^A2hlm;Y%_O@(;9#6Bk%5>bb6B%AfBY&yi34Z;!5j{6Q5o<$#{uAnmRXsYA}b< z^Z3bO9lS5AKZhvJ^ALUXF+}m(GOmr5p&g4?I?d7AE|79=zu8&=>C0Rn-$;gX$n6OJ z-m5tAZsFHoTOPksGjEpV*4vv^CT9K2ct!Tj`h-o>o`>(tH2=STlijjuYj&@D%E@+m z-O?u~+@o~Om-FYOrB~Uhm&A7LJN$KyV)OEuoAr(qrY+|#R0-`!ek#^<=4ZUO_K|5@ zoYp*==O+Fr<yH0mb8|`+-QN0(J!%b6^jn%X{rPEKmYJXT&kO5V`*Qc$C$d-i-m-_! z&epFzx@_IvEUwbGq24LwZ@*67lD$4RGjiRGnK^%+^339_F4%PM^3J&zzt&BfdiCqQ zBdYONtB>FGkKgKj*^=$+nq4LLlFPzu&u@)Bzv{WfmCaf4Ai)kt+tqa^{w+(tdiKqP zs?1ww?ifYRs+(zcFwcMW%Trf=ZESLkz5JBt)hxZ_fa@h$S%PtA7W_NZEpf#(_QZm9 zFPYd%eU}@q$o|C`9vpjl=}Om%&d8SaQG(UYmkib_z2^5_F>BQcyE-=8jPC|3v^Pz6 zjGcH~@`|YClvk@x-<Y>c$y?%zXrOV!x)VxlrLKI&Ggor#?^t(&m95k@E>Ub|$dhYZ zy5`uu3VY&^)mLj}`s~~CXE&Ay6~8H7c{9B{tM6`Vn6%`blM8lUP6`NrQmJ-XRPqpO zSa^y-^E1EhJu^d|h-Zr4EGpbGf7OW_S9r|Y9AhV5T$x!S(wuhm)`T@DUYws4pCax) zb0tUfG9{i@T{7|W*PIC80-3U4-H8OYuL@E}7Rb3UOI~TtP-*^^W76bUYbJGWft@sO zvcY8Qwefdn?72MY%MAPK^V7Po9{ML~dE*M3!4vOAw<K0&Y!&9Yv_qBWQbpye%snAj zP3|pX%iN>GdufOD6_a;TroFe1DD?h5s?aNaT%p(cghFrhNrm3(QwqJaPb>89KBLfk z`>aCm?{f;h(iaqZtuHF{Mqg6st-h?#>t5;mSTO!_#WMa&6+8GZRh;6#RB?y@!j+x& zCysMBDD<j-Q|Jw6a+G?{)?^YV#FDv3m*Y}JuE3Iy{}enPyE<)<TROEroZC_Ay+o5q zoEb~zo>GoW6@LYme3Vo2c--nT;n?g>h2C%xN2&K(O(t<sESY=ua$KtD6<qSsPTAw} zQMU=lr27<l!(|<%-rF>p#MQB6?qTJ;R3R(0<YSzQ$75E{hGltPO*MOrI4@O{3oZF5 zq^i-sUDHu2->E6Ha=*}$kBd}29`|}rIJSDaLT|aj!J0}*;V0TlbzW7eoj6`SOQBcX z%uy;ougN6tAxq|-)0~$oRtoEI=a)Ct?0Lp{sp7t{&*|>@3iA04O(t=yteJa+xh_>$ ziY)m!O~d1HY2XH(J?31W0^65oUU{1-HMP=FYHO9F)YWQ7sjoGTQlfQ^Ql|BeQlSlw zQl*WKQd658Z>`%K{wdH`Vo6}U#FD`0OEa&uW=c(sca++i;3#!9(NXGalA}~<oTHR! zs-skB{4BSrGnNIii!BM16k8IgEw&`kR%}V&SJ5SbiDF9vnU`f=>B*D|O>~qhO>&f) zn(QdGHN{cNG{I5oYnr2!XyPn4)|tx!mBp3>nu;w6bQfC^7%8?SP*7}1V6E7aK>1~v zS0-mli6%QrnWi{Og{C@6m8LmLeNA+f+M3}g<v(H8vB^u9ebkU#^3g|f$;Sf8B_F3q zF8R1aa>>U#l1n}^NG<uOBDLhBht!gfIZ{hLPLNviaf{TFK*eR5AyYD?Op_g@LQ@>2 zN>d%Brh;6P<S2DD!%@n#WtQ97h06j%C6)xHODqYjlvonjEwLofSz<}xdWj{0?#nZ; z+|87lTIwjZwaiiKYPqA-*9u3e(h^50(`rYltGu(^Ok0)(z86>$$SAlZP*`wDpsL`K zKy$$*fu4d(0;2_&1m+4Z39J`f5;#$CN#J6^C4pN7mjoUcToQOwa7o~6!6g@6TrX$r zT9mmZl=D)?7NI2<t5iJ}OL|XmtDdIN+otO%r5n>^GHVx0=9Ws%OBsKJmR#gg^H^-@ zGr?{54251cBS$IS)FzWzXIL_~%;mh4;Uc``qo2p+itr_wdt|sSRd|am`M68N<MH0W z3CFmXDD;|pI!djd(qwW^mo;-w3fHBI+agOo-qQ4V{5N=lTj+#kfv!t3uW+(vhVZdw zUJ+u=3=wC|3}I!>3{hasG-17HGOHs)D%YvWM5>)7bBhq?r3^ixB^O0hJQg#0PH=lW zWm#bM(#()x*32v6teGJ(teIC5STnEqv1VS$WX%i-%9NTKKFcjPbb{N~&<SpTLnpYo zhD~r&4V~b2HEe=g?9^p}?MpL5qF6Jp#Ia_EB(r8-NoUQx63Uu+rGPba&m6O-)+xU> z?^?=t;Yw-ggk#mO6?(;QI7;PjYBq_>WY64lo9|M^N!cYIw^@5U-daB4*zflWz2XlY zr9`7<xw%eX=(~TCn`rn1x7hFrZcD=_xV45&a5If)SY}c)%kAv^Wr0`47kpiIPTynk zCw-5_Vg??I+w?sa#~FAm?(@C8qWZFl*6k*fth-GntL`_Myn5JV^6EyDN!GI_lUI99 zdVd-CE@sm4SgfYwvDiz;V{x92$Kpvk4#BrJDac<1rQ>u*DbY+vDbp-RsnBdksnQ%r zsj0b+Qd{%FFRWYB9#okj6{<MP?XLR-H&u@bZn+*4+_rj5aQo{q!Ohikf?KcW1h=c6 z6WnCICb*?~O>kT5HNowx*913P?+I?L-V@x;dQWf@^_k!n>odV^sm}zra0B1P-7^+x z&fj1%i-l1x)o($jiSdP(8Q~M$xPLBW=>NoX*`%uHWyzNZUW;4*6g0S7)?`Y_9u)Dd ztXq1~scwS%&%#Wpzq?DUtV)(%blRsGJjs1$dZyIfZ6&)x{_^NPInHEr>b2M97rjd} zzbx=r-1=vgqnuT6rqtXuCA%-I*6J1e^p~Ok)5J?Azx-a7luQFzvWKC+sP>Y{E|-_T zF0zAEJZ6-8dT~kSmy%0AFK*TB75lWBwPMQRNy{!adM;mlJV;9SNjU!pjsMENi{mHh zsmo5hl%crat-*b!{fT$to8P&evT{oImhQN;$f@q^r4xp^4hxMvHeK^NZ20!`;>p?Z z3Qt>?oSuEkz*g%?_oWktZ&xe<N&6i(e9OEf^9%2#(8b09Qo2vnnQTsNb-(=L(_-%# zuNJn6eR|DOG39vQvWt`5pSxe5YZ7&0zuJMtGKD6+d{$QWRZo^&bo#fIQSNE{;><6* zFTQ+{G1-m#=XKVKD*rI!S=ZFQ*JUqYUbf3{y=LkL#>;nRNb1da*A%H&aa+{(c5mhJ z-kFb&?Rl5B>p<_!*G;W@71yV3JJCDydDG=}FE;1RI;wYj&Sk#a8B70cQ!PmD+nq3v z+i%B%TJy`3^Ul<W$CTXswPWVK7n^ho4oXbVT`2u|61QC8&A75;v7HHPPH(%>TX~e# zJEq_i>+?HWdtM#WDL%Md?>3kDGgI+7<u{M*OpSiKY45wHt$G%3n{xFe-h17Cd~DCd zroVa>ms#iUNVR@^tf$De{@JFz4>s+6+LWv};{jL2@!rbotm0Sh-D}W1_2NK7t6s#D zCeC)55Bq{dqzx|iT0TGKQ<Qvew_)&|8QghiLd>5ro2PA({=86n^8r@*oeylqb%L}i zneEDw^>!unSp`ZPoM%0}%;Ev}(i^4@*#f*0Yx?@x_ALC;yX{I=aQ1=Od1u`7&J>u> zS6sK{`&6~7VF!JUZ>9deq<fpKw8-Frw)wK{v(s9wEF>-*?~QzU%;wRNHxbLs{;H*I zyd5$*hSB@ZjL>ykmgb#F&O2jdp0>zJLBimGsO`z#pQmy!p3L*fFRe09=#t)iMV34G z?u?6iGoCdW>fP9WI<uKG?~FsKjA=sO?~T(|Fi&Be9mD8-cZUBR6Y<y!$8+ai_1pc{ z;%}>RZtv|y(en#gEqFIQo-#YF^|nHGEaU5#4@<3HOBmetH9pN+9Aj{qwf4?Ko3Odh zcwf9dCR1`br+jsR%iKx4H?|#ToWDCY+U%rkLtb?KyC!eFh)2sJUo|a!_x<Lpv|aZ_ zHyrJ?d=Qq{q5VM9{A_wA)16s*W!?ox-m-V!nc<ta%zyVKvt>tj9F2T`ET_!)?vp0h zcgyR~%QkS|J!gJlF8{e*dtVio<|f_vw$t$Qos;im&C|NA3w?`j=WdoZHq15HX(&8r zhHU=MMb~%cWXEne#=1Jj;GAsn;uwQVtjw_nhgko{8r)(1dnrs(oTuPmfVj=8CPlp$ zubaN#?f=>#{dv`Gg<D%rHEj90V7v7hH4WWajKZ-SB41wOnepUUPGPdzZo}xiGv?}5 zcxBG!x$*SaoATskJ1%Y8nd84Z=l0zh%z0-V#bt^PhJ=4fG=C;3E>n2W#Qbca`Lm|o zf2$7m{?y1dets;bEZJ{|q4AyEd!FWL&CyMa-Le}l_Da4umQ$3>w$pI--5Ce-&WM?( zbx3c%+AI0$SWa<r+fKvVcV|4z^T`+QDR7h*?<qN`tS9lbX`i0N+a~u|gJZ0JV+^i= zympRt`IVEm8ZPTaEIRjtO{etWYQ5XidKIyEIV3+UliqwrwBT5;<nwh6mtzg?u^Pu1 z9Aq_)HMq#SImSRUSNuWKNxd15n>6)iylz^lH{*HJPrZmYO{{tmkD6NbB3?DQ>P0+j z;=g0K-D>TXcQc|NAFFw`Eb{fSny1Is6epKyX;(8}+ikd5eb?*$-MUhbkNK3BGbZ1i zA(?l^!u*-?(kR1(J<^{yN*f>Swfwe7*!W`a%s1<9Prfr_YTlU?^RzB$<D<PZUmf!) zO^z$@HaOc`dFAdE|MH}=o|5m1#+Rb5+%-7slPz0*_)A~)k9jZZetmssF8k`(o08<d zT?z5G-n@UegKw81d(4NW-1`a+>X=`?n|DSyZ@tu%vi*<cYVVi&@1DQo0dM(3`6usW z%yzFezI)fP&-eDD9ns&*V>AEWnQ{J(T>VMbev2GyKg-$2?#;ei_WMxp&E-D3c_rS9 zrEjm6iGG$>$hJGDxZfh7jr-h=nfu;0ZPu%(Z)bMfy}S2d=IMpp^TOO5*!DbXI-AFt zw)>LVPQ&;eGvi7QvWnL{YFg|SoqeGA-3s|-Z>)GKPNrI~S;NU=@u(?1mQnq#N&4Nq zornG&c)!!|^xYY{d1s9EB;J)h-J7RA|J5;@m&amCSZ&@Nt9f@Urs!a5`IYyDmw5{I zA7an@UVo+6@>yAzu%5-crql0K&!m3;eWrZ<r2EDCGt+k&p5MLH?(wv$71G8Ra_0)_ zNxW%F%$v1Wui_MI?cIC3kH6g@eJ5|{`CiMHP5m(iXWr^5PdV5i`rykdS?j5*<~98P z`Rb+bey=n2pEJLHc~+YEf9;G#m0sdfVN$17T#^n8y|u??Y4Yl2Ch?|I*j7yo;=UR- zYqpiw{GzoYA+f7J3Z7hFv^YHW_qq>HFG@RK-Kr>=q}DbqZH1QOj$LN1&G`(uS0|)1 z^d1$Mt`HO(oxN}t%f(l>j&NV)U2s=4Ylex*87E)njGe7fY!|k0A7Z%GyKoz$iH`Fj zhA5YXeJmSa-BRJaE^#2RC)-!lqN{K&;})?)*LkGRE@ucfyA|{BHQR*%w)+M@FEFv* zH)+T!snL$VKBcNGM&I%3t0=+#d}#+?+kMFlp+8TUH&hkZT<2JjBzxc3@XDUYzu5vz z`{gAZcC-Asz#ygfz@6>FCPs4!hs*4DG8oE_{@BQPhvTuj(2uKM?}^)=z0P~+tzMm) zV_fOp!$*C8?pk)|%A+*phTjo)l2{5}ckOaxFu$WQK_M>o{l>ryhV551j2f&nMWhd$ zHGU;|;IGju=>xh(QW6JZ4W*<&#LPzp%02UEKPq_N9Y5ny!S3#8-`X9O$Mky{-cA3g z&Ah|)*m~Xv>m>FYHe5E5nq6ZtdvWK<_UIH7)0{h^i)S+4;aI$r@y_o>t&DfxJu+k7 zA?)BC9B}XU!q9zr*?HU_q9^m`OBe3$TzFD7O4`BNcZ*>|+nkJS23^sMdhy@0_40Qd zesZ`w@{eA8f36ho!rFZ=JBy^d_=G}MuUr4{qG9}Y>Fv9BT%I!Pcf_B240*91*_kV5 zx9;xA$ZILw-nlSY?q7z>Wo52<qntfgj_;otbUB`9E{}96&yUTCkC)nc@jMV}syAQy zY^j~qY>Bv6kLT6g&D(SQ_+B@Y_3thoz0z)$_aZB)Vrk!g2?u?%JLz5rd==iA{|wmJ zn5QQHO7(+0+lANM^`;H0I7-Yft>8Ft--jok?_&ewo|%W5-AwXlekd1qjyL|3zHc6X zhC-`cTW?(3i_9AtYOQf<ZQBo4oJjFvH~Vuqq%kb~$MVF*kz)T&Gu*R!oH)6SE%nFr z&V@%M|J`Pocj$w?(2?w{+jCu(cpl&8`+4uITOmq<FE4Js&ipe@Hn(%qiR@dyzBTPC zy}jj6^1g8A*Z+hc&ik*U79>@>sEX_2yoMDT^Z!ngV*g_wET<*;VLw~I`iB3>m020N z997jhtx{3UO?F~|uN&QU7A<40)m>D^+#luA%l0eM#n|$T`lsxS<3T(MtHPXTrza{1 z7B6QMXNz<Z*5}#Q@jI7iW6+DX&V?ssp6nDk-0{fti>Byd-9<$#${mMHzwny735Bj- z$a~PBOC!iR`+~u(Q%kP#B<n1)<4M+C^oiwhM~budTh3#*E#eL(H|eUs|6+LY*vCr} zlXI-%^pZEZ?rAwD(!Jv7!I0T~v!s1Ccc>N3$n!qyU36x{%ZizOGd(q3Hh0{(R?>Pj zr~8FYx24#{T#@3nKCfOIoPXvnEBh?kMOIoY!ey_NSd>eypje~}ub`Ol#<eTo9TbW- zdR4vQO3@_;q0>1sXL&Z>nbvx)BO>wfi*+4SUH;4}$vC3b{o+%{ah*qcLaRGJsVw4F zx)w3B#N<fSY0q$%HJdxCeb#J$`9iCm*JY#Btw>&J-A9_-vvW?qaA-g4a#8BmTfS(W zMb6UOqFk;U=WOoqE4+~>c=zmv!%9|P5|`^r#{S!ITFHtvX}fEQ^bxu47d7pB#V*?N z_eZ<1+u0-vnqRD#)UkExVwrC@Eq2{`sM-CZqU*2N$AHe|oe||$@(&wyO=G7OTu`zq zdnnoc!l>0*?BYePcHKq4h5K&EyijOeEOxP#>wJ_8yOB-0VC<O>dt6JL4s(lL^yNLS zvuLw;U$jfP!JG{pexVkgNAkU|TkOerT(Rqbw@Z%dF0O~EO5aqigdd73^_B1PeK^In zWX++~E^`jPsA|2gv#3}sZhOZ%M~mFlOP%cb5iaKPdonFwa<mwWT@2;Ok90{_+LJ4I zx8y_LR3~@2J=u?6ylUPoc2Sb!eWXjd;+}lLxy1$NycYiEJ9pc{>c@j7*Am7<>|%ju zoA^6#G=;2vpe*gq%Vnqbh{ty=r`^VmEa8|fb3!^E1S@?r&I<f6^XZajEc2sXayj)P zU3fY7ZRm&+tjQEKRosyyxK;kgDWxo%3)U$D@8d4Ccf?N)m#=8)P<?!t{nssvdlMf7 zDt!}(o4;WG(<hoTe>*KMf11U@tfPK+lEH+IwchMXJexX>NAPT$vxoVhKzBuV(?YR} zk}QWiEc#ybH9i!(7$C2#v&hrdP3Yt53nv*C>nyV7a*K9p7wy^Dp{Fa6EjTyM;GEK~ zzJxulC3**0x?j{ab&6edWSy+@C{bo|uI!5~t(s<id9gFH1ZDRdoK@P@mblB;{kXsx zg{0XRTbYe@7ZtOl2^RWXwq@L``-oNenZU;MkNdcabr&sWcqX{<?2EXDpJEqxGI8rH z@@5l@a!F^`+0>!O8<FFER=P+>G3orHwHDK&TzoC339kG)H^Wl!x^LFKjfa%(g(Rs8 zR?GS_Zs_Xx+oQ%@(bMs_CyYBHH}zARM7ECl`$-$Fc|H6qcrEhCYSlH{JEl*$ksz3_ zx$&acLsM0=n-+FX4QE}l*pzQM9htVE*>;=IM%5Sl`g6rD9+bZ=x@zUwF5!vqVt1Pa zL?=X<YDe@3NV;oBtWn6FF1lvD*<q8bQ>E|e&As~V`hx1+&#Sj?{aL;!>v^^Jzq0)A z`=3=`&usqgQtJ8g&eMBBGgpMCPnsILOR4<j9F^<W(%xzPwbiP1l3t`<<N5NQ^Tezd z+xa&f4$Be0=+|8_y*+l@#vfjSWw(z#;#K%|!(!i`=DlWp=KCt<bj+Qr&;5Y&_L?u} zk54vZ-zU~sEB4Wr(<W6ge$Ih9?bd(KDsDY<IA0*Mp~LRFg~t&`r}gPJ$%5~@3p+Zt zE>$<<yS?eceJS^xx-Tb=1$Mva?@P~p_a)+ZvDn4svge~+)|c-|5d3?t@XWNHc`q&U z9=~w!7dB(By7hR8>zAX)yTvX>%j@4P`I30-beP)S=8s*jU*wNzDwX9|Njwhie$m`> zU1!mBqqyxIb!RMmkCZK3ZhUV;N8N#n%SD$aF{XE1`Jh^Ly6938WB%=;OOF`dNBBia zK8QW-S<E&^_0bbYVYWTX1AO0>FF58hQA*fk*E7WpjZP+a)q)<o-tBo*J6ln9bwOW8 zubZ;Lob82M-X368I;XmY@gTd{#V{6mp~AXFTRH7Eb%bgDxS@1w_5<PWjMGisVu9&R z{<(D~mIu?f>6rK)d~VjKrn4hucGEJwA59%+T_#J^cpf>n>?Mz$aG~9jP~Lr;mM%-< z+<Vwf?QH&q_Ku%{XPezSG+Oz;EYKHR`oUA$CQDF$ucLkU4;{W=(MQ~q4H`UnjTSYU z_3@uinBZD7i>+Dr(cvhGB*FRj4h3}oNDyy}bV&~4+1_z&iG<^kUmstp_oyVj{&Z2j zXH&;{+r%xdH7%UUIaX3pNjiejO~<B6qzm$D8QxQp3QTHpEm1z^qU0x1l5|u>$&a^0 z`DjY_i-vAZGuhY@!>dYGACqRemV7>1(!HYdFppB5q211wncJ>xQ9rEHosrdc(@b{l zU4!<HtxFdwJyR=In3aC{qM5*F-9@~LVi7KP6~%;BzMP#QC|J!QIyoZ8+buawXQSnX z21#$-MHh{xMY=3b*4f^Xb~++a(0A%aBgyiJMWNetYCiGyM;<wTZ^JDmyU%T=Vjmw` zui4t+e=l*aYt0+J*Se34%WotI%5OgG*8QVL)-3V}`<o3nmF!~Mb;Uk5TIFo*V7q_A zZHZddqPHg}$xh5@?_E4e#JclJiPx6X#{%^`?}`Q1ciM_w<Y%c~>tmJipirrg{a45X zPHA^nv3V+w);NmVe2dg9X*m$=l9O%4ov`24<lFJfVi)(wvFBL6lx%D^W3S?WAlaRf z*zYX%@uQQBTGIQ4S_1AlkuRpSE_N}EU|*D;EAv+PgUm#$?E<#>f?EqK4i*KSZ%f{m zQX+D&FgnYs{XvUs3CBUt?ia5b#dQ}6v&U`f=##FvskAHQfoS)Ow#Hzwi(i@6N4YHL z+LI<ItNCG<Ystg|ncXkM8qIYU{batU64b4HQ8L<om*)fB)1Ji~dvYx^mp7E_sNYrl zu<q%SQ-bNb>b<HT<OHKTr9AfJKYkI?SZpTyR<+>XsU@1MdN)e0$UkQ8&ggCAUn`R3 z|8b(P`*p6GoYYHmndV2h=*z~aB=Ijy=d;_|anIt%8Kqx09~5`LaBTJ#yO_-uAK_9j zQIjcHn^165>DS%|+TAZMHr)&HST)i4%5kM%%O41Kzo=~5CwB2ROMjFLzwnpM9s87D z<O{~S7<jUE0sVcFSVb2g8nz$NgE=Jli^+^+-4!`L^VuNL!Rkvm4K5qm^yP3zW8* z`Yts%bSzM?f1+96tcspZmKPqeE?(;+bvS`XaK9R_vf(wag%d@OMY<f;>e=35RpYb4 z@<I`V@LHc+ED4j&_AfJ@k@Gmivq96W&wo+Us<U(ZmnF@5x@5NAGU2Oh7S7EuZ0`8X z5}RyzS;=Zo(lpl+ZE)kK%Tny(RN-RXMTUyc1PhNXnW^yX_MOb=4px^{;sUx`I;J&6 zqzJBM-graFsy3-p*Hl(^;}xY{`bjfgOZ1Kub-ys`5EZ-FDWu(*b@jr(nGsW`IDMJn zcxu1!YTo5V6$hl0>Xf6z64$O3+^f0a+_cHxK1TQ-sb$oCv+<yk)Q)6j!Tnskzc-%r zTBs{-CR`}CEYWtGz((;4ujHe1`AmKvH<Lc@Zn$x7NAB5ly^V*TESIxB;y1hRn9GgS z%NMJpcKgn$S|(K8Bl2~J*0)<FHS2`>g$s|LWS9Hc;=BC9WU-Hq9&a{x_=i5y?Eb-_ z@-2F1%|Ai^+ZIy34;d!raDHuJ<hxb$=_8X(vS99~!V_K#Jw^FuTcn=sR}NEq`?6q4 z$6L?vdK+(*=(wK@4=qohe6IDuMsUBb{FVdUE=4jcpX(N!Irg!TU&g%fm8Za*4ITY$ z50<#rJY&$mC3UfqLI0-Jg%DZ&+d<l2y3RJTy|YN2P}Fm9wOQY^yo$4@mgF<UnU@Cm zaaiOQFA$Z!r;ud7IGFj~mO_)3!;;-E?lo=C;VWrAcw6kEGu!(cGA~4%%XJrV3!RJd z`*r_;t@QD9ix2yH7r$mZ7wNKJXwLRIB~uQvN*`Bm`p76)Z6y2e!$Q|B@rT@9WVCBc zgye-cx?eiMK3`zt)1L{-dO{yV4y22GTzR&UU-yx5_YV<eyX_tPnvaA8{iSLi3C2gb zbX(MT9x;3TxobyG@q$K~eVYnvUh(hEY(894V<J%7F@t%&=n-YHkB;VFws!c>ei*3a z_3&}}i*&*HjL!8+b-s2YZH!_cH4S;Tbgbi(ND-`+HJH(%-0+WAB1y1T%HWF9FO`HD zt|iI`KuKPf#h|HUt#@*5&lbxI4)Tk276sb5MY}lH^la=n_FW=dkoTS8IVGu^$$MN) z)*NT)&hY8)6ua0UH(6)VMH{tf7w1Z!jUC56&d3tvePno6N$OzoF4r#>2Y8gO&5dK5 zktt})ZE#wtN;qMg>lcLsGTkps8+M9a3}*POv#6ZmS+q+(gU*JIIEIJ}LEZlwPbfwG zPTuIc<->8I?u@JbOT{iul0VH8^esKY?}%hizj7k0;BU$Ku7|w3e<%orMIKR4`ye6c zE-D{&C`;MZ*15&htgrt;g05h-<$T@aR>I5WP9CjN>MO9z@8xA%d3@c*i5;sIWdk;L zdepIhU7%}ryQF57M0AJ6ub&o@+in$oda0MA{OHHy=J*?Vg7a@3;8R-n;>(xge%h1I z{k-9NB+#|J=FPURi=A!132Z!nIaIDXS0-2L;|^c<@KT$^(@x>VHkpFG;v3H|TWo4P zZ&Sy!?i=}nYd3t{a<>22B8!CL1y_~%Zdq7GJeHizy!UhAiEADIK3L=k{=HdvM5$`R z;}x!77>;iiyZBt{e56Zsp-q1A^2-cy8-8Al5Ivp~`J=(E@3zIRFArK=O+Fs;RO-{R zTi7q&`6Kkl0q!`ZNAD(_pY<TM`$b$+c#hSt=?|vpO3rotuu4}_e)fTEmp|KTmawgl zKEf_naBJG+{{N48mA-}9eQYrAyfOX98s>91{`{E2d~egsAE%h_ZQFUdM)ZAz-@eZc z<+(EV%ML_#|Cq;oZ*#|avj?@a`}mC>%vsCan_779*vGBxF&kd~IK*tXp<}((1Ly7^ zam;p`I{IB6CwKpNV{5muqu=%MBG;N%a`U5(u)p{?)3qi|ZoY7#$*0E3m`xq$_dVu5 z?b%*X<9Q@(k+6l{ZK;n7%W7PYTw7{wTy^=)l-ee}GcS?_|CWE`5G;Q1IORq5;}_zM z?wuC%FIvj|&5_Cde{sG?uiGL<v%a{$5*|m2E=`ti)>-u3jxE}yzm{iXN8B%oEJ54P zhG&$j9w+Z`E!lOPW43%Ozrm!A`~7x5BvLJZ8na6H9*H|H&u~DZ`-d>2g!hrKN6LRC z(go*lI9_quGhGT)zBjT7>n^&?qZaAnF6pza<DB7)Bth9EgR4qb2NPzwmed?9>3;F1 zNmA_MK~`hkMZw%@kuL6HXSQ{m)1HwmC>v&QUCC-?!aUcKA4hAHwkgOqZ*1^*yRcJC zXd~;(Z9T4LeQ_5eavr~6ZB})8qgcXs@KOq&%V{0;`bCVVJ8$gzBgnpHLx=t6hN)s7 z+4(ngb?Ba-?{Xm7tj~U3!&R}5>LMGCDgC;UwDxTOyX_I~M?N~7k6E+5u;kWJHl=HG z&r2mNcK!1EsM=}I{|PzUI_i$xND`DkbvSHx-+7iKX~F1De$J%by^kkn-&mL>vg-BG zKeo)<<JKID4~YC+*c*S0``xQ+dv7!UpL&1G=e^&{|3=oK?)XW(`{&r*-Osge81Hw# zJ}<WV&*JRqv)A*6t_*rw^|OBJjQ?Mje3ic*FzwB3{dK>0xZSufwcGZd&(e&GYme*J zzr6PN9Q&@XTADka9^7C4_TtX)?e!(5JDe|1GUG3Oe|2BB|Iczy&%JD_-^<=zeEe|I zq+P0ay<VNHcVT(`DX*t~`|Kz0ukEbfUSF#F@=w6@JpOx^mS%rH$AAB~!}>{bvG0Ee zJO1@^;`??Y|FQ4p^IP7DRvz16qhB8QRdbcrymc&ryc(yD|ML>KI$_bL4f^Xd|6G%C z5$&)@`z`wGgr?*s3)a%ed07&(!#Ou`X{@$77TUJP>C~#m%&Cg2J2qt{Ri&QLTDB%? zMxf-TkaY1Gk&>H2^4Ir%oT}(u`kh7X$<`k8bvtx!E53TLXZ~u1tJ3QZ-nQfpihUR* zb24(mEB5OTGtM=IsQ-}Cd#2;@mEnQYxuz@6|6AF8h(10$U4O-fiEq8<yyKd>AwR(* zE|nwOCGq|d<;wki=4X5&p6kW-=-fVdbo+)Q`GWsTT`zBLjp%v3(OTc!a8+=<^zI^& z#k;<gZ!mT@T;=>c*_|&pT4P`LQp+v53v+M3e^|k{b)m%7ZTFAglR3I#+h4|SDf^<> zkIs<#D=@ow;=)y*m2*zHwyiqNdt*j7&sER7BW-)yJ~sKJY|-EO+rQNMrrQt0wA9HP z6lQ)<kC@_n=upa``ALapoZXW+>)Tjws_6Ks?cw~q*)u|a=7+R1XVnt_rW}ekP@mnx zJBjmkQd!*IA7>@iLZtuhn(M-7*O@+Z)q=?yM~>fIru9ap>qFqSm0ebyNi{QaS3UAu zBxiTREOf8mB0Ig5*<SOeN<FCAwo<A@h__I0-oqz%;+DO<v$Sx!p6#x0Z!+B$WV9@u z>G$sC%dZY1_QxM}ExrCY@DG#sr&&kpC)QS(>Rc76(2o0{9mI0Gvc5B1D}?oSZ++`@ z?Rjx!FXA6B?OHxP_P2S3;rner@{PRjfBu(OKhb*S?_FQtT;1BfX79YESBx%5oc`N& zI<UC^mz?j1inz@`^tBa^*9eBK(zH7h*dep;><7m_rzaVCecYB@6PtIlo_nt0()aat zf!=zHy33;1_4fX|x%SCDcKH`qA0K>oclWm)uInz?-ZFcAXSK0?M@Hw}i8{if9bq%1 zf2QuKtL%&_$p|ir??1;s{mYxHJHx{_2VE20f3(rV@sIk`rFqxZ<$j)2rnUdJp?wf% zdPu2AMz5!3+({Ml3%MH>3AwEN8yGFKf+g@(%niQD+KyAKn(sCm*JX-Vs{DC)P%ie1 zi1PCj_a9d5aB@HK<n6+lGPfQ_+~a?Aefq5A<lP#j2WEV@I`hjuuaYCD3YshK`gEzZ zUvaMAeEI9#3Vr?8B}Hz(Z10`5n>(lFUqkt)SI0wdo|fYMVwm}<VEsC?-Mc@es=R*l zB&*2$+_Sqf`yY0_d2=^slkkegJwKH9@l3tOd}&<~_tPhz)oUV;nO}?!`<NdgcTVGT z($RaI^IO(wUG}MYbiU%-D@koN%l*?HB>brUm_O~*l|PK3m+OzO+Z3@x;iBZ`Mdn97 zd#64u*zotWTn_j2YZ;#Vm=0Iyi%mbAu`MumgK3Q9bj4TumTR+3ud<zgDpX$NNc;wc z&*wum-W@)=x?XQDV}`=J<GYINrpq+nE!ZNXW?Ar$x!o&w&zE(Y_W$Awf4;g@va`#j ze2qrvtXz>;6U&BwZQ+M+_J!Z{m3Mfb!S*`meD!Yg+6B{fuQbmPJ*lvM9{=6TjoNE+ z_w9e2^hEvR`}m1(y55KR|54X#(b}?U+3MOWckVK`d&TNrx@uQ+`igL~*0wpz(^v5= z*;{a9ziHh2E+69?T_RoW2DXy|+1D>WcG%v)D2DTCSao~-qnTYRlP;yMJbSqQVFK%| z13tR^$<Zp;mquTG5nr(;Y5M;@h0wYGkF9zW9%OmsAN%|1w(CV_Wq$vkSU)rQuYc>Z z+<)S$Po?Pu32`6&pIH3kc&dTg?z((_{qzHx>1*xe;!ZUL>+S8Ys4!$c*EZKBo?qSg zLCzIVn^zBAe$LtC=xWb@{LtE>Kku?MzJK3f^?sU9oAP(Hqe%_ziVtsV)r8NU<HS~V zW8U=HGN%7|KW)%;x6D%D{kFk$y+Jo~&_szxiGfSDoS$m<{nR<5D~B6A7W=IKH|clM z&xP%k7IUZ9dhL|s{r+K>%*@oBIa04anjJd)Yr~mGT*n;FzOP)7-Yplq+syRqq}h!V z<$k%<Prj+^nVGBaRvGc;|A(yIEO&2PcV3k1YdQUFQuSv;Ilk$KRhKtyl#@O9Xh+lQ zeB1ZIYYopWzWy*&Vu^s^y~8~jVP{j<UsbE9h?A2)bvN?P<+b6L+2uD?uk=e=)Gm0D z=hDr){rfESL?+v;Ii<2c#cDJ2+&77-VK2`(CU>=qPTW*AXVru`g3GHalw<T3&k<OD z@}B&^)ID*nRx@Vk9bZ1**Y*sP$>GD3*1XCO+c9&Kxx3LUukI_~XFg4gut@%=WO)1V z^MyS>yN}B7Pj|9Eo8ld%^Q_83UhDhh6P%gz+RIPJFSaN>J6$+{ZBEe(y$=Oi%zl=S zb-sM?TG<@)c3qC7c%?-+-}E^O;?-XabW)dme^c83Yo51_m1%ryWAMQs{>zKk7T@>R z3SOKlH}7=zyql#@uC|DFJe3psRb=_*-dFvboSxrUQ}3vTRooCg9qCuQF{p0Cou?)O ztDlJJm0R*2O)k1UZTB3vq^<4Zi+msNU$J8j)5c?m+cHl7$S<03u<lmCny(v7rtMzf zmNd6L)$k^}wvLC_O6_aa6*jXLPhr}4@bKcD)0PJ0eEE>NE>%-(w#}TAp<cBcZ#wUf z$-d%{^YMaxxF+w>F#hSqYXTD+Z>WWDh&g@9Bj@{v&~>RRwaY3bW+g{*aUb>D8GJs} zYvPTki3#h1x{l`YHy2F}@_+Nuz#?4p>(My=y~R^6IoP~g=)W#Vs!QF*MkrfszbU(> z>myJ8-NkQ8TuYDI@gFW;6A(DD;?&_4GTI?J->NG#uW8-0$X0y0{VJ>LqfGvI7j4I& zjTR3Q!&0ZLoM-VOu`JaiOJUwegQZ3)rXuY&Ju7)UdygdYCu=)Sn#0;(F(X)V64#MH z{$g$CpoJA-ax1l+gEm&2JACETgb;t5_lZ%d9;@`@CbT!4nsCKIX7cmiQxmQP$b2_g zXQW~(q;Au*{=zk**dXP?ONY<Muxbi3d|cFSu5I#EjrC+#=kzBNjiV-NUboHJ`zA5E z)$Bu`fFHvnQU2fBA?n}F!smSY;Ib}=>*(rF4f9t|QTjN`hWUxs(uh6p67%0&t0;5} zYPxQ}+%~8GpVhquN}Z|eMe{3eO#CSL{?wOOllQ!Qn4zOC+4`ubU3uq84Wax>i#fA< zl0I&0cizagJ-xBw_~CP1+n38}yg!v(e)RRioS#P{`OiOBTkNst`NN%eQbfAH+uB5b z{H7!F+~&=yifS$4y25*hZ+2aGzWKhJTdohJvgX0Vh&6G4mhq%4S+nD4yPR3-#8WZB z^-mI=pRK%-oA}r7Q8It+-#0;~FI4O%x{L1j{PSFL>8?vcGcI3nwd6gjrKFS^^MB<W zPUAP3;ZmEgy;ZUM{K2vHvUrKm{OTP655Kg|J)+A0Tzk&G6_bA)I=qwTe0aFwmnlyB zzFgR!<>%C8-FaH>*Hbl@vZL!$!q512yqUSzxnfDy?jN~JW6Y&R+Z|03Dn2x>`)+?@ zwM*CU)6RS4UQOIo?OA%+PO;}p@wDlmR4P?k0(YMF-dX*HH?c(ZWN_7UcV~&m=6&W` z;c*Ee%WT4bwHh?NS{bCmvR~O$;8?~ghcEnzGym_dSj}^3YUK0~Pqvm1e?nggO_8{< zb|yE6p+U>cy3Ia!r+Q61ki^54I4xeW)XR%w^^$$(9|)9%wry||F;i)=ovN@V;Aa6p zZ{p;5#oN7CO8pyyBzd_X&W%xhX~fI_FnGW3yKQ~DcDl7(uwE~;M0C|X%b;^QQ<c`v zxa8JqV4#29ELd#rVrPrl#jmxy-y16KnZ8-&s`9b64|V4zT``vtKeRJORdnS(Q@fV) z#nYBl9cmHKd#dAkmQUFEhf=!dsl1FJj`&}?#-A_jT&2P_OXg5l4C_icJJpuupNd45 z)JQFB`{1&!>59ipu|t!0as@G`?uuFQ=}DYLwPTr<fU(nz$L9Uv4rkw;QA<kWDArEh z7owaJ#_?Axx$W|Ki!*!=i<VCD?VVz&XcMh@DMWp~^r7gfO1@veR4VeUo>CTkx3-Ss zu(s>0SD(3^Uc_r&3OODxaj3y-;<B(#SH+r8$@ql<Und+bS{}zJ>{_`lTIf)=sD~zh zvbS@EsXnvt+G@F1FXh6HY!YZoN#C<P=#=yIPXfO}6jJy4CAHdQrcS@~?n`*vhWTlW zBbS({<*a^UaoT99VN$hDi@5UsGuPJLowp_Yv~1>+_K&Z3-}xM{K91k+!e_CkdvCm3 z7^iwSgMB5BaQBf}0`s_I=X&2<Y9^HZ^h_{YxN^}|;nz+UQF7tR8|_+@7v6sCyWYkq zz3_*z?iR(CpBH0NXTINJ*urXg+Gu;?Y^Mm1>^s`2v4%6eVpEPj6Sx-YF*h+hv(_^@ zJZGZn$_eY#V*_kAnzoouRamj_hS;G;UIJGfa`+QH^S&kjVyblK*j+q5Sf{M5K&$NP zyY;rJuR3EDW%z2f>wG7@zQ<lAdh7hY=y&4I_dC=lO<Y@-uRHB~%Lk_y)*shToz@rr ztJ=B3N=1Cqr|iHq_G{*Xj|wd-U5fK2yFKa@2n+N0KIK-fn3<4&+XeH(-iKFvu2lcl zTA<{v`1NS8;+!*q9?To<Tec_nKJMKlHtCL~z3ic5Tq}L<_I_>@Fbi$V^^7evXz`r7 z;IW>D!1)$~T}CRRUCu=}*Y@1HD&FoqBZf0oYn66ii@`!87155xiau*DsLaw^Ij_PD zl;T(HtI%sP*K!PC{#e7YT-!0g`J)rZ`tXc3%UDD^k`;5-U2uBC@lZvr?{scaYtNlY zO*@Xw;dr>0>+JHrDOHbBINm?kTDq?yP)@RF>LrIgD&e!ZeA}*BIqs1;G>yx*t?%jn z3e}diqQA~DPLC<{>TC8A2+@d}`gnm5i)iQdCl)JM9FIOK{drK^F~GJkDz8|}<j7^# ztK#`B8}z5Ww6k9E{@L{^<Cb)zaEIHA4@usP+~kp3R*-+PZkDUoX5s2+KZnRAM#ry~ zJ4MWQ)%v?{W<~fsD<l6@{#ohHFXk_`+P7nJg?>xj)CI>cn%lfTy=?82XpvI(3cVJ= z%A+6WPc46UE$_)QjVimA=807^?Ftgs8|j^xX>mH_t5qkzlg0E@t(%YJ+Y0PXhqTT; z;;vXTA$3)g-=oI@c4v&HzVV#jQlNM`q|~~zTd`(JrIxjm9dBZm*vg)Rr_vSIoK>2- zlkZ2&xdV|)jFkTeaTss*NKKom?=)kgtCsQNTFFB*b%JKv)myeaS1NsMK5hCc_jbLx zKerwUFJhKCYr-gg@Lpoh84Gr?mHrazQ=S^ETDFhv(L{rJC(a~J$=@r|y)Ut5)=XxN z`R6o5`rAGRKKtXkC|*K7{an1xuXaPFITM>RYYi28_>CsV#&3Ojz`!m2nT+>8Cqs>% zz?q-LI}aw-Nc@WY!~XQsW&`I#5)$Wnb_Ve9KEB8^v-{`<1GXuzxN>LaZkLyH`)?%q z_Kb;APp9M_F9l2YHbWa`Kg-R1T*(n^bG}^VPtIVQ^W{2!vd79fDl5Koa-Df&WY(jb zx{Ez{-Xj_DV~dI`&c3m*>uG&jFzaTylZ5x7?a%*gnSIO1hbP%*-7)rCN0Ndh-alJ) z;Mz0M$%lXFq<eY^nz-_<oTI8~`Y^<@@Ttzq8IzWs)YMJ)^s=?E?eYJUBge5)J*{Qq z$}4*F>?EYhgp8lQIG4iec{%-LqosDw!I?I^iI;CKE#Efh!luifH{V{8vE1!yd~f+I zK^ya)bgdO3lZsE-+`O(mBg*XJAA@sY23zMSi?@7?`?m6H-l~Za62~r!daR9F>!HK- z_#@Ynu=zUzboi3fpSXX_kDoYe_k>A#WwDV$$L<wK<}5p`!<+0Ene)t9f3mAyx9{1N ztx1&<{?m@8E&D85R39QXd*<ozwvGBSSMqM~@6hToce;Lj{a&L<-Vc%!=j`!X^TulR zVT;145jS<Ow-o;2TH5utH2bhcY}FE<oq9djz5Ayy=hRB9pDL%>A1r;SV(S-=N~hZr z$5viae!4J!@}0%g<ZdpQd_(5g>80+cc4m4@=uefqxnlASsbk#>^N+n&QI@aKsMNY4 zcI@*(i`y)>4_i#$>=YLLxJ$O^YX7Oipm}S8bPJE3Gk6yIqDgb-p4r;JV-saou{iP` zeP_@Y*0jJa$zS65qWk^z!Mw93<?)~Rw!byFa&q<V|5y9^_kL2Yyx(81ed6-2_LNPN zk33HNbDV$Oq2%9hg^i5gw|{&cyDUxh?M{(T3o@d9pES5uY2Q_^-rK!+=T+vY<dx56 zD{a*8*?&ji{Qjo1S!Qqh-mf(H7a$hD@MZV!!#}<o{XCQR{-wdKX-Aiz4%gsox%l(g z>d!AT9r$iEC+0leZIx<t>X_j9mW^s9&YHZBjZQ7B(&({WIQy}E(a#JS;hJB!&unQw z9PvQ(NPUdlZmWr_WG_!N*j9c0Sa#X_TTAOacdM0eo3OI#n~?kAjDpxbVdZt7s^8W+ zPOLENk(NsPowH!h>UrFc-*V0PcS5W-?p#sj({BryJ~~PCo=(*kIeuuz`ZfG{@x8Wk zZpV#&`fO7_v}3c6-DO4pwvWG!ex7;sElHw$>dEwV)8e=u_osfE`TE-Q!#{#&)|^UO z=CDV|ZTY4jZ#MTWKlGz`X3etLINrreeC&)D#B)FHGy3VXdykykZV)|(Z?P{({vOBT zt#?*UKOU}dkLR)G`X0et3+WEyqR3CD&fKy&@I6do`*h8Kk5`5BPuKe0wA4Pv_DI&! zeahU;KTo`G`*_<(d+krIBau73b*!_GM(W&~{QMP<gmlOE!#4ucB)7ixYP1k{TfOO2 z#t*Kem6bcb89mSu5<euN%N?ngzVU}b&wstuX0tXf{9(`&n|l4&nr!*jLjTOolb#yK zK6-3$Yx>c&efQN9OEeZ&RGpi$`(pQ|ji+N<cP#%K;`i^V@u{b;HXCf4dR{~Q*ZIRY zg6tN@+|WCDxaen3k^L`M3F*_RPn1{2w{6^4<95?#`qO1gKy-ZT#%(ojJ3YSkC6xZ` zsVOjP3Vmw)Y41&ruxaNt%AeFKN5ttV*V{d~$T4l|d5zi2<5|2<-<@@W|FV74T^qff zHEVC1=hp6iwVGKe;_*}|?aAGZf;RI`Zae0~)vOdT>Et%I&Bf8i5!bGrR$$)D!`qn< zp(eRx&WkoT1KY_wXMUOLG%-qU7Vmy2*mJ7oZcehh%LgB|qt|!4h}EaC_@~WrioMi3 zX}<MiCDHDSf?v+f*gH=`_}~Md8)uE|&6?beH0xen-*L>fz+ke-%J~na<PSdV30|Q# zOW@!+AFYMu_mh%Xl8w{WtE;&hC?$XUl~ly?dCzR4lzfF{E+0OWKPuR{d#Z)i#Fc_~ z=GR~S;bf@Wbhc<u{PmyrCY_6Yr~C3|tFhbaCzVN6EUs4Dywjy;TjieVc<?;FUp=MA zs=&g~Sb2x&$6H3v@?Q#WR?1k?uX~iQw2bAe$<}$!bA=sieRCfKJa@Zr!S>ONooR6_ z_9Z9NnC<PG=3Awha(+5w&?L`tvgm1q#KG@PDLZ@gx*qJlel+{v--YjNcZ-?-$v$1b z@?=3F%k;;aYHX{%RUOFOq$+r)#CMO|`Fb(SBTEJEtm!z)R~X5%T_dTseTPjGlWWcc zfpC|AA8UV@Zu;vTr5gQ6tj(%xsvys*Z)Yp!I;aSDhYIq96n-z;uk>ys$FK0k7rt2N zmTaB#ebwEFF1c=&r4@$NvrUVxYKA_XnquNypUZOlbjVYc>px2c_XIRfU-$HRw7lbf zt~=9D|J&er&3yNiU2&~R$t>zdmpErUUzA&aSLEP_jBDvdJBx3~9F(@1JbiZJsugKl z?<h%|&0cit*iEhQtvy%7w{<-;*y+3O+{K<Ldw<QG{8o@hcWd_&?W8Bia@%9dPKDnT zIo1329LGMc^nkM8){5Wa>cUwr8)dHEa$kI6?pEt2e`8~@2d~ewCi%0>pZaT2an)Sw z&b@*@9VttW>I?O|7wCo5eLf-hxg}<TafV0aMs?*16K222OSOVubmvdrzvROdne|VL zKi$i%XQ?%MFeUbJ=FY~I4OVWv6WJa)u=u-brBqGJt6y=4k^j`*k~me4V6T_yN)fX= z^<}5Oc+&s+gno_3x}cdQDYMVzMf07`RGVG0ZNA(@X1z&Y_o(&zxhq>te(dkEV)n&! z<r|a2qrw#xzxwRdc~va9M{FI-Wrw#8|M$H4Ci?ppV|680wPKU<)Q6s{Y(Mp%+!!tC z8Z7ng@u!Yx$wOBJEEUaPPgV4>JTtH7LBP=#*0^7bH;5}o%s*SPx%-XxCzjbKcX-AZ za2+`<SR->XB6+=$w#fPu&rWL@SIf#ARG*oi_P$NI!uqsJ>!Yn4^Uf}5$-LY#hc|Be z>m;+6ZyNuWu$<oPwS3v`wnx1j-%_TYo1=ek%J2IfHo4QLudA$HnmoDtvt;F?RR@?- z_uYvulAh~Z$NK0khnS1e_Kt~f_3w2i6q!8snepf@hnnl@StXODx;GaE>O2pZe^T{7 zjwM|?IqO=R*L!yZtH~SZY}vnY`mIkHQ}3=ku&(L((}!KB-hB$1mKGGGpm$=Y`lOk; zNfUoK<SkmaVf6;n9|3-y;Wyk=wnodl7U)cQIlE!$>J3MaZ(1{T0h_+i{1ZEM%pJ4d z=?RD{M}#PfPbzV2eRP>4EVOBX(#J@a{?MicNgpd&Ru_MFU#G5jGSaBr^6OFYqP&x_ ze#{?JS*90#*UZz<bKkJYsV;pbqpJP&aKSy1iuE?`UbYvlyA&;_EnVU8r85aUuAm&) zvxepUr9(;=&AWbzzB<+Td18f0mpZ6*eo?<`dr`p`Es?*AEoL+~C>bhrF<WwIh%qm& zuquA8WxbuhD=GKc$}6W-&VOuPEHP!N_q3SvZ7zler$auyu{7yoj9j+rx+hQfql;X7 zkFEN?a#MWA!@!)?CxVxh#oH=%l~+EV8dz1?wsn3=ib#6p%ISqx+1rh@y8JhJXP7-* zym-fqSf|b3`a2(8ej_xC`P>Z+yVH6LZ_euPe)M^b)YXu}8j<&@Q_o!~a1wc+KJ{Ek zL6XSxO<w7*%r(0{M=mvvI&d;D=7iSVoq_X(j<QECH8wK|Ui`ygb;+??iBTf*sZ-Cr z`LW#nW1-p0H$JAyU3Q_HUu0CRo5Y!Z%24pMmEzkkPc_ciEu8Zr?X*_f{0U{hHu-M$ zkGSJ`W~#j5wA{s~w9a)eD!-a@`J~jj{zt3Ve4Z>CBXIQTn#&*6Sr-2=dR<cVF+$|1 zc;xcc_dRv`9tB-{DODom{bb3s(p_zHj|5qEr_Eg#sJ_w1@AjROPYVppqTW9Ze6x1O z`QG1Gm{r$yJet|{(|f1Cf54?v8h+0}jngpK!bM5>0c!I76+U^T#d!*)r*~R)iEDjZ zJaOgU^2P|^qkFn`9$o(ak@QB7?8OqZ%CDL)e$`bP_~yJrt4j5!D$7S+R~eQUo?4mU zEb`dv@57rWZkA%^ZichYUyeQK;gq~v_lC&PwohAIxAff7IUbefxBRG#Op>?A;lrX? zFTQ-<wQI^fsiQ~s+>sTYx+XW!XC+JID(0O2BrR)(v&KJ4D$mDlKasGVUFEPX|KF!} z8<o2fuQE+j_MI|yMqcE`;B)c|-%owFEn$1m?nN)^OvN6HZL;p#A9r=*LAwGm*Uzgz zNmy1d-tm^*aev!2#{F%_7iR=b`@8(BTCGyo?$b|<qZg?!{;}OC=X1}*Lfeh{UA?JN zd!>{g?|QUSBW+sl%+(g%N9%6>JuS9!d%WwTml|^VlP}-6DAvIo=%Z&`d^S>r&u!z% zkpBXwGq%k-@A7fC(UX_Hop(0Mb|t0nbn9a+%Ce9-`s|bBQ>#iBGyk1ECIS{xN0Xl# zpQ?O!QzL9jcD!bBonBY$!u+Xejwkbe)rjaC8~AzuRXW5SD0Avajn7gIq2r5YOzr$R z!%RTr_~IY?d3KzAZX<ZKJ}sc)?#sv@lZy_0;(BDSsok1o9=(X^W0J`K)w;*ud2W}f z*6fPU$ljpT{;Npj=(?NJBW2<`AGtd^>+KYp)Ai{0^<|Pp6MtUS$O};m|0*+i_4P$7 zv?FJi<lMfpaG%`K^XtqsgRZ>PxW=ZPlWw!~o85=$>ujq^-YU&j%WPG@*YW6j_-y5~ zZx754w5c!^3w~%EXj5P+_WMMDNWJ#yvp(C--ww2S9_!m<`D~x?(fm_F%KY5#-9D}f z7vrDL*7Yc3rcDipMwfE<_bOx4di$=t@TZ2K|LxR>ikW)+%Ig}Dv!}kyoBa6->rVTx zr&1>M{%E{YHSMg*>=%bM`lg>%dHsLl9rL1R`(7P$^`1QW-0@F8g8r%bJp1h_^7yp* zl7$a{x>jz|j9;Sjba`NpZhgG^@>}N@Nd((1wh5_~JG$bN<kLAdnq3p08lU?1Q>jZZ zHAnL-$Iqa?dqJg>XPqutcDm^3i5%;d^_8!+cRK2oYWp@_>z(y;YdMd!_uWg&EY2QV z?9X%BOE=T2Tg(2Nvf-X^&8QI5ncsU81LCetvn{$<XVSL))2RXh^Y4*=lV-$CliwMs z@ay=8z{s3sy6i^`w)2=-FJeFTA+a*2$cMk{;pI;^Qkwbu9yWiv@#K!VN*njnjVBvT zP1?GjZv42<rtS07jVIR|*tGc{Dmu1vQt#buKbcoubvv?Qxy&*rL!-8hsYWMdj;@eM zb2}|HD{V^0MZ-PPr%r6xxK2X&@Xa&x)PuZI4b9r@X7Yy4>+U+TLxL^+TS(AC3Hign z7semyHj?8@GflTuJ5q3FziHf@%BaN}36DAr_e@KDG$k!1h-ZEJ%n1KJ9~;ZI%aLk+ zmG^u)ANJ}9FE2Y7oLq7F{Hl$?q4UHJe|&RkS(c4$oAAx0zM&OvJnEahXRmsHMk4Nv z-r9MxGt0tw^i!vsJ<T(=ZkxW@dv?*DnZk#)Z!W#sEqVD|@57L?%&#w}Wj;UpVb%Pp zQ~P4Qo}HdI@#%a08D}3cSvD9OihCO`o&Ng7Aqls%RImIpweBPdsk09R_nnK~^(AAq z-_kkF;>QgPtL2$@u8&e`kB-#dwzD`=>hPaWVRAd?MvEOjKJ)CMtj&tkPWQS!`{SDA z%F~~6E?y_>*ah>=k^3ChOp0D{CQPREsk&VE!=h(@jwY4z>^0W5{>goGmc%};)Gx0d z?!6l-A0d5s$C-PfQ#d1<ON+QVM2^-+#WBfM-u@n98@;AKvBsp^<V?CV&t#*G-YI)- z&dr&!*+p$}-(CGopHtzbNk42}mlUOMwQTc_Ts~QPyRKo4;L4ub?fV?3&7RRU)71JF zv)AGcxrQ<)LOU0H^Ag!++qPKra`uk*+3!0N8{=)?mA|;QI{SG0|9hb>${)3qR5aH{ zZQs2%^zyS-r8(mL+p?}NS>aJJ>CU-H${#D%IA=@dC@<Rj=<2TW-ODqtzq>oNC(3A5 z=HqW~-|LA9+RJ5LT$5QW7~c5ptg8pBm5KP(R0qq$SEn>yD=*U#xLUFxAmnPJ(!<vd z8$XmRn0MlG<F8c_x$pL@c4ZbcUD&3ird(;On&mO6Y}x9s|Iu%`TN<OO$jHEOfQf+t z^{VNl{KTRZl)LWc2E=+FHV~=(9?tS*YxnJYpUiIYaTRONZBi22^rWo2%yWllY3Yyq zx!V^iRZH+T%1kOg?{35L?t;~U3lmZWKWCbGSDMY&I}tZ+7n7TL8TY(>eaE5=HY_X8 z6w-fYvSURpABSK|RA!>n7w3-}E6YN{^8Yy3f4UuiBs^?^)SD?P6#?=*FAC#L7K=&? zb#qNvIyvc^OBR1ucJY!8Y&IV^>0ZA3^MF;S;#-B6R-ZN;oVEVdrkv;2dhcYUHnNsY ze(pL+bo#R&O6NDZu?X*AT7Ui7(^LQd9uHg08xXaLzn6Jik9g&*564y1+Rr%$WnPxu zP%OrL`q|IJwjUqK`UyB{ge{r;q~~I3N!rud$FeoGgl=!s;mU3Lf4=iqip<wjH;!lC zIsZ~Rz?+#x1av<#gI-)}RMFHYw+q!786wmf8F)}m>aECwAJfag>F{lTL{3GRp@plH zf`WpPfq{X6f`WpAd2*`3zk&@br|wR?d-AK^*CPgtwrt#{QZKOR+xae~!}ES~RYo}% z$UB)o5k9c>SlNZj;DcQ`zYQxdt8?uQ-D@yKO6J2KmyUl6j+C+ba0yN~FIE@%5Eg7T ztH9jD$Hn3xul5wLy&I-vo!=ypljae#dx7n=>w(*Hdnd$b^iG&=b4%lQg=kdRoUNy~ ze6vni;GpGp@shE5!pS+j^&e)2ovB)|AnE_i$^w7EBC+jp5nK$s%Om<PWUu@4_t>Py zW*6V&+r}a;fxrH7ZPDnN$=YeBcT~!rJK#)@M&<FA@UrZO9};<rm=AEJvj$7D-9EDJ z#?36Inia9v4ykNNnl3rP-0AqVZITK$;u&kC85A9N@&A<R|9*c@!?h*V_a3QEI+J%L zRpjkf=ZkXx_T?GK_s+Xf+U0A!=$cwU<%|ERpTkSHbYFie+{5AF`NY#ePXBvq{LcA1 z{Drg*KV_TfJny)xa>k_-n;bfqy$Cw(G4K9*#j`x}5s%~E-#NMc?^$7k_KOW)la%-# zD2p8~<P*Ppe)INAD(%OjHr$w(^TSuHF)qDES=+SOvR04hZ=RFfzISKNg#6*~SL-jU zH`{vj@?WOdf@4AJ=3D)=O<XPJcS>sRR2kt(b`k%l-sMrQ^fzj$GJYkH^YcY;vxy(; z<VUs}F3;vK@th$fW2(cL@$lw0=k-latLk<=?_~6^+I}Zt?LU#V#s6*xpR2n3yVg=H zODgwD<I^QdbygBfgr>|8*Woj0m|n3_g?AY<o5>BQQiJ=u=k;E?pe41rA?q1a(au|^ zUaNkJU%GB8|KIbh8%1T;hA<xs+Md6=QSSAjixXLsrJ5JV9o%!)I_k}m%ZC-T-Aob` zV`UGXIJ<O-7wh%74Qmc*74v7lI6tTLw_j|G&HRZv8v^7*4yRQpG+SI<t2^V4(#5FE z<lR$?VgzpX+*kE7UzoJ_v-W)jq2rMa49Du3re2kpkT~`2qN~*myPWsE@qU)x#2{rf zQ(o@JU9Ug-e?5h!pDW|tk}9$Dbct;y+kM|{`3tmlqn_W|(8Th}+GfGIgKI34v<z1z z3du;b=~^89y|u$9<F|qO4bQ_NL7tmC8qZd3o%XaOdfAtVcLz30&YZIBPf@^}`0c&R zIe8=)McJ<W-x)5}xYq04ZDH-7{#`Q)o?idkx;pcu2Di9(Z<Se6<)%sM8;u%Xh4TJ6 z7wTRAD(CrzRVyEynK3hO`n>Lcp2}IPS<i4;#r?WxA2&n5NvM9YUXy~*=_?=iFr3f) zB_MZopU8xo_#5SceXgIQZ;1V$J>85&K5u$jL)_QreJ?Z8jvPK(#wn+N>Gg_U|F_}I z+G@!)A8+k#yiqK|vu`bT@%i`f1Upn6W7*OZ8<XE|Q=IYtmuTD4`o}Jhn!|O&BOBcm zHnD45Jja&*<Nx{|_E~;U6U>xdmRFvC-f8~eO4qG5s<*kkjo-{NC||*!9MbzGaZyAb z4{L2v;BA#(&mL>-yl1my)&E0I0+G60)@Qz*_`k;R<#V12^+`s~hm9X+)%%5Qs&JGM zv*csB!?%K4v$V<X<z+S*=I&zW4ex_{-ZRB<I_*7pVyjcn;WeIrzo~iO{}P*_Suoe+ zS>MEf75{GvUoW`a;xRvTo};Irh-Jd9N4p<2l-l2T{k8kD)4Dv_?!C6ZQ?}hzzy4fF zgCnALe&}|=q9uJL32Fb=Zn@MGCp`JFA*bLK(<+t}1*f^5s|6OcsRX2z?o-a~j@rQL zAmYR_L8JVuK*`AuuQnd>c(}bQt+ScO&}GAsK(GFax5>+1|LEafWY@}GeIi!)wBKvF zS1cWGZ}y)&@+LYoLt^^;x3M!+<WCf^>e}7icwx89`-uHNnYW(|eO>tJlEMjvGfUsD zwyrMwziL`DQ{yt{=w~T<am(hF9WG(H)+@S-^RxXH1>HT?<$FErmQ1}Z@jF#ywM(c3 zBkPyt<t1u@dqX3%IZe3bPR(HWH~HkT_^G}B72YVn`ol4Ap|0-CRY6+G$_%eXwx8Y< z$;R{fmEQ5IHb-avydv?gD&606(yQ*alxgD6bU&uAy3=H<?#02{a^i&Qv8fT4s-5)= z_CA}GzkSCZy}2bDf5&@ow)|ZF*6QgclguZvW%sKVu)dMvnCP>1&gEsg&!<@~iBO-X zyC!G-U(YkgH);Ioog=Y1#%bS+`>UVMdbmPmUDl7yA1*%mIJZyMFz52S|J`5XCfh5C zxZk&KOS+Z!dWFsc!Lq#}f(0M7E>HP+-{E~opV;2J=1xny+MbGvaDR2KN(&Ee59wd# zo4tK)FN0}e_<~vYfAX}6PvsZoU|1HS;Lj22u*}1FTG@wn=B-msec#CU=EY5mzrjEK z-yAzIy`tQpv$-<a(}rWmpE9Q@PLKQ!{!@J2J(W+eT6^yYEhFC6FVpmyp5MGH#JO~B zJ@bqHJF{hG8a7Q9{I4G0E}4FPcgL?4tqY1@{Qh^(DC+Ni=PB!S8fNfL__S~DjVqr5 zG@meVrp&g#*S$<si+8D;-JP~()~J+MPeOwICdt(HGzWI@9Z*QHY3chRbz<90>qoOr zyx76OZO~fz$=&Qu!2cQSN8;7>-(Ro%w{|DT`Om$|lXv(g`oyhY!<Dw;%JsQZpX*D_ z%F0;z?|x=&Y{a8ujRwEeYL2^{y2oPjRlg(h`PVHg>OW@4z2CaFdWZIh7b`@5UKEs8 zIe&5D)4vBpkG9;*In?_$uhm+o*SxMnF7f~8oUiQjs?Li_7%mH_l~s6O%G&Ye?WKk| zIYGCRqWyo4Z^{mMdA>z&?OT;~=6!2tpUGeN>DSaYmbja@b}m*~Js~aY%vH&IyH}hs zm+~q!p38ad?}a1Wr9rK(zJZ6O`;va%e`~CM<ieeW@i$VnA1TTI{{Pil>a2k<|9`hj zS5)1j4^GxN7Hf4ua*9W2;IvDhuQzB2Txh($i1%y#VZ*3vEejr%UE1d#^=^^D67Bc? zjkU8bURr0XwSG(K1l4P40Wvb(yGw5`lfI;w$~;ZzY*^H}oVCWnQImaU#xZ66S)Sw< zV%Z?U|I|cHppzkf<MkCjZ`f{}6S_H5e?g;4{90u%Ev_7+G|8ocqOrH?IbJyK^gVS* z*yz3JKF0`k?I$-Pq7*{RHZApE@p$%|inc39XE!|RZ1R@8T@j)ACjEiUzfUti)&y3) zlG#&{QfYX#C`qPEaQ}?e`VTZ1cSP==dC*-lj{nFib>>|%np-xp-e`;#OQ`i|TiEl^ zLB(UI1mAAIcV&zDI<?%=8<%L`o0G5e+iqU1ri2b-iO;Xw5()nwEZDJeU3t~5)&#TP zI_yc(Z`tFxZ@cWyDgCAW@aXYV_2(_>R&NaUTkdQ7p+ZJx<=vYCM<o@l_qAVZo&Vpj z=1OwJRI{jE2WvNc;^Zpcu4Jas)M&iy?cWKjfA|DUI@a8pc})A_!NpmAOx}IdPP!Jq zKJa~&mBq~DEwx@Za+lptT{!jnPU~k|HrXggUQT`ff7<J<ULN@gHye#|d_^)@HTT&F zr^=LVUC>z@w@ox9BuHY#67xt;XVs>8lcN57<JopnMoG<iee}YqkLSdc%NoT_<#<pl zvt3SQ_e~YMJ@Mx|`2^3qom}4Bv_T@McDh@$;}NwtN3!3n^Qw+DdsxwPu3#B=dHB<I z{enMDyIEQT#NR~OJ#qbcLDQ(2>Bu(K!0dX{-njN70V^-PsGNQ+<axmPi|_xe*eoeh zUhQJJQ6=Zy(zWd0&-TXLdhov3SAB0rXY=l<4|1CmpI8+uPFR_>*!*7Hvd41{d@~a@ z^fNmUTx>7Huv67A?)A)%x3#1#f~P)-4@oZhH8(u|SownKF;}IS<5w<OJ)Li%4$o<? zzU<?1p{9o}ck3h_o&NlwoAru5la_$<zuB&Y9BRFCXT9{XM>pOSw9d5%k}EjguvbXJ zuuf|F7q#!vNACId{tq^cv|eMueD$X;D?@FCg5vyriz-jPwLKqGk;$=qSL9#Wm+JeT zKeLDwRowR_T6WI#0;Nl{f9;A{_}63ioAQ-!6(gR1TCwj{<A;iFx5@>}4NmNhb76h6 zxq|nA-TFiQMi(tqLO0v)51s$Y?alF64W(JXR-Vk?bTiHPJugp8Rknfy>oJ47{a?~7 zI;)?XU$OmYGVT5S$sGxflV)uGU2&qL?aU;L;xls-d6EyMFr1iaW^l^tv+e@#oHss; z>f^s#P2<$$y|6W~f8xuZoBfu&tzpu6yI*A8>OGgcnsqn0A9(t9<zbed*`IZ1@zj(Q z+^eu%uer7~;oZ~;VFlvX{~d4AvgVYE&D?H1gY)}JgJL~(soW0bsI<S<T~ks5mtM<R zySQ|l-okpFb@dLJuXdQQPnoTm+4Lzirk|rpKxNxG>HRgq9*30YE;3X*y^2S)Nd3Hx z>yeG&`>z}{GQY>rr>mUsVU@trfF(SFniKRD72mL*Pk6hBbIu3Jk57bN?O1m-*=lNP zj5vEmiddG})E;hQ0l~tk#I@3*iPsj2uMhjfYn`rl=)lc3sojfZc?`5mO!qr2ROCFo zbyJAy4&%p7xpm*yuj3c^RiIus)A-k=kju~Z$SduWEm$SE&OOcA|HbO`Ze^Q~dlaUy zK0LE7exiwU3+IpTM^1ZxG+pPlXyw%QXKj`Jt<M?S_O^(Ap2GCWqIajsoJ7ZSUG@Dg zKO}EwvrbHzc;5Z?*9B^6hT8qg8`m#4nD_nK%$~`i+(#yENKsp2Kg%;^(f6efv#b^0 zN4i})z9dk^!DRoX%U93umaFWHc$RJX+HbDB&g2l!EWY`^I@2y6z2K8)c&B%z-(`gz z3%6ZTV3`<o)jYZ_zOGcm*j7jITEgYip)xa51gC`YvS}<=maJT@+c-D>gQqnAv|jlM zPS<`j%CaVgzUcdZVwuP1(>!s#hD@7hlpcC@{-~zb9Y6l7inDVZeYZE%HT0Z35U`_j z%Q`I?!MGdK9nSb3RrxC4y|pf?!-w;=$7YS4d2baKbDnzmsN4GET>&1O#HJ5dDi&S% zv|&-_g0+*CIU`<w-10wc!L<z*TtBYP*}0@oqUBb-l$c|HLR6@jL)C+|46Y4syHe{v zZE(EjXK&7a`rVW3GTO2)+*;2nZk%7JIq#oIWgvT~#nt-2i6Sp{t)Has$x*XHx^HQ8 zuWD+wK|@xU>bLR(i7qeBU3~N5|ASN4kL=NRF;iLZ`G6_5)_1`S-@V=b+8)Omx0WAe zx-Q$iv37;}FRi<sPA?a{tBUE1T^(0&h|yGKTg$iB*#{eyw=8=rHaUBfnzws#yEXsC zGY69{9u3~VamDN0x!uo`tip^pbCvqP+uQtU#==7%@1#Abdy*aYl8;0E`nQD+j<<g4 zuGU}{X8SC1>i6ue(LeJF*2vv|<#wRmqHt!;X>O<c>3;t2l^KoXoxeVgYWldS&FExN z>N!n275xSL3(Qya`xY5A%0AEgVC1K%F3oZDy^Pyq6K5sA&Igau|5)B@Pdad3Mj_Pl z=Xw2!Vl(QF?ua%DjFdZ|qE>LVUL&b(`rH&d*>7g=qMn64=WFcycQ|*#VqUj%-)G*O zu;ol6$2(5nrBV{LUN-M$3T(Q)?2NU|X$@cFrqxdhzZ~rHyMAQanUc8eH9D_^C%4{F ziB*?q+M%~`uJ`@<O&MqQNA-z0e+}$0cK;gl_xZ;aFCJ@O-*x!;nYlrqqULay{<ZY~ zcR|qJe6HiHuncjt&dNFa|16(-_1(4Zu5c-x^4zB69k<SI+iCJD_WzgXZv?+Tbrlwj zome6{&+h9&hOfU|4#rLItv=Ndli0@6dhPMI4Dm-i?9$m~qRTd1{aVnNK69pWnbfgO zQtmZX7v@jM`X%^AGPE`9tHVF*dk32*tf*s|aL8ce#H*324qkH6=YQO*xglqAa`vQz zoGEj+1`7n3f1Yi?+3%TVoh>mfIiv92nk$L-3%otIPTHIKaq;e#^Rt(IJ}3Cs<HII9 zv+7RIZ1b=6i46w)#~#IPzf<d0_{ZLFQazhvjr?kj#WUTn#D$2@_Ikbj*7dK(Crace zYL)Q5TlqyfO3X0o^!=4@S0?EScDjEI(wp|@?VDikC6&9Ei!OC@bK&2zp3}(T(Yv!^ ziy98yeRqr_K-2MG;!T;jTRh6E7baihaqO2mclz_2z5C+76)DfCPPYB=?CPOel@EJd zHdMXU2z<Zle$`%Xvm38e<(iB(_En}d)qdX>7m{->&1wBoJ;g8Ek4~GSdY0expw|?g z>yu8bRQ@!@{zzER*Iu^!eeOO+kM=74+B|F54_P_)-Uane>&t^nqi-#K|BC-p#%s$U znQIN_&GoY{$CM}S(|o`0-ru{9&41TlSy27%#(MXs40GM2s?W`B5NC^(<Ne9IKY9j- zsrRR;4+A!PTby|)&=@!WkAcM8$w!yP-QloV)@jot?%e!%+x>ZaZLM7rIOfk===<iE z+Y!TcOXBW&+zcpMC39TYV&3I1=ORwbW9!-VqJ{TB#TL$anGd@2vd;5QePess@}Oj# z_Zyo&KasDQ&N}`=JGhP<)w=R{=D~za?P>CN^t|R*@>b}k${l|peoy{u>V7dF^|FtN zEDyf@|5xAg-``y2md&MwUlrQRJALl9nOtvqCTF~++QM1txMsKc<Q%U^(XR|4?-Jq* z)-Z2w-zAlL>cPfYg2}8knLBegO}W!>>8NX2^jq%6<GlNJO)jmjv@dFGKXYE{x|O18 zn(S8Z2|pZ8Ep<4<ka((wYx}teQauc7wuG$?JoIs&Dc9%jm-)}1`W<IWIk1+)qT1j7 z)`T4o*+P0g-8g6%pMR~dL$im;NsQ&e$L;I^$sD#jt{Sd?<782_DDcL{n75_^Z70?# zRbOvDb~=Ioh0E8Lho27I@;xuwXe#`A{juLSrwN2lc<6p`$Dys0PL=mnR=sOk{w44B zbl<u6?tQ$nAg^eDOYb$tMI38HC+~h#IVIdpj3aaLiMQF_AJ+W2`Ly2k?HZ@_#O}Ld z4LMV1aZAn(a+$j^x86u2uX%swp>-3A%75*jzHOmq9oylI$*X25eS7tK=cF&ZQYs$z zPA3=Kof$td<ZOAuyw)v0O&g{y<ooIAty6#YR>q&uuZw&2dW}UFwra9{{;+6IpURtx z)c76?yO68RYbRxXwR%0jY*v!ZgYt#b@`M@1eFO>vo~k`clh|-|O>0tP?9BdcJew13 ze+phz-nn4%(VbIzwO&ooG~mw_=ASV?S$=8v8wPKEmt&n@x9?dT_ef&qx<|bGJX%9} z4$TkvzDGAdjqlG4q1};}GIf4!JGlLsW8JHEi49F=7i5pF7b-dYXjad(nq7h~n6J72 zxRv{-{-b8`lRqT}8)9=O^;-ph7by9i(_FaUkfre4?&lBHc3r(y<vK^_UYugd)W@G~ zofci>^Sc@0<)3|^``3OM=VQ@|cJ{)5_!hs+KX$i#%ihyQ)64VoKABxmoBuyxj>Yrt zTQ|ZSMUArBa?V}Y!a0>s^6sSMXWu8a?y_i6Tfm$7U`l#VT*9jJ3=`7jq{M60`Cd9( z?N#=(W&Z!3|J3VX-|&J*+YT=M`Si)ZaQ@jA!S$*=islP?-tPJ<tRk?g?21&Zxqd`| z!=X6F**DmmrZ2v-M(lXCx!8yA9M?F5Y_oe$B|g`Q2;FcwtZ{Cx`!Y4Bz4JbAwAd(o z=*aJz+_le3_ujQ$_<U#IzW*WqTQe`+=`adi`Rdrh_AS5WE^3m@y^(w&Q*LWol)qS~ z>8vKJ+{ee(Gqp4M<h^~-%lP<7p*&B-g{4nEiY)wQd|Px*Zg5-jKZ`eIF#-prcP8nk zRUEalEL04+C$?JqMk4cyEh6Ur4?^ym>7RLVLhFmx_TNqV1~cY&pQ)X1`z6xp#K&YK z=_1vCXTzSbg$A>)>1^KZwzpN`<5I!KZI?L%_pUZt%Fz9<`u8-+<8gD>I6d&RPOvlP ztGCr-EnTPIXMIed$Slib-SIt2!8RSA-uw_)v%3G*<jYJ4T@$NjzN^txeK<+!nrf=f zg-hMMo(zS?yJO|5gO0U|y%kJ3@$${=XDnSDe^esk96i4klueIGi|e+xyREtTnoL+| z_1C{2`btBJweNE|s&rYoSb7VV>|bSa=ixc!w8MFF9tm?6Y}k2qGuNE18N1&eef{P9 z?(8^A^|QU9b1fe_9IKsseaWKd*~jt{^30E}|9ZXWoBh-^Po%CiU5Y*5A!*k+@6s;O zTjA&V0{0xvoA)PLD#t-~dED(pt}CTXWmem`S8dPOV|sJO){pP4e>Z+tI3u(ox^vlY z<3-mt+Aoap-0A%@$=zs6r0(&gX=?GU&e^s?zcXj;U;pR4*xYVk*R<&}yQG9ejQ5_a zVqrWm_rtD<Jg+zSyftL4kNDnekYDy@&6ew5YcJ-jxUF<j;qKbZC(LgfRa>_0=EU{c z`s#`6j8l?Nu9~4yui7ZJ@1b^%PUFU2!&Meqv3$EHxSojgyrHD|?2=63^ABD{ozq>8 z#H+E(xjJh6>5K?EJu&-R{?ut#?DD-7ydN{IN!I%-_i?AwF`i$WSau&@FT&Vq`#Yf| z*Gj)OO`YrO${I6f_seyAg8x3d<CCAQ&Gct)G;{T4AJ%I<^DJFrg>UXsP~A3VSH?8v zGw;{mPI~V4B~neSVEP14DX~+H`8!pwUcdhF^e4F^dY6{4&Hs?mG+iLZDEZ2*4ZYVV z8oY0`Sh4gQ->%eGAO88Tozni}bPBtW+hogMwwj6+CZcvX!nrmDM?IUBnG;;{?&asC zAK6oHsuj8LOx`ST`@f-??al-4zHgH+)sz&3*{EKba#Jj7<zK<)3uZlVp1e2j@tjTh zS#1T+<KFYGo1N@gUHm%su;FIqgYT=pHY}XQ*vpgiLt^XRcVbhR?>Dcw>)-e3hJX87 zzd!dT&QwbF<1v0xrE~wniv^}t*2-x&_dl?Z3-j#GYVZ!ZRuVa7_uF?-kEc4gm1IBr zy8G^QlLu=*bO%lfe)s9=)Ni*Q9Nit-?D9s>q3#w_ZOp+ffjcjybSH*)gq%Hem1}~E zVTGU1Z<z~mAHUsuxPxig?T4?oNz@w#@nz{MZ*{%=u~zO<>Df6`g&!YtC|WAxuK#(( z`+$wrTJPUliKb8FI$(EeiGPy8qowom1ZHo&l=iXm(hL1#9%Ax0HkjVa-{#c2brWBw zI=`}GT%(Ib@dEyr8+RRZzs~raIh5_};aoZE;~6S%i}ZP`4(*Li|B-O|`spg3`HwC~ zvUja>nLagG#_?Cf<>w-*FTxl&7o_#yxb;@_hYFW++^Gi}47w!ib6o=GZJb?qXQF;l z!=KlM3*VkPaLsp))KvY1oy;W@TSS;!5~jXCukv-ZZ}ppFyX*!3y3e}%e7pG~36{l2 zs-2^l9@lj!M6q)eU-}%gJ?=zSLSA^e-_*_<y;Jg8J6DJsNkm+d*m<P4{q?(x@flM~ z8V_<_t0_6co8fZoY%8bY#Yo3Ied7&B6~kkrIL>=8{5F_jvpQr(*&T@k{yi?O(-oR? z-~ElUHHeKjF8kBGV^?XR=`E)Zj5h-x<!aoGd-AQG|4Q@A>ppjmCVKRGvY&fb$UE=x zx|Lu2-u64K*%HZG%N*n``SQ-CsiKSz8Ge@S$XaUJ!@zs@Q16$G4AFLe30r^2o%B%@ zDb?asOHQcSdv^K$)7!Y(KdzcOcY01kWN7poBT=u<`|iF{ke}B(OE_ZN?&iZ80Tb3P zUeb}RTOu9I-my;P$E`bEY7=v(I_%}KPzspmFBN94syXS+<-SLa@AeiuznW;c`QN6% zS4!b~uGk#ySR<~H+}#(nZQ~6YO9M9lt4Eh~7VBOAJJ(E4O8JtHNN??#>O!MgcP)OG z-1v8>uy$(*V^DL<rhnm@lkT0iIw>6)a70ILS1wy=uC}3rQj1hW-ImHwhBX_OD9;s^ z<@y@L*`mq$_1wbC!V|j<FR1RAe?F~aZ@biw<^++?`gPyf73XDKb5RR=oLqBHr?*6= zWJcViFdmnglG*7xyWJ*6<``x++z|{6aj5)u&}16psmFacf1kR@zozP=^+ndl^QNtR zyyWBA^FOy9UtxEO<5>O~rbpegZP&_$1{dUBQ&f^ISl?M8^037Hlec135~uHpf(5(v zZ%eU#j{2~v+V^L;x`uypQ^aDS=}$^>3IvO}Z41}zIuKwmEiX;P@VD0lZVB^mZL3)N z{_j;;blmLSx%qXKF^{_wN_@m^`X0I@&e>M;JLKj5wYB1EeD_KZzRCR}aO!r+vWQd0 zflEJop4#Nqt!93D%i8H87O@k|;&}Te9_{M;{4y!z_Kk@>Pwq$0dXm`|;?ixcYVXeK z`s>1Bo%9KBeI~nf>xwjRcr9x?v-}!Ur_tZ&U3pSxHq`CE6K}{;|9ZmG!nrYv8(!6? z*PU2bJ;!X{F0B=rg0?Dxs;-Yk-j(%M-ec)H{9JyTRRfn~Qb&Al%MJTbs|0<SSd-|_ zOBxRxp6_)pxtMY9+8g_N468rx+W+P?L+7NTuY2A+na1gCw136zf3LTc2v>@|%}>=& z+PmH<F!tV+`86}8s<#!F{&=<LW@+r37rUan*Gk#h-B{mnC|f3d5zowh8`c?5lzF|t z@qA3?oUPL4iHzMRj=I+SObETo^jd|xN>zK0@_{mTf0w)RlP0}f``72Aq0P+H7gmh# zWgD-y|7dhis-E7nyYFDeGjnsDiVJ#YPMXHb?wT!Q9<nvb-OVlZ`A&m>zoKt_kLSA< zbYk{|OPp&8wRRg@>dYwF+z`1#+%I2@clX^mx!2pOqV!)*pECb~s%2b<e)!`H4R0^3 zTJe=J$nD&u-JklL)O%Pt)}P$PP`_B@*;5Di!ynh1@rS?t8vE>L(X2hrap%6O=4(6d zNl5tm@5Ss-ec#nS<Ow}0otG5R5b@!>@`~=i%cehEuq1VPPwBhQA6n=2y*M3n_cO!4 z2Z5o}+OB1!e4HwCv-He^%=}+RckHp-p)%oV+LIS<_To+YGk(i|ns#t$x^?TDr(O(F zNphB5teYdNmVNNZoAf^@LG)e2F+X)(O_81c-YSd!Cr@_dYS`?pd2~X)d)oE|3)n9F zwEU71t!VW5@zpQa@6KP$9`q}Rz5I6YH-!vw8S|#?!bR185~~<9m>f<o+GTBG!*71N zSx$FL_6p_|^(7M@{p7LzeUjDu%j*nXXFiFkYk#j?ujW{@^-sc&?pbw7O*7uCeLNx7 zswHlTW=d|CLY{eH9_Oi?UYQeR$?uA}^&KX&gn0WL)#6~fH_3kKB$vHw@+xc1ShSNk zPQM7c?UWjSAY030ZK8Q~Pl!tNj`N!ep8xtgF(Bw$|D0bO?+&N7{+w^K^V+iF49D*> zJ1n|-YW05PD7e=<DD}@)w|I6~&-l2bD(|c*S8iW2do2)mPvMg9v{S}cGBc)_+5Y{) zad(4w`i13L5fOXaZyBbSK4{&LAr-h)GjXTuZO6=oIUl*+-q~!PeMK*aN%`5CoGG5Z z8TJ`dcI>}d$g6hHjjd--eYtbP8o7?1e^IaM8rR(XX0zZhtGi3k+)tOUy<IT9{^sTe zflX;iCf83EEZ+As#YS21(7##TXC|q2RAqH5&z8D9ZM`hl+PG6Q0__)b@Qdv}o>V5L zY_+X^vv0ljVYx^DH!j-B^-SU>|DVTCFZ#WT+rQ$8{N-21g<t0fd^~hq_-w)wyT`U3 z{og`PEnXo1N@dBb&1b#`SiRb+GV?>oey+WjAH8n;Hsf@O{CvI>TY|*cnI>Ne4ybc^ zyG?J}sx-&_R!6NQ4$NPWv1abFc{3m0_#muvVtcB{wv;Ptn>Lqoevn@Nh<gq1)E6Q@ zKXEy9WiVf;m*amLldZZimh0>;&rj!1YZ)$e7pT#_z5WBMb=1Fw|AOm8YpP6xk7oKe zd(RBKWG3X@Ts-gVLZb@@id%l9^T=OV^2bWn=gytzy(!*DetE5#71-CFre7CU`Sd&2 zF}EqHGWA~`tt<Ux^=ML;o^Iyb5A)<EG|IKg=kcd-x2`E&llqV`c#dDkKi`SR=S}qH zl_@Ct9<V)S#WOYUsQ!f?C#O5BZnOI)l;1sb(Z&lOFPI%^jgeLfmT<P!EuFq`t>xSM zGKbgbl&lx=%I81XS^HH_lGS--`_xO1Ppy(FUZS>a{kk1L>OAzn-inwJr+t3atY2UL z_MZ7&7_v@bPDaMLZQ^miI*v_Ezwat3D6C#>A)b{q#f7V()HY3(NAWff=QNqvn2VOl z=X|z3pL*s>`NJu9kM=6Q+T=T>a_SwE&wYY1S(V|X*B*FEG1neraC)7($39_&H^=)` zK~LL!;<(JF6zIt$ZF=xdU0)~rYJ1}DX_X0bRlh7MHvU?5TlUR`9TELr{GRTK>$k;+ z1jU{y@rWtwJhJ+7|Lnm1dw6-R9$#@ecmG~S|Br&-DxMDuHa~q3_@tNT?42aeoMk-W zx~gA~&Qkhn)V`m2ZMuz|_>_NVdNWVH{G8ySSpKN!OjUy4rb91INPXLXe2bp3jv=!| zXP`Up`Hh)piu%Ks3a?w_Jx%hWmdzBI6Mx$LJD2ny^w$$n{<vMF^7r20<?f&M1w^P{ zU+(L7=KYP_U5f-00v%6ea(2o;T2hs_;KNZF{||B3kLz`9{$Tk~De~v3;7we<mQ_BV z_uS`k$@A{bFmZnOzuRfo^25s)I*PeI>fU=X{=32U$yQPIGgk<Iy4;%{vHy3-R*}!# zhFbPr$xH6pWU`gcJACAN{ofZC!cwK@xGPwtEco~%!M$(q&6gG5Dn3{K{=ByQWzRca z(TPIRbG-Xwx%m^n&Ex)eX8vybsf#mR_zd=Nttsv1voVWYwm9c>6T{k8*|6`MZcDz~ zmd27{@*+Mu?*09LS>~r}>kVGD<y6}5zqspDRq2E~FK$UOS~Pude{$dAP)5Vv;1#S! z?3y<fcCx(uU%zhuv^N)WcI~`1`T6on9V@=8|ITQtB>&KSSf97wK9(cd?boT~=V>A` z%5CfRl^t?=`LA8TBW%6btb_M2{BJw@>FXDrWEbm_l!CM2Yu%?yS1c(PoXh&UDf<|| z0qatR;|mg#ns$ZkT*O}5YoaeFwfb7Mc<akQDF!=_R_dH9i>mHnzO*N??RClwzm!CM zMwLxgp8THij2fo{PBwEsd-!1AKW!#O|C8(X1#mr+$ewP%@=VN;?XaS>$nM&z9}{k; z-Q;|8JjCOQ<<~#kUYlGo6~5XKzj@1xfYzudst23}S}XhCPg{36pSLVM(bs?J(F)ck zN3Y`Wb^Es$S^a8mvx`psDrF-+&-!QOEylL^=bm3Y76vh|lny<X+N}LyAMfUX8+ObZ zEKR(Zua!9TZJguws6~TUad#JMiDP%}F0qB33T-(OKM$#@-`o1jdTQiZLkrUdTB+>| z<18;}i7~wk+IluUj_p%T!Trip%rCW-I9)I7O42X4tlSXI=y-$Id7jJXjm}rYcI2(A znYAsl{r^`N7w5Hh3zCe5PM!BEo?m)CQ9|0x;mpL8$2+5@Es}H=UK;Vx%)RDus;|&= z9a&yI{igDhYZGGBuASVc#Jg!*<*Xo9+W_aAca?SHZ~Rf1-+d@(aq`8xFKoX|c-%Bk z!s*+-Q%}$M*-VNIe$RP?f4bjSCWnq2_GQz)%Q5^|bdJwE^g&J=cgb>#1rHt1?rw?n zO1PI8Q2ATw=aNI#9SlOd+9F*{d@_|@c6?y->MLw`T#&HoO5X9<?!%XBG^cbVJPL8# z{GzmwGxV;1y2o{1n=eN+mr0(w^z29AlU+Wm=C)KxEIsh&&G&w;yI1UIYRLqCO!+K+ z-Or{)ChVJWZES>&%4vDQ`Z(|AtIi!aChX~5nw6*eZ?Dmn=8konv>yhQb!eWcoztPJ zKSkwgdQY;onof+UFuP8weB;Tde;a2C7`2%!<DH+?AswcnzW&nh)$`vi{q=cn?RT}M z>ttREu99sR3$^&(9e84Qa_RPEhdkfNNgT+idvC+${HM25^>`0wqwY5b=gvExKAs1n z!ZgY>zIuP+dD*O(yCGH}&n3jWeInn+@1k6?AE$qj`BS@^WwVpZ1@+@*Uw-;7dlU0$ z$|sFG`<N6~Nu}@5Kc01a<GQ1ZgPg^#cy>R(8gG1E>+BB3#JByg_B4lbuPmrJe@G_m zZO?|t>OTT!N`(8r$8Gjr`}g_AZ^brg8h#n~kM^DY_?Y+EZ}0O9_wD~x`Rr1V{j@6u zg>G{D^}fq25t^G+x}k0D?8M*fDZFVX<4Ytascu?cc3a3}rLKF@oLh{AKWl5hT|T<x zx3;l;+RPjAj~*;?`2HpEKX2ianZNh$ea_|Dek|B%?!TUU`r>QtIE)p|AAaR*ODn#A z{(`61`7Uue-Mph0f8E;gAnwS!jSDs(TsT#|YI874%cYrjFJE8o_-O0OhQse(9D2Xt z&gMA&lTVz&Z9Yu@oe<f#sVcDf);bvz?rTl0_iLUysOddZ%KGY>G|yn#_84vNX^FDi zF194h+*!+Y-YoqXS6cFd6P@#eBr@XuhZ@*gbF$9bTWy}Wc1q!cXJ*So?q<C`rgv@a zROLsGK@b0yUYW$yy|*I7<Ji~rN3_$tzHVCM{bfnzn%K7{4wJer$W<taOgg3gl5t;P zp1`59W3#@;{u8nJ`t*Kg&tb{t1O1yXoSS=d`op&=Hn}sWR-M0Q(arebAWz5?-*V}m zTNxYr&1?R5UXuFVcysZ72DKY$zMM9{glArri{iZXU%GBr=iK=}Yo8tP*jYb&&-Cxj zucW-592GmeZQ{05-;Qob`1{cLxZ{Q7eZeaWlXZLw?M21@Wy&~S@&1>_yEf$Y-PQ6v zYhr(UZMgB1XK{}}vdSlc$hdHk^6amSEO)lfzPZ;qvmxh)?OWMi?M-^y6N}}HU7AWQ z6V<t6x(}~6FOFb-Bg>jz70d1yd1_z2RfJ^yj+>$r@_kPIJrR?8IqkNZ&rgnx-#*ny z9!QD^EAQKyZ`JoEHf^V2u(rr7OPlXCddr#CztddIx;>}Vi}(5`U#3Q}<#!5NDih>c z9^^F&{W$e`UXWAyeevygC+*P8PcF*Tsm&Lz_@rYq(fXf6^On0oGde;<j~XoW^ibXq z?@^yMBeplONqx=(%m0TRCLh_9X%NPu_4LvIk9p^N5)Q^ze=@XGb6$}kvp1OAQ8QS~ z?SS<P0k^`J-*`Sh3Xa$mtHks<uX(rg;+2Vu`Syven-Ji3?|hp4hsUXxD%~fpTNAl_ z@9HZ8IWvx3Sg}OeyEtG0vwX*rx%;lm`xi&XEwR(dowK%IDx?0BdyVwDkM4V%=g&SX zV>sQx@?y^C)|MNx#UA%&KW+K?_vGhSH;pm^J)b(;e12l|RnzCfoA<_?Q>VZB@M>S2 zxrAQ|=d@3zZ+)*hTDJG--gmt=si=0-Kl7B23qH>N#+l*Me&_d*#ey;d_ZT^^xXSD( z*x%^*TrE=Zb$_Iph5wn8zFpI=hRdZpMf-K!HJlfp)_N){%C%;V>(@5Rgk`!(XQy}v zcg~tD*YLC3p|AIYf3D9Nj<E6#j@4mS%b#h5@G~boytCR~B3*lxUIPznoup5?GW)Wn zx2_b{v@ZJ5ASEIzT(d#A&d|X;ZXxsOwquVUUaSh(GkMz@_L*@;t^PcfvaxDCr7=%B z<gOQ#PgeglU(su2cy;T$aut)Xuj;Z{OJ?M57K)Q%DwoJw`hS(NUh3A+E%}ZP4`j3E z&(+;kQ(gOM>c*-UDHS|L)8isOMJQz`u;vPU`*WG6dEr`vD)#D~mCX;tmz-0Xly-EY zN2GCezhpA^%}<YFBKoUe>b(9CEdNjW$fa+)u3h8XT)p$DZuyd~BkO*L_$_4r5?y0m zb5^y!@8S#l72h0LUo>$#Og?$5TIKOo1MbVy^|mL=UyPljT()2$lUMMApNhw~Zap+B zU6x7THNaepZ6^EiU2^OGtUIUCwKeVZinb8VoO|yZYwoX+WD57y^6!*fePYog)mfDj z4X-F{7HUlH-FAR;)r#1h!rvRRUofj(<oqrZc6!D_UBOe`_SQRN{>FJ%KU1&wH94=c zTgxNba%;w;Kbr!o=RJSmGV|}H$tJ4r<KAY-ntYtweY|zDty!iaxAdA#X<H6%*71{4 zdXkx6A9$f~>h8~73unoDYC0M@u+*I1G_Ne7i&Zn@`T2K+YYv44zET#R5}^<x@zE`y zWaotCFIjIIO?J>eyZh)}-}Xa14@I3fzEO<6XDGO8(piNI2ct7zp4xtGo$Mraw*1BC zcd5Fruqu}l>Q6oLao4>V<(Inar+0WQt4!&8`pUR1?Zez3dO!C>xi8pNIH{wwe#*Ux zAFsanxYFX+Iiur??;P-z)PM2h%!UKAqU~QsC>j3FVirta_T`*l+ZV3jMpLuA^D))4 z+fS|D?D^yGte&^g^S<az?0;TxqD!FGe4XKiTU)&(WeS-ux(TV9vz?v9y<JP{jpYR0 z;8`np_*J7{H0*vZd-{xP0H=&v#H-1o>PJ*cL^@7~q)YFgI8(WyvxuqX{}<`R^vd>A zv06*wPZ-{q{N{EkQ|g&1B}Zz#)~#^KUK0Ml&F!d2PzP%;Pq*#0gG_1#D{_xT9)7Yw zNa%-X?sMUAo+W3F-T7h`H|?(U!MnV+A6;TA!>*+B$7>coO0vIpoY9=y$hB?CgP!(x zbx&6Zp2=rcj523fsge5S%b$<>8WO!TuGhal%GfmDyP3sidXRUNFyGp%Y-wt(f3_!9 zF72ojl6so!^M&hm{_{<Xxg`U)uX}E!Q!E*7zO2Q2<DtK1=3y(D5^uzwX8*hS)3oTU zTe|aZ8>noS>)F`G@XB_{z7q$YE=u_Khr{cpe4mkpY0-l-iIeiW1Npa2D!ZTlFr#48 zC!J}x*mNGKf4-OB-S>%uO=`i)r%k){xi(x?TVP~*$M(`~WBx!!1&JR!CY#P<yL?aI z;iJ!+i5%LeJGbhu(%QT0z@yW0{Q^0vUyZUW4{Z|U+81@^$luJx7kBDN-nkxpBxH}- zm#>_wJ@f6BOuNxAUuMP1y5`9;YqbtphMaorCY7rvCjYQf==o}fHLqfgmxw$~x|Yc$ zJbSwz&%MIxgnKJBm*+QS=l0n5EzS^l!TiHE=h^;k-xbzduXNv4VBPd5Y55O}=&awy z|G7B@zy2|qvSD4%n~dW}mpSeBuGG~kpC1#x{dn`l*`|&g&NOu0wd+&7m3scy>C-Fr zGCGvpP1+V^ywaWfv5(}1zwfV3+axTLnR4ghy;)*syPdaP+Pybq!@PGTx&23MxHimq zEBQ^!^8nj|DgQ2UEttB?ecK-ey+=)l=e)P%*d8szK4a=GpMa|}%{tC9yDILi@G$+c zHB9Z9+oofQt?`a4I{Nl1lyco-zZ>TCRIk=&cfhANvDM2KEPGU>=KI1@{e$ER9!{w( zXAZuYwb$wa<8h9l37W0e2mVxlej`*7`Dez3b}o%oLAJ#&8}5HGc4)nz<o!xt?^a*7 zWL1O0<DO?NYI{Z6t%EN~oNPHH@<#E+%+C_P%emz&o=ZJnzJ;w>HJxJxTh26vqR(H} zq-$R)TdQ_nU|H<cfBku>vkV$u?fRG3Q)T@(Va9afuA1Ie{5NM!K7Z|k72Dwhfl{^n zk-Mrd?!5izru6SmAD=Ee<k;`3T~O|D_(K!>t5gB!fVR_8#eD}^%btooFUb4(>dMNV z>bs%8mqyo|=w@<=2%fF(e&O%m9Jb!)Ur)-Hd5W&cRg;~#H{zj!MDN3%{v!X${HZNL z$Mbh(rEOSQ{gC<hfvlMiIcz3rH2h9kv!RS{nfjHNrrl;{#goHwqL;PXt1>iNR{yS7 zmWe8g(y+*OKd_<7dcqI;oQd3N?|$g~ZHrbo*tj&uiP892VyDzu<9j#czwOJ(NaS<c zsPIJTik+Iyq&b(ACeGV_K}BVfRaK$C^u5EPdsRajXKAq(G_ARP+B50)OPddR?{0q$ zzLoOi{pkpgm<O5Oo(j_DEnf@$V?Ejgr~Gu;XtU*pfsvNTkLBmZw;t&<y+7S|j@`LW zv$IY}d+~ctT`@=cF~9qULq*fMJ5=6<&->}3e%vRw%$T`>KX}Ug=(kPBKd+qnknO~# z&q+x$dKUiSE7<1oBBAi+t6NJ?%&WQB&*dh0_^9Plr7{8Y<1$TK6lWIJzj<sBY@WX* z!6Y#4|G8}~-m8}w?=D#JLt|o%U6QAj{JLh|>E;6c*B|e2p66pO8vg(3(d&~8GyViQ zeEss)^GulE`EI3O-K#=+C)Cu~98zeXx>`K(Vf2yJTF34$*yvH`=;ry#vh!!mwawde z{1^S=x>X@z#3H6XvsbranOFLT7Tp7C7Zn11<~E(~yHauQnC;yoc?-n%vFqRS7tQo- zia97$X2mwCEX&^KmE*!P*UC9nJ9oTl-)M3BmHt|5(N=d;i*Gk`R(QG;e3F~bnUWNI z?u_JQ>z(%E`$Hb_h5y*jENqavB(GQPqlff~WWgVGdsL^+_Nw37meyWlHSzDk&l&%@ z4?oQ)y8TSL+kN4J7kq}g0hii-&FbNu?Xu@n(3Gwnw<XRwzweiNuOr0cd%tD<ht6Gl zYabm*FxssYRNONA<i?3V6#C1mHIy=+Ej8g{3R<=1<ol>BIsVd{kL8`FxE<(vv)4Jf zZ1VGhjm4YZ<mwA?EqCk@>tdBxh+2C)e<w$Sll;ndo3d+@TR9&Gi~Wxl>NSz|;XUdu zcWeL3Rb}oLYxUFwO%L2GyZXlQN?qStoppc11?RHKuXYNP^6~Fp^1bBVO0(^I)nz6g zs1o*!mfp2|*=)|LL$g~Ins48Z{eIO?@Q1BK`U)P4V+@CRF8dWV=QH@EcwROY(c7{w zjA2KJT>i|H=H+#}e7LW!(koUk*FP<nEA?VW#gg>kvXe(&My4}pye#y2^8Up83ywQo zPYe81i3xodp_tGlR;+RP$Nv073*Th-AC~koUH{qck=rS*zJ`P|U5uiAB@GVAX=eI5 zL79yjhW8S4raa=_&=Y_D{L`(5lMit8?Yi#3E<ba}{j9Iq6U<#^=d!L_TJH3!%xrVt zr8SI4OlB@wq;SWHH^T9U?(upKLuIZTtMAlDPr9}3mtXeNmYV#&{WTGB+gv|!MD1p~ zf9lQ`<<-`Q85*B2y>OP{>}^@830pp0xO;AzcF5fWGZ!qB`IdW>+u-;*0pEM=ldEU4 zGw&`=KQF)V*PiKlax)UzPQI&=4(&U;{(!-(wMX~smzMjSdN(ulblU9Vqp5dIJ@-wT z<YT$I=5EZo<MOkVFRa?#_f-1x!P72ElliO{F}#&5RAUc)?fpOUbkLXl&)Y2f_sMnt zvX|rMQapLM;d1E2?=nTE4^Q2g^yAv8x!auDd=q$<=)b;DKRbBx%}i-M)sMf^zcIO4 z7fWs1$}ZWH_@w(--mIsMhl2CMO;`@>3r%1(JhphnLxrolJy%ck%wY5ERX8PcLfgW^ zEj&&2rPam4!%82w%P!$uy_Ium(#JGMi%E_*zNg#0T>9*QUB(Zor?Why1z)5sdsQQL zJmvwjV%Ju=<kt<qxX)Z%C0ze@_q_}Sqx!RNzSJ+<wLM9}knKhJj_%`&KKQJXNmdNF zVVDslp1A6Jq)pfv)uud^kE-F}-JDwcee`E@sHUGbOtUe2Ij1tV+<oKUBdZ-sCo`TG zI+AO$_{;7hrQ0XE4^8tI3Y?-e`GR}wcj+FJrMz0jjv>lt?=}lxFk$|7$76L-Om~Su z{fd$aZ-nJ{9sB=s`LDqGut~<=9In^@?zsHxmfHOKcb^njT&U({UwP?~`J<<g`2(z8 z?t0HCS|613E`P^`$7|nxta^R;KW|sn<kY4Am^Xh}8gWH_-E=#9r+5E8D#TPQ>@Csy z*s;#)WBe{Ti<LGzKX=@Ao4Qli;Caou#kunxJ}uwSd3h$oI!4uNoD6H0yvy05l-_q^ z**y`H-IGH0=>3m6w0`=R<F~iP@8!*|*ZQ^2{H=gcWXOyo$IAu(SzQcbFqJQHy;u}~ zd18t7)r*FXUjv?HrJh{6E~4}B>7y5Zy*l*3zb)X6@@)k^9y#S}U-sJW_q^7dvS`Df zi|H%Rau*ypR~4t9dHKD~jjo+b8?#^S-TI%EW80oK`R$B(t@rbC+W8bid=umyE#|-7 zSRy@PV%e3%mr+-X7qG;iJkNAy=D**cZcM0l$#~UtW%4c2kK#9qbrl@4xO~E<?_kk5 zy6U9!7RiOGOV#v0tUB@JbyGN3)Yq~EPEN*a6?QCbMtNmSo_9p-B2rV*mWFWtHi&;+ zSzP+D?d6MoQ|JDunrm;pyy>2Yvt^t3a)y^)y`GnDikAD#KgO88Htpc~us{E=9r)a} zT;r(CEYrpQ?2ay?JZom!%$j?4{Q@`14Oc3sTwQCDqR7g7l0{?7YULSsR`i6*v7eat zvg*D;ex$fqjIq@vS-s@LZe45GkFS4jUUN%8pgC~UR8_B5w>QCibjv=+mhQitAlwn9 zY9q<tbF{+!<-a1MPP-|>2MQS8JPDk(e#*5c%YTG^f0JvGU0f*XzBz7^_)g~Sl5=)# zkUp3){Ue`qOv~m2pQ>&+XmPqtxSJyRWZ|oc7bHGag$ErGH#jYLY*EY37tTroh1|st zR#dJ1DD9?aDk*Z{vhlgNOS`N$vS)6VvwY7Xq^o<*rt^pY;=5As1dDApH#E1Fe_%;? zS1vt$^QPEO*Ap)MYMsA^;q<N-jN5i!R9>!hbIFJ486Ph1ySnP8hC}D(b;jo&W)^E0 zq-ejovHo@Id1D>50++1L`<t$A&)GS1`wPk0+?U@J_TF|EynJ?XwZ);d4RVDi<L;!% z-{b$u=-}3WI;wT^CZAi3ri;S6_f{@xP~9HvlBHJRoiFjzFf@)qE9ew^T98E8|Mr|y zx1VJBevCddqs?M{>w=PikAW{%Rdzp-Sh%HQWt{)Y`R;4QBEQvbH54-!YFT}2v6p+M zCjTeH#Cy34)km8utT&&lVXB*LbH_w`_R2L*$*qb)bv_qN_c(K={&<t@T`p!f^=E)7 zpMOO1UtwFLxU^|sIwemol{jm|&CaHGV%pD5n>uG!9AoJ`^7Hv#sd=_?%=U7hQ<#s; zY)ux?-N7y6%%8RXQ{&2IeQMjH>+VH3ny#9bv^RM9ewXXP2SX;^pLIHOyY?MPcdy1b zOZ_LzTbH%jE9mt{pL2eZvCg@C8uh;>%u;?-I&o86yK#-3@v5g)9`}B_D~j*D!SMUC zgudYob3H|kZ)$Q20*>*ysz!ErP5NsbesXd8ZRbmy)Do?BZws)Iak?18>~YMY{QQN- zRWHBi?BHgun)h1wG1sa+j~8Y}K72oGGP}fMHU7QRI5(`{yJFpqmz-w5#hNT;cG@rG zetl^{SmcG3`=-Wfu2*O5*mU;)_MQE~RZ5SyEVU|LT*ScSeP+kp%K48|5}0-f?mpRJ z;a0O;FMrl#h4-u`^``#e{e^|@g^@0+tD1QC*c{`0yLXCy)zRc?*>vxDauF=oGq1?q z7tL|s`CO;V^498u<x(%Uc%{1dnq8V$&f~IeWi#J{4Sh4d+nG;1VWie1bbRSl=?+tO zYl(Xd*4@`v2ix7!UHvC=#Z*&ilMNrP9^~+yK7Ic7RVI-ue$4B6#Cjy@R#8fN;j)Ci zcRu;%eSOj}kH6smb8EjTqL)fbDwsN+b#!_jc_}Hnbe;Y03Ab<gPrFm8#BV*xr{e7Q zi+w%<4tvxKlO&!6Z%q5<%vpKsRhp4Q&*gi~n+5Efedg!0a^|wn54(PI9qXZ4t;r>4 zVx~)+EiqP@<m6c}`^@bR0-DUt20W~--7m$1?{ZC@_13Rw<%P2+JVReU7JkNG=@RVL zzUf71AwzrLuIAbQU3(U}?>O|XahqsYWU)$7@->NF-_@Lr5^_3P@B7}+yc~9{V8^cM z4Oe0fE>^1=9pjwH!76?%+d}vzN1^5HNsG=J&0ZCF?al5s*)y|`<=aYp;J7C;YY|^> zr?+&#R{gTL^p>6JtKH9VL>@LPc(?RH^h~!8`&NFinwuaSdgMa#1;y^rEl-a0KVQ@v zw<`aXXMn$P?bFp3&fGWo{$IKO!DW}eX339F+fHyE_!Dtc(9LR&U{#Vuu4vx=<3F7i zmtWkXv)^cUpIcq+?pYEs$5T|IUtSE{vAf=_f9upqmD-2zojLjZK?++<NA9N67uXGi zotvkv6WF_|%uewuW88G<t|;?1*-Hni7$T1rNG+SDXjR?sVIj7uopHmGxV)l#sbJ~- z(>lT(>SCO>2%T2<lTB>ebFxR=QP-mB=%npOoBfKGls9oqcoX}Tea+t^GAUEq`6jg9 z-?gDrzQ4F=hGE&9`Bhc(XR<Y>Z_U}fjb%l)ztOgN%UtJ|h^;Kx>5)~lzjL4I#*Nk< z%l7SxQA|h=cKMopZrLQ69e+D)*iUx32tJ)>T~fgNw3V;y%E2wA|M%AHDczQRt$0Dl z!rg2-o7Q?9{tzy|e&WAv?s5J9*WRj}WjcMq^>rS0F1!;bO?$mkV@v#*^36MMJ^r=2 zjCWGCYw{_h(3t9nm#5BLF#l0x>Xph@(|@myU7e!8@xIO?n*!6L+R>WpAD;M-zHNEe zQ<42?*Is^c2vTQ|ba=M^=KZVRt^JNA%{*sPu9L30`tdipPX({U-pRY_`F;wl_vLYC zEq34ZU;KhZ!5?OxS@o;-n!bMWc+&fKu9-_MA8B%0b{A)P1kY1^cF1sw!DR`f39(z( zJ-ZO1b@Tp;N7{e5#a}i0CT)*n5_x16d`YJ1^5Qw(+y0kNGx_>4;%?TWBncz6d+)`Z zLexVQRw@?Ao-sIP>}&hkuFG%Z^;OAd<~`&%DOB?{_QsyO)xR@8YFQ>tEnHll^>u&n zR?fO9w>Vt-uFpB>_Ve+wriCverLWZ0%sR1YvWwiiT_1Yhyfvu&<l~`u&L?C>>DorU zmu4KxcK$zVr1WjtZw>#lOV^x5*#irrtB$<QdABlfOT@KH#~!E5I4QI0z5A!9vsWxw z@Mh%+)!kE)V{bcuk=`XgPkLUf&ADrrH@5u#)Xg7$_qOEv9Idze_WtiyRci|nJ0!k; z<$3L$eJ78q9lo^n^WR4;w$-z47&dB%Z#o?@QDI8m(f5j%YpfF^p2b~y*i><)K<3f3 zssF+vUr!RB)o?<3)$i~34)OhpNr<l6o9xuRd0OuckKC5VO%EHEC!Ss&V&3?@V`HO+ zOVrnW<tfv4inW(DduCnRsDI|DcH!5<)+$ZPZ`sav2AUt2PjXzO|Gnw(;{Vd}@6S{T z+P%H=K=#|!>kNifyszI)*|fl=O)9r|rdPqyfTD1<r}<hN{WRn|lKuR8+WtJ7$ofF> z%d9DC%LGn`U$__<ptSMP{;3D|&rB1HIMBLuum4wxDfgx)iER5B67<G#x{ATI7pleY z8ZQgH*YA~0%CDVvI`oOu+Y=kuzpNCUyDd&|e)U|Pgu{~-Buj^0F?K%DpcmD6CA{+C zz7X!Eg70qZjjC!DlAj=TQCLrB-W%p`_nN}57go=5T(oleOQ)i{Qywq4t#MRvPJmNR zgZv@`y|PMGd8a<%ZF;IJ)6#c6kha|4d|X$!e6??PW!y=3_RofuQ8B&qD)is~D0(cm zo#6}L^eU|rJeMW2&uf3#`%cMcOV#erZ>K*F-1Sd1<ky-{TpiKV!)xz-S^RXv<9ext z=P{4(i`XA-@;ZGl>Vwx6m6kt~wH2?m8GM|?BN4UO!7=E@%jtT@=Q7>4nj<fHi;Fjr zv+`g3^0o7)YMx)NJTqs<lQV~t^BymHy6aUcXP?U6&^`TcpDIM<y~zDzzWTrQ6vb~% zM}IH$KKtgt+w5;D5<mQQ1@FFg(a^X|+RyfUx<{Y_o0eu1=VI?<1_$ZMUBA~}%q~q- zSa#k?o$=tTIMHKwXNqJOu30j%-u|Il!wlg9kFyC3_a?bJsfgU#u(@zc>AdeD1^Nbj zS0b%-8Q!g^iqtX^Osja$6k8&~*OFKMNbdE#xj#2utz4a}I5~0s9RG>oEmmhlxA@=h z&OZIgeBC6od8P4F*7q~_DLT2Iz2MXN^{rlW=Jpv@o43#X&dFW-%SGY(9N7Sm$<Kbi zTq`U5_3Pffjx82V=cJYd9Y~fnin^Kf;JU^Jz0WD9=C59v{4-u>0sEbqE0PY{ef`Me z5whUZ_iZINF4Sc``1NQ<SofmyGFK{(Y4G^WyD{;!b~K+Z<HKgdPp+%t*QUvt-usZm z6c?`9<+fUI(%jBq7AZ5S5C4ieUfwA-;N<^eeUtgvRn8mRGgu3cdGAe)+^87LeNpt< zn-2?<rrvqmuEXcrSLz;QdGlHAsttC6m;e7<!#tbGBif=w<H<a)U2m*!G)&txfq7v; zSoY+Onw<<s&lKHXeDnF`WvmKc(zm?d-Qn2PyUD<2o_x1U+_k&^CsichKBSczF0C`O zs=m(oQWN)Cr-|E~uDgq>-kGL<_QlaZ{+3L#+f3G3yweZ6ch@9|PcWwGL7Teku3&Lp z&1jv4>dNAc!m%H<=aj7$3XzNT&~J8W`56A5TZbe6*@9fjSKAJBnC3Hg`mDYc@^act zp6bJkguO(yzHR^e_Hf8ww{Rn-ZjnSyBd+`ZcHi6)o4e=B$Bi9}c*7>IIM?Z``qq(= zTkN~-m#Llgt`%l`3W7P)moT_@ZxInczTyj497j?1k*^kI@270HZhMoS+?DZZ<GhM6 zm4ZCZii2xj-&i+|Iq$iY5J$9GX!WA6zbE@0Ih`Fn?aQxkVmVh+roM}vV*RmUl~jj- z$*$`kkK`9M%Kcv!%WI$CIQPYQj$V0f85J?zZ5}sv9rmeidC{ua9}siULh^2K7=K=c z!`GcN1<y>>T^e}6Dpiw9Aig+&BX(NvmZf_W&UuxrR=@mo<00|g(M1Z9{+=%SxkC3t zKb8Ogd)TIZThUINmSb1GZdklksCZ>O^UUH0LF;l(EzR9D`P{+RR!wRPR3bQ@J)N7h zX!gxbM)@~WgVMewC6|3W-PCjc;7qZ<YqvC<`WdOTP`2RC=@QjOueO53m`VHPq-x}T z%?oh;Tl!POz>KwFzh{epW23Wh{Z`NAf>)n7o@-pf&#k^<cCyX(A0Hp_%vfc6jB)#) z)wO<MXBRBE8>MZfb7>#XmmA3<Tw6ObYU@)wOuJ5b>9}mESQvUPCV$_BxXoLI4)N?+ zaboGjM3%Y~nebC0<;rjBWu9$5)^54?Yj-H$rIz<xbx#CWaa=nSka{4R-}jza=1iNe z-j71cJc>pa)4yJ;_^!0yMtbFK(LJ9Wth{(vU)m?nyqqU(r)B52*B;-#C<f|SDL5*2 zKVRFaXId<hF0u9A&ysevYyV@OrB3iVo1<zpzt}%#`~JMGiZ5SQ2-It86nzW5|7?NS z{`0r@EG+F8p5B&ry|Jsdi=)W@!vZ6v#UWZZtC+s&M6WeqOM5GN+KM&Lr0|l|q5Zvw z=6zzkzxSice@TxBtEBf9XKvNlznFUeP-Iczmj8N9WnVded|Uak{zqS_&X4v5U(Yo4 zwBC!7HoO~G{exFdW`^0t9knMz511si7HsvqRDbia!><}$UtQ<I;MUHAwJOGXroxXB zJ+(I;&Q+;o@R8Nt{%p2feY5Dhbi)(#ww|9d(=#XNQTFt6GWnK#nw#>J^iTWgW{V0l z-QMQC?{=!>H<xN@w}Rw9MV&V?rcRi3YXQf{-vPW^%^pVl-@(_JcJ05+@|Fe6ybS@n zv+5_N<b_Jy?)-bctKmV>^73r8x%#JH|LJmDnxpw^g-+wiy~^$eGp5a3<C+-zEm8S~ zqhqf$Z=-tR&LqznA}iDRy1t~YJpM<b`(b8-?#uqK|6Es<ZdcyUdaG!wx2IR#`AWAH z-8*^@Z22)~&Vg+Hq7B=t6x=7T(LB7xcz3nXBAyioYuC-|n?B=+eyXv;7hlg?I~Z!$ zG$(WKW2l&u{K78X?{=<Lj>441)lZ7$k0l>HC+sMe)^TD=&6A>qhgo);v|LI(7PeYi zV)v&2jZnVz)lNVDbMl8B38*{xK5qRDrmnV<qPIFWyB~d45HaPs{Hu9#Nu25`<(G?3 zuSpSa@%do8DQM3GgTja!CgYodUj&`E%KPolZ#OdzTyTcpXtmyjw2+QCPs3UFN|xVA zeRS>EFZ(-x1n%Fv^pE*f@1iUBUc5dLzO3-o{@&in8W-;Hy6mWW<&>1Sqo|_czxIjq z@8+NRbei+>_qololQx-1sAO8@m)v#V{;jLrsr~Zmrt3NqWs2)g?0o8ZNMFft{+g4o zWVDrLEje}L+@&Jjt$uHh-+Xp!#q&?AO!wUW+5bq)dG>6_Ne6^|pTB9y5Sfq`nNiMa zb?@j?LzASej)(ozl9)oms-)Gz_A<%n3C(}(_BT~&Yu_!a=j-ii430WlUR`u2ZsXct zcKIx8ZQGCT*n5QMq06%Gp8L=4-o4_4{Pn~MscAR=XPmdWzaZT>uX)?9+}|hmmzh;^ z3Pks7?cO6N9&Oka;??u_%bDq~x|cn^p&}~rBVFJn?=tSb_rH%?UcWmdjOj|7-;~%% z;b*OHhRXi;GY?UkeS`0G$JIsp-ILv`jAtDDwdv@M=rx^9OJ_YZn|*|Dx&MoJce!<! z<(mFDa_^LkVf!kXl(<rEu4&(&9=;b3w_H3docB00gQf9v_`xM6A61G161vOSEuJ2Y zt6!Y5?#{k$w$84|zm^;SA6de6G&-?^)A6C?>&Wk~J){;Ml~%FY*Z1`MC#RU1_6<97 zHtyQfSS=rYwe{&y`POZm2i&$*SkG6M(A|Gnug31e5=%SdsT~}*_s8kU*(NIbPE8R_ zchdel@AtB~H+J2*wX9b3P+xlVVQI<po5i-N=5VA6YdR;(oohH$e^a=_QvLm-_08VL zRr3{f|2^;FUM}}z+gmesX<1&gg>M#KGO9g$^mUz&e#_=$rKp~(7JrX_S$*z+S!m<Z zriNo-R~=uA2A6!X>6zwoxBLaO#`_a(?B+JsXRe+&`hSOx?U_Sc(oAk9hn#8o>3wHa zb>C;%&Xik+Or<r_ZWsG46lfKlY|v};K&<+4U`5chqoxrq*P1?X#w(QHU9!Wm^^1+q zdxx%Rh9`>WZz-y~sCj7f`jcLsb1vEHD(`HOe0pG&YVV11oj*tB*<L(!IiP{*K>Q4k z$7Sy;PUL<KRS5~(lGopTQS2h~liKNKyS^FvOTPPApCQy`>eKVWYpXF!T1tb1d8Q)+ z+vKOVi=0a2+1=-aeCx?e+poGTdIA5Vc~?K}&at0f5p&J9pklgW?BU2#y-5;t7iuPc z+dX-0io*Jw@~PiWTev?;GG;Zn68QM!Eq(u-?<>#zd(-*&$j@aD&2@gRo-O_S^vuf7 zJYH9?t0*r2HkqZ0QH)3abe+O}*`T@~cb*1Hta+>-Kk-e6*1Ty)lUGJWq+gzCaKx;9 zOK!2{)Wr%i0{x#&y%{}1ygceps~(;hoLc5E?UT6g9@b5=#}-&*KkKYfJCH9jMb6B0 zUh|TbXN)^oJxd=y+_K(FH#a-jCg+9lI^&)9@;80TnxI>j%fM)~rfEJqTV804C7W6D z?6(%ra$aqkG~?B**^A>>X1(Q4y|`xmgcUo~8~YWj_l0@zoJ;NOSR?0}xO#d@+c$;g zsk|xs*{i-RoV-yl_HlmoYc}Kl7N458tJir8R@y%gIrg$*!h_{+4;$Tz?9Fz&I)`!7 zyk7eSKlUDJO-@kbP^#z)m1?@q-gn|X`vQx1Gg>BOFZa{2`L8yAn^i=e$kr6o))U>^ zvRzi_SLE)`>N_pEu4=a4MCK<ucomcEf4}BF>d&#p`q}J-;T6fs!C5C<kCi&cv#MUP zQgQzg9`>i{((VZY|GwT9x_#bs;@M@wufC`T@A$YjX!qidWG!BPE^7yG*4e&W9zH6Y zykp<CDfO>Ea%avuI=QiDzfI&(+mj}lJ{lWK_P3j*NT}G&Ex!K!p<254AKh1}5-mc) zPVW~dMo(p)z498jE1SgbxT4HlopSa+)8`yKUBvyn^Wv9aZmAbtw+pn59@M;By!t^G zm%+=x7xtFA>;+t_>e=sawJI~!;bb)Ze8xA-tI_?JvcwtPgbDEnGmPseDug<R&RXuw zcz04})v5D#0k8JlEm_5uHtYFx2937s0h>G?i$>qiJfCuHqhZ#;1g(EDk50z%^S<FV zl?;-dl-b$A5m^-A?iITI=U$ON%8^bjf~6VOmsQ+4{*<V3$2DsGn8snH_tvy1c7<2s z{yyXX->n{{+f8u17qwj0*vv2H<NT0YmnM|P&F7oMzKB&J`qGU^ZA%&6u*l5y`Hu@f zzn{&Kx-C;!GRxt`x3@9Dm$vp88mN8wpQgQTzvQH+MP`#1%Y11&f9(6R^@$upd>@%q zXPrK^Y{9=JTe-P+mOJeB68zV*j>YHC%oo2bO{Kq|`^LffZex>$?-tjBlJ;_a_5*c_ zpH0}W_i9URV`Tq#l6m$)S++9XbG;$EkH`1U&Dm#`bV9bVh+W~y3@zIwyRzQ9tXpm2 zmHF(Fb`G;xc&_o;a2DI%O&6w0#B$9$YbyCgRr-|Ij;LEr9A{QEoC)r0w{lvl8F+%5 zrPW<<<Ha`zokOQ3NhLe?o>|!H@lZ&sjQ3ZgUc|eDUN)<ej5QjsJUM%JN;<FH+Si?D za?PU;?p)}-k45F@|LpGv+8$P~J+$g8OD2!l{eqoxEiaj0ZEL$$lItsJ`TNMP_!H>@ z6_$^@UVpqa@w4QqyAzJ-Ea%eKE?ram(|~y^&#Px!Hkdu)_dKy&<xao)LC%-!&g{-u z=^c7#@}{G<nfFdL`lY?^ds08QA?>*T&li{2{&OgPYPhgyx7!7?gv`s^^4yFWRKgAz z?@ko=_q{JExb?~!-qyWV(u<0Bnu(ZgY5XKvF@1OHw)GYB9xe%9F#pxZ9qQLkPg~9Z zwo>ldl&CWoFV)=n_q}yVmB0hp{wB@5O>>XEQFQhBu(#{*^WPrpUE{Y-e{#d|h-<gq zKb8hHi6?w)JnDrW8&a76t=RqQibGS?>))@XyfeL2&)Kzi-!FM&^hoyROY7FGx6cc5 z8MQX{+&U2d)=X7&!*z?k$n{pI&+E8MyW8t_c)x;!ne+yyl&_B;$aDMF_VQ1fpTCTW z>BR#}&pUfp7^Qn{IHK=+ar0B2d!;T{Jof5GYM)4Hykjy+K~?PQ^*JhK9};4x@qe$; z%&~Txaf<2R&xFORxl+vA`Wy=E6~Cu%UBFYQ_3K>E<JQ-GjOAM${!RS*xNOoP?|sYk z0&?Cx-fY%Z*R=Y1!7owOvr8s$@M|tt^{GF6Y~_s-UMBg6s|7F5O@5oBmT-oZWp7Y- zLPp?}y&uf|5_sP@wumSe6mY$=HxThW(o&P6CVSNI5VQCkS-&Kc&&Tg<UOSob^T95e zYa3@cMjr5pH4*I8o*8*Yq%G;~g3l?Bt@0oKs@nN3v9df^XOWZp1^3=4$IW3^T+ekB z-@9a^!E;aK;m5iUlUM&)y~Er*+feXS8h?7K$-=v9cC9$#a^-m4wjR6qGf!qPE}9}a zDQfom$Ol>stsE^C`&JbH+B*L-%fFkK7tOX_G{g1x60hX-wX?r)hNgB4&dY!0ch5w{ z`tR&FT9VfJ+%K+$Nb2uO@(SCrw^D%3gKzV9S%!IzVV-A$PCwr&$JKD3-NvlzYWUox zGbb+F@Xdbi%$6xXLmHnmiLTjI^fc<k%4^yO|BAR|@46uSKU_ZQgQk;RM8T>D_2<ja zZwm?9XnWsq`57bGlz4BAQXcn{olDL~G%rfrz#GUFB=*SY$d~z^&G%kwo$Ef~&SCER z<l&D*7LSkBcLKh;>N8v`+c^70$BsSxO&SMgKMyfC*WBB6WZ9;ho|T6`UQ{URyTH&P zYrJ@)9*5MeaGg@cW3xN1-rC*~q2$jmtYz%7{%14q+G-X}$GcVb`>Kn%4=pi{edjaj zWu<DCs9JOJw`A#+)7DPW>)cXYy|m}K_>$U3si`l|%v-bgr3By9%^mtpk&!#6&6xH{ z%Hy!PnUv0kn9eBUCeGxWM|N(ywCDSq_jA4P{5&?f<IIt8&*1!uo(y*OkR5r9KNcF) zuG+UwDEH_7onN<|+Ir%^lbv4=SE|bXWmmbPc~Z_j?ce!I_YSx36O-Jm`2rU6uG<|W zzp!Tlzr&IrQnPO_l;N{Fwlgxmu%&6&Yj&sJ*R#HUxs;yDQ}W|!zE#1Ebd7795>n4? zdQ$TK(XCC%t6%JH|NTBx^|$`xsE-@M^tYCTiaETtx_--k$A_Aq*AGc8(mxisS#VO| z*;c{t%s-wQ-%9^;;rhZN_OGG~GOk|aV11!ma;@sZOChV}?vC!)mnRm?y4lKkbkng( zt0#QE#l+X_Q+9ZZ*Ks?KT&Hy`eU{ti-uPSpsZLzgrPTPb#9YH04WI8x%yZKd_`dzN z4NuU7W?P}EGhbXMN^SGzfBY?BVY`_5_6Z78O<4U4uKimZ&LSiqfAf9eA??^czR4vE zv>w|1uHMGWG3h~#!KoddmwkGcu-$*Z^+>F>zjx$@-@ePt`W~I@JR&t^o<W0)YT&bm z&P1D^FV-9|)s2oaXwPl$mKL45{F+i@>519@b}xDI?D@>EF8N-&<JTs#=goPNrl;}y zk^lQleM{X*eC~cd7Z*?3fA09*8D9L2uTw-mD4jP~ZD-hULh|zq!7mrBTgodgJ_=_H z|Gw+s)vSvKX_vS^_bdJvvc9xvzlzjH-kYu4=WUEnU!kd4lXCn23a^-Srd7unV{}?? zpUT+vqyOw{OJ}ZCOCK{fHfzXpvinCYS1P`;l`TI>OY2{O{o%QCUALm%SGq6pmHxBX zt1v)PV4s@BWA>|(PTl=K6@KuYKhq%=Hk+T3@y?T-_Y-~wCfeTSJ`?k{D@uELLXfEb z;y0P?K6NkBC4U~vn&rr{a=LK#s(Jf-SDE)ty(Q1fcgyP9i!YAn10!cP8+xzZ^CiL} z>H&NEyi+<$8hM*%89mFJQYDZ*XT^r4ACL4ZN4%&Py~oR|dG$-DbL%|zbDtx^;!;yZ zIPbk**0In!>DaonyQL*ona*%{@bGTK{X_qb%HQPK!B#9U{l}p3hN8Ig)9CfZqE6MT zqs8Ogrb>EwKK_5GRgZbi;pef}qz&Ens@6Pl2=jl&l3>1Di9Pm}|H_>6PB*W!CA0H7 z#&JInI(JwyX6;TF(WwEBT~_`g0{^U1933*h$rma)N3ngL#`nz0rmw<2_{p^MZ+*mr zXBr>(-8CcQ)GYV=Tf+la?R>OHzuDu<V{bc#jd~V`ey42=>2!AIbk3Mur*?dfm-EGE zEvcuy)%_<wTD_oMI^$Wm&w7i>*TK>OLaAk&ZMsSYeUDvGlslLu?|yed_^jm&k0+`K zoqrc__5eds_xgNK4bwAaJT2cp)g3<jKBjEb?G4rrllq(;g^O>jP=0l`OZcdBq_f=j zZ^eg?XimS`t7fufm7{4Rzu)FRZ0y^Yg`7$0%|16-NvCSdUdeb9Vfp(efeY7Y@_)^e z5Kw3A?OLKcS!~J0+iTxVaq(SXzCTEdQALI;EYR_&*X3V){QmY&68lx112gZOF7uN= z%D!$<-6z3gH)O9oy8h;nNqEnm<vTV;aO<xW<@eroZMRhYX?7zmr2=bNKF51Er#+JT z(57lW;roMV%XF!`efoLtdM_84oRs|jvnyBm7JI34KC{Zl{vG`W0)nAerzvpGU-zPF z&J}gf!?{lBb7JpbeLc-zP3cwmvj=>qPt=6FT4e;5UHGHGbX-x(;?{cptI?0Roi4O5 zoN;sW+G_nH|5yLmx#7CkZY$qK7jC}kc@?~W%7T;cdA9y|b2ZA^Hz3)^&W-2M?6$n6 zbM@Mi>%`W#^Zzlp^GU!)ecrb8+G8{K#j)IJc)e&UOFzr*T5sO|m#;qu2YPl)<uRHw zg-OJ4dqR}`<1Le1A5GtU^O~a4=W_zS#*Otqi?YtE1g*UJ+t&PAR_-^$=@)kyc_^IP z(Zqe`NdEtS9UNZ;tqpb@SQ~%KVW*9SZls~f|7ndTm%s3|E$E!JMtwop<~uW8cOLoT z{mO4&iT|pa*~UTjAI=vgY`o;?xV9!+X>VWg-d(nmE0W_MO?7+pclv&5fzJ!<S(u|7 zdaG_lmwc;`(6^oVdhLa<&DWc<ABB|N{p4(`TD&Ec!S#HCc|EuG|2NJiQi`Dq6Z7W@ zN_xwsN;P`f-?obG^LtgW=oIUj?M{KrCX)r)?(7IX6eZAR<HB|Oq<E<3+JoQKF3+79 z=`SGgNm=2&;B(gq3GM~&w$5cK);e+CZ`=OKoPIuc&GptfR&IZ;9povYbb3ztozDNa z&a8YBxysFf&E|fB^HLez7(R!W8w$2gI;HaDd!3>FzbtVM&1l^<2e?FaGTd0r*Ep^@ zHGPxTu6c`%y&MY@`hQ+p?b<%`jn~)ziTi?Hn7TfCWw=r=_V|v;2I1{nS5=;`wP|+R zt$yX3Rv+*7?y$VZXKV`jNiv<k)++L?YI$>Psi0ZVulrG78PDYu9`sgoDwRyT>XlII zG_AR3>8?Za6_Qd<9tJh7nj~@VxS>d~(>vL+Wk+9@>4tor93Rvf9KU+Hy_1u{DM3bO zasA0c8$>1*YNiCf)UedidgdhAmOfE4xx;Yje^0|CCj&Ph+if>{z5e9Bm$8@|BEDq? zcT(A^UN0V|Lm}ZjuMdCr-qCxBYr(3-e@C)j%&6V5C~cPEjSZ~}p1B@em@CyZ&Ejvw z%ed!aY5BL;{GYN&_sqL3!kf29MVV^o9+)0;g!?P|tp0U{*Pl*SKB_3Y;V`eV?~}9p ztoPQ`&a%6F;@4Uy`CB{u_s(q&NLu)FLywZn#ni^S_h}p(U7G83)}7W!irRjA)j`wB z60TR5{hQ5}PT2Ns&4m4%*5p22a`0@I;kndmW7Sxf-bg9s>7noLZ@sl8-&<_uM=sgJ zQ_9{&uI*o@vTWKL7IseNy*U|IlSEXu#(D?X?J8BuZL5tjUR`N;yVZwBY>nK_ID-Tq zUqQRM*TX-tn(I9NA6e44S|;%4GnY$dmxFucQwn%gx~|Oqx8?Q48~dW~GhCUIwEw~* zoz}eGDTlI+^^%_capLsjIo|!Y|FiZRCS#e2&(jNDDNW$zt+PFA>}|a9CI8A7Ea&bB zg!=LXtcdZyKd1Y!=>1i19-AClAmGBX<P*Ptqb`SogV&+Lg&QXYuA2C`##Ugh;D?`< zhw@vVYi@f{{XEHV>x+Q3Kg#NrC99G)X9x(GI=3}lUCt6(_i)Oyxhab4uBRM0<+$>h zjAqQDd(VRsEH>^~-t~To@SMVuuNQO<s+_hAG%;v;nV9IH{yu4Cf>hcvaXoP-bB;Wo z2QQY2b8q9Zy64=#(=bjWxShXj#<U%kGnyX#diPp*Uj1~oz4aC8Thi{$lxuYTc%Rip zU+vpBBhT|~uRqLM|8I58z3S!{md6bb?hV!c>%D0&&%BjK>|-u_G*9fSI&_Y0>fXa= zN|$_*yYKOLu2fEZ&Wl~y{J#uZ^>1!T*u*?Tx9dT^lZV!V%O~p1W+vS_AU1J&)#Wrl ziKX0;|1KJINL!rzU0_=I*Yx+P4|`f3KVEQUwpw%iiO$b6J*GJ1E>{&?{^dTuz>(~A zd>g_vKT7QmVCH-u*nP#$JW;CT+LGTZt^ce%zVOx)4uORS|M=!OoKJf+xz6Uo&d$Zg zX<y{B_&%01hdKJ5%2q0Vz_}}~C~02pW6j!+w=%rvHE_M*7x0ih9V};25~3~dArQ89 z9h;IsnzvcO?jvGnPcZ)VUZGnXFn`^u3Y*Vdy;Jq~>xmv#++M5a6Y>7z9qpudNkRs9 zKNvrXHufw3eW~qXrFmY}+|~LaZ=+*MS7fDz+k7%}Nj%QC=%?Z(*F61sJdS?dF&5E+ zmy2fUd!<kOeJkVd^DC1-7jN&6mS28NEPD0T)TiB!>}z)L%}`4{mES1ssyu-`p6l@{ zljjo;ZCSlus(5|b?5gH}y^aRrJC?m&^S3`<aEVCvwMU^pk7q5t&)s6mz3})8X33IG zF*U|jOh5O<PGk^J3%zhT`A3u1eRI#e3ES4O9ol31<Umfa-NoY_p&R}dq~D5tA!DLZ zJAdQ!WzMR{7W<#=4GjFUBQ(}m?SijB!FlW7Yu8QAKhO5bI@W&DZO-|IU)Vh+Z&5kg z@S8^{|5e(Ntoa=)x3AmR$)2JoE4?&l(Jh1B;qN*2=U4dn`pG;DerWGdc_=+;{vD+i zv3q1IFP@$EFSdM*%|SMX2l=(HS^i2r{vN$fyy$w=R%@17o8CR0=5qVWo5J*O?(!^d zYz&)jo=X>MP0e_HowLWbUEs{EPxEDNdFP~+dHuZ_BXip;KE%;(QK;13GrRV>Y|?zI zZYw8izdtoYacfg%Xv3LRYymw*R%&~fTP!UN7I^BjV~t>MWc<VO_m{4jS{0cXZE(8m zcHH>TysyTa-aT&o@mf{-uG1XBAhoYPOMACFmoB?lJooc&_MAKIN2Qw@S+CANs-wI4 zX?e!Ig@4tPCRA;?6|;Ur^SamX?cb_RQsF&$wcb5+Ro6)~d(OzbXsxJsE7gvkTUoMq zN`jkQ#eI)A-7{471`1^CNiMUWVbd|AVPd>UyY|(?Nyeg|@4wn4zOz^Ca;)HwR;P*b z)5Lb_&2Zc}zi3(5g+p_1-M6`^oVD9qOC-y@Snr>~!M#`Br|4K^aI+O`dGq)7&fZ$V zB}+c4O4xX^ADrOu%V*=mxBb6Y@~6q}vk^bfeEn6&mG>@6I?JxSIJb4Zzfo9^>(?XO z3)%jQek*M{bJ@G?qtc_D@7gqW%EWGX+w@;+zClw?*v_2?ja$liBEGa_?-g+qQYlYb zT_as=aZlyOp{DgojFUc!^Dj1b<oSQ3U|sRUe<zp!U#~T($g}hJ*RsCd+7tho%{eb4 zag5b(#_C=Z?n@dqvtqUgxrI(U)m7Ht`D1(2UY|)TGg&P?a|32FmAosuQdPBGgPU3K z(DS(>Q%(klH7N>3oz-`;{(tK5yH9O?7F}%pr|+qKOrLRlYwAD0YYs9dXJ<}t=`q~4 zOs{xyUFrSpOI4HtmdrGKx${3`nx<Zbj@RAL$&p$qxhD+Q*St4h`1$y~T#?_Wm9JO} zGc4uS?_iDi^{dEj_Vj0eXMJw3k@!6?WX;y<9T9gPKRZ=Exy!TUQLfPp<>xOJOg!`~ zqoUe5<rv?G?LNh7deJwQNl!LibntbHe$ct)C(Q%GKQ5od5xh0S)b@Mx;*6_I<vW7! zm(K5AHaTtncip2RXGQFr9(a_zo92GHGczC}*~X`#Hg3|H&~0xnoH{#mhpvlt<NR&r zxn1Yy_9hh1)(%+tEy$yL*AW-xE2@!&;pe>nnQmWwD9P>Y<76GdyG-Hnd+mQ`Y-YP> zXnerUsp86!$9|Er-TbDnJ{^DNYv;dI*4^&O7uB{!H#v1(Sd;hmUbW8i_#?8_YO>gt z-T&ni7JiPOJj+G5N>#Aqip+@z$G5dVdUANpf$HXB?}azd#piV#y}DDQ|H*}@y)#by zOIy$CnfPn@+WC);Tc7*6;girGv#Q#jMT?G~zfdI`^7yGkq>@KWTg>LR1oO7k0?FR4 znhp_-X2Y(giz#l;8n!4e-q7xLF?Z^{1*_VFz9_Ma&dHRkdSWlWO;K@ef>?k;kF#D9 zpJnMq@2{5P;&Th$o#8(<aq3Ic#b?f&J-i$p;+vePY5HZ~yoOtBFXC(zuH7(KO0IZ6 zIcWdNr`L{7DVKK=2uL+<ys>CTlj!=pUa9Bfr{$dYE4h&2eVj*-(`ACJRetv7Y6UBX zTK+lJIty05TyLhmIVEwe+Zn&(RqLi%m;a1o_!6PTtftw2Hs4?JUwNS1)0?py)+B1X z_)qGZw>o5PdaTks>04_eh2EtL*;dN@Z@F8XqRzAZY>WI{_XE8BTn}IViV2=B{Zsh& zilsIQymRmQtm|*w`QluU>=AKIrfG@W6=r>G%_`O^eG|`bc3|DSw4+RVFHT)8{3-iA zl((Gu*5+TEWZi^!JgUuQIJDEqTWlS_%dJ;Q8oAdq@A7>4`tn4Q`hMTfJGf+61QrJ# z3EywwI%`Hw+d12(XM2q+kKWuR^Kiv=<0Gf0NwGHb1V2f+-}-0A?K28T)bx_dHG}NU zzD?p<o_Y0Usisjq^Mw05+63DKJztzzxyOFHWYDw|XBi#ya+jFLht^FK{g_uEdyel& zBSWxEyY|xb->)T?2y8iXtbTLA!sHm$ov|txUK~C>caAf|$2amT{qvOhU#|R~Y}uB) zR{i=uk%QrW>{mBfypeXV+LiE7FQ>+nFUdo^DR9#49*2T8Osy+>)){f_Ugfeki!Jet zYT3a>B4Vaazf6vcU%&VL#9gWT6Te<r7kbDlzTai?x}<G;_Vr|UXQypaS#Ug3Z2`-M zckfyLi#@IVd#O9-L)9OfN4;JfKc=U>J@VBm?0|`#;i9}uz06>xvb?FWGmdKfIDLs_ z=FEs|=M`24n@FzXzw2)sWN>ZO;akglBg#(fyyU|x;3zVSMUrW9z}y8=`z9H(%<ouh z<u{jst<rSkx6b^1ny1b-y*6-a3<^KATO^ESpGs24BysuVNpU+%R$gg-U6<kW_5+Kg zQ2vgqn=-{eom(XTfP3GjLPnDd8Xrn#b1=ns-VplyQ|3MEre8`)7vE^io+Z$BTB-cp zM#Y2Whc$gK9OCC)^I4i#q~va?zLA6Tq+R9gma_~Wt@~8jwAZfU;Qf{li6K*Wo{^Zk z{11=Nf)%SBJUR7OfBbW8=N0SPvi3UTExW!S<Q3TAdFU>i!^BJt?X5hjy#Mc3t>)Y* zd8y&~IYtrpyluxtEnEIozCH2wW+-o+#)7nTZLy8rd?DE@bzGM)RAxLoP@bjTyyQFo zw%(ry4zCNH!?dgFoy1OWj~UKuR%>=HEnfB0kni*&1KsnR7BEXq7q{8;bf>ZF;vYL} ztdEFS?wfg{^scW7NBuJQ`>$lb&*T!(bo_mu+x%~B8vFGt$q!f=_ngShT)XPvb;(7u zZz%0BROR4%IESsuFJNa>K8tR^8OgUF*9g135!OAiGK<;KQmRm4ZFhO~qwQ1L4}@0O zmT*s7u5h+u+Beq2U4>;%Iuj?IKX-cPhZ621wlA37jXJ#Ma2aUc$~(1AZp-Y>U%3%d z(%O1O!BY31o_&>@&RN=WD7NV8$~Y6r4$JVfy1cQQGU}9O&RuKrHga11w7BWhBtAOC zJbUmed3*nsb-^O-uWksfm=v}$Y{F`rj`U*+KRGkZSDz9(YMc;t<mQ|^;-Q-yoclLV z(_yzN6s>+WS6EQz!W-fAjZwX=J6Rsjco<l~*eZ20q~+`8^@7PET61TzN8X?Hyu|N~ zxZ|RnX?aigh1PC}^1SvXcYk%a)tB=%8<V$PJEi0O^*>YKQqlC^QxyAmzq}oOW#&(- z4*%~V30I_?Hr2kZ`t<SU?5~N3jZS>Y_0C@07!~ufg8T2ycQY+>_cAWZd*K|AeA2q} zdUc>vHQ(aX2TnbSzO(hU=<Rr^<TIzu111#-<e1uRKWys5J41qL(z-<fId@f$AJ<Xh zDY|yiVwYu@+FX`DT@7vDE__{m)Z$%ypHk=5C~m7YfB!enlXq>KHzzzrN;BX}%Y=ly z70(>6>|?Qg@`R&S<Tb<kH4IlSFTFeG#vG2zB{i(R*BAOP)jrg;AwB8lG56Gl=;e;< z(sy_67FqmYAB&%*dPM#O?y}S=4~~afuG^{3QPne3pL3!>SS#a~<Px8$H*-I4uX8C` zd~;^iw(lX4MK5K49DH=I>vGk!EQgph#iuK~`Prtw4g1xgf9s))3B&eOv00ol4AY;B zGe5kul%e2rp782vNApulB4y4BFQ2of!ThiDwEypZ?w-r`a@Falfr;xA|8H}(<ary< z7U=cWXtP|>QY+@BMkhfr20yRFi2J|yPQH@2)MUC~Oi7rmK4+C<eEqG|KP!*By=ARU z??2F+er(SJb@?xc+~4Ov@(a2xS@7)t(er7GoeDmt%JeEO+?tf-zG&6U4kMo58>Z*i zvzO0U8!|becA<P?)Kccj3ulyV>)9{7G&kq*=G?%)kJPUPTygkU7^bhgHDhCKa>d)i zNM6=GGjtj&bT8*EU8(hj%{A9L^uL*7bG(sA%)ZnlqjNPHZ~SuhHUzHBYrSP7;%WT$ zUhSKr)rXibwEpRS>Q=FCk*kAD@#?_;OBEG+o^N$|^rXh^)Q7ANrpD{1GMsW4R=jWe zefI9`9jc5*4Dz$JTdiIdKb)@+Q2j3A_>X9flM4;Qk1e0Tu&qIMog~xOcbCQA9^Wm( zyZXv@bM-&Jw<=C)F|au9DlaRXSaaX_t>_f%qfF;qgyUVd|IWK^qsN>a^f&65{oIYZ zE(%lc+z>t2rP;k=li;HXZ9Ah%ZU3DqyRu|m(#OPB&hkU24z99nJR9nBGSOvLlxU^T z+b-*gukLJBH<eta^Y^~=b488wh8CM|zy0$0A5&|wYroG_qwg;RbAQ#^stQY;ZoG76 zPNdCKrLVDJYc-dxcCS=p$|#sI|6Q5hY%9UEx~~s8tJ_poM)anxJaOcT)K$aDh0pu5 zIqYXLe$HA_EOl_sg^Uc%_2F_oj1Q!kx4c@o{CsY#hKm2upLc%jI^XK_&nWR%C(i}X zpvF$0$1<f0mAUtB_+a0<Ci`7a)12p_KA*U>3?3E5)$(5MmpQj!V!l{v>_?;XR-4wX zTX61^;{FQhM;Vj;N(q!7R90Tv!J0Ask&mqJ)S5;6nN;?FEc(x-u<F*P%L++rGkOEQ zU-B`!-KEC1Pvg8`foPq8y{M9lfUepv(QQFbDmFGRo?z7(tNdcl$=*kWZ`0g<Fx)HF z%AfQ&BSkdzsmrVKxwmIeU1%eDIsLon;xqqV9bX-^BC|_C+9@sgeaQLfr!H#Tk$>-P za`@41md2-gD&nM=*Tn=a%>~+ZR;x@WJ)1LYUc<D@XUtyJHCcFuYv1W{lRR;fd&X`Z ziT{_TpH>az+pzUam?*EbZDIQUt3n34-xpk3Re9+ZTcXB^?nzU{e~FxovU)J(BHy0h z$77cXe)Rux+i8_}acbi)LCIx?HoxS5xE&LoB_oybU1^i<L&G!8WzxNu|0EeT<uwJ` z-?(XQsxkkD)Kum9?*nyWetw(1{D-Rb%fl7T+gWmX<Dbb)jo5IwvHah{@0Y6c=NyYY zp>VL~?Oox(pXqlct{R0I6)vbr@?U(_$8KML@bt-R9LzqgWW2jOu(Rm*o5h#r2b+9( z7qnx;l&=#mER<Qh>_ppIZ}GbwlXfO?+z1fZKJVdtqq@z%e#a{ZJ$3GHd%FDRQSJp@ zR*P<4%kFyrvGLz5(M>IatIQMag>SGQo#Z8ZE#px0_YG}9DW7l7I~(zHdu^@2+L`A) zXZ}yGwcK=WzPJg8>%%oKPBtp}OgFnBwEp!=naZnAJ~D~<FJb$Xa6|E;RuljA;@Iia zr_9<~A<bpYs&IE2!;f9x?>)Jue$Q$Dlh<uKQkH((Ew^7kYUklCJA=IJ*9fk3Wb|N= z^^J}VkPdwr`MuC#g>w5cAts5XC*Sp`r&dJsnsNECS^ZgeDD3;|`#b({*-WyJIbeV1 zF28^&XTNEh_`~ox?XzcCFEVoQ=>OZ&cx`L)`=>vh$}_~L)KpG9x!%R2_vo!lcUba- zJhrQ9#ajGbD9Ld{?fB|zjvJp#swn-qyHZrsGAD>v?D_kH2Ky_`vv(>nB_-YLkMuow zGpOdV{kn;#CvR5m5p?v}!4TD%mcGqpaZWSCedmy$SGoR7??`1`dh+Mb$7ciAys|yw z@8Z_$7&vFaE3RiI53)AxD4Lk<qshzm)a)=nm(w1D$Vv|D;KMhb@0+rpv;6y|YEKD| z2c?QFt@pyj7ff&dkY&g6bfxYi-RR{8?uz1x_xAK;{&cbWRrBk9yWPdj$FDiX_eL44 z+U%15=!7(ffMtHipSJSg>ks9pYM+c^F8jpvkn?<&TKOWE-az*7hUPEs3OX!y=I?n^ z-Z*@Huz${`d;c?!$xeNBdiQDb;~B@4cU<{<!}pMirNOn_KeunCG8PzoIO-X<;XDhg zMQv}4xzyI~1RH~SbDWOVl@%CfU-(q6Eh(yc%kh!Tr?R=mAyKOo7c*P-UJITcAU)4K z=i+zohR+%Ns@mJ0pJQ=Ve9^tRUDf8qb;Gak&;0RWz93tE`AhLuP1S3bEy0dT%gV!> zcc^k0v>g^<+g-t7C8Txi4QotpklnQmk7FBT^PcMcnO)Iu@K0;a{-D|O_Y~WRT|WBC zMrw6_wSiz@_(7fRvz9BZx3x*+Sf@8%OfPu0)6z$O<GxAPcAZXb*yCBwF_rVM?RWJ# zDsFEC^hHja1-*Q*?+0_rK4<4wi)^cTBB~aanhETh%3>j^w&?lfM)N6opCVMXYZ^7H zA182d#hZUINO&%s9@@}oY_NGvgU07Bv0dN)i5z~sq>V94*WmK&-0W9uQ)Mp8`G>9A zxOqX-0{Lo9=ZbUtIa_+|AKFN-n#e!poMWupLETf*r=P9Av(qC@s^3FJ;YWdA%gf7w zo4w{%rD$i0I=G8psn9um^~JpP6+6#%E1mwmRQo|hnZI0-+o}orv(NpgSJpZhSK?r- znp3d-$i25|Hsvhr!nOV0IUg@C?fqSFp-apzL*Z=C#>Gzidm^i^h-$F^+{GSYwr+XC zcGp+GFFZ)=`s>11bN;Tu7aa+1zl7)0g@TqhGQ~_u3GLxL(t3#T?-dWDO|GY|&aRDh zyLB%_x_0~2#V%@}m0myAi5LAn_h9BLgAM_OjDkrg9E6v@v6;0y`1%rI-HBz{-2YEi zh0VJmvd{WldY8%7qLe9yPtTRw%6Lz^+b#Z(X^r2^MJ};XkMw<?`~Kj3m?dI#>exj~ zu0I7@&VCbOxL<fkvVTu`yED#F&_b|wtF4@V<eZY&?TeKYYFj353Mj~9&k7gjV>+#L zYW}R7^VaHYN_pY$UwdkMo%OzpuO?kxG@&VVPEOgR>ABI<?s=+ecuN1~Wtq|BSQC)z z^{m1y-OnlWT6Fp8Gpo!eZgF>4mSLKDOw>rbbjRx-ZHvMipGX8Z_=KMMa3OJ$!<S`; zBH1&wUX^D4=4LuSd6(AILvG#cFInBFKXLJq^Nk(5#7(Ccbw6Cc)@R{z<F>!{iGO88 zgXU<q3zQx+Pu-Ft-Ozm_kIRPdt$o$s^LrRhltwBf8mZq}^P|M_62mq}Mj`Dhf2=vC zWX#}nTH&aiZLmf9_x5Xcf!~%KOz6KQ<UHB*?YHf<-@k?EPu6itT;v}0I&9XqrxsR$ zI}(H3>~Bh+=sERXi!bcz<hIhVpRR^)dn^n5QWJ{Q>k67@-+Z6-a(eUWwOdS=Yn0}; z6wQ|Sy7$l=sou5c!_JzRua#3yjX3!_YHGXP<JP2AtZTiSwjTd$ahFBGv*#<H`enb( zm-k-ge0go(lNk=2o%|XiyehB#f1SuRJa5fnReJ9`hj&=tq+PNX1dlDwNZF^h;AWeC zdTrXZBO7dwuPaPDGWBZh&1IGKYo4u9Hoe7ma+Trd6YjE3=kjzj8FtK2NR*8ZZv7ne zCo*-hCHr>coP@1r?jNEhII;~*)lRvX&A#NO=OwhH%B}E2|Noc99lFzd)h-L0zULLV zB^Kv$Snk!Nrj5LuS9r@EXZ!X&*fU|lWf93qVtX6Kq^|X3GTrIpmu-L2&K~}A7RwKh z!UVnd>c)pWBU|Ji9E-A=bZ{OQ$IB&8<~^+y7M*@6@%Fwe73uP~RU`OTx(dx$&1JU! zvqGKJr%;DVhokNs2Zc?W&3pA1*2wkfYus5UA+kbdUDAn98;=xRouxllhTX01U5lUD z3NeM;cvA&&Wu<1XM~k!kl*=O|jf2}}rpPp%y~Jy@H^^z-%E(Rkl%wWvF|duYl3kt} zrG52}>L#(unzMC06EpYxh+a@~_V~FNS7XVrv%(Wos(X07*YeE%Th_B_Qr@IvKX-jI zEyygK|L#AVlI+R~A&y%1XB%^j<f^R-|L`*$e0#xP>*=$}>n(S?eV@a#@0asd#-f~A zbN4U4*z~MV_|etR>HL!(O*;DY&8lp%f9?fAvFxh_-(GIa;?ic@(bV4W_j*^)b=}n| zF?oUK43E1<@J^oYt@YirSD?}~=*9E{=d5{mE8jlY=3vIJWX$3F&;65~t1`!8t<3es zoSUa#(mJ$dhfn-;Mc#z_Z`(>dYL>3!UOi_*{0$M~t*wGad!jkEOR;r0wl%W%O?`Q{ zY1KvLc6VvFZxK^2r_4&O__mq#Oq7#(+M3c6%lxxMlSAgsip$$GCtt+BKlPp9;cCrm zzpef~vzoa<%cPHYeb3E5B8G(@8@R8h-!?hzrzbE^(8coEsRezOYY(4spDf9#m-Wjn zbLzpsd0&36ZnAo$8g6f(Ul^i&T1ao#T8TIF4)xTkui)`rb?igzqNyr^zg{YpZr<N- z?tR|W?DdJV&{ak1237~JhlDg&^@t1YZFTAD*u6@YC(XdoGDa;{s!LR>O{98i;gs;+ zg%yT=o8qoNn&-GKJaU0WjVyc2F3t@Z3&ITV@a6j5X83b})qv^hku>F*&zF4fS^8ID z-rg%MbABr7rnGoOrOHZQG78MRb@X{p7mx2|@2QKM46eE@S+cyp*q*m#N~vTd!`zK; z=biEUvg%P$zM+BKiJatCQSKjUE%E#J@2+Ip->1o~=u($D`|VoZX@Yh){1`G<OCOjN z+V8EPdh@L@>&2H=|G&M+ece27%b|1cw#dKy*>0C;lhhH)^QKMsv8xQ{+^Lqo8|+{9 z^=&-<>CD6(%2#SFZ&o}{mrIIYo%rbQ)Td|PXlC2UMW0tUak0+a{>n?^@lVzpi4Eeh zQxbkQ7oXvk)-L_|Lb&VGK_(^haQ5z-rI$qA_E$&qH5o6v{!4g+rdPYzce`5>mzGHB zcpcuPXZ4~qb>7sTDUlZ@rn%0&xWnoFTGid3djs4*FAG%KamFy?!6&;V%T|{6a?P=m z7y5na>OH?s=Vzggp0cYa+a+yg^__6?=>FqRW?bOY7xz%G<T<l6WR_7$W>Kpb$Bl)e z5h8KxRQ8o#n^0XHIFG$zVxn4lPW;biJF^$N&mAynT4h(vXs$Cm_DNye^&X#%{y`GE z=Imc{HJ3A?mibfhyk6Z3DPM-PJrYmvY;%2ibMhId#In>Q&-Opx^E|b9d0^a<f2XHk zotWk!_W0;e0l)LE6AM2*Y3h9EDmy>WR9^DcsYm}FZj%=2)Y{7WL{2m9bK22`ulMl^ z6)??V-^>5z>XxU=nr8Csp0}g*_a(X2XC^NAeX=HIy`+-f^@@vK|JQBI<l^W&SGl3) z+&+7ia|@yxwwit2cVOG6d5P<%-&mWvSuMfM@7%oqrW@10cHPOEeNaAG;DhR?t(^CN zJUK66Be*bYqjtf=sfi`?RVTffaE6DUcXw-idB~iD27ijwzAV@nVSe=NVV<qC{>-~S zF)E-pr+Gt2fcxC{Sxk*mr5~CF=iD@IlL!%8s>yy~(!FcP6COUwjcm|h|MbQ#^S6!u z9hvuvUrsp%%utrhO1|(*JH4)agY!cky~6SW{~JlWj-|<7j$c)~{o(S@{723Q$L^n+ zl6pGjR(1N<lVTi~7QH@qv-kQPM$`SQ3(LPRmE@LKz`Si^!$dxZrZW9^&koOdlq*=n zJTXDkfTz;WH8Z|SO=9=w`uPrCE5wxk-iYW9wg0Gcw$&-^VW_w%&))Vwv(j>}e&{Z( zSi<A`e)9>7Zu6CQ9iDvRNvLoAdP=J=!*|mhCg}rb{(CKJ+Pk|%H2-zDLh-K^ekm7H z^jH7Rz4G6d&uT*b`I_RhRtGO{oqg&i|KvO!<5QD&%znK8+4%sgxX0#I1?7g7=XFaO zudvj#E3g~9mHAh5;nMci7x;6fuARUAqw@b6rc?VSw|%X=zv0|I{<o*DEB_N_$~aIP zdAd$vAJ<avSh><^AzTK(E}V@ybJU1~M<d$ne7P$pA77g2j#sa=RSsHz*5C@7qqe@N zto)n>Kil7p9}=3+nQri2bi+II_q_S9k9@rTFmRq-T(VB>n)c%_Vkg<9m~Ol+d^05} z#Kw*3pR-xW^u~+ETq_&?25kG57_-7#3ESo8X31s-b*4w}hbttU^NL+(_bsdGPhi;5 zDN;vP)n%J7Sf7))t9P_@-%6K)`LCL;-PrX?o9mJC+3UNTRMzY3ee*w4c%gl!yNBn2 z&L(l*Y?ZA)g|saV;=lhaKK7=Lr7ufyf6(=3JnN443x~a${9NbC;?-I~+XF@KF6qk% zh_ts`ut=7%>DR<>LdkbL96oXK@%{d`nrDxKfw1g_B~p?h?GvTBHoc9RCRd_+`{AE5 zaoqr7$Gb$l|oVszq+{^nL}P=D3Tb+;m5oBXrOHpOe3w|C8(``C`#C1)Xb(H^6D zl@9Ax9&=S*zVnyhj1t9+lXXmg!qf5`l6aQi5D>b?y!!NywR`&x-<owYZ(3t#_`|4G z^(@a!X3tsdV|M7Sc;$x8Ty{2d3=@MZ|7W-xS?sR;`eM?wC*_H)z5ai4xsO!@OL@5L zm)k76<ow>Ys&f+?#OElQZk9g~9zQ|Ip1Y1EFzZX|-`*FQTOX|bpR)35OU-eWb=Q}A zoL)Y)jLUHG1p69>vbAxiUPzrXbdKG>XZ5|HfEC;Cgk8({wKPKg_|;7h1v1YU=eOE) zy=0J<dp;+LW7hhw>o&54Ek0=?SM`v+;pp`z=d)D!7InvR@kGvll_jj2ad=92t@|Qd z4b@k(JFKpmJIql(7AdC5z3wfi?S_B(x6^n8MXnvod?VzWQ*Pt=`25nd+@ggSs;0j> zmfKh56tm*qVU}ff=^QuL)|zrhwf}7H|8**2^8vSo_NNWQc;?)@t#iXRQmA2NRm-w~ zDIV+od8S3JD|>xpy|<44#(n>Sl3(t#Zk)N>b@R-Y6h8hF`nxwd%01zbO_AGkDIxyd z<=LW<DMl}J_-19kV^n_8@Pso%rEhcM+pq(H+dpM98an+bYHxgdpiF&t{N0|gGt6&Q z6()(Cd)O^ksWbb{`vq6G7Nu8CU&gZ9NhxlH$0ePJYln=_Nu+gzPUm@@IH^`}j&8)g zgNeGgUVP!6%HDlW_`SlG?JePzX$RDKt*a+3`h0{rZ6SZg|GYyVKkZ$Y7IyBe+ZDd_ z$Tg1~D-|Vj-nV+F=e_u68fzWW(*3DnflTlc26l%Z`x#H!-N-9<xaRxR$v^woi%nYc zb^^b|0uJyy`zrspTXKI_fp~1xY6U0ei%rJ!reuFStDAJ-l<)lM6>kJ%swKi=S{cip zy!~L-KPQzfLEE7#CvQhCC!hYDNsdmu>N^4*rfhL}@3JDl#z<Y$jQ@cz)8=&(+Kwnp zw-8|M{e5wZ+QUooZ~v8))J$5bV%2^wYOO#`y4s|bx_=f6*G%R&EIW4c+=EqXx^85= zwH0&xzwPH)HGA8Ic>yeExlLd8`y@!N?u}Fte)QmBEq6`AbLZU4dqnE*aXt}0^IH3W zsa(8?-ppqkKi*DnlSqimN}0_z<JH~#s4MB4Ek67G{9kC~RIsmAgn8i~nN8c5#Hl*` z-Ct1n^HS=|IT8M00Xz7Ue;VhlZ#ond5bBn8S=Qbt?7PKE1$!B_;O|G?<^+gsowItr zQv$n*AdmZ*0_I+Zhc8z-*~u<b37Ina!Jg~s-X;EA?2{drhb?-tKj`Nn6XOl*_dg3Y zUXyyq{N9tvv(#@b>y-cLx9}-@^XYDL+4r*ze{5T$S7XZkQGHRFgLTMU<|lrB-|k*i zIe61ij^pUA3#}s098W|$FIyG1D@8!;$OMTOzU@wnYIc-N3^{V`!HIPrjcwQEFg*}m z$8VIt@aRGN-oRd|XIF23n{;$jQLob80{#urlOpH0R(r0zs(#~Z=A0EP?#g&s*u-V= z6=quBS<+eY<MRY3XZb10UPgBtf=}x*t=8o<Uu5@4r#<4!vWuPN*Xu2|x^yj!{&W2A zdCoJhW2Krue%mx{&tt~-f=n)(j6F{6+_<Mv?B4a~;Rj3la-Z*c`ze5F_QthyI9JTK z)A8Kubotcwc^36|lf1vS3(v|}$awj&gwbl%j4#{U_|MEb(xlDn_q5=I;5>$fpTx`7 z$M3rHZpJj}#52F8RT;Eq)jj@KU&e7{{`VgVq7nt#IY#a$JwKj$Gr_!Pmg~F4FE_k= zmztG$uyCfg>xA`pMbm!uPui9g@ZHIKiOJ&EKbogFpI!Z6`jb8vAO1CZT#fr#KW=2- zI`8#6X;~}d(^DhQC$HE3EAnr8UiQ17Q(yPznNRPCKg{bbaUnkZ)wBD_TN3^ru5~VK z3j4G;XZa7lFPFaPq$k^63E6qt_+;Sr+Un&DpOgx6)BCJuoJ?97@hM?v!@^rOm0S#O z88+WN`1FeT*UJX864ra&K3-w|bMmIRjhBuwtvI>F#XWS--EywkbC;g5=w}mDTE4XD z@QF~L|Lj89f`&O7y9E`FZn+nhFjcusKOw4gn#xACNZ~r?)QXRS8FK>qCr`UGb6%5d ze~^IYcdbGt8KDjh&*pnh2{{+J!m@cv&U}dutNB>y_J)apvp(r`KYJhV?iv14-g5=7 za4zpu>j?ely|z8Q|N8Y;=l?{XQrz_*rQw4Ce`2}QLMKy(1=2;5%_)1%Wvg2Xr&vo) z{oe4m_)G(X;8D>9nva@H)=T$WH%qV|>N|07+O2JRZ$Dg{|Fd)($Nz>63Ev~{S_S9a zYLktfc&&1N=(kv<mF?!&9g36<?XGJ$C5PVM`TF;BxhdD=%Q#Z4BCf`YUYWO1)9KZ^ zg8RoSQx_jUwb!%$>Y=c;oz6L-X-{@|U!DF`Qe=+Rxq|bz_UzlgeWS?J8J~XFhVMuV z-SFW>MdCJ=OPbE+P0NqSYnjIJ2gUF6oNzgn#l2;<y<+r-r@y==UQnvx+8d>0v95$u zTl=i?Ij52@*B)xw6mQb}_<Ku1)&H*4fcoRy!nF&JJ<>3Iqa;%+a`n~XDW!^<zi(an z9V;&+T{lfRTkY8z*8~1m(#swl{C;_rgsSzU7yUa;=KHaqYB*}j(C~1z(Ng~e&WDO$ zezxyQzrCj^vAOYu@tYkTJJ<C_KApW((NE~u-VNVmcLWHXY4_dccuCnfR;6WUh4kq& z%XZ#&(c1d^1LwTUn}jWVCW}r`(p_=0+5Iv9QwfQ?OivEaRXKbhbh~|fPIg@E5Bo1K zR#hxrs<7PtXh_`7GYa`ka<6u+U0f5x+hpJ&&e=C-{o!Y?Z{<8V=4tf&)#Ljjx1#cj z3-+EBTjl4s;09k7drq$*)3M~Y+t__XV~>6H%h>L~YsWURuR-Xkrpf6STyJm1EH?gc z74&?f^zNA^FVv^cet6tz&ax@nHfv0CPq`8t-`aX?%bdc-KG&OHzpvS#@@xKdiAEvs zx;q#2BXxsL-K$&uG+_b%u4yfP0+lQ-OE<V^OYYa=-ZEti+hdt>sn-Wyo$(iU|L}Rw zHhz<pOROrij9knW-Yx0vT*x8(e8=CPUmMClCA`+DTYihFZFf`(U*%PS!|wIX9p6}D z7R64Jk8ufjE92T-(5Z4`Z|bkZxrf`{>O>puxlt!)_CM~NFCTaI^N4NBxD3MY8&`kM zzhM&E*4r28=J|WGzRCfn%x9JvtPcH`o=r70n0jvJ%I~}PqzAvfwsk5))t2)y-S>_6 zt|<<2nSEr=9q~8e#$rrW@t*|KM7GS5cz4l7Vw1!~<C4HTv2&8tPFgJ}+q$p!_vFVK zhFjX&3pJHjod0{kr`U+g`PkP-_Dd^^XD#`5cBbsgdy4b)R;$I|K5o}>Nqs>p`&=vQ zNpts{S$xCf^{bx`_8)MuxuExbE=S_g%g$B(Z<(FX1^4K_X9*}}IFv5ZCv0JQz>~4g zXUpC&v2_fJ&MQ|m@%hBu+t8|TK~cRtiATz2Lh=u{JNj$>tdO-i&v0_u`WMTR6gD1W zfBWs$q2T4KKkuK|s@)Ql&ewM3#b+tO15NHfr)^!x9P@IEDElj(eHk~N@8&hKz8|%{ zDqNPS|N8B{vG1bgy#BL=Y_7emv{v#)!y>Moe7yZtFTd&?4}P^hO;1f$Ec$5gT7ezi zlUevzBs;vx3gI^~Fuh@~Y0D^e!CYz6oNWhYUpvQBI){H-)EX(~3o=aRPx)k21NN<a z!sp9oxcT$k`>pj+*M*lq{45si7P9{Muc!O3U(OGICbZ*}U+?A>FV?k{OiJJ8by?Hd zbuNpjPWjT6y5>Gf-ciwu9v=-YIM!}<M{K*$sz_&t1dI1PGPW~y&&8MooLa^9a~qF_ z;r!`tXDwTOYRXb_`YdWna$aR-Zu!A?+M}Q%#k#W~xklmg9={dB$D)~c%nYln3BDKm zzkTyX9<F^MQxcw?%KfKi-nqQ{<C5*JNA{=He992zayaOd7X40lxxm^9Dt-EEi#M~J z{wZNllW{+HDW_6n*qnveM9bt1MJ@z?`N;TxQOI!?t^`r{`lH8n+&0bg`d9SBCTk8y zt>gKNZqi~8*-RNk_HLh>uJ}BqeeQ0)>W>Cuvz96RdaZmU?$@Ue%@N9f>gA%vXX`zR ztZ;ifDRA>b+YZkB*(vvrERHY;xHR$S()nBEgLdQ;NACRiWI=<K`oVe@Gihc9w{Z18 z+3bn`|C>)Wv-?r6|NUO@x|6JnbxeN<9ZJ<yJHz_&wEgwP9x4e9l^S!e1T^n9t4R0n z+?NoiEE2r3xhtPN%9p2fqq@XvU-8|di!EFutxjHD78|O*^SsQ?CrQ`(io_r1yQiEv zzkJ6VmJ9{OwKnQbcYj7FuiLyrewDl5uY<J(SFC03CY7C=d*IaD7oBe;`j41Q+8|!` zOKh1HOZvUvndeG77{0sA+^_QSz_0TU<7(9oF;{hUUw!rQO_!8Tw|S|C&a>81&+{V3 zd>_}&f9DzH?8VCW)|2y-@NwQnsZX*Wls;8!I_0?Ee#Mk^DSA7MX1RuJ*|2|y_(R^H zOLpP2U!2!_zm*|oUFn^t)-zP~rm-qG$FOXRtSl?nIWNy6JBcr0@>#wMy?ZBrc=G9% z;>0PtKK`536L0n|o@-Hip>FdA0grH{377My-?A#-anfkjs@ePM@4bq7C3q!no)P<< zqseF5l`EF)KF*S~=Bq$+^Igr0LN8y;SnegwxX<4$J96QQc~W5#kC)FXGxUmRh+Uu< zHg}1`ZEeSGw^ps-@S7GSIK$!QdA=f_OKWDz?}}fQGVzt^l%G?0i~Ji4)EunL&+a?2 zcDvcXJ-p{^56f%TF|CVF_7ZA{7MawM=ghb=fj4rBYG}!Zt5aU7rpBISYqz_&!t}cA zLdAS9j>JIa(-C4PmR8kERZMv8kX^NcS$%Qko5w!Vy50VlON{5b8~A$tGwS1fp%<Hd z#B~3B$<+S9s>&>p9_ttNy$^pgsoMM#`E>vMTu+zZ_PY)qx_9~rOQfRYCFS#+HR3!g z1mZqj|JHZb*sGDFPQhivp{C^rJOwu`2-?db{W0a>gybtxdk@U?KNOH*q3|TN*W^X> zp~rvO(##anyfZ&M;_BS~N1IQu!u7cC?)RHlEny88dfIXD9m_c%ezU|_Sr+L<wwkMq zZvH9$_R?ryx#-iteH*8|l@N5Z5jn&n7S-pf;1rp6^$Sb=TfrZUZDx;^?DZQO_t@_I z<d~!L%kJ>9!+Ltx9`6+S`XfBw`$^VR?>!Qlu}l9<KXt_F(}|6Zy?d618Sd=U+wRZ( zpig{zOb2sp=9Qoe?B@2(xtw-W(tMkx3Zi_p43<vWA!RT}`M^8Q{LlJpE873rg>L0< z**4e7yy=j|f>INSn59)b-%cg-y;XkL8*z5G!3o2onVUcUV*b8n=Jm+er>!<tefqYj zz&|fnEw<#<i}1yJ-npDf-(kG*Kf~e()spE!^~%v}=UtE1o~`v>^QH5`_j|gQW`ET% zJofO@qX~ivGxiqF;k@k<>-Lk$^6TvwCw-<HJFYwA?V7Y@O=P=H@~hWrU+(PQeB#FU zC!0E+@OVDz?cl1iDtgbcG(tHd`EH)XZ>z9fTBT2(KfZdN>&GLx!xAn>h5nunl`=f- zYw7bn-t@V1*tcxQvd0^K>iP2LmWeeT->^`*WbqYQcGke8#a`T=vNpPUuBBlUA0+Z8 zoebaZ9$)uVa^AYVamU$&{jMui{kG+lt$r!Xse0Aqj_|>-UW@0xw|e>CzUbZVsA=PB zqug+n^>xI*yYIBGvuhg#zL=o4X2-T<DT#3BH)q^J!;04MJM@ShQ@Wp6^<wr!5w~Z4 z+gD#uwQgJ#ru0C}S!vhN=~pVQpMU*1_UZ4LOu-x4b0&)1tyI)D{k?N#P3u~dJptVb zTOKrSa1!{-;Fh%PpX-mwPuFap{3)ORb^Q$4ON@{0Oh3eliP|nYedDm?r=!Ai7itOJ zc_^FX`u*s~hHEoKcQy;l%=D>EUa{7#_l8)B?k?Z?5{1fVLj#`7|GsgB%yz4jJy!bn z8?u<ME%t2;N$ks;dn3KX$E|X4!xhbxM|W$d>pW>|=Iou(a4k&YgWNI0-1l13t{ylb z6@KnjqT{tMY(Mtwe!{=`=(}2;Ew$EDta7Dg{-?E1D}1%1nb+stmF;0dLbbgzvg?-l zeBZrm`b|gsEzzsGg?R#AE<3q5pfv5<<lc#yOLt9TpDlZK`MIfT?|4|ZESUN8fyZ5e zHJ4vA?YMqo*W({gy?j?mZ+{u#?<}@%vY^`Bi&uSEC(k+m?ypLFwh`yztxNytFtUBR zRq$Y0$6whJr9gp`^`TP==L&3oB&!mz_-Nw<kJZAPH|Ui}3s)=NUE=&ekWuaO!m_w& z5w01Tj;4IaSNTu)Rkuclb?VnWHak8CzS|NWyXonp=f|r4{?^<Odf<P5`Pu79C(5?8 zv*rEuc#wOC`OA#7x7<@%+gEOp)|eI+b7ooGq#eAPGv)Gg{-+h!v1@+TsW|4c$$!GJ ziH(ayQWKqHy+s;p*XM_QoAmQQ<Yi;oS)8B!w-=v2bx(R#@)!311zWydS>Ze3f#v3n z2i{tp&~{1|IC6F7feUe7U9#uo<CZ)*`baeWkP-WJyM?{p9`-_i{yuBZHaoVkz1F&5 zs%8JS4?QAnE=nd6&*J-z7<@I)ijH{@IQ7azPw}ZwS6qCsTW#)K<NTI+_N#Ww?47uE zqRB>wmqpy>>iwRJGA2J&zb~}sXZKep_A=oq4t=REKc4+?d-`#@{Ez=Tj}`2n@i$(C zX?fP4b$_0He}7&w%;ws8qsoZs{UwQ?!%m&zJKz>N^Yu=)4xQ{7n(Ny>xhya#D>Lbg zojs@S%XJeYM@O+YD}A%P_GK64cU(zseRY1}#TBUsL-nkW>^*+-yc9d{KHiKY3s!1H zoOaHydTz)+Iq1R`od@p~xa=pqSTDOXZuZ{K_v7|l`Q+!?adO!<XECLV^A|)|@ow;I z{3gJ)-+!wrTY@%ka%bRuEB^<!TVge&ucuvFyY1M=O_?GQiCg#;&rX`3TEF71H(OAF z|DL~w($Y$6TU%$c%XW3HekHp4<-ap)U+ce=TeNw5<Qhd+yUyeH+LJ%M<P}>VYq4hy zck;2t^Bm&#Pl(j7x7ys}oMdzB?W`z^&C#DPw6NG*o_SNV^x?VIn5V0vqn<dO&gE1% zxw0*HOK8<fwzkMXvDT>5C$spY%sOft_xEk!{k*zL=8I=*$gl4UVoxkq(wdY~^=IyP zH4m5YZ9cixmkdoVnVAJ_pZC2;?e5umEMaG+&N{XI@AM@P7MRJ)Jb3U^Xe0l5GlvTc zU;Og8@>WrqNlN;_nanvV?O|Cwl?TLfR6eFI5^D?<QC|34x~KWvl$Z8dPSSZwwug-Z z&Oh6|XqoO4kGU0R++Q4OZn0Fb%@XhWtgf@ZWtWM-oS&TkEWEDo)?FvocGGAsht;VA z>$^6V?%1>Lx=-I+xu}TJ>!&CFEIr(+C03XvBvG+){(^;09PaEj%j?B<@T<qTI9!-< zq}xj2n3~J$&*%BV`d3x@y0sOgMm7n?D0tn>Gya{d@5kt6|7x3Mx_@eIXTRr#t`<=h z{f5l>0lHb84P{ps6gS3Qh+*ISLp%0yjEvsDjmugV*-R+j@t(g;ePaAl0jVI(-cK)8 z&+mF4@>^itPT{&t%Ws7a_ir7z;d8p=FI#QfxedDRu3Vk^))}g7FEv69rv0k6z3;hb z(QH$m!d+RfBPZ?Go}b6l{Co}bt>t>LDbxL?{R`AcE2wEojF!H|T&aG{wJz%5HqJ|V z6WY9@V_r4Pu&h6EMd0MG>n(BHH>^m}R@0Tw>KER=ivRoc<1ANKIL;EXOaJxe;j4t@ zDkmp5Snj+wK~HZl<E@SQVPBfO?=FkD%64Ge+ke$B+oCu&T+RQmX_~O2gP5Mxw<FAZ z|CQw2IbO$~B>Bg1XOE7TOfI`Ge`DX=6Cc(Js4HAnw#hqlC8(%Dzr)^Y#l^x+W%9Q_ zdfp0fp58Fg`*fe=+;=ysoxVHPhpzT9eShOKd-p-9_R|gyv7vKnrk~jMeyi*6=`N?Z zd{(Sw-{kY)^yHPw&-;(&cPVb~D5!5{dm<zq$bN#+&byRf=!T5#?q;*bbC>Sd{S|$6 zFLn94g{LmpolNHayYRahBilcQtEM0Sz3JMTrC@*L=Y_;W*EFU$a6Yp++tL{Na`kCD zhE>aNM0$$5iL8BBz#uf6W0ml}|CWav+GGy0q_E8NceCoM4ep;ifvt4$LQlPx=U@I7 zur_YF(YRyU0}Yw}cs4O{uGk~|+m`MMS<hBsceXUQ^lRd?zOwwuGw=WXcJy(}gW`f` zHWytrB5v<EA{w>h(6)SW1B==DDQ!#m%ctjT;n%82w0~a8>$|x8+tMG2{{nZvzMk9e z*(Ks~Y;Ewu^Hq9LJ_4U2#9yhbbe$>kGeOcMWz`SaT5s2KVcwHhTjki*dp-Lk&u!a( zsCka`s*CqG+&><^Vr5sh1dqG5y_m#8UNehB>q^<LnD1M>f9e07_xVZ`cOD8cmgicn ze7KBFtH7k(dF`LJ)*bPNDxd4ME1PQ1Pd=eJul%XL%+&u)3mw|iDwE@+oi(49={a$z z&5@p&c=%F|-_4&5*GhB4oP~4!9`~eV{(97<I`K}Eze(t>6DM9C_`WFMUxhlajDCjX zmy@zG-aFY(v`)53-El0TcG*1xUhk&@YaaP>2WvFiTo%6j!-F~EgTt)6?S)!A%xigG zm&fJbIrH(!hReCU_rprwJif7<Q~%Gz>(izRgv>L%V*7p8su|w{#N_wfXa2VHUOdmb zA9p$)ENJ`qY;yIS6<>T$vwXhnv2(($iyB+w*(a`Nt1~+$HY?%9-=!L{rWe?@{^qXN zpElP^W3TcH<4@+R*K`;y@mS?~)o+u_7pd6xiHpjG4)r(hzEr-nUA#hd`NxI(7O&p9 zeZB9q=^I3i79HjiU2`#I=Z`F*62qRA>zGy_VxKu>->cK}(-%HWPkP@lp)4SvI56jJ z>A$3`ebW~4uUA`9`#)r|-l=V${(a2VJfd;SY>}x$bh0GZ-p1|!@|2EWzWUehliZbM z*H$XJn7Mqd`S3sa=G#c7rl4#0uFont6wTzkdvcJ0QJ!OWfD_B@^M_yR-<UO(>#Aq5 z?5nwt`}d0X7+M{DvHryUQYjPuApfYaFRt0YE*)f*X*<tU$bXK@Z2xN?C%w7}OY)W+ zs1A)ZSf^fbq<Q*^xy5n*Ki0?QuZ;Y3bvt+F?%zf1>t-cHMjx<v^?9xGHEF>!eI94t zJ^U<oP}$g|;r2pj8<w3tkNBU|fBd^j`j=A4F23FS!xv<1op-UZF)gCO^3K#Lo9ElN zhuZu+edemNRH9<Q?%Jo@lw=AQ8@*RKlg8Y1pyPg%->+?#AD_Q_f6hkV8*|LOG%WmL z`y-4N`aS#Wct6?bbNG#QJ8Vqj_LrSHXsNvTY%yEA(mws}b~8>ECEM;6-3xIHPxyZb zT&i#Q$&y=Ia`&I5=7#x>&L%6ImuEH2Z*)2$zD}WP^-^~J-B~Zs>{!3z?{uS6M{8#+ z&iV8$QsVt((?Sikd#70rpXuU!sAqEf@`if0gyfA6%=Sl3lzG4ShSRlayYH&4>=s@= z<+0X=i>uT{a`#@HD?IB$@A>1b)&5fF`j3cA)%6md(A)dNt~katO+|PwTjy5xLnng+ z4{(Qk{`O@<QeEEpl*UNOo*x{X_0F9Se?$dqZ*oxU`F+bfgYk*nlOjWVLxCwW&9h$~ z=U(&XnS$sOv)Y<fN-}E}$#~`dV4i+iD|3BF{y*yo)rof_7rp$jsAZ=1K~2}UoVOTs z^Z)iUuQIuKJfWRWX&qO|kN&G~p7xkB+O(g&&~tR<ek+#8Q$!My9*X~&yXI{El~?<? zV;{`o&}OuHe|S>b{vQH+Ce<1kPbi!pxc>RO^GT|F&0GCk&qb7f|MN|K?lnoilhyvV zfB(FFCe1!|>Noj9&Y5NTdfQFkPS>9m5q*k(U81KV+q!1+-}=llN=H85$><K<ckkKr zRCSM#!@Q5(>wT6P_-Xw6xBql<E~7NV66d`3<s2fyOl=EP4t^^*bm;h_^IIOK_!-C> z?A|@KUn*urYN-B=$31ENH{bsKeelK6**A{dSGXd0ko|WkOZ%6ls~Z$Ut6MI%zNk~K zUiD~Afc)kEKHBLwtYQw&Sl*MUEpksY{8+Q)Ted0I{l}EzBR)=k<D?^>Y@DoO{~_XW z@!PZ?g~xB7QDF3UFxoMd;ZEJ%)y_p5qs<ev+jRS0hx6Qb@d!5Bx-;31=dIo{-lx%D zm$|I4UZp2xaA?KV#W$Kv|CLYuG`-#A6SLZm^9^nP9{-tGx!Ig8<mlnDmgx!}KHW=h z6g_1tD(>oCT@dfVYVFar)o<<kCEreNeW5BY5xa1`@1d-HjK3DXXx}nH<$`yU$FBdM zb$<UzxUMy=ZO#6b-?a+P{HyxOc!M!W^Nvh~dSq{6#@~g@-I9&>6xHudJ=4~<u;aeV z1{3*jtcQ5_UrPBL@6lhP-f(sQX;0};wWGCKzh%x&NVzzh^R})si{1qZacA}?9Q)rW z*Ug^#De?4<kXc`Nygq(%cXJnfS}iHQ^ujU5q%hy#uf<m6mLI!VdYR?>RWUvGpgUzN z_6Q#nyLQ*_$$z`3`zC3Bn)BQ*$c7vKu+f}$jxVtNpPNTbHh-|oii<MRuVd~@3a0N= zKE*#<zB2Nj*u3crJLWAtqaUr_{Nu~T?JO)GK56Jz++XOnTSZKoTflQ+mu&atzrBB! zt+rXQ<l9H~6aiy{U=JaV;I|iotGcA`7r!|6XV;^TT@rzt`P#mJ*uLiUgKzf*53~J` zUCMr^MKmw%r>|C)!|CV_p4od^SKPi?>vdvwq2c$VX+nR}_hdeNoBr|K7vZ=M5woRi zLUNm@tWl3<o%D4>#aZt4`svTvH=JE$#m=+v_lt*}0;jpsvNMe56`x!(L(lqA!>NUn z(z2PCJT5d1-Mww!!z+IJTJb;Eu`|Wz@}xKfPx@3^bam2~)S{^9@;B8L*B39_#BH+U zkV)!|(y-SBr_XD-ublJ${n9Hg-I~iq75=SX^m4(prOC_RUB7auWSf5FsgK&N=8x-a zJD-XiS<a_fTX?oV#L`vFfT8oXcH~y8klzy|yrRD`+dZvW`ODHrmt$|<-2(lS8E;uk zr$yLT&OQ6qat*8J(#35*e`xAg-DFCgbUFRd3}GYg9*$1wh*w!b@3dxlbG`g5;j)2u z&04M?hfMDMN<ZSo-GmQYbIoqZeZ2C<>{n(t{;_QP)Lkx-blYO4`J~t_Ng_`ZV}4A2 zdFfa6i$u9@9Ut{SQ|gw+$?m`ZZ$m_ua^$SQHJ<-&l<?1aGUKF}p!L-gPEm_qu739L z(SCil_D+}ShvJer!uA+(PrV>{%z1xbQoE<CeWj(*$HN)X$+!Rb&wo=|dGTbS-pT13 z1NZAZ`||SUq~m%U7v#PUy>Ri#%C&NWhO?dKvdBI^ao|pa^{m1<%U5nH%55lV&Pkg1 z;)*C&itv{{)uum_bV_A+9$VMF_tY&WOIfdP2bTT+dsgCqgazk*CNUQ_<)a$2nwgXH z1Q-u0#&XF2njJdf`<_EhG0h)z^kU}g2E1ImBeUv_lI=UEQ@oy1QmWEZ(na2Vlrj1H z_d@#7-ztX1vDp@T&pbKOd#2sz;ik}8YdMmZiPmM9sk2!J<y~KSEbE5G^k=76^KYG9 zSsCOsUCY({a?SbP4z&Y|HEP7IN|kvwZ*I5ih<#BM^(@QTcPht%h?u48EqQ$|`dm9* z`|WJ`if?Sz)&7@@|NeGb>S0xJ-0{hu((K?eQAz$AId#X`ncY<9Pjg`nuT5N{b;V%r zf!o|0ibFmz%wL`qQo=ob@r`tjC1+PPNa;-QNKKo=TXX2M?@f*bza^$7C3|v~?&R1t z?U%_x?#=(bJpZ0@)YO0SRQMC4La@U%yOrrT&Fuwap69Jwn$4&vzOQMkRL)nqItjZg z4~)&GbH@D0&=S}w8k?nKzUY~$;>r1|j^4jir>ZdF$@^;pTiGMBRL%6By@+e}T6V2k z>%~r9^OEDMpD1l=*7w`Z>bL5Zl|agc1D*oL&RzGn8GpTaLn}sH<=I_U+l>+oVhPXW zlq7fA_-J&6nDBqFUjNF?R6*I=`L*4`Z!a&+RrxQ%d%P`xMOWck--)s;E4jy-%v&B^ zdsgyHc-7CqC2M|n1zc5AJaQ@Hy-!f+yC2D-FV?<Jv^gKQSv6XA(xmiOX}wAMHNG+D zv;G!s3DEvkS31>u@hxEw1wF3=N%u3RwN#&C+f}`5Rny^J_qWPin)8E|him7al2TV& z%P^&vwTmv@PI|SmcKr-)`^<*g<@wJ;wqKZ~6xOKu_PeFLJI9=S?*yy4{~3%L1B0Ar z&1n-X+aHy3%g%3^y%b-O{_og8->Exp>`eZm{NZ_o=|SDomw%-A{wVXXUH9U})W@ZF zSH3M`kAAUdrhuV?z~!sc#g}h2=UyeoxUKODFK=namKksPszYB($ga3`b;XIhf8Ia) zmFvYfyEr!E|M_<n!7NA2%5M}1m{so3-?mfz)`gc&2Tz<AS9|*A-d`!P_kVsK>z~?I z$|`c?&DFE}c7-a2_D?lA;PUg;6=lVDcg?=~mCs9$bbTPM`QIqJ?silei+a`N@NE{a zBR8oxPP`xQ@t9queN}LA>vd;6Cy~;gke(oA4tXnySsZKc_MSh!`u|Z~AHTHi<;%aX zeq`CMb@^x3ulrqPkIJ@mYrNH0b+~mT@wW}rsg}LIcAxSd-3;r!xKe1XVTrKH42fs# z*H3phr>`~R6n0Cz-8?a~xA7&fXwHh4)>{_vbbLIqX8u}saS`$UZ_Djg{_1`dRKdb1 z+8i#nzC>$p*Zwc-?|0c`9GSkUW}Bl#or&|8Gn3vd+LaxDYNA>h6SwVyLlcUk@Bezh zVjIVPXhN&?t9M?}yZtxKd%bOHScU&%0oV6c+hb4HUzxRI`l8SU0Ze!L!%M<GF<dd< zw}&TMdftXLOE#26X6<BE+!U{#eI=S}&&u-}d!4(}E{5scmaw+GZYvxXeScx-)2U6b zUp^7feI|c-M?X7vV(h9<vL88jxFm8FI*RRL*V|~b=-=~(f2Z#4leBw&(J9wPruAK? z{m<$!1s*dur45<3jviIQd>Q*4Pm74}n5&)h|HF*5t@ppOa`EVC^i};#v-Otmj45At zYNf`uMmA3VOSa$Dx$h=Vb6qu4WpU2}iy2yZb~7(^+&LY*%wCJXV8-!uwwBqdkF*|G zKH8kJ@{prf{nwsd_JYeq{;m5Zrs<ese$+L7qk|%AbXYW>-d%qk7q2JB&1T<RTc5u% z`kIdA8^7j_i!EHL?rQlao>kfw&GB*R&$@VSex;lq^`vJvZW}LqEh@Wj-C^sUUR!R? z7Qa1(N%N_U>+6t}=4tC2f_|S^eBR{{o7%D4=O!<haYgx<VNmn@#o7nE9fa@d3amft zXnJGb2BoKs!F6?;(poPsShq|-@3$>i0l$f!#%9Tz?W@_NRIa@gX4LCG*cK`{;Tfx9 z#SJ58zVKrwm&RJ~_GR~Nj^#b5yHAnjX78m5txvn2bp_n--58p@ag~dFu&Bv5)qe)f zilOTs2);b;cJ|i!TbKNgeJ|nd?t7lQ|J$u?`#-y7Ya83;HEiW$Q(DOWYun=e{j*N& zTj+o8-DkVPh3&`0rm^@=dpgh6Bx>#7?|c7ldw4DG>?GlWpansbSowrDxS7>m>dAUo zzL9T-t)_Dz%l_PEL-FQoo6<Ll?n+nJs&uVo5Ph0$vtz}nH#emf56}L~`BCW4yqsAA zrOk^rWG?!aRyob`tXAXqAL4o!1J`I*v(_H=5KQ_ur(;=g>qGvU)MK3H2@;P*g06T; zI0gi_&z?Q!-jt9|5vBYB(;s?H|2v+p<i7X&;p2%>$sB1M|Cc;qT_s~Jk(!m0J>}}f z|JT1wjQ#4_ebK6*z<+htvGkcSJl6MRyWjm=z2>4!rl8dU8P=W0OY@_D-|?%vVVKJj z%r>b#QJ{I5Nf7TEdoS~wGjbNx_-u{66DzDR<xc6ki@VlM>+Vl@cV=GY)e|+nsp5IL z)&H)%h`;9ff7P%0{Zo`CywG{Tl<B%j#XRSy(0jjm$LjmDn&Z{CA8D2-S-Vp5(Erl` zo7SJ$o~b0l9(8Q18;e=0iNU#JTYhLHMxHxpvB*D__xADE{a&0xuP^QWa!fw{+0OvE zmo5ES)=$m8{g#$mRN_%vbaGSk%Yr>h`l)_AGq~CQvT6IssK_Wzmy+RaSKB>3`Zf2* zo8G*ixGsh!%>H}5Bzno5X##xfe_foYzwqy`Wkx2aIkv8v6gICZqBg~9a@G=?huZ=+ zo%r~&X`b!RC^hAhg}-+`f6@Q=hN|qjVqUJPqM2m}8YY@QtxEBhTyX1<b@1|=m&*-o zu9Oz;XY9YxZ5YJBG2M64^7Fp~-X=UeR=Buo%Jf~#=S<fXT>c;`a_;}$?Z?}vStNWB z_%zAnhh%?JZ+X>x=M7gHH!<w}aaUhIttWcXBH5F>jOHxgD!NAZM5gGxf|Rsq>*b5A zRjvqH<<4@Cb(mv!EwQJvq{{F@*OH?56{|(3c*(~9_>?ormOuRd#)*mdd|tgw(Q|FB zdpc#o5^LRE3FQW-*gx4zO#gA;c6Ed4<SyPR)05p}wC78m6Q6OuJF<RORgj+Yw8^Yr zPfanKCh;%umHiGYU3T4j@@;=IHZgJ>wOO8i)bByK{ZFASom1D$nKf&=!RPwQfSJA9 z(hg33mTkw+9QwjoG4^q({>Dp%A3uJx-E-r(d&sAoTB%bXi7(>4@xdtepu5t>b?N*9 zcFbCzc3c$L|J3YFjoZSeKPg3Orr+;dieI0z@I+vx(7_p9!uPLUV129*;d)cF<50fD zw8WlQouTXuR}aWs<K?+n)VV$IK=t2u4B<L^lo(S*jEW}BdAn6VX4=*X#zy;=mbNbp zTIsj0YPPgOg&_a514kaLyniR=*`oD<7r1rTB^ZXr73|s5vdAz~`LvPijhYn`HN4hI zt+}E-?YqdslZxN7We#s+`g+u^>caQ*+QnJFQu2F`y_&{WIB7?NRl8h((WKIxbus3K zOO~&0n<&-x{M(Aa@O5p{X?%Py(vnTL%$TWtBI<wZ!%1?=+r2y{G)w!;-jyx7W6k69 z4@AD@)dei)z5eRJDiMdM_tLqSG)q1lFS{$gtR?N}fxTUc$$cl5$*<jK-@>z4w`Gr@ zr%K-OHC5-6mwVM*VBWe~xT@lA=Uc1zJSFkBGvhqBUoV%6jgxq#7RR>v9_LR*-Ua-> zw#j_RD3RNcCmW+#^m6ATJ%)<^7Z2P|SezCt8yfm!RcQfd?vI^6^%h06ZAf6STwl(@ zx+Y$7`{Mf&A96T<_$4;HTQAX4ds_Yo<HJj-dvjS|<T7uM4)a@E_TpfF@7=J=S>@iV z-^}b>^Wg5Oq7&!twYr5atE=N((4Z8n{r9YL#WpcB^<9sc_P<{DZ2GmAA^P`c1q!>^ z-u!C*qjY+t<ud8B@g7l^!tEa`)E#&I9+SmsXJj#B?WybA_*$dd#BSbxn)sz_@7g+n z^jza#2duO5OrEdwHnQb!718+_Sett+=*gme9O+fLXZB?7e=PdhIkj}N)Or=C`CBwE z-z;!^+?%2G=(KJ{+Sl*Dx#d}meHg{}oUD8w^Yr}nCEE8t<^)Cea#Y_dpXnzdY>{w3 z@4etk_gCV<o2N?h#2Q|?VYn}7GkdIW-J*(r7i$hJJNaqGXSYJdc?^GgYbMsXGBmdQ zJe=wC%_83ViQnY^l2_k|pU(VyyKciGZ#$#EW+uD-@g1;zH+#u+{}q$h%+%JNv{!%W z@8_Gc5}zM>eD(Ye>AJgYMGSV$Ojo15uTG0?e(bN1rodVG+3%9{)$ObqB@@%?Z>6%n zvizg@>ThPnhgUzO=5l;}|6<v_ZA#xy7)gJ7A<JCwdAjr0pZ=QbPjkNt-xYJxhwZuk zJCijgOXe*7ab4zd=nk7kK5m&HJ)18|1;^Ng{<xjJeAM=K`;xpF%9Bh3cDQWNTBh^i z@T{44>+aopCC?FEutcRqde+Qer6-qos71#2&h^&PGv2js`_F!f%spo|C(N9*r6Rxn zGOK%4_l3B$<KG-_+!XD-d;6W+8-a=q8;+cL8f<>$>WsBr8;Zk3O&QHxKWg83)X^0^ zGfh=y|FfI?KUwdDdsiRbzw3UePf^dtM7E^6vvZbt9X<YM%34;luiiGTdvzja?XZ4# ze6_3Irm6$K_Lo#$pQZDnm&Mz|^8WARsdJn}s_v@lS_EXg%6xK9Z{FGSt&6T{Fs3iw za>F|OS>f6RMaSfpA89|N6npNK{Pg#HQuj4Bol@HPD(hD0C&3F-f1fw|`XnPaZ+2z> z*~hz9y~}wQH6!8T4!;d9(bG~3)y^O8YkldUJ0<95n|eg1hV}Lst@(>i3iPZ{uR2<% zIc4%61Kp&0UB_I0E|2`9^*{Oczj^m&tKS~wxFq?-o(s>dUK?AZFR)N2%-Uv8aQN#4 z#Y|7BQ^&G*T}_kSa_mA>+O+lv4{fFGGB?=Et8^m`*Z)l0SFg6udB()usr(wN>=}6S zCa&6-A>tTaqQ5w%c#%R-lDqNbw!Uu@Zqywzoq0;B%>B!Rsn72*oiyKTnmZx-rQOqQ zin-A|GyJnzSGpV(6pxU+*YR=Ez5Uzf2{6Y*WY5~LZkg*=hP*eOJ-6#)_J*aHE|3sv z(qFl{ST06xb9-=edeh2H3$-sFWO({~=9Nn-wqd0eoi{Bt1djbG*Dmj|ie+4C#_5!2 zdH9{mpSgmbsjFV{xbeM+`o@>-Kl}88TfdyEe|7ivtJ_*{+MUxJk<#$Ye2do;p`)8y zqK`d&7qOqWYx1%Ke>Tp&pvURDZu$JW1ir&wMPIy^JqY4Aa(8|9IhonnqbcjvlB*Gs z&pX32TYRQGI&+q1UdHu<kC#5VbHDn<=Jt<mnh`VhZ8>&1s$5IjLaFE6kBa`@ThoG? z7jE&r`r^nwgS<VCDIZ$=K0D1YopJG#xu)w;&fu&Sth3b^C*Du|-*Q`AN`ZGGt6H<a zpLoORdy0FVC6icg#`VNROxAnY^7Nt3k1qYW#n~~h=IxKK?>=R5+2Pc+YZp_!S6?n` zd%Tl%pYukk>DM*196P0c$#UIjQ<&sZb>=`k&mPfyae2$#uTy#3v_y1kRpW1eZxJ>y zaeDaD_Fw<584HiLoUrFv^Q=PmtmMwf=JmRV!fW>@Xx!j`VP6vI?eO4Jt(|t((%Zc0 z3J(ugUwobBCL<)GXJNN|&)?lI9F5#o#e9A8zxwi(Sc6js6N279+%9x;vGw8JM%k~W zoIfT9h4S4=dU{G?**VGgG2P$2=cRfWf19GW<XG;-x5r+pm(FBfb4X;5+NEo89z5@F zt=7(oVE&v@P-ds7I6u1IZQ6%T1qWvy$^DUE&GG!!qQX9@KNI9HOgs4dZ9)5`<F97c z%}!|NSH5h%+d(ozGPNzk@RIjevAGt}At8#{>Rq2WLudL{EP8Y~TRe-Ycx87$_uGrx zwByd)D|-CB<DVUC+@nP?8#UK#yKkEEGe}J=e(8=~i|!dJ=9=s@*!D$6+-K^diBjvO zmF^v_I{9VME%m6Z-2y49_ZMAny}fRt=l;LfH*e`paQNTzy=$_2v~@$`-}6fj-+bR& zeEG&ozjC{LEg98oQfF$IUcLR3Z?em5-M@>=>%%t4d#dC}&k_>bvdQ`puW}{JkMp~} z6ej)d_e#;ZS0>eY@lJ=A;=g{ql~0dXT>7WWe)#XfD9-N_48ENfws*4+yLwM&9n-(& zlS{oO<zMy}^SLvvNHgO6!%IFFcm#C&y2KbiE)n0FG(lJQ*{x-5yDxEhce@!B>9#i4 z$bWcy^<mq?usbF0o6PnLbZGlbIJ@iR@w7X$dgo2?&DvbOhEenEvXX`iPt+$q_TTzQ zDC=oT;U~M;OET>R4V{I~p)J2QNE~I(s=BaPr~P>1dTtMW30uXMn5%r(JgkpIO@4Dn zmn&!e+T=&|tegF>ubE#}@Gr}9=@a3QxnWOMx|?<!_l_3(>}K=Rd#%}x6)CIkEu1!! zU4hl0QKZ%<-R$ivh3{W1ukYXI^E+Clb!F+l8Rn&5{@>N!u*_ZSg=t{sdcKvr&1W5x zOn#_obB2v|{ej~Fv*!MHbe}bEZd%ymcV=s(j8^mpx=AY5E!|?>rCYU7MQ45A(}yA_ zTsL{A=BCfyAQQ;^=-<~>%l@S7Zq)j$9PIca_o>;3&tLyod-;Em{v3PpZ<)5;%cXnP zKF#&LAG3!`ynX&Wg-4GrWGBSu37*^H+m|oL&eWvNW#4@I8uPm^uG43%35|Itcwkk! zEMx7nc}EX4uTK$MyXn`#N{3%wD@;DDF6=t8+f9JsQT3@c`khibJ_41$ZOzVPx*a(p zk|=gwY}<U9Gi&y2Jh7F9F<@#x<HjcmoKK@fAI^(YIjYiiQm8b8r(c(m(ejQ)%(MPO zi(Ndvop}~(SezDg=7r|ux?}@B+sz@r=U$(as-pQ^Q)7B&*J<ayW^rvNzAs2D+`uNI zQJ%XYqUP|;8Q+B^9)vB7<i90deON})<!Jc7Lgwgl)s4Hqz1{RFXL5&yQp$prjzui% z(*9oySe5Yds^|MAX7`QKA?ybNr0n%q#r~2@yXgA#?ClLJ6!|7CNt)6qe|D<9@y*1a z#R}OP(>wI{-i!0Pmv_}s<J$#0qqe}Lf@7i+G-{gj`|?t=cGY(zw7KUR@0EQu)x?P1 zXlF-c^r~}noB48u%>FKNox$1f`2N;a>s{4P*GecYR*xxq)!!X!=KN?@*lelk9vQpz z(gIRVBFwY4%M`R1=<d$fG%)WumLIzOaQdOU_7hIIec<}{yES0Rk#$pSERUEsX}Gj` zF8O6Tr|Q5-Ch^Lt0k_h-=gbp2<|zI~?&CgTej(At%eD9W%am?e^7ueY!tV1bC)ZpH zo~dF|!qinbd+UYjfBXUG`2TM1f8X_G1@mperJqcL-mD6-J>YoQ`{uH*Y;hB=3Tj$< zS$8K?E;d^>a}HDg<s2o(^=q@#YSeb_X<+0uS3A3Z(NVMG>N&@DMa=BJF(K7f{%FO! zlt~_a0XM{Y#YDBdxGLK0ZB!R*5L=lWeqKj@?PtR)d>`IPP1|#G;nLYI4eTd(Y?5iU zuIk_Lz%gm=ZRf>xwjaI}zPR+GN9p~veQi-|>TboYWh_2Y@>Yu{^GoT52Xf}^CM(We z@$Ua;ne#3}<d=byU*yD}m1?<bEt$;Zdv1oGKfL(NS+;qic{`Ge+xMEDaJZ^``|JJ3 z=gQjao6lEfZeM<2wdEyt7ne8l-a2q!D$bk4ydd<*g`~;SL9w%v8@_(}v)OEZ`2S=6 z2OczCnjNt*)XBDC-h$`Sc{e9`J{Duyr!G22K%;GeS$M^qqDi+mxJ2&J;b2tQeLdbe zUC<<P+J_~!`Ai9d*L7vQeR{6cBxM+NOR?N~cldYL+beP*^D?F?q|aR5>~?BSPu!e5 zg)IwOro_MBQn+gJbCo!+H%w~|KHJ2}p<%o7#dUt6qYFImWrSF@UwoZ<^VO4I3r}2H z{rdp-(j&|L-vzN2_dfWwirHFHuY1?V_k#Wne=LhjtGpgga{RXQ(X5H{Dt$K=zs^2( z()hvBCm(Y-n~ic94m&Pb{YxsIE&P_}gsYv-zh+!5VDLTnZ+67Z7ni4=+pti~x9&1? zee~&Do;jRbgI->+3g7eDI9ze=(hrX7uldhru<|;!Gu7*LPDPQ7@jJaU=2IB&DaY<^ ze6e=@!*jt>B5f?witk%|Sn_)FDd9yDUOh`Z7H(U7GI>+=<o7y{d5<c^OMjO3y2rzp zpLOJ9dxo)rI@|T;UMK6`y1%-|cKO?L<@v0<5_ai;<i5_vEU|*6MnYRSHaBKma11)Z z^`mM*&AQwZ@4lKz{^xl1`H;B#*4ihGQ5i@6&CS@vUNl!^Kht^%RvwOJ$C@uSyeK#; z`uMukz88zQw@w#-k@)E5l<f7#+#kt3ELe3U@5yR|Yun@FU1tQ&-R!=qb2=-puvYXY zk$*>$qmDONPv<?M&vjnX&(tq}!7H!Bi&(XHI`Nb*xDxx`Z+-NEO|4!5C-!Xid-G`0 z=Xr_MdgYI#ST*-cOfR^#^y7mH4Z};jxs;#jcFgBl!=NJ0wYGe{aF8)WuHYWdiFpPl z%(FU<9WdS{aOxc2AN_Xw!inq$w(gn6?h@U;;`(0Oo-mH|FbVz{p3P=V-25S(P8+fx z-TKW~dO~G;fZ_k!9`|4OZF}qZQt`N({{l6aNkJ*ThqTJKR<e4$+}{6W?%Ji2jfJPJ zGi?j<BYx@29$z9HZuY{N@8mPZEHw-F;6L0)o#roeV^e4R>!fh!q~`MD=c<*~bVQu9 z3F_r=RM;C^{$O(<!<x?y#eK~DC+{8-^15;3$jAKcMMn;vIvhM-=jqe?B76B_^;;S1 zK6Q6RNN7ErCp^>Ow8+7IFL?h<`W@)QrWYVx5_V>HZClsw7wZJ?KWaNaqiSy7+q?2} zv)2?^>sbg*oh8Z?nXFXzv2+{f)+zUt^SA2#ecDvIVn=Ps*OLeKs#&l15WbUXoWARL z{pZXTzOy&6B`Avd<X&!P`=|Ej(t+5^tPz6d-L4r8%4)X_*o7Zdo+^```cL`McP5_W zyY9PuTJv<dZ+mUup2>In)(EeE@yy$6OYgfKvii$gJCB|9&U*E(?(WYv`)1d(5;As5 zwlA{|_#B#iXCD8qr>0NSb$_XDQ!W=XE7O}H_^;MNuI||_vm}Qz^70<{>uy~=8NU6@ z%ky%Vj+_j4somBvC$7doO2oNz&NR053|0yU4;;9CUS*$dedI$X|C(FfC&gN<->q(H zxV`ARa%HuTBWJ`82Ihs=Ui{Lo;1-KGQYg_NynfxMSt7oQ@;7YsI_7S1uR1x`SJd-! z)s=SFGnVsP-d*YKR(NzmK~SpqwD4DspIQvBE*bn_4!=9E^48WUmX&{;GA9=#3E$u; zT3utiS62PP-8Gt5)tFw+TwHM7uclc0Lgv=<CwzAW-51Dvb;o;+_kM#zlZ@WJVoPdG znRjv3;`~lY1CIVnm#-bkQ8%}ky~#Dqxr}?m;``?V1FzO7f1P}W?fw6)m+#a^tY4%b z?CW~RFnW`w)OxKK(ydXlr&Jr?eOXtgJg4n4*F2%Pl`3VA*}~Q>z8J#rwq1p7<wkE^ zz0^m+3h}BB^O~+TMDw25lyY_2<!J#yb38oOX?5OLy?V<%+b=ft_3<OAH49fsNUSO~ zXjQmz|C6&o&c{#lEi0AFqW3Sgdan9@>ZBYmPhPWi^D^wW+`G=AH!CMaN!YZShxcYc zT!(nz);|uaV%e>cZ;cwPwsjruSSWr`Z2jg7b=;i-SKM_LNP24PX1~4rYdf#dZ}CvC z?0uG2+2*I2PA&J-*qONQbGMXh@1rFwGqQwpU7Vim+j8{Z>_5smZtk4g(r1%(q!h*H zN?+Rb!lg=Hzgm8M&(>KFbT0F*nwyk$#93$VC+%fA%M0qo4_unKVrFAl+2l7DKkl8r zekLb3qosDlS=ZZ)?mR9oO_#PR9rO7o$C!FUu2(kM@5U8z4iib&UhPkwLF(Z(e;ODc zigqvh`l|VSyr=BaOEbhO-raid7oU@Ska1B$q|7ls<2P0nOcgw~r+$?<NB*0vnNpCT zmbPl{r0>pGx~hM($x3G}5e_eF`hO|DY`Ng9b2VR-?%teOeeX??E6)`6ch6*(Ht_EM z#PN5L(lQ_GTbZ%EdS`!MXtTM`;F)YTL9XDjSS+X5>t{vvzh#1*rWoYu?RON&UFh}p z=#Pb0750BQ%{IS4vY@3%C|SRJqeD@Q<*DeYpY1}}W6s`8wLN8aJU0BUxlNYa>lDYU zQYFVvI^MjVlgRaAYiN3;pX+jyYFqai$NJ=tT}iRMc+5fX;O2i<mYUzWx>{@Rx+D9x z2#FO)@4r&=R&WWcPw=*#drY~erB6P3@3z#1>ZhHtNBXBJ?qY3j64sc)$zkm1d?N3F zdei2o7p6a{`?I~1xBTjB9e2}pAwtiSTz^H^-rOy(w&IOs_cC{V(e|+W69pG{zVA=- zEALs{&lJ$6T(!9GuaF6^jBQh5jl!?hx~n@KteZ`pD?%h(_sxEPW!=XHrQfUCGkF9b zowfV&{J8GDnWBZO-tjz}?Or1|&pGOP&7=)ykH*%x|M~bZLYb#RREo#)mC&*$^VpPS zC1#)7P<vac*Us$SI#wqiMbWv}&!$e^pj#wRVD%+WC`?Qy=wN8r9D~0BiJ$)e$o%u% zV)^7I>lu>$lQw?XX&OB>XlwuFq_~VlQ?CX*blTr)W905VZSmuR(r~-Wfd|E`st#G2 zeOOm}K5<5Sgu~2e{xb|62TCvVp8NDA?bC$sSy$EssC~Z|G_7^%&Nxk>?xu!m1)0xk zAN|qNY<T|k(!RDiK07TOHtD_E7o2nD`NGBCf7t(uOUmuNTd>Z!BiA70d799CwwS(- z{O_?mBA1jdB~46?XgO(Qqv_SRh{yDiV&<XM{{5@ZXukJmom1eR?Dx@)?Y&OVzqC7{ z8&281C@k$;(zr1qswMg9%)~?uv*U4@LUHP8mhE?^?5|+bdaA2=ER6fKQ+LmV<A*}k z?*2QrGps4?#<g=xER3cxSzWH(l-*)BC-0Kx<x4#NaU7-6hXw1qRJOi+_}?$Qth*sf zR`A@KAG_6xT}(eN`*Y~Z>Wx<yFP)>eL2OCS&OM>(kF}jQFYM!tHduOUQnl9tdFQk5 z|2TZRaG87I-!o^P9B`U-|MtXEnO`PzRii)o2km`Zf9cEicVY6jb$^!jZgp@IWym%u znlrtEIrmwu$#wQicVk=U#tOeb+?yR`o^r<F-iJJohsR`@Bpk1H$=tvAo>ySkpU&PN zv;W>v-#nAYqo_6EiRr{=X;PwPHVgbWZji|8+?gC_x#;oj>RZLXeRFnwWIWE$k<#>I zfrE}H_vAgoqUBF7XPTPdwM)z>be#U8$oU7)y$|g3?nGa(EZeivCn>~)KYvsAT0=8u zKau7n*T3!VaXs4{djj9*9GN=NG4JRj@mAqmOKfi1)v>&+UfErBVbS>&$vjO$U+n5W z&u#Z-mp>F`e&sx`hTB7pzan}~-TP!*s;{xBJS#R#Rk*NK{p8w<K~pv@s9;`q-NbKt z@FvMaP6b9*ocoUH%-ppu{)XKm3AcT<J3iFZiK?VD=tQhN*;gE}?aMLS`I|#_KXG=u znPhcldf&O%hRapAX*imE&REEBDye+m^j<Evpo0mYH=kDc==$LG!H13RdDa52->}?P zESatFh(XHX>Heb;dBzq&SL%NAyH9>-8^?UbnR$Uq!sjf9hTju=7^fW#nKtv~(tk5j zKkR$)zwto8#XT`KY8I-YsuOply^I!rHIF@2<6qFqm*@S|=4#phmUx&o^Fj;T?C?u7 ze@ti7d@uQSku~rBlZ8D;OZdZhAOGBUWG-ja0YQ#yvf@krACXhO^14AiV}i<bkxTQI z)UTQ0`ecjH)Rz?>B_6c$>dXqxezLH#=7Y^E)g4!M_Z^z9`l$2s!mCT2PAYv^)L(X} zA|dq!$Fwq|xX*{qvd$KsFqPSCV)n(xwMU%$9DgysOqH$?SXIBgQ`RZ=nf9-Rt8~I| zr#Z7PNeb+}vvTj>Pa?eqJo(F)n5ajdWAmJMbsBF#t3mRQ>fSl>lIccay_-JBBp=se zu6)sN{=}uxlKGPL>+cf|9N0T^cQ=p5=?e9Hw@G>#?IL}DoV*&G_J?1ayW6eY*|NI! z`Ws!}giU3Vc1xxtRv$HZ`$oBAb*W8om}2r<aX!Tz%^r{IjU^cb<vuIz7j%CpWEr2o zVx7yD>)RZb*Re|3e>wg3<!<xU3e_o=AJ1LV+~&AGeaf}Whc8raIeRSI?7?@zucC%i zHR80D>G#DdAvYMr^b^;`K4y7W)$Xf)N>-*N^N)w3XY}Q@dxLT$r2E@@98MiIpI>#` zG9%Tg*NbVMcox$h<_Y|g%oSg5ZjMR%y2i#dVAJh{2D2E8V`i8Bb)L(-@vOY?vEHZo zMh<(d`Sl-2e6n$Qonf)GI{40n&v6^4*xy|z*e#%wzM;hN$#tpiKdtWXSa1Gs@4n?$ z+BVkp%O@Jv6=}bFRqMnYEM;`TTzpo8y6?{)oJ;mN7f%+=<eXk-9enk@%8DoX;V+h? zavfpOie?Y*WX#e#Z?TOn$agpQibpq=i?^7TF4kV<&gu{-y}zw^UrqkIJNvk;&m_N0 zcIOidw(q-ed4bM~llKei|KH-eSg4ugUVP4ccZN&^YxTDcx+&Q=Tql-Z<VlF>f6C_Q zcSrH%#`4{l%{MKx+5EkqeY)@KOIdq<b2!dha(%_VkH4NBsBJL{*Yhz5%nXuyCV$;; zzhB$@bB7JMQcBl$eN|T}F?mpMw^YUSh<uqx-m$l}zbcP<PA`<1VQ(kQA>bD)(YaeI zu+r(5$lIN<9SbEE`sc@OiBYlB`)IX)*|QQYulMHjC%RSbVv6ryU+CTG6WrCER?XIX zL?C94`SykSm)QSC7iER+kokA;*Q$%(oh;_B@hJY-+P+Nc*6ZHNz5XToD%`TNDXXO1 z=g+?E{A+^V$t?GYP5uugHa|V7))2eOC1Un17qRo}ufO^gP@s79XX6>EIf;T#jl*vw zlr^1v^7H+tQ#*NQL@v4-{ot4rQ`RnD=BY0?|D9R-=6_r3a;}HJXLXlf>UtSmW$>bJ z#f-gmJ&aB)r#60E`Swo4oLQ4~yNh<JEGy^Us&JO)hv;ti$Rl_2TuPIDUTT@{Vvbsr zzpk0{YkT@NZUeob>7KedNiw#Jf-moC&U7uxebXg%{YF_U;}XNki``6C?y4+!&gOK9 zQ6om<n#C)Ik1?t0pPnW>E#1WC6E`*T<^mqA5AWJTCC^Ijztqj}xmI3o!q1$awZi)h zj!SNx`)oVI<m4-<6%0=<{=4?ia3!y&#>^+0$Cd1^7cy@9?Zdm3ODp&Ox5s`-iOsVn zpY7dy$zzdw>Y^8c>ie%}Br9j^aS?nnIdb#HPt$h(`6iqr9M#jhbou$p_lHCoF3+m7 zzrDnMZ}gG{bv@H(mtMYj;u}-z+kd^6gr|fSMk?>%ynN37rlep~lE3MJtZV1;j5Pay z<sLD5SL(NqS2221!mVoy!c?~gdOY~5ct-l(?uXltd43MM#y#u76}fM`({D_6W(-q$ z>bCC9?e%f{TXN-VW_(-sVC|Qmmk(}l=oCKkaCa5|q}iLkW~Tp%y->0FtXj_5<)4qW z9iNr4`qgd!6#Z%WTt6j)gv%LsMlOmz7M-|AYE>^w`pr7-<266h|1!!Q{D0ae_-lS- z&$)OvA?I(7AJaemNlf4i-(B7v?HP14Us$mx=*@dS{$KOFA119lyGQk8hd@W_zh5uj zI-bsbQ(d3M9T|Ho;^ZTRb4}N`bUxX!-uL&DbQ`UZx(S-0zl(3LU7cB=tyBBz!-J)K ztJFOA{VX;7dB4WTUHGrX7mjqhjx%$-qiX-?rl`7mta_*QG_ZbR%<+v+r2bY$rmRdo z8Xm={{^&@9{Jk?Vr|i3r@G#6!ZCb%stT*9w3ICHtXBEUI-D%@4otru(mh+<1nxID& z@7@0xbYAA!q!gQ_dHqshXiW5zce2fl>zUHeEmLW1aC_!BSu=#ujBTo9l|w<EfrHjI zwb1q?!%tTOemwiWB>VV}qI%<5E4gyYbv_ErKDF$i>D%olPP*3b`j3B{>#%2Svg=l% zvl46Sj{Zoyouzu(uw+sJ@2pF5>R0*vG%mZk%gAkPt57~zUobD^_REZ`%04I9_x|VE zBjcy$c7)&KWaqJ3w`)h7{uyraH{Q)up)`M%8B^Shf4h!*s82cj@`tab!q<w)x28Mw z-_&uFv^3!K<T)^_Q;|U;?p=$3!hE04XZUlrny9waJ@o6`C-}sd@6(rcdaBp&znL{x zv3Q?gdcV@<Dv=q7eQoABIWA=R^ytgxPe$^;goSFk&7(}G`OS7Lkro!=;ZgW=hx6o5 zlPI?d4~0c03G(Z)rW(B5p}TK;+S;5mE~eR8zq0Rdyr<u}Sn$fEz&v(2)2?sb=Vx~W znXoP?`ksGCPP8q??{E85-!s$piYDGu4O((@?P*_^hYd%$>pxf57H{+Ve@Q`e>*Rpu zLZ>$mqpNRobs7A7c}VYF{rY{TIWEEz?Ca_o`Rfnu$&c=^o8<g+;r?$wKR(<hs9dS$ z6&f4SnUvtpDUxXsmQgajzTc`Sv9gXeth|1u(2eTa6kf-8kBhIoRVvrs5<I`urR{En z-^}#fLrXrb{<3;euD-R|)co`#7g=1c+%TOVx8~70ouXs<a!2-G))%tXuykt=*{1Vj z<|ISwNk93$<3BduJ{YUu(m6f6b>GxUg&UJC<Yov}@}8Yx!2avM!c?=;Lo%vy*E88m znw5or8(J*Rc~#P=vwh9euMM)-9#^!N`0$;&I+gJRm%_ZZZQM@-qzzr1BW0tV=gi7* z-tqRt=YO9*c5co8zH9~89=(vR6pQ|tzf!9wuRne}xY@DHX-XAmZ_~Avlg>DQKIpo; zs4ux<{ciV``E7@$OP;X0viN=8nea(xY$cy=d8>26z<ip&p4CZ{rxKrbSKKse<%^oo zJ@=K*UyZ#N8}(K!J2!cq?WM@&cJfmznV&lSs=L)a>9g|mD(`=boGV+zug`Cnt_tnt z__Fw$qG!}^t4TN9_JpRtYV2Q^u}k_bLssjn3okgQl<xfghqsRZ&jZ^%Oi{<)wk{67 zbY@xO((rxL3TDh-scf5G)h43xZ<1cv(%rhr7ymqR3lY(GR%PG)y!b)sRqL8V%I9BO zDn9H8<S?Ilvt|9{Npt&t)T|epdZIu1xcigYZeM$j^ld0hXJLO~#Jx3m+EjTF+coy0 z4vX@y9@7Zi8opTFb6@F|8+r{Z@6KaNn_XYJWYrqg-L5BfHS=^0UTj|ATwirD=g8l- zO+S<OSG7K$VRO~3#&nZ0quBSOiKo2OcRPM9V%{ZPSD$n>s>HZ8JG3ujlF+t*lM+XJ zS566vpL&~}*D)ZZ{_WapzivkB-RxsJnR@??gP%*{>+O@L&RYGUYRB_aqPwl$-nZO) z-$CdT`=!37t!1avrpJ9t-5c^ue1CxG<Aw9CUj5c^geQh+bAMsk>EkiR60x$T@#hYu zPZoUnUD!`UyF6o_nBC0D4Ry<EnNM}KuaGWzx?#yW`?+62gnqkjZBl!8S!1c;=NIg% z@9Z_a?_WKdX2+CW_b#PnPu1dYSN~sr5%#5U#_omD#Ruf1+x`eT#w+c~;GdNEY)W+N zA|-u}X+=`+f_Kj_*~~S?Y&Jvb^H)l<xl1mX2>uVdtlTYLf9%wD{a3x#tYVgTW8$;Y z{W%(VGA?!Um%N<L^Zt=uQ}X@q8pl?<iZw1*JU`9Oai+=6MSD(c4%zUD>6Dg%)Bgi6 zH|{jJd^CB&HSG%$OMAAg4wNZOsW5YTZSJqKE~k)f`-j5+8$xcbkU!OSZfD;<;RQDK zqA5;WHU6wx%-P6zKr(HO^3sZl=MGKSxJpo3N<U`mo*TkX-SdC+-(9|1vT?HNjpBdb zw=iFSr98L$`rjK`e`IGWXiSZ}fA3DRl$ikg2gQFzQ~VY&uf4+<nJl9z+FGrgv(;Wd z`jzmvzbC$4kTg0GbL&Kzs@{$#>x4aR_b#Z}e|-nfDci{pSF7f)e`v|hQS-moj4Aot zYpv2*XV;$E$>F;2?1EW(T@O<mcrWB;#$0xplXY&<a)&8Tw#7>>vk%q?+p*>&*QQk} z4=&$TU3+oaR0HKG)k70aZ<z;r&wd}V=tgdU+Wjit>O7_suZp@(+}N&rDya7BjQ$07 zt~}DouN>!}efA@9Ideoxhf#;;^y4dT-(1}P(RQBQv2TmMY<4|-yJefFq2fPD=fJE5 z%@4iy-xAad5qnqt@@9E{YQ2VX{uC$coNU?PdHdasML#leiN2JZP;`pvU!`w)*s=M$ z=FR<Wd38b;?=tQsY-;Lymt$BzaYemcqj1__C3Dy7fKL_=W=y?&^U1b7WlvXMIJthq zF@eI&iAlbVMt(1(&h9x}@uT8>gT;r7R|^j2Y+j??@TvRHyVG^`PmVK3YKl&=+^|UJ z>$*c1@^`(Pqvb0uWjCu*Y4`d=nny(Mtq3;vymXeochj-}n_a8(%`WOxPHVsQg{4ey z!L_$-s{$te`MlEi{*`Yt^5+>!o)((Zdt=h_l%NEj&07vi?A^imD6xX~D%-Jw6f+r_ zxZGCjGtmVH_5Jt_A3pHQ-*eeJSHv`T$zrzLjopn>4zYzfYr3x4ec5o#lYQmB_RdQ^ zm*uJrKUGf*_if(#e9uj7yU7ybmt&@XxV$u#`%~eg-Flr`Vcsj#U1q)uS?q18`LES0 z{A8rT5*|~BP1Wggi+*iaHQl%FW9aQ3ea-EM896vrr7StjZ6>%VnMqw_6VsE9I^@4j zLzi{>;)k+J9K*lVXS_F;^GV<|Q3+h2Ssuv|f8~N$;6b%b6C5VAc9=dm_r|cc_eI5t zzn@f=w3adZXOyj+#$?Am^J`_D#8%}QyY8;K9m2NtVcrX`M=X1NWLEI=2X<X#51M_~ zqHtMLO10Ymydqvp?-cuK;gXZSaXnh*6&ud~$yMkqm%f`{dTResh31SOyHsX-9{+OY zkRsPh@vJlJ87?s_isZ8hzO&K!TGkVZ%7o8$ej@Yt)hMig@YHQK2Yd0oZgDADapv>I z`qRub!uy)%uUJ?Yza)d9W|xA2$rpvv=M_>Pvv}qTWw*}`DRk$*E5C2gj?K}h_7row z2dT0Y-^iTq_~aLFc|l7)1FN#^+QqJEfn^)NH9yOEKJ8e`^{2TOPxO)^AHLj_n)f2_ zeopai&)eP=xk;G|dUi^kd0z1|Q$X}WBWs+#;jGo=%98SC2BNFKUpvvF@6V?B;^+KM z+1lWc_5f{WK6Q4lH_6?feu|}e?0Rvj&~}$i!?HWx`Ffk4n6&K|)$3zEo>v!rVN$$$ z7ITo+dY`#F53M@z+i1duTgCtWtah1w$2UDpY4wh|rrNm;4?aC*$UpH%w4%f1hsB~K zt6~k=MVza3x3is5nD<R9)?2>yS1|Xx_p8~C1#>KYcH&4kb9LTsk(c)myuWA_5%wzS zbT`|&-#QEKItkYC<on(FdSH8j8PBo{Kdyh6s?}p2-8av`m%HrzvH#pk8k3{`2);GT z&Ukh(LoI)fYU73g-wP4**kY!)&d~DK{<(OPUSJOI%&QM&Z>7|=)$pD)=DZ*9_MF*5 z1>IRQ6}fg_{NEdxckNlT<DI!4Z3{AsCn<Vd&EZ#Bte0w8=JnIW`e^UFO}}6LG}{|7 zrGMUfmlM%1za8Df@Z#6ToLx`!gZoQV5+mPEP7U(Sn`O4|#L|oE20L0U4Jr!ePLWbI zb-&%o-7qKd;6>+crPKB#<_8~}rdY7y{SMLU{3NY^Ws~>mKfH9@n)SZsjz(qqKXd=S zSRJ6gLGDj|MPu0GgS{u1*Bbt~*dS2;J!#!L&cCWPLKzwJDj7QV>FH|(#`_w&&#DmU zXDpMywD3i_hy3T#S2pcOWD_pNv$-yv?Y*Fmy_)CLb@_#o<<X3ptH0}V?c9+h-=gEP z_jvCP-CDzY=V!PzTfDGXo;qo_Uht#P_pW}O@v&^hg&orWmuCN6Bcr&z;M=jv{~xDC z*Z+I#xnRxHr&nJ5i6}a;`U5+Eo5ZufYx~t~@>u?a>Mwa_&GDo2&&0n$-DQWoPx<E@ zeiC!{!xuLtp+lEW%~w#={NWS+rcTr1cu&IzZo6GkB~N}<^8F}bXgpQXoZ9JocbP(L zo8-0CoXIzsfAk-+?BXosyydWK=>--0*-|gKEAQ03)iJa0YqI~awr-o%bnUx&PF)VX zl45;Nl>EcLZvLcM|Fd_dt`nO!*M7$%hXZzd2rABgTx~jQ>(i#o+YVg2w?_A@(LDdP zC0!XM7Y^jJcim-<WDm@+^ZRXi-TmJ3m6p79re^v@N#bQ59VhR~UF7XN{4+~S`21<V z{ND^;+RvqDR(rBtJKQuSq9x&$63fH`6F(biivE^csFf_NdwtELwI%x!-5*~(w7r>M zh(A%}$lmV)FK7PVEu@!p%SZH};Il91lK;<JYZh>uVbbQyC+lvP3)Fsix$zPAWZ@W& zS2smYO_&z6`Au^55+_^N*qof4Yz2<(LT6vHew+Hs<=W)F!}^TZ?tBYzfA_-kjQHL8 zzEN)^;;T;IF1d2SHqQO&q}xU;vCn?)DU{r}viWWPrj-is)0K2H9`fhDXQ_$YwsM}- zgY3UE1$JeaJicQ5No{IPrf7NM8sD{-OBEEJFWb8#;KqzLh3&J;7dzi#&It(iXLCB( zrtl#v+;c{x_szh_Y5O(xPMF)x413GZwPe$+-4;f_iYMy*XkGAJEKs!4tXIvXBY2CP zeOUMGgaWfgx<-yZ*3Q94E(I2?&7Ww%7oTNx)RpOj*rXSB9C^vC?f-YpU9V*-d-Qk$ z^YfXPz69A{nR=1!Vbp`7Lz7P(HFFL(<`!z~m%H?}usy}^gk7Aixu`I+B<}|v#f0e$ zdr#yp4RBv`(lPw$dcJ>VT~0X@%`Ac=msEwlTvKh(r}vDPIp^`hkBa}!sv3rFa64$f z?YKn$>7zpZc2&<$=FD+&tFmUQn>39{Zfn^6jroeIOWmGtf7xIr`l7!zPf%>pq;kn; z?>%bf@*7yCOwM}zp~wH=lvL&Dxy&oNnxjpGmrFR+dg)X>(0Q|5m(@Gw%#EN)&$k-# zv>v;)<L!kbZ?iqt%cS{j_w|3J5@aU%kNw`ON0J{hzUaU6uL#lpa(ef>yZnoIel1xL z-<bbzjsFo(#Vd~gpHAnDRVY8)_3LT#`8gclxJB-}ZkprE_wvsa%P&{HPd2M}{MG6u zt;aA|G-$GGaMQPd|LnHTJ8i{0|G1<jon;mG_?z?eY)sUt#Sv?H>i1O%buIPY*eU(~ z;q!AZuhf~pW!rzzk<;~wZ`P_Ax`$G?@Z|r!;(Ktr&tjK<rf)UY#OuA<tbT6k{*Qm9 z`_dv$FueA%J$3)r&vkzd&ha_z+ke1S!0!8%_u_x%xlg}&N@VpyY56Aoh#H1`f9@Z~ z{^ycDo-(>}!SkQ*o1EVJ+R}cho@bZYFI^zEMAK<&^38Xiyb~Ee&12YVwsqElpqzC3 z=#`gV&$W4&A13B*9?=%@?A?C%f5&y|cTTp8GN_bQetOaI`#RkhH*zNFb+miE`8(~Y zo1oe|yP4+lGh6=7;YpqFre4l;-7L9V-rQ}>CT|12-8o-#X}g!&!>3}t`JurtQUw1` z`gqFoRD-SKc5$v-0&y1VEy?pt*W0weYLy8~sD76A#F>*fSIz(Jn;F?v8y2S@mX&_< z!d^}17L$^SuZW4)<KtESPB;I$Hf+0m^Xse^B@J6H21{CYmmE7Cm#Y|B^7PV?Q!clA z*pB_?{rjt0=I-oY?rYb~E@1Vw3SViW=DBW%bBqq_KL@?bi`^gHiceU)BJtL7?rCSw zhu^V3dne(bhjF{=hFR7-1mlbj=WW00d1uY9dBtmt`vp%Ym>w2ZT~&AP=1wDtt4!Sf z+s<G6{Cq0+^I375?wwsyUFNjp@6P_-)sN0kIX*9B{a>eg%|5#~D*nsL9=1Hbb@5%! z?*E&_U5^=mIqN)g;nf{K4Gqhh{O)@zCHy_2!%$Y``uO<c)GJXnYjc?V8T;PuJHrzZ zFEK|)*k|RYB_=f+mL|uPOrNR${ll`|zlxUq`kh>AGQXw&>4OT5gWG3XRy@s%JsIHd z=jPSX;{N7DlFqSS`^?+?!WApmsz`P3*fQl<agf`(XB*ckKdo5nqI&mZpQERxo=?l= z%#zv|Iibh8oPH~w>2B9NTJb}_;nhT)jrVu^lvPJgx%ub9x7ZmMmmJ?&Jo)l6tBnG& z&I&!ND>r!+gow{-elKaQJNdJ9N?%S}_~O3zDUE$s*L8Dl=i3?Vd(nM)V$!T<Vm_to zdH(E?I4r(?o^I(Uk7Z9=9v}aa_@&TqOZdO%$se87#NFN4^M3qM@7d)$?c*DvTPCfy z3e0v(bjCgi`)0Ew?3rQtI>rZ*2MzZ#?mK=yE?_g?tjo$NJ8Z?5o-5`!?r*d)tLI^8 z^@kM-S2yiBHo22eFOOgE*(!TxCijpV8{<j>=X~Al_}cyqqrk26z27bWyb%7^#I{#3 zCp__?(b^*%+rulDWlU}^a#&cunuYDR%+|AO+TQI`&D9Nl%KUAuj^}5Q*I&O~kYe@r z{QTsuX`!vBrHsn%$!2FFA8b1zz3F_6!2LCHD|ggAH@>c0WdG3WH0#%n#rp+jg&HrK z#g?Ca%+HL|Jbt~+f%2W}9`t6({bXwhGdMR-P3ZH6>j8qNKP1IVi@x}wc+2My<9-g- zDY?ggDQL>2hc=W+m*yN>$n&@Repr!ew1dUL(!I^HMsas7ZP#SH^k|EWv2I~`FRtO& z(v%kHl6B+*d)Bg8&UrDMKaSWjl*ZSoD<yB+zx_eRsf1f^z8-m0@b4Ah2g}0;dA|ht zEAoHc<*6t;v&yFE)<UzG!u2O6y#6xhAy?($i&O5Jecr3-oF~*8wjo-oZt9st!KsVI z-adMgAGhvQbVsi0+liLGX)T5+hb(;W-g;=jx3uSJ<LV!Lr}sZD)0p<!r^CEyZbXYy zlVJa)Rk!CSI9-3!vTofw*ZC_a)Xoy#9xYyfIO1yH>^mviD{?0+YYREfdFgs*Ow)!! z>)kR>G(*33?+)CMaOO<OZ^^mg4yjy+7aU}pwb7e3Ncy~7^DDm0(Ee5{lizDgTjuwD zbIe`v;A^?x<?}I1jf*$!f5B52>X`j$gFn}C1Bt-z&qZ%a8C_`j(EQD7LSxN}4a~0U z0(Lvh<{v-g?DXa3_N>*7s=?R3ZON2so-WmRTD4L#|7tRmc$~@Y#w7w0owH_L<jT)= z^VQw6_3M+MHO$Ik5f>7+%9J}6hhO+r_P8whin?0-jl`YrQ&sHZmONzEHR-!yaKCH% z!^!I&FtkroGSvGW?w!s1!tB7@zox5>`ZgEH`f=U<cE+wzIK4%~t9&8Hu3P(VrF0%V z958Qt(aXnQCOGa7KlQQjRq~NEjjWaI-U4dtYxB)S-+dGMJlnVC$h}PO07);&-*5B- zrO(xfFXP)gS+uR_T<Cn(;@}q_i!Imc-Dj?zcr5I7QjOMmzBel>C!Td`(@?F-QQ2=< zEzq+3aaCy1-6?n1p0fPI`^Dk=3)?@3WAblzG<JW@KGWIo=T5`RJz6IjWVE?Mct5<= z-KG1l%<H4ak<>ryK1`Y0xcEZv&5o}kR_~-(vRfCusPt?%UTCn9?*iMc^;!bKAD2E0 zS!&9(V$VH3mCKthF6j#WyR6t{UUX0BY0ZZ^$<}T8Piz~c^+Nq$DHwCft-Z*!>e|n# zhmLXNSk;^Tv6iSR_FEV8`I^ex#o}{|FFTrRYfhUW)Frw5wwp*@rrzdB+%dAD=QOJd z6Sza>sQ2GBH%hPzbieMiS2TA{&C?B?T>Cxzc^ethRJStC`r3R#@`ga-&waZym6-I} z@+%o8OIJA-eA%D7cBA0-3;oUQcmMB??cCU<)1(!zy*cG#{`|cHXMQkD)cerLUn?K_ zP2c1yPo>YA8Jm^PKAb<5BjIy)cln1BGfCc>_r{Lvl+VbVYpn`jK3mbbk5_baY0rLd zLpP}d@sHGUihUK<MlRdsw?)J6;roxbLceK6JQVYIJ%6#d&bGXH`l*W!^PF7sbEU{f zu6LKYK5xug`1wrBS4-u6vHp?9+h;DAC*Ss_!hYr4>GxZv$emj(I5B6NVU{?*ve+rj z=A5~{lioP~Rq~yCT<PNM@cMpcp3Iy`tyMXn-kkGMSR*X5=W^K$8@pKtl+)_9^wMS@ z;c}aCrKh1_@+v8vu5|zBMHx!7olOqk)m|Fa?8=}1Y~AEujXzVKb@%bQ8boO<>7V*Y zeMykdXEqCs=q(He9$rkWiZ;IX6M6V<Ua?fzaq{%VQ@)0J^6%t&HaxI-)$jOe$K2;) zn~uKnJ!a*5-`M(};>}r~tSpwAC@R<5KTD|ye6Rf>yPGe`^>J_hI?3**$#Q?*W>+_D z>blBMJufQN{B@h=`h_Odt1kb`m3H|V`YTy$va9B*$J1j|O51-<SRA^=EVgN9VcBx# z2=UeL?gag~*|0bFqOlaOazlr~6V83xh1}zAvlul-Go^0V{(WZR<<sh{?2o)&%ohz} zWOlljp*B&(#(saol&c>nN5q#*uqym0mvFWE$vNJ_#TR;0=6vJZ8+~s5L6*E@jJz+) zqeENH3wHjR-%uGdS)BjMcHU=d3R{l*^(HO-`(kfz2kUC{mDjyP5)YTHvc7id*nyM= z+oxOGQW?6RPLf!9V6Xk8uXjz(yV@x{de-`^c-Ex8FWybjbE5BTT_CSjKf|ebt>;#w zvxW*+A_XSxm(1RJK)vYBgX&dto_slB(w><0rca`6Yt0-lshO%0izhKo_}ssRy;JT` zL?}l|?h48Cvz@ONyf{CfOFU(E>fU{KE@s|(wyvplQvTedvqBH9YU`|ju|`YZsmNCI zX&cY{zZ(oz1}>lK{v#oYRkNr)DBHTlfUB1+Ym#98TE44YE58VQa5_HyYL)RDCSi9^ zmkScj8xEPyQsaBTzqIa*v)kf*vgV%+pIOAeGx;$gSEY(E;@=xrBcmhYKKc!(pBAi| z_B-K<<lN-gJJXYErLJ7{NUQ6bwWxkd&+^R|?V=}7dVMW<)1yNwhR2>aJLLUm*mbLK z-l}sF7NQL$(!WLh@5D^qA?v)_=4agEm-}>XB%az3X!Z8No(&Ik_{{l~#H`+I-5xeW zA%FRVzTNkHx!o(c3l{yE(*1CC?acafpF3`E33T)bak(ARb#mjv#=G_61r_shPjbk1 zpR{jq|2g4EMaus>U28v?H7==rST<?F%|Zil$%W^8?wRdbJwI>p`y|HOziJ*|kK4I> zhi#&?iQ}y1A6(LX+b`?A)}1`V(oU{8QM|)p#?HgaVrnN$#4ox<h&Sb{pVn#WU0}U7 zMD_OJ?CquY=2NUCT-EMXYxnQ<zxA4HTkq2c-HZOtzB%dqt@vVwuX7W;=C3U7OMPY7 z{`HvQ$*u1{N{iZVVYT1t<0yYf)4tp<WyaFXMT>gObeMQNf-b$7`Q86<oM7bFe@1&_ zZ?E-ydPtM^^dfhT>i3W5x}Oa;$nBDEdn@aj%V%%Z(DK@qCC|TMp5=+-O0$EM0!rp3 z^v>IDzV}W=hjU=z1dFrM#jS>aU%%PZ!hC$q%0k=Iwz8&zhpz;3_&6G#5%f)+I$7D* z@T2U0<_{l3WLjf3=p8!G;d}MPzLfVm`5(_;?YU+9Jo|p!E5@jkxii?UUpgwXrC-U2 z-(7a|+A|Y|_|K_b?h#^qs*CQ0|IF8UEMz@luGTWU2ID-o$cC8Hn{Hl~Gq-qXCNBQs zuaIC}s?gn^ZT&r8JdVteQr(?awK)1eQ~u)5Z0E1d+3C*8YT2B(eR)8mcHqJWuEkQ3 z&t7Qk-uJ!H__%0&xA8oS6FZORy%cAYn(}jU;U=@xrRDc#Y~VY*)+s|;_Q-o%t*1`i z@2|#0-EU=`*Ub>o@>BQe?u{uQ=I)r<vD*Ii%MU4suPlEyWmQi9nboZJ3(sDwDv6jB zXLrLtWVcl6>`-B0_NQAeMU=RnZwq-4$+Y8kaVt;RL0!|2-aNm%FW4^L>+%2C&U5vy zZ}%|yni-ruRsUkaL07h*7mrUJ=ZH^kG(Dtnh2`Ou)(^qAZt8aI{2VfA`NtVEc9b2R zuH5+BIVy5S`Xap)<yIM$DeKiY7EeCnf5&^Dj&nxMyRSdxc3nuc%U^uz%=|~WI!php z-WFwXb^aT*-6s5b|3f@V4U}FzdGJSMs_ctzj=9Tv7k^Ja@~EbU$@t66W41R8X56mh zO5fg;V{6g#Zo104OQmbY3fG=m_riB;d$Zo>Oa(K?T~of9Y*iDQ9ATUz_AmLgn(5?& z`kDLttP=O>Tq{Vhud#jXrtBAT)FgQ4t3_EK{>H8|dgJ|gYwYyL4mbB5amo1qP?5#g z>r+?cv&ZxKH?}NGNilzrx$59;WtQ#do_w^peIrf&V8-<)`wyigdatf?WW1Ufut8lW zZ=K(!62n~kEitv9mA?vZbqrU$UVg8-<f~&4uf^qz=wHkWCW>vFc<5%y@`Ed*ovbgs zUUB?V&ZMVFG2fYgMl>n!*Ls@vjI~2O=hF0_ziS`8|JujN-p{?=C#cqa;Tx5WuQWrA z>O~6XF;q{SS@-`}LX4NQlGuz$OZViR5}t}tlcL_b1ZkX4d&4?+W|FsbuyKJ$Oh>_E z*5g^$J;v_}0xrcAdtYj7$^Q8vWb64lHUEX~XM@cxmDc_WNi9x%@Gt24TZaW7HggIn zaCn&fbotMd@-KJ2cz9amuM&Zum;4;3-hO9!;-$WmFw@DR)8FOSJrYpomN{O>s9(@& zX+C9N@(&r^<g22Sl$tcpPL;hFT++(+vB6J9(?;#K(;Iui>#3jaM{Ivuxcb)9Nx|~s zt9Ep^y?W*u;=ii!-vXAL$rDNpc!UZz&r<2Tq-VM-@kJ2pGk&+n%FniQT}wOj$U$g+ z?1LE$J8q_)ZO+&*=k)An%V!2@D0f>-6JG4{@$fev_NW)<>vH-9_gd%oN1gs9CG~Yn z=ll$oN!RD7zN(*j^`NSz@DJMqN9Nu+xs*TDUTQ;tvCGA?4q-75L~rg+Vt5d^Ynt8T zE5RM}D+{=FE*G<`|Fd)MS+AqV`OORRiVhjA{P4_0?r2HSrNza&B3s`~__b7>wM?q} zmG6QLUn_fM8<oCIDk$T7@#@*KaJ~cmDhX=FDvdVnwzfWdT0T0zPJGLmt{@}7Iq`F? zwDI}V_vU|L%3+X6RDUw9=j(wc?d21@ny>sTo|>%gu=5#js?U<EAG@{Ib#k1X``PyS zwk=&Zich6`$+vI2AbcXqz2?@=?JKi6kJi}E72cs#$h~53`=W_Oq7e*7PB=bWz`8&0 z{9MzYJ`2xZP&@WSdc&?69-lMMxUtTCHmxnrQb@?`aQT5<TU<0}E6=*zv^y_!MN*I9 z8>tJSQVAW;w%7fC?B%U~&hPKKIjOd%7W8KqChV5sI5)?qt7eI;E!%9lQ+blpXS`%% zs^0ka=v&3Tr>x&!mrmUC_`vKSjis&|e_DSP`>ddw$$YLWxm0g|TiB*E3e6Y&SFiuB z->A;~SxoVp+27tt#~hfM84f?Oo2Pwi7c2YK_|voF&9^o`X%Tn(e0WQ0`$WOr%Xb(G zy?dx27hmviTlX6`HC?$WwLhk<@n_&^$!e-w>TSko67-g<VL{OCZ{|^F(-&Jw9Txez zS1xx^KEr3xbgl4PGdN@&_Y`k?!<5{)jzKtmVtV{CQ*Os*VHT;iFTxg`oU(d-=et9$ zioN-Po7Ya&+%kFcQtyes-pOxxXPQvUov}13*)#e<$@%H80ygb^x~NFSAX5I$A^lTx zy3bF#Gcn{y=0euMA2;+I@2}pJ=JT>Nc<IT+6Zbp+2Q~7#X)opDJ@TGQj;TVonAvTQ zwy2UZgVwPp{<jsjpWcu)D|GYis$~6>)>1KX6LXa>>KBCV@#3slJo8-GN2P?m)5bp@ zU$-tw-PzBwgRiSmb~*dIfA-N_v)^+FXdky=7ru68{wfK<IkNL7ZPK2jsCVpA#|r(` zyhmClZc&*L;P3JI{->`$e;yBr$~<IXe@-was4?Kvg#W!fcf8gL-CVgp_;G3UXQ551 zOK&c`@+e+Zk0nVY|N16vhxh)iR_9(%S-m;z5OY%2x*3U{>+jkXdu$M3-n;cc#b^Di zC0xCnev>wZF}X)QOZ1DpH_v?`(hX#W#wlr*3JMAe#wjTV7HcoPwv6{J|F%yls4em~ z<Bklg-+w1v`8-*$_h-a3kH%|@d@~<~Y^ZPAx8?oaKOq-iKX5zMea}#QbD?zXeoNJR z({6g7RkTm5U6Yb%&iJhU&zX9+>*4Ipfd^(}EPIrDO7iam^?47QEOXy3s9!eYRdR1u zcKDN&qwD`T%``AuoBilU$0RAm9!5i}%CqN>bsb%|?Ba_TdDc;#Pjc+GcdV@swAi$- zd((x4O|LU$&2Aj|5ujXY=-OOg{Vlg=?!pX~TLKa#AxUi3D(8Rb#8)-fJhAhr+A@Ff zgz2ld#j4N!a7gdq_al!qpDvpoe(8SSzEzPmRx|1kWgc8JRmv-|OaHe8U%T2=xd}c$ z6S#M6`l9bqY9o8gG5F0aFE1CSu63_DUxmKd_qj{u=chNP4(t_kGZA{Yq}R5V@#rt* z3IC@o+4k0oZ}I65rZS3=KXxzc?9ViQUJ&NRV?W(%u1nu1Re=RsWf>K$%9gv9m;FBZ z<K+I@RZAt*j{KfpF4(YB^q2NT<I7?e@lT$ty1XVbe~K5^3Lg&(fi<r#rrrx%CNXV- zcM|&%4HxD&Z^Bwt=Qwg@@-AqJc=@fR=F+qE^UG%OtysoUCaFK~*?TqpEaP-H|BUJj zY2kY{7V^xWH}MvmxYiLV<&WI6zxkGl?~i9$afGkvNuOtozekZ)k>uY9r&#unc1#hD zf9$2JEcG1c7hL+AAo+pG@xac<xwm9?TNrGY3txDl!QZg!%C8&#OH~@(cv!M}GhW}` zAEbA;#=B&mhH%54@a0+GLLR26zSCtF@DR&Q`LOTagC|QLS*qCkWE=`(|I@pE`b{-w z4xP~IouT>_S_{1Y?d-gzwW#aT=5^oyo;veFWc%7<o00>R@=d+>U6|$YD)4>Gk}o{j z-OeX{wwU+6jeYiew(F;#K1^%mrF1lTwZ3Z{&)XMNAG9-O@iklbMZfb(Wn6EIG__02 z;qVB~Sg*MGzGPM5HNge{?;P=da%|!>tHYc>7$&+KNbL_07Bkm6|5v%=6a$-iUeAW< zNA6BwJ-&yhM0@J(@TG09RD720`;|}}^h=&GQE=X-3!RoKf%~V=bP{A_c=5VfUDjXs z%7Z;8?p0;9nrpXw(=*@Q9%~&necoN(n>?;7{+(X&>@}lkW!(IbJuyL67dZKs)?9O# zbh_7B;-YT(5B1agztk5@(2$;$nRU#kMd{+X`z5Q6-Ux2H-2G}@8_$~sj;xDb|2!9N zBiSL+<gH*{rMs;$g!P*JgcrUK9qQIM1-2UA=bu<HU*O`rA7Y$)Gg)UGot1mXkGcFn z+<V3Or(d%Om_;-?2UIR??u(wdS+z)9TEK9_)91ma4?Znu`tp_Y0_(Asm?i(z4!eu} zUcx_(qqld4E8CQ_o46e1*JPcvTq{|<m+8yuM2GI26W`t~PK(;xT6^l%3e(N=C%a2; z-?-dSwO!f7EVapQmYhInseMq6)S~utY?jOZ7cshq$(H4(Jzl9(RVJ;>8g=eyf|A_= z-hGJ=vf|6jeYY4-nb|jU@>89nx#kHr(ZQ`Ouiu#|=cuQy?t51}?eU`})Ba4`zwG7d zGgU%+Hd&l5leyt&(9gqW=)JouwUEQbm~ZEsfWuSIDcw+;5v+S`tCZvJN9W2P>+Cl# zeZ{)}%g4i?8`jU?ylw{bv5(hos0+-WB%j@O`PBvO?Gop&ycF1f@J8H&<#~5`)tq@2 zD2h!EX??v=SJ-`B{;vC<kB0Ets;?E+m{c%VjAz;QckeWkFDXagTo{rwCutV*rPVqs zrl0J1`D%XL^{2ll?#h{N#x!4KwlR0DdXC4Jwwq@bsU1i@|DkS5oA?{0R#i54GZ)8{ zUuSkbR{8!k>sZ57`}>~DUz`Y?_UD!CWSQb0+d1rSo%L~QTULLddBdhh`@6RtoPX4e zb=e2E$~RV_3)W3O;B(|`XVlMRzO419k`_fh{rK%pnZ<tr|LeY77nha%X6~tDOgXi` z<3ddEZ03!cJ35{k2Q)PK`EmreuJ^R+=rhs!FXxz}<nng&`L=T@v6s^y)|u{cuHSd| zT-o-yAMY}Ieq@PV{CAtee$o95{PnZiN=n%EerD(&FH`xL`+a%bu|=mJzkR!P-+yag z{^f^MPA;omsPQD#b)AvxL6c2-mFF%eOzYvD9#(v&Gx(fcX4=<--E4P+H(h1@_}csK zBO8s@3|3>mAIns4XL`oG)0ykR{qvvH-Ct71vkV1wC&|6$>WaPl>G971r6osw>Li%s z4)5Sw>#?}DY^mj;hdRdJCRukr%Ux0{BfZtFLD6MS%Do%QnXKFv_2*xF{=eK(rl-8^ zjMel6&B`S@Iu?h_S8>hCo@WxB9J%`5tSX~fE0tdQRWB81{CGBhk+x^E>M<K}|J44% z>4J$zc62)2YG{%QxO!_%v&~rz_h-j@8Xxq`+q!M<C5hG5n|gOV*7i;abLw~Z^g6?6 zl^1a5;$)4;T{k%V-S!u_{kZdT^=Zv|)`H0|SRU{Hc6aNV{_wVQbKBptYyas?{(1Pt z_Wc+Cnwm;YSa`Lqe|qA~rl=3)X>%lZTQ_YqIk7`YA$9w|Rb>uUJ0;!Ur62tqvgYV_ z<qQppxLYUh^rWqJK6~=X!}sS+53w{TKP>MxzOTA;g8F%Z()5`@e?yrkY$<s%N$oFF zrT4dK%6$7+6|7pA9<biG&VBjjV#l|KJNqZIT<Yw9b4UD+OTVm*bS3w)tO-V8Q;#KP zU76>9{@|b0%rfqAQ*|VG80JkcQr)c-bJ^q58rHBScNT7btsgK?bmMeO{ur0v>Fkg4 zdVht<oQ{y;S#%?peebzh!gf-ASHJH*_E^$Xfjdb{<}<Te={<!L!KX@Zol$-~pTGXl zoxVALG^}SCRo&D+D3P^nQZHviaKA<EY3rtvJ<SJwCkEP2VX4bhp0i17QuwVk(tQp) ziVp=AtXx*O&hXCTt#5mm{QS0^S<k|8+Mk%0y|*tn6z}?(@bUH+=1FdBJLWx2_!h!j zy~}TTxHOw;=wiEh%nKfJm<2s}(NaFk_;OIfay79{yEhg7DdiFEujX)YIdJ6Vtum2R z*Hv4eeB74W#FOV%{xjb7-zG<M_hsrrZ`t(wzKALpRH_Q@%lmaZG1|AvOy<_oEhe{G z&P7Mui8*3Er~R{9nP1<=s4trhYV-UPy)PeJ@a<6g>ayKGE(CT}?kwozsNz|Eyll1E z-g5zc@fSlR4*ZDT?Bu}d;_@hG^@4Mkek_jpv}WV^uSd406--OgS@7DD#X;b~YtIDE z%X$rM+oapoW^}Av%hn#y_TbEdIa<mgOV3}(dm@}ZS3O;;(Co$4`pT^J&!5^Ya{l{z ztw8oPhhH&`TjsUQQJRsqwSztP^V9VA|7IplSUAz=&ja@4qE-Hyd!Go%oIZ3c;&PB< zv+H8BdvcSUGBx+!P@i1VCB?9+$K{{uYK>Vee7Cb+iZh%x+LkRU^X~b%%Nuz1?XA5% zXURv6V{-&AOz!CD<gj&ne_bd1)8vkM_n-4A|5?#|FywyDw?ezx8Gk>$_I%h?EctAm z^hHanSJg|B3t}Em*>E<m;Nz6bivy)UZSS#KeRcBYH~$w^hfdp|o%7_XXFX&5o+_2t zzmqi7PQ_H8$onIiAMxC_Fz?P(&fku*uL}1o-%jKIa`t!EQMnZ|TF!A@CVE<%9;D^Y zzW;e`-LEs(ILh^z7}{A^aQjL-E^_~n=RU6>bY`5z-IukY9^v`91+&8E9sP2~a@$VJ zQ+DOkmK==yAGTI-cH@a>X0v;pJCCI`tkAz*70`4+$!P0coxVTmY72wCyT1m^^lSRw zHvh2O(ZiF4=RAK@u2PiC<>QjPU2Oh_L)?tVTN_S3`84HA?hi%&wDa4W3QWxA=}Zgm zJ7T~nnU?hDtMm2b=WUAON#{4or+n^7XJnf6?+=SI*M!nzOv*+%m6vrxt8=E#KVx2f z_Sx+cwmB`aUR$%n?JV}s{kyE!{MxRsqGi*+bVsd>D9CAch^jD~U~tWHriSj08$2#1 z(${tfMte<E*J+l@lAc_5=ctj|?h5&@{Q|B!Vw^4M{dblG85Of=viYqFTb;7z@7b*( z^8$*pn(o;jdeV6%pDQm;a`8*+73RJTY%6m_eq`8Od_R|0y!A!0de8ws{>81ECfmPh z{$%k`xpSi6MQw}q9u`UA5A+Z3S>yVTckTDfx8~=uX~s{k3Km>8qhG^<(c-!qLxl03 zD|6i{rUsc-FWfTyuC~<1M~6NbJL|37`}C%uz~=wW`%Xw%Grf4U&enLcVwl|{`2+hd z9w;qP>AF7eWKZgitNVn1T}}CYj3t$?D7we<(p#@<^3UA29Ed-@{rAyd{ZBPH?WY?l zsJ-kyv~4DL)P(GHD@$(mX9*qQZY$@qTBkTMYW+;_C@$U~5v=O(_UY$`T4}!XaePx- z@YC$n`F&A${x~iDwW@G;>#_WvjNm_KLnh0-<dc-FX<D$ax-Nav8HGT70fB4Nir+83 z`-S01m;a_^JLN9F6VGT5Ghe%-M#xska`n{Ro7M%T<Q(3$jl*oO-IB-2P1Sk@yVI|< z7ziuI?9)5@^>D=OiKYuI`!smBD;VlL<r4KvNe(jiW#<ricfD|)UaE+F;a*n#^;3<S zZ0m&nohUKW6ZJ4;o=_&d<Xb=EtZ8>Vuc$C*e`m7aubC^KE|vK30Mpg_HK_stO-nm$ zj<Wtc+4tBb&Cb7mMbz%~>-rTY^9PodPF+=X?;7JNIktk;i>~g=+g0iG+&bK)Z_CFU z`RBIg{#I7I-pg3KNuaCy(4<XwPJB1M^4YlIxDRu(&n>Z;24Vr1_enGt{CQ&f^TTb6 zyxytK9KLHZZ*y&poUr4~vWpYu-`pJ95+c#I?VasB^VJJ}-OgUMTSaG|+MmWv50715 z#=Lk%Z&IOwtl9Biv4dBv-zzC+Kc2IQ_v07!pc#gleg;OOC$1h$?F~OM`{S$YlNztj zj1xD!wMX9Vj-5eSW=7oH)n(8Af0J00*I}t>Wtq29phZM-(cAulxj(j4XK(M04Enb< zL&IbKlGXG6NlcpRlN@?o_x;L{5KWepxS)f<v8>#jD{K8rh5SzIHzl0;<6sge+^=24 zn5R||TwFhAvOQDO??lnBQg3U*O?KQnQnmfMO>Otj`Tjb$p35m)GrowK)iz;5j*94n zodr#Imie7Awr%>~w`KFOT@&5&uV)l>ux@l-{$J_u=b$y4`^<BjGi6mK$^|OUH>x(Z z{CBhGlDf@`FE%3lZ@3n_#D@AjSaDP5zyF!4^}GMSoZ6+ITbsQ0{?1(n0=)l@ZaXS- zs=M5?wuVb``?SI;<&{5w9eBw3{l=<xg@w<3m5cb9HZ5(u6rTBI<+2RVp5Da3y&rd% z|31ocI^X<R;cC^7^OJWzP&t0{(!BT$^R0Yti5xrd)sSb>CoNmfwq-LI*q(1{v96Rn z?BxIGa*3LUk;Uxt(`k=ah=<F5T4!n#`0em=1Bp9&P3pUM`Q^NkU&|a5C42U_rn2#x zhx2cEENYo()ZKotRZ!|;_?tb2n(WDwRSujHOgvk2yxk|V@2UA-(`T)A^L|XP>bN2} z^PSY$$47-K1VefE&3RMHuFTwiMp$ZFpk(OOR>P?9f<@*V8XM=@Wj7xw>VJPlUTBq` z^`xwwxBWUd@SObbRc+CJZ_=J4LDLs&?mAihRs4+Yp=vL8L1vM@D8mN^D{SKBk~Frj z(rx<G#Jo*Iw9(nzNcs2L&7sPt%rB@UwQ!0l?+R^S%Ele`cDc~oWnVQik0js!Iq~n* zBtAYDnN`Bt|D-1GzE)bh?){005^g8w3NQW~&2d8F%j8La&0VUuUx}aT<?-rEi|rGi zD_$RW?>jWvUFtQP*oKmaPOs%QylwR{mpz=eI>@8O=ZTa;zwdtkgtLnjC-Oy3;8e`1 z$*eGo$P3!#Q*p}H#lmp<q?eypWqM~xd)@r|MEdKAqvzVKUq$M7{@nT0`|)b7U5{@Z zYguA&GH{o1%=za$Wx|gy1~4Bip2SzWQ`TE}<B`?xJ{GfY*Uop<co3iK6}ia8BV69# zbzaBL$&m_%Tld9Wkm=ff`e9<f{)dmdoDBlPq`xFDQ<mV?Kc!n6B=%<;Q@N+%nF-DZ zE_JCc>6O(q+~aEZ^iTA}T}@jeMQ#2VD!!`t80s_OYTA!X?jw_0(uE!ToxGL0lSKoI zlMRl9@NExN+<uft{mr^FjgzNs%6)cWx3`q{xouUo{c@AePP<wEI(BY(xc%f~R&VzH z4^iY)zLaTf<-mXZ&^ujgm1G4|?XSw`7zFL5nl}HGX$>tD_;j3c@*WTS2j9{oc~vaz z*^8<x*y5CT%v!p9{&Vxg(}U+fU7aeFbVGB#SyA@UGTTg*L!I4xD_0wI?7DU(F}QpC zwte9rMGtN$n4z@tgo*LP*w-B2x~rd9SL;rQ`FuF8wC<Ts;N&0dyx*hP4;`B9_>jZw ze41>~M}OuCskzC|*JS8lnP2L1?IGtQwPH5KFP9FySzt0lJ=l4{;id1arWYKlXy3Sw zVRr0FnWE&USCa4Oy?W+ZrWiJTX^G39rau$Dg-&hX8@Y|`mgkEbDpfBYXU$YliuT!* z<l1)f*oI9fCJXyIHlMsZrCd8V;IP!9r!9OHW=og6HT!6~I_r#Pf8Q<j>WzD@@$zJ} zKJ%+(`Yx~ax4xJ2>aLx93sM@rzHL@F(s{P<yY>IuHVb{qc%{A<$_Xj+YjiyAIsDJ* zsCDEUyBj+n|Bqd}O?qj};v!3JpDlhoe0y571^G5qGn;hf99&ya7v{ZZ!RoShiT5X) zYJUGYbF;|z_U7L^`d?2!-S;{7|AGa7a|=HBUVFl=bHU|J!-OyY(pT#o+qxn)PO4Ge z>{Rgo%5(3J?o9BO3C`ZZ;Wa(RGuz@)a7y>KY(u7t3$E%n{4!O4*L%(}BlDiZqTkbI zaPB|fGIw85*_Gb9fWMqNRz5rVm-6^;Pz;oxS#@l8%Z4hU=y>@>YBTumB`>=;effqh zT#*;GQ!cp%E>SMX-fy<w(_@yZ*yOJPP2ByOx}iDtr)S6WC;d(AtoAH07k_SWeL?=* z-txqHbFJ0af+E_UiyVu5|5&T%O;*B}$rHW{CrsWb!++%GM$;d!jUvyO=n1a7a(`tf z>#Jj>*IN{$pYE8eyLo2Blnag*W>oX5M;B*^DNfyKy5>hBce_M(>{PoqA-7#Zm^?bU z=gj{fI8kAnIr|<zpWp{)&C5g#jy{;M)3L6$XWFxAahF}(gOmN3C*IBexuv3*=iZvw zwzf%}cHOo`(eX;+OJ5kjtQHU~Qrp|8Jt1m0ujUHQy<97toi=!%YGyOawDJ->kXm(a z$3+jD(4#Ic^H}8^HwFE>Z@or+#RCgQ*MrMA7W{N<?iQZ7>PPPO43nIT8rv-XL|fje zIkKw0(a2{}L*UA5mMRIK_VRDPdT+UaN5_l^%atGVy)#=pT;zE+Zn?q5!jkXyY0ZM= zvulnmTfEcxsYL~o+QHjK7FxU9A4lAls6BEn_p)A_beB$M7T<)cg(vUX3(r0EWJb52 zjLvI4sj7sp-fedm{Y)|A?=`SEn>4-r@rMIeV*ej5e_GBS$|pECtMbR^DXR@!HQQX) zRUh5@{{Q|T=h9!TJ(aRG=5c)Vg4ikNvy??<EkDnveCqf-zP`)5q7(|BH1?X`yLG|C zvv}H15!GcM9d0fUmWZfmes_^yEbqaV==960%;)(Xb73(#S}VEqx!?cZ{JYO)1#(z< z`Tz5Eo&I4})rz)!n*-Yy^zq!z-~C!|zr)srt6#fbIG6rc&heT)=QS^@lUvkgYA==9 z^`A$YFUEmwcA5T}&7TGTRDP&`?Y}X^d&euzkD_Vq*WMle)V!qdo3_ZI<#Lk8x9oZ_ zBhcxK;fbe0S<^2xnLO;+{Qu6%=bct%JGzw4u+HL{ug-OJx82%wot~G9?aQ}&Mg4eb z^fEk;*L+fpF56$OOUB+0%a0#Ybo!;yxofRf-0P35jjJ@iGK#9dy1~kOSR?z<`h-1! z|I-(lmYfNVT->{&qFASXt8vbXbBC7+dcEk?&zlo&7JBM(?We=Djuz$QA6u?^;l<P$ zf)~vex9~{66<X}>$XN24?LqwESF^WIJ{&c5-GP$neom*AAB(;Cvf$|>k>@?dk`uo+ z<t{H^oUYAUZ=D?RP2DIpOndW<R+|8Cmv3S(t}qvwTF$c!S-#l&Q`>yT=2XRPb7y}z z<1}OSl!AqQ_hKs7I9$(~dSM4=?W}nR4Igd$ayP@taZ+|TYwB%|hgX=I*47t$Y?%LG z=FEzUdpio#v*M>`=JcP{IapsR$n5OH!2IIelJmFM?G8zw8TnJBWzAeEOS`(&fdU<V zT9d6RZ*i}^>#1mMoOyU+*}qEPD3<0b%bI;IdnMci-o)QJ#P`C>{_^>k=I8FY1YJ2b zTR{1snR~{T4O-Id_GXusq!sL4@0}PV`euvtzpCKCFWV<JsNOrLxp-m30&%AUhb;^5 z1QZ0fd#%n)vDwwXEMoH?_QOm|*L<&w5W8ma%&7a^RLhMaUG453=CTbt)p{SiiCx3o z6|(sCvk!;ct2)^<4)xA{v1R@4(rB3(pIr^ICzLZ~{C&Q*;K$^v$;N4OC7!sg-{Ra_ z9{a`ku*}wj7xqhD_-MV|(r*$s&!_3XS5{9xnb6qw%;B8SRy~7AG2dy&`4&p9KEL&o zm+{)$-Ou`0L{0V!-^^=qNxm!fYV3(-As*q(g$(vz6VLK|_xZj?eE$7AN2H{-e9O+% z4EdHF+kfyxFx!^RA%bo`Pqf$M2}d0~C+OvM`&8h5n*iwo_r#+APW`^$c&Dmynt2+_ z9(pC094C7D@nfaPT)|akIg{4h`}KFz?1Vc9yqEPAXFlI7vf=HV%VN`e|E3+ZUa>l? zUB&6F8vFDMan7y}ANt0&-np8!Oe*GCKD*XpapMi8%kQ48WL5N4+7f!qKWkI+?4q}Z zPwvdt?)03z+bNn+RpjyW;No*uE0!fl_pv<-+B&bHkH5!7Z`S1eoqqT1X3Z7*y+pXr zbNV4B!}r&lM8k~V82p}>#DDkrl1Y901+KJ}2Jcgxu=KR<>>#zWRfnG$%q(Jk@3oLk z^M!Yoc5-z|z@nyK`f42<Ut@bhmq?wu#`2)znaAZVEdI6oC8P7bU6q-ypFBHr-d4S^ zC<C7l+#>6^7qH77=N1#%^K9*F8DIZp61T4DcTDhT=#RNkcf5B#tGVv#V!r6rn<wQh z=B+<Jt5!W;BIij>#^ommx7k_b&6#yLQ~YPmi%h$w^zzL%YKICGPCXVjySaMzuI$Y( ze&l!R{goE0%&E+KYbu=l_gv<u!ybaR=TCipd7djdMf2lh|1-1I=U%K}ILRiHm6|)# zeooZNS7G<}?a^XSU2yr8bWQx*!|xX_iSG0gm050l(`Wv-oT8_XW7q$<T7GZphchjC zYoDCoAul(HZSvV-70!DB(=&q~YE@mnI_LS1-E$9b{>rl5Lg7)9Q*DItqYJ7VnI`$B ze7?crcrv})t=icoWI=LUztmjDbH@`_YVBXBJv)9^=CX}9B&*e4$y#2BPMg+!qVC)D z2ZyQ`Ycw7&+04gy&Gzec^(A*%8(hPaB^W%?f_ByS|CU<s<a=pj%aSXGd#BnZ-e=HC zxWAIm>QBPaD!-O9;+xgAjwI~<adeGqWp+mByai8xzTvlNxWe$_cB;5)8$T1XJg0Zb zA{E!gExTAXc3VsqC{OlZSY4UBY~_*DYc~C|+P~}u>ocjgCB8bpI<<M0^xXRVz1vo> zokzR&$ALc+7(cC-W-qjfu-#(bH{;jduEnW)_*lfAS#%g263ARWUoB;4+4%{<#e#G5 z?Ud(7UORQ;n9QEURL2$rOPM)~Ro3gDER-m@vD2ii@qrGTgEH$x{-3pu`|eG9dB$SJ z+K-Gk<!V;DCjHWAFuARL;ND*5gleH^(O2u96z51CpC7)Dxu^DLa_j37&HT8VQ}(Xp zD(8NCa0R!$Eko5;PQT9vH=nMw`SxN%or&<YFpsKK+gzsr)s-Q-%-4%_^q1alTKJ}A z<^SHj$9Np?Dg=w&ySU`(k2BJjzOfxx-TLc>*s3;rlNS}&ln&1*xyrfF!@l$B(MwJG zTP!xD#O^&_Y<e-Yy?3W;XnL5`x#HK%?fi$nA3yoC(p}Pr_wGS2@uoUu5fM?}c^XV? zcTSb&2y9o;-?wg>=#GD%3{s9RygW<z^Q}9wIo}VP<Xsh>%C8Yr%;3CyA%mEf@pm23 zFS;C?QXj{@dTIMCt@6)@hF=G2e_fincv5WMqAAVGeXo~q*-=wFy?6U^vFp0+{Fx_s zY`TuQUK3qvvcKfit<|@q?%k|)*l!x8I3+^!(tpO2%Bv0MD+G%uHi^#kF+BF=M$(DD zOE;d<ZFv)z^yFubzyhuv-?=OgZ3{X1JT`|nuIxj@2TtXVv$aQ^xO%i079Z`?k^fe= z;67g$i**M3iEk?&CdhUlyWgn0xJt!|ziw@EZ)ry7!o$`Fzv!nZy^%g1=l8(OqEKd@ zPhP!ZpUxHbe@7PGnX{{IYFPcWe}^7T+r0Vs5yjf+Dc|(>lpR>pP;ZhQ75L!L45tSw zzM64yLUQwdwS1P?%GzNtk)?Cp_j{Yl#FXC8ol~52@WlPTBHKse2Nu1%*EC<@&a!X2 zDsFpjU0-~upmh0le<#1LYg-Mk`o!8WFc>)R-LKv>W0Jq2p3~j~He$`+!&iS@erdMZ zr=21xb<vkM)JR8oN$i^-d#&vK_t?8D8CsKirY`GI+kQ!3C(dxnc7Ck~`Ai?VO#&w- z>@{W&<>33VZyM|RV`c154%lB7ZmC^yz?rupx9t9slR2`tHoa(n{7YQ?%5%wi9iC~| zVxEc}pFHdS`u!og?l$jYRtvszs{NmL#_Z_Yg-`AF_GlaF?|r9|EV4mh{{3@jC13Wv zoa8EbZ?|_D*PVU#Pgsr!3oLu_MC^EA%bdlX0e=+zR&21+w#_w4jCyuhFuP6ruIL<Z zY0X<=zwa-c5G2oZc!R-7-v8V`45o@mY3n@Qe2;Vbd9x5NZmrloA;+8dFU;$=ov8l4 zZr`u?myEZoBsdqb74EsbZH;?ZHV3D0^U~C^=Hn)Rqt>q6D&X=;SW!;1f7%j-SLfR7 zp6+zr;T>VL>MP@;@IK?`|7P$@{jn7_|9AKPd7&HE6CC6ZyT?v`^FW;EPn7k=*4s+I zGo!siUrcom{j679t9@8FQJYWxjni7GBVJt5IunXLv}du@nZ{d)O$=yIuL`#IEY9Lu zEB2>x`+Cdu3L^LH{u;E+vz_wK*s+G|uB~*o@MPorFQ-htm6v<$eNNyNsoE2dw0Ck9 zX*4RGNqX>V;od5-+-Lk@%deJyd+%*$lz*yn_Ukfrn->BSYp=$h+&N|a>}bIznOByM zjFZkbo#!anb<cScPs8aZv0dj=>pFHQruSZZ>lnehcBQ^coxXb||L!;&Eq|A}FT$P{ zu5PrsdGMLYRetp~{1K^-8Mkj$dpYm#6#X;r&EhKz1%vgnKKv7nmVD`{R3$7u@yAS? z{OemDe*e;&Z5#1dZ1v&q_4;B3(#cM7PyWB--zXyfY;(u<lWJ@EKAd2`H)TrRRX^Ef z@5{GexZe=|urZUd;Ck7Qg;RyhHO?$-ao*8e*$@=}h-J5N(o3~yxyIVcElm-c+it(S zRo&%b$+zakgRh!v*Ps0LndO<^l4Y!Wd%f5EU6Uo4;<UigHnQz@Qh@P3O<#kaoNq=d z(*zdJI)8Bz-;<(ivt4Z8Etxq%_1`ahJs0KWo91?HF2Ah&@5K7kVaz{Me6DFVcr7^} z(88FsCj7!Xo_NhGD~`Ko=SnwJG8moO5jxXzy@L0ioRU||DxZEYXk~w5<MQ%}zk28b zO)<qMrBnGP?7v}aEpd)%`xUhx^-ls>UY1GuT)f`0<o=cC?zySUJsza34(EL>>dxPG z>;13Lp5z-&9uemE4+n3^b>6qyyGDwsGgkO_Em!9i=_&J>Sm(Gj|B0%QHkOpP`XDH} z<7cXR-ob4=SGaqa<I2?39h~!j7Wj&1TBa>=D7#|b6j?s;W!c6svx?H9W2eNHEZnfx z^S2U5^4*tCcUf<noSoltBDiagTI|YITV$$t3%tF}cOiD+F@xyiGJ4xX)OSuOEHyCy z!?UBbSwQ8%w*`rcmM6=u?%pTDuP7F_J6+o5!o~|sH~;Tve!+R|HJh1tOxY4ymYF$T zVyZi9nEYyXh5Pa>ky*Bdjp?!MiGzijHMfr*{%6c}<?se$k$HM2{)fG`5ZZOj%9K-F zZE^3!wzy(*-XFGW-@b^PW32WlY}$7Z?WXy6mmNH;;Cl5=&Sj<YVC$$o99?%$GfZD{ zi-Bvd)6L`OQaI*hx9{97@?Bdgv+ADNfy244i*{UF-gcuXXMthm7Kc-lq>DZ}CY;~9 z?0I~F$U=!pw%?B5u+BQX{nc%`qv_=w8c!Lv?d5t_+dd~$S#0Hvr_lyXj%zph>{5;U zxT|66de-mFwch7*-^uq(oDu0M=43CUwR&>bT=Bfr2YWqTDiptcOJ6>PEo&9y`t1Bp z-E$AS1<JpaJa3=pl#yF9@#F5at@GY0-I?1dVvw1By?XL4q3ibhf9*AmzI8~uIGww; z_j!to%brkHhsIAVabaDev3qy@P~3m;$BuPH4{Erka2d%P3vS(T)IGFrHj~YYZPAg- z9B-{U_2SgB!yEo(bZSaQbj+Q!`10v){SM8y<)6O)5w!ipx@6-_*C0=JDZcg7kED1m z@O-hksx8}a2KVyUf9L-7S=e{1(?d=*akJ*tnNsbges0qaFR~EIUM%2!vF1Cglq_rI zUd<0`_ov4G*~2{3kzIY^>`k3_6py}2diLt}>L=5-|KFn??_FnN@U~bvQsj=;exaSg zK?$i{dphmAl<j$DT@dIlKdCiG)&0Jsz(iC1^9Rei*Uro;n%;G%+{>QJMc=aB{=A(O zmy&e9#x9p@A&362cZr%<DxqxiYSUMfN6}3zg3+69=`UNlv?n8~Qb<pKb6RZ%yY`As z6Hb98`z#kux_Y}o<G|Bgt&j~0hOw4>4}4q>85^^#eEaVG+TicEZgOnC6C?Slz)dOl z(BBf13rT5HT7n(rQxlik)csK2qsZ^+a63hnHDTUq^@jMD0<}U@)=qYhv@$-jW{T5# zG5N-eTR&{6h&Y#8zjy!E&0+6lyL!He7fe-Od1mJ;hwks`{sQ3_Wlk(oTL1J!?B@5v z7A_w=zg3#)v4zbNPh7j<-^)M?<ANt=4_f~ylPYgK_NtjH>F%jnfz6*a_v`XSoi+E^ z6LC#?7T@w%t~V8@3L`yl=)GFZYBa_BVa;Z%sm*5>&2P{NIvr9eE2CVwNbp#WwfV25 z(*4sfHq>r&tlYcGzuIBOS>aDSr`+mq<jYRm_2~ACBQKv?z3}v2=2uyj{W6y8^?yUj z^202#4qv0)Z%a>fX05hi+$X=XeYe`CcT;jxLZb@H&H7%mDa|<iDRjYcrI}l5wy4zH zy*)`tOK*Lg_u-20U#_ikl|H+LdMmU=zC~wo-^)GzurlaeTiC}5L56OOf}KxdwoQIB zaqo7=#Ywha9u}*921W-orvLhG+k2|sz0SC-SgK_AL+-U13q99x+I6+HH#8k%m=Ur$ zdDXcT_08Wuxxdx9>da8q&9d?j<B5;5&YWy3OcXBEPpR1~_RGz?!MSeU?=#Egbxgj8 z7yS9Rbluj?k#n<rrG!p?nZNW}mzaUJ-m}zsvH@u?FZ_R)cID;Vy_HD|E_KZPt8rR2 z^0$ZREVX&U$7?+w?6maRTX{V0`{ij_>W7zTvR`@O^6kWwv#b7Idi7Mu;O&d)g-0e| zIRA|O@u$U^zr2LyRh7g-UZ^cr{1w!Aobk?%+SyOaB>8Gv4nJRzG<SMw!0kP&>z4d2 ztiQ@w7wW1qCFQl-79YJuM=~sY@_5(Wt1D^Ya=YNd_VPbVGpAL}rGpn+?o6K^{?jN& zeSNU=)2<KmME%<HwOwXbRmAxk&7OMnf40Q)bqNyNZgE`vwMImmv7p;E>(tbpZ+?m0 z+^=@{vRQC&z3MCFnBvx8QMPU8GV@qBu6oazy68fCmPO~0z+LCXU$5qWBVNy+cJeys zga_4q)e{$REGWpIcf{`CME<halS_4L-m*I^P+Xe3UQq2O)4RIhiL;hm)QQoWl3o4O zv%I?fh3dzW+b{c;-krx~`sw_JlV_V4E}Z_oC3nV#^&5^e#Qs~*y3``;`PqxBY7hDN z_TJd~xH%%D_13FfE5$<&##(C0%Ioetd@bFOe`Q!>-O+_H)1}*<+zZ&97HD)QB&quA zhvjY6GdbV9s!`B>@IXjQbCM<R!WP!WKN%ZWe8~7`D_K;t<5#F7Ym;0vtHFVe&6^Hq zt`Psp{4{WyBA<!iTZ?H1^Y^Kr)%~NrZ?c-i^~Vzg&1>W@t9!e2a^8)~H#t)}p)>ce zWYtBxeQTIrq@Pgwo^m6^V!BA$gcHZEJ0)>;PiZJzX4C$)G1@?t<!W!^`vrXNDf8cT zFJG{@U;ISxao74g1s}haKgmxn7JKnv`Rpo_d~3<Wb!koOPSz}Ld160{yDxdZT-BmI zZ;moQjMy;o-@o&MEDB8ymsCwS=egfq75|c@G`sW8+#@Pex$oM4o)*w%(|tqsE64ou z{Z8HOyr1Q#`ZTwd&vprNe|y@yos%ysXT`JhKeiim+BGcIw%T01)85J;y5yU|EzNwT zLqYuJdaWmCPM={?n)ov#D0J_dWY-5<7FEb|eLQl1iKJ4`f^W@lxaMtFYCC&kZbgDc zYw|3k{%cphe->P%y&=s|Qa5daGvn1Gm$G(87J1JOEmiEkDYdZb*DKA(7LniX*K!{C z@=ol<38(TSu{*S`=_t*4dFjpll`W@Jm|H?W^40C}zRAC*`<rq5KbGmg-Yh;aV^Z_~ zM{C`!WdA#>=Qip+socLmuQb~xtN-2!!)Ll5J2Efc{_dJ`|LyJ-cNckc?G<lL$vaSg zr|oQY!kdU3{>v9jcZ#H*7d^cyOzur{JJYuK3i;C<8dpCU_!RKz$84COvAN6QH(SsD z%P;!A--y1XJ%7FV^V8SXaA`KWi^;P4T|1m`rY~v2m5JSpZ54XmuP#WrX%}3Y@MMdG zMXmg$nytkbuZ#8FKEZh7(xL;8BHllLSsaix`@?IS5<{V;W0QgvEOs8})!49p_A@>! zhDVMn4p}o^TsmhI)7r~?aq@!$+S)d$wxR6~FM4{HU5?nnvzGh*%?Xkn|5B7S9xMy{ z`tsK6_uX^rce)CF6Fa59kgfLg?D)O@c`@6K>JE6+7JS-m7S6JFsZ<<8&61Lqj*m;~ zwQemGZcUsJy4tWNH2I7rpC|7O?>AZgCgO=}iazo$wmY}?Ygx>ntUDi#<hC)cy?H#m zXBDsUq}hkd!}cH2-Sljd^>x38JPL81SNT>Q`gX}Oe}a$h|Ku;TKdx8a`OY$Ys!jd1 z!Y~f$)Fackb<KO<Th-#f|FJ>CyOnWkue(VFSWTK~te(JJzi082ut4@W<JaYZCHrCz zIA}1$rM^A*Zr<!qC(g(CDNp*+(@~$4WA)6PnI-38c){<-vT4cQPnG1-FYp8{^kh^F zkvqvWwW#)txu3+RYnqcdwH>Ff-Ql)qUx%Y<*Dt+<-kx_K^^e9e_DMgQVB|M*?`O5M zb&Lj%8+C+T-*f%g+B6|GZsF^a&-`|4IVziV%jfXsvl`DX*nF;6D@?Grn$IFXvSHei zQ|C7bzE$?Ch^*S-wBdW2_=_eFFIKOwid9Tsc-U3tWEyks#$40w^^2X?9a3+obt^&n zmR7W&n)1#`=ag&3W%eg*x3s#k%;q>t#O|LNVX7&!0@U`J|2-PLQ#{xr`W@@+6OA%= z`+WA^HQ@R+Nw<8RQSXA6M-42WFPvn1Jtuyx`#kx*@p~f6A2D2?;k@F`;wq=tmU*cx z>ht`94#k{a;J7sVp6~s@*kj)$)Qkjkr#zh%9kq@3-P{SEn0N0ro+w`8czP0VY~WJO znoG{jMfppPY-McF^m6~?|IW|tSm6JsUW-NdF00zrcdzc8UYq#YyRCQH<?@){+sU}O zAKp-D=(9{HmpL|FWYV3vmw4<7S%o#$&e|)gGDBqT&v%U_d0`KyTs$iB=G(2^b!&vD zFO%K1Sag+xgxA`)ast=p&Q%J?mEI@nX2x^r$0TO<G(XiR+|e>;1XrxTxN6REiH$p# zZZf{uW-I5G|C))R>`KG+d-J<wmWXN?9qd23Q`c7ic2L17CizhF?h+==2j>?_njTeG zei^ZmGb!hfM^hf>!!JTPf48|W=IwS7R*vo4UzNUuv)aZe`s0^OnMFofm&ACdzyIG@ zpC)(w`S%lUkGor|9PZcWpV`ryB*A1eft@{h$u)iPX31*aJe4OK4ls&Ep7PJ+R_fB+ zwNTz{)~>gzF~vp8{mp*<ebqke++nv5Up>SePB?I=9cftor7E&EXv+o%-B&iw_dSAs z)=br`$+uS2n5fw%^F<<O!qOSpClqe^cAr1vx#ws=`klKX99}th<!#@x?>(pzwPwN& znYV}kKFIQTer@)=l?^xN{pkOuQDwU_`+T%I=l>?Nqw?p>CveF9zp5AXL+okpo6t48 z7aH)tI#PS3>*DSUMzepf{?hO`;OL$83uT{NyB<|~S0&@k{Jjd>pW6rC{#O5DXGgbP zpzU5q7J<dWi_@nleZ1hcj6tUIOr3{FedDt1XEXGYCz*cWTcOlkGFRupo@y1LDKSa6 zw1nNtqULN_aWD2!N?zd=p8v+37gBW6Z!~_m7@;2Jv}f~MbGMi>=a+|A9$D+Kz7t8T z?)*0?M_ZaBIftb)Hf*ADZo~tr_W|?byB15GRzI_8Leiz*{9Si$+?Z7RQ_kw{qdf|K z4+3BLb}w<<Ij>giNSlqt=ZLSHyu7$iZJH&j{_V&5ms?NX(NNC{3C%3dW8nJs_haIe zgYS;=NJe$_m>4fw=bhPeV;^JSif_5OzE!hUfAM2-bJ)3qIk<G^#J=a6KR?=D?wWjX zbL@Z3dgi0kI5vK^JMg!w&*JD~6-m{`3jfKQUrKc?PPA~@<dny9KXc8dS*xB#6~EZx z_~g(ugQ6hzfIa78&NZtG@H*Z%YJT#538#?tobb&L8?L3Sxy`!w<@Hk*e%=2xCv<W- zA8L8D>DD@qPtKzKyRUoe9pbp+KhraP&+o#S9ELra*1!5<{NftrLS`Cf@T{J&JgEPO z_KX|W>tDQ#Q|-$T&|j_k!*ZX9^9Or>hu=BI4($b|zLDKWzi|GXr6;mt>&%oBlk2Bk zot)gR^mozevwl@UcbERNovXU0NF*ZOaOs|G)A+zr-Xkn)CY#+6{#;#qJA1FmzK!9< zr*`f1QewL^FaEUsyWUTH=l$RQ^`G+4&vmDvepZgj#tn~`ExJ;>{g#2M(4=U`-yBlA zKd$Q*Dc8;VA@=3Xy<hBH0S<jyN9Tv1QBdAdGB2)Hbl)SPPj<Q+th?MN-CxfAbBQK{ zV5rm~nT|=(p3EBOJg>XowXy#6G?z`4b6KpAZOBsIoO8!xz14#@PTp}xbzioI+U&Ql z`M2$?Ro3u4>#cH^X@e>A_sn;;7Dt}y9DbwSYPC&i(W!IUzuJC!_=Pxl&bIQ?tvJD{ zXgyV-MaJ)fM)PEu^1X8wG41Uz*Q#4$-FC9*!Rb3Y-gM5X@y`y<kJOv;-fb_#oiEvK z3CH>7ce%g1XPfhNVe5}e7oz?sq{uF1(`vY|N2M^~_w8?US!J6WcOA}edEIi2b$e9n znu}IOd(#W^j=8-IJtouTI-@vL=DF_vSL|2TtE@Y`wctfN>#h%y`}P%Iabhw`-PLRw zc6puo*N|}KqncktE~~6InjrNuQI2Q+_suOry>XlRIj5N`m}qi!SG?0>D2<SwG;`~+ zlTKx+Ws^(4%4a6l^g35P+Vbj(<I>aojtkDaY!h{F>JoE!`%<^c;O}hfRocxRA9?2V zEZ~~3@xhD`;eBss{1oI8f92@lb1!%P0ng05&&%|!Cw9#`o9nIrX7*+N-JFNiBi@Jn z>77zp#=lwFf#*u?UH)AXzt*mCWc^?&{wRN<SM%MCrP+@zuMYKI6WC;OV4_79t9er4 z&J$moyDshP`kQ`=Q9OG3qx1VeUf@uRv9%~*eK5(vZq3;zN(mQCp6xDMsORM)Y1MG< z*vorIbQc|e*?!Vp#&Kn&Tc)$m&U*fn_t(jp*RWMjQtft%GhXi%8CAA!pXUd@p4a75 zmwJeQ%lWFc!t!`Q+Vh~*&cBcD>OK-IEL{}(S?FQy+AR;y#?M`GT9140+cL}5=6wI% z`!4L=Q1@^Dj%&AgXI(8(mpRmN(aF|TTi0Xj<h?J7a_8ChSiWZ{`C$BE&xO_>@-IxL zEn!fZ#wZh({_Dpn$;~?z<&UTBe-Yz(*e|TNcuk?-L#BsXcGu)CR0S($itJ(uzuSC{ zsYzvt)-Th1xkqf(s-b}u0dHn9iW%8#Oi{?ui#y;DD1F;EGv<xLj}^Ah(^h`?$L4Bx zf6<oH?S{#>9ZP0;aoyQ?`+7$(|LOND{xn)1IW#?|;?MG^l7sbI{xj_2%3YIi!toE| zpL(^k%4~i?Yu4mPEB(=zFU(pySHj}TLawcAt5qt>%$(iLwgr4@h}K<x#7tGl_nFwz z6Vd4pZS;!THeZ?h`1z4u<&AvJ)1qh1zyAMQcaGJi4kO=&>&H%<_^n?YZKc|{ZQEm| z8!G&N_>LR>G}esmZ#GPRro%LGx`N&M_50^<OR-)f7vGnAFnqeu{drwUYu24w-E;ks z$=+*+a(0+KkWn|BrK|kk@xi-uryrSqR&ZyX$(+}iwc6&eJ7dGuTXja6$Ck`u_4+pN zp!@RkQ45;<U;MOQnf5cBZ{o!uZ_cEBzLzF>9=GiJy{31^m5UlPF3(`sm8{I#$NOZ@ zwVb4Y$Ax_xABtK2ywCepb<L;X?jH^DW;G6KX}ePP-!xz0UlSMZaQV=IDXk1A{gMJ7 zCYXMjef677_v2-^FQ2=`)4yTggpZ+@W2{oAY^Xh5>0|j*&qgS`>36E<4TY>jazAFJ z*FHWk8oXrUvd90zXWo>Z{El%-#<nG&>KBS{ULCKi`D44+{*!&7edpNQZUyN!PnC>G z=v!XXT%w>H^zKs)_oK>ntMjjEMrv=k$+LO?7Q5U@g1<OzzZKmm;8^jl^jFHwRr%8o zSFLmN=1@4=m6^ko5UneJ)=4UDL#DX2)J4XKUrlq$ra!DRwOnt*eD_t*af>BEJrnbM zuG|Rvd}zw%O&hAbw)X41GJ0X{GU4-tW1O~={_l-dzsWLZ*X-|(JFHzUMC<>YctPSp z<b$bS@=DC_&fOq4IdQS|1)D9tHQ(1SvY+=eUsCY9-s`@pa~Bsh2A;9HB((i>-s3+O zF{*uPJ2ist`(16#@aePAeBWahFIL1@8lrRl`Fg{vs!v&}PG5QOV6HM#XxQXsY;4no zoIgZr?%3ndt~q1Z2@TGTt5&M`&(D~4e+Ta`i6WcI;=*QIwolx<n4g!fYi{EDxSUn_ zZ@@vX$@YtLF2C3=%o@({x6AFJf?2tmNL`oSf4}=84^ubue95yulzDu@g&AMxK65J+ z^$gJ~QdMtx-NBju=F+`|_iUIJD)gmIHIANeu2rD*`uW~9B~LdviRNtT;*#c=6MNSy zAm(vo@QZ%&mQRs9NB>p(WNyAzcw=j*+4(%fuvfO9E=uHWxIO*&$A6BK9Q#BwPd~5R zZN=w(BYJCC@mbzgS+*`}QvHS>t*340lA3)iR9`&u-Xr$UeN{E5S+m!8OPgNYeD2Ho zEU6!_5*{l|2`m4j)9JYW{Kl_F)7GzTKNGjz@3Pxm`;1dXUcxy>i9i4SUcP;y&XmUL zbt}TJhwMH+`+~aa*6;gDw9KxCaYpQwYHMv;TKjHs-)m2f)VYg`vcp~r^1b@S{hz~B zzUI^GiDfr-Pnm1oxH#$UG9`VHhj(uTXk-bjYp5-q@Xz@LPhUlt@+UXzraeqj-n~<# zj#jCsMs?lD<bLft<A;|!*9n)*9g~fZ*!K%<RNiy#Y|FIX_g36ddH0I`$>^P^PhVYi zFt_4BkX)G8f_IM}-sTNDH&bxyvx{FZUoU;5m%S=Lc+t+CS5tTJPnA*ouxfFg1|Mto ztOIqv>gU{bE!C2ImOE)2j6KOOD$e;^C?RY<(_z<5hgdd;OfuTd+vZ^RMb7u_Ck{5L zYVmVPo##5(j!cg9_f~7)yDH|`xk(;B*Rw1vTEJ*lZ>&<=A;@#dlPkVj^@`PDseh`! zjx<EKhNKl=*~`bqcT^yCw#oBkH8J+NN34~vf4!sXDjq!JXa50-XIY27UjH>shc$YN z{q>c9R&BE0<5T=zz41Y({>!F0XEK@Qby>F6YfW0PTYldcdDftq6$SspXZHnP&_C1b z7WMT!SKFRz5|4UMs7Ae0OR1E9Z1Q@+w6wHUcmFv)Tf=s0&iV~im-gSc^<<G0d&lXk zW&YJIFkx3m!WU`#`AetvaB*zk_GD&<syj=($Ag9Q#V;s$_C)=ScXaO$n&5j-_{_B_ z%l#VvL>S)Iw&<S8arU~2Zrtx(@~JuOlaxQ}6tvvB$*(<oZ8Y<t+h2DF6?x7V&<wLa zTq#+?Ro!;it)%vUgZhdF=A!03J10&HTCu!f+C108@9VBcUcIQjYQxod6Fy7xJ!08@ zLB3~Re{GO^xJ7V*2#dw^Z;mJS#GgE_v(5L=)7$sU`u}}8!S}^$&5pF7iK%g^`*cJ8 z%a<+@^S}4(dh`kP`mRZGS_f0MAN{O<YSK;N-Q`;Lem`cbt~<%+&O7&fZQH(%&GYxa zZu4y6ITgWMbE>K1r2nf7))Vi(+&OW6wT0%Yw6Nx9D;K|9Q_h|%_eAZr+%W^)AM<3q zG(S5&2+03s%D&)ld(N+)7yOibKQ8WAzvKePuetncOd2L3DH|`i>Th)k7nxI@r1vN| z()_9GNwFw(Wx0jor=H|_>V0humim35Qu6nc9l4LrWq)%JV*c`ZXU4*IMm>?HFTXFZ zeo=JJ;?O7lS=ZQ}WzK%C&^_NzM6+X8$Hx1v${CAqZlBb#)zvNL@H&qu^YhM&PICyz zxo6i_AMpN{+$K>WQ+i?h>h!;lMGgv{>r1iqFq*6VVDkDG3SLL%)Fu_hKYElNsbV;* zBC?sMUHtgcxwrh}EEyJNhE*0mY{}Yl<<iHNqLl}Iwcb5VW6JIdn*HMZA#avx?n{1| zZa&$!sxWt&!;1TN`Gt315tX0#WRg(f#$(Gl|23X3W>_+@N6u^Wzf;Ob;^wD0TF=Up zJ@Dm1OIZ4ZKh3@A0)g|}-E>lZC3;=C>{4+>K&hRH+sJ@-<JpY!YAWBw<gC(Wc72=X z+i)pxhI#&7)-JAFMaCX#k@;QQ|8{FnQV#r9;MLjb($J8U`C5Nh*4Yi8PyIgiO>~8I z>yx;ktKV`%Ti<h?c>6Kfe&+k!V*x*Oj9b%HU)<wSKk!mIOsId2`c0O0|Nd9@=6cq= z^IvF4y$a-If4k{x<A>(g>{Xxle4MMDAziMK*>G#`WEZ2u>$jiTHs$n7-msr3+;LGS z;$EMb^O<8)lf~!zULS63PivG=WtPx2c(pG+q&TsaJw!iw`qg^2Wqn<~9B=uaN5*aS zlIDD`VQ=AHzF+;tjmD1L9r+%W7ThPd{1f2*>2vki?FUQ56rb+kd%Vc#+J{xb!TvYf zR^FH|^e*ebgze#5uPUp=pH^jajrDLl6a8(vNlimrfHyOX2m=EH2ZLT*YE*i3#hZ0k z7#P-EWnkcC;ABWFP07p;D9TUPOHD}&4Pj+qw$M3|Ub2h1Ano8aJ(=gdxwp5??aYl9 zo-KTPPQfAbZMoLMx3|r`WBGjd_Pne8%Xfu+UH2|-@Ab_Aceiezwstw2^z;@DLqkLD z&-McUmmf|#=zRCc7tTeZO)R_0Zh9TIkq*4YXC-a=w#+j_`GOd$LB<?+C37?V?A+L_ zB1tZT&pZ4AZ&vrdbUwo$ZpR(?u8KLDNtmrzP&6_5EW3*jug8Ou3C787+XH)A^jK1~ z4z5mAnWPkK*C5BT?t?aCLXt*Z>5MeB2908uDbmLJO`7J6a@<~q+OOB%{AjY{h4hW= z^Pw6q3U#!MPVbJ2+1T^5BuV&#_>sm7?Uf!ag%`M-k9JQidOgQt<=&{5J&8tPw-hhP zH2%2~$a=)@-l1cRPlM8G7YKDsd@}RN%7aVKo#<Smu5@XMr{I(7Lu%HHOZ0cl;F1vf zta53l%Zmrf2k#xd@Wk`cjYB6cC@u|bsrknEsNlkiSh?%&1``ri8%$X9^H7WCi;0J( zcs@*sn-b8mRO!vNO&?A$|9uqq<b&#k7Xjy^<NkeDtmgdk!$5-P$CjC19vcz_czy)^ ztuZKg$g#KL$mYL|hq!{Xwmy})85nr<)eeuJPp4!Ftq`y{@~W77?ungVKR6jU_||eb zSu9!MqZ(v#!*S}e&-?q1Tt50NgF`trbAzH(jJVmI%a0b>OsV&M{`Jyo9}%ZLQ*Ulo zR65mtNV;51Y4IDbAHhw(ucWZg$<n_jq2J^sY}yg=QLFswk+YW`O24>US9JXC<MXRr z|Gr81dsZ_@xOPQaYvIn<J^D{QtgkG&cVf1NZg|e}RKd=;RsA-~rygEUyL^17*0ryO zAqzw{c-h=Dzrl1p(Nv!Ed60YWx%|_e3+~_R7Su>~;XnN_X}aF#j(w>dWuBMJXRtBN zyOFJK&DDHv4-X%wc6e)mp?7Ym^y$t<t6Ln47c6yIdu6pdzhtaVZ1$Y0xcyneA$imJ z+;5pj)Ceogv6#`mCT4o&Y6YD|r=~HkDOFiJH8$OS!;*$)4;n+W&uuw2{Zdkz&;QE# z>_!34Rvx``capO04&$y3k69<pNRAJ>uzztlpPX2Zo$R$^mY4TO&9+RNCdTCXeN*az zdAbkdmMv*-SQ!wbkho1P@Au;B2aB$rot{ux8?|Rg+^uIByyoXvmn7Z2E5%waRdQ<4 zA+ur&fux{0hf>$cH7w*?<`wLhHs|rSYvKCQEBK@f*ENYhzcf2#ZpFns?{141VPdbn zE-zJ!Z``A_kTdO7sgPRJqIZWD+|N6?$@~hp)`qz5wR*jsEB^N=xF?u=2z^xb>vEy$ zo8v2cZ|{-w3bw4=YdL%Nw54Y@WuCg5HvLV&`Zof5XHQGy6bh@1-+R}}esA;vHIHdr zzpQ@G;`$OSVQ@4*_Qt6_PBGIK2=f<5J@uKlCT7y>MGTSYd=23Ow;KM<=V|;MxKK1` zr?+2!_Lj#!leV8)ua>SjG2v*=7HjU7O^3E=?*3RgadCoQ^EOTC7AxyNw>2iO&`FnD zbB@cxNHp`6=&_&vW*pX+KL_`-e$=}Daz1aDx%A>IhjnkYgj_rM?Jn!dUD3N6zZyPG zRcK*tm?e?P+OXl->E8D)V$OPEH#RCQxwcBs$gERny~97Y!xs`A53QJT#rfJMjl;5w zQeDm)#II$0aEa}jq^`4N=Hsw;mfFsj4w-Jdo!PWeeBF|14{yG_CRNPh{bK3iuvE9g zj*tkGCy^JD@~^qgT%79jt(k=}wb=jvKLJNUy|!r@!NMo2`RezlNggwM>MtOs_{^m! z*4)`?-@kwNcI|R1KhgAl`qIec@5{u)xY%~sWMozvosN)^wW|!+J^kNg17pA5e9lzK zfJfa&p1w=fUMaG<Z^euU4Rdcs9T%>S`NgI>;n@ni?ML2D@Nro!aBb7#2@EGR1Y}=6 zDd6Bt(YWQJd1Ycw(Jhy6vlgscv{B#|1FLACi>XTHl|L#k48Cnr(paJqS2QC;wW3C~ zASykP;X7Z3-O^XFOqw-^K2JMca{GJXI-!kR)+ZxQc((gDA1~g0bpNW&?fpE0inG>* zh$JfOJoIYSQ+1JDHl=Ic`r{M%wCygqcTddeYx%%z`ai!QO|x>Jh-${7U%aa8Ckt&8 zwQMx#4l+_uafq6u-XyTLxr#&M)HF5oJ#`lUW*^MI%k%Nn(#w6NU#2yf)?d<^Jo}dF z$^|CH=Rz877*FIf@@1ci7Fjq!D9%sQuT_Ork>Oal>Nd;xzkK_4{`@tIJ9zDlySe8- zUHv-u@TI-2j}NYvF22<3dF=G@?`O--Zr@t@bM=o#`_9+}4{ax<R_r`2xcc_JL$<Bv zch-qn?{nXO>)X>P*^5obOrE$s_>sL?nOUOw(*HSs-xa>y_ekWb;rVUvf7h5=ah^B0 z<IF0P85;X}F-uN*u13^v_1d4t9(H^D<f_l!PoMugdSglcG@i=39ILcT{O|v(rJQe{ zI6wEuKeaVG>yxLaPx-TUlI7#W1t-ep+%;sL^WdcIw_lTwO<=y0ad2PAgoT!B)BnGY z&e5&V|7)e+##4AwuYS7W<l6ZMFa7mb{dE2N)Y>zlj$f|+<6l#AK)^xi*SA}5AF=F= zH9sbs{XbD{<Au~;o2-B8H)<r@Y<_asVBfmt#0vX6fzN&gsUQ1mp&w!TStHss`035N zk1cPf{<e+NtWKUBE2o<hWqr(kUdjU2YQFSamwtDgJ^c9ld!g4nLK~l_ls$N^-uvgq z^H;CWl<?huc5vEx)AJvXegC)dxM=qS9;v`DcS3*LRdtp=3qCeqaQ~UbGtQnp`L%Rv z;(>MNzofUb-~N91`&Qu!_x9ueTXpy%Pne$n{Po(Elt<f?(jKNopT2N<YW1S-#$CIt z&O7^-S6=)-;s2kK($bPGTc;k=;=EC|^VYjlr%t>uUvO*vKlfv)_hS0_!%xY^{l0p# z`}kH@sm4kl&AfA|U#n%mecRrh@a664XNAn)V%*<d=x%lWA~WqaSIBSXR%TIKt7T3Z z8?9=)7+)O!`qXmqw;wO!FAFT{>nok`bmiIqk3Q`WN}Y4dgk`CG)$P<}XCME5{i>+= z@#5m-NpbtFo`yAX%`gg=usK^i=j|u|ly&R2rKY5&W_a(*`C)S8&KBF~h=@H#Gk0(L zvYUBZ?YjLe5y5GHtZMpr=T&9>n`ks~nZo8OcAvxx|HZ$bZ{M{4|F)f%0#00Fi0$9L zZTY{f>#yftV^01zm1mQL+Bu15?=D6=-`}}V_}Dk`Y0D>8I2p}n&$(QGc&)~y!c$Eq zt;!8CLigX>>us)mxYeae@x-Er_qjr5#te-&xHsP9-gxh{{c_Gf&wcIpx3*OMn0NQ? z-T%jh`KGm<Z)bCF|8IEx&Vv`9UhVe?mOO68>-g^fe69!YKh~t?7qU!X5%_tILngm= zU-_1b9UC5U{x@@UX=v7S3EXeL)9BNO7ax8uFJPMRx;XaVf4BC^6F%b8Pk;aXxn8NU z_w2*O?Bwd#SzR3u6ke52Fz8BYJNQ*(-fp8B!))>CSt%zz)jzlX<387Uqu$}-ub)3N z7M@tsx#Pd@eCtQj*5?iPy|(9{Y}2Bg`<y>w{mXX6?oAz9M-Ozi?CiNbWk&D4l8YXz zPMtX#!=3XyXG`zXA2oYS{%+o1Tm1R<|6hmYUlhqaf0+7Nzy6lpUBi>N{!8Bar0@Q7 z#g=5hWlIge=UcZaty|X>^r-0G_LYm4d&wt#Yz~Y(dh>vzgM)%Y%!Zj4kIwxm$lfA( zX5t0M2Zfo2oEr@}FK!eR3=Dk8*s^2Ai48wiy!g?PsFZNb>jncy!KG=xS~x$f+carb z*LefkdifW(QaM&=FSA=Y|4ePe&qD^ecMhI2t~_q!EvovS`Mos@>&vk4%I^ys^Y8sJ z{xacy+T+WA_W0CZNbBcT3fdKPR(5M^$T^kgjcu-slPA1iv_bIZ8NOaO_rGzf6DD2W zYB(!v()_$+tqFx<Zf}lFdvlF#+x7ehi;bRU9+J7%zV*?JtPLePXSHTD+CFn!F?ZHF zL;1W<zs=T6@_*=3Ipe<Km#)uheuvvkY>c#77o;CzKKXp3kagpwaAwx$@-LHr=AD`8 zF<V-xH|(aEW%*B@>Lgv66&^`9y!5ZFRhi*xmLqjRhBf5D0=A-w;fq%*q?jC^bYb~C zi$xb7S94ev9}u`8mMnbt%9<Cxr)Ry6Trhb}o0{p@!q<yzCx0=U{H&4dvBWV(v1#YJ z9(^<tx9&>YlzuH<cKeDKu0k_^8y=8wnj*X`KquqatySL4-E$xJK3#g3Vck5bKeF0g z6L?E+2Y<HPm+?2rHh&fO?;jJBbY5sT#2V(YGe0xAsQh!m>*$y(``N6dx_UG0__#Vm zlui{Cmz$@R<`!Pu;Olg#a=8Rs8cW!dTQZZyxc~D^{Mh_Z%EecEhfsLt<po)vql6Es zebCZbyeWLojeU_%c9iyS@C#cq{a$79x5|xYkIvVPY*G9xczJThp){eBpBrmd{-1tC z%iqN_yu5LRd7fL4*!fTv;X=n*9s#+jiWSih)^2*ZmYHLAz5R{9C-MvLg)|B#FVN9n zDH58T_4TG%sd<g8@MiXJ3hcixJ&e#!e)%DX!A5kh-h}?@%81K#m!^jtKDK)5;-<Z^ z5%IejzIZ2U-^j7EZfj2nsPXw_=X2AbjlWZdWyT4=9G#ve2PZBs*v))-L&o7tOeTBW z7CZYjhTWZ2A1?BYF;Z~-<9kofu|M{byv-?fIZAkEVOI0Z4@-Wg9JM-Tc8#&s)6UpP z^x=$uhk0{N{u)2N_nU#M^=4-6V_QL9fz@k%T{*WhY+~oqJ%=WlF)m$H`;cp?pjC>d z)vdBkGk3UgGj+$#<y*<(Hg)1N{n=f19I0G;*E7e3WJx@fyV$t4bAs=$Lm5*~`9JuW z^f%yL@1<&2<K5y?*TvIk*jl%x%$OthSnBr4qfgvEirEWIHd-(#=hT&)kS_6xpM6D} zReUTe0|HKEA1c_W+Po)Y#`|@Xk2^+Bv2{xjn!P6c<T2fH)oUV7v5dkzA)?3AB%}I1 zJ;}3}!}Evr{oQ@B4t%m^XJ@KxIeg{jvWJ<TmrkFLF7xVO(|YhML7@2x_q;5ICv$7< z(_@a#YA|gT`6FG*nZI%7n`L`K-dTO$WBlVBo3dtf(!PYuDlScCxjFChj9%<m_vhQ; z_e@KrZgqIFJoW7BWqQ?dW>bcyrp<)q3!kaS>shEgOa3JJ<--xqjys$zrvl23>@ijr zy!~iWL(0jAf_(hhPl^h1_0`g}Ro*<EmDm-j_he(;?VSrg-ILwT(Adj1CG_6)z?0T# z>Gz|i%bt2EwByn@=9Thq)b0uy=>}eG;^@l%S9kB;Bu$>nPP=t)u}qNU)8*K^MfBWe zowH(%ca2T7EWAwRzB<25H<id*ex~8X!%3<=`npfF#Mr;`F9;}(I3si5rRwWf0q@nU z1sme5mmPf(-e$G=$gQxhYNk0WTq_EnS@(ak%H5aU<9upC%1xQK|9ISXG(9i+X54@8 zs>Qw|n@cxUbnaR5c}DV&(CRt&C$l9jKm0dM=f!V@ij3q>kp^Z<Y`*Q)e!K6>(uftO zpL>+}Fzq<Y^e*n(#<;0ob7~gN?EkUd&bOqUv(vXRe$R_wmrYwIuzU-CGSy_U>!l0# z++)7kueMCS_QQAMvBjG=O<DV{qj<r?%l_V>lb3(;IDC16%hFHYC!H9$I(^o@dF)i1 zdsJH7D0klp@pY{$kJ)|-XppPS2(EEl?&7Uz5hpGEChpLjbzk)ogoTdJ`nlt)3X8tT z6oo4V;vcSxo%HKjH!nr_dp?in?VH+<r*-pBiI(~-cwuqG$xbORO<ft8WnTZJ&BeOo z<+CNPalGRVK7Pd_Z{b|VnIE1qNj&&<^mJQM?kl;5Jk{OVCDz+H*(%JNcV^_I{#q=t z-7nbR&~v}x;-uTcY~qVg{)-nqFXZbppTGWF8e99fYwMmhJ)b)3)2+p!d2`yCKcw$b zn7HoBJ!QVjHSbzug`$EsoH*EhOC~wZ{!yXgBv-D5E;_}#*A?9}aMxqmkS#5Hp#J*< zk<W)5&#=rZUe7Mix@2w3j(A~<`IBZfZJlQR&vIUoRpNt&SC3_$B+d_Z%j~skxFhtl zvaIk?1+RnT0rzqS?Ij_NoBkJ`wu}7W(6Z*<y|vdIbH4J*FSKpxY-Z>>^n%}NrroZh zrI%K?Og%7n<D@^~?_YTcY&x=!+avLcg|+nY<Z!;k4h`O4XJ0<AdK$Yv_WRuP$E5s> z1tT8+X0X27UK5q8%$)m2EAsuB|5;0WbQy{(SFgGiF#D(N8^6yRmp|FNVqWN~{Q^5q zT-o2jG&T5wQmC6kj@)(0NoRj%2&;W|e-o8#9Le3IyZ7$P#f!T&)`xt*m8lj!^~j9m zrGd-2oO7#Gl`d)iuIrHW73x|Pvc72{qxyx&nfjNnrY#9>+qN;m@p<IGLi=k+Zkg{8 zGJJ6HmC79>?|Je+w#<38?C`-E3KeOG8_VtNE<XJ`<Hy`+(U3KBrhc1#;`yA+sK)o< zHXJW6T{GSK>4l=vLA&|I|5m?cE;a~?GMFfQ+4}3ZCYCQ&%#YShX7MPopDG<;*cTDG z+&f13#;-|7zFw?LGK^^}z31@Z-O6LmkFHHsS;imtYKO|cg(<vc@v$oFoFBISU+VZv z>#_69>&=(8xv5;+;{2+08B@&JCQHBDPtv88mX;U3UpDob+P-P0KALtXl_wN0ecEs% zKK-obIj5zwmX|K*+I#2x+3p87-Atq={%O*R)UEyXs{6ji2ZJU1Pc#Qdm>=X8G}!K0 z&@Jyj&Bg3uyydUZ#8!Jh#jD{p-#p$ZdbNIfXmH%{wM@Te#{BrZwmi(UzRdqH$7-gi zLdTTjJmMj_|IawCX1}QF!Et4pNwBu9^Ykq(r5p`+Dxcl9eHHfY+3d+P)fl7;9-TA~ zn6|@_U2*z@$$xIXSa)!>qE&J2(%0K2mz})QS|;>nQPhihVS=*~n6xSyPeuGaD;?#; zl;AK?F0NoE!+wXEU*m-;ukFmrOHzs1m|B&&hW+YHyQ<<29Wx$no}M}Ba#5Zt2lH+# zu`Bq0j<ey4d8+Z$E~V&&qjcZpOt!zf;x00}&*QPZ{5)N_^^ANX=cnD7Cvqm%-mCoA z!*yp-f)-0r?shM={rmnIJ&b8q2zn6xu&TAMabd#Sm5;@j8Coc(n6XBD3Y)kzwPvNq zt;w&h$!jRyJ|3~vd&d8mJJT<A+w2nSiE!$u-1RY`=wyk6u&tZZj1SM2+W&E!xh`BV zuVg9XkERvLdNJw|+DlGle@vdm!y%y=AL!o3vC+7j+f`h_J+4!|`em_S!!L&3!u6>E zS1u;B9-Xzo$8?*S^tu{RzfUc~Qb`#ROJw;cYQ$*DPdodp_T>J8>1CPkHM-IQ&j+7b zT0TqA*4y{+rCz=j2|q6LZP}Rpi?u4g;ERb~;fl5OVF%x8E{w`8?{r*YKHuy6Wo40F zyGs6?6sh!dIl8mVyZP_MXX#sm_1kO0ZI5qy?mNZp>(i=d(>q)9gI7mA;$H85R%-nz z_aB!WJbVw@3LO^v#QpwnsQ<BJ`esiXH*0TRrq1_}vB`Pra%oXsdzLM0_a1mDbc{(& z`D27=?bD6Azbg)zm%2=CPT4YRjm3`1A|7>*WzEiC30*P8-q=X>xQ1`m(fiZi3GaWv z9mkXs8hQLIoAc_xt+#Hb9H@xs^=|s?_Au2cwZNDy{VVsQY6Av|Ql@!JuX{{mcr-0B z^5<1w^`;Nm^KWy!DKU+iB)N6s_4gdt3m6`=?^T+>&ayC-RsGVE$J>&HndfTs-t5Wk z)!32Vy7F6s|Nq;<rn20NrfBFFtc#FN^*=22li$U4f|s4Y*2=3}CpX40Ylq*@y5)bD zEmVlb<l5!SjYnN}TFU)4%(-a8St(<(<(;3Kl<dvZxoh^{sDGUOmY>CK%VzKI|Ma#v zU9M=1FqtUwx%2A1#h;|@zHf|u#Lkt*8*%;1%(*)?4oJoeS+$)D{4D3@yEpdeF=O4e zBJ-GUDkVp6U$aK)<q_X~(yv9-GWIQ5P^-d|wl1jqcVD^AL|e5(HC@M*9w(J=+7-L^ zjdsrT<`YrUOIL=^%UBuH^lJTiRsDLUmfB$1;Jx{JzS15a_iC6|?$LiEAv3*JC+@M{ zWb=F54>s6E-Z~Lb*l;mJQ);5%#jUgJ%Kjyu*sR|<@820cgD#&bec?R%ueQEy^j;tM zJZy#Y<2`Fw-tw}adwO{38oB=poB<6z20dRY1QmYB9@5B~bEx9uFWKjR4UL;aB`+#T zXwE-iR&u`0eBY@*=|w*cDmTw9UlBS%;lcIELQmIluzWQa;QW@eTI-&(9Mi-lveza_ zdP}rwm9Y47uDV>H=g!As*OhkMgLO93($%bw>SW8NmCx{;qq+O3SNXE0MZbS9=H1}R z)$s1<s-L%$eqOvk=kfC&RyDO|*6%-Dzb&j)r1tZKQgV=s#NPcDZ+3M2>E968nbz}% z!Egc78=p;Le;zwB&A7v<7_;~8OW)h(&LIZbHLNy_%O?w)7y1|32H764&&%L`CRUZ2 zY<W`c{70)N=}+|6Z15@Ok4|Iz+MaXe$vRn=NL%xobcvL?UMFhAm$hpbG)<DdCwh<H zp!jgPxXYf45_gvtyxZz^^#7tJz6%of*OrOpU36?oYf)Qny=rOkL%VpBUo7G8yJD*H zwaWgi+!mA<(>ify#mdFA8XcqmzYkg>)O@e6vA}7jjmLiJDA6kywycX)UFjH7{WNZ) zv1MJv%&;9DQwqwzT`s*{yJ6w={w#y97KieFtX!FGH(U0*fo;{aX|9uhx%C^QrC5d* zz5dxGE_U2xyK_(F|3i|6cT0YrxlxxA^F}%*Do?vJ*zUgR<8S;eg4t7LCUQ<$X3}w! zQ|U<+k7Sv{u{+0-nWB%fI_BmH9#DF0rv0mB?QX`%{~j5}hn7EW<eL?|=$@^{<6JH~ zY1Ji{I&;mmFX!FRUYYR7wehR&<5~L?o+;lwW2(H}=hgRYz5gDfm$TIrO9jhUt&3mo zc$P(Bx5-We`<A__8HMjGAD@aUwR!r*;`ytRI5*FokLsS}vdF&e{9qd++H|VNJlo+# z(8tJ+fnWcIgmJb{N}L!IwqU)&<rOB94+WKOS#!?F3~^h!c3RHkLr*75+$lI_nVbB* zSE_jSte;xZQNc+XpU%iP{az$I(OquJgoMdSi|Tg@T(aJ>y~677_MQGeS?2RS-R^cu zY|X|qmfH=M&UKi}&^=2vL*M$ec8T-d55LtWi|=IUW>{G0{e4&954WW(TTPao$`jk- zwb{p~V*5)y@hdx{oY<ZemA!n)eDb@@|86zWcX3i%-aHJNwb*N^T)txI*F%+VM;AVL zuX+B$dZVk$Jy$R5PkOCzebcOpEit0!dxL*Y))aXYynVf})i=$J1}>a@tFsoFrL5fd zKKUiD>1VruMIKiZe3q80UYhp!J4?^0{l9cvF2!W84@f!f%^Je{mq~k;X7wxqn`^-; zIijaRm$!2k>6#Ts$Y@WOT;s*J=F!~D{9AEnUoD&=EH+7xx$M`<-QV3=6rPv|WEt0c z)R-Udy}~Z}^W~4ALoZT%zq1E?c6s^x&+4~x>sUjhN>bh#%sOfC-tn+s=2Az4=b6$? z-?;z3n0orI>DJxGKFsdIN1T&yFdOW$2wS-2(6j}N=hBneWp_VaJTWq|=fF~Rxn*0L zSp_8Oxf%OTcifkI*<pKVT9>Zr{J`Jy7{9VEQ+TY)diE0kU9Y^Qi|(|$b&D!roU6RL zO8J`Qaw)~!UO!PogN;0b7e&9{|K+rHNx<i`C6C`4O-(&yw9d9XT*dLB^2Lou@)nu3 z?@OP($6NM%_U3IzJpZXbUT)&BcriQ2k@9IXs@i`aKh#;eeT$S_OSH-{BgNy)C#+oT zzs}BLH3~Qv`y)8_`hv;}A0MlBuRoSEiQV(WtBVJPL{`6hd0>gT>mTdjmKBrRzbJ@p z6z08@X|SYf*0H@OohQWK&gs9(QFq-dbGFdI<+Jry+NAT9-%pa;d0D?N@$H7JHO1a8 zFXE4tNBfu+%0;kz+&|asu!CBgr)^xs;zIqxO~(`Z!?=zusN3HmnHt!YdEQCz(6@;F zZv&T|J3pu4>>sb0@_&O_^o}G>);?m%E`D&bwSEUjw)`cBaNTpte4M;0m-X38_Z+>- zrjevP<Ca4q+kt~eZZXCbZ#z3JdQbQ#JFOKpdjC4k8@%(L9?qk(!b6GYM9Q~QyPgS( z3iTz(x!b<iNS$gJ%C_*HlGUZ!(nXF|hvh9?_sv@+v--ZNT=$m==K}V1$Z1HKO0Rpo zhWi!o=Jyi6Lw<)e=X|&N@4~?q#LfRvuF^ZS+A!&pYD~;RucJv)pU(02P0>)vs(WtP z@b$*MqP06Gl_hKB955<&I+}1|;@%Su$MdreE-wu<m}2nJHpW5jS<IE^%O~mH32pO_ zyn8>PYuDLpHmf$>aS3io_Sapb`75iJ_t4qP@%-M=y*WD_x2Gjn_A#6ZJ=Jl3!!4Jb z^&g*IbDR0u>uEiE#=@0b4tx1V+1YYU){fh)|HNmxM^;wZp0@{5*MDF4yIs^eJhCY_ zG55Mn<D4_8W~Y7Ta(8}hzc=@<XuI+JxTROccm7@~SX_B3Qo!`sl;0m#AJY$cq|x+D z;+wilZi~3{iKq+8$DcYp;GX(UYd*tI_FAnYv%kcNmHaF*=P&)oJgd&Q<?oGj%iH?L z_#9<3|EE1-X57nqv^}YFy-%#(sr;)KZ(i$mzFFk>$1v;N;Z_UJCxZ1C5+_zOCe>Y6 zx$rlG@s801=CZ@a&v^fv%@^S`x_MvY*yh)j|7NY&_LU<>vG&6}`>9VdlF~D#XvA6T z@0|0oCCjcLb=D+h*9j)i^u5??Y9}9AB&lclu}=B_itw_q=Dzer(K&|}PJMK%ROn@U z+)OsZ&!tQJyFa9Pa<FM}vAuGc(|h0PIrk4m_l%^FWjD4?_2wx5^{exL)?1kxpT8Ls z4$k!Xv+4Bd+|8Xyk1pyuI!5ZBx{_>Ee1g6GRxiKKl;c)sO!(wh{$e)QkP2Ye^j_$x zT3N{3eDi{cp^mV@wh{%YCvO*SES;eJ?dYq?>#~1OKk+`UVSb{DNB~3sn`7onWQ`w{ z>TWvdcl*fX$*0?LpEb{3uCSw*_v)1|-V3d&Q^WSmuDHDM?ui{MujvOa<2zEAxK8li zxu2$fB`1DQ2;Oi~sXLMRSYFLRvnw+{{*H)Upx4aR<Di(#!<1vD+GuXR|5N)W(<w}z z!hyde_s`D%6QE^zL?cdP;t8I|Rg68%8-FVp%}UNXV_3RHG}tbEo#mB%0+A{$;t_j2 zHk|p{zkaR#y~B)V@6=DH2(GbhxwUlblc)1a)o%V=yQ^DinFmXkfc?3SHC;*>@8vso zm%h50VzA6x@#frF?|XdQ&1Ck86vhAbJGpep7w4ePXC7DQZ=4g7tJodr74+qK{Ucxf zV1bCp-5ZUalD2iN@BOUqwQ266sY@O^ZaLCcvdO%;?^D9e<2Q?E{H`$Hw4ePF*SW1n zFYu=n3l#2e{{3h7isjXHN_@8$OYicSs?&4DN60**XWQIu+yC4YUUZ>((~|usTOCvW zT{hs~e9^T0KeyJ-<CBXv-0f=+Xf~M8Hs{`44-<LUYp(LK*#=Pwtj&y5m^R2(mVMiB znDe&Y)qsfIHj&v}$={O;3R_i8za_}4oSGJ<dB8;V{M6J#v-DGqMDz?I*Dd+0vV6m& z{2OK~Hm~{0E$>>aU2XA&d)^MV)SCZWV|b;kjwN{)r~H21-6v!%!u#m#!{>Y1J;F6> zuPx3zdn@gqPPt%-<af5-De7it*&ekR2b?H)Z>D1JHq$`O>RrJKzliy#OeE}2{_;(4 zF*K{O^{U%uoU&z2>at83-hhVaQ+1!tDfeEHQ8}tUGn>(Jy-@nwU#}TnEp)nPcI#i^ zKF-iBS8Vg%buCfdC$aq{Z^-NauiFL6^u9?L1<bb3nsMcXeeS;|rK~HOG2Mmg{fDP5 z-7)dp{lb4GVXIy<ExW*w%N&^L=y0O!%M6E|j&~jHmtNOj+L-z7eZtnwvOJegOb}pT zu%0~o*zq*yrX4qTe0!G2am0~nO7G7^{%Ox#dhZ&wTMInA<$2Y2@9R=4&tq=)<~z;` zn!03P%;61M-*3FuIDA;|wA76)&-T>a6Zyz&9PCyTv3Aj~Utb<pE<UKg^LO1cu421l z@7lh*t6w}XeX^tW@}s@&k(2JWH~NQOo76gU|Lh&VcL&P#F1`K!(HqxVua_#H{<|0d zwZA`OQ>@>mxu!e#wAW8s_s@F0uhtbe_7$Gbr)KI&uPc7GabDUq|6||kqH^;Ys%>rW z9X%NM&}U)a#vM1NeiHe9wek7?lDgeR`zoz-(+;k?^<d4u7xHD#Hn?S`Uk<V3TD@-H zkDHet|Nr`SLeBJ;eT;5OPC4zxZF_uH{B7>qekb!|S6@+eq3X}aSA5p3{d+6jR`S~> zo2PbH&!2nkP}+9<PwnDL#dA4r?hk$_R^M}d_<w)%jK@Ohb7$}RadXa*)aCms-(K3% zxI3@n>Ay9bE#A*Io?CbQ!@iW6ukO^mo#1ir+_$q4kKN{(My{)Sb0M&1hJ}ptV!MBq zxvK-eKHG4|qrPDhdm-z$^Nj+BraZBFpLle`uX(S;ukoJUx%~g^jrB>>o^H7G&%Q2q z_KxR$?T0qMmi!p0@*u%q;rtxtRrPvSB6YXsh=)FHY&h)1aK^3ZuC>_x6Qaz4>Hil; z+<DA?+yCqJeCxAaY8Q(V`{jAxX8o^y^g}ssOVY`nK3)g6V|I1-|H%|@uih5>c7eq0 zM+;v5QET8&7d+OQly<F4U`F?ZNt2bt{2GoecjI^1Ul<bYy6Cysado%e2V!B#-*xu> z+%9OuqE^hZ-9k6-jn#{J9t$pgNK!m;f9{_JA4{%168{oZY*=tHVV0Ll`d8uDsjtg- zuke-5-pO-O$Hq`0dBrmJ<G-FLul@epCiM8?FN@#F9+e2m>!17Ti{#@T!;gFY62Gia z%bv9Q-<0LMnEps`9N*87lxq6#ct@qsZjnseqvy9;Rr&C&mwmMRo`cu}x3*u)cfYxQ z;e&b2kA}kHg+-woBqgPS*2vgz;uO)ak+6TqFL0uzQaSBR>qW;8?g~a4HyjyWcrs`x zmEDsuR?V!__F8m_ZDsOQVKM8C8>Wiv33_|h>adoI&_Cyo+Yj%Wn=@&n#*7TddJY$k zrn~3GcArh#T^bW?DfQr3np@khU+Mj^&9Y4<9Ea*ePJi%P)ph38vu8)1r1<`v`*7D$ zE4@`h@4oDxdW2)G)7RIcYn~Y$b@-ZnOlSK}rHdENg<D_Wno|60rNl3XjGk2qK1Y~1 z19z+mT)XtNg3lS|q+>0NsXX12Hctz6ynRvn@x0L8ou3LnKPZU!e)n2{+w~gfq@XY@ z&g9~*jdvN6POM5Z>n+ps_h0J9x8s?{2M^1Y$KG7;+y1&EGr3!evrzb=?W5HvKgG++ z$Yks?x^A`PeS%=O`}h7Go#xU1C2E37Za-kU;QQdyXJPl6rJ5b-*QQo4=lT;pL3Dna zsFb*VS1*%s%EF5wRsVdwX6;w<yQkTHcFyw^$MUZ(Tl&pdD%;LTPbuZS{NW3i9xZ9) zoniUA?9Ge<vm1vNEZFU)n-(R~DBx+ZqwhrO5s|RH3tn+*x;0+75U_UdL1uvmoq-D4 z3X2>yk1X1KB2_R;;LsBzh247@muP7yXq-w2&@k9=VTr`YM8}1W7Zi)#&O8Ztb7aDw zAOF7@8y-!S`s9#vq2i64v3K0ktm#V=RnPj{U)<{KYx22JR<G4%*-@{jjY~Vj4)*Y= zT5MHU{OibkW}dj--No9{_t%Dsim#pA%Flnyt^dD<tjnvuO$>?c4gp8m4zf2?KNRy` zeKJF7VY9-*gHDQz4<{d3G?8h7g5rV)2NEYR7!(LjsIEM8WXFYO);>4>J(=1IN@aR- zv&)N&9xYVgv|oMtc_+UH`^E2hFTH#s^?Kg2vh4~&BG>O7dA4NFlj-b|-JZ|dTLs&N z*!SK&G3Ctq_5KnU&Mn>aXxsKpe{NK^vlPj?%}aCdeSO2@Y&PGKc`vvnF4lgu;dEw+ zi&>bt|CQ9L4#(Ihy$R1J$-6eJu1eQC-Ba_BL-3pZr9+)>v+s3o_{}}BBY36FoQYdY ze}3+Z`QtmoZhp}-WqtvH`FTxN=X4yZ8s+!O<Ro^Qew$mOd2~XZ%HqH?{xNOOjqiSS zn;5ffwb|(!HZA?@{b{}%e#CBjx%!jv9*eW>ACjdsw&zayC%x1z?QZg8x7}`;lWy$U z*P{0RV`S5z@3FsYpC1(QJ@LDG+F7^w;{J@)Q_rWpH9cQ^ZR)w+?pyJkd8)dc6Z!i2 zwboUr7&qB{HYiP)9#;A#W8vAk=I09he*O6nF*&Vn)#k9$3P#yOEKgkLD#({yd1xeC zmny%osVD8LSazpk&)kH(Jr|VD+~Atn|MIeabMs?0=Vxjsg&jXNEx&tdqRxwu_3LXU z$ZU7-efysGSnX*)t{wK1Zm;?x-5+z-Y)gE^*<ZDRGArM1u3RnfV+(&ES6+2Q;gTE2 zyZ^lC>4|i;%VU}$G)<#4>YU?E%|_cfCSJ`vyM2mf!!xHWI=Dmb)cf$FZNk10lXKhT zluV!M|MRU%t-7Kb>iIEU=zaX&ODVMnN;U}V@93*ox3BNuuKc(o_R0xMqCAB9X3UEJ zrgFbMDrJX7w8CGFMI6TsKkfg?C%^ug_K&}Ro}9}K5oL?{I_LD^)3cATGo~vGHJ?Z? zG)on5lj2?+w`~3tsaY4<&hOp3YTn{X(H|ccN}PYZ?yh48U(J4VmNcnd&sq7N<^Q-5 z%e8#wzP$nZ3-(O3HoqEDbpGM{miZOGo(DF~whuOYY$`N0K!WZ3J-cZqS{|2%MsJi4 zYGG|sT%zLG^!fQItvA}@yl0mNhB4oq(faw0YoNqunICg+rFCr!U3KM3)SQo6zAvw` zi>|n{dAT4<#@gTxVcp7O``20Q;{Bw$gmdlsr3G#Cl$k%wN->$c_R6g{o0ollskETu zzNyBfNx@gdo>`u&?=O9J_GMN^tVC}?$&2t^7B_ydT+*}H7bUtb#rVox(RrUWWz;H! z_Ma0tnzUu^(pyr(uk&oVl76P0?OHyiK2buav4^=M;~tO6TeC|X;w%45eD$wG&%?z- zsX`@Z-->yYMdtQu{(2id?}G@B!tNQ5>>oUO{prEeM^=n)e_aZZ43jG`+Uawp;K}X) zpR;-|d%x_7b^6Kw^4zKB%UnjzZ{<WzDQB;i`V@OgKECR7cfQ(m*VoS@Y?!$A_f7YC zI)m-v`hMH&>Ksw|khX56Fj02fgd3kf^H~TN%Gn>>{JwAI|7&+@x9;2(=C%EejpCtb z&N<C4Z?~JT{dvWGSA^Qt)xjwoTN9ie?-YNNUb}hKzCHR{i=_7Vx*S<};r)caeX+a3 z1I~Y!nEK+?r0JT^iyy6FOgL)rZMs->>TBB{3DJkYA8<Kl<i<H6@j^~;W9Hw`{50_& zj0?<Ser&Zb48OT)=|ihOzDb|>gabLh&9uF+c*XXK*9_ewJu*|(KhMp6-<SSb;G?RM z%d=O9&t7o+_4JYa=53r=pTD|%zsPhh;UsHz)r{qWC-hvEB0G35znETMu)^@sms<iG z9Zv<k+OOOB`e47w{Fe>Qn>PGO_VVGFxWoO2d*SWL#hFK6SA>6jw)j=jn#7vWLp;CN zJbTn*aR1t)8O3=DTqYJOR#Sw2Ep@kM;QMzrAbqJx+?h2&EH$bZtaknNzVv*7OTkq0 z3sw6Tr6(H*ZCLO&>c#>KHJgG`##Q^eN^_s2|Lpj|XH|Xhg=6o7$9m%CqCI~_|NG59 zB`fc9@}3HtZ$r@Ujr)H(FS^WbkTT=W&d0MK&*EBIlQ-?LOv~KohtC}?pZN8+(lKFi zFPo~>5hXJc*M%z9Mo6#NEB0~Onx}uGJh$w&+mlc{(cxRUhfDm`32YO;sPzRJe_d~N z%=%2!qqW;JD+J~AIN~PV-u9M*wLF@;s_u~a9>0wTC-wYT{;z6b&!qp%T#x@gcH<1) zv0eFt<(rGwnx{@O*Z6cxXTbqG)h&AtFO|J;bM@ix!nfv@8*giz<rnr;hR<s0gD=x( zF}+=)dU&7S))iN{Udnw~b;hdG{P?ro2QIy-Jo&MUq0%y2|MBmiA16#<EoS*JwJPF# zrQeyCccs49Je@Lso%h1h*#{-3>~DW@t^RJo3xTemAI{3G)H~8LxhW*jb{Ct0`mXYe zcXpQbZzyQ+d7N_hz=V`zcRMoN(!Z}L7v}hulDqo;N_XC+zcR%ACwA&B6*ug*O5NmG z+_tjdTHQUbvp$N=Wq1F}-PTo<)!WBpv>;PGM&+oQ)raL8*WJ_2<-SGnxjj*yv3KEF zPri-^C*OUmp87E2#fEnw@^&*N_aC_?oW09a#5dT1TeCjQce3T-byJF}c6PH?U0%L3 z{hvwZwaMF*6K9$q@Up3rc<JwUapiTX>>X`#ck&8k7PPf*{Cw=GP2kRI`+~!pifR-h zw?^LD>mxOv`&PYg?CO7x4?B*uC(N>U%e=(5`Z((^Wuwn4UQK?lzO#lagQ>c+((Hwk zOrkBLMSgE%#)39Q7H*Tg!sB<AmIZu1Gm%%kck21I{@ZMxb-gdmT)yz!oV9m$oD80^ zGR0xrgq=#Cd(}c#uD&iGSj-bT(=dN&K!BH|(Xq2(I#y2n8wB|)(*JFK?I<GDWAMQ& zOet=9Cf|lDRq-$8#6-A1-O>Hjp>l?4Y)$0RzL0*#e>>_<YKvR6zIB{u_~iBPGko8f ztP*#Y7^MWRawuAKY*U}ZcF$WixAeXycro#*q=+m|<9{a7ad84);9TRa_6N3CZR?r2 z;l+z{|9Z68mMydYxphPF%3FetkJ5N<>`-3Ta%;xCH}5=H&GH|X6t7V{a+}rj`p>0q z98Tv0r`zuPqH)5Xtv5r4*XHg|%ZlDS-FCN{b&Prm94_otCBKh<^Vt>u@8<^3y3~}| zgei`-{Pss5-oC+^%Rb-RiJh%;dsyV3dxp(VEGrK_RIhbApKZ?V>^*^T?T;J#{iAt5 z-khwqCPm^+wNg-aeF^VI_n&2I2T$exyw0}r&%}SXnZ8V^eN+COlU3)|n)SRBU;n7g z{-*sV<kR1|3VY8ie{pTbnaOK!Olvd_sr{?$@};saVA1^#ja4hF%X?K<bAIOAt~q6S znBkfw=Z>-eJzW*>YJuv3rA>TS8Qd~n&fB;{wDxKK<r@sw<1R6`{hP$)+rx6c_Jm{8 zjj&6x)2^5VJgod<arAqY+KM@=6xlxOtT?qg(3-7pamw#Hj@{Q)vN@a=-DQ$z3C!Q~ za_-S<i+1%Ym93Wy30)$ax^Y@_L`b!wa(`#HUY*IGU_YrElZ4D*Zq~<>W9El5WNxaA z3|Qm#ySL%p((MtsiBHAswM!)17w4Rv^+G4==?&5IYyvh*v}_WZ6q(-rddbIrr9Qmq zJj0R@N8RHuEbG&lXTAJ+A>zo(X>~m7;(jORc@;{DTX06Z*nH)5s|ePbeyk%=HtVGM z^1nVCV%L0ZiQHnvQTDgszpd+%JMH{hE4J-V))jtxe8QQGNzBh@Nu;mto_|xsCV9Gx z&UQ_%$+C~ccvv~-S08ZP|9FP@ym0d=Z#~0;`m-|krls!ZnH{>?@$tiL>o<JpUVhF; z-Iih2=BnN!=^EC0e%acr`;XbCbm^YC{qWqSlJ4h6#cu8NIQ=}Q(%;R&J}q^LO4u%e zuPv31iw-{h#A%*v95qQ@im`oL@W!l?MtiYkcNguxZ8}N6Yije_O>5q9J0E@gIze+y zx<;Z*Lc(j&{M6IV?C+|L|IOT8|3<iZ`q|PNxzl(3_y3!BQ9o^W=!WZ4x!hiKUU56j zv;2)7Gh6lJ<vWZ_c$KE4E_lJ~WyRHVci%K`&l?M)QZ6$aOF3^Uy{3PDp84I+SCd|u zoA$QM+xbCli^~<+vndTfpM15u`a|xIO!?2NZDk_;;?r368%|i0Iz9BzvV~T>v9Cmu zRE}=`<9Pk&Oii`7Ki0`!edNp?<iRa?I_Bf-SKakS9Y3WL<UT72&7BeW;dy`eVV~%; zACGN2es$^PtI2)kx6S`dZd9LUa<X-2b)`-7gJn;9oPI}K)tkL^%GwK))q5}N`yUMs z`|iF;wCr~OhK*VudagM2nMAMtw&;1xuh<)B7kxOha+TQiqY?fugLiRXUAN*g%Tw>W z-;Oaa+F|p&>tpnZrFEwN{>^>t6~8_*{KOt()-$&pKThyiaQJ|fQ^<l%dtdaI#r$_+ zc0KH(d~1PbY9@c3%ePdQg;#pwWzBbTHRnD5V(%5B>DS{kRpib*{}m}$yhFH4j_kTB z{iH@Ya&5l&%Ogh%q8;z4xh_+lYWTPRwEgs&>FajRt=lE(yOzb>W^e6^ly#SX-xHe2 zYj)J=dxpukec9V84;wt-@JaZ`8*K3@?zXW&zKB&)$Wh_X0)-xTw}1Xtu-bU;WtY{{ zM8d6uou_XrJFw<J;Ez9B<urHQVDjbVXIaX=c>jUL#l6Y54h0$hI@ew>`~Iuluk4P^ zifwSUVk|bk-__}}W!)V6#I+yRGDoekS5(lq^6*)sq+}dbR&charKh@8B51|T7rR>m zODcA)-?8qgx`NS#*e~v{Kg)^>@O+rIhGlE$7PCETeBVUB?0K?h+vn`hi~EC4{`7Ou zi+*+5ywol&VDUzK#{+Bjcg?ImzN;!|omi6+TQYmj<s(fZT#q&uFJ3UCBW|leQU2@c zOS)W+L_dEl!}{%Jm|ly{DL3w)yei*vBjsEsaJ~#n6blSCdTOl_H91G&_1Q#?wl4Qm zg>8z@U)}L4UgQ#-&0TWZy=ivijJ3KRk4^sG=wng4Z>qS}+2_@iBQYP}JiN$o(pk0M zy7x%TAK9tLH=g|4{B3g9iQ^jt)tuKd77FijE!tFPlj<jWbDmJ%GQ(!kl?#tN)LZ>= zZTi3L@>yHi4()&2dC7N{a_DaFQ<{(ZcL*_@5;pe}wm##j&LKbdtJf_h1N&HS6Pr^{ z^j&S%@+w#<O*UthbvoefEV*T7(+P&!nAEh!=*xw3cODQ~r@$`y-;LFDvGhJYpEQqI z55G#Rn6XVyyqul2TZ!#?pxF6kkuTb9ZRGQgh0c?E`Jt}h@s_IK?j<Kah<xDO_U_gF z9j&<ncfD-Bd--m96n4_;!hUy+ISwBdt82GBm?O!!{ISosg3I~W->wfTU+K7Rs-{I8 z%cE9<m0zv}=pWIOPG5dB{)5C;J-JJ(|M3g$Fc)4pYscTcy)(swkAIl`D?;eKmZhQ4 zYVj4y-42Z$ujk(9{;jg`ZH&&J>6TL;)*r};e>&Ml@ka0`{XLT%N^{Pyx_WpfYqC{k zmig4utcNbVlJQ%cZj{R`k66j$d|l{(>(&a%r~faAzPR2$bDz{C^RyL)uCG&PA35$( z#kp~kmGoO7{xgfMW3OM9xEZx2<g(Q<j?WW?{#*4_o7f)Az4u-InZZs*n*zsG(Y$hd zb5;L&W-i+F`H7oM<{zz#LE=~RZ+6e(*<+%Rdf{2~nudf+7h@w{i{E$H^6JL7-)H46 zE*C79dZJ$>KjVj_#Uh@s;<kn^ZtwIZj?VS}qxVaFiU<$SoIoe(nW_4E*_UiKy-HjD zdftbLCZYM?$|K|o9iuOA4o_y$cbqvpaK_e2_kZmw$O)B>_>^wBa)Vm0vCS^|A5&(A zGkYe*tIc?jEiBQ^cGTjHciQ#h8=ZGIEnKdUBQeGBwq$!nQ<1&Cm#TZO_0B1Og0_3} z-CWf5tw3@1))(w$(@Jc-<8O#$NA+#FzNIsFO-bN$)d&1h$+LZpk8o|ac>5}Aas8x~ znyNPw+<7?rJ+ij2M#gJ+c3rI9psH^A#e?yvffDDM+QShgiH8FZHBI@S;gS>g$=G(| zXLV1-uyqH$%5UlTavGmF_>!?Uo%P8r_0HRm`?HJ1l}^pRtgY0uMexkul={&4jF*iE zs<Jim4KA#=TvQ%C*LTf}c?EKdV?Nxk|LeRj`h1AeDf<uB{vu8h%HKbRFRRs!J@xv) z&OX1J%9B6dPD<Zp{O?bJq@U|0zD$#^KQ%uZ7bvfhIoz|tZFawr;{@-H8N636NdH{_ z;(@Hu;#bpy_^J~%wuV=`eKWCf{I<NkulKl&j)vonkpFCU^%uoBqxrU7_|oxKg-0ma zXrZXc!XK~2mwWzgtzLijExV2J^h;-oJ5P9>)Ia*%zHiqb&5rl3G0xR-%Y_bdckG;b zwePOx{7ZjKQY{i$`dhVD^eAsV_xs1r+9l-ycb61jKIL_gbBcSxxmEkB-X8rRJa<F? z<Dg`@&R3ZW+{=xY`TZ!}wVX9dW?i)34wE?xUO#Q=V6%z~IJ4s6Q;*Lg^;eWHI9Iw& zU7N?kd8p%TN$sr}3*$<T#Z(&_oZ_32dhh=4gqgj5`yDOAl%gjbO@5{kB=ISVaf#L% zwIDVvlPy_|`dqnYs{%FROqCz6G1XzNVQ@a!a9e)wOvV`@+7=vV`^<uRw-_ihYX)mw zpU)_*{h~9NgJtrObw0;#h8&4`<tukS`%B4IZVr)@9f$m`@8SP6W6d3>vnwz0u^sna zKk3Gv$)Pp3KZQ;B{O+OBhoev4iUisA@4GQSdC_;z$&3;Yqzt1hdfm7klbn}d++-lM zs$O_%j?k0|_3_KpJs#Kl-hJCTyZzcLkzQZjed?!gvs_$ve*X)z+Y@%)-z40&QseZ= ziU$i`s9&ma|0{HXnSV0>;p`<kTG9uFOU(>-iHh~7AAWi;`oV9RJK0Y^&eeK!W_zpg z>RmpY<T!Jf%r;6)*m2`SuS0&?-<5(hX4TX<Oyaz^Eqda2XL;7ePw%{NT5Q%nc~S$@ z%SpCk^0jZf5AVx9s^{)yyHSYCStzyT`Tc+$G2eTati81>d(sz=jci`CmZfLZv?(SW zuf5q4A1Ss{N?hEWO+)<qX2z4#+5Rs$^_{myzeRSZ)8~RUhdjLb{EHXsGz12H(5UM$ zPQ9_z;GhO)JwtBtzRf$f+imo2wzsd>Htuh7_se9P;j^enP%rc}*A2e7hn;EvtXY#9 z_m~`&{m|s`x%vL0ne(UEmwe<-38?KX+S?I6z4Y6ez0>aQ$@;ZZTI=oSH!ePc(JQ83 zm3Exsw97s8$*-%2Cof=2SLbA1c=_B#*TPi4_6sqB&fd1yIX{SS{8%F4a<I54bJ8Jp zaaMbk(%-w)ZU~;t<vm*1!oAfo{pZ_*7dA@><*;?te|a@2=-F1u4?!lzv6iXD=TDev zOP{*5Uu@c(D89EsqNR`gRT@;jf4jWr%=6^OY4SG~m9PX}?%X85*QILB!m7MB!9KAa zs$7B!@?REeudK_Bkl*Mo>(-UCy{*!!@$a9v`@7kA^(Guva%nd6m~vR={_9CPZQlaj zZ|*M<sCfK7D?Rt_)Rm1)OJx4l$eBv1JY>I<_lQ+(dRO0%z8wKf51-A6U^}aJHoyFN z-KERZX0xq7;>7aO`0R0~FCpeLe%3D(EQ%Gh^sAh>%gu1^yOVMTcRS?`4n-xEnbsG$ zmL3&;s@z_*Ch_&8Q`XKQD}xU!lsMS<xLAZ2O*pqtxFJ+Yxu7+2v)A3)v;0Djja%-1 z<zSO7c=hB^<E%rC-<m#VwXrI^F}?IyT<&Sg!sDxJU&u<vIrv#cHTm4(JTzg+)YTaa zHM*ZDWJpd3NZR(MW$lNTjqc)o`~Nds>b4XT;X0x_g?+)b_HTQore1QDXmOF~DOB8L zcb?~vzq#3+BF3o7DNDWur#}lmb7se%H=WzhSnIqNntg9V)h|A$sS7;~o^uBNxns3h z;Z#y=a`*Y&b{01m-qAb8I!$p-*aVSo6-|%L5AP`CT|Lkqv(j~K^M)@s4sM=#f1zai z^Q`K`{dp^7%9Uil7G$5gq?V9!Pc>B4%&5)n=#8LNGxCB~zm-@w^<T%N&+L00cdzDe z*%7y2>#6pnCsw8Ry^kICn$gjG=d($ixQ_C%eTj;`pHI4rhELDjesk}vy@&K0>YURA z^!mO`xack|Io(3Ci0Qx2^y}I+b{R`_;#oM2TfWYJCM17!(!V!Hwj@3=JT`r@%iZ0p zJ7(*?cTX<mKliw7j#<%{n{_-j%QYu6{0s2aS=#!l<I|P2$SXSxc~AcO$}wN2uY5|O zeeQ`%DyP()I|_P#o__c0@Q<<<-zgj7B5$8vxl$pxXl`V1%4?3^363IyFSBQP8+w-h z-NgL<*oTyv<xE}Hht`N(Ui?WT)Xm-g$C4Kfl8cxXRo_^@e5g~Ept5_(!CM^8OF}{t z{|5&0_qN{OvdBlnTwJE-!OL6D=?`=+IeUZzT5-!VJbWE&*0uBBVxGK`6G8W?cyBqs zKlm`SiS=dBgrI7r<;(lbjTrCC6|56~XEZtbOJWQcN3oxj$2Or?HD3&NPgx?{z2C^G z)~q&9Z8Ep+_UVgEZ3}aq54iL_bJg6n;-9J{<KA-1&i-W=Hk8b^6Q6suZ<UnxuWwJU zyk}jN93{>q+8o!s_EeUU?;hPN-?ILnuJ8Z+=L^#dEBC%TpO&o`%s=n_O3?L&zE+N1 zO-#yp=cRY$J<e}-cKys$TG+Zfcy`<WLpwMB^k`Yix3!|Nw>bZc$$@imUp^<CmQ|VC z?zT{Kdg(0BZcCn;zAc+4gqr=U(%N<{DgF7UorZM|Av%>NCnmC6`%I`gUS6GIm3r%8 z{l>4pj*D1NPs_c%&HwhcTsVqeez*ADTyw$CAHzaY-kcGgd368YMOp7TB&AtB9<Ad# zzB6@l<D$Clwd{N!($*COPhH!+^T65W+twE?c7BOX4b9_s7FU}2p7~!AkLL50r=Q*A z*7{?6fjuG2JumlDX<<Xh&JUim>>rDDZkutGakb<>g~J+6`}wx~aLoOG)%x@c2Z>E> z3wM9*ukW04ZS}t`nse&w_g5Y|tS;=$nqY7GN!#qLLA1#SJ2R%0j*V{FTpbF>wp_X? z`16dQyM-P5RvU%6EC(Ld9(dliDXlBrGwX$o-4q9(ZC@QWzhm|-T+^DS9Djewk?psA zqjv{~UHaj+e~P8GY6RoM)7vXQPunl4D9Pq2kZ><xr}9ko4X?|?#S%Q!TxAa0)Sum9 zuY9gpX5U)*pm`p9YWf8(TAG@%-r-rX?tP1f%#SX9iAy)P+Ha0if2wa#6=vaM^ipo_ z<0WzaLFt04ZYjxo%f9cQvNSGTV~K-fe^0sX(q#&{ih+?V$$okLlRhlk>Brr9xO;nk zM9ixvdvzKneq60O^QFc%h0l8D@BRJw<E8QHR$INOqv3UJ-p3dwGP=FHStZJ)u6KFq zVX;3;uC#p-Qu>_Lb?TIEuiIqz&ql(pvu5AEwf3m%wckuVnM@ylFWy+0ENQaf&NQKF zTkG0b4feM&yCd0lh7?2;WF#eAp72xYe1nTqO^KLPPR|nAibI9ZXVp|3lbf;d^z(QQ z>8_*$l^YVmrkqtf<fpOV0Q+gz$DJp<i#<EsHl=zBy(mslNO0$tl|I!Mw)RJb{g%v? zcDxN|->cPX%+LK^HqFZ|NKEKLYT}k;gS4-6_ms}KeKR(**I(;r%yN4V?E~zsihAo0 z*BP%Xp8K-;!ViO|2_2srxMg)BeE7eqFE%~3@3zaz^X1$A31;T)<n|3pRT0gw4mwuo z!{VH^XkPD&m?(=7)c}U0Y6jMqzvK!ixUi=?=-oc-C0u93_W6vk+1*)7V+^lsc<aOX zW5aCAKXZTT@H~@oVCea2oTA{qeo>*OcG|gGn-?r9j%D=$4Td*@S6}Lw(Ixqr`FTaA z`gM!jm3u!|ZQPY(viPJ#9;;4MUBXGLQ;yYl_iSI;Ug*#@b(Q_>hf3U1>>~D;rcN-| zX?ypw@YCbcDJ86TCDv;gZh!kOCNb+r28+c4^NTCCb*y7-Zddws_Gqy03>$u-D&5yl z9oN0A-gewHs?o||LBZ*jp^MKQ^ZHw>xlrPm*86+Y_rLibXY4fj)%Dl23q=GLGT$uA zm?gP%Uc97c^ZzDE9pe@13l22-YNR(_nEqCI;-Q<qSKR~j*B`jNJI$F%b?d!}2U^T; zp6A*n{%m=y$L6o+!YuPO^6o^d7@o5!@%Y;GKXQWKaz_{bpKXns_RMdZyg$d{=|8@^ z=hfMl9tiTz-_hq5CH`0Ji{%^s-f6D9ZAZTCc%5W*H7ECr#-FzM#Xn@f*;X(GtH1V< zJjnjv+t2*mHt*+A*ZyRt?{PGjnk=zsTJ?jaq5OLeYS`|uQMhU;rx@}r=Ejc*1#gw< zj|0EHv6Q|)wbf05d-3`|GLH8*7%vRn?ZL^m=+Vub<@)gt?9)T5W-iE6%I-JFWHmUs zw0vU9W|x_l<V??VoLY7|r{ay!<tuH~2`_G%>E(p)Tz|ktO=$AB-Osg;<U}+JOr9EX zs(E(H$GhU2vZu2y;+_8a`J7!2_w-i89}zok@o4GKjXWi-TCX)WJEyI@KlS>P>T5o3 z_P@U$IlJUxM#YElJ~88dk>@T;Cn}s)oG^{6yGL~{n>XjS%oiOOYpujiUbi>i_59l7 zT3N53ucvC>cpUuA)7nsLhk(h01^z}y^A|Zhox^+WtmPNsefu-imK}PaaK@G=o$<#Z zufCn9wL)Yrx0XL*JS!Q0IW_BZ)+;VPmn8=OR!@1>aC*wD^&DGDrT?eT<L5Gn&$GM! z%Kw>DVZuGzS92DgE&Jpn%$sxOR`$Ym6Rq<+4T_3Hicfu<8x-6r`sjtqx`ZPp$9`VD zd{$zIS6ksS@0mMY=4$UdF{#olwo&8!(W&O$#k2JO{9om|$UI>=8|#xVznjz-ZTBuQ z`+p+(IHPXFt{H!#n#0l`zKfT=AF6U#d`)B1qVw##7%bQnShiSe#s8d>bGD=N%AB_| z15HflGPtT9p8e}?{-jzP@5}t$v$-O+T@kcAxoguEuGNjHTi2#_7|wam@_vi_an&2T z5xa`EZ?``BrR0*F%@)q!#L1O<3-}qhIN7K3^0moaVisZJV>!<){=d*`+0T^eE~aM! z_Jrs>`t>w0T0`?bUwH2vneN(E+cuxBJHf!oBpg;TJ>)~ik|nn$S9z=4%y{Z)w@RyK zOTzzM*0;Cw@osmwIA^~|;L@s!Nee>+H_rPYY$chm@=)Pn#dkX?e-kDpCH>!Te{Q{b z>gfY}5ut4pAMno5)cw9~a!7@}5mRGBtNWw&Msq(N0V~f>Uavk|PvgGxVPz9*n((%p zGn5Ybf9c+sUDQ0YGu~)@&JnjoyKPVJIQ$@D&$0PCGrkFW+9dT)IQ{Wn)~yLKT5pve zu9%mxd&7p}EsIK68XR3*1NGJ~R#IA&b;IY1PmZ)*jh5G!n@WmC>b<WH_i(iTna{Rx zRa3`|0vq+c3)U&=tjSq<byv^S8EL;i$Zht#ay8BQj#a09$fPwZls+xzt1j&m<v8ZD z$%#uebm5^CCmx<9tBs8I+;MwkcwXUq?J>32>t&v;OnA$$Z~p!3!Gn#B4lg<sBiZLm zsEXDJo|@>8;QDZ}SGO7q*UTzAro=;a4jdvoxONp)?V9M|(c)Nhe}z^E_shp_I#xNS z8ww1vtA5lx3iy|txv9rTkWFLF&R<*XJGQTRw&g#^{l)o>R;FT2g)6NXT@p+mFlzfn z1npVa&|709QEF+Dq0r-y<E9bek+?>%;Y@((7PY4ljP*-ZKene%a#7-3=-Tbu@_?~^ zKLd-1QO_ppgNzCu94ZmI6Q8o!NiDZ@GiWsSyQMI3>6KUQn?mc<rY<Tv?^b$P<C?(1 zBk$j@KPdhu@W$Z@))5MQ5=&1BG^Ppa-PnCius$>U^!}|J_j6Qd{>WYZ(M@$>d3-Ck zWlNiqqSAylKf-7C>3y_dkuX>(wEC0LIxWpV&VH|sZ@J3Bm(O&OQ@tfK=ho^kJ7bf+ zN|<vUx_FQCxr?vRx9A-gN;N;`&UqZ(KD}9ADNaM~M}m*ylwC{qE)s}esQ>)N^UT%1 z)!y{Wm1PHoEmh2#x~uD!S87E?iY3#!4ILlq6E|P=y8P+<jf@_4&TjL6?uO<U)t8%p z&)1ULz1IAH;s)(4(JTkItL>ZVEq-RU_?el42b$QvyfjSc({$;1aanPxrf-N#%iOI( z`~O^v77Y!}w$9epR`yah=y_RqD1T~w&Jv;e?GbwwWdl9_yH8!dVvcv?7yW3Ju)v+) z<C&$xJLd2(cm9o@=kq?Bi-D2xNeg2GBU{!)xkn35%xLRoV&G(EYwBa}=45ndXy@Sk z#xJnq!34oWN4P{pI2Img|Mlz7zf<Q{A4u5}!&ramC$Fd2k`(W+Co?A$uiweNrSL*g zvTV8A(~lFl*YEuJL?Wor<F1hG!m!<PnqMS+|E!pIMYkY(({IVMCg$cf557x&{}<)g zu{Dl;9ar%q*>m^nR|}SS+XYqLEws}*|Kx;G(DLaE+r+(3ecWTjVo_K=wPSUj<esuQ zNvBt+Ont_FKw*OB{|7OjM9X;U3)k2m&eMt0O!a%d?DCTfdK-VWezE?rzd9(ZteN%K zq#qAooz-yt&9iGs#U(c0M8{3_9x|yMvrQ*9-<W=GtB$L=KorOG%nC+s#iXTa781P; zoxUff=dd1-Oc9b0ntV9#i07Ma)35CNt@e1o&v&&1XJu)D4?lLzuk&GPX#9Qa_jK8m zMbF;X**ZJ*g-$f@@_4)2n3YXrv7O5FMfZZeFJ}jT%SjdCSn57Kf!RH6v0#{tzGB+D znY{-rc4}AoUEoPpdpC0yN0C^DnyVqF!5;n3Tn!9twGaKGAIHDZDN)p_-V~!JZmwh8 zq_g<-vn%^;d==d8%<Jm3-p{F*8MuD_XZB??mc4rDI430L=*{%w?B9M)uMH{moXz+A z9p`ef)gJ`=dEe>FseCJUtg6p7*r}UQE0p>~(PY8Bm!2Kp9vDB^aP{R)LF=C#8~l}p z9=?lKs=dMe@fO4C{M4$4k`*gd)mj*=7!&n2UVPlV+RS~zjrptg)y<y%vG`+eMt;p5 zFU_D*S%c%>nsl5>J{_wPIQHzA*HdY&sVsXwa2emduKh4nXUoD@l7@esxDFLo{VUL% zSDg60e%E}4V%hB9bK-yd*E@*k?0Gxs_>F~43VXk;m-#0fdgz4Kqa&*x&fCAsL^gBp z`Zxb(PkZ%gh3#fLHKx~bSGE~&JYF`*@`9)7-gvE(SK<QoUWpeR<MU{>^$cCFroewM z@YExT_{t;8=DCU`$7%V^@w4pMusBEH*4KqwRvc&B`*5x4eosNY{YMqJ>oafk8Lsd& z3hSSCKtMZ9BDY0xOW&bA4o1I)l6)TP?f7|Y^2=MM#gop++;C(uR+yZWwO+-BdEzg< zoz_d%2$}DE_d0k>;bg8&d6)M5?m2#GxALyHVW%F<3Va=?_qUkS)?+to(DLU$Ry>MX z;HToGtKMVL8e&np{?mqt{pWVIhOJa83;tXF@s%;#imsP)8>?koFMCNWZ7f^Gd{C*r z;QiD&Z&P-t+!RrBc<S**bF0G&rx@wk+Ig$i7`3jn6^pP-Z|gh}HC6sel!pvwN$Q?F zu2bKVI8L#bWG($}-4VEU?&^>JU*yZhI%`_Woi>y&v0s|R!jZ5&<=H}mWuLY^{mr4< z;y;mRM!xXsSAW0!o7T5&+QE-gD%vCE<%)EE-oBAu^kVCiQ%P3uX87`7P*r*Lt2RAo z(d44b^--&jm^EG7nee&w*E^#pb1Ut-Cmj=VoU}dk)O3%yB~>rNUrJqE8eMJv_Er7g z*sFUk>h&1ML=?SvZ>6%;dv5=x)#fX8Z{8@@4JzJScDZresR@N|7EIZ&E#l?_-@~nW zM*BYAnyzHa>Rys0E4NRPBe<A{{p)9;T|ydPUG^od&JH@;Fki<%P=#|%Lg$fS$DF@D zd;!Z&2lxLv{Q44yOzE4tquXx0*e}8Bw2&)f&jyB;S$+HLie0TQ>WI$onf-j(oY!qP zc_ek&p00TMe+64w$EM%e+xMSXwTQj*5~EYuo#MOIk(pD=W9LtLwKBzjPr;nfV~6e@ zT+KFpisAA52Q^sR-}J<{n{EqwFe84Q+-Lt&R;6JDN~?4Q16&06Z@hDT`eKG3*Q!6w zN;J6G`}6wSb$UXsul)7*cGl#DS6uws<n>ys_zU~$oiF$Mz5CRxDQr`1!TTs$B8uhU z{)V-|5C1Ygkax=nlDPHQQ)10+?h}(wZ{Xz-)MYc~WmC4clNOyf&12Q}4XiifXB~7} z{>N^n7R#X?*XLeF>L()H<J0@En>*xw{!z4h>UJOBy{q}pB(2OV|Nltq*+0g|9j}G0 z>SsuQ|Fenn*-xjB4ey0-%YRa?s7h%5V9CaJa@v%x9+x8z6Sqsg{3pC_+2X?*|JrXH z6HJU1KasAy(QCv0L+pH?yIHp9b#NSAFnh)+foDPrO?z@G8+AqIG8J_kWl@qmQ*=3w ze|drG1KH9;%NaZ$+a)~juR3+|sES3ww97Xqn473DacuKYPI<r+$o8TkgQ;}FK4Ipw zx{J+P<Ifx1I$(BJM#K1vrn%g1&R)KkM;D}drJMQiawuHN<k>6E=q^0J=#y@3lepKS z1S2!Mg=|g#zNGJBRdh^AwV!{wGe+y{w^F~8#}+1i)OzZ3kN1}2iaYnizi;@Lpq*vb zD*chQZHdw`mF0_t%2pTd{<HkN-o2@(0}ARNYH;4NcXs;K-zv`gI>_Kv;j*Yh5f&$n zuL|aWRBf@ly+^g|*|fh}GHj1Jgr;QF{8;wucMC(w`!q(GhMC{ReUJa;GMW3e*1+A^ z#iC%Zxu))KgX%EVH6dwf26f3z-IC7)&e<#M+x2MPl#?%N>jL%!mTj8cxqjvB$QI*c zdVV=|=k$DzHdskAiCI*hyPSNd@x0N;lBByyid;E<A9sA_{?7UB?*YBLi*L+aa`Zvn zhQGRP?ktrdxBRyrzL@=UnvrALgXR~<tjsEu)ANsI-LUdsrMbl?I-tz%V#a%$Nlr^{ zX!o%deGH%dajufxEZ5_84dGWR6Est7B+W$B_41n+*zj@s&DqMq87}0N&R_2D^0KLX zKDXba32Zvr>U(1qysyV}7e4(F#%pt#$2zOMbCvF#Th}7I@ArB4^s-$&eq{RdgLjXf zG&Fb-xsm&R8~4@inSm=g|JzB;lq#F3xsUJmpP3$8+tS;2KX>9eyz8ODh55IP8kZe7 zpw0FEMSbb5HwFv#P8K_**IayuSGl<<v~{&mXZ4*Kc3hRb4_GBccIe1^neC6da6IBy z%k_;{)AYVQ{3^BGaPb}vyF)XWx6L_eyRlCE_NfKa5C6|mNzk2fSAy;363&KIjU8-< z4Za^-#(E^He1Xg-?_QxpCu<7I%T;>6&GhtmFhBCZwhdR69;BBXEIcNq%-t8dN3%Qq z-A#8Lvrm(sdhJeUjsF#M{LIuN4gOUOv70#_)V%t&|70bD>Xw#+8z=6H`dAV!yfu9L z>HRx0XWOK*n><}s^e5IMiZgy2$D~?kCX>?7JA|wGe$^IVG3j4^Cthst!=9jTjZ@Yd zzU92D$`sUD^QUiF)f~^~RYsK;#kr4YM?_A4#}aV(=uxAyLB|dsy~-0MrGClSA-sq6 zS@y}o?^~{j^sHj$O>vQ#p^;Yaxn}z8dx3v9zq$~ccD%c5&T;F#B|i%G&hwE~=Y3k( zRk60LJxuiEltQnvS$o|d^Im#?n{D%N^EbWRvkq!TpZmJ4D}%F9dS0)_?O(hJbC%9+ zG48y`aplgF*8f6POU^yi*r371<ZI8X)78ATvwPpir+Qb{Tw0M7=(6J}ThFbaR@FTR zPfFB37xI^m^qe$zM#=oUi&?n?O;5xvSn}aV!|V`av%-tUymq~I&eyl~KkC2#bpCu- zpMyQ_ISH@qWHKgwxUYFi>Du>yE6q7%>-+fTC-i(-c6hZw(-(VQpCvEdq!$P>-<)VF z)^YoEXVUtghyKch)X%Eguh5e7F0qp1V)0jHStqmd?F?6{T@G`tJ0#|{TG!=}=HkQp zBKt&rl&yl4Y(gH(JWyf{Qer(fjrZ|+-p9c{jt_b}I(oTohFv=S{ZhaFt1s67)!f6T zf8vdMBIW63Tra#V{+W(+q1NN6eEr@<dy`B2pUn(A8CR4NZq@!NJ%-8kF~_#GUgB$} zyp@S4_~qGFYOa1X^QwOOiJdRc$u@|l?_!%eFTU-lMM9bX!M{2>g`L_ZcJcYCQL*nW zuV?vi<YuuiDL?YCPVb2NqR(sI>C3dQ2s=>p`iI4}C0r?Ura2$(TB7nZz|c)S{+xZ9 z^8D$K;*9J?pPQUpt2s6BXWbLGiS{-xR~EQG`FXBaWomrY!n30D?uMSY{G;xP@4v-Y zLMoI${W`bev&Ih5kd{>5ZNa}i*rQh3+JE|@Qz)wX>vK)G%K5W-{U6Tz<ULC|dtSL> z*&VYLn-Uf*xXB>5T;=#>&c$a>tobLAdsgSytEt8&52J6lEA8B6+mLv0<9)|dr>_5e zZ+8B1a=4U;iR>AhWo)W<bIMMws?+v5k*j_;LiRuJ^&S3?7y|{ZSU%>8`^5D0Xz<M1 z=U4tTJN}36rr8Ej!L{dk7e8e^8GlQEyTz+D(@rYivf8+K<GWp}<{a9glT#7#V5-mQ z`lz^-`%kR@eWLomF=Oz)U%j$9|JYscJpE&N`OGGbpI@D`r|t|s(jekq9J1z5-{Fu) zYmT;Z>VI0XAUf)!<G+yg^$WN5&tKHob7l6El`|p@3#>g=?)>bJUU+Egw)mg6Wk)|{ zP8C0-!2jaSytAvEU(a$8dhzayQw3xFzf(^WS$DZ{<Tv+s{N8qe^ZvHS(}aH(ii_#E zo6E@C^Ul9yyyeg2#I#+x$Flyj?fBy%-ODU$aCFV_u)7<AzpBjf`s)}jS#s}{(xZRD z*0W>wublihY})isogdl0ZJxU_I&<D;Ue&HQKPoF;?mKgJi_OnpvfG0uXR9dLhqs4* z5BBN2pmDKtS$mkja?5i@w%n<D)$1LPnaL?G7ku<RZ(5a@Rs4dLzgL}44OR(AmHs-h z$msQj^}U=?jmq;C_!y7QzLd|aEu7NhG$;7<nbO|xUmre=UCd;h({su7tn_ky*1HGd zFWbg7)^O!qo@<~XvE&N>1n&HXi@w*Gl2@dg+J1ku?#7!lG8WNq_xn#2xV1v<{F>rN z`%iakCHK~3PTl^wvVnK)IgY>A9zJgU^1R*UyFgH);PsaV-+$WgdC8ib%GDs8*2VN^ zq6t6SGXBKG#h0QQ5>uyKvEEd2*3{E7GyOaJ#G5MCQwr98`+fVj@XV5jz3z7;u9}5^ zs@mH*Vg96L%=arhtU~pKl>JwJ-CA~|Q}MLZi**P4yG}n*P&Pc?&%BK%ddlxPvQ9FY zrwne-Pf<Di=1cP-$<+Gwi!NNfoy)x@m0L9Xdg`RfE7-0)x#JVI-|n`i`1D-%?T7at z*75Otx@sZQzP>{7XOcofd*9vfxH(6(;K)kNZ?(Hik4La>zx^X(!F8KITq~Hg5|3U` zzo1nX$^3EVXUp62(HqnGT{BZBEns=_!v56x1IM2%*6PbkSRSJ7aP#X#^JMko!OP~o zN`9RBy>lh+{3h+ADSZ8Yt8CAHopa>s<i$}952SAdPAPkMxBTg^TTu(=f3Gh3+&H~& z({dNicR#0j?XiAwM<79JVNmsx_dDdSUQW_xmD3E@eO9A+@|ct@U(xfUGV``R5bT@0 z=xOQHsJwMzQabX%r5yV%y=nj6X8yaWNw|CYqIo$lCf4?-u+8N0>SdZM7iTsjJ$U-N zOz+*jMs}yyYd@7<c|yl(XZRcAU30A;Y{@BI^1nr>Qt{&I8D5|FA9mtevN5Rc`lS1B zm$_ch?@UWDx#XOB`<~76=NW5t^dj^)qECrfsFcfj9eJrzVszja@3}2MKi+;9E17@( zlbho9sG|?InB1&(-8HF=^L5DyC5!V{rnt;}^H^@BmAzcL@Yk~!l<p@~`M-UCR4q5- z;q>zFo~M1o&Hw-Wx$j~1)wl08cyAf*DP-x+DmuD7q<QW8h^Vc41zQ;pJ-6UDI^cLB z==0t~Pro-;oH{h+b;<Pwb?YR~N*w%t?BdNyzoZ%JIBHmOnpEDm6ebHLlzO~k$@o`r zxX?^=>aq53ne(4IhF@P2QsK|^@txci;gsbk*GUxFs$OQ=-0|1VRHNzGp5n(fhka~K zWG45Nr@g*An^Dy(JKC=;(O}L0wwx?>eYd5_(Z{oE3rw1AmS=Ob$=4jbu9nFyv*qPQ zp#v%LCQF=GH0{i(n<tvPe$Cz%#&dSFJ4G%OTio9h`Mm4py)zfryf^V=<nErp_3+I6 z=I1gGH~w2FyKuscB^R$KSOle*?|QAUZN9K>uVhnb=_jYN(iQJku-`WNvzoU%dWP{o zBhwWky+;y*-K$t6Bfp7hO7m`5TDHo(rb<fa*O$b-s~>3Gx>r1VSIwuHIV#1<g3rZF z+vX|cI9=&IJ5leWfX0pW>#G{JD;DHlHu&YEzB0P5;>P{phCb)jZ&)_SnpW`ZOk=XV z`6294W1+d5&U)6V6I$~WUo`BV^w0fCYhag?dyC^$9nNj;vy)snJ5H=j3Uqe)!KE#w z<oI#!p}iTGtwoPMX+Q6zT)i&ILS*%BkF$D}_SN6|A8DA^1&i-+RGha@Z`aMWW!!cz zOp+h;`#clV7E<DTAnq!))16DR=wH#dxCJdm4c8yUv)@cSo5|m|D>{c$SE}28{f&d$ zMGO;cf)704^?Ae1e%SJGGnfBARrx*pLv&2qDh?%|yt4CW(1evQo(d}YxtX2}=U%%u zO>gCmv{%{1cC)ta+nMvw{9^q|=ewG3FBu&DeJq}Vi*s7PeT&r!$0rNT9Om-YJ(AXY z8NdIQ@8r4X#Fk&;2%9<0wfc2+?d%uAsyk#q8_wBNaXw9oFW=VU*8WR>ZO-hSvraSR z)MX<{mpiX5WdZ}297|{DcI#hQ|6*6pgKzH|l#XSKeRbbvyoqJ8Ps~nUn}(Pj*S=nt zn^HK9<xJ+Q?ejk0oY8o8Qs9N_!G}3~I76<j+qAIWO|9$u)}Th_33E#CFTKtz`BKt* z_Q6$GgXGe)<Bu$xW!4_~B<AjVM^EKTvLD_}6kyq~$oAKyyT2z|Kln2-`b+Nn3A#HR zI9J!Ny5QK7F}+p5%Q?a)Ka=ajrs%c5!e7M-Wi>xs!P&Cmq|N*bFK@rRs(2&&@?4?! zDc6Hm${o3VpCK#pwe9?Cvrg4+X}C7OJFkb`;6sUZfcYAg1LFS=owd5%Ew|aTYHvz( z^DN1dDkY~al1~>dF3b~u{(*bO-g%R<qD3mI7c}fv$hdqmmTedR@(VFLvu$Royj*eR z?(@0-Cr(|O>->Jtn=6x9_dCsHeZ%PauyEquHz@_CEVJ329!PD!64T!|Gr_ApHgf9X z4~JekeX#hfZg+G_QbY2rWr||l)|nwahvrs3<k=f@U~b?Qk@coBg8!FQt=~UMT`a(R z&jxkjDaNOM284gTqq{C8#mMBy&aZ`=?96V}P2SS1Q{O017t8g`@X+-2_2&D;CveaG z78W1Nu<*K+may>e-+NbPHg`C2%zS5mGJ7vq?DMlFRk8P1a{Jqvy^SbbSaCf#+C4(P zwb*0Z?94qU6@N-a<@d^_{yq6eLMZyK$i_qA?>X0QIJ7&a;cT^F$$Hg<RNX6%kLL9( zc_AjXM%GMh^8B7DuO_>{mC{mT+|GBOWzM?&VGM7>ViYERKBVx8jq`$y%j<9DFH~l{ z{+QiUknB|-XwZ0NKie~r3@r!tBC9Lhv&G7br|o3>KhMxZ@b=ASxmekEQAG#-Gh}(B zn44-cScin|m%YFFV#4EsE$(cebgLA4fBlGja8;|-_@`F9%iEphJ+9Tu*e)cQeYCwc zec`F6=ai>Bkhb|-vhDda%}<&oSJHOb*EwImUZXcjrdD|Kr$y-u*$@8eH5<NB@?<^n zl>23S3va;h7(>CM`a6AB|4u(1CFd8gq2aWR?9y$fZK5Bhb<D^pI%YQi{_Ce~6*puV z<~`7?uGyg8DSgQ!`OxRrC%EOC_s!wzVBV*HopaqWy9|}P%awD#E^d}SXWaU=?GCSq ze)+_`U$*!?_}abstlg?C`O9IiPCiT&(vo`Hz-^Xnd|^W6yo`IbxgyufA1Wp-m{8=u z?eF7sz1L4==DldB)%|8wDJ*|I@bzJXzPqbt9F$-dOuHz$^S|^dt@4Dgq8IYZ+OIra z(AfBQiAtaD<5&N5T)xk9W4Uqp{zT7DFZ~_$1Jg{*+0x9*A{z{C6$F}XpU>*ke%!m^ zNJ5xmdhD9HK`dztOcPfX&25m)f9okS*Kv~XdE4#%!FlJJ6m=V|dBX}OI*KGz+FfMu zbIW~u_t6X6X8k?#wtu7g0@mbAQ#WV*wqXYc6Ss!Wvbq_%{i`QTmo-gXzoFyvY1=JL z5k5S}%q>pyx7d2l@MSiNKKuFE<r#wQnYxWa2L<O{*7WNwURqS8Rrl{%_zF4R_lD-V z9cB*?mN2!pFf0A|?!y_&RCK#*^37JSGv5_IzTOjh$9SXjq{Ry+OcvH)6Z(Hwr$Z^< zhwZ3N(5b_sY67*-?qn6oY5X|XrZp={^lQ)GW6i1}%1?Y4UnVou&u&<C>R~wdne$33 zoGYv*ye??`;+W_A>XOd8caIFe=Q{Nqkz}}j|HaJE1snV}IIA_NXnuIK;#su!`8{Ud z7q#bB?q<qtS-^M3tLpAPb1O}UGU*MQ9%Qc!Fp+oP_+e%#!^P+RS}Q+m9Xqt0ePNZT z-Bl%r;PMUk*DyM0@YE+vQVNhNFU+g{ZhkR>Bjk+t)|=^FU&AX?Ru!BH(eQb3v2?9~ zaNge~QM3G0S}q7Jay#gHENRkxqsncG7n%O;(5io1cVUbAX^yiQIjS~GRTIC2_Jv<j zm>?M({%Tjn=d-4_@4hK2j(_g3X9`1nbEMf^);EHunCo6`xf%BBggD=&x~|LB8`dcw z?Mq$LYP3gSrG40!!lrZif_dj2PQNJcH^JTN=`p@q-Mo$i$A1SfI`2{VP#hH*S9xj4 z+gnyM>-V}pNU2$@bgJ^#ibn~TCVZa7pu<?Dd98g7Z**&=;4*Cym5EQUTvpi?Y^JXG ziDlL<;}_50p175z&e^HU-Q0Y26MqQXg}?=g25~_NHJ3Sj8?tYi|JQ0>pt)Mr`P#k< z;V(*mY*FN5oV8>Vo2YEb=f$ttCppUQd9jpjX6OguHTzTw*RJr2=V$F(l`Wi6(faZT zi$Bw^2@7^MNo9Sinxg3Ia%08m<u0BRV=iv5R7%j96LvgSHFdp1$11yS!_=6{DEGA9 z?T>Gk`xXj4neuJ+&-TxGmglR^vu;V~=}k(zy0P+Je&XeCg%>3DSBRf3Z}+%X^(j$C z=I+e^%{hD;)*Xr){0#i74Wkz`xBh8+GV$Mm9C0U}ds442pE%U=<#=iJmCg6XH&3@Z zwd>9lsRw3hi)Za<U)K51LHWs}KRFg&aea^GSReH`?^V$K@fG_KhFps+ZDJQ}?#@t_ z(LXNjvdmJwipQ9TZ&tw)U5hUnuNa=%Gym^hR{!|6K>t^_-iJ!3OqPW|KL10QIsR3o zrkUW+1vL!M9!lO(TU4Ut>fw7c?e1>jL)ZA(G?vKzH@mL<%T2#P_hI%t=EY1?|1@L? zCL}pOdSLWMefge6<qtQMwQigd)bRZ8zj<F$*sgtloSndu$@}ZsTRqvyLVXg<Pkv5p zv(fl}%c6)YXyZGEYh~W*ewT6s`6sV-;kFg+{HN@+`UZDp-KI%ijUCPGjOmWnRyX?A zDg;c3v^&FmkBevDw!<r#a{sJrGh<rL8c~0_r6{6fdTX}q3vJt3&T12?;`J&VJa4n? zvN|^P^u=C%ZJ+fsavH3ocTD%!)SP8o&EXR=bH$dPn6i(BZc~ca?m8>DS-IXQz^=`~ zQa5V$uMBpf>yup!rpdaoS}id+y5gK}>HKXDlXmDFKlS%{aKwtgcf<|eTRxblwEaqu z{t2VAldXLZxk&`RifZUjn0%mz(L9;qOvU$?uFG$Qx^H@uBmHTU^$DxHnc@#-%vo^B zBI2}=uHU+V?aWNKBciUj_@BAhk-k*vbV>-%R-;vwZj<&_K3Uet_0~dYt;+f5iC><7 z`8cWngsOLXgRJ^pb<v(1SGH}LIF;XEX5r$`Jd>4{etTNd8vIxN^K;%qj8-orPV9c5 z^L5q6M;$Y{-bs9yV1D%=dCC?QDgFC)cWWK(|LebrS@7NUwe+1?7u{-)#QtEaUH(J8 z>skEiS39Tej6blWMz-ubvsmdT+ocxv4hv7^zg5#eao1;?z{ACpWdyzV{P*2|;K0E% zZbqC2nY_&oUIFe+()SC~SG`?!#QepYQ`>UQU2+A_?|Y@{x>Dum?AcsQYzk6#Tn)?| z>@S4Viw|zOTy;jU%<P_8=GNdh+n?_G`nmkwBr*F{&RbUoy?na;?4q-5U%U6HRMx%t z<ko&B^6J^9{N0zQ8rb=$P28j+;dtTf*()KgPyfepE2@16@!x7{8_D@KHs^E6nZjvv zO=d4%5)@wXb@y}$#kOy|mmj*DzWM2*FE>w=_ieuF{B=)Sva^)4(dA#I+bd61G~W%{ zG_|6}VMSoz&Fz<~0&{0s&-t^y-FDixH8W4QpNfyX&bszY+D7TpsZ;OQEBk&qCnv<j z@Z_Q_zvW_IrHKOdOZG;K1RQv<FV<$k-Ds^Pzwc(>_4@nC`^_{V!;bmSKcprtS+snC zLsw5j7n^d`ja`8b8*(0sb2hpuCm(6*>Jl-PVqs)9vgVSJW#uifvd|Gxkuwkx5oP6V z>uY0cl;-6W6%=HZ<z@W;@wN}oW3}Ca>~$g;&0;6q1Jw^PCLC{E)WF5mC?Y4u#ll>5 zMP1QJie2c*Gxwx6Z#g-R6WbjsKHS%rkcgNc&p-dU`oXSFA%00gMl~6aX%a1r%TI(G zhsEXZ4=;*!Us;@e`qd9}*W0q2!fvlsmz7<%xcAiY_{VEMzquauf5V%fU;Y$H7H9rU zSrSmk#L|)c?3&-oRQ3Lsn@%p1n5dSuWhR^S<?kP3FMoGiaPnvkE7M9fnPMFWi-M2G z>KquQEoH^y412HWt-T`PwNx<cg<{nS(YDSNu7!$oH>RBDc=mMigPY#bvznHjQ=Yf) zq{<{CQIR0dY)z@u)Kv4zigPcWRr>;ux+P!U`fPU2$<JBQ(wov=t4wH0lzHirQ|Q6# zGWAj8_Qb?B8HOg@|H3@?on@}B<XfTmsDG~GFSD#`HNP_Mc<gfh|E98ct|zldAm524 zPXyENGWTs`Q#rP=y+CGT^1q11kC-oCIl3|`<=m=uC2LDoDgN%8e5!P1cSahMX5zaP zfr^g~AA*_r>(Vk*-uYZybg({JCB}q9Ek<SDo6Ap9`P)VLHU%`u`UPb)2-{aN{5Vnf zdf`v5t$cOPkymY=n0W7;=;iq$XW6e!uE7iU+3E2`9=PiA^|990U+V>Ww;CEVshF6h zdTv_uq@I`MVZB`PkN%(a`SCOU@rV3l-~ZqBb$tm}^ncT}|Np<W&s(NdYu%ac+m`!) zw<NpFXlIP?)aq@TbK7ipTS|pqPn*1KOZTsPVZHy|Z1-#xwT=^$&9Jb@4d$7l=-c^H zLjHE>g{9XlOC~dM#H{XM7N6r8^zPDh4<|Ebw&#x=CJM~j$|Pp>il2eQ;jP^JbN}DB z7&5!PnZ~aCrA3<kH!}l+&O+u7$_oy-&bL@HIiPbs!=fL}hYmO-CM*<KcyPjl1qufo z4=5^3Sg7Fe;KSksg$71p$qkDaEIl&Y>eGLQO^;R<-`Zfm`sT8&t8KjRstK*nI->Y+ z;oRjK7nXA^teYUIn0~EL&1Ra&&m()ockI~v?#B1`d`_u1ge*5Egfy+uR*PpkHLXo6 z>7-;R*L6>mkm^I*l7d2-LPHqVES=8sYU1?y-;Rh(6MwX2|Hg^a(!Nbv`$Ti;s-PDq zMb;WzdDtm4%ZE$yOR&%`_u383D+1rlD_ikMa7rp~t-^(gnHB6@y)&YuYCL9LeHm@s z$v@+jRI_Te%@Jesxk`_o9-I8XuGHW?!|_>r+D@;&$-PDL!9jzJWt%=pulxD;Y(_yu z%_75(`)4<qE-^W>{pf)Ujp`>&PsU$A|6rqk#$CTPN?vYL?>iowUM|A=>P<nQ^T}w- ze(5_RmmHicHf)(z|Kz**a#`_vQePI_%nq6=&t>3RcTelYPh0z-2h)26zw+Aa*_!Ju z-6LEh`G1nwyv5f|qGlYv`?J;3Jk;=f=<!F_79D1_-oJR2NY!d#HTmC_3I98rqh?Ms z7q&Wl{m3c(S=Q!J$_YYh>y!_Nl;=HJ*b@J4)#sdPx>^MZW|~>cIfA!-{C~s5dDeOJ zuRBzQr&db0wW#_Y*w6oZ&ctVhk%rs{b2m@&-_>#X=FUxPH~%fTxBAew;<)S=zuw-8 zns{>e*Ig2GcRnpC{al>=YEQ(cmA5nFFL3VuyK{4C>gSicULQ((y(;Sd#~V9$>Q4Rr z`f*XptF~KLpKiBylKIT=uupb+&6I-k-OmN|%{ty1>h!4>miK5pcf2%LX<B8|k44?r zC+L~Yd1{!`*<MlJW${Gu&Rk`&+O{8$y01^rH|u$8Dbu;UpuErHjPsV=9yY&Z-sy?A zZ}h3rJe<5jNc`P5p}YgZJ9c~R`6cvjo%r&7el-$@lQaJGSMcipaL*B1zprig?V~I6 zh2zhCkSOcoEwALg|5)+XT}8P{&hKA31Me%_H9R!t>C!E(<X3*Ee9KlLs*=0Bzw@At zlHG)d#y(x9#kIW3AO9*(Si7&K`q-0%d&+kve=_hp!CzX#d-?OJ+xL`XYC6l#pEziz zWYhg&>#mjZ5!$yk%Dv;G!?y*znPRh9FIVYyMpa5&MEJHz<=(cN`EviY{(tPg?dqFt zyYhQ;H2;52=gZZ)tyLB)|8MEqf9f?E%zyQBJ|DXEY5gtx`oh(>f3J_6Dt~KTd*5W$ zhJ~Cy_YTB;yDxu6`or?j-7n^Uzgym@x!d$j{HyAO^Lw`)mQUR6|FiVNv=wvbl-z#6 zI(OpjXmhhrGx^{H-uEJQao1YBbTm$Nlgcg#Xzmoaoc8)s=*&$mvF~3kUZbnOWZg#A z$4?)$IqqC{l~;DR%+{O(Z9n|_`VTs7*wq$nx{>Et5U;XV%;9hL8$8PEc?*9`FaEt? zU)PIEVduZRER?*S(d6mYTb5p0_Mk)T@b#C?oAvwEqYc*`Z3?*6*1fxAmQ?q%Cxxua z$tlhJ>))Qa#=mW6dcLvJ+ZdDO#ZwiE^DRtvEDc`C**j@-!;;1yvC0z3snR<%W^I;X zuTQXQo>^HrF;#NUwCdw3>yA~*ITmdR{PpN?vi@_e8HVxGlnj(6FS^jSqwu8bu^ozs z4heiZFtK|^&fTAmzYa{#QeLgLWF5yE6~{bhGj5I^dCjWsT9LhrZ!9e?n)Lj~yr*k! zIi-6%-J9)^qR|*NIm7$P%Z+<==5Fj)@zi9LjQN;i&dyMfa^Sk-)1}`_?at)rb2^+k z`$)angiT3vX(Bgg+^#3(lTMeuyc!)nab4Hc#WAZ)-#Ohac{BA#n~nePr@|>MtTOzI zn%Q;sJhPs|bW+v!gZr-Q*PN9Fvnt;mcX~HP^x8hZWkT)B-ah<0R6pN(y6Dd<pPl}x ztl1Oge{EB3QJB~vXPExBs&4g$7H8df=|f@aJ4BeGPO8?qOzf$BQPSZ3X66B2y};}5 zX3v_mykf<koENtb1w2<?%fS1vZGrr^-HP>-qJIV7YMA|pU1Vxb*pm+$F|RI7@#gIK zcwTpz_B?A&r>mFCwmue=5}3M?dzJ6Ah{_4;+J(N}o+8Ho^6Y*i{$A(l?GL6E%SO+R zdd9p<Mts)&t$Qv_e=M*?dB&N~fn^GU#^+V+B5qr>ir<|$Z;z*=OZxZqHdP`qeyh6w z{CM7b*m+;qtOKGJSEZNjS{g6C-$TU6H_A2gW5avS`T7Oyuls++{{J;UQ}I*eq>GD_ zDsCFF+iZJwgD?8>A~x?0JSXEq&mZ46&;6L5!gHMyXLrn9w)KkU>?N1(J-sKlei=`L zzQFoVYl^SM6~5}3wDrrgWeQG8E6f$=Jlp(sk=4{CdpOKewy#cXy&jgDd5Y^))grIH zn|IDcACUiMeWq)|oBP-JGGEp%`K()aVCGY=uNfcqF6J|HJLl38($k=Q_J3G|&DPIc zy6?(tjUt!m&D=A$<V<_if$#U+R9~yzowxBxi{k_9H4;DPq;EA{|JcjRqUKH8;l+Ka ziMM9v9bd?^pt)ZE*iL1E#kNz=<}VPRnDoMy>w{GHJvr^YyJhA&34GORQYiN`I?G-t zSi4VMHST$PVX)#XVZ}<OdpqMMy(#>#Yz50h(;2g_?hfyd{?oy}^ZcvCCyxX#KbTl` z*jm%}Lf^x18-q1<lHIG$Tw$DZV}J5n^#uyAN`)D=y*XxR9<#n~zuvcVzkg1YSSqAn zqo`LtX~n0C_e|GMoOo<?GqF>C`LFlA?w5I68Rp6^e_~$!!Qy{ZQ>AF7aMrdvrD5;& ziWMU`YkzTU;L;ITa`4pi{zLp{Y9ExZ%d34lxAe)mJ?XzwZ`?DJ({v5}=91uFbo$A> znUiiTI<~v$e@b!awNoBt*IsGOnEY{GSooJ4_a_(q^wd{c()Bx{Z0X$H2baE3ogmV$ zE^GdX<wu46`6o;HetNG=)@CsO5ms`B?aa)X`L+%#PDI(Q%H0wB^~$?_@3!(SIp|$~ zcz(p@_S(xge*W6pqt&~?xp8LJq0)pmvFTsuR=3XDwP0<n$Z@Vs$N#J?pX{`{Ej4NJ zk%ywzULI2)-#lP5Kem5SmBG#lnQq5rKdk51x%xh@_RZBxyr~aQyqdU2;oXaPzaOE8 zG+zGL`tQoy&Eg*gq?0@j#vKh^{*xi*oJv(o9LwbepW?Rp?C$XPE;{8}Xk9aV4yWI( z+7H_n>)TKL(=fB;$s?}k?>sm2ynj61@BYdOm9@K12tTukx~S~;=6spNZQg@H8XxqW zZyjm>7tw!BDVtweGf^XH?&&pGyce&vv$i!);y%)DP^_z2X(U~gQm8fMaM}+UCDn?* zqIV>YCrKuY%SzhWZwX23kMNWTVqd<h>dFp>$<5b$JD#5Re<rstxx6av;})hYF`M}b z)ym8ZQ~i0Gm8<vmEL*!vhxK}vhk)L5!S|P$st>MvAN^^E%i6V3LWc?;8;ahW5;(1G z`X%w8G)<N@!G|9^CZBtw6Qw`%P^@R&oNDJ2U!zZ+d3EZSLeS>W_J({tG4q37UW@rS zt#VT?T-~0!t>u(^np$SnrX5zRf*B6*y$G7{$nLCw%8kDVif0#j-m-Q2W^*FIhp+ph z)k5Ph5;k^`$~F<Nvc5UIt$ls5H<R)5>+TM<IkKXmeu*72J>k9)oWh^ye%-<U_W0I@ zi}9CCgMVFZxceaSCd;8C7xa&pPKim^%$0lhG+1G_O!MY@2Uq3?%xV1awLrz|WXS%e zJ-;#nd8TwebliEpLO5faRrj;>FOQzzidxyX@5riY|J(!*dX{YY7wTSnpJg8R(Zx=) z0x#@2GXIL|<ONz=mwYtfuMe-kF*jxJ^$y7&Cnd`MKkXEexvsFXvTynIdAovInx;uU z6)ZdEobz1V^*YZ|;j(wCo8&V(vf1}tJ?;K2@-my}%!v|jw>MPSisilYIdS5Qid^92 zCrJk#+D-|qv0XH$oB7d>_chPAv1eUg88*}O4x6(<#iGs^+FlPVb|mk85O7zc@!UlF z%9VT16idH(?tN6k`%y}LlB?d$OUu?*8znSu6?bd%o4N4*FORS&ivu?5sT;mOZ@SXi z;cTF;{rT=`pV{vF_R7o8?8rD;(Rk<l^3=HQqjkE{yL96FV#D9Es(rlqW?w|*)|1|I zrOfTNT=~63c;hGgwQdLQE1o%Q`lkNP5%wS3*f$92D1`saek8?{7=Qj@_50Mvla@U? z_&jWd9slvgNmIW)sV@D>>}L2QW|G+{HtD(Ap%3@Ee$?HuC#U$R_SB~jvo8gkM`-!k zw14zsa{X~OG<cbBe2&{yCAGNMRzI@zmG5$BMm^<{yq&oJp6{F3S3dpw81|Wc%)MNF z^#1Y-{iVK_73QqfNoTYZ3i$l1GWOaFy^DQ{D{Z2_y8bhIzCTO;kotj^G_B$W<{u3; z4c|WTN<7*Wnq=s)`2Yj6qO2pUcAqzU_LH7iGl>VBZxdv<U!S0P^5ABd_%t?+XWW*d z$2>gKMgLvBy|PyG2)FXV;Es^Ye^z&e&aj4k7K{AEG1<0$&qrRbefL+a=kHkV`la`a zc=orL?2?m*Z&`UyKhsu`Zaa0N(uBnq_8yd)tk)Q^qI#3o<F}=cCs##olRo5fH6;DD z&A(p(zg@icer{06$+uY}c`20l_oPDW!%vo5oz;(BHu3o_O}(yM?Zbc1-`0GXu)@f( zVpiPV`wB+gcTQYgCVhTc<dUpdxsRPL_p(<lUH?~yKP+8VLsNfW;<Op>bHk-|_xw>a zIVHe!^X!j+vp2szROee`6%^wCXv&f=GXnXUo7L?$nC#qG6TN=Du+Xv1uaizye|u~* zZJ~eI)Ej=!8`u7};9M*4{i@-tl6_kn|Ib|YWWLYW^X`nE+%KDp-k8SiskLCOTd3i= zso?9BE9$GBe5~eHuC*^R?%m3wI4AC@%<|bx8~;6*j?Unpy3nw0uI#6a2ShLB9p%>H zv92|b2w3+ZFV!fri05W+>+{9@oiQQGN5YO6@XpiTdP&gqNalr*e~Crj-!%DI*SOsj zxyjqN^1!o)kAI(b|K{WPSI6e9fkBUO@7xJH=GE48KiXfUdHKn-r3JAS1+zXyZVLYx z!|u;i-}y7NI4|VMtkMtD*uHtV9cA@?vMw+0mG+$FUGGo&hkUtkqkw&<7pJ47{N!i7 zFZ;5W-16k{dTkwc?8yC_2FCI6t_3<a;>T}x9`ntA5XXCk@5JAh*0SIh_oy3_{;n5N z&9i#bnRBRVs*Xzk%A&e`Om!SSjw{?B*e4fOlu3SyP@SKo6<YMBOn<w=x`l6dl-yn2 zFW+IewngLNBKazg<PZ174O}#ej+L3o%$vFP<jQL|vR54NJU{9F?<CP5yA<kME^QC| zkX&_Eb~F2Ck(n%)>$a{b-Fvkr<?Fcz@ha~p{@$qD@FLaM<0h*~dEXP0+TF9{s`+2~ z6*kREQ=1bufB&l~WvQFb9+|KCG>lvGfmF;p<^xWjD$+W9ugtuhxpeu<sT>FD89Jl) zWUGAp=aF-E?wNPT4)tH!@2vGS|IwlarWJ)h=iIMbAtB%WV7FV_)8nND8WY|$_G-BD zRUVBnaqFMk{87w$+PlWb>=&-@dwJRDgW2l-pG=3e>~v~*IXn6m87c8-7RoQpOFOsY z|BO@R5C0tDm>OEO_s;(QO;Z<3bQaXrnmye6YtOy;rfXT2t$ODW{eN%CPr0R<rPG<; z{CO7j=g^@kyRO_T@P2dDW2ISGf=$qr^g<<}%e=)m+rRcishuqmT$R&!V__`EV%?US z*DsV8EWfm{?eq560#>3d9jy$jRGE^#pI2O}5fmZ7G-2XJPls~%b*Frp-sUsR416}> z%!FBgm$`B;W?+(+@sQ(Y6aD);d9UCV4dG>-nGwNl7HK;fdBvm;ls@6V|2H#gU(udh zoo{$IxOcVk7Kg-LdFXcF(9#T>RB4_-P5zP}i>__nz0c_1Bg=I!CA*h7smffm{%qm8 zM(ufl&Agx`X44F>iM*1DeDG}k{=^BhETpU+G6uL!(SPNBBHu3mi8a?6?IvkwCsAAB zxvDJMKECxLZrL-Fqhd>IXU$hizkRpv-MRZlpH$w**mUhrn`9F#-0wN-=EEcL_d+_K zK3^1N`D*&(NuQRbEIafmIdZMo$L><suL0(_GJkHpRuVn$_2&LMvstF;n^{CY^ZD$) z&3Nyb)XsnHQ{}nWD`x(8->|DEF4fhdMuz8@X8E$SmC276M4WL9V>s=sZ+dsyzEyQs z`dXF$Jf1Vt*-)aWNl<9IRiN4*pPD$s_j`&ahTY3EZtbw1WV!h$@8;N^9U3uDgN)Sk zuLpEEz5H}~mRQ)Te7&V<zhi!>h#1H#`c~YV;H`gK>Ya?mHRiHL=__1^Q%`?0d70Jh z7WBUMoPKuA^<BEx6r1x!Ci~`#R<EC(8dawA^3m=`Kbh=&e~X&wYV6WGdi?D)>t$A{ zc1_E!-<SFIXz^~RRM(~k^Q9GMZ)cp1KR$K$`peU!A5L&uzq>@D&nziQa;tKlPTy&B zw$p!}_SjFnFy)qLsRYBfvutd9O{#NOhcD80UUR)*i<q|l;<e=m-dz2*Gimpy>(BdC z(^sv(XLVtz?Y{>RMUkE?zqXw{m-Oy-QiV#4hgX=UI(OhQ?@({eKB<43w3!7zyu2aG zAe&pL6(%ELcI=#S=fbC{D(c;L85V?0%L(gIGZx*rcKx$&nS5MR!&hFs%6P&|uc1~` z<+S|zBd4GIu>A0K#Z`y5d}<O0+aE;Dx-O6%<Q*2&E#JNH$h~Fzcb|03D1GYFb>uVG zU6~!L+Nb&D39sC-uj;qU{HmihMFkuR_kNyf@|;%I_ls-W|BPQ}cUVcWN!h-+X?cA| z!Llu%TOHRO*H|}$?P$nLIaR;N&r`RvunR3Vj%YPraH%zYXBe-@>qR{VpVHKv5*~H9 z1ZdUFZ~D0Q5bGQ*3&VYdD>#Dgy^7n~WOD1}xt%+nw@sLPA%D`(3cHIIt_zo1_dRU7 zp%r}R?Ms0tp0DS|MX?1$et#oj-YnehyZFfk2d7yFT(;<WbOhIJ_^Vv;dWq^P{s}qE zzvjIV39$58STz0MtL-!Mbe>xjes)`%`D#_m=J$8L%*c!}SjiH-*xh-T;*={dLMqy$ zXO=h{Tw*zt?7V2=>%7@lKDMatIl7DQZi2(H=IEM$HxKrv+j}40+@ULZFUo%Jj<*_{ z{KXliUq)Emj{T+Bx$>6I>=sp{=v(_Zg7h{-vgqeoNK7@WOpvYI8sxeEduQOSP1Dbo zXYszZt2+=IHuG|C`vRLsZrOZw{QH;f^I|<D{MY2uk9!|#?6>DPv?;Va<#>Ot{dr8T z$=%NavP{uwlRqE#led39S=1zN{pwYrtT}TkRTI~pshu>bdFrc0caEw1O3wU$bH<eg z|1AD!1d4M6RK?7A?aThI{_ozTgcEUlnH*Ag@%FydPd>mWu##cFCf^DF5V`qlB4*90 zNbOwWa);*v+XapXxkvgs`)_O#<euD-d-X``2c`4pjNFoMF4>%O%2cZ1Ny4uiuGUW_ zb<^A~?r^tWD)($by~@dg2F}!$j4<6fb2s`fPMsXoy2>CwSLes&rMKqvbN=iT&)!k6 z>Vv-G*);8Gy8`EE9MZh(5p*bU{=9ija(r&z`ycKx^;~$tZKK%FeGTVBS3h%FuyVt? z=ys#r)xONyGYvi8WlZv7u?{{o+izC*ghP=JfBsK=E!*JAIW6}f<k*2y$ngVqx3}fq z{x+}peYNms<!9?(tXS9eE^4mi#TBPcok`o-8)k6&<g<<UjPlag^@jcR7m)jGFYxzp zz3$Dz=;O(|wz-!)GVkLRH7igwoAqACC*JJlY`w3?uUhH$S@j+D;0yZF_|Jh+aYA*6 zNQCU<MY0ba7EDYs@B6>8<Ntq-|H<O&6Ma<59+&w1w(sWSDm!lSs{Zc(%iJI3mn~nm z?9l&*ufLaXzPjven(;)JOJ$o)(_a6OH`QviyRct&f*8lk9lTraS|tkjseN3TJk?($ zSW)*{^^(lZXVY|#q-{=1-Ryhf>bA3LvuAkNeE#O;wR&C3qKzsS|Id0NZMh&#xIaqS zBKmq%;D5u{S7yoYJh$I;Zkol<ExPM-BG+3#Dp0$$v2{thi^HFF+wz`?MLV}_;z;d` zT`Tgxm(l6zL<a)~9yX^#lQyP*Fq-Cf)v<k>X{1=R)U=K2i@yDqe%fXH&SP$T|A8O= zM+3`Fhg_`h`gfUMgu%DD_Vj{;fDY+nF2-N)v-O0XV`w%OmbELpk^Q-RwxV47TsAff zANj{EGrv4+|8q61etP2TtLfjbJ{OaI%VqXr)8=oRE^n(oC-!)8;o-tXN1tpiWWQ3l z;XGsd6-M^j)mIa8wW6N9IGuOd?NXt8&Sp79ZDyevFCL!Fo4l^I<WA#6Ny(4P&n@c> zFZz3c)mZ!Cw+NZa+MZ&O{SkT<(K0EY!za{~DqChtT+&l<aY&rZxa?uz1C#Lb&7u}< zJLaU=i64-0ki0CZuwh~vS4WU*EALTx4k7NQ<~BFhwx(vLRt9Ev#>NKL_O_<R=GKO` zMh4dAMs{t%k{z@7_WWI4%JMJ$M#Yn}d(>4gM9SY4*tUoJ=gW7qb$%XSp;o`4>xbdu z{984Dmrd?#Ihf9VC~ZoA%fn>xQ)xH)TN2gZ7{>ZJl$kKK6xe3Ddi`mc&3rn*GVOr( z;oj6Y&AR)~xn`@awGlM+P**v~A+~R_&FaJ($7D3$-&&v_D!_G4VfnN2-%%H~HoRt% z{uXLkB))B~s4C|!wwsxY9<Fy}==~Wr<%cfISC?aJPAI))?PZOSFukiKDD>mNloNTY zJafV|XKeEPvb9%thE>eIwQnzmp9+}&<>~I%D}EL{lA7`JB#&I0Y}t1I-K%{qSaoKt zWppn55gNT`lVsRV!{e>3c`xE>{NC{zZg}MPc(U;hri>;f;}hx4&+jJQI6JMM$6fJ( zW0oKDTcvgX7P(LJUw1A0XT>S;Ll<)c4Sw%C`DV(M%DUwxp*5N3XDoH9oY!O6fA}b9 z$akrt&Wa{m$LblAtX_R$aAu9In%%IRzk0#NXNSehcHUgK-QSM!YPiPvBWy-oJz97B zT(5;noscxXZ?ZzU!{eyz=>o6RJ#shy?b4roJiduLU;250_6gl{vnC1lTE$K;)>vmb z^SIS6X3-g(t9CDmvDe?pt+{i4jr=1nnOXL0>uOTNOt(xmjjCA~cD84ctj5h~NfGl~ zJNN&c@8iYYq|NN}SuX8G`nQ@(#~XHC34PJ}@@K-)%rAU=IZKN6D$euY^s)8Mr<CRy zS#7fGOHLIXcpo15Q7AU)uhx~^BAZ8Nx9Pm>F4l?=%WE~_v{GsIsdAIa6`6joJN@L7 zr%Cd!56=9{V7euE$7v4>j=R=^&acnP+|LmI{N~3GndFeVBZaN{3QJBY-YDZvnZKlC z<;72a4m<vJWyNmdJG$!Vi^t#A?l!RA^DyGini%#dhxIqhL|;rV(>edc$v24AwnQ$P z_3(pAm6GRIR_=5?_vp?P-Jex=4?Rqeb1Khq+WBnjM$YQJlh-sKej5LsO(!O4m8fo0 z&Z<vKs&BkI^4yI_rCEh-gUS*1n>8=@f1bp1s{Lu=&KCFQ=d>9G)mEFG_0oNHPw@4u z)pEzKP1Y$b6ui53!OkC?2He4o&QJACnq;rt`BC@NCPO5r;WT&p-pIX5bNiOr$`~wH zk$U>`dQbdv)=-|F4T(KRn3Yo|^{hBrS##(o%bm&tGaH_8$ISLoEECSD`Q0cdSS<g~ z)br;{y<(lZ^BgmuRPSA^w^TpbQOf7nc_uTfOvM~s`-(QE`;UD^^cS{f=fx|__A9V` zwx9od?{bCOkB^j$VyB-`KI*m6(zg4frPHE0*Ym5`Hg;%T|Jog==$0}e{KKDH2`82~ zzfqTMU&q7xa?6CAo{t4V(bg|-X`fyBX5xc4ih1tbrP*I@{kfWRUvJUXe|N7f=Q<wM zc63JM!TU!KykWMz@F0y*YnRctS(P>&F0;ko_8)MruliNZD05^};+kELh5L?Vct)*n zmt4Z)ty&ehh{11Z!^1frPw#FDtnDqhDf^G%&GQtUcZbu0|HXy$yr1|lD#eOHDf0YH z<Ewd>^%57&-*7eHU0C~c#+iDnbtRI{KbbEyJ@NR}dx~tral(!=;Wo8X9zI&nr@;H+ z<*$DIhf*1(hqlbV#Cf@v>BOs3TRN()-A|R9WVTx;b1z3~afqe0wDa++u`ypa{o+0n zRk4YuWQ#?h!JG^A@1h-@&MjIa;B9w$*{<ZWw%T6SaLr8`lT+MJY*GtKWu9HSuv54# z#O{0(kFuua^h<m%xwGR#Zcm>zU-?B6*U^Az=dg?ktl7;xst4D9IQaD7Y@JdzeeN^D z8-0$1pEVAW)c0v-TKMFn!<F*+GoC&d(tcEQs^Nq8<Rdv5t5&&9_Lt$k<#9dhN&ff4 zIqP>8%RK5zb+hzbx9mYV+rx){f9k3>&2T>w?Pg#ZWf!?S`bomc`?|+m=Y5`Ps=%<J zr%^QU$KrL}-)>%t*>~IYe9yyGE2k`0Rr+{X>Ie5irk=(}@9WtTlzXPAE)W(`UwigI z0CTxn`WDlz-)tj4zd6-Ve!GY{r{kWox30cBkEdMKUw8MmLgVv{*E0DP#Ooe^dOI=b z&946KPFC5O-H$iia^Uebu8*8{;hvkTw|s)*%7TQBtBwtBYBSC_Z7=)NeA`r3+GB!W z+sxd^(u8J_<xC<nQkvha<ZafR;f&%~A0@fv-=k?gsVZj^R_@-W$(1)jZ)S)@D7TNy znLGNHEl*UMYq!0x5cECoy5At&-&BPAyaY2t1WQzk<D`<~20K@-`EPJnDX8JFK(Xo( zW-hgzrZdyePb=q|;dWZKVV`L|zp1{H?5i}B>>i~pEu7JtmsRTP$k_>Z9ojf|*20wI z+7EO(KiG25F@7{#Qqa%$z|}6U6Ngho4IKaP^o}^z_rPV3;Dl8vO0Oo#%&^*9by7+A zqWsFc@>3qRyz<%lWLD{qFcq5?lUdq-{AX%iPZFG~`{B;~g_}R#y~mmQCvg3bhSHf9 zoQrnW?Pe|8<-_)60w2HM|9L7Zjm`U?Y@Gh`{`?j1^)EZ@W4lwV`e<^XyTB2~namTP zHz=AZGCH4_n^(k@`cvZXi@AZqiAu$x0;ah!n~v_&=zQRJR<-zB_t!?&rGEn!Mn0IL zlVj+m&%H4F#<OT<iMrXcmnSc~A$=~8^Kwh#1(R8(pVv;5Uz8Vj*OPm@VgBD`rb2bo zejol-o47$n<XyEz6{pzAFJgZ`3rQp`o_^?a?@XqaEswNQq#kVex8%%nk!9_k1#2Fa zFnoD+GRf)rx!5lfHD7ezg<N~L^h!#M^~biCK^mE!FWrT1t3(@`9*s%Jl$l?~^*gEH zhS9s|au?m?xlWt8;w6<{z7k#fD>-i7_xYyV4|`jgt)4zbz;NEpvdTbJ^A|T(^q)WU zqu?TYqn+pNZ-E~7&mFtYsLq_Y`E<^U4aTC}K7WNK+XWnKuvoW@<4C>gqmL@aDhJl| z>E`xro6}o<lDTDK#a~t<lZ8Cqzq$N%<nAvxsM#dBk1=`bl<YbFu7)og7oAge`SduC zBSUMy|H19$g`!KOqGoaN3G>&OhceW?y8Y+(C)VB`)-Rhio3xK5l<);zQG01q#D8bm zU4{vsk;03!LgS8!DE#|Vx8cBv`_j$%op)I|#4<GfTTZ^&vD(+>Oy9mQ?HP}r2JKXo zWKt}Bk+)~Zf75q|CY@fwq`%9)dy{!H+w^SLg`2lczx*SF_47#{-_<NDq}J3F#OmKS zE`M6BbhIt_q+yXq^}F<Ao{f!VrIjUZzf(M`QlDFxUXkIv6rb6^;<j&T!N1!RCV%bZ z+p%Ce%lcX-xt>Q)_lxc-dGo6N!v2GKWv@kwGm2zho-8h&w$+k9i(9_UesArs$Tf$u ztD{O6|IB2P5jyy`^3uVZA`kb@Q!KmXlE_|d(yafsB{JcF);Whi%Q_iOD4Kk9t$W?3 za$@6rwwxQUw6;um@!k5#`EzxNbGH;f-ukPUMNfRHZd0y&jMUjK8Kt-XJX@a~=JIb1 zy%BSEzrQtyw2-~hL+&+Mb@yV`O=BJxRv%nA;qjtX%D4A@@Xm-+ae1lL=&D(i;ism^ z*|09pXH}T*-`&}-ch*m9+FS7`^k7pdtM~TEP5qyRR=vzr-SqfFdTnhn(;dD2N84Ve zc(mW$=Jlf|Cq4We3$L|rMRBa}zSn0iT9oFSTc>y33l?9cmtS^m_NKY>_pe>-^r^PW zmgQ}yUv<M}se{!G0{$hor!54|%=rH#<3=;Pmj3KK)_FV2=dS!)?)BWI>V4w{MNMX< zN*nflTmLcXUGdp_Z53bFa;w-GnR6T+7$0qtESA|`yZlz#qm&7A`~F^fH+$`a?Y7;j z2mjs4l#p?&{r);iN47L^QvaqWY5Sa?F22E4uP>O>xl#Je$#sucDaN@!>s}^h{5W;7 zNa7a9*0U$|V>`}8|IM2v=$Ik1sOG?>IWFAktA6NzX5DhRD1WWEIKOg)QLBevaS_k4 zkjk^0il!{sa(Ytem6aX8qd$GAN(`IvRO5E(xiGsY7nLV$|2^x1eqS}i%0#V|O`a2j zA4%{8J*qe{VW!a2Y3A}VE`ElMFBkFfOb=dlAY>-n@>Wwnud1GnLh<pQGSm1{%-KIL z`FGGtXHNH}SDOwowdWkQn3K|}`+nk;ZO<ioPpc%}7RtRbHR!fs<GTE#Mz8*GB(;cK zjMZvU+tjZf82Ipp5!)=Qj=q(<^Nv62wt2n&dmY=2)a%D)BwRh5BeHY(-}$oL=S}`6 zm&jDrxhCi@z2R>!e|u3k$Ch;_+h^y0J$~cLo-+}=Qqfy|)_N?}zLT|wOV`&_S~Df? z->UsL|Ly#FT9EG<-xL@9!%PCTd%aEN`K;RhDooy?weiJgp1U?CM~yoqOMCw4Oo`pn zY#dg*^}vw_LPnQgNBzBf|KH!06YigoU$k=lzVH`?>NDz-FE0;kFgJV36&bR)T|#8$ zzi9_7BA(6T*>d8+I_Z5ykJiNt-+ESmaN{H1zWL_n)&HcPo1I&IXp>mzoaZM_uN8^? zEcYw(nEuUbJ}xbbEkf)e$-B+I_0RA;VkymO`C^9ZM1B`Xfy#O8UUe^Jm+<sU_6F@- zCH3QgrRi)(CkGJ;i6a`%n->)LT%Gs+(DmcHyceFYRI0h(m}%uK!6+wFaW3-FUQewv zlF8+LH8RDY+`{!A{`qyGf8VK`c-hk|>$tfuCmpzzcXq0dh|t309wyw+G`v<FVUK6+ z;Je1E%)MUp#8f+$Y}S=eKB|3cww`oh%XN0fQ2q;sQSI*;45O}utJrCKcCTA?S1td@ zlp`i#c{lG`gxA%CTUD)%4%oWX#cuwVb>i!<tcr}SKdl*_aVcoWy0YU@yZ(mWUc0j8 z-5G|M2Gjj)63zUrl9>u+*O+ddc-ES|Yu#1JByMG?S6LCee~U!Nx?jG1jjwY3d-J42 z%c_?j3z+S=N~~;?w5;IdJwG=`{%D!E`03lZrv6#CLhTm6jk|ck-XJfRW&YI;?agfu z&tKDgaCu8*?cqzk26CoR@r+8c?0Qo^oq1bxs%TEv*_XTT9K0iL^0}mNOM|=c((CbS zB&Dxj=l(ZKQ{c>-8QnG&LYjv?4y;a^e*Vq9kLJwlw3+sl{6EvR;OTMuGpBvIV;qdw z-_DA5E!lbF0!Q*<zueTnm3uc!t{3C?`FSb*;?~X8QDs+4g0?Cjzp_!MR{FC|`<3vR zN9*{7&s%&d`6TBo)Niv@=NHT8dCR?2@?NZ5FVnyDTgX4xWZwQgn|1z(33v8eS)KdO zIDz?o)x(ls$!D45>z3>M&~0w4FV;Nw+qmP;_J3L7zsw(B^ygdg@4ot)EB2E*R#<d8 zADf_WT)F7QKINL;7Nf+P2M#v{FJGFhUlQ@IL*ZqOlt60#O`al;lyHaQZfRHHe`0a6 zzZ^4O3l((9|FBE6UOvZ2T|$3}<?{Ke`)ADjb0sn`P|NjS(mI9-s?XaF89Q=V=<d5* z(VLTDee195s!7@%QQjwACUfz%I%MU=HW)W7|FkFQlxxP`cX6-8ZNfSiG?(V<uARFf z>UH0hHG9sU<4&(XU$<n|2fKH|ADz9TSg$qqGseGDuZuA`yKq{`+fIenlW8{7{~89Z zdH6SV{`A+%eT8xU3m4V*3*FFc3-K!1q9|=X^LS}swnU@IqG%VrJ#*(T&aM#N^H%K1 z>>RJklHW=C(o7yAf3ov*COhPP6BluhI(C6ScCo~xy=!vStcAM2<#JWNi!y6ynX6&e zwL^5}+$a1Bi^>-5kWrky<4@6@*c)Gc-tBxEU0c8J_m-6tmwY;1{;u)Dv`&sE9sv*j z++)zQa9mJnd^Ae)!NMCo!OG$$hku4XG_ad&pY}eY(PSE*2dh>5lEO^wi4T6gp6OZ3 zaw<hv)$>J#i;GwJ>qf~_s{#*X#&i7H5*zrEcS-I>YsQCNXBzt}SXQ52a8BO(kc(l? zq&-So>esJ}Vr)C}+(Mf>ExoUJhvA)f3`f{ARkxk3xw13uLmk^9$?gy3!ZYnf8hLh> zHEc;W=bwB?O7GVUu1|snjh#GOZfr|q2?&w?)v-EyRrxIDNiKJp>+h7;UA!$}rgl!Q zqG0{g!pZ7Z>u&u$y(sa@udGv(EV>HkeQTLu&?UThk?qp{IFGe6R4(rCcN1Ij(e%ix zmS^AAh3vm*Gqsv?gL8&ZZBtIH;JZTgrTaRUEPNH@I-RR2kn2?|4^Kf?--DvbGB*@5 zSUeM_-nez6H{SO4_KvenCDO4ouKwmeRqdy;PyNhkN$E}AoStV3_i8*i@1^s8e`fZD zw2d;S%Fln`W4OZNdQ~AaX>CBbu$|Wr;j#k$zuy!jpU(7axuWpk>XRJvm)nm$FW$Z& zaO?I30Ub}h6LM#-<FC26MTDVWZOvoWCB`!^K8;;CF)%)Cb$f-+f$A&IS*steny+>? zF@CuQ=l8A+-aXzw&mD_xVGhl!nzr>V<6rd-;eWQC{{LRTv|^qrx9`AOPre-;YkJao z-Lh91+NJTS9nP@2aPH==ofq~zKEIs#N{@1v=bV5uN8+mzo#yOp2>t%o;9$Zfo^Q9O zY<jGIZJouMgBdx=i_aVgE?(%vV6Z{{>y=5jdTnzyJAHFwIJ>D|tnSdnme(zoB3&Y< zS&WnnmX}T6w_LU4nxbWWM&Y+y|8qR7p<aCNsuFZBalC%^<=%^_FSlgW?CN(rp{p~o zGBxmd@43T^T6ZmXR<Js}ovm***R9&~svBf>H0gY}$hy$JM(ORuLl^rPzO{Z}?_)a6 z`N-Nt^X8O1sgoL}=W7pE*)2V$wD$Y^E1!EdsFilyc;lPoa>?6*bK=ziE>Wv<EoE%! z|Ido9J9Ibb%Tz~;cr~58i2si|x|dDy5K7fMcVFW0Mvn5y;d9%2z8_ur=+!*;OIc~F z-E<<;uJ&gs%s#GCb79M@opIZDoUYoE^)bTp`iHW7&&<tRmwvxK@y3&xDV0Z<CQV@b zksG^bS=Oz~ijAGT1%1X2%clu9Ri|D^n638J;nta%h8ip4CvLS^?(!~rYgF5|#b@41 z8=L<)R`Il}=s=!b!dxSFeP)JVH@6>1o@!%TGKo{*g+bx04cp>5Ugj<Q&|6g~U90N$ zp54HR_2O@@SKM<$*1q6PZqQ5U{WIyYveh2GKbtlgmg_NZZPuE>^E{f5cg-tq5sB45 zPFDObFV)|BN%azQVRo>jqKos2w=KU`$Z^ROhpPuBT4^V9Ur${<XMNAS^2#M&ugQIJ za9B0NXGO$0&RYvvcF9bNzF+cv_tImf53;{#tu1Z48#m)~@iE<BCyPFsi_MjDQ4)M? zBbcbTF{|K@Cr^uv@59FG;5Dp%HAe-D56S&Jsrck4vxt?)i@)k8jb4;4&%B!uE?6-+ z-Nbfw-*l_BhYonG{&;$$l6YhDs)-(kwyE!)Ez~{~8M5f0wS-{DMV6K;S2Z?z_p-*E z+hLI4DV&mab{5C#_55*CpUyUXOcC0y^56PZ>9gzZQ{wwG<ILtXD4B6=njO{p>xIga zW!KBTbj(#X_sOxk>v49Y<5QOtVnJ88X2096k-zLs?*oAw5s!-Aepq!?yeZ>sYQTdx zcAAV894oh<oX}x;J3_CgP~58YfLSj0{z@+w&nw!`=V>T%qzQ8d+!sF}5_RDDg1rBo z9P&##PQG8olG1Y^XWP7M2TvCMsaQL$_Llf`tL*KItiJ``4_L3GlGC|ckAr`mu~=fj z`nr#wZYa!JzF^k$M-4^0wPd%sO*>~bD{7ex-;It1JYW6Vst&F!T<Ozta^<^Pr}nk0 zLMQZY*>v_x*(=X@zfVidj=p+v(A+$x{@l)DF|iq6-iq*;?GfE1ex~N?u?<3QbCae# z=`ZsLWe)jMAvEKZh{^fX!&f$(edhZ@F(9wsdS?s2Vkvinv)ZCF{AOEgLq8cfr3;(a zrF0~wdR1H0U)m|mmC(2N<cTX4lZ$pcnSZ%^Z@cqErAfkx-L)-;y_LcvCd+9F*I8w! zPk#J7?D9#G=T>VUC9FA?<8mUZ&Ztd1Sw(BfmiZAz;@_r)+{&;yWqjD|lxJFj_KUTR zs=ZB7E0lu05C6FuXS4a|-l*i12D#bE?4Gj{6zvac$eHU!9`^jX@K0FS<3)_GKi8~O z{I-g#G{mBAftT7BYme3g*>j)AM>?2qnWnUK@~l_|XPd4)+1-nOHCeFh<|a?-TIuEX zFY@H}ufmhJOR0UD?|v|QvSA@plZtul;pP*6HU+At9QbH3tvj1FSz*$XgUc2k`)2ac zs^$OdDlukZZ7+rc9Nn^gd*3iEa7c{H-I9@ztob-_ziDbG&(l4z>{q#r7BDwxHdr#O z)sf|M%@Gw1Whx5NK3~Dcw<aJ*fSW~qj`Gggt28nn=D+@7k;h~{?e+@iGxweO-u-tB z3!ccBb2+j0@&5${mHT3HZZfidt-ny1W@qkyfc5wm*>^D=dpvhZ6sy(!c>Ur2Qa+_i z$L_}(oz~LynmqAE*6EJb_qTQMYCZZ`;>VQ2!lc6>!zE$FW5d%a>99{JcKxTxtIhZO zM!!9hz~XLh9BdjIIC1&?Yj3Y9cmH4Scj;i^tFpUZhdf@S9Sd68X7PS|26s%gZ;IM0 zv(6_gj^(d#`?g-obIH3)D`#H``4K)tZ>zLJs8ZFXq`k}M?JK|jUA<qW=VIuti}x?{ zFPif0%a8B%&d&e(AN`*o%FXP&!dU*GV!oQKqo`ShwzKHR+o4hK_ILZo|K}CW{GC6g z<88gO>pyk*)Usp$4=jA8`PpOXv-xkPdhVVXKD*O>m)+^LmB}-<1x_?t)TgABGv~_O zOMO{MOE?cK6n}a^u5#&tZV4p;rOzr8dQFryy7L=OF#L69`X{2|q2R>I#G;|#z{tcR z#K95R$i^a~k>KdWr&6TXk-EgRK}VXAX%nyT^ND>^y>4br6rK3RyW6yJ?u<2hk)hib z?Yf<Db@tMiCJ*;NN#>7h@%EiLH&*K@kLH!j&$Z|D=KL-;URqSmxNq*uw10~q|9Hss zdcWiQKg>Tk_GHW}+P*^G`4($wwW-q=n-%kZSWoeH)^sXtzM;5tj)?!1ca_C2mLII* zo_)}|-za^`yv$;^stI@f`#Rq|x%>We<cG42{_IL++uqG-4$Q2IetvmDS=Bo}#W!!> z$v!_ESQTHce)P?gd3?-~XJX_1*@M1pD_3uhyb>$(H!`wnpFg|ei?n%upCeCHS^U2I z=}X=`zQz}SVr73{X1mw@L8$6JgTQwAhQ+=={(WEj<KNBs4HDMt4}5g|!_a)Aj-l`U z0iM|Y2P}{37?$SRGq_*>P+M;O<6obkC4))T{b;|fE)tGRc5xDyT9j(I`PN8;=Uw@= zizUbZ`~?o5;G1tvSe|WsVz+C`(Hps}=>-p#Z{^x#-LW+B%=PAV2d=NFz4*z>>TX=< zRdIoTzf2bFy3KH}W+vYsx!fDs`&>PxSI;=GLjJzcUSo-U^(Hr82v4_R7fP+zAEaI8 zpLly^xaF70A<7M6SHe<GPV2H-bi3`-hWB+lRqviP+WsrAUU|;Ki3K^|>t5<kQN3#2 z%J-pmfA;e)zu&)e@?qT-Ww8EZa}@KU%l3XNqZw~Lww(RdZd&WB)03*t^_>sXRJ&vs z$n$KOp2Tu5iT~%C-gan8tkNnC4-4rM=vjFuIyfclX}`RCY+BgbwXbe0Ww<(1_`=!= zee(S-UW=zXO3M7#QFJJjoOZb4WyRI2t+lb7?9266Occ-OvyXgQ&lppGrRw0L2gmih zF7)=lD&D`o>-w_!uk|(y1$@2ol7X9Z)pFnKGs{KR9y_o^dAcXZr%;a95&J7`|Lhdj z+pJToe6M}VzCGS<i=Hf;mK19L=7`t&hP?+AEv{Wz$7P|C@pmoD5$(>Mp=qu^eoxRl z64KGSajuU=@481G&(n53=j~Y$dfK<z@60TJ#bt`Nk6CSxuX~}V9l%#{YvnJ;di}SY zet|!If?q5+sUTS^VE4PN|9Xr`-x{aDldW7e@nxsC&Pv~STshGF!R(6yoDvykQzAwG z-<j6k5?m<Rku@o}`c7o|&WnacnLA=@*LZPuzJGQjduJQhp)b4p#3l0G6MT%H$l1o( zye(XD@W;f9Tq}<XitzS*d{#H9`|4M|BOAmmGq#$ha8zkonV$>|doC_}VaxV%&W&># z7pYE)PkWMPX{>sy_u>5X6S|wvCO(<DX_4pRh1a&&TI>&rl+n*i<QBWJq;NYQGsDsp zbKQhQhJE2}FYQarZwg7VTv)od@cciCM~)J~b>=E5Ki9q$UZ=K#@0SGg`9HI4Z@->W zyzkt5*Il+Ya$y`>-W;!Q*1R;G&5Kv*oUu>q9RBM@D!!|qw-`w|&fI)-b?nkAg^VLV zl><UeRAszH{Z{Yc>^gi^>#Bs_<9A#Y4Z9gm9d23P>CDP#|AAZdhl=#E2!;gF+y^Y? zPHT^czGqx~>Eq8WhaJwa$tyYRZ$2iZaBA!3X08*A$D9k@H5zzzYMZY=d}~p$_Au8r zg&Mh}hw}VO8{!viv{<CKZ_Uc+mroa2WEnJN+}mujZr>+WmG=^<JNTx&()Zc;<?^dN z{!8R~8wGZ&-DufU%<_|GHP;p%lZduiJYmKf3G<^S8i`iroAk`SZ}Lm)rcBxA6V|CW zIdc-)ceJnXHgrG3m)UC|zksQ0!qvVj*&6R-g3GVnE(vX@GddMM^YC@`-g5=da%6vQ zZeAPAWvQ-Le`aTJdXAMRBkSXTAv-iWU%&XV=I}DFdlT&oEYjn9Ra1^$S-9q5-PKB$ z`KLu+^00FJF+JkM+7Wi9-8Ey0zp;q1<+=pTM>Q?qm)tn>IO3^&$n^_#+;O*alub-_ zPyhH$K>bpQmuP>&0==|{)f+v{E!b}_Ze4j&GBQ>(_5V6y2Cm0ye<X{ow##>1^l#iE zD`mB0v)#3OhZA4*^ZO?5F1RfJ_S>z)k(bW8I5ilp3iIOYvbn^uwmjN#uETWxm9jIA zmejpANpJbJf&F=)u2Zv@yhT>LrTOM#zT46^x8^ifxzxDbmhh_N(2$Z`w%zcg*~Am` zPoC?4shO}cWTO6W(JJ2>ezC3D|D3M=JvdM1!O0stkM|k0A9$#}aQ8L7$XvOt8T0IC zJ(-){`X}vS`J1!fc><WuXK0iQ_X+kNcK#-HZ@$ciKYZ`kr{60(c`~N*@J+d677IFa z{355uyJ<1S&C=557Fg`%qpp^I@|`ui64Q)Lr@1&L9M61nMclStYnx%5MykMhhS&G@ zF~oK0`^IKkfA})JrR<?@{Pdp2I>wipOb^aG+`l(hSg_6hoz4%ZKV6wy&uL64F7Izw zGFZl>f2#VwfkERuRr^;96?Q#j&U?yGppwjCo3KXcZQ`LCua6;7O5tqN>_6Df)+k}A z+V|^V#OM3(p0Ul4yE#Skb&ri!<{6eVYd95+cnX`XR~8wr`T259QM9$o`5P(@|7uhw zg#KCOCm}Y$dfut!7E|25`((b9-zbsSur)<tZoT>AuJvWd?{C@i{(-c#WZ{zXHU1S> zj-?t1tn&G=f3JkurafEy_6L?<i!Xg<sc><nR~vs**v<W%_ogukBzv%UEnL^Pvu<NN zZ+B1d0gt;LFI}G}Onar=W?dUR`&u)9U(m|5GrAkPC2zb?C=C6*Wa<g7%I(?Jne|WN zIZatC<7S@v&J(4yV8Ilp$k{yX5_>lawB#QA5%I@e)aU%0T<wfY_qlJo$E5ydPQU5B z;lI}E1+g!+E}N*_=uACum3c#G!Q;29H$3LCe7CD-dg(Xe=lhO$WQP=ezUyBf!hWJt z|G<Nu`lj?1bAn_zxEE|zDY$ZNp=M~pXQOzv3IU0Tf&*sKS*9%yR;c*uZ@y~1vpaKA zfA^V?4KsqT{SKWk^iM=!b1}d9oz42PmBq_B8;&$&{JFP<DR*y{WBv+<pVMl~PR`rq zwpZ#!$k*Cm-_*i(&f1~2Fg{26h#up+>^hkV=1nsbe@?RgaVhhB@9wIas+OCrp7SsG zUkea2vVPKWc9!*A^F61k{5a*q`Ywj3_db3-&mivjHOYz63eV=xZohP6+15J#Q0;Bm z`x(A(f9-P9!f?{Y82S7;sg81DH(vNkilwI1v$aJ3I+XG4WBmOqrT*6D?xnkU4c2EQ zv`h0JnkwO)tW$7e#%}Ht#s>`~lUr*S)e1O&t+LPGQ?c{|@5<n}UTwdm=4FIQ{+4ZM z+gPrs#68pLMat1wkE#drS(y)CQBFTD$KY@y){QwxJ1KBwb;gP|ttF=zIS)@i;PFJ{ zTVRNN>&Xps7qtmAa71s|-+pmMBk!B}bv-+k!YAj4zH&J6{f=eZE3R~YzO!%Jb!JVI zaP^DXAF`Weql)ZGE=8MCj^^E)pT$pK^#6u`U1qf7?fADlr&~|BT2*&>o2uWXzP;)B zuD9k!T<R+qpMO$%=l9}*_E*9;-q`=+eQ7^4{EmIjocR-b?;hf>e|By{pEDctImKCC zX^(3omTx+svW1E9PMz|V_c^R$N%0Z=euo2==2j_*2^??GS>4LGkkM9Q$1=WI)6DMO zRepAC@vnu^C2vG`xWz|anmqMUQscE(F%271Y`UvG+m5dB_;#R)?_B=bvp+m^q7H6v zmfUu6chJu#cY|K5ruiK*lrP<IH(hbh63#tK7Z#QXeeH@oT(+m_jd{_T^$l0fotmb$ zu1Im?^M{p@{@V`v7PO21&(6CO;JwW_-=+QP4d327=W;T4FsN}XI~XVTu=UOjkrnyE z>Dt97x~|K&OcJ^v?wnBV5ND>m(KmFb`=Xwnc`iEFZ|!lDHqclw!+mv`^3LV&cc^H~ z9CiJEqci5!d7(3_H#bead!*4z`;B|5WA@c$h376mDA}>Zzfq!@f$dSLxqM7mvWC3# z9!JYAMTY6KdJFEH;rM@se@g%M((2>a1Dt#^c$xlvf9-zSTA0xwobmOhj`{7J!a7Tz ziJel9_P#&!!U@Y5ww(fZF7|9L{jhSHx7zDTy;?t0B=?{1-t<p)U+b<{%YON+%5}dZ z%4`^@!8UQ?C1V*z%iLIrl~Wk16)xRdH0deh(JdNV<6N%vJ$xsd(f=nV#xQ)f)Muxe z3mD@>Y#bkOo#(Fiuye{=z~mfLBBbcG<$lAm{depN8jQ~~s4oegH?=SFl;M)?VXqA) zuMlFLzI9^p1J27e>>&d7-t!x;&)BS(Xnvq(0)JuB1l1!G9E4X%J1qTZoWJ39Sn$tZ zd6JQS_btvzOr0@d-Q<M!B!Q>Qx^+9%dwJs~WLDh0ef77@yd>$zGEd}RZ<%WEp5Mdm zdQtg|K*APQr?TT)jE^P=Z01h>cc%Mm|BO#oZ}mIe_RI4GR~9=(J3jKRR#MSyY+|`N z)6jCw$$eAr-<1Dbd2|{d*M;RwhqS6!y9P!t+y8#q%+*&na0;JnU@%qbTJZU-z>(8B zB8-o2Hdo)CwM=Gb==I5RlRg}=JaIsP@mQnLoo=p-#{CU~H)ch$M5$+$zu)3=>Z|H| z=KjkIml@`ESM}yRJh#+<<)p`in1|gi50AEXZE#>W2+UjU)BJvG&X>)T;%=TxGGKUk z?1T@ikz+4M{05=u!&4jH`#jn2TGlaR!L6BJ-nnM~pHbDb_IQX_BFh<1<rROtT@AIo zSQRVz3J&C@&tQ9Bn)C0BM|IMi^kfc)2@2BrDJ}n!o2JireAfKgasTg(moFb=VhIuz zZF0Ne<^K6{<RpD3?rA;}D?`*e6wS^*Z~0m9-JJ8Eh>v#a?xn}WZrs**cg?`()S9+S zecT2$I@(P_W=}VKK051z-|tZEcdzvrm4lemcpNVa3U7G9Gx6)YDJ^$B3^;9T&V6~v z5z6%BZ7whO<>!wiE9T!0tvJc;#`Z3Mi*ny1R_*e5w%_}u_;2*y@T_96F`cCt_}SBW z&ezcLdbjt6k9Nlg&$PH-KfTeI!H6M<v9E(^eedGm+R7)U1kDX9pW<QT+nM1nz3cR6 z%@p~y(T2w)!e<E!&3w%KA~VG0`B}{)CyF%OJj*Zea9wbTl#q7qY<1F6P4C{k+4GW< z(*lu5BV}O~P4#!mCwRG%xR=_-zcIgk`<3XTjxCE)H*giMD35ACJ6n%cw0G7oE#(^> zQ-j`q6YO`ny!y0iM4K>|;Z!>fokwEslP@v;pL}xRC;5MmQ+~}?;rm}0dN*V1Pjl}7 zf46FUOACB-c+ru5&pzSV0_t}EuD?3zU%~N^k*(2j2lum`QCF59SmYx9GvA6MG&D5C z#pTG)l`B`is811^y>`o_PWSzP{^vhm)Y4wrS^oJ^&c@Eb0LMqk3J1!q-!5d1Y}@=Y zq~qF>72ogQe)nI3DWjrUsc1p;!S0+b|0n+6$*t+bp&Jsta_^F5YDcF`DDqjle`{8| z!mSyL6gOS-tC^AOnqj`Z_fnQl=EqZy<O|zhEMLTJKcla2l|A2`Up48@4F?w8k-cEw zDy({~(*3vpGp^S9N&lXQOY59DbLNPPiwpPKErut%|7%O_e)z1?t?cpYM^&p;#MO1Z zH#9%Bj^@vOu<U~U!&U``|Hlizo4?!s_1A6<;o#sD<*zTy=Pb(idNlvo%0>KjPMj+j zKTJxQJnf7t=V}f?mj#P13BEk(A^7pf>l*H6lel01w8Z{znNzdB{NB=gvIcF(gn4v* zI5c@A*5&@`|8*(rqq|M6S#<l`>kl99UNWiEqSIpkel8s^<I?}8({9|`v(C)-=tZ}e zQ`pZx6i$|6X3(8*!cMGPVmH%*AI!|m%nA<{1Uf1#IH<T#QNf|%phM$>gaZi=4?J`{ z=x{M1V1Xi^aD3!)CZ+=pE}wqAIca=f^3;R<x`!&V^&0MPVEAuX%k^O1TkqztH)kjA zyDWMjzrN*T*nHOi4;hcOpKPqRZi$SZ&9?s`<1_JUo(J>ac}so!$KP&vx86Ty{*Utu z?@nm{U$A3o#rv~H_rEId`CaV)^Z1WH+fzF}&+q=2(bw>Q1H<Xr_t*;#f0t>x%=&iu zi$moP=kDaHdVjU=-s?k;%0nMk887-U_u}qX<}3%F$33cYf6w~2jBTRx{<nX3-jn|S zs5Uw`*L=#Iw1T^{KX`^XH?@CyC3IGsw?1f(=pK_59+wlXbba>k*sO0n;Yh`S-NyTN zH^zObep|gvInZb8jfKC=!djAUo^@C_ea2?*H&cR7GCkJaFQ6;-Q6N8?v*%`T?S+~$ zsXUfz&vY(W-*t+6`Rd8m{|-i-kGC{wq~1NEdtT|O&^?xKPqib@*qWM`mxP~{Ie*xA z^7cb(C+G=w7$3g0d||0vT<@ljZikElV{RT6o@9H%V`|5#hwsg|x`pdDY0LJyIyjhz zuKKc${oiAyKW3T}R1cM$))jED<rj-qpLO+5&nxGXpB9&#jIRIh!eSH6%klG6G*i6G zly@7CKd9Yvq`C3OC2{W@yDEiSE8e`?*l65%@MY@t9r9Vv16}%>*DjjIedF^kLy<t& z_DMxoeJ^gv`Cs$RCOyYAP%W$4q|>V<=+@$MGIPwEc_a*9vo3oXqGv02ZoizwoX}b) zw(eWesYcez?yn2n?lv=p&Ck>>L*t*qmWFB%Zo?;a4|Lky&W3Te{+f4shwGk0)jr=( z{1jq;W2h2ywBz8aCuU6FrbZ^*y1w7-$L5#18D^d*Cdp(x{5vgvk)w>e0oP+QkGG25 zvZoevDmS;TG(Kwi*6gHEv^<;QlBxvuX4TVf_1lhJJexcH>zXyYQas-J*u-1Rah_>r zX!vm9R-Kz^8&r4Lux<VPihqM=51Xs0eAG4_;}>GVx63pB%Fb3Y>bbT&;yr_e!0YpI z48hrU?K)wPlRhe?YW-IeExNVtP4x$bJ#u<+=4|>JR&FepSNH8WYm?(IHks>qwf6%{ zUBhYBl|SahzqZQPH4lGUaX-jo<&o(x=e=0TXCcnE_U%c-2n$2q+1D7o18ZN%)~=Y) z?L5iMQ)n*RybZ#|tan2hxOPqy_x-=C*Yx9uT@m839e<j?aC-7=`@M$4Amejjy;FJN zx0a0ue(ca^xZnSM*6dQ=C9?}(x$(VVRiA!6-SF@B%MZkp^#8K-E%~i2pi$jy`)J+H zR;?!o^I92SN91`w_DD&zzSObbeexc&*@wg)G%niwdeSqE+NF%y3+vg|uV`VNC;rv) zj2wINkC{gQ-o3l$Z4|LO;$4=C^==0FJ2mU-_G!j?iFBVjvMkVMhyM)|$@5Q^&+eZ2 z;&!{I%A8H!$uHH^gkxo8Zna%&aJwIWxjEE&zHLa}GIeIN9WyVfSXO?0sk?tcwe<HE zBd+STC7Ijyzq)+zrrWWXXVV+AeWiM?9Qv|y$HI;`aVeqBSvyKtqf8dGeGcSE*8V@~ z<_m*Lj}l)mx5FmCRklcqF&wcxX0+UQX+o*MJ#FSE`m!bN@rBO1-^GsfALKbZ+15Fp zq2l@pX+A^Uhi?s+{`8od(BQgi@uQ{6n$4VirS)7#G_^%vpUJ#_DYNIa^UK*!(&klU zf7bIl8k+Fd|C{)s`4gAhnK~FO?^Zsilydfa0DE4~6g`94&)7Dyep<ckq~i-MwSQ*m zM%#@~X?UJm_^*ezo}p=-c6Q!f)&+B_L<Ig;G1|&J-`g7Us?NsXsZ!v?924ecb9@R{ zwXLraTHRBUB`<trPlI}7+id0SF|!`+|Kr{Kv&Hdmg296f4vXTCR<7UWgRVaLv^ItF z(5D+~wrSheW}YaOJfD{k=I&8jeOheAt=-)=PQO`wxPCKgXB_6g+$81|v+&*g2S=ZI zJZAVjb(TiH_N7ZTv;6+1tPj6-s;E@;wqu^-GeeobhEJRKZvU>N_<AC5TFd=gCr-PT zI{h%ZG}C8StI|2qi5cf^UEsRsy7KO#{5b~6-#$0R-*3oJ;o&-zDkJ<a#UcAJYjoO^ zY-T~m2$$T8wpGbXUYgXe+pubKL!*%RG3Ex>)}m=;jn4UVLNuO--kW%Qu1UY>_crIK z4ZBW>)JUcr&YEv>t>nV=&TFnGa!tSS9(tYe?c<ll8#dffx;f#C(x?1_7poMN9_?9t zYHMyh<L}zbvS0u1Gg!AIA?YB04d1NIQ?JcZ?Aj?ABCX+C`=Q6;{s}XWHHz}<zE%pq zcaV9g`TIcYagFsM8q1a&ZI}6fE<3cp>zk0L=}Ft&+ZUX#7QPb2Y@xZP!1~?3@U^e| zpLPei`JMCM9382$e9mWwS)xT8|D=WD_OLa6Pj7nSyoc?TaZAL_`)%_S*SI|__Sv{A z;$YQ-+6xCA9W1t<|8Yg|Skrc1VJ0Qrci|b)7mlCm(DhSgU2S_oFCk<`u;{ucTXeo} z4G~j2^*LqYE&E?H6&v=Mu9g?|<yk3FWDuP#lCx9jz=WS~9T@~8HO&123wWQ~tkMoS z&oOzs>L;hf$pPCYR?VJW5<K0pUZ3Hrfx?}g8|L*^9k$FDC|fUm=L-K~W1oo!FYPdh z+^zC#iBRzhR?m3G!)sj6+i0osnXgdTa(bpdr|III4cA&l%h!Z9*>3e>IXK(<q0-Tr zQ=dCMI+DbY^(tXYvPEFj(<47Sy_=n?b$aDEugNQQUAh}o9A+aJed_J?yuK4P>&4kW zY<yNN`{Bm-n%)TOhaIf%{!Loqw61|Cl%Xh6<DcJu*6(Kz1jL@WHL2j#tVIiXL>o$f zJS+~^S2(r&QXa$HmQU+0*EKBp^RfK5TW+U9g55#!5FS-ixs<b-DLy<3)=nbM0y}l` zzipM-wy9^9$6^P)Uo19D7saRw8|*D=n><<RZshgZq0&KZTUTpMdvZf%a(e^ci+3-S zU#NWP6X@rzQBZ!lh=*C%KE#}PX}icrY4Z(6RdE3pr}f%i{W>LF<#xJFXe*!P%D207 zwB;SmJQb(1nnn~K%KXKAEc?z%8|T)Tg2*;@^{lu*etebEdf#eYx>q=S$d4%4{`b=h zD@XR1fqO1zRqF0|`s>DxIGGSXiQBtnR(b5xX$fY^xXEOh@#e`2-pBWD9$9#H<BwIJ zEzcPy9pd|b@{p&Xkg?+plYP_H9&Vduo;l}3M`JUaq<HzP15X~VTjttk=a+To!Hcs^ z?7h9ar{7$<iapYCvPyPV24{_HMD{M3^DPq2XZ<X@PI+3kb$M9!?ea4`xGK{0rjghb z+Xcc-zAn0UF0vEX#J<p}-qO_5p*PF&P=K3DLg|uYT~exv-tF>cl~t2+3^LEKPdB%$ zt@v?m;pDR^rz8YRD_&LnKXzDN+|}OC`OSxYt<KYDn_BMj-}YE3YTE*r<*su-{|mmE zk$iDuoKN4h8|tdA6WC{E<pdsNmS1$zLgc-C#9scHT-EPFLXXz^ta$d&UFlS=oXcvF zW-iwLM?p44zZCd#=7|M;srdPPc_MSOYG&@CFN-S_Y9&0k&T?nEG`ar)(^r-+ZO<5U zf3w^@;*eAwKfmeq!~%7`GwYtdtvfg0SL##jf~Gm5pR4-BB8AR#eokQGu*mHz{dT<N z)cyt~U26lY#;XeMdoTYpb#~?ZB>nk}Og6W!?UHS&T}NLZP=7t)_^%_Iwp=d$9MZ6o zHObFAY`^)uX0N)r^IAEo9!oAW$TD3N@>cc!8Tnf0`U<Bh`xgD1?ACu{;*1xsFG!os zJi0Zepjj-jF|5Glslk68j(MUvCw6?XwAk!@^mWC9xX6~`iZ1Odv$y<PyLr-5GpELV zT&oj|t_N*udi3knvzwb$uWosBzSY$@>{Lfo=dV|3qTN%|dt-v1TBUBj@_c(<?8iTg z?rKG+FPZb;{-o1dxnK6a{8NxKVfDViABr>nDYx~D2}GaM+i{KS?gh0AJJY16rJpZ1 zi3#~17P(=?)f4uQKkwH$y>9M1Q#;R%{L8oGc2E1h_J*F%ldAgUtgBsI-0f9LF-DVR zf8Tn4LTuWeRY6w@RJYq?-(p<;^6l5idDRSeto9f4h$UU-xv1l_Wr_Qa(7RiIJ`Sza znb7dP{6Mqz{~w!Uh2I{X%{Yfa|NlQ-3A3!G{VpcCJo^Nea<A;^UHR0qzNSeus-r&V z<<^k>7mi#~^jqgIA!o9!{don$v>h^!rdhWyo2<`pbdvx6&F_}XH}idGa$|XgU03wO z6=k36W-<G}d6c#w;%ooz@EJ$bu3l&TcKzGVdA3gTJm-jB`7w9Fd*e;7=Dd)(IY(sH z)Sc%qwXWbcI?J}(Zh4<|mgpvTW3`6R<NmF}*TvMonfba+`6pxl;?b?UTUT?Py7{gs zEB~T{@akW`b8p|;QD%Bbc5BXyj03xDYv1m&s7bxONYnki+!mX(-?y9BTYlOkd4Kk2 z-QO3>-b`mvIP^lFb*kPZPa$FJ$xlzL+#(~y-^g-wm3EN!!kKnH5r%f5b1I$RC`rz8 z2|JRJrzO(pw2X7Q=VaxRJvN00%-^j@Im7h5)u8+Nyn886f`4&pHHmMKvo)Sspd-qc zD<Pic_xujqoz+ERXBm>0Z(SfLag_I<ws=OfshF91Xx}^b1C49;*FN%GoNsn_;amMm z@%n}O3)A=|6PD#2*d5u^BwyUDw*EaEo7(3^V&Z(Oy7WG%yl=Sl>8R<auG8fgJU8)O z+CO)$P;c3dM3IbpPd|vO+8IuE6z$iJ-YKuJ@u`EEqZ5ad3X^ftPNv@3yIBrSa%0bG zxaD?IE%uY_gHQa+*)whT+LvwDRDSUI*<5GVFL!q7a9nP8jJDNw*m-p3tyo*v8jTcf z$GX}EqcdAo<l|0AMKp=3=c(N5d9X#zX@clI|E-bJeQKHH_dK*sv3@s|@$^MW&J>Q* zv;J2*PtDxW!*r^D>Y|>Tzh=i3+I^Jhmpt6h&FXPb_P9Y+L46ya#)cEm`MD?0k$$p# z$*-%tF8vq21gd@dtI^U|{^8sd!B?~WW_o)ZkY+AF<g6iImBDCUu5$aC<sKGymYFu~ z5jq;@<W{&VDs`n*Exxa@{Ycza(I*w#Lp}%CoOAolqW$5PNJL-oD*YIyRp~0P6<@DA za<q4=qtB;5>toHtb|jtE5?Zo!Z?<;SyDu%b*JVUX>Z{n<v%V0JEuK&xfAH+p=Rtfd zg6pdb-z*EMEm-&Rrxx#w?SFZnKhoP&I79XA%$)s)HKjtI9d=2$x5Dj|h^tuav3u>D z|COz){kO1cK3tyUpv=z^A$;RTy5g^w#X{!hYqip3(%71nt_RCm%}JA5c{+2|gW3~w zzRmy6p7rs|%W}@_d+F!$%Y7C;^;n&eI{V3<wI=gkly_=5OJ4ka|BO$L*21*OLE0v| z>BbB{b$yz0_Z~fv*4<OrZvLpnu_Nnvf=Bm?MOIGpYVPZ7HQnwa(X8xf@-A7{$D?;f zO6%Dl(Z44rRUdt|RY<PH-0hg|4>srgIHld(>>F7{lb4<LFy7~9c=^nOBd?{;i2amg z*&v}^R`y6zc*UIU*-HI$_r6Ry>s!4dPG(bAiM&+mn)#iq_g=3$%{MWHsbbkh>2rQj z&X4cN6<Pc|apLX`rui99FD+Xo#Hhb!&nBtAI!oN#3O2=hANsJh#g#8^{@k(;jqH+o zN}JEMPq=gWY1+T(4}Q)Qn0fTpE{3Ihj!)sx%3NfBX8W``yN>U7{+QsLWcH7Li>`q3 zN47h+{(RqTy2PffGhxHKkAa=N|Nf{=aB-gh;`r&0XLT3I#2gaxkO)umldqXQJ-U!j z({4i1MTwid^B+~UnR>pE+Wm!}-zC}PjA#2hcG1p@zS~{feG^-kv`xRh>k`+YRVOyN z`&Ke?E^%6JIa{P)){2Mqt7bU2ZWWln#^qfZck6xSi6`HrcKykpDJiEhE%i<8R*R*# z-DKWRS(l`}f5w945y9S9w=X~N((g;~&!^YDVgqEI)V3|ooBVY9oBLOyPWW55+_Cwb zG2>F(iS`c}4Bw3>tZR%?@4vJ7Q`i>2ZEk;V_RTWt`(Uc`<kbFaA*oLzS362~zwf_T z_G>l&zipfg9kc}N-JA?Js5S>L6o0eF>0Qi2y`Q@dsoY6)FZWoy#lpz)?v-d!MYF~^ z=l}bjnA!d6m(<i5b-ewlVhQYeZxUIGGB|5~9b|g>&xntCPvOh9R|QF?E9UntYnss) z&wpq83{U3ZBe&Da<D)i~P8F*(w2zCQ`8BuA=f}|;HNEzUE>pt)_=+n2XzP7ZUw3)p zv}MA(e5Uodzjr;Ld*srpAhY_iKn}B<<%SCs91G9X{bX)vbc$EtIQrwkRrzmn+d{r@ zy}b8Mt@ZZ-cFup2n?g#oPX@kMOH8%Xnlkl8XIR$cY3utYACpm<dnh4`f6X*Ov2|)< z%<YF2Ud`~f485~hKf<a)W_HZ>>$|<Y)$^`uYq$F!v~>^)oZb|r_V3hdn_quyc`LS9 zEDJAF<S`d<xcq42wpZ7Wd&V$W3x9pU*>Gd(!#Uplv4KJty4MLUa`5I5>|}|(trs6F zuWx-dERLPs-=HpHy{urV>s5vG>$Xney0j;HgQvr-c`oJGzOGoqazpx==LXFk^H(Y4 zEbte8;WAM=D(mFouOXl2uryp{(Aw9~v3$m|qdCW0Ln_uM@074~*~w>jJnVYr))Z&S zgPc_|rV+|(S4##=)+oDC?z{cDXld96r#my0blsNmirh6{ap$7fGo@Kg6@jN$Nin@x zm?&Opb|p96n@e@x>zA1?vu?|*KDke!<-2RG`;M3PmM#1Y@gJ}C-*bIEX=jJA-KD92 z*PS^2`liKe1G7&~eJlqJ!c^ouzAs+etPr2NVfr(R*;)Df_D6euch6H0Q(Cy-t=YM6 zPd(2$Uss;(8|&#DS2t@{*a7*Y<y*YAGa0Nn^<KcpK~lHn&7PUt+|zolGHPDGb7jXx zM-KzVDz(aaTkf2l?X_dY1K)2-2eq5*Z&`TeG#PuX+mQHT&aC?#owKZtp7n8-Y~vNS zX+E<uV84>{kx3`_HNCbjwn*Z*c3f~bo6v<dYg0v*v?{0x`<#nu^q=gVTvF7Rr^pb^ z{OLdE9U<knnTL2}eG+3$ckFs}duogJrVm}u>!&kXJ}Fh&Yv#AbZTp?0*0VYi?G@aw zSOvwi-QBCL;53Kjx9QQjXHGl}^~mVxVEL-NqGY9Zq`L01w)@Z0#6;s~O%YuAx!>6} zF8@@J?<zC*)f$_ZRuz<I#ky{N;`Wi1ozY{ptl8;H{!#DKbZ5`_Z4@+j<IMXx3@j`C zWTV1d%a?EQ^~#;dvTL=oFMH`kTfQ^Kzvj-ESi*3dtLYSP&EMAiO~n>U1;68FC~zuF z;Lex1F~#ax+3n7}46D!X&MP)0Y96~4f7dZ0ry|VQ+|@}zLBY`2Fv(Z}#4t=v_U&gd z+rL8f!<J>t8Cu8nXXkOAmi@VPl5zys8>v}2noE67@=Oj?n0-Q^K$EeSVI#vdhVxrO z&F6P^c69vDzb(MxxOVHd-K$s4n%UX$<Nvq0CFQ$!uUa*8CRkQT&UsJ$ZFbqFsO;+9 zSy#`v9J!x=d;5+FtCkrHFLd6vek<G7Yf;&|cZ0NmG=a2ns4D&Y|Nr*3{M*~_#fDx@ znc3OVvAuS8bwFCuvRWoatB3z@`~JV(o0)w3%Qdn2s)vJXw>kda`9h|mB<%8qcah5C z^LRH!KY3wV<9<{zVZt(IAC*)QE%BDFDTy5#?JqtVWI9=Btmw&lyl{b;%Q_zar6<ik zH#<8ox=~;xCw<N}bPjK5u93}Dw$NO&Ij4hTSD)NyBXfH5EQRZB$G^^WNJw}iBBsSJ z%I)Sn)53#Cw1c&$?bFT|DQQY-YKtXq?+xB+T<>zk{&HAq&Ue47=l%!^%Aem7`d&w@ zJGDI2)L76_Mf#ko=e$>w^j@k+%UxG5@-U71_dk9A`^|6X*Z%vzd-wYpe?R~I{(s;3 z@9O^MhA+3jwNBe|bkpwLr#ITHTD5A{%$cCz=m?BDw9!T=Z{NcA&v&m6I_cqjSZJ<@ zr@TzCOIz0ju@%kiTvs>RN$@Uhx)@+IFHG2RU9*eewln74UETfbP5->@Oym|~>^z`q z)Um@wKqPdc!Ijj;q=ub535U75PB$CoZ{xb?!zRho=kK1fP-uy!v#&VUVdk`54gr^# zno~9f78XX%VQA<)o*Eevqu^t8>B!@aTEeHDj2~X`S(A16SA=Z#B#x3TM$K|E8Y_z= zH{RG_BPAAdAV+-0#=F6#&m@)Z&ENJ_h*w=v@!*YhtMos+9r@q6?OAMd1B<DpN5Ev} z<lW_~%AWOi{7ApG%BNxDUF%Tm&+aZYZRb}Q|CCembX;hV!|p8ZkRYMLH&H!OfK~H| z%unG14NQp_G7O~H4<8Ir5a4KbT<9Pnz}9-G!9jr|Nu%rWjCE&1&Pz1hdHkwZv{(Lb z)YH2@&!m>R>|gJf>|(e~<X85)IH}ktSw<5Q`H%UR|C?@}*l<2Zq}Jisq(!x+vYSt? z=ngn@te>^1GXIg`e8Yyye|lF>uird#eu-T{h2kRHcLfL6rb&5iNX!1txs+$S%;A=4 zt8y5;U%UuTUMFI6{-lWh@5K?3a=UzfyIVB94><kew&gsbl+|tvi_VxY3)|?@+r`B4 z<LAmBmzs9EdYErMcj^E9HQ&p^cL*?LZh6`C?$E!!1?S9*-u-N7$dQ)+RPB|Rms?V{ zweqUDU&^|l1+R+_njic+Q=qCUB!~Ulvq_x(wLf?7zPRaYMbpM|t0!Cwrq7q1`e37W z{@hLT);#{oA|YN~C1frq^*Gz@Hpi^aoEgs;Ym?+$TNit=2k5=Bn|HVL>hnpF4Zk+K z<#yO^O>euwep!{{Pt;q72g;LoO*Kl*Yiv39>h7h9uO^58dG};z&pd@A(&9f>-q#jA znlbmZV`*bvJfl_U+uX=B-nyxqR$ps=<aT$TP|B)XzvWcS`>!VdFwO`Iw<`Lzb>^*_ zCs`7k_ZM#Z7n{cC+Lc?fd}>Pno`BU(uVYOmztqn$_4$2$(wfaq*~cWKvu|2tn_Qc_ zaoV&=#@DiRt&??iRnym8jhe=L_Sl((qeA)`V$q_BlULO$l+Nos(EVO<-vb}51#c#u zO14)xv#wIeV8)+VzWjN!d_6<<IhZW@qpCVBSGMbvHv8Swf4+-qU$-vY^=|i-n$8&? zq~@*`aL70ra`PI)gQ8b0=^j?G9Ga7@maly9qUrx{{$(5ccE+z<66S6u*s2=l6R<?( z(q8`~j}>M=_*@`(blT(CJC2ne`@hVN-r(o-ynD~~EB;ftk2Ebxw0wA6XnSzXnm+*% zADXsU{0>!@J{jn~?PdhyG`-o{VfW*61X&`it7KNaoU-*(mCXe=j%jX8-25_*#~vR{ zR(-_ri~IANNqhHlN|$EpYpgam?JGR=WyR)YY!g3yP5tpj{`xDSv-Zk?pLae;UF^8K z@5r0}y|<iFe`JRx|2L^iOEKMY-qB*g9No`Kp*GV}HiT)dyYf%Ly)%D7guR`U^~rT+ zyS~l&zRR-8q~>DKj0@{!qVm7&6f2sgkg51kFYLoKku$CPiyIEbTZi!PzP<MNpSiXS z>zMX>{5>XnVd0%Kf=^yBy^^jdGu1!)mhskJ1(r?;;p59$XUokgoXjTq_{SXHg^3aA zzlxY*3`Kg*2>j>pSnm<+8vW7R@JWc?#omx)0|jrckZ0MmB(6U1TPnM2Vnoud8!;I% zSsyElQbgu6-&wuEHRU<$tE|Y(kR_}BxwHz@9}J!}_pPeoA=ks7B#L|53!lqeTK}|s zi3A_3-j-vXes7m&|2(SuWnx#1OWcDj>3#p7e&t(x=((ektkKS;PA;cxK4oS%sl3Ya z@>zLmXUNvtz_kGlF&89%gvN4z$v$^6mLst-_SjK&`PasY2hP7MewZ=!_nWVYxl(7v z-%9U0v+Y~pbI}8(iAjmZj1R6P1X|iVP76Q!x4o40{bbHkcjw?89r5cuSl#%JM051M z_LS^jX86Ore%AHLMs~iBKji*f!&#A;cT>zPG}+vu{*!I&Zl3#h9E*%*+D^Z^`@sAI zQ@K;BCpUj^T~W2@aLbg;v+Jc!zF==TzJMh#{`rD@(}#=B@`vn~T>AK3re@dXdZTms zwQmao9Us<k3GUMC5}V=IG?C}7(DM?x%~N+w)QhWMIBmL)=&Y08p;r&t#@~sXf5PJ1 zHrCD<yMQc_(?4|!<a!@0SQfkWQzLiQ1Ytv#bE_x(O6Qqh-KKThdD@wiKM%4jw)%HG z>x3B3)oYW~Ic4I$NPSIL%D2sv`hIcx-{;-8CwbW&n*L%fQ%>{bm8rWg#4Pk+a{85R zdR#$F(_y{P1@m~ndYRk8Ul`e}u3Lt1S7g0TPkJa|%i%RM?pnT0#Y}-Z2CeFH-}qVk z{wrRS@whF}-G3;&`Jhg%s^04J8FzZ?Qgi+9HLFQZ;SX2M<$3%oH|hBM^7CCHRfqla zzUysHydK?@bJjO`-Lpv@0oiZ1*HjexYMN}HJu_RdnK_W<LTmA(J8jn{U2J>Vv2C_V zb9AVQrCiZ<sp%OXpL?Cn{_`-;!P-1mPv6(}(jhCSel-RiF5xFtN2h<De5a!6!-1_F zrgEtaHKOMCe;LhQvv=Nf8;1&I`MVExomqG~@{j?~!MQO{^{c*z{c?UP;+Yxf_-vCx zUij2=e-C~+b?M=64_@=&W7~ukohv&8RtS~xOyP1}UU-guo59a-9NTV3F8z8xu^}Z^ zLQRk1*e3ZytFAsf+BjET?C+#Rp0$}F8m-#(R@|>=-u|z#`5imIhsQES{YURJ3VCmx zZmQrq7P2&vi}Pc^2E&<}54XABHJxyJ&V?$OS@yh}S91P6adg6>z>rDWUll5truFcB z*>yp6@gffnwjiZ-_SZZuKJxB+x+JkX`_WU$PX$q;(t9PeT{IsuJiW7d<=^yKs^>&E zmdn_#oA_mqeU{u;?JCB-f<?#U1kb#=Qu;kl)kb?eU&8ebe?FR>+U2=zQT#8x71qZR z7hZQc=;Kqvc1y`eu1`x)AWE)$DSOhZnu3X6uU<da(<Y-He|xn-<@zY&KK1O9o;k~_ z!b7i>J3I|>ExMU&dEDpZe}&xWzxJD3j{4WQzRB58_Rd>1!Y#CKT4K{b`O**T3l~4; z%w8O+DKP8uvHgl^f^T;O$jo&4yjeCdIW$Gc$5LL&LiWRX(+-8>d0a~j{=HtZ!ts#F zly#9^D{FKmrKefT-aH=Ixj*yzJ&XLscerJ@&g$iL6}-ZwnzNThuI${j-LKaM+FKR# z+xRZ$Y+C3xUo(yG(!@IkuE`yl7O^Fp=DO-0Wt}$jGS`<C<@<hVa5LRr`26n{r3VF* z4sy&qcQ0COhFV|UUzf?jMVn{*aq97m7Yo(x%~~dBmvwft(3DvH%1ouJd{3ps><VWF zK5@Lpv@BCZTYl-og()qSi*`&`TU~r<qu=h?-jmktnN+y@N}~g_Scs6J(4ug$?YT|g z4eXxm=bG1WbJfIC65G$Nd6gY=UtjVJ^B3Rii4k5u*EUE*I4umC{p;Y;>6WeER|Y@x z{`z)(U8nTq?e$*8we|B1@88#Mt(~QLx_qDd>DQf;S$49nyIQf>qv)E?J6`_#@>7m2 zR0}(|$a3w%$_pyfmL2%O`TNd={-uXG4hDCvI2ZRN^~8+Qi3#yf*UbLMxGlJG>E|f5 zWmRi--#I0u#CfnsYEr;6;s2sdmL=LD`#;=sQMVU<H2<Zmt!es)qWS+->xJhzHWZ~w zZ@K&@Nua@8xzfjG)l$)mC1Dz2+zERZOwfOI({Sl5kz<!WP861i`lGpU$>GTw!7IAd z7bRyZp3`6W{m%PJp5LDWHd!gSv6b%jS@&S^WKpg&uTM_$d+wgE{AKd|Jd?=+vlwr! zEH6H#6naPSs@n9D%B*#&S4~=l{<>JKI;XV6EMcdAmu<tYEg3Vv@lV^J`ILF}{M`!@ zY+5!yOAU_5<8E?UTX$-opqcr)9rtC{RXJU`l)56Psx=^-e@a5nqwDMQ_|~sWcy;?i z)F;2Z6&i0ob~fGq`+nuN=Vv`xz4orp*l5v|#kH)EFYEInjs8R~0VQ?!>8~!`d6Dwx ztR(Ns&5Fvx)n<m1B-GW8Wq-}AW4tF?;NP&ikM*P4n_tV<v?Yto5<XFtt8vokAFIg8 zPf}+KJHBMCnC|dpK3BQumw<R5rwebUuQxZi^S47IJ|olYjzrskwU6#mDtC(iE}W`- z-9u=S0cdd1OIT7qA#X!wfUC$Vh9#$8E9h)td2#jhYhTg4sizh`;nn@qz2A&2Gb{W` z=+FNPHE(MO@VnNOb-0<hU71|p_fI*ry8FPbl|mf)eBW67j=FMeOJZDl?$P{hovdB| z&bqEJUXgw`>QC*Br1q`M+e+USsV8eYM{C=J%ltTT{>CoN1qol@l`AkUKeRaL$kFoU zEYe&bjBoyzopb2Z{qGwz@3HPbe?zlD%c)~swMWd4znb5_Zud{*uj`ui{MOuM7uKpQ zZaUD~v~-=fqeG$B#Z%L4@4kH_6mVhLbaCIaXM<50x>=`}l`uc5I<aog#}!+PtyyE_ z-wU)H62AEHvl;`#S#^B}qvOj36wa&bI~tu|Dxh%QRGWKFk=@5&`_KZLP0@}s%k3ZN zZs-3Xmbg8<IA6hGvZsgtmHd9SppDyS?z*vErRDOQb%tH}$qHxc4kljv!*!dH`Qarw zJKr<s))z~qt9kUEi%?w@$)jc3_O-s^&)54i%1v{PYrA&sOlMyB;z{>`cOO|#N2)ta zSysjJ;aMOH(><deJ%_~$bGO?}<Y-jjhz~BC@K=yIC1>rmGY7l{Cz@SY&wEzN=(4)d z>}k(`r!qHP`SiH`-QUSmR4Wc~O}@I;uIj|=t}Ho^m^p8ybro{N0>8OWzqL+hqWVgu z5Ql;_0^Qd*Ii^RxEJ<K#T~Z!tefHO_!q$cYlhtxDatbQHk6ho%cqk*xY?Xn7$G6VD zRZJ`w*W}4KF}2(@c$sh8Ah1>Ny)K)8(dSLCy%-V`!cAh>6c#*tdCrvKP^09_0LF$1 zx7F=J|FbJ^-ekz}mw(dJMfZ38XE0lNJNk>f&oj?{?SIV|`zi`{)=xM)S@!xb_QkRn z7dZWAn7!P3)hGVGv?a*`kK|KMA6ftPuVaqWwyittPkig_)BeqTu_vz}vff~}y6w7` z{BG8d99jQ5n)#Q7oR#k>b4eCBC7*D(WPRzMgEv}AvIXlWycL!Y|G>Uj^5%n2e-&=4 zU(fAdG~tup>t&Jg)>?P?`_is9ulm-UGXIB^)3@gQB|h8Y?03BB*d_Lw{f@`nlBIPY z%#`28E|cF9cI1-juY+fOuB~>k&sZbUx#~0XYROo3r_aruiI?(Y>jbtd-qStBZ}d6! zxaiM=3E?KYWb6+-dws~w%cJ7<-k;CgY?dxN*6qIcVqEAC$4}f{E?d$)9XRSf@JCKB z$!mUoeb*7soI@60JJR=L2Wj3|C-OXD`i-zD?-G_~EVGu5E|$;9XlzJUh_jiiA%9jd z=B4lZmD~6w)(gDjHIB7iQTw6e)Uof}>q1Vw{L}G8gm3TOz9T`K^921}l7&2q&fUD6 zexhWuCilPnAyu^r@&9b!YX+A#|9g4$W%9IHrpCX0m!$sIEDA3w{-RJ~^7zD`={tBA zG*r5HXFT8Xr~DhM_SeRx4<t`A26!{Gh%kVFUR-L_vI`63F7PulfG{ruCqrUsN@jjQ zQGT*sK}u3+2rC1#h0cj|jjj5B7x+%(Ww;~4nDym~xsQN{)@o)3h9ox?CI*Ky9WEf_ z7y<$=+Gw*f{I_Cd_^;v2u-;2kBh4*T^bZ3AgU10E#+%!6vv2=j9phTKSL6Nurn&$A z|94xgJDJCs*^@bo+4=uJySX33rY+7W(R*~~$(?1oH)lx95T8D68~16g?3de<XD$hT zA(Q$_^~w2*5no;gUOIFC-LIMIdk-%u-?3%Mk}Z2~?=LM{(Gnma@X6f+1YTt5{Q5HC zLII!5l`ED77nuK^ZEWsvP83*C`#$zc$+6oKRfqcW&b7wK+?gF6&ArWZtI3wl_oJ3y zh+3_sB@(=Ut8#3;d}RIn6=J@3YyXwa-GBGT_S-p0QBg{N+xq?fs2TlQtMhBF$;aJ0 zRD#sD$~pb}qgQD1PfW||LcaUIZ*p=!e%!VwkzX*m;`znG>rt=6nHd=wzdktNAtT|L zr2X^h6^ol&Zh46Rt6cDS$A|O#4<CPQXlK{&{`}((XA`N^#g`?dXCGUB(LzM*dD6$o zDcwiYHcGJMSr#3((+}GCQ^cy-Xv5ELTg&L&CvCNIFKng1{rnz2ues>bgNtW(PUvo~ zF3$e#n{)8n?bzRY7f)*|OZ#?PH+iGe(fp(?-J3HaByLO(7r#C&Ozhg!Ypc4rj!p>? zlV<(;q-1N@%HT{9tJD7JcXE=Vf|Aq}W#<}b8GWqYp%V1(+99F+%?>B#FW&fL_Pg&N zeCG=(uK)Z60-iv?6&CB*_19m2t=b#4HcahC*4C)$3E5kt)`qRVTE9MMWr*qwucbjV z4N9%%`Ypfw(&VMAlI&cArlqbMt{f5S6FPWN$V*~Y%R*<>2az1}Qh!Ra*w1y?Ak2B( zzTl3{p|gs2Qd(wr8B9Lx<L&L`<+F@`&J|{LMa93>MbAB$?5wO3LsF7h`1h#XvJ^^G z<vDDyXEO*ms|a--d01c}!`JGxFhGKbt@&Vrz>nV#1KHWQnh)GRyuW^KO~2KL_xC^E zlil}l^}_Zi0h|jJ6rP;Fcw_10xQIye7yApB+_`Tx^Xq)|<(s5m7JO)&w|Mfe7X=^o zzqsrSvd~!uWYB&qON)2^)@o)vEN#pGairvf)vxXU{r<`AdnkP9!0R9Rf1|VCx?Zrj z=k;{PnH;U`)~~KESqmo~_r3SoGA2v>U!B#;t@oUKvU7}I-FS23)ub{{%bhEh$e7PP zDUp|(UuqV2A$77>PFY4~hT(-JlS*^4GKykON)_i9<)>T~%=@%OF6!ldM*W~bsn&D$ zk+!?oxk2D{aYRLx&^A-|qO@mQO<iucKK0lTQ1>L({L{LCiRE)o?5_L1j=%DH?C#yW z_1~AQ0fSXwunr8ifMV`x<Jy1E<G$L<b8R*7a0P*{8UYI&8kvr+Htv1=_6oCnVSZjg zQL)~Wy9)NZHbtyZU%q;)*4&_l#UXW8kJT<W?s;urQ@Oo<85sPF_4WjTzq{Y_wlbXS z;89W(F=6WD5t5pCHf{4w9kK4CNgD&f;6EQL2(%iDPZ0k8j7{BK{lM<%O@@XWboF#| z#f`hCf~;6^{P^y7Rh7^E!Qj?&i+jh?+hX2-e21FIyE=Opc`d#WY29C5dwh3PzB&jj z2PM=v(?Sg%rmua+K5o1Dbd~b)UWPNrjvP9$Z%<xK=1hya-@IuI`(+#MzkB!Yoz(HH ztx;>QC4&>Ro7PmXr9uB|K}k7yzMPP9MMCf6BcC@WE@tbMG)j80!uVH@+2TOUUk?4h z-t=t$6tm>y*5X}JtIPb;@2p|g`j)YF>o;gp>%aDa+j;gU<7@gnwTUnG=a*MrQ*8eI zj7|OcHfHAE(fb$7F5bE0{=0(w&C$`^mF>9};ZG}leUEZg`Z-${|F4|)yz*ap<h0bE zKWpsfgYwm%a*Jun=`!|@E%T0j+;9GL*=9@g`Db_B%rR^A^(_j%b0w$B%yRau88c?g znB(K)yKMT)rG<Ou-m0B>I%3L3j&t5%up#)ymBy(h2}|qm2b!%H)e13~>7zE;a_V-u z9S4^dY)?r}N{EiSrKhuc^%|XRT1%atzxcMDTd}_H*dqV_vbEF8k1hd&`tN(w*UFuU zvHf;?drY~G_nYnQjkT4fg@3=Ty}#~hMEK^I)_-Mh-<`IeBQs}ia|;N#*v#sm<$hlI z`NSF#d0F1pjT<T-HP#(kk!d1*(rx3!%P%s&Nd2`wr&D?*YwfBP0Y09osW~E7cxorj zU3YD#)#)oMO}OShU2dEwCMqf_I@2KWP)q02qMb29mYp5*T#N&KuBa#lSxr3^@iRm# zeW}sRDU(lH-1M=Ud~#u>hg=mOFRx`g6W^b|t0zC+wLAN|g;mLm3k#i(8@l(&SQb4o zcyyqVnVnDOsI+<BogIab@0*^}sh-1ntMRS_^MU)IGT;_yGUIJj_S@6e?@xVXI>gBE zkfEV~;n*TRrmfbGb+4S|x}e7#%?PSvZmd|n)oU&&S=L!SP7}U7Nyzx5ctyDOhjrO+ zqjrZq3bg#h&g`&tqP;|eJWm5pm=n``Bf$!Do;Rx*av8)JZ_VesaI1l-%0uA8y2Gvu z<d*SIXkHwfeI4wAwRfjhoLng6<mhl>zNWt3_e5#_+Z8w5dZkRWuB>ouX5*DI$@ug8 zeEOM+a~<g>>1T|q(*Eqeyta=y=j5>?2li~+v1JKHRu2BYMete1+O4~JB#lx|O!)Zz z*8Hm$N=q+nI&yQuZdGYv=Fhjh^rnV|-+Y>HX64y>E>QKt1mVAFi(_9uy_=m50^wl5 z&dkOmVUTd7Lr~eRN5W>qUA~QZ8Z93m9qks^kF${lfxFh`An^VDzWcmipG^?7bI%o@ zwiT4jGWxC0^>d5s#q203oH7{%jE@}!0nvvKK;WNj`YRX1OD|SN9^M}AW~Rn=Y<gPn zy}0?_8-pfKJ^JnI&Uq#IyurNz_jjM$KHcs7*4<^_pWfZS7X)^K!Bz;!xVXr*TkQSq z-@Eqa-~PR=;^qwyxCRDSz~B-X)cl{<U6MF!fo8GV`7@K$;&)7t6lOakW|;bETEoSp zZ61sLp2f;5tMSYz|Jz;m{nNVBKi=MZ8vA^c>+Wy&Z-RiN6bM8`f`BBqm`=oogoDiU z-@Z7Ic37!o+Wd11Brm-Ap2lCY=;r?E8zVL*9qkg;4p|Yf(5bacL^EiK$Hb)Fck{O2 zo`3niPEK}K_=QywE1g=iy<eXa(VeEDkv-Mw>Z8ber8;#_W4AvFy;rIg9J4#S{O!AS zN2-lLVADnrFa(2*8$iH7ZbnYKg_%efYhz*p82tYV233_H@Uo;71pfYZt~8kRN$=k7 zzzYj{I$J@xx>9(J_S?xTtt+q3W-+Y1K7G&Ybx&f;%ga^c{K7y$OdJHRgTrtd7=)GI zU*<d8Ece!i#KUd8(q`G$)<kYjgQU{H&)X^&?AM?DJ@)gytb6-Fzzz)dfg)?~UX#pA zOFSn(tG9b!p8q>RJMq1T^J@hT#czB{T{o<ob{~Ic=)&&$V#m!b<rRYOtppvN_q=x6 zBYkA|t*yI--v7N=1qK-x7C1Juot<f%er}HCX6Gx?To-LFG#@ZdJ2S&D`Iyg4qtsJV zG$&67f#uF^;DrC@{QVWb7aU)@^lZ|?d2`lXTUFxKdM@zi%bwXjYiq&UB)V@c-n84{ zuneoip6tWC_8D`Jdab-*`Kx)tzJqLQVOezVp5mk6EHDF9MsW&1tLFpfivt;No#wU} zS$=qMm@og_nUWKUOn=kZWhy>Ayqm*s{osMkp4a(J);<dk@1DoZ_kLG)x#~P|{kT09 zA1^KSo~{?WtKi|GR&H^<_<c1$KRxB<|MU21<Gt3?({!V^<=p&ae;t&pb)z<=oSdZU zJuSTKShQrb^s|@~M`LeZTXt^6meW#a4=u{td@HKg>AC9C7x^neiN(uC7Mw1dK#4DG zO~lHerCw9DLf6IYEP8rss_diwsq@5F{s>;`9=y=0l}l7Bco{h4{>QLuDK9v{Yjz=^ znaB8rWYPtL6AsL5rZ)uEB(iDq7$q#oUXaKpnbhF8`<lKS2*gd%2wddS$;8Sfq7ksb zW1^C4mx!i~!9701Qvn=L8~x|mRDODL(z@``kxpUt{K;y*vrIBS+1D@6@Yr*qiCcTk zfhI29Ee8&9X=!{cfCTGgRqts!k(*pPg;c$!Xn0Oi0il1_uN+ci6%HscX5v)uNND0v z*zr@LMbJy(pzj10LAM_ZS_JM)ad0YVDUWRtaQN{cw*2k8ckkBSy&J3lUXD{p#bW}f zGB^P)zYIZnP|5NC#{(W^9qw&T%8m=fD-{HlTsj04Kb3>Q`w5DmwDZYc(W!+)Q0Ym1 z;LW)+K0dh1cdv9Sn`Dka&7*|o^4MmcdwXZCUT^C$LBX+!<>!4t4gm#+1_sT4KR!M_ z-Y@^Zc!5p{+w24B7h)U!pWMWJax%D?fA~QYSBGds!P2dV+ya*dzNk64JMZ9b(SQ%@ z{{Opr^5DgH>+WvNR-MN$Z&&l<L*bi?i{1O>Y<p}fK0G+seEk2emVeUjToPYo{~s<q z7QOO1Ln0HWf&la9TaPYXDoae=7^GFw=Ou7(H{U_#_iTNsE2gwsRdq8kWMncNmS$jR zagbo`nHKhmQG|gx)kGr2PDwz5hs(;%isw*6fu>vs0}GEcLjVJNqQKDtfvM*nGX_WO z5Y%vS*HZhr=JLO3w+}3wrgZIi*@f&SQVSF|1wW|W!rsWS;lQf%{wh@oTl*JDPp-Ll zv+D8NgaCg*>s6bMlw3P;VdI4I93%1TKcDMoo6233PW@T&(ZKqw$Im(PVKa9>eVOk3 zO)q|W`@O(}Z8jD=4NvY)nfy5USNo0HSw~82E+t#^%0~2;&8$dTyQO9p)7|r~eoG1} z*5tO`<Tq^16p)|MvH8`Gg-W`)Ps?1lcEzus;a~GK`bc?IR*_A5?7m~~&S$C46k+@l ztz@@1CFW?$6W_TnF0e8v$T`oj>5%gN_DwpEy*;WcLVlrCg6ZLhR(DUuG%oA!HC?2$ zSGIRzRCcvk#l4IFp1l$ANjrE+wRql2PNTMtc?_m%ii=quKV35KlI#5~o{LO*e1m42 zKK#9N<??-(oSHXYK4vnna4pNDauMZiYDv6rKSbW*i1hgNX|l}a9}?Wj@f*(FJ5|1V z=GE7$d5dM4xUY3`MU<9!b??r+Sfctj<=2PJJvkckfioUgTPyB8ey;ka`;kYFSyw-D za5ulab8&^>lI+E83m4e+I?m@{_?V`^Vx-7qWqs%0N2!Bb8t24GepzL^o&DK*r$j9# zryx_	*Xgyqt9NqwB5`8e&+kLb3Q@e6hyOId8i)FbrxiNlo$w%s4D&AAYfSClR6 z@BE(G(dWf^wwF8FK9xQ6yS-jp;a&dQ>kGo}%s;o!X64h0SzTWngBJVM%;d9u)y(3T zHs#tajWZ2)(iY0c8#*<%8peu*r>)gH?flSkHmgMG%Jz-Z-Z7qAnRYUEs>f5QdrYgo zOkcN#mxUq5Anr_-v#3GP&JD%w-=x!-51Vr4NNRsOcd_k=ZEMKpJNFiHMZN0S_`gEo z_oJPjiniV?9vvYbOM7I6R+(27)xO=_vAZ^9;~V?_BC9DSS2Q~6rhNM_ebyOfmjkEc zr%qV-)$4o8W&ZVDVY9n`Rco%gZg4tKeuI;~{&YG2XORmxKGFRYSl?*OROoT}>xQ%C zw-}bxe)yJfw)e+3UX?9#BvrGDVmVhl307Jqr}gfSvJqzi_g>AOv~?aq3cnlHoGg?- zp|QeApWEhrMs0CfL4<mzZ0ODh#V>DsTpKZQNuSajr}(H@&G91X`}kVqBtI<q^6!%I zndrhBF3-AKmQ0)ynY4Y6WooltbM=1t!`g-xd8=0lJ}_h2@>BB6^tmO8xte@ti*qft z4{uv7$`sY{-)F<DJKf6GXIQSE(fz^TpypH}{a?j3rfbC?@vf}~rOH(gZ5_LO4r+P6 z5uD08zkJL7o!c1ID|Xu?*C(3TeRy$OnzvERq2%H&qrC=?XMYXY&>^QU|Lgtpg?GRF z;eWlqA?9r2{d=qLwj{_h#VK$fdc}J}_?^UkjVm5K7nQlD+uYBrFV5Kz;IH{+@~KZ1 z@;(j?_7ck_Z+A2NKYd+ENk=4~Yqhbh<oXPaTYP`}it`*@A3pbatyBADx|h7i`5kFx z`jV!-izm)*EeYLbET(Mp@LyEuGr!lfvsKuZDa=}0ckM$JpA2V>Ro;CQgN&&c#jkyT zl<%NE-FHd7L~r|J!!vKVn2k=_Ue{Ad5$2tC=EbC$$FHzTI$zoG`$YZACpI4T5?uE= zCp+@Zo3v9#HswX;<`WMV8r3R(ypZa?^PuA)$6pKoo1N-n=i!w6*m=}$>lXIXIZJk} z5^!F9;))xex9iQBZgX9&zqv9k;$EvRG<UUG{5-ac*G_3ER@y(`vG`D2kY`zc=_ID= zP`=Qz&`iOSjVV>BJ?1YP4;U`mwK~~%(oL(xB%=@6cX@sk_Ph!;mfq+UuO;@sc&2Rk z){2^+r;K*gInP&4GW(y+Rp_#{`PP;fCp*plY?P@l*l(Nryr90bHelVXbNesPE>1n5 zAf&qP$C0gHJ|?&svQ95ab^gZq?*3)Zogy*Iau&6lW@{hLU&#}5WRJMa4Ye?zr_Gr? zPU<NOFV#M~vB10N(D}O@*EKKQIDL2po2LJy#eY8^QBu8N6S#g&W0LE~)a<#lm40ku zDYgG{?C|A;)#^<d#U^QM(xxur<;lH#T;YR@V&#jq%cJH6|48EVGiooK#AiCQukE+4 ztY&1VkAJ|iM<4!uOHF^UX-d(D%p6CNKjM7ZJbG2rbMk^G^_%L|zqIn1_@B?MY30(N z56hy<4_@w2o1mTd=ko2o>=P@eWfchtuKIWDpjb|6<*aSVThCN(^q6AZ`zUe?Z`n<O z@>`!@C!gKqf9=JTgGav9nm=y$ne+SVq{3rMnfYEw2u-Z-ST~R3;Af{T5<2=*boO04 ze{jN)%7aHQIJ`e%DS7<6*sd=gZm(AD7qFWCZPO&*_$3ZZ6Z|#u`i~zh`VpHeb7%T} z_H8o#dbP$=T?F#H)*jC9{pt6N^VrVmOEPlOmK=ND^wuP+`H|wi-Kj4Qb?(`EVE<f6 zrcJfsHvHw`9rZo+?&13Hj$Jr#F4#Zq@BIDh`JR3gS59)-{ZVakToV^#ke9qScfR?t z-j$!fOt|L$Pb>aE>*Oi#OP03WKOUbt_2r+Glz%^$o%0L|pC?~A-TXur_gkNUfImf7 zUr!VXub(r~Z(kjkE4S;>>?NQ6qy?E>^W<67RTHr7$nH-ZjeDxM&wa6C=?T5FSE`S_ zlv^iJvFFfv(W@60P2XyC-j1i;?8L1H*?(Q+J8fq_Jau61p_i@}f1F!9<X_qr8WhMH zJxZQgcJEV%<NPbyoH{lKWpwX$Ke?O~b^3_Qp&Yr7ix%uS7s_S$;*A2+L+jQ9=bbJ$ z?t5XgWaS$Xp|HezK?%|=P08_pOwE5~&*u@F<bCr@`>Ug>PgYe0hVOlAd`v+i$8p8{ zi_1HhE;@#P3Vo%%!r5{c>q|qSJ+0PJC%G29W|MF$cdk7AZZ@y=T7{eDp01J4jbEwk z*;c*$;+ik2tJv2*W!3&Ax#8m8%e}w6kC>bZoYML_W?zZQ&N7W9TVf_9C2KIeeYw3! z#KUCs!?!Y@)VF3PZj>_!(lW}^>2SPuQhg6o@<B<7FWVMfnDz8@)2mCLK1uu!(a7BP zMNH_7$Lqc`DNJ*pztrOCda>=Q^}|e;wh13r$>;BNEElX0_-8%MCSQMMw1W4%NOt4P zt}K7ON+$|mIGS;}X_=1Rmd&=`EKZxupQ3%!J+q%ZeCD*2>|nmCQ`<U^zBX+zw5Ukx zUNpaC!Q1n{Bvk)#NAgA4-P`(2t%B>arpn$^@%_BXriFphlkVAP%!%C+!*%7~iJ9Mn zH8#~qIiGNdO|8y;JvZx6^tEUf+kgoln>`vDxJ6eU=6Mo!m@%nPeX>Q~KK*r)>BU#} z$=koOigo>!oU}I2>VB3J-}CjZ_fB2pH&EXx8mub6#sA}lZn1!Ww#H=|C)R8&4>Wk> zE1`G&Nx$F0K(6;E)PrBS6iRG6u|{bnUwq1Hjh>G1O^X&8%~;Brvbp!Lp;z5OZ40hV zERAQyggAwb?o>r{=igeP$?OoYr?cN~@}EOfq7A}K9IOu|SUUAHeOVNL<EU_JQRH=| z{T0_2e_xj^UMJ`LKtC?5wPB%O<eP&_dGbV?K4c`-?3on*gNr9B;02S&g)MH*y4exN z^&0E$ekobjxta4viNA@3-M98YyP{_g9h$v2u1WjASL=5Fqm%}h|D4W;g<gN;79~y! z5MT3qrR^HAFXBs#<#y+7T7J`!zjBZC+9jVV8Lmw8yHfq|=c@aOKQjE5oW3YnW3;P~ zp|94!?`_T&&GwmbJFbURM^0Us(=+4igIfPuzLk<~{J#s$0$k=MPDtxMx~DH)`S^du zQ(IQ+e2ZOC<@R1rPEjzIqda=qr+1vazIlvdlKwnpExL9;b0k))q%4ztoH}>Ie5aSE zg;%dg4tQ(BBl<G$nbd_}ffl9F)?X{Fc$<}W<X-iy5Si>*vA;IaTVRWzL8XdUBxfSu z{xumsMV5D7{ai9Nv$p=v!mD}9)0ge~m3=7F=fIbS%N-1U8%15_#PdvjH~-An`~&MG zKi}ypx3BMxpX7E*@&8BhWw)$&Qvc=dn|?=eie^I3>oY?AFV6=264wq(n;ja)Wq0~i z=HxdGNzzMs?j*fF+@a=pne)Yu*!zojcebwbWLkIf$JK+?n-4wZD4QO0bFGp0H0cd` z`!)rauSzZ2qZPbp>gB);5w&extAo#-ukYS;yWixaP~X+1F6EQBEYB62OCFkY(R|U; zHSezFHN|#jS1fFkpE<uMQAYau=6!2AHiWU2ZZcL4*dm_FdtN^xL~0NB(?@3=wpQ#b zf4<Qy<C%2#s-@cHOmnwpEMi~_ELg8*_er+opWx%^=5wsBU-ywXE7N=M8{=QD?K$da zcSH!VgHuhPkYP?ncB@zRN&R<wcRSvke)rJcEgYsbZ^|x|E}!UlwffPu;_j`5q04O; zGkh1+Dmu>pa95MNRmJ$!hiMnf+?B05f4CcOw7SN*$gwId&+&=Oaf8QqV+_>)EEB6W zICZqy|2@l2&hia4GnY^P;PGyWe&dh+XBEDWdyNi+x4wAbsv*;}KFzb#^X|LE=mnRi z_84ZmE^v-=+E5UmZem_EQD?etlaZX-#cdz=O{}@Qf12ex&;4&Mu{`~M;*apYLmL<H zNGvR^i&z@;bgpI&N79LHte0#<g0&T=|NPur8mo2LM`TK@jN_#G^qZcFe{y|R8E~qa zsg|TN3duJIzY(6M`Xy^lMthFAle27=%P;44p|05<PdhGUJl}rm-|RQ3>(&SyWs4{g zI-I=gf04DkhVMz2IxUeIkL$EFT^fJow{EqNIOMvPtwQLr#|pl?9_@*~^OuH7G}<_` z%u+pk{HmA9!aJ;Mvt}&ie6qZeBS~XJOij6I3fs2Vuih=%|6*OI6jO<pxZuHtWBxa; z3+(+nXTgj8Kl*Lras}$!K2E%I+<`g$|B463H76!rH%^*;vig>_pV4LYxn8T@_xw&U z&|((6Ur`tEZBy*U0?U;KXWXQB|7w3w$L-U!NX^WC;r|oI**$)RKha}4oDp$<!QSAG z*dw!S{a<L_ov7Ru{aLHWD@;pHQ8HeB`KG6W|L2SLUVh_yC9^^KpOWQ9|6kQJ{(6dr z9a`Rh&BSi|`4Y~b`4X!=|5ljIKCxZ*{I)OhyfMoJ)}LjW87lXw#?Y6y`ov2nrTGW9 z^V+_Un|Sp3Ios}I+Iw@frA&Gr1}E^?&39+@oXp0WAdw|%{!jVR92W&C^~PDtvTJr& z1*qCQW?ivr&-1e02(y=tDnEanl@zOJ2)*a~X@Rt?@9)G(Q|7pyJF?E!u4pYI@0lMG zbsdMc6m6_~v^!wx-}8k<#>b|e@5))IyZ5u^nrENCn%wzODtBDo;`_We+t(*sT>bdy z@ZWg{KT6#YkV<h}^2ToCohdKQw;HB2+$@=Dr8Q}bb=HGGe*W&=Y0+oPLj8U=>VE#z zyo2q(*KT#|+Y#q>9`ks4TUtl#Yi8X;BeA=JoN11#9`#zKH?~@x(RAM<za`S$@H?~k zlbZ9j=I{FUD}VSKTU*X$dSS-FmK#X|8N!!ttgd~fxAX06sTRL4&9AL%TIZ-On*BTY z!+-UUk6y_d8R<oY?MnDuD$6deyTvX$%&vduu?1OPCwH*4A8KA`y);WgudmdiRrUWt z`{eW2_8aYpOJe)Lc3iUFnCG30KhufHO5T?_m08z0O)02e^SAG(+il71o$b|nW{Wlj zOMft(bB1%rrxzYN{XN?J{=x^gXH3;}RlKgk&d#;zfb}~5klJ5Qq7v3e3QaQG?k2X( zP|-8_uuuD)3gvKqXU&-7iXu$>?EU7KEdmM;ExrHvx1o~N-zSHQ3g0jJc<fYySV>r1 zMSjs6t!2Lsyh=Xy<P_(Fg9;lS@tB4<9N+t7TmE9scL6`vzmnNtc(nHubM$iAN&WTl zLe2XaMCY$KeElDj`!v76>mC;mTYIfg-FEkX*FNL5HlM@A=BNIeobpCt%Js?as~7&# zn>*!c^77Ix3v<(U-+FrYTi70{uOG9Pv#(6~u=P>9gw2|M<@&s1XGM3IJ`R(7<jwu& z+=F9Nzb%XXa$Qd&v)h{Cu2VB>$C5=einr{Q*dCgCFSp8sc};GC>Nb;gzUy7>EfRXF zR>yO#FYLHI`_5W(IgyN4x3hl)|1`S1a@B)-y6f+FYCn5>d)f-;s|EXW7o0eLRf&Bc zb92M-*XOJklt}2UTu@&exA*!}bLC_0UQB*&VG|n<e?Gl!cj}CS1CO@6+IHmDlJ*S6 zz0T|mQn%Tv9*QmcT~~Z=|3bOd<|`sPD|SkKiI~cHK}??U&0+I@%NsPGcbR2Q%P`>k z+F!4(wPwQkDgRt6{%`Ym{^<S8U-f4U=6~s*EHmrN(O0TLUTkseQW*X+?uZJzHm%Qy z<4dkG*YAt*+1~s9d`WQl<M>T@tG&r{t*k9>O`EOi&-X0L|M}wZnO8!$1$#DZT6cQ& zo&S#0no4C0Z60;asAKyWTxw9Y_`%W@x0vtl+0o=9opoUD|B|WCc88q*e(&?f^M@L> zR)3b4ZGX)kb?3<0C5(rSqL_=nPN>+jX_0igWJj5_o;vfhl!#P@hOn3SR3^STIOpoR z*DmaHW<Pp&@}2a&iLo<IefrSKJA2v9_<JVo!eahMB~EW&^yHpIfwA-%Ubn+%mv$rt z+<X5hcJd*SU?FFnv^yqyI9aY+X&$^&e&puksq+?xZx1r$|8n{C%Kok2`)<fTRu$h7 z81z~+(chC{!I`E1w#;r5p1QrKU3}r6=aGtQxXSDQOiE2>3tjS*SGC#uWY>;my+MDT z-+Ur*FD3g?%C=`IwWXW%-={39+;pM()6-ffW(O{%3wlZdpLo_du<TvIq%=EG=w8N# z6DB*{c_(S*RNrl_d;UpC^2n33d*(RX_1v(z_dvp^ZyN*iJB?c%GfE`mg|ym|FE$na zH1pjUo%|_|`8n^5^9N(IT24#2m-+86`7UC*=Jv~uy#bD_4)4z_TK|GWLidF4G){*f zD()ZWy*_Z{uA=qq^wd9r7h@{JZg;2M=+2wOwOaO8-ps8NF8l1;a7e#oLo4r|4HL^t zKJ*Fc=?eGfgl<^9x6SC1{KL#;2Y+qRtNMOo(#5WouU4l$s#iPt=4`6{#Xe4kzs474 zulk;{bZ^v;NJp{$^&eKc@yN}$VGf`5Y+vl}&+2u;T!P=3@31gtoqMC}XW;kfC6BAt z<j{lSUWFzmAq!`BOlUcz`{BE&BSY|<e2HYQznzcGX0tW?3p-&F62MUVY>h~y+#|h! zv%W2Er_O$Vz3kntj^mD{%GvY%G?(sSddPH~sbTut-7(vwG>Ydu@92|JX?YMGpBQUY z@X(>?{?3}yojZ8$u{ytg?Rw+yw8oBd%jwOvck{)f3fBLA>;7){#NTg?DklEE_ta(1 z>FqJE>xzrb%gc+)%ZrQK8+O%!fq5+$nAL#6$^9T;&u3C6o%U<p#?%QlId8;n+GzFq zlvTb_eRB^Cu3iR#$qN(Ndb-b9m)%SKZN-)9{H}T$n`A=4VK-hW<D`m(hYvN1@Gh`O zT;$TX`DDyCFE+6N1x6v|mOJ_V3uo_~IdkXCnKNfwvrKvX5CramLF~4hQ~lmEcnEyg zmNbY95J+a?RLWSm+36@V-;#_2M>s_+&k6ZH+Y~yfV9oEkqj%1n)oof4E78C9h}y|J zzWXO0ZmbYsVl&UVvcT{$JCC51#6x=)frnMb=EcV5#>R&q+yG5`-Mo9{DhS-Xa1jJl zpFaZuz1s$A8aEo1)@+)!=#z$~lcvV%<ttWdX=!V%T)BLe#%irqnkzL{s9S=-&K)3N z0R}s^gMj%?iyfe8Lv!=(+d#k!47Ncg^iF@XeaQc2$F9l0Kd9vw$=^FX_s+@Mipk#t zf<XX0=k2{aZvFMwSHZLV%ZwSC)h#FKTk?M|RMT7c#ADqP&vS<-?m1pwbMkzp#boWD zeab&4eYfC`|MXcl{F9u2(Grlpu$3WNQ@y~;TR@DZm$XW?dfgUZ%n;!P1Flx5g%?2U zQZ^eem!8nHKz`@Mh0;GK%I}=02ninJZiQdZKmV+;J9`EMPJzLXX#NK*|2<2T^otbb zKRt4kdt(3Yv4h?d7rQ5}b|O!l-X$Mu+;gI{hNFf1Za#m}g5PiL-xcqly?f{E-Me>3 zxA=VDJ#+R<&~*RFnSCbbn)_pIE?M-S-lqI%Mq-z!W+>lEmzhOdr@0<oa%H8+!NVGt zG(y+(Scy)FJG$oL(xpq6s>TO_K+#grl)j3;69^Qk#22ah7cE)5c(JnmCuI;YFIv24 z(IO@NPf8%5UZk{4UXJ0Z=R#pMU!M2hd8JJ=9Nr#FI#6+KuZBoU(SFXUCJm2Vgd9~v zSKQsabGEYsIDmdm00VB&(ht^30T7tLT)05;mVvTL^O27WW+^dw%CN8c`Sa(`kMjRN ze*E~cQ2zVNmoHy_lzR_K!4Dtq%$Z&AYio0SQ`7hTGj^7jfq>rbFWaU0OC0_e+xPDh zITqq4^)xN-Sz2CNTHC>ovilxCJ3U=LeqYT-=p^){*j*(rFD*TtDy^2j>docHr=y#; zmoHBbH~%KmthMskiQV@r$}cPz+GwcyeYY0-R^ipvX65&ffPf2VV*25sRLFdrqYDT; zahSX#v8|h@==8;o6|UW4x=~wFz_V|VIW<=AGgGz0L33$0_o>hEOnLEc`p%4ni<9_V z`!=`S`MYVy@3#ft|Gur;eY-Y)_xqghvu}5aYKN_f*yy=w<9hMc8mkRfo2<52t+QHW zb<DQfm(B&(-Ys9bvQo;t*x1<EcylmhO3oZQCzm>V@7z^}d%f0rt_WTKE5vJS+~Oer zN1@wyU0GSz8@2k%$|<uWSpq+P009Fp@N`@wWIApMWIB$|q+L2~(FuixBJ;tMag$$z z=HmS3h|Gpe$eu3SeMR+&gd>Y=l3eYgsWX2{n0Tp`O-otxCR2?0EKk(5CzfU3Og<Z_ ze>N2Vta27q;GDgC_pDNfUf)6xc<7r50t<PC)qG|cBu-KR0~4K;AQhcYpc(!L3%~$8 zGo6sYqoAOmkdW$?Qk|lA`rXFU5uizJqfOB=(jc%q=eW-7peYlQSp-Wy@PAx$u1fK{ zb(_NX-y3J_ym=H9VMnHTJS)t!DGO{nHaTY7OuKT$8UtwI<$Ubw#`SI`>kDL0@XK%M zx_IHvowGI!9E^4q2OOJNK+}MSz#wN@g3ksqAI@hi*-cj)&Yn={cl-7l3^wszE>S!h zZacMG%qm2@pr<YUS=#qcAdofH{fEbI%YqpTl-W5IZLav=o!&L6YuA1L()~MkTC#}n zs`N@bUfMBH$u+AhW?OA%^PBl|;w*Z9EK2HWdj?*drI)<jUA?G3_OZ(}OOu?Mn#<o4 znijANcRnxtKi#h4z|M`HWh*L|{{HLP|HVE3i|hCK9W!Unly2(=fow3)J{jesyYyPn z>6KTnWNqD&mNLOzdG=HgDD5`nS)3Eid#j&;MFv!me{|NLRiAN$o!`RT>?EHl<DLub zwilv7>C4#IyqrS=GO>B&hVG=w7^$hpze(ub-goAwa8a+tXZz#-J!|HRtXu!V)(}*p zy@7;Xhwr3~b0WNS)~JScA3bttSI%zZM#*JmfB5p|J)d~dDgEf@JMZuBysuDq{4ZPd z!_&G&#>Si5R)c^xXjYVw>B;eadHcFQJ9478hK2dAUOIK^rBmRwYpY&uTD$PCfJWGg z3yBx&xR<e==H6oveW1dTvHSJiLwoOBPZT>FQ#Jj!R>h;gqCo|EckkXkd$)Gw3J}Nx zFH&2!2n;3#fIyD-)*$bd%S3{`yfjryZ%Rt0T)Frn!2KJj%z1ed0%~{kpZ=Cx$J@0{ zH`<}*Lql=$<TnA$D_Yl{)m=7o_U@G{PtM-6@?_4`dxxuIw&hRUTgz+cvt!cJeaVSB z@-`3d+)%N;a^{4>UoWGC8<k?`lgjtMe(`Sm`i*?Y@9H*bq!oa`2RD#o0xqZ-fB>ji z6cG5Z>F@GC(f_vApV>dd-rj!yBKhqGIjScbpFMf<?43F1&flgdl*-M&e+RGLFuXsh zfBn<$htW+jdXbm(H1&4o{I)iJzP6<{#yk2~;hVQcQfX<=1OiwE3?OMd@!sv^caq=V z-Lw5RPww*#6TQf#uXoN9@7l|(TN1XSVfTHFe{bvLWizhnE}Om6RiHKw1n%)Z*8Mo6 z!fTJ3TpZ85jnm)W4ZU|a`TpL`E7Sif&RcposkIB%9J$<HF|Dw7_spHqZQS8SC93A2 zg1W5CY);6dEpg9FOE^^gXJ|}(oMSY7tq{9sxydC%*`80lFPOP6869}g)+=R_c4EhY zCa$CndoyIkw9R(hlb&*-urFix{qx_l*MG}2ymR;LUBv|^XU>8EB%VO)gpM9HWRQ7~ zGRg9sTJcF6y<T6{7;bas@|$McHrke*z1_p}DI<l~%fwWuzV`LsSAUoP`?6HNR^#mA zt2STGdtCVQxla1-l|48A{tNh=`hEVJy7>Dal0BD9+`IOQ`<Xju&M0;0?FKI+D$U5w z&R%V3b^GE?y==y=Z!fZ^=SrDwT^hCeSx9hj@NI+1*L;eX>0Z8Nk}74a>&sTTcU$=L zsg=2L>#v`dTI;>~a#rqIpVgPL_U7Cdj<dHq@~(c8_?~~<C#5;9nI0bv=ayCZZhf@< zsfBmL-S_$eao>NRkWLJppx6c~y*Gl^ZH8)r7AP*hm|-I2>$m*!%aW>_)3lcQy$m`v zWm`~YpjB{>SZ_}o2(W=x#%A~kzSdvO!EO<^y4Oa$=bh5WsQq7KPlug6AiVQ`<Fkh6 zzt;X<Te^R@^)t{i!}E|Pj5N3e*sM~#pfYd9K|^aP=H9XuF6}>NMKNub_Fy=oz~SJS zxwJ-vfyKl_$<D=$M@fW7q{WTzP{RcS5w#Wp1D*p83~Y%4$0o>}3o7QVX)90IVaxuy zHbr65k<g|inVN}q%Peckr4rco)J)j$cis%Il*xj7*fgB-cvh}`bn<U;n=G%Z{x$D> z<E)S~FJ*ed)BZeeYWBPDQMS?}`DV9~ZszIkx~rTAe?%;|+_pQnoJYirqoMc6p+_2* zA2e93URY%LLVWjkmRqV<t%N_9FPJ7>)}Zn4ZIs=Tg1@Wx6_`7@z2xB7DG=?$V3ZjD z+*dB0|Cjm}1C=QUwOO~^*_0*9J@xCwpN9MX@!0Qla@(hu>RNg24BN$t3@<C${kQx( z?p#~9b1oOViU-?UwKbJnJms2x#8riNtbM-yoZFMLcih)oU3M{)U{CP<w%wb1QB<Hb z^EAaKru<4CChjkhUz|1K&iega9btBP?eyb^8xHl@iMpKF^3>#euxOjF)2g<_Yinha zjz|VXi#%rWzb(_57NS(Xf959NpG6OaW&}1Gxp$Um-pF0GU%Ka3=<*eJ*X{qbeADGm zXIxAD&il>wSW?WAeRJZAMU4q_g5*4|Uo_!PIJ@a%qSm(VjsTG#<wAcAb60Enwt2R8 z?=x7`^mO+1+`zdUA9wFRzgGFOz^A|G7ytR&v%Tf+?x?%BCkl%$o4}QQZuPngrFmAn zm8MqPtz<O(t1UeB&9Sv7)RSb~*k@TxFSFYw5|%S}+gc0XV84GC&c?5Meoo=KBK!B? zxCLJ>Ts~+0F{8dp|HQRDr%&vRPG2<jjFa<>K*y^~@2qnP2wr2}w7Pj&SLsEE3HD2` zv6bt2@lFlbF+ZVpEJW~%tIqnDE2`J-DDJ$!@|@!4vjS$z7agAbJYx3jrEfQ>F{K8Y zh8>KO{`fe{-ml#+O*W-Tx^Pjj>#Cg#{C_wpp5L^tyz1cn5HHca%9Z;PV-=m={>?T2 zB`I?KjOZ@SU5D1>ez#vI5VY*fRei>d6J5*1=D8k^U-Qak*S5<3GrSYbZ7x164cuau z;<0$ioDfST=SF4YT`snjxsK-V+ID@=-dc6a;#+BHz06F}?#qe(EPuWzOkduha!H_K zugi~h6*?bv8d9xqyqd@U)K+lW^jmDRwm)<}mi<}1X7Lj3eGhh=cC%R_Ffnq5NW}ti z_0We4s~;}zXGvW2v7tqJ+jN0S*9)6dPsccZ5e>e*?=SOTSBay4e7~q9{byUpSQ~Q7 zK21WVYRbZ=VzO6MkEL=<Rgl`^XuW-2grl|0OphDegqPj$mbCr(E>>mVLZ8>Em2oFa zS1CrQpG;oz%HVRQ>*hlfR|}u{6IPpQxL2vjeZrJqx~5Jw8FEcm!+K*qR1eQy@v*&m z%DifUiJH&%mmT|9Ej1zF?~^KHy-%wd<?h`O)!ngqg8bpejJ%1f8N{#D%UV3@DtUB= z<KL>v1Iz1$B6!S#9zE@|y2vsqLfw;jN%c#2izRnVE?qX-TNjZtHTvyOkL*3p((j#a zZMh?Mq2kpSN8R{~XO};fKC{O6vu>s+$Ajsio>N|OxXv!_&kCONLFsC~QsT-b&5VX3 zCte$e8K|Yt)7x2-t`OOL{cddamwWDJ8;oUG<)8gu85eKj7k7G>?W?c8p?=pl*-gog z<oI{;W7srNhd|*6|1@7^WJgZ#Yn$*@^T)4$)qbDqU&JpH2^PC4acBR3sY%CQ&RP3o z-s02^?otgJg%8#|e7YgC@yFfS7nmLk{ufA)3KZJ<?8G}`!##~3|0L|Wlx5KW>1D-j zM@IQj1$Cwefd^~bUd*YQKK<;ph}MgFbD0>=?cFk;%kuHbRv+)s<X3Y2%&u2&DcX5W z5IM2g|IvwMt6EMT>}%?t_)0u0JbS;~=QZ2zxmg|T+nq7rTY%ZkiaY(4)~yAmyU(#q zUe3?t>2$ndsmPjzc|Y$Ki(c}GPKnE8<9%^|Z}i^;*<w5S3p-aHe-UQzqbts?e(zI} z*8+0!`T2KNO?{S{d3IgmCTXS#v$WzjHO(u3YO|zplX~Ap#(69LJ#T*@u*QGFiJM(J zs)Ww<z5M@2W0uS$p?|Z5_xOFxHLYn~TV}IE(e}-TlYz?~e)0(2#@0A*7voozBNNYf z-!PASp{BHLq65RM8(Pndj5{2T%AYINao2yv^Wf_~pV`M>r)}@Z{k*?&zkciDnq5DS zvV{2jH@DaPe%I4hXV;l~q3tcMd1s!<cQ1>NKe?#6`HTLOT9#;y`Q?A2o~6w1oVDxY z^yc2>5+B|MDZkGDk-GXon)kHH(_+n1JE|wAXI;s$n&Gb0u(#PjaI?wJgfEt-E*1Vf z*U{;abnE(hMUSJo5`5R5mu|6(SolvheZ9jv-|vs6XUSxrIdYCMK*e|Y{3)JGechib zEPbR=YQ*#D>oK_{ww5o&4~VLF^67J*(L8LSw7tgt!XmlS6W?}BIV8A{yRfh7?xk?0 zvx#SxPI!Bw(ot*s9A<~-z6ZBYPVu(wK4CP)ug7I$?V>|HI(bIByR!Gk{QqHgQImoD z@So#h7o3#!SlW(eRYVs1PL(=qw@K&7+~c;Fw<vJeNIY7r_Vj+H>y?==7P~xl(@6hf zF#kt!Yt*kGkG%@!Q;zNXB6TxL+;3^H4{uk0<?W|GKQKAl+wLgvwBreywZdluqmkyR zIb}0!AEw(+aXdb|zr>}1Eg(Pg;NudBM)hRle9=0-jL-ggPtESPSP1%PmgcEwr##Br zr?yeh>-b^E`Pl_`Dh}#rxL>SsF#dMwsNc7iFEYaQYqznfEDaAU%<0)DvU#TGy?+@E zEi&s1HC#PqML&CX+ic?8e?+IEXOZmJT_pmcY|Ba)87$izJE_;^H(yo8(kX$5e%gI^ zFrOG#`!VL*wTl*q)1qFq$~K%3Kd?;l)bzp!N0;qcd;ICqnSHh5$uH~Y&V19|Vk9IJ ztpB58y`Yffb*{Yk+J9#{KULuP9i(E!8tQ+I)9<iozy>A-AItV7A?xJTor5O-J96S+ zVATFT>!jo<8`hYgVOrQ?a=*j(w8NovpCsE$9bZ>|W;0a}uPrKbtF>n{(n?$sTOIsZ z?A5tbJ7ab@TRm8{^Y8}l%+2u*+5g&D!b;BGS&?&m_3qi49NTtIwC$R+?ha#$%Kuw} zCzigl3TAT8yfpi7n8B|fFQ#u(*=nj9H)EOPhgCsS_X_&m%wUQ1X!>z`!nH5wuD)Pi z{hNK(uYgJKTof|y3T{30`%B9TtL{GwkI0@Z*WCU0*W9aKTtSjzQj;Wdyrzq<Q<W;e z?J_;aXI_~`+Udh7=X8#q=PW(6B=*ykHI1JZt0ldd`Sb1<iK|wxX3KoyHE&WsZ{_&W z@6i53&twfBi70Ke3iI}{TUW!;s<gyKRFiwrg$Y*SE-qUfC)zk36bLr|-KD(tpy<O@ z`=orTns}sI>$)E>ozFM;B7C7ryXerw;Dx7MK8A_=|5>;{M*VN$`*l9`u~+3jW<NYA zVeH6#<Yc$a+|+|t8-B+;XA#)PAslEV>M0u)8}V5C(!B3K?J`)WP5xaF;Q01;@>jJF zj0#1@Y%&&ZHC2m#dQUaEJadJ8O?uWP<M2t<X8EU84OY7wzy9JF{dnVllP0|<@80Xm zpHwzjdi-chR@HSO_7sJ$?u!iD!o<}b4ex2a_GI~!_Pg)J{~27NSN0gMXqdD>_?2#f zE5`{I{e>Js$Alc2zr8&8EpYkshYyM#zD=1lsku`4TAazNiJhkwEqN5nZ?tRn+^_rE zT)qT8>v(C~Wi!wJ(C3`mojplBtWrwCS2i6fI;qra`o#0GTg;(tPv3+_@vyFEb&LF# zy{-CT((8bCq1QXqLS>@_79@r~<~#Q8Uhtk}!a9OHQnOAo99VI`@+#L%QwQt3J*!r2 zdXf^M^DcC*(M37+G{d`Bvdq3~I$GVBu~LBh9ov434O?1&Jo%kuuw1X)F5u$@i)B6A zP8h$Re?dHz<4gTf){?1;&6Q4%{>4hyEqVC*!SV&N?`wQs96NR+M?L4K)>ji_ck7UB zo^GGVy%(%@7@uXk!DeWAWy!OBc1!fwxU**Mn>BZ?{?W<vlvoOO=k;!x)cP<jcYVY( z3Asr2Y}Z?|hO<Jn)#7f-hr6ln>Q;F*$8*Nqw~IfVHrjq|W1&!-#fu-@H!L0X1WWi8 z?n^qKk!$x|y*}wxFqgYmp<Th>4bASsC4nLvKCmd6PI@r!Wt#Xt3qhXq7MdOPyYiCW z{&f-%KXlB+F+}c-^3sYcE02YhbcQbDez5d~@c*Up+e4o1ynHCLf`7?eN6s%3`86`H zdGd&^T&a|J=?|9}=jUe&_|D9FG|5jTxog3l+hK(rCpM}r+P{JKs>ovV+I=3{B^f8* z2DV48znN1k5$dYH%jws;H7a@y;bCi%ShdoMd5ZLRHFU+)U)<EOYtb#Mvt?hk^_J;e zn8@l~{r=tFZ(1(jyZ-(A<ZUY8@rfg5Y57UZ852LUK0g?DIqXa9uSnzYOFoZ3a%~7X zb++MU^rOs#2Z=M>_!itf#;Ublz)M50s@<&S#WnN5n}R1f!mMrdKmR&EssCNmfz0W4 z3)dgndu6Tq3#~%+*Iq_lD`!NrP2V>``P<VBx%Zd)J=mtalDm4;y=?L|l~u?4>dd$9 zy%%S<>3f`N{@q)48XYn+`;Vvd9bM~J^ZnBK=yo6eE=~2{haI23E$!w1I$NNb*-vRX z|MgPwAG{aW?Z}8SRakD4H??1IF9%<=x6Q#a7MYuSw{E<_*rAwqNIO03-tCVw5;L1` zaMkT8^i??&G;3+ChKm^o+aK>wSNMJe#xWO$nn~2Q<VBoXJ6*S6-9`0R+I#e?pK|W< zdbh-GD$iNb?cWy_TW$D!R(5XwzZKDCnVUC1p2B|0ByzjPi}#8aLF-P4h}C)=xV`h6 zV673;_m$r{b&k4uyl3^fwUjYCM_99ePgVB(cc%({TB|c8(m$?>x?yeYbK=js;y%64 zj7F*gY$^hxQe159n<B0pdO7V<2~Szu#?n=jCVBi3y0L5T>sZq%u}@j5Vj6m9&3vP? zdxxyz&8hpofA2GRs~6C4%izG|X_wyITq1T&+B8DdiA^_TW?8@bDX;(Qy@MPUd|AsN zeR4yr*P{hn>{oB!Ss(b`>##SEdawSeA3PEQWwG+%IpXK4wbSzVelLqQ&{}3OSN?MF zg-1rA60C6yWrsf)S#LRXoNekozYc|Xb4|y_<V4oECtr>iNhi1{XC-d&|6Iv-{p<^) zY~OYNFQ1#qAaV4~2AQ>0a-L3M+x)Um^!q6qD7J+x)cciRw{yvaY{hBJepv@zuKw1& zVc9h<w$&GpMM|4$Wu!{J{IiMs<cbC>kK^Hi&sYj>tM^u366d#m+Z3eTo4hY*gIv?2 z^J<4Ttlhxj^^%1*q~Vp$r<$^L#XetZqr3FB{qIV<%s0J4s#(}{;~Rl_)j|Q=H%$!e z7u~Yn#NWhO|4RHC?U~<v**7loHI-5S-V-6bCLqyUyJCi&sRi4lnQUq=n3+t@uDaOh z+49hTA7`M~ou0mn8C=|ZR+zawx$}+TY=*4yb<Xk)n(M4y=P#EL4^uF>#g`xyXsfyE z{F+wD(j!~VUbZ}Q>R>bx_;M`yzwQ5}_UqhiC$C%Nbv7lsa?APzrSAufHcb`PozPOv zw{K;KfkW`QtKsvk6y8<a>uL3S1^?Pp?<gKFD)s*4(*=jFvZ}hh<_X$SA3JL?)6B(} z?a$q0+<k;$=J%e$UMH(?u^AF;yAPV?+0OhPeR;Ff)1z-T%)6z|Z>*?&X|vet*PGcl zcb=U#X-=wWXx2LJQ)f*?4_ZaHsj=pInmU{BKlN$voDOr33v*p^H-uhYv-Dr-v?*2m zcZHrXGo32-fAF{C(F}*mhWGvvRRYJFbLOAg7A7L?u_S(ZMZ@kXjQiU!uc}sCq9%CZ z+Fk+QWvjj}-@j+JO4q^9&vl+Zb<kOVdaHz>i<)K}yUzP}FUm82EV-Edcrwp&R(Thz zyeqoNe*d_Hm*^d0a;|LJ`}42+QufUK2kiOOru|xUruL%$1ozcSo;CvFTduaQ5aRzn z;a`sHpG}*mzJ2n4dt2E>{SRpqCs>HfEjN4DUM*B-JL#Kg^4*!P68=XwSgZ9ot2qiR zx3y9A^7=M=r^bx4Rtk^Zr`T*zXM5RmroVpgZSl3*zXH>lYbR}5cjx7XFuld@dwx{2 zGAuoG^a6YIyEyLmH@E8TzIVUdGk(P{rxlD#RFW>7ePHew&o=*4DenT#XAgc!Job20 zXI^se^<?3+_kWH~77o(&KjW|~uAav~D#>+v(Q&K!ZL|Jfs)*yrnRHtv|HID6iKkAv zT!|}W{nl_t-SN`G33|+j^bbk7o?ahba$_Uki^(x_UQ|skiZm|&b$7-kP3F*(amK=| zEv=3vd$+Z1Q1)JJ;BegkjG=YJ5Apgt2ez+0^Ece{F`N3%{<n;u1*Fb$nV<P;H1SaU zdJl&QoU1i2T)E$2eZVQEhqX{yN%XhewQG`p);^!<e)O-%eYUUR9%2h72{oIYe;&-c zA$V5xo)dBpS3W)<p`!TY_m}M-O{^Yip8s@hk<rDszaHEu+qxj@$0rfFZ1n?5CF%0h zxh3+L?(sVBc@Zho#<Z-7*Qn}n?}kO?hGj?ETJ}wpZP;m_y6@J0gJTT73cYsua=xvJ zJDlBG(k$NlmfaNF>2&+Q)K8Vb*S-!VTCeV~tl3h0OYOkaJ?^!Ke)JmYFOyxewTDf- zBA_$zkg~`Pk6@#)mtu2c7R|jHuK8U4VdoO3*hP|T4i?uV9oQzOWwU;J(H_#a@?)o2 z*kiF?F5}NzBfcmd?|CP{ByhLbdHTeSiH;=)oo+9WWW1SVC6hZT^QB0-QwQT@&szC8 z2JR-cL06{y6i@QA?&VmOsMbD1D}GY=aWB(V%_%)^GgChnHZpjgUc0<8;842yBo4+B z{@h15TRh}dI4W+l&1aAQU;RPXZ};`{%KVwDUtDC_!h2IczOp69X35e;j+`5Mb977- zTc2`VOrLFf@ymf{kMD|1S6s8vcfVh+|0YYLpYHQ7bz5cpKfUAjx?PvgDe+%ibxD9F zL;AUr+r?&vzuccDJwM}>$2s--2Vtj6Gad5eqx=P@@4Vq$sd;d{;x>z=ODFF+VOwLX ze>s0=Qml1%j>~F4XTb%zDfK^}DldI0u+6Gh`JmaV#Oo(bOAa@%PLTXCrL=T=@vS7y zbqAL$ZM5I^{g#KY3AZZS1tY=Dspps4-2N`Ov;AVc)4ukID<wLN+b?#l{Zz6;ar4$) zZny7r%woOI+~R)wxN6I@TMiQ*{m3npPv*QE6t&(z`-7X_##7c|TjIa|Jh&`$#@=HS zYhGV|w>RU*lclBJwZ2~b58b~`Kig=vCiPlWi0|WTRv{a1Yxyo#>V4$X5#gXdZ<|l? zmjzP&i*7U*F|#-`u3|iE85VFZU^btdTkMuqbEfK?TgJPKH|KX`C=6KoUfF$<zmKWz z<n$1S#(3kkJ$BkBeE(iO=vC&Gv;69<>h~|d<*d0oRd(OSlvx?ltItl_mT_W=%E^4@ zNz84`#>U34&s^v<UU7!e#ekuGTI|)5^aj4v7Ng}oa=U!a^kpU|C0&{^L!#EI{ksFh zw274xA{qvbzfB|FrF%6v@b(_l`)*ud@I%!tt(lGCgn}E}VODO5n1IB`$wn6x%c>>z zzk63#%b*XMgD^1A2LnAY(3RY>Ap5jIuHd#U83Lixrlh7OMw)SZv+Y{6Zi)75uicvt zt&51*DHBx|dO0L@^@<HzYrDEwMQg3xxLSNBsK0MI)P3yTr9~U<Kl#2pIsZ=g6c7*r z1IR94;aV%RgK9^5CtGwb(sD~mSYr1#ZiAf1f#o%y!sCwir@FFC&2DOXlfZ10*)*x? zq5g^uA5T;#E!tTBX=2~|uhoC!dLDnQu#p4L#p`H-z{Ta0CrrqhG_}R0dB?JflqJ63 zyIePP7^v_jI+vZ05?a*OlCVWo<3P2}e~&{Pr|qS@P0AQ^KFIw4c=UY*zyBwh_&@#M zfACp-fBiiTG94ot+rFk?zOLS*M^+)NFOSLpaQ5BE{>`ENkZ}0}zS^p17Z|qjCw^4a zKlEMs{X_S-miM+b9moF3?fW5n{-gYN$9+HK-+z=nUpTc<$~sNR*noR~_20Pi{Rd=b zIL{X|*s<V{+kygqS>=GmNAo6b+mYeO;=;)I{sQCwOZ&g&{|)~CP5$@y?H5Z<aE063 z*Z$IK3b@Q%;l&ekfJrpMkAeBoew*XE9vO@?z8`0C?EGu;O_jS>mj8R^o0{sg+^5py ziYwc{2BgW&J9gT-_wTOzuistYyB-AmndLzszHRr$<?r6^-CL%AH~RZGla8}nZkpYE zcI%Gs)|+P>zDDd_yZqJGs?~9;mYa(hMK3#*Vic)1x$@al5P;0ezr5_q@vx_O@=9$+ z))~7Qc3bVT?A>e1z#(68fw3oM&ar<*cORIPeeqqnarfRIUtfQZyM7w9YyTc-e)ukU z!N|?KyLRo0c6WDoH(!44?Ag=>MJw-2o7~;qec8GH@dw5e4_J1rZT7f*i%~Cb;l^Z9 zsrw=`e3QiwYM8`bzOixEvA)YDZkKO-oOw*$q%6njvEUAtPM(sMWADD-t6smm*kKZ6 z`}n<k_e?sx!ftAXr>+W_>b2DF?pfZ_;Ba$u^Tg=exxtssddzBnoqa9L{ee-Y>7_P! zm#33Un*ewM)M@^{z-iMKrlw{`&SW$6brgLU%x~MuBjREe<JiEUxJNwaTAjK><6_|+ zy-Veq2RM!hPGU6cu@&bybEG!K{+nyxqqjTi-tWCWmwD2hgLhL>oYikjIA4Bv;dn>V zN(pT>wQXH1ladm%C8V#lElte2!N<*fJK>Np50B1vok?m6jT}w^P2z_Su<8Uf^8a4X zZn2;_T;fJJhfLgzcgfA%MZ0@qROR<|yx#uj*V6`;$KVO-(_r8||K8QIwXs>@;o-Ng zx~AOz`_S3#)YhFL>$vqwvh$ZlM@L1i4qLr-Ylv=`xAszFM&qq^-Wu)h9<Mi76m`i; zZ@S04{Xj{?{f{z7wfWBI{A-Wje~!@;wBbAK+T8<(yf#Ly={=gXHX=eQJp8cs!>d=P zie{yPz|_XDt)ZczPTO9sx#YZFifd)as%c$aSxb{v8m##8Qe39)t&Jn6hXIp7MCKkw z-hk2r>Y*VX3@Y)jegu0y@!xypW5-1C@4xoin}R^;(g@8^t*Mi41+AVEa-}b5@wF9Z zTD@IeO;HO2M6)<R>q)+9cI?*B=>4zx!}t7?D@R)V3r-$&pL4{mM`dNhWQk0bgPuJ{ zjxPrRbud^Co()#3{lzx<)D)w&K03m|r;jXgjPaU&V`*uoXKdE=X+{A{7ixn_lUPyj zAF{4Xmo5>M_~5;M%94%unMCe0Ut&K#@tCZPyqnygUwgkRffQ<Tg2BrdAmA}SZ;8}e zw>1~dvU-D*7cbh@q3hLsWl?NMNQ;o*Ru`Aq9UZDlmzKw`=wH(G++ZOKhe&Opz{Ls2 z<XT=j*xAH+B=etgaAxBX*GULCQY$m#<wNT(3I3A5zrJ>ZmQpNK<PG-_O==BNTo{_c z&6&xv(lPr2pI38J)0dYH4$>Sio<CpyH?h9%+I;!>SJJ;`*4Av}fBpC{V>%D}zw_Tq zE`0nscOD=A1YX7;e0=@-`;LFM@p$ll&$;Ih9QN!Hw*><mF!=SQcWz1g`!&{Y`*t0E z_a;N2G-&SH#jiG4tv$DD@#My5IU%x}EG={W`1rav7lz4*E<fs7Sa7a-q1`F2drkeH z&CF##$ep`=r)J}0dj|`HyW*C1`;ylmI@Ww)@A0Gg3i*pS{{H&<`d`ojv1iA?;Muh$ zuXnb`IUin_8g3dJDkU|mN0J9rxs*pl&r~~~@O0*xO<zAYvcC^~^5cZbQJdm}PIVvO zG(G%&@AW+6J1Isp_jtUzJkdk((?k#6M}@Yl+`sMUfBCWEj6?6St2=t#uHBI8&Dy=} zxA#7k_c194yS=>)*wjuxnZdKzG3}(D%g@#mtuvzMX>87T^ZgeqI66<B0D%rLIC0D_ z_^)Es3;9W_URPJ}ujtxz_^YGblXqvDc&g=3s7cNYHS&r(sj01{sUcNuc2Zq(r=q&C z(QKBlMn>w!0f}x|HFxtjM(EUi>;@IR|3AuucE-Q|D0ly(?5{7+EBYKv4S4S~HcDo6 zhwPYF{X(~;0RpPJ7pTkk?rgDWV(L6L&wW$Jv3brWWjr^VkI5Z!HSRc8_c!j(Ul7Rr z@EHUS>lkp?{$ktp`<F>a&Fubse&)NEtE;~!rSmRO5RXpjKQqx@X@dPG_je~`97XQ) zJeygo(47zwz%!e5`ax5kRL9+7nm3wK5AI^miQ8W{8+3fn70`mj%gIJFea;*?vj+rh zYOUsVwNHPvu|oIWvBS~pPM7SQ{$^9`s?(}f%kM2Me=A$P{r$DwyBA*Y+j_ffWo-8G zTQ_gM)nVjg`1JSZ-=7;VSa@8&{^W4l!A%J_<ARg<jW*7lcdTwdcmYfw7`)3nv$puv zoq68RZ&{Y*`88{(FF%!Q8Mba}^wTF_UhWrtpvWrdvuF37rJU*|3W+V}tM|nJi{<2y zSLm0%aP7^%H<w@OEtnl$ZMi3D>$7JPg<wzs2Kith?G?6DYr9eCs#9SruPE%wHNUpD zH27}N_N!-gnRC5wUo+d9yM1GBZuISd9Z9hp!?$Q(5q;Sus{Q4qMCPnUlhxrFnMc~I zpC12vd;8IYdo}Nxd^6dymCvl^HdpUo9bR2#Hc|0EbN;T`8Gl_1e5eQLyn~=69tXjx zye-MKagoEuz!e$+0@uGuty;%>{n{<lvRvNK+gYolRvlZlGHdOuTL!r^rcG0G3BDM% zrFYt*jTsrgO4bTJVBC?f!y?@!;<!;HN5kYo!SzcQ&TTloer2uHt(nK_AWJR4rzrSA z&PfoK*4ovc+bNXODJ1106g^|!YOf|1tE3}VO0tGu1YfsKu@7u&=@hPG;Z&ZX;i%Li zUwA)b*+%;r9p-Tx_zO=T2&ypJU-y2m0eD3K_q)2gr4b-7gAKACizgWjB*06qj(mA3 zYG&ud{kN$x*FY?X)%#$G!G`tUUT6Oe+J8y^+w0TXUq5B-4bi`r{q@tYb?+}NkFVj- zUaz-d{RV>#`E?T;KQMOiR^0!>wTSg$QTPX)3UQ_SA0HkbZs(T=tq9$*1GM&Y+qNxR zf^>Ct!@Rw<wYAUQip-fdYwFb2)~%pJPC|5a9!{;=y8g!MZ&`c2)~^e(HCwlOt&WaZ zq;|S(cMq$R0E-#-Db}l>rb@Eqi1~0IGu<p|cG|Tk;pW%szxS$T4}(`_LRK4ffq~cj zeTJq-{oZPpYR#QB>ym=k)}Wx3SEeN`4bxn?VuglTR&UngMT=Sj0yL+5XlXi>Fje`4 zSLMs6MWSX0)nqqxUSSG*qNT|<gLU<uJ3(!0QXW2fqH8!yMc?dK>HfN};9Lbd>Spp} z&|1~t;K_D#J2PMS_#EDA@<p|F*QGG8sZ%a2G0|M<n>6{_k|j$Peo#^bftQ!JbMnp8 zTgfc5Kr8W7i|`e(4_Zc{^Quf&{Pwq8nb&MHb?GL-yRAA?rx{N<Hm}e`&GXN%udnOw z%032FPA@=3+KCe!hwo}+bzf21mZ9s_T^2ZzZDNM7;6@j%Kra`QBYbO}UtPFxp}ob) zDcVC+$f~&s1irkKcqx8s|J4r<?);f8d^tF{?v&q7P2<$XHD9tTUtYeatNnD*oR){z z?<`snVfXg(ylW<Glj1=O`4kq+2LVvICoeyL9tg<Io09%{g^iB?>BEONFMhYh+Pb>^ zu4j2!NrY@@`qi6OEB#h4o;-Q-;%6H`HB6sY=@}1qH&<sz7eNa}VP4M6H<lVKJvLPr z4zlGOIiaj}P}QQdUsc+obK)^&HBg0r5Cp(Wc6NeJ!r8cSB^caXaMQ%ZM2pvZ^Q8+J z0_kZtW|peW%}l>Cb0$xS+p?*isS%Q)X{S%{v>IBgE$a<Tz9eC$<~D!b_X`)<%Swvs zsv49SzC6DCIl(6X&cz!C=T-JvN$oade}3d_^Uu9}peu}-KZDi*etHB3m%w0=|9yw+ zXBTbSkRcF$sdW7cZEeXFr@|uRpN6(*W}GrmRaJF;@8kUF<fgM6H`9)Ecu$F(c1T#~ z`W;X|p$8Q1O^f{RTUc5Kem}|i(d44D`Nidrb1yZW5t_Jo#gXMZYUCzMvxqP|@-Ufb zuiU~Y!oa4oAg5)`3kwY{=0h!(Yz+xqNm48f3=9qotPG2If_b#wvgt5|9bIX}?Q@~v z_vT9}&w9_>bv+Q`5tVR%|B%=0zZ{Qr;Oa-}?i?0V^wi`;WS7lmdlkFua?;$F%jN{n zI^ExT%ebQ0oq2Q5y+TFJo{!uWuXrxsHqJA@yXMaCdn>+AezAz@a5q=^NwrC0*GfyJ z-rYX**}bCa`ra3nJm1rQ%-M73$l+kA3w{}vWwo^*_Ij|UTP%1Ma{FAf`S<qL3mY;! zJNMMsl+~s4)@PhxXk_py)bDGny5s8S&KIA)NH1<8%gvjYA2pbz&b_zmr-N$nPY&)i zrqxMvV;xUc`Q%SG=l`=p^v=GD{Z?NV`8%YZG5WqGAiAwAY>_zQjf)>&x|;rYdnZQ1 z?dIj&#bvSKrzURHe(;)wTmSiq&0HdzEKX~_{4Kn5|GW#XuY;DGNgwG;`pKcz+bMK^ zn~|XpbG%}cGtaV*)8;jG2y`)9Db3zjE4H!LYpV%sP)OvCofoRy7CgNcA>GIvH}yHw z^fqxTU$Y(F9`C-gPi1!STRC?ZTatq4%jGSv{H%o*OC_j(vh4_c_3gMxWTT&m1IOEx z2SRnKrFRRKvkA3+4BmdokL8Blp$#)xSg#-6QzPHjG40!vS1e_RmlayJH`U&4h-$oT za560IgVilF8%<>a*4rwXcQ$RmSQMjrVVZg}f7`A-obo{z=6M8Xo?4aM^~YmT4!4fp zmYrG;4{5iby{v4kzrnl7pnaMYm-O1s=~oXlIQH+A>(E_PtA8r;^)BtZ_hoyMBr@b; z4r!j!mFW%%HsC*dcIDf73^7U3{3<nS{>KIR<9*aqf~URlJvPzAj#F>zwkI4NEc}}D zrOks~RTK@%j#wS=oj7T7%-jGzCli|sdp@iX%dp$-z5dI|9bWUbj!(GEmEO3o<NtQu z%<o)gAqVXy)JVnz-#ac?!Lh|zi0@hdo1%}u9lt!iec<pzqqpw72Ohg_pY>?B`sxJB zE&E>j{E6PQM6}?_Oq2hQPZ~OVzBuobyVB;#shwLGd&IIf91VEK)&A_2`<z+kDWcbz zkG#y?F?~1l!QN1#d3m8L+}4;a7ZG0b`{-x$KS$=y3U6k26VL8;vv?OWmoe{lYo%#| z!HwBXnE^$srpjG6V{&|Lx~|7@$%0hR%h$YEcV~UtZ16t*Q(o|ymzTKp3QtTtu-IUm z!KMuc<sVCQEkB;{m2b#1_<g!|OU+MfuZNbi!sAySNw{9|#Y>Op-MUp<l%pLEZ~r2a za=?S(^3D)<$M)@P4{}<UH14Rmx578rA@kmMqjS^3B`)3L-MX1s;o&CL<yx~R+U$I= zHcz|HTB0%gWV^?QXGMSecU+2fFz@}s?y){9soMAQfr`BPt_NPHtkx~?Jr|*^?*CQL zY0ll$i4U%rEM<+!aIxAg=GyYvy3*<TnZmiNU(LFy&n2WF(3rVz>0$+DcE%SkmtFs} z{h3mE#ibrcV|}d~U48eL=6+_*zci1v%w*?=2d}1G+2MEhhJ}o?SB=K=#3{KapH!#Z zn)E?_lhcn2^3@48mrfeT@Ns8eXwb?HE=^*Ne$XeXvh@07&&<}7DX$pgzH8U>YIm~a zmmb@FN!~VM-`|=FW9`(vD^)}PK9ybaFjSUTQGny1@!TMPp}f*byY}2}{=f5;L;aM6 zZ$B|Vys5|kr|MTyMb!%%&6tZe(SKI#(@)#7y`lHD!<{p0XU}P?&wTd%_0o+CCcWaE zGEq>~<?x&}(PtVC=~-ys?b2wT?{Yjpe^wUXyMwVhA|DTL{WI^4_C42Am(Q;())1U{ z^sKBL!=Jg+?Nq8*=kO>q2?fpP*Sr*~-?!h|<)xeVo16(9LKB%+R^80pJinn>;_^B_ z$@YzJx^6Awah_#S$b0-(9n;LE^RCU4S#)pzg|+kb<+X2Yj&MA{^=6fh?at`3*L71b zILP`eF5a`O?8v8>-Z$F$uUU&HJhyK=vx_^Ar`K}bnqL`8$Il;%m0zRo(wn$SDs`og zkYPi<erBnf*^Xwzc>)S|cE|i)x+3}d+uk5n^Zb<uv=aB}+*}}+v@Yt<eZ|`1Gr?!L z9dAtY3Nkl3HYuX0@J=zuiZX*Uhwm{wo2S0#cXr?RoTH_-zxFZ8*-2|f#kAhu;l*KT zd-L{<!w%QK=^Q-B(!uuh>5kwzM-T39*}SaqbZ_@Z`-z6;Rct|$Q)~G{mrttC`rw-I zs;_nblb>^5PfIje5HxGX<)2p1i~3&4fBatg^47$HkgBXbFRuOCn9?)jyw0}Lb^HuI z<t`5wua*m&dtLdT(H80Nit*g_`u>eB5-bACg}<3>Y`Z+^cir-s#*E9Z-qVlIaV%!r zZY-K}`lF-y*(-bbPd%6&xWlcZn`O$CC301V70<eN?p(&WG<{ie=q=#_yZ5(dY<e<N z+4iy5XBVl<QnoW+CGm-vycg?bz4-afoXI9UYiIXY*oPd5yp^-9($FGbuk0AdO%Z$D zzECm#&%1SmE~OpKK04njYS*g7U8(#Bt{pFuohw!9_+suJ=|yU@RdiNtOkBIce%XQC zjVCWKR7SH-+?aMPf7h0cQl`#7eux<6Wf(+-&Gjx<cli2K<OP$r`%OKb?Qw4>rx{s% z{x!Sdw&Gnr`<K@OCwEzdt-LL2vm(s)`^u~Polm8&DcQDaQq4rYzZ<1~E}eV+#B}dw zR)1lkBYy<9J-zToxba$t3Zu7ljjimrwqGeri{<$~@1H9q@j#1nfurIL=^)=Ze!u*l z&bM7v<gTW$ls6`A@k8$|x6Jj}^)-#ky)OLmnJfQkQT{o<&-X0yU)C%;XP^HiBcPG% zflcb%W#Xmh3cj!k)YZS*@7~|Y9=&L%%r~FE6PCN(;5@YR>D9BpmHegr)+X{Mf8i^u zv*q!TD>>u!=-!HNmtHJka_(k(e=gy_$x18z?cdMd5LzCa@t6I)hqi!cG28ju^Cuni zow3NqrOz*E>7$bcGYlQ9-Arr#y0)p?Uj3lplNxR1eM3p>i1dQnhqR7XZ8u=udOu*z zOwaGzK3w3ExXBl%X7IMZZ1!B~$Er6j&Z-nmyYSSxW9gUAyQR|3G+b-XR#}xE6TIwM zuCcgI&f5Abk-MgGEsD^;Zhe?7XQw$gyUq`R?Qe`%+bwHre%)C**?se)*<4lm8vHIP z+qU+<y<B)v;pXC)iPp?p9MUJPt!4gTvU4rVTjqE^v!G0YQ}(t(%GHw}EHsstl=qu+ zdNK3;H3tlZgp}kxv)1p~d?MLU{ET!_>SE{Z^Y5r`RIOh2>7HGV#N%Sy{L{T(%rEy^ z=U&=eeDTbEb-_%}71Gz6yZE-pz2J&8GB}{YWPbG1z3aaH4-0o~t&hp!<5F`^U%jGB zK-Z_?lwkG|3Fb!~p*;;QkG?8TKE8pe*vtBVy4ozZxtm{FupD`0{pa^_z6~-ZifN?= zdh#Lfdyj4UQt`8beL~AIpF0gA7h431rp{;jx4E!k>W$l<-tS4hbkojk#{Jk;{4(4# zBc_%Vo?={luI=ozvgPb0376d6J`0FNaD<CZy|(gWa1qZ*3+{z`d|Iy^YTa{dMZwuw zlYOUivI;)B88Xe_<)nR8rSBj2?+BPW!S@uyv+X*Q+io6sm-+nZK0}@+6JML%`Mz8q zIcCk3b~AWxKa>4d1Cw9_`@WQW$}DkKw;VG0@}5nY6K!xKM(auI*1EKI?t>u{e@^2O z_H|&j%{}pMx!o79l}niq%-QkuA8Y!z&F5NApDPz^zQ7Ro&_|>79*eHijHT0c^z$}4 zUw?SC_Ne*;$&-CwqF(Lzz3cQp$s9IU`|T&y#HW9(3==)vl>cgv!fF35+ZHpOg*~kE z?j8Ad)G*+K#L<0g&Z^dldX;pZ<^9BC=(godz`=)4>KzxH6E=vO^nS&r$p%IGUsw`U z&nsWB7rOOPrdHTj<?~`a@snrU4jo!_T}WZB<=uq}$}LJWj;*!IQv7<1kIiSvsz)4` zEJWfTZb}OIxke&IEI>>3(glMJmG>W(Dz02C;B;N|a(cVU8vScuo+nI_&k&vPMl><W zbIaMf2YhkCv7S=XLIYM_Tlz4|%&z;i@mcqOU7u^tFKAdhrKw8J`=Udd-nIC|@a>cO ziswGK9p;?UKh>sZ8jq5$Gn?MFYuoPT)$hM`;F&u6maiJ=`FjhG?&Q0$cFC3U#x%#9 z>%QH4*3z_IWUZ;pbL}eq1TB{G>Q%?`!+ZJle*96Jxq5Np^837Jw7(s={+Vrt-H-dT zR9KFzlCb#sWz%F^A5D%oUMC7PRdRN&npS&%=X$di15>Vs64%Vw?Y9cfT39B?mIb71 z1SB-OH@rR6yQEIn>`C*ETXCP{WlImSOuqLeX1e2BuWJcc>nA_hr|?96o7w065sP>2 zJCe`2`(^8<Oui1)o^!#5noe5$%-5?jSk6ga$u2x#u=(_il=lZdzx0-oDS!JUca;~5 zccQh{{69KNPd5Dc(fLZW?9=`7GZBSfAGzPFVmP>aPjUH@J<3d8|E~Eg`OUgy^G4?# zlAl(|^?dVhJ*MUt+ni*7#aZc0`VRN~@qhGp);^r*pgpOIzkJKycPcgqUVN&az|JLU zCt|c#@4ruF<%W+j_sW?oqNglgDVwytEv->sF2B&kj3IQ7n+&_J_~L61-uC*w+MST! z=Xa2OPP$`d5|>40i}KpUT?zWfHZ1<|>Uz&sCEmtEGD`V-lobVC`p&M`WE2UjcpNal zMfFE#kzFFs->4#gE{7$O@t^I>-g7hsh7}9nY+I$Ye3#$DPgSRX*|2_^J6UW-y&Lz@ z@{W|?*(*y9IWEXuyY$5I*rNI=DPO~lG<b^5wqCr`)$Eh@;rNT+>5mP}qK-ds^D9Z% zlwz2q&$Iof_OVS{xcK!B-+VQz+VrSQf-=YAMVrN$H&0exsJGCIkvk*GkXuM$-lyu| zCk~%>tJUr0P<k(VwSAWC&vIjNT{SyFb@y*#3r_?y?_!#C#PQSGlxu=cT=I(V@*KQ% z+6_MWbIg%GXKy`i<|gLHJ<>e??rmPb_mF$9I?LQYleYJy$n^izy>>S8&bDm#UiBTh z*W7!b#OFR!ner~h_SwVDo7T-)c6HGgr_y%8b)3<=qEDLnZvJ<7ztroBH0gQyV!ITc zbxB><*!kwm`48v+O>F#GwfZPSX?gmRNT*)zioXY{+#8xX*S-~;EBYz--<$J4&evxB z6MS|>zGmCrEuY^x7xtRyNoGjiiSKD?lD+(Y(oP$@`>aa?W^7*88gI9&a?8mGTej2d z&TgA{P5bGmXWeGOes?l{b1qx-zkn~{mo)R+lTHyFz30~!tuQbwUq4e<)3QU_^+4&5 z6SH<t{bu@or@(^gilMhdql;pTjr~LCX-zCof8x6%yK$n}clVAdcjl~n>$2wj+2X@b zb$<8T?(O!iipfX`y|mlZa_@C1w^-R#TybZv7(TAvy5zu-6MOgGm{RNSzCZmF7thMc zdxRY|?L8RZsbrP^%l#Tu$uRrpo~T^DGe*5kG4J-TJ>7d(SMsijW$&5I4(F5?)fzp$ zu)sh0H<SJR&D<`f)y@0FEPwLn)N1}s)34t5YTA>JH7e6{OWK<_I9v`)dH-rk*j#?` zNjtw5Oj@tCV~>$u&}Y_i&Z8oc^Zqhv#N>2tbhlkPhuwBv0;k%q2WuZXoZKNGFl)Yr z(^j_sx2)1~*d|_zQ@l1g!gX(D!loTMOokGtFGR9vmVZ)U=%^RrkXq3(@hVroU6sy_ zi2L?T_t>xO)l!P7db0D&>QGs|dB?WT=(b+6WbT(@xjXe&T`L)6E9KwlY;6cTyU5=C zNnZHPf2-xDE^B#cw!drkh8t`0mOa?`_i@G39lV-H10yT5+YE#j?$p)&XUM$g2`k4x z$CW?lJnC_-QQ*$i-Pxm|egAg0;mT6xC6?Mvj)h8_rRq%!W6v0#+3vu5SHB{1(Y2M8 zq6g0$71|Rw=c`QY+^v!ZrG?GcL~6w6FSsC6rPy$!n`yCTSNGRqPeze1Z!`D(KBkpD zy=YF)v>n#W>e44aDY^T_C|aD8ZCPe?;ZD=l&2bOCxYO9)S4>Y!{P#8e-l+?j{ms&R zlPA=t?U|=<^wxZt@`c`|6TE$8b^f1MImY>bY3}2Ft2_>V+S28JUT1rdv5C;G?~IMp zFFOdwD!*~tn89eYeqxE)sd&YV|91~h7oQYsd^1RSfxK1#GuIm~&J95lf41)J32=R| z?6CCieGSVxcufrIV~rIGA}>BzW4vUyo%o(KfqeyYFO}~X=Bi(i`8Df+`JG8_uYYec z7b&>0<VtGf&p5YBr<5937zS=F&#Aa1koRgYSIa8SUipPTY}@iWZRh`-vU_%6bK~Tr zndi<0e|4VT^3#5P@N*YoL#Du40X1@^(m^um<!m$c757~#xb8M_+FEPns#T9#W+Z<) z*PO8An{VYT+sIS8lcx&EmU(Y^+}^5p;c1t0<Eq5AkTd2QDvM73jX4vs@k`B1nIl4K z^81Uws@_z~y!b;Xkogmf+lIXydnd1Cn;^0zZENtRW)4@OT}vvrzhCubhNzxSr+&-q zWi3A*c22Yv{jxzW|GE1)_P0{+q7UEAJi61c=v(aW2bY_97hCRRT+u4MH|Cy4tDaW1 z*k<k9(q7dsZ%;1!+dijJJZRSB8?LUa?=1H@v&Po?gBsIC4Nk-J>{qob^6qj+vZbDP zJf?XrYjeT;Q*&>{>7RdNtr>lCmG7;>GmYnaf~^dgrsdy1V0^ytWJ~|QS)0ztpQ%r< zYr6N`B4^tAiC!19ChU%$aG*ZFWLu1?yvz%WsJE|A>HOZ&7bSe@(PjNxZMnO88BC5` zmwfZG`|sL~>2c56F3H`PoA;>DDRHi#w!QU(q`IXl@82ZkT#YR6O`hU@l>J&~pk%?c zibXwqpCttLF-vco`>y9L|NZH2Bm;GBcAltOYM$V-bHVIgi)ZcHmXyEigbJ6?@r_&N z3PzZG;f;=)n|y1b{@>UmL92P24gXDkeD>Rk;|tg9uCHQwXz37Rx#EkYnA@wGh0W8L zI@Vt55I(HCG3aV|=Qrn9ldj!1F7W%m{)H>g)g>z!jx}6z@Y{MMQqtD@qfd(duFcnO z%AP2F!EN}vXyy(9?X`|kmYe;w`|7&_Vit#{O<ESGup!ZnZ_Xv=cqf)-4j-G;Jy%^e z6i&aIee3wQFQ!tLCS0}*kv{W#LCCyX-HW@5-#wb{{xRih`QFba0g2O}y9(r8c7FS9 z*~;ANiEGLO4y>-eyzrb($E+P4ujaB>n5H~FQul77s%X|agM!Ot>VXLXGn#vf|3~|k z74UN9Onb1>f4WMnk=BRSz~<G>p0kwd>))90eSB(hr<ncqeg2HrlMmKySp49XG6VAr zJr%x->%aZVox=U@%}3ia{#n676Q)1xNKL78Q|<jU)uwCao~GZ5k-^LUy_@yz<IfV? zf+;`xmM#&H2o;p#ijDoAvAjgV_SQLr`$>(jC3c8j%-JTkprhIRJp)%*jHF>Q=PhG~ zDKE;E_c-2+S@JYNQ8MePfP6CNixsyt)cXXw_vDH`=8E*Z=TPwPpR4@ND^va+U!r|? z{?~t|kF2&8=(=27r}8l5^@^)r3w}SB{BqoAoha8bf#Wjwcd8j#$LWJ$jQ*V;VVmYl zYP){PnRZjKLiTxh!RGlj_mpIXBUqC%9w%5vK5UBmX}9Qf-4tbBuf?@oN&g&=HAlrs z>@&IX;+(vV**5{*W5H49=UW{$JlV`Bx_Oa{jg3;^HG`9LpOiLjmAPDWeszbv@Yn3u zV(oz{X=mnc)C?)P{=6z{deK4K8}Bv>Ub^Y<Rj~B%KAq;YPo5XKKU6vNZw>cOXjpeg zFZ)Itr^>AMvo@vIIlldD`L)VmmgHl*N$*yl-2KgTy9S?xOSWIg?Ml~ozHToWf4c8( zZn@v>wBeJ>gjSI<W0u`JulVxBXP!EJZ0eHNhnd7;3lB@2JMuN}jknaHAMfs*J{p#~ zHLCkZ>CVu-C*1eeu`tdoyK!mBDdXkWdfHa*2s`>urrvkzst;R=bE>|WrZ3Ye<h1ac zb1eBW&n$bN#6R*n>s97uY@5(k>?aZbDx!-!@YW+WiMv->Gku#}8W@kRIq7vW?8wr# ziCp$SrcSzOup%qH#^?B=$8*gazVIA5U4F{v%{+(chg0koSyx8>oICT(0mielo*RCC z-}iU*iMvyG@a<v!@X-3s-$zF0(^hW!m7u(=FVfC1cjAw<2~pL5S4dmjje2z6A;oU9 z_(6-SxgI;0oN}N2(rN3p$Br({wuzElyM@*XZ=6%|De~ikGx2@7=a?pcJg9AX=(M)6 z@SHU#uIy#3*cI_;npvH_9#i6xs*uO0IJkr_{B}8c)br7<Whef`=`UHb-04&6wKKDq z6*+TgO#Q2)HKXS#e>`8#$%5|_T-Wn*sVv+Ub~-$etKqpQ!_!}1{(RLFJDzhS<f&ZE zv#X3R4UNwK?%1W8n>OQ~X5`%^6~C`B&w1X|Q@`@O_Jhvw3lY)vt+(&&sg_opm3c8j zOCn5E@IUWgo)28-_#Z~i{JQ=2toG-BAInB;`Bw8zd0LxKL+HmGo{rw=^yt<<?<Tt% zEBu)HeY*Vj)V;}T9`&n8?q6?ax&4atl<VdSJPNZO%7nZ+JMHQro_{{<hTlXrzrFL^ zzvVC=GykbMoXhRw&NTXc)>?2jVSckj|Lo=y8-2A@jaOg3pVRbDOK4|~k@;<-lWLtW zHMOd$E%R&--!EDEw|sV8)aPev3V%ckb7EhmDJ+=%llL*h2F-7un|(AN$Tc(6zMJLn zC8YH5R^O$Y^S-_myq8rPeE98Wji$KAR(H3*R+}g~L9Z-vdspX7_6tj{)!k*v$xC8k zlG^U~asQRYF~OU)nj~%AlD6D;h>Xax@-piwkQet!>1$_g=MR2xbg#sg-@a7=`X=FO zs@pby+O+(5COf-PbbrsUNk4Q1-n~1&!CXw?--2m3uiP`|=aHQI_4y=a|0_Ry<PQrg zB$YianCUK(&duSsS4Hr%CD$vVe~p@I?h#MQf4qMyE@{}nR4(*ljts}j`;VeSK5S@a zz0FV<Ey=tml97v9*DB26T!c6KVFRASo)&%jQ)H9$HZK&qyII<R=Ws%xT$h}3n|RB^ zcP(7`Z!AR|pW1J9FVc9sv}1CDz5e&T6Rhr3pI*D|?2@dQ-Z<g4Q$2!Cs#Tv>IeE{M zZ3gp1=1yjL)r?mfztt6fEcO(9+RQHK7bK_3Sl=|S&v$8NYTC?jsXZs<J73LSusr$A zjg9u4oSdF&CsmZeAmi1I8QwKdgj8%8el{F#n{YkXe0P+>DrcdUuT<J{c0Ut5YLj$U zDd6hnj_Hjjr~l~?zsSg!<G^g+#K>*K$vW@I^bfBM8r6SJ)c>erqH<tK;w^)%bu9t! z-!wHVUNPRk%g12yTUU;+yH;Ehzxex2pR9O-n~RH!N_Wac8Krg!84v)kC=@YaW82l( zXl-C%uwgOB4<@Evpam2%NA`d3|6Bb3*8csve|~?i{<}^7_4Qvn>sH@ym!D;I>S5@F zrYVaJvJ5^rbJTS>9y~Bv`I8E3WlNAF*W$ju-@icM2N-C)c?$xKFTh7cEL<u5`O}R( zX8QTh_f}R`Xn_`Uuzy^)S9x9etGgf0?cKdO`)!1^we|J9+c($BH;HmQZz*!xv3$Rs zgZ%;f{ri%+f>@tcG=+FNdRkg8QV8tRT;8?Y`k2JxQZNt&Pt?B9ICB;RPJ+S0mB-)i zFkjaj{rHZBncg~%=ZCfy=-g?mei&w9!T0F)?`!*Z=D)su`}S<BlH%KWd8?yeAKSHa zqy3?<eUFQ6ZTIPHpZ?w8t}NTbh@cf}I^4ou-dvo=4{eG&ce>)m%kspMKEtv%7Tdvx zDg`nebTgZlyLoSp?(I|0Hf<~w$zNlBPx>wIced)}`#TOg#uQ(_v9)|{?CtE=w`N(D zTu+>xcQyA3Z{t4U%6W4%j>b%XzA1Lu>7twK`t;8CrtTDeYU9|nV9tUS;3?RpU;tjo zw2<?BOM041X~wi!3s-TU1y8@9YD<}&<FU+YX5M~A=@SV*wWsgxobcEvrtWl}cu_RF z<PC=&d2xf=ktu%|b{;r*tx4o+|Hj?Fqa{G+!bq=naP$EI9$(q@w^I)vx^_MF;DLKr z@0w=q(B_?bY=WCtGuy0R^^Eg2MT9-uxaN%cr>JccuNFnQolcgUvoPK4bm~kU2I(h; zhFq39>b*a;o3H-xxVQ#%(1z+Cp}NY-O3uA4afkF0r%&)c(YkEk)~9(}qhE{d-nxC; zmMt-B!?LqCMy;N9?NaQ!r@Ld;d6(^!$x{tnSu=I@q);vMJ!}daG8Uv?`{kv#b(>Af z`ZH6{#GPDsX6p8H5|-M`ZEITR=^Df_ob>+kWzCsLg=2k5$4+^cyEDrko&I81M(hT? zFmb(QH&)tS^;;YK{>qh*Zn4a6u@`J}Q_qS0S7MGo#35=h-Cy?1({3S;iYTM0aTgrf z1eRSoW3=8!+nDpw^fM|;m5M)j7xWmG^=t*L!ku!(JLhWYi)FJ}gsc|b(lF0*UmF-G zAKKCswa_etd+9=pdGqFdyL{PxW5U(4)QyoF3W}=cMl65(#LCk0<=?92n7E+0nAl)< zy(Li&k~<A%Wht^=b!Dn@bA0(nnET6<T^k&Oe)@$8+u5915<Ky7Y2DG)1{=fHfG)b> z5(Te`X>x*Gbi>#LIfrP$%4(C`Z7Vx7jW_!E_;|m*B(!_Wk}a#MUo2bu%FEMQGt)O~ z@}xUUmV7O}X{P+L$K=idvCm3}>>l0|Ul{Q4VQ|f5<HiY0N7YRg_nvQ=m$&MNDt{a& zn?h2<EWXE+C+vICANRahZHA{@d`i;H@Mn`&K6&@<U0uWD58#ZpqG=)s2(~T)0njm5 zN=iy5Ulq$T_}4l+yD5g<b&6V{tdX($pQEdSl0X0#%Mr(%&h@YO%1`}!Aum7wTKd<@ z+KBmY7uR06(ry}%7+KiyqPboCm%>7J9>Imn<%1_IKgB;+UEM2k%8UsY0%oY`2(PN0 zuzPoM`TskUt1IH>O+EfJvod1d*~7(2{MSW7_f|0Z*1lNv{>_V=xuM6eR+dJ{%Fa#f zV^@$bxFPUq*W0vkZc7(ab@leOn|{t?|Ml~MV~aqGSwr20%a#(_rz=ky7%r4xH+;U= zzrXG{C||$pZ*Fd$Txity5xm&$*|TG5J8p^OW;xhB*r52N^!C|xVjZsxTtn9@?s~t= z*ms%axg3tlyJeeKzB0~uwg3Lhr(Z54G6^v>vfEl(G|u~H{o<vSrGEn>hXR94%#8y~ z^FBO0$SCw_*V{N<kzTcHwmFw`m_qNmOl*E3zvqJJxwE&gh@@wv_*^)%VEO*e=|3gb zFcyC>(3di(6aRAMfy8O>dX$ikP7qkg0A55fIsOy(tX&t69zD8r@r_MFq4^ILHf`9j zVa4I8XV-~^T@AlBi+{#}H`Ssl-rV=s*L?V(uB*craZ})vSpy??z=73&K2EHa(A#rr zw#}tC%nU)UwUgbG-t_HdFxJx2(u!1FtuWCe#Vswx3k0}k?J7(cxoUjsBxiL|Q4tTw z-?Lw=dTS_e->2nq;`O%Oq1PY!#hT3)*?e-tk``Ugf6ZW!@haki=$)oD541HjG$dBA z@daOH?gmvj4blQ1*8i>kf6e}T|KH!gKi{rfEC2fWueEjS=ilG16yvFzbm59hmCN)O zLcWI_`(FsnYLRPHTIo6?O692c%y6rgnKCt+2M?@d|Fg{g%{oX0r1b%GBHBk#_4D@a z!j;lrBld=$zk0iB?Y&jYuLqtvo&5RE9y4%7<YHqZw*F}K$FM!U?aTSzzx#Gqw)*?M zo#o}XuIk+gV&w9hz?2hZIrV4Vz311LGqPkId%$X7Fx8@C+0>OKJ+jF?8?DQFJ~H+> zS%X1xZ0y`ur5UeEN=kI@dOg2%apB7IpbF{i!j<iNcCjc$G;#$LF!BHRdEs(BzwKRF zM%fgGn+Kd&xfF!$HvHC9S683@bkm)7HaWL7riSNwZx?3ANQ-|jELe9d&q6G(wb}dQ z&$@(_)7#VCznABKFZG{3RpLlTV&0+Srz;m&@!8(}dK(00gF!SH%$~Sn)2hv)`D<3a zGVjRN4*Y6bnsIom<CcrdqQB-i=U-4hGqrW%Ox^pRBE?RpNXhB7@KydS`2Q_$<{PFC z!FUVn<Ps4}Hcx}ex9)9BKK|}q78qoLK?WFr?lVhIPg{30d)ut7X}5b!jkTjs-`lk9 zjPC8!oJdLbi8-pfQnb9yRJ;SPp1cHJT4ZSQHQYU+CH=Sb{%=|HznR@Twz_r}n+YFp z`iqx+vetEmhK3t;rX4+W=+L2}2=F4I(+QG1(%Dx6vKOqn9uX;J(h>i9`m1;uDf@P| zGY5EV_rEFc^8c2Utn}yF<i9t%6&ExMDT`^<oGG5F@bSlt=SqPLWp6=C@Sk1<gI36q zi9ONLZ?5eERYftnNvoIUt$ny^>Z)~H!S&Gecbj7MrdEe)O})jy_+tb6Z_)LOewf^Q zo&6`Ab(hnN52o{u)$hGttjX~B{VC<0E<S1xn<_sV?8;fV+`8<IM6!uC2&`rj1B2ES zqnSQx>%prvdqC^KWsTF$1f-vt5%@K1XJ}~X?yj|~CT%>Wu*xXq#09Av7o5(Q|5`o$ z<Ei7no~A!sYQ&x0+_w4kWc{z<`CpCqo$}dt<+Q>2dC!ygTsd5!b^L~5*&7K^L&z`* zbdwz9>Z}Gw&;^yQUm<tNN!-4kdR@qi<1ly~_cAU}h414d0={`{8n|kka^VVS!Q9+0 zo^Ie{=2Vs}uDv1$x`9setzve{5l5Y>?i-7?uF$>Znin|HN~NeXPH9?(@LCsL!HXYU zzjiNGe6qPh?1cD{10FJZskX-?9v6cX2ZwZPOUy!Dl_Okxot$KkDwwU{<>KPvI+T7o zogw(7kJhh(C7u~iCr$Fp60)k?vSg~)i<fdQbe8f+Op%`975U<2#7RzXxnq4w?JHYe z9e;O2*1D`*goA_QMWft{=g*%%um8uMa;Tk+;lqcIfq!dzY;A3G`EM_-wyL;scCpoY z&ak_OL%s@Izd5(-^Sd3^)ywbRyqH)TCL8|z>P@S)eSEL>Mb5STb?Q~~x?P(tg&NN} zrOwP2Tl#N~?ehL$%bv;4|Ga!@QCTJP{K=D=bI-vi?mbwr@;~qDb<S7wt-8$2*7W*j zCm(iP6PaD+8~yp(l?|q*uO=*8x^Q7+W=2NFzuK=e7$O#=W@yNrU7VVsQM)90!Scfw zLSDT5<;r>M=w*?rD(){Ox~@(lB2~NUocxd9kbOQA1SCzqnlJn{d&0ztH;vZzXe$c~ zUp}^G)$s)@53eyWkY;03tKX1T`hZ#P#Q`?GghqbJ4+c)GCBht1p5~ciw>WLTa;;Tj zt;!PF<!Ss<wa54IX;3Syva&F8yZ7ruyLJd%RWu71S9oHhyJYgGEtA47c}l(Hu#J^| zspPw)eai&COAf!>f4`7ByV(DQ?3XK6H)1n#ZtCW7-@bHd+m;fM$cS$nVk0BO#P}Ya zic1U+yERqRq;%SfRco|Xt>)P7m+163#GysN%7cMrPIGg5Ue1Nfy(`Xny^%=nk^Rls zx15!Sf&YoyE)TJrO5rM(W=xv2Y1tA@O&M3dMOJrQ!b1NBo)b`f>e(cC#b)Z&;Hw!@ z+P2N}-W}`bbI^-1*l6c7+hF6L<Bw18Xp`9e`~S)L4<3K4(3!PHZOzH-OS5*U-9EBp zNy_vZ&(2e;-g-H0@|>4qaj9>G^6UPd4dx1VZ9Q>6xAk8#xUaT2+{A~cYG0pq;spaS ztry~NH%(a*ec`g{>Cn!&pF;7EN<D2l)i-XkXA1r6zCizx>zeha-+gn9OWDz>`+A-H zBj=iqu*6FmQBVEn&v2Lh(i!%Xna9y^^O+?pP1gHp_tvPb5&6P$IWSA)@~JbI)PJq; zT@tn9K%ea-?-gG<%IjpArCCH+9C;WXXe<@F#VEqSVK^ma$&w2;M(oaqj2+t=GrA5* zvM~rSBrvcrEaoZZQ7U6%{!#e4`jzG%Vbiw9p)$X&Bx@deag;SAXs<G7TA9QvyU=YL zSVH4Gn*{f1T#cBWc30bPT0w#SyE73!6^kTmyZ3K+l<<`CV!wsXyVG4^AB0RZ@0K3h zdo9&z+69e!&x<!Go?dX2x#XBlyQ|F4wHrDfBzzS;y-MM;l%&YRMrHA71{doL_CI@n z&0*T+G`E|sHzJ}ICD`%=%zUCX<DXpb!?i^$GpD>$PWGJQF=Z2nx7HQcM1jY$PWBgT zpE_M%ki)w0n$%yWqs>A=7XuEIJmlXmt8!(>nyv00X@Ln_E~!*}QvC3VWBHGgu!zuY zfk$O7@!hw5vgRuvLv?oW)P^0wJ4`sQO?oOP(RA%u!6wh9Rrg<I9{+Obj!b2m#)>u3 z0-?X>?ND_U+2T^VI@4Lx#@_$yVbKIP-SyKn^iOQuJ(<r(Vwt7t%mo%Dx9VckmHiuk zsIa_Le5d-C$M|}wwqAosnu+nVyQQp^aT{fdey8?HxGnte?d7WaOvJ^_TQ>WfhjOIO zx&_WleqFHkU)FWR`bOVw^Xfls>ieuutW&&qXLq;x0t30c<tLk3{2K2yd{CRC`XX2O z;8d@*pA>e;9XamPf8+q)nv>`9;w4WOm85(6F5S1!u4|2M&ZPwR>C={n>?__LCv|yQ zip?awzxE}zsk*^j4eusbvEED;pET#$k((Q{qt>zSf9!tcy#1SFks(T}cAUF3)w<Y9 z<5uC+n%xuaj~?Jl-|u&}(_u}3*Vd2rwM)*Y?_V9t%heKF{8Mzd>3>zvlFZ|?=N-Lg zAl!az0t5HnY2Gc5Gu`BcExVWPOZwq;HSG0`ZQJ$d$sT8sj`XqC-M377|1X_|?Ht~< zXQq94@@2V6dhp6S-y1cjeNBm&u$?nB|IxDr=cA8$Y<d>mFL-P1^Sxnh3J>b4{@-OO z=suMadwQo;;MA{uJx6$@WWz*4u9nIk*_ayT`u|{Z%+w#hO1{0d6k8Sac-6-3D!GrA z`)N#-5D2|FFVI_|aNX?Xp*ud#tT=u7Ny5f6VXA!<9sP0bIlqtY3QhW1wmG$-V`6C1 zHp5NrI<GFtmdVdu=*MWd{KX%hYif6&d*rEZxu<ph_2mEgxfVuhwE}GH6$|ZuSU=@B zId7K4{G#hwdshGJFf^U!9Mk+SvE50-BmPU;hU;dxe07R$#MVco+3#eXdF}l3>a4O_ zh6AS)vhORobR2gy3e%mvxox4@tqF&DJk_rjWZpj7v{Po@ED0Xg-Hibsj~#lXwrI9P z<~;Y6KO~II*Uk%);oL76k|MazG(0Kez1QlzdA3{@84nJbi``_ln_Yj{FxYrnm8H4X zL1*EMp3M)ge~8>I@bKq8sRQBGJJ)->yuWX4z59w|54@EGE?+f_ESy>OE8aSdJ$u5X za|dEG=lMT7ab#=UoatxwU)}s}-ru8zm;V&K>B!l-IcCpgsq}vv=2WKMSl4;-uddSU zwP)RJEaw^rXkF{`dRI~~t0yz7B5;0QUwiB3_z6=&CkODm2rbnU3I1P~Bjj0r_tO29 zTZ6i8?LK$K(eO<qWBMA!?H8t5`Bb!<1ofo*XkIgJ=6U|baNCOhpB2q7La#6sr&~^P zQ7JEdmuewclz)fC|I2Nzte@R!OWHMi-z?fOQRJ0TP<HjIqd`y78N7~6l+b(J!S<-_ z*N-hcdm3C)g9N!I74jEe(|NSo_1~W!rK`SK7ndKFj`@1`RiOrBp>fu~_F0SzluKWI znNcK{Z-1S!;`6}_lkJj$`Io%5H<nJY+oq!SNpi;wd;0>0T<NYV<IUf0tJ%93^3?3m zJbpHmt*A}&&p8_b0oPMsl71`bUQ6)(YMY|HLok@@RdRaYzW)cK+_z<1D9qwKBmUZB zMQYQJHFj#A$u8>}=Y{Uc|2%0z^%uX5G8aO>WG!N9y3BV&?`qfj6>+WE*3!Bvv7v_Z zPewHUaj86f`3t+-<fcHYT8`2U*Pj-hTUxKHe0P_*S@chbGmS<Jzu(0RUT;{d-!^?` z-kmue)8Y;`EGpt+4lCO8Uhmrfy+Vya>}xM<?$fEd`DOQIx9ToiQRnx(2@St<CElI4 zlHre1)xEU))SmT~FYoidzI9(YH&C{qs*2mIb>5TXY}ZAeIsSAi&tIilwSL9?+y#yO z;&b1xsA=E&==G~@KE;m4ZT-zYPg8_C9rS$IJPntxd&l<r&YD|I2GKkjUM>$~k1c$$ z{Pruc@Z(2kYaI{fPJS6Dt^Lq3?($3~$$eTAnPQgPt#DeLYPB^jG0Mtt`{BhU<`11N znRzdjT7B8=vh$SoeIXhVVH3_RRP}aXkN*Gr+lrSrBlw%1`0VVuqqFgj!h@+r%I`cl z9X0l_CcJ<CE`rNoX)0^&w4EB4ZUi+QD6V#`ZQs7qy5?46<>tOQjiEOh&z`zCMONbb zWQ}Lpx><81CT*(xdE%0EevZW4^*fJGEK$$?pKYsX-jcTH4$t2UDkn~^b(GZX)_BO} zes4BMZG3I)mZGRDzn@!v(en~JqqtDYzUIE@;RDa_T&OOMI4&45vE#3G=7UiCXDj&L zZ2YiGa7~JK&g<#(`4`^WkzJ@a^-t`bdgE^r>>F;MJMlGPSMjSU%cl9?<Xe4yv+VZX z&)4VOFuOIaB}Xa#bAisT4GBEA|L9n!F)Ru)jNGtXBK)1o#vhZ<=PgK$KmO*7X#SeJ zPG1(uZD|R8wM4Qie0h4zwYXIOoLfH^*PYV37ii8m!P-h*IPBl!J!!F5EYCO1jM(j$ zyHGnvarVJT`AHex^&dOGCR^kj%$4{)cjJwTj=WO^9+W)h;+VVmKF87Qb+`V{>)WRy zVD~|_WK*hr;b*nz<|$k&=KAjzjX2Ucuh{;t?b!)W%vLp9eX??5kgr;jSry>Vq~E<_ z-Tgp^Tctj$4a-vm*&UZ12%hQK_Giyg_l65IZWk47opr%t-Gi2Cjy6_D{-#a;@%<WW zibGGbM#=;xeT&;yrmGr0pZq(E*FetnbA9P{?LWT$MN*4;VjWb}JA|gLx)NKug*8ns zB>v=uy+z%;_T908KED`D%vN^!dCZ-m(!(!M_%b-~`9*)e%O`lAq%EmW6tQzwc-&AD zR=;3cl$%zwxWn{I1_96a95r8Yk#7_43enioEOQ^bsfynBYEr~*J(RlA^6iWFoU0T0 zHm&5lB6KT1Px+$$y8kDuSht5dwLX=s>-~Fo`I|~%HLbhGeBCn>4aDb9|3CNKyk$$& zXKS5tpJFL&?ECqbR_W&zXSe++IlJmu_vyv$I=;Kqby_q;%#WOGzZ_(6*^m9XCvW<O zM>+odN7e_w*wA@##d>d*66gFGYp0dYN-wjX%KXvyVkm#3*leX6Ph6L6+j3)O)5B}? zzZrXU-O}{YTcdTy^3s8gwY_JiS9n_76AM1+dZ*_?vLE}`m2p!~hKL?a<$9+!?}F!C z^*fP`KK4lwT0Wu6p66T>KJL7B;jG{1mwr4y^|<=QX%*!eJolW%e~TXQ-M!N<+y3oU z%PH67S8gsjqulIe9d=OgPG0%VH++@}?hCiFP1$d7v|iWw|5@D|S7YU;M%!KP^lCj& zt~R}U@}dc{+v?XIJn#Eu(kFqdS~}bEzb0uoyF`UW#D&#%e{}tQp!CqO_hyq<cUJs0 znD^-A-prWyaW!m9vkpB}`?HVtyQ~L)Nbuu-ipL@!ZJxgEeN)dd(fvJdj+%)GZnfU) zxct^L1up&@cD>>AcIjNd@+}~DZ;g}T9}kt6vjmJy3YX~khJU!O*Lh{)J`3K{QLa)) z8lB~C&dK>!^lW2A^5e({^HRKSF!{(Yp2>M(@}(uV)Az{y5xe8EdTRG=<5iYw?iZbd zo+%Z}hJ;_3BbY2FJoA+2<yn@lsh^X?|MI%!^ttcd*;RG=nxp2-B^rIE$C!3H8G9J) z5Q>U1ePH+dsQa(=`Ms;vK2{v8IQqWqbF8`M-xKaJ#`0OV9?Md^84g?gt-E`j!^ZNd zVU5<cLqUA2A1+T>=fcRcbF+nPhSH?C<TF*$HSMZ87o2)Jvw!OB-#2|>{_%^`I83Iw zoi${#RbrjQ@ag#V9p_A5zUOS(mEp{A_;tC;#P2m)%=vvWK_2bzEL}~0XWO3*T$glg zeSG(U0vCfAQI8FBe93}~KVA`zy2HPx%Vx=U_1>mE>o*5&2^aivcSZN=Lfz@5zj@EK zRcGCQY8<Op^TST*zJJH1&>g{oP4j9bQ`L+Gxy7a0_Y2(o`6}<>43CwfPOE1Mue-{< zD{><5zu<t~pBxmvicHPtIQoS_-p02uYuW2Ho3^c+b0f&$md1_3N8!A=J3GU?Kd$uV zcrwTH%gV-<?Hk_Qi<Ff$dH?SiztiLD<BR_-=vRKb>*AZ7821Zohi^z;+;Mzv)G3ww z8$BIG{m(LPczHQx+Pz=ZMzJp@pXYM7eWWy}bE?F0NyUP;t@q5&%auQBDW7R2|8P@n z(bKh;zh5r5YJVA2y}^5;qFd8Fo7$Da*HX(3mc_1QKd<y+HM@6&;H<?ucaxm1ExEOC z0?VQ`=Uk?Q>O8wDvGC=dX$i-rh3@T&f2Mk2hHdJ7iH_vR_+|4WyaUxv9{q6o*B(dZ zlTAO@A4xB|bK-r#-DQ_g)(Xx(U)aC1NX`Dg^0L3@?9V;!xp+*|=i`bqVFHYKUdF0> z+w$&hnz?;~)JMjM^^X*8Ek3(yi^0#2O!M=j=FHvoc#p%woe?FX$@8Y?t(mQ_9RIbs zQ+ZAxOHjIpMb1>G-dr7{*X;GGhM!LStX1c2UnS$TB0uSE!d#1f&ydbj-|Q=F=jvR3 zUiEsOluCzQN6$;P)pGG#D>Pq6ny2vU>aCfx#aG|b)^DHHA4BQh&WwjGnnKQhi??yk zef{;3VPIhS*25(^sj0#4pO&T0t=srv`uh*6Z09OIEIL`Td*$7Kde?tF+R(cF!l(6n zpY?4ui&c1OVtxHV=)~|zVS$~Ntb&gNw<Me?IQgj|)@+0Jlt~`~yF|iE-hVf}oUm=) zgnTZeeT=Uh0{5KY{d?{5W<Ayk9<^B~1W&ePHBUJAXf><DX$_AQv-R=KSDb1MP6n2T z<XPB7|GT|M=rNz=9k%IDroGwrPWag0yPbz_nN0ZOJZG2O_Wnmz+8j>x&LPJtSuVRN zAIvgaruq3v{%y}a6ILDG(xJPFyXcL+s_d7RTZ)$tvYWiNwqLNSI!jW@%=^)+ht?;K z8ST4!l)-(9RrtOh$$Le%3x06__vF9*s;SlO{`aiJ*K5{fNUI*Tf3-Nq`cdsSQ8NZd zrk>-?iHkP&<|l32DZTySWcB%5A34c7i@&Nk-%;mX`oj8qzq0!NF4-%4Qx*#~=Y-Bt za#mHWu5)LuE#g$qT=eUD<E7_M%Wnxb)ikHxeZ9L*i6{G-jM|Gk>vz|1-+0ZkpHDHa z`oWLwOg~=Q9kyF@{`s6wUoI?pem2sodymDg2YFR}dgsbt2<0Vrly70(QrXJs`*K0- zvn?@m)NEGVm^I5vr2qfnz?}^*c7-3@UZD_Q#(6V%ox|5=p3g5IUaGP=T)^_=qdEJ5 zkeO$_GFqdvC9JnUIL%kEW5?@7lemrd+llv|7sz?@;b4W=|9>`9a?LGFx2~O3)@spS zadok_Rk6ekGr5FmTi<>yjaac~>6hD9_N(glJgiYJ=_r}2elM}9P+o4s?De*nxtv#W zJ1bV*yf|TXwK02m)vu-Jzb9NS|1kCQ+shqZA2;;fhzgTkrrM@AG3odo-?M_esS6KH z|GfXUjPQpWm-k;u`sP+4x6i@Jy|j3j^rJ(wwljtQ@3cRdec@Qaio~Yne^{FR7rt#P z{;=$<S(C8+mmND*7arQDWter;A~x#UEZ(orB377jUix8bb$&I|&IOuG@oc}AvAewt z{C&4xQ)s@r&)0jhNkZ9P&USaU%Q`<Su&x)Gsh+%OV?~2dg8Zbgf}GCp6)9WVj%{>M zh(2Fa-=B4x*KcWFcxRaY9Xq>7ruq)1`q(2jYCrn(67Em^vewcs%;uw9vG?)sA7)3L zVEJu#J2vEf`yvh@n<pYEx90nlo?L(b?bcsYr=(s>e9(R+`jA40SD=a3enp0Lu^}>Y zd@`%1^sW+F^J$fpc!_!S``22B`xo`Ii+^3E`l`t?aq{a6?h3AZikaEm{T3{^a{HLz zg5C=o7hKvHD9J9cAUm)9W1H@b!sDB`6)a04yE85wt=sSP-7Ed#UcPp{oAn$2&b^iT z_pR@#PyPoKGg*rNbvPbz?e7=cbY;b_&a%eWX<fz5bJ(2?ye?bBT=?GG7AU)9#h+70 zuC5HTI{YWAJk+PqO*T$1ruNU~H}@BA*krl;xq-9bop%<?12#Qv_MKgE^s)q#pZ4<D z$%l{n?cY*+Hq!d@)r3+5+l`M-POP{1R~d3HHYqULd)vd}Pln7J*#)(CU1xt=y2rjC z@9&x!M(yXFVwObD*%*H$p7Yl9M?uB%?-l>1yP7vf))dA1pIfu1MehFk4u`4RES`OJ z`kz@i$;zzv)=JYm8)LUkknh?2rSbOtj~YcBXT;}fYd?9i+AgkEZCk+Z=G5Rz>_rQh ziq5L1ycYR%q?gyxys!5V|EtQ9bxZc1-Z^=4Mk-IDM&E(03$9))Jn86{={Y;?;@?R^ zOCosgx{23i?3=V%+qcOiD(PIHhyL7o%Y!AmZ#LeF&b!=MzSBk{ZNt1Jzonz!nD#JT zV$_(p?#-gv`6d_b?_WH2_TX{fBR6{<eLR|-eWj+^R95bt**2b<1v=uUa~5Byx$!pW zn5g5dY{#=(U;fy==Ed~MqSkq;3Kdlm?-TexDQ%5S%0GVMpVXhXuR9;Un7dQAODiY$ zsoPE=zE89E`|_J_5q<J+k3xZ9aLakikVl$wdX{$g;yhH-%&MK0uGp$QbFR%l`Sxw? zrTwSA2>vT>)@yjTd}`@(j`=^#6U01}?%(u!IPHOG`qJ7@>^gJ8uTHiU&bH__yjgp^ z_i?~_36sz9v!i~={INaC{b$W`&L+(#3-;x-e9EwVvB<%4|GqY(E;EMWTgOZio4@n< ziCsw3np4DFQ+(qfyV`>HKNoF^Hr*e^G9`WQ`Xjp=v(g?%z6d;_w#Z=l!FT>Wg+J8e zy{@no>~C9tE~#MYOyiE(TUM9ta9ACr>wS0UIp+5Vf7WeU*vwl0rjbeI&Bept-Z!gM zbT(E8Zk!(SnV;|2-6*L=cTY^@eWPjr=;kV}pDPTXtcc$)%oZPWj=}3?+ReSwXQr|1 zRiu}S+?%A7`uz8SW?TF9UzI)h6$Dpq+H?I3+vO);+e_|kjH+N-<t8)zRf?}zLPTEV z=R(!IBM#U9eRn<kDk|thnC+U`{ZHTI=p`?o$M!$0GpF?4!~Y3C&lbrr<YpPYvA_Md zV#_2(%d<+S<@=-BZc1j=t>Zn<J~dI^cn!-Fleq7zwojE8GdlgmEYsXgOm=GDR1e3} zgvP_~MgEGPW8NOMp=V!{)Uii<4j&9Yr+K8#MB;|FU6=Bjr{N*?j53<b@}G${raCt< zJg{)v9`I=2mMVL0=UFF?IyDAbyyj%C;QAuXb>jwiP2InSV!n_ASLZrD$h!05N!?-n zb^J3+&Rn0CrlC>8q_z4)?v018KcD`a_heg9_+=rjO>gzu6t3wrckPjPY%G4fL-YK3 z4p*J6TEE=)TmE1FIAXm>Qrvor*L+rgW{Vlzop`t6q(kT(@y&1NS3UpnSgvcixlza4 zZ4EJLW+9b2!b^@X4BB3^hP(0bwV8p1Ik`t(&OC8{_PYw^Fpp<fuOByQN%3f}IkRg~ zz|57ZUVJk7)h14J`#mnrV*OU%Q#d#2g!LCur5{PH@kgewiM6YAc&6t$ue8x$_SieN z^WodK?39>bwya(CNJUNk_lVqLrz0LYJD4_EtasTOIh+5$%8N0@*_p)=!aBm+*KaV> zaIAh1o#FNNc~@LAlk|Iw^V=s}j%^Q2@Xp(!$I><_^dGy(%_%RAeRI?2VQ`wRUwe32 zGq1Y%O0UJWkFS5c{r7oK;WzDjWraJt)LZi&BwP_MTD5<H%{lF!iJw@NM1RyRoql?1 z#N_|Ru0rYStX_%UPv<$bhv8ySN%-@}Tq?)a3K`CGTWrbBvG?sRWwGD8Lb62IFX!(; z_N%E3%U83oM*CDt{N)ZfG1KZobwSeQ@a)p2Prq9}Cd~`lmfZ7k|HK9Uho7XeZ9KL1 z2~*wU6=l<kHwbAZ>-+l3r#NnQ(*CwdeJe+!@`vzgGYS;n&QYJ0m$FajLG>g(-J^MH zSj?oD?)(usena)l&!s)@&MH0m*B~enl=AP?nt5w(t-tsCYfs9In^D0GOJ<$=61_)f zMVCq_j}mhm+ttUbC(muGEM=~Ubyz3PHm~XVv<icho!v5%CI%n5zIvgU#f+sh|B7BN z3^zNtW0F?K!s*OX)qg`+HVM~N-wUl!Hxo0Ex)Jf@)y*@#k9B`sGl>)7KOLYmYr5;B zJC|$ybOYb{8-D!Fp8fIb+(o);t{hJ~H?8vPdPm;YRk@jFhu1}~-7}GG^IESzXA4R` zYyPs|(OaL9t{+#gwSB6IPvqMfj!#}FWoX7|ZuR8tJ*-;fYk4kArgC$@C$S=bOY_=v zWjCgo{{FRg8CPX%@@AEp`aJj4oX#;<_4eCq&b`SiN|V^Ll+3O4W*Z#tIv-hDRA?|! z;r?<V|6AKLE;Ng{+-$r2_=>MYZrhI4!hx@z9;iATxck^ebJmBx+h6scKYHnX-^K3N zEzWx<_nC<2Zw)C~FA~A?!r9+QJh!kX;lLgf^S5_)*FAaD{y8`Fc{W3IY4DZ#kMsW9 z)HE$@-o_m~b<45z|H*>;pGc@APTUlCWxn4MTL#aA_x^WU+gbA!h$r7*Z_hk*E|;fY zC+z9nS$xZueY_%nXi{O&nY9cG56Y|ywn;S1I)2eJdt!3wXZBlrdf&b}^f%|+{6cH9 zVkf~Z-@<2j%utfJcFOjN=gV~o=Td)VGjzv!?2ww$Y;ja|@h-*V1%{p5*93h%=;P_; z_q9^eNcZK8te01l&ly$wpZh*(Zs+kmA?J>Hehy>KVgK#4=gadep8t|R@VYilndV`) z_;}OPc>&6s;+lekTm_UqZE3qO)%wrF3Hfpc>6--EXE8rGBh1t1Q+_(Lc^lX3SDaH< z3V%DW?aTbyP}8NkYSYb}>sNXIzPkB4)5n#8zXHwD=d;iGc}#L%udh|I^I3lW=Z345 z!pyRchQ{SqOC>PRm9o3baqao)h?6^xpGoCOf3q~`4Ew|_mnH<s1!wx)zNxlp?o<Kg z?WS*6_#fNGF8oj_m-(J>;EC)57e!v53;Q&)v*aqfi(nkftIvmB=bttfWWBNAN~6%< zWggqMH@nDhip-GH4C=c5>yz6w$8z!1WtxHqVs)<be#%bnc_n7bBzs|o`nT_q7k*DY z#(G8BKTUxrROEx<wbf@*e>{~wyT|6k{t16}hdna<6q<aZ+{x!?tWB-DFz<Tit^9^g z?PB)~);%`g$-r%YcrByT#4U%<?&7R1?%An)?O$f<RPI<|m;Fohij!0BnqNKpT<V;> zpq@beEuP-U&lZ0@**3m3{}Rh6>#e*(F;s58#0)i+zDqIx=bH5y?>~KR@0ZVaXCJk@ z)!do8Ixfk$tW2`8y4oOcTGYNw+o)ISuV!3c_;veMlkld?W*Vvd$1<&XH>tee?6tDh zFM2yWvpoCk7b2VA^KH9X^0aG>zC^k~wvMyiMAg5$gG--TJ-P7Z?@f_FOXt!q2N%IF zlU9CIZr;Xzd6pw{gZi^2qE#Ozd(>7X7;A0Gf4FHu!+iFOyK{2)R*S#+^LmfqEavuW zag&x;pICBtp4FlBcMX$eP0k0YvWlHi%Rl?>r{qInr&B?^;$Mwj#hChwzx)=`*xj7H zKKPE{ifwtZ#T@S~W-!zqGvO<jd$8w~+}>5&$^%l9Y$r|0NEItvAH}Qou7AzMN6T`L zY}ox)(l#W${&o=a*(DYlF$MRwEV-XDKStZW*L3fJWpn>Mw^5$#c1&O3`~vQfP{v=c zpP2Y4T-jRktA*G9dTH$S(z&mcc=|QEMK>Cn=qj=oc0caSZ)JEI!6@OKxZ?4S&gYf+ z{yb0ibUIbP->d2tXY;Y^s;2;tqmp8Zx@5`ZDNMG^&dlb_<?DCN&HjFCW7*AhTQ_fB zUHtmSuI=mAM!znKy*o+o^45|Pow?rb?&jamJ+&<Fn;ZT7*3O;(FEZ98{aD!}=yITW zM!FlDxX>dfpN`56lc#QClPIwJ_+a@tCxJ|fA1jj&_+M7}(eGN&ZlAQjti1eq5g6!! zkFhEQ9b@HTp(1nT=dpcj^MAg5+xqg^vt=dQ!}qRTyLPSa$!RyE%%=CIM&G;<S1R+_ z@s5Xog56B9T8TXcjlX|XxpMFMu6gZn<@{ZrIlsPp_byM=npMESflEX^A?_L&_^jBv zX4b4(tCw9%DT|7lwdrzPY3i?kyspLx6$e|zA|f1HMZ6Rok8v0}G<<YqH#YKXY5#K2 z`PUK$#>+ws=L*ecdP!Bx|CX@WZMV1e#T!dk*GyhJ>*9r3XEx8co_lkvZS?sYn>T-d z)03KcY}U+FW8=-&&gk4+`CG>(HEzd;k1LmQ)M)5-HD9Ud$$9^-%s+OQ&h)9S#U`)Z zuD)<z?5h^3R(&#R)6_Gn$tO=to4bpjNrL~!yB#f^7HVftJb8G4;q?xN+Yc@{w(zpN z@4vfz$GLX<OJ@Ts3oF?gp7vc-GhVsaM&b6}yLa!#9__ON-y^C925h1aBpupS4<DM5 zn2?aLzGTDt_3QPYiEs7{i(G2%`Kx>Sbn(lpU$^?EEw$f0>CLR2lk}(GDzX)y{!33H zcu@$)@x&dHGvDp-mp#1pK!THt_tJp!)i*RZf>+Z%T?Ah6`gK)$s_o_(5&Ds#zl2|h z>OZV|<~aF@spk*g-L+k}4W#1^XPN5Qq#u77sHe;KmE}N7ap(0Pe9L$4+O^9mPI3L3 zHESk>d1$K$s|cqEoluD@O}`p_HEd_-&aAabt5?nPSvBp|(xR0s!nCG!Wu2Ovw0zmU zm1S9TXL)(;4O$nXJ#}e>rsm4;ycW)f(=#j$^i6WEd{9(7E%f|HK>2oYhXV|n0dpEE zGc&9g-&vtywyL*k@wF8z)P%XToVNz(UEw<F<Y@bJ`O@D@YO12<&$Fui|FVH!vAKYc zFR5k9k(W0*Y9AQQX<ID8@vX5@M!HZ+?{J`cOxxlJcE+BvZ;zaPFDMH!oLC^UY@%{= zfxw*P!?Nu6B0vXzy0gy$AAj|E6+7o59-$*g9jC}0d8u_Xg<FN0@zbiu57t<C%~9dw z^9wrat|2SG&?iYb%v4)ey7BgUr5Vh8W`8~$m-NwF;>;m<xS2nK#q8W^E|rD`zJTQn z+Vug#=M-<<y?gieMEklwKR!Ng@8*}ctNHQa;-$sz{c^TddtTX8e0XrM`S|L0Z{FOy zv*8EZeVyE#O}&@4dfvKm<AK|@_!DN$e3@6e&;L~5e-d0j$No{Uxze**1^eLT3T;1< zyZ(QC$seHjkHh8E6tks)@0F)|P2aJ*`a7d}1^d0g;Na%$0^Vf@O(NK@eO$FWH!gAx z^Yen+<!5z{H5P7o_bV-iMNjGFzMNy`A9}xio}fM>povEy;mnZ<FCR2N7T}%`cU&=+ zfsuL1ij5cjKNrh<GP%Ea_wL>NJ2V>_7=spAFn+#tp={U5HJh~6n1nB;m~HAk4LVuQ zZCa{)^j4{$1@}Li#24HAnmv1erJVWk#lp5W{PSm@|7dn%zQ$>zpVs@HU0%NO;=6l0 zyryb}t_mrHTqPP3wajOxQR*oX&7dV76P2EZmb+Chexeb0LnC*Jsh7yHX%kapB;(zt zPn=k|F*YV9=1g~Y_sL^#>ur}>rX>ZOY<O3^r%zc_cE-EnnpY?03-?{LmNxw`*ZXwf z`}_|Hk5)`)`ugFD=7in5e>0kUfR}R}6<fhD_lDZ0PD?|<nJ-!veG%oFq9$DES|b>H zL~Nq#nU0Rrhii7o?Q4INSp7myPHnyh_-azlO|@E57B?8opG5>XK7RZ|>CcMkOg9gd z+g|t~J>O2yOwN2;b@lo!patd+SHIKIDdE0;Xq$ofrq&%FLe*0^jURe>yg1S+ykv5C z?M(KmeeCgHr!J8VO|#&0D_O`YnAGyXD<my$heS^JC&dt++RUS$S(w&6d3*2O+jsBo z{%r&UJ@7iu2Hkov2yg^}gW-22Z=^8QUHZ-X^Sh`2uf26Q*M9|_#~2J*!wKF)@Z$sc zisyT)-~CEBDR7uaBCcRzt7ed0@1Y$leyn_a#Q$zThhyczHa{2nM;7Oobn#DodrzzE z3mAaU6$9Ph`r+NXckh_yW`S2NZgtqaY15_+Npag#ulH;{k_9>kZ`$8U&l(<v&94%w zFHv3EEGhYavACYzh6nvoGD{skH00M_TK>;NTWZ5c)4HdT`WNgEebQN9q;uZu@SVH2 z@9w>Q`|jP)H#(5@eQUq~dY0fE7QaWK>p|z^EvmXZE%x*2qNR(fv{pS6v7Wm8*2>aT zb7v)arLGM1>Qd#B`n*f`wASWJGXvLKiHL|?5jJ^N8V$N!Q}eO9T3ytkb>36{#e7<& zZ_LX&A;H4sguxtjrzXY25o;<Ivx;~yJnN2$T40uyb>R=+v%vQgZ3N5oAC?r&4O@Oq z)7o;cpWm5fTTkXz&Azp4*_|s#Hl-vL&73hKLT%X+Ayuz1)yU4(PgXt&)!%q-!GiWa zd;5|<3x7(f^)B?!E|H(>4FYd2U7B=h^^zq^mRw0vT~m@0DtTVgV9x_5Zk;s?oVe7c zI5hPLCLQ?kp#P4*FTpB?qnF=g{8ZNe^78tHHy?yN7Z|R;y(xQ=O5P+h&q*qtAWmJR znyRX*s_9G*y`b)vEudriHtO_WTfF4be0R^Sk)h3N{>_)1$^U8RN5}u4?c`hDXMFi! zB~-ECzi08D^ULFuS&Pg*%3k2zTc-ceB&)Y4PFZ<zPRf-Ov8PJgR_GRWUt6?DX`1RJ z(avraWyhu`u1zf`RF#yJPR-yDo|+KK5m6wsyoSH;y`^8K+`i|*>7V(wmv66z7wd&D z<(iJ|$vgdQx?-6I|61oW3zbhRyZ6ad%6aYz5G!hpQVh!wt>W7IYUQZ|;^&w9v#9?& z$K7}2%iM1>4~BO9Imd2sv2@=F>6s6DErKWd|Ly$4w{FvCmtQt>J-(Oamw$i94_X7s z^$N5tCU9;$2(WX2z>CoTp!=MEemr?{+SiYX-%O6#%r8j|s#SLDkvQnKVWJZFRLm!# z_2Oy<z6&M)2&`%UF4xH>5%Dpb@$(~}LvQcC-RIQ8A*kfivFFv>Gv3ofZ-@W#o4m3l z>}&;x+@_d`2RsxO`fz2oZg6TzQCuyc^CUFB^6JxW$$9!N%U%B`I=oS5`Tg?2e)b59 z$SoHbxm>qx-(KF+b85+{6Dxf5^z`)hy~+!!jQIZY@?RO_ce>0xaVKi;9NxS4_HyHO zEQas7a_8^bbaTqRw{PFxyLY!w6bxd8gh3#BfkPt`E7z3pyPX{!H&W!DE&1hTpAu<z z((AZC4=)Hr=XCHIt`75@*taxE@xY9o53XLZ%Y7T1{xNI5mam7v<GNeR-{qeXzjSvu zD8upZh}6^p0myRImTjEseo^Xv5$b+y>|ik4=b(<p53X%ZO{*2eYOYS+`$+88hh*6; z-xtoEIo0*#p7*8ww%ju1eJ)7>GL?OH>+fGY{#xz$y*&p&zySmffUlmn2VE!|e)s0{ zZ(D13_P?$E{p;5(A3Hm{eP_0R+E`Pgb9!%i{`$Mo-*4>QvwivTS39d#$E}|JHO_YL z`DzCpo;RmgbB15%x_{*L?s?g+%sguZnl{zH-U=?6K7orSaM|?k-5W57D@~7$jg6h` z>F(~nea`9PV$YpA+fSXW4ZB!$;^F4Bl(-!~)<|&PNi8qm-6dOJm}&Sh#|Tue7)wrn z{OH;aGd;a?n^!G8eA{M{`7gOM`>NKYC4G&k{~C7Qg^jab#<4{pY0iX)N==;4Io`g# zd++UCP(0n`2Zz`9Zy*5K?Er4nUi-F+ouPqKU0PcD_T=2PvDdFn@`_K6T(uRn0({M^ zC=l>VzI<&<`mNRfB4e2zFAO_XlrX_X^y-w5E6eX~%1%4b?ZKG5ggr}X#R=h8w#OOG z!ApWO(m@~%1VCqBr=EKuUAj2XY?s^Zj4dZsl%r1?-SoaTX=6%?&gqRC4a?TZN>?xK z@7$TRK0;efZQ4c&vFgx{PWgogl8(O5Jh}Y+l_OtP?w|Brey%IG!CyWui8(KF3RTZv zInmoU>-_V|J9ppSz5DL%-Med()Iq65hX(}SfX@70?KxS^ch;U)e){X*T&>z0w|e^Y z@X0r?el2^tZtLo|NxOGNOpA%py?**=QDI@B>h^6n)~+qjzI!S<di}5cR(kuFs3>=^ z#;-hT%&_9~<eFD+LFco-dwW-QV(f|#&!2nblBGO&TnsrFHtXc4-W6$JF`kw%r)P&x zgiu?v+F}77E`db_JWK)%3=#}X5^PB_Nw*ShTDPt&G4|o&Yrbl@;<3xLh&G=Mr=(1n zLyl{AF)VxH@xfSs$sy}=5~uz4+_$Pbmz(oG?Xyx+`G(6MTlW2SU9r&rpZ4R0wnl{u zc1*vO9)3V^+hgJXhqGIEoD51_WW&`scVi)!Pzdjr%;S2tC8w5G%dS=aFk@AZ?yhFn zYKGXE7xnm^<k{UqIJLfUul)1L<!1Dx%e^tXpM<_|WbM72dnrjy-Dt(WH_Un^XZ-J9 zyU-f8_fcnP;{oN6iZ_*qS)AezwUrl6tT`84aIadLd*j8^d~F@qc+%fbG<vYCn=9qd zWbs?een?zidGET>(;dfU*l(3}pIdk<w&ZBK!0IjeQ5Vi!&iy2qtp8-rgfK6QpB>v4 z=9jD}yO7?yS=@SFrN|SGiQZ?VYxb`Z{}vt?SeR7UY^%KX)2sx^p4^Y!qNR5#_Wa;G zxJe>?P0&Hhc!!V;zu3<?r@gV|c-f`+TENb1Yrx9?(}fnjkUM+4)9}uY<&M5Kb4xkj z<nLfPVBvhfhf76o>N2BC3j9vNU1c_VHXc{#u~XR1vUI}!9lt;M-P-->&*_BPlQk9c zKh;aKS(tM>W3PRw`1yVA%J@7zrUN%;{Qf_0ewo*f|8t-2dGws2^U&5NmBUwOc|16O zDE#)q%p<J&J2u8ol31W8^5Aqjql{?b6rVS7TPOSxdUHuC<o`F>i@M!KLC0gdSY{bH zy5CL-{krJEv)_{~437L*6#k!c)yGrpEL^46Dp$YgPJgPqi%C35yyrSwr=NSky-VK< z%mqKom7IN=B^Kta;#aA9R(a~Tif%V!MmdM=?EA%*8~?Lo_~u|T=Uilarw^m0mKpz7 zo^<1VQ$-aE_2O7~TK%uY%6~iYWm8mzg2Gu5`}z;lZ}&uQWspgbjycYjZcy#RdG+n> zJ$eZXueGXfw7PnxX_>s#PW7-Xsdq7q3@SqN6P#;Ko?iK|m(Sq$h2E?FXPNr!kEYF; zThGlQ`@E@(??qk3!s9pfq!!KnoLwhn`1H-}&gBggSbz7iMW24Qh~<grQJWb@7S7@i zO?55r*tz-Y>zMS4w!Amz-MOQ$1~v#B-uQ9*q>zNr8!2{?yP|}u7e{~43-kQgAZqr$ z`qku8u|mEUt`LFH!u96d%e&97C@FS$+kRT*1*_4nWDVQapvH+Glega9-h2E_M#%9g z%T8Ku&2*U|+?;Us$Dh*cE;W9ku3folhF%l0;=eyj6MJl@A|2_jY}9M4u!-Z^3%6CK z`dxY&a)vwXq;({AsJ4FOlk(&%$*Wu@dLpOiYsz!m9H9uqgXMZRBIX4dyqfHpGn2zk z>)fSyegTDC9#*ZyLq#WdoUKpj`Ex@_NJ(jCxp#2DYQK^xix)ARcKFyZx$Qv29-)Vc zd%Cz83trajZ%#Q@Utu6}lvTFow%b*%Fs(O#Sc5)qvgKc5{F_HBM|0uf&qnXhyNh3R zouVPv<-LYoE9rWyWx$tC+sDs^SsY3)Rpm#^rLZmg!?yU^dHd%V-s-rR`}{DpF_==e zVg7j)n*%RJx*mGZO)fsl_1vdsg59ED%CkyLWbzZuC%f*+G+vjmbNQaRJOBRL89ndH z-%5$e-ad?%o+><9D)arj#x;Ri<>J>bR@zQJzk9Jooar53K_!0E_up=uGrcYG)avkQ z+gh=mPOCoue;qvUL&>`On*m;T)y)6pl_V_Z%s+m@*<knPC(9gf&rUk_KgqA7@A=ys zJ?>v`35NfiAN{rUeu=$H4SPsXIrpC13KGi}GOzXiY7nzv`HC&E+|s_$${%0eRq2=e z6|41Ut9-1%VtLyRwX0UijCQ+NOQp86DsAK1bGC}>h3e~5OEm8@ir4vvU;Mg+|FY^9 zZ&tR=i=ua~)tDpun{UN}uCNUgW}Lr2^X;4!w?#jn*6FvCS*x?b+Gv{cr3+j?+|OCH zZ|XT8Q{E`4w`Jy3a|Pa@^&*cHGQ+QNuajD}V1L%x*i?RDJ*mFeR(z?GZwse&a7S$J z_nCJ1L%xPc_sp*yF)xoC@w!nobJH%)gxU!Y#kzg&_RKYi6HeO@Ij?x1)eY9;JcpTG zYdYSl*>0QmTl>yVnKB37H(JwdJ~tb5$8uYIv&&oi=Ii{!Yxpj(ElFTcTPyBok@VGL zp=|#FZTak$+@HU!dqlT1?tXLP<Hz<ox4&x_@i#b0x0Kmh+O3ydS81}>%e4HOb<mRb zYY9ge7cW#cmug6>vCQG&divnvE(eDv{Ork^O0sba->=YoX0ZF?jjw(a9*2cYh>-T& zAh-Vgoe1fhD{tqP^PfzvRlD)$sA-{F;SBDCpGvvX5&JzS2+VPOsdH~hgm-$DdV55E zYv`^dneQK$T=>YjgjdbkcEN(XAGdxJ5H$$Cqu`O_JL$OVR5pWz9hTiv`@2(0{=D0& zB~@^GhDGp^>nD?VHl!9<f7oTW%$ISN;WsnphZ4@O=LB}L`6<smu~2jVl)o{2T3&Dd zuZb+?yTRLc&(4PZWvWbvcd815dT+q4Yg63kUUM^Vi5JL8oA>C(r3Cla^Ot61eH6d< zu;j;g-(3nD-+T8hHt^UdvgVV|iv2uYS(ytDiPtD?y<^&VU_lY{Tko6q_H77H@Uapx zHgj}Wi&oUDo>1}W-RC=@oZ9W-i+cq9GSx)2q?6fex&FjFY}3^+yxE|#ev%hYXceze zirm#N{_jIpdjx2O+TGUZJm2$eD~IE*ZSQm(H+n5zyW-V_7w<lvKJa?caqZ*xHm_U# zb;dKx5X1KOwyMIj*rF_3?>ch7+u*ftrQ4g`8s(2(Iqgn<?P}j!R3pN2Yq{ZpbnlF~ zbkQK~M6QhAcNS=6_|^*)OYz=hSpHQfb@J7!xUG5z>ZjzL_tsNfGx2hg{5yA}TFtgq z9Cs=oiMH-8o_5saQ>|p!IwPKYaq4~ZY6PmU<++Kr&R!bXYd@iVfmV{WzzlJdHQP_# znW@go!mGaY<9{1ICF{&9dOxRq?2KsHkhGjx`(4M)%aLIkX{RJbbDy5bJQB|&{CPsn z;hoQxUtMTg)*1G={zL%3?L*lbi%oAgI%R&DKJ8lW=3_ZW9v$jEJNu8b+_7^~?&(<~ zuU#X&gl?zLZ<J8mygK=rMYf0Z!mBq`*_CU`uk(Dmz2;cNEX7r^#trBH<`zt3(eyoe z<IZ`{C;@4cOy%?C`Z2|Gw==)|yv6rm*6H0I$Jc*K`BljJy1_f3B%`z?utPj=2Gga2 zOY6d4o)J>&{nMBq5+Zu3QHyKl`*(+z@2&2Y+0b%qt9W?V_W$h>^G`?Umv*h1AG3x3 zdilHd_8sr;o;viA%bDZkOc75r=cC5^Bl|aPWq+yL>Rg_s89J?g_k!!vvCWoy&sAxJ z-;|L1#^hg6x%RJWja$sTb(5<Ue2Nb*4U#(Y)nMZE8=q9&GWhzw^?8PI2yW-z!WHp# z(c=S;CO+UPQ#%yDZq2r7U+eUDD97!KT5NX6)W;ytD5iVYXN#CW-mCP!uhZKWE+G_G znY(bt-ZRYw8$TMln7r)BR^PAm;<Q@SCe7$yYY%u9ra0*A;!$8;UGN|#oLBNh-Z56` zgr9f%kGW63I%nR>XMO85^+n~P)egj8Jdtp*w?jRv;@`yyGM{Wr_GEcoy?s$zwzAt$ zZpra=>)-7AdHm>l=FMuRdizp4`<{C()zEqAxP(JA*7uc#)s~sNm_Pom{nKc|{qfiL zhuiWO?_m07{VYGUr=?bT@fDGmTNA_{+&}aAnirFu|IOcTO9Xc({o;T4DEH#kWsMg^ zBTBoRTAw|xsO{Od;9Bq9B~RVjzv%lsUGyWSQ7v!5_kiXd%F?x)i%e#_T+>}>95R1d zskHyDrT<hoa`+c<u3Y>o=Js4y)-n<8bIPpqJ(skn1sm?IiOPt3_w1<A^nRt)M>Vgt z?v<MsRgq;NyiESsmrjXC$yR%mr>$3zS+Lmk?R?o&U%n{hf13K^bZ}_(lwXFg-Lfq% z1qZQL1bk9_A$ig)=Ekw`kPogK9-AKBE%Hu;ujJE?yArJ(UJ0?M(%hCm(!P*)`Mt!B zH^o=J?%IET`YlH(?}tKtQC?GBGrx9gF>a3vow82$>(aju(<D|^<?t{5?q+i1johIu zp1a?}LL0SQ3tas5_m*5y2~>SK@zka{^CUR_OHJ<B$InrBOy#^}W6skraZif{gpGD9 zK2P?Ma7@$t_BuwQQAnevB>%t2mEY<oHo1S<+Qa$N_06j_Aq%(p_}Ozf9y)ftoX`K` zO|Mr$XOv8}$}IgQ`ELoWunjj4KH&Ux)kRVDT|4C3XSwRmd9u0hXuxHWNA?2mbTW7C zoB3VU+kg7?@-;Fl%XUtA)YToE?C?UiTide1WxIRnja3GL+Z|U3{rz_3T%GuW_}!0_ zuiZX$$|KQEZsDFMt5-gf{b8M<e@$=C&ne3#{hEYaCvhFhf9u9z+Pm-a-smEx3?8e0 zk8d?T_UCe4lYDaSQN<M^md9`D3ce7pVc+fbBB0>upNsxC{Oc1vcUK!73pUlwvsH9* z=$X)X%zURnlaadk$1ioaj%k%>-2UvDyrX<ALvfnPlrM5`&BB?tI@;(fF&12IZJw^* zeThNn%#3Y*x40Jg9%$0PX_~nxwlMcv>B@(vBJ38N%G(#Eu{m4JXpf%Us}Lv7GGk8d zy$0-W-*eq&eYR^-5c4guC%(U8=O0?C{UMm8+Bt6j{a(|6QZ3OET|4f}pXXohoOE^e zgMH;mZUTPax9wI|d45`Jrj)dO25$=Ew?k_=#ak;`^O|+!&b;P*z3uh}b&Zxeyt}uA zhs5=+6I!Z!HRRumAITwnasTH9ZCI4=UFsC7-o%t~`pD!bTVDj0N}8HGuMAme##ue< z>ihfC4P~5<BzWp7a96xv6L$2-#dRvmS64K8><##A@G!b)@yd<K_u}|gbT6H}&gkiJ zP8Y4n)GXU$$|+x!vrnIhT-@XlV9g<Sw#Mb+%_Uvgj8ns7WVI3k6)w)}G)R8+=5yGi zf8HJmd0ofm+JAc2K1cZt*Xdb%cCNqp!S+JV1Lb^c;lkaERHpBXUcP4q@4c}21#TBY z`u`qt<14NezLTj^@X<pklY5rK{b-$cq6W((bYgzYn?99spC!wTd7*;q4S&y=lzK(N z?veeMz`LtfY*?{A=E<SkpLXc1mgau<%0YMg?S^KlpJt~{Zh7V=GL=VFriuH@+k-p0 zxvzf8V6<U34coBp<?4^y9vqf$kLFO)o*#W_%d@y2J6BB1kojnMYvRJ958;1*sO#)Z zWL<nP{%fYLf_qi-mXiW|brKqm-ruFn^PTP5nP_44i`G6%9tQuK=WwREr}^-qv(2A# z_lx!0aVM^RBBa0@C^S#ass8On@7IrCPjJYsYoEhtmDBZpsq2BBjitIR`oCmTt}mUf zWMOjlQn^9*tFVK&&W1<ddTr$qp>;0%YlnF2ua5To7ny!7ieI#+=(5+`JR)Ry<I?we z@&6vK@hBHcoc1^@BddY)=iV7z${!1=wj6U_`NpGj|A$ZolfL=4PUIzMWOrT3E_R%t zV(P{BsWRzAkG-<^!vrbI$C`GLy9*3r&b62wa_s-R>v9-#@r^Z;g!j!-4_R>J<i}@b z+&!!Ax@D}L!kxZ>&*$jr@DgE#jDtr@E?71$eWv}F-`3ag?2%Yb!)fl>T+s$Se8sbB ze<?CI2l`LoGFlbQc*}gzk4GP#ZvOb}^FIymwJsl5Sc`0&njWvt<?_K$cA@vg)Bb#^ z4ZpAHx2P|;s+q|lT)d1s=G(G~hX3<<%zjCJF{w5anVRuXctgQa=K7kao0)DmEdHh7 z+PARSaJy*u6UP>R@oH<%*zgw3Sr(E__x47xGX-tdFXEnJJU=q&!b*M~@q9~Fvpqka zN*vAkF|Y7n1)qv`=GDIMCts)SS+%ZYW9^&;VW&5S>DiyY*gB`osehXK)~?M{MVNQq zWk_FhN#*j&0;y`QwU?JfJ=aMyK3(cH;mE$C6K7cUsz2Iqc=T~ThiOr4Vf<6at=$|` zYXZwB)n(*MZep4HE4DxOM$i|RZ8;r(3m@xN8RvXTk5buJ{$t^96C)ex`MOba7pi>t zb1qsZ?fZ43Q|~^=T&Q0(;SrBal~yme0Kd17hu%+ri51feT;e9kE?MY*e^S}xWy>#h zxUO@W`{psn#mSp>j$OR5T<^5UTFGsTH3PjByBFP%y{NV_n)C7h?VDKpa>KV6y8iyY zZQ0$1ri%gjf~k$0*KEl>a^jPfX~5Ho+t%FaIJPWtRr`aJi%K+<Umw5y*XQGtnD~FS zvm%`L9=gDM)#`(U-0^AQ6YtmR7S=@7z9^61*WJ_O_*zKm!r`yUDl@9iKD^Tx%6VbR zVTWk$4Z7{W9^P3#jrFI;hQ`RsSaFke%I4cjm8W<zPR&bMCbqwDvEEZ7t@8~<PmI+% z;=8ybymzQG`&7(1ab#8_5AVwAi!rxeEKIX*jHtQMz0N8<`04bbCzHIl?sI+9R(j*T zR;Q}=O8b~{Guw0TuATCC-=fxbZRJzdymuQv+%IiToX6bt)b`5G&?SuV#rJlYi{xLh z2sHG$Dc;c0@Zb5(jCo~o|LvOguU}E2z9s&qo7i8Etyk9leaLzElF6Y~z8iv%EpH`G z@jUUkx4Zpf(z?a#`uA8E)#=GEwAN2;U$Mu5ZSLzIO04zgH%)BFnRKW~@zS21Jkj0- zpI4~sXv~SNWK#6)`MRjEQ~Rju6vL-sZ~h)N-MnIv{Hb!kn^VOf7R#-7{Cz26f?1b; zlzzXZihbjbqf!s%_a`aO=K8+XFl=UM$b(m_ny2+VSYWrfq46E-vDEo-Yi7+mu=Y>e z*MHtI{jIz6?7qKRsKe&x?XF~%vdfy+INsy%1%u=J*A>gh^*yn0%vIaE_OCe4>KB(z zc2yl-G%NY}<Zt$&X?I^_NL|Zc%-|YWT>U%o)}cdsrWIF8?>QV5y!`c~@9e9)mc7ad zd8n@G_9COb`(mM_w2z*MvLw^}?WT*E)yw{PKiA*Ksj8%_p3p1S5W;Y8L11p%7YnCz z!2wI+?85U393roaoO$%f*^1}j;+g#!E3M@k-z+R-(QQ@=&X}?>=&t78Ek^=x&G>Wq zRg6GGTF|60i^}8k;ybd`{gfO+4R;+C^-MP`h|Y~<JjkW!euOoqO?w5ievlDwvqGS) zy+HMk-Io@Ap2{AiVCVI#qiVa*r}upiOXnZae<6O=Dq2P|@wC8`FY4PkwiSn;-t#kf zqMX5rBa6b1Z{6PgcVS<-YhmETn~Y+oUwpj6A9F1-_@fmwb6_0HmZ>M2btGIF%iA9s zzFKA8bm!OYxvRLB@%}q(bmQDjZBP3tS3C<}PvOcbeXJ*N`p3=NZ;$=taNcDYw(NXG zoRUG#zWDuKhu5<wC*-84wXBHbd)=N8bXuZ4UDmvD{mw1l?j4V~Xl9<Tpet29!|fZF zk5WiJM`OoXA?BF*%TC|(-}T_(!C9sumzLChGk%)!-Q9Y2RhshTqkHlTriMp6TzQKp zL2tF<f2;ZHc`QDjJ#77y@uB2y-e1y3qj@V{ygr+p>rrufveuqw5|<omrRPL#51V=I zpku_>I{}3`Mb(^bE1Ms)iCBws22Q-uVLAUpYUZg^?gvd@7=LqL_wXF=(!NvQH%K0| z-1P6bq{H-;I&Zz}kN;Y9?_+pYS(Sw*o6W*mFV&NGUEkfuvHPWc@w>^N&K!A{th>uy z)8|#smX6iSZFApl_F4Gm+2-qW9r|L`JVLu!zBzFQ#O6-uXnAkSAhdDc>62k16ZD== zF1^+!bN!I0meElI)hW}WzwQcTnd*{|w0iH!G>6YKd*}78V7Z#QeIJ|pI^Ag(I}e{| zTYi4|eOIv?dyeK@RY=L0>w0@j)32SJ`#%<MO(>STTKz@1#E-X_^$W9&%N&7Y;pg^m zH{fG1wae)bwa;XY=aHJU{`9(wR~9QgteOy!d$7n#Uv~d5wyPO?ExYyS+b%Mb{QaTI ze>=;TwF)1*QbY_R5;ORwZ&_D3`9nipt?8>1eLRz&+63m^jX8K?W6F+2vmz^hZI0T1 zH15E~DpN`Iw^|-wI}e*}Tf4g~#jsu5O6t{CKHV2zAM1Or_;KvbYu#c~)-@`!_R0^t zD>w44Zxnu)?C||=OzyAArRUl0H*NP4kXD?3LTkT?|IJqyrgd_DeaPFy&oisnW`7<} zK}vG?!)}SELLbk)bO`42K6<EWYSJDz!Pu=L;(qfurgv;-di<3^&){%%9Y_4+z<-g9 z*}RRZcXbuNH?no;ZBr0x^?EJ++pcF?9qWE+r)iH3+<KmhR&W{{R_)wvqOHu(*V({u zLUO`+72yXJ4?JJZVd4vz@-XYN(~_svMhTU(S)+Y8OV3&C6LT~1Y?65>X!@_;Rl+<j zvS~$CY1iZo7REC*5%WZBnA^nvdOQp*$cUP38zDQ@pt3nF;_JoxD{pAFRM^aD5$I|# zn%}{8wb9&-J0l}zN&i{Nx5p$Whb5efnBy8QIA@Iw^XnNiqaUt%`{Wzb&6bqbxL~!9 z*Al!Nq$9J08QII6)MlT0D9Kt>wBJ>?KH}Q58guS#o4y&D+5E9#o2+Li?eOO5tJ2J4 zdtXlfAvCRP$v@8jW;_-PCgoNXDhn2DUD;yFkw3BMfSJyZy)S+p`@p%&ME_Q8U&!Ny znmm&@19%>+idwDlB;d!<jLdxA+05rAO}?d^_io`U`I65}4Vt=%#nb&lm9m35TmF~m zSgThT9(uJ=T5<B*Rg16OnI3j+&r!KKlQyeV>*zMV*}JqWesAT(gj?M&jN(tNo=|b! zEoydV_Ifs>-|tc<Td=LocgWsfTbgk;N=tFC$+z?i>|x5<pSrt}t1kUbE1n+k!r4f# z{Kur%ZS^--AAj=a{ExlctDQ6%KQ425{cXo3VIJEPv6@9P8oB3NHM}<)$k(1Il%CD} zXQm+Mg~&ZSp7eNM`qin%_@Bv2B5rTbNrzn?bH8${9*A3eMQYO87T(^1OzCAOA6d-V z8Z0|^rlNT7Jg2$8J>r&#oVUGmJ}6ySE==fk!1)VbG&aqX4Offm@7;eb)b4Nc#zlWu z9O-^5v>`3!-2uBV$%{TOEOl+(W^g>@LW0{r)jt!>zsnf=y^h@2Xmm~X*6oMC7W&^} zkyPiL`tACsrESJVzslJsh<=-(BKb371w$xzx@4WYazpsE-n{unw^Kt~!q+qB7Ym)9 zn$q%G+kbV(`+wOF7}g#WJ`<gs6C-*xKj-wNyJ}}1ak7-pxzgox&vB<;XS;T;L3mq* zT=mPJv2kY}>|@wjKcmXz{u-anY&LVXpNnrl<{Ea(m9zDYzwT_l#B1BHtn66w)X?1} zi!C}R_o0v37VB8a(48Iy_BA^{ynp)t?%t5uTk|)p@fMN%D}1VE>H?QP>$L&{Pn--C z=6o6CrK2jhPwM>X_=jnAm!o6$mS;`=eP8Ro=~8zgpF7qom6y!8ez|FNNGhZ0TDwD+ zF8oMquS(Ds_z^wv#G#_C>}xll-MND&dj710byKF;oJ%v>ec0)H=BGQ)pXM!1O%&$X z>Z|C$c3|p!&8qK$7k4pi3b`*S>i&?c+{-&ct!x_0naLiP0<ET8<>r{@JX5r{|DpWy zW4e2%=AU2`H(GlsE%4iNNgILSQkORVivo{SHJaTS9H;*F4L;3mq5omCPqk7=RnuW} z2IIV0s*@h3t@VGLeY1*T{wMRbT<<DZKE5U_KmS32<rlwM%a~iwolcZH*P5>ubmPTY zVVSOWm)4bhIz2y5O1Zc_JbGY?{fcE8pIO+ebVS;MRd$NI6}nv3kJfNjj^^Pi=$d-y z^}Uc<L7#7$g>sXXqxz!eEK;}RY~)+xH0k`KDg{%udbbdr68ClQtu|eB?|z?hs5i^D zY+LIOt}i#Aq!ya*xqrad;84Qna{XPR_nl_1d!uk^x!7m#yKX=9Cht0%cl3{u^fwJT z@93+p7Jf(Xs~@^@d4s`;KU<wQNOP{w^v*wCl;<veo~2mxj%S{f?&@i2Yu5Sl>{sr{ zG73C7`-6;NW95r(u_=;Sa*10)m*_O<?3>V$TzqqVu?^!DjVqGpW^HGieud-q>N^jv zBrlwGm32<%i))YnPZWwbzP6g#g(dsd1)b`vm7VF|t89*U34F1bTX@-1KvP7L$$!?L zw6lu)C+xK}6fRvqvF*2C;H%f%+h&ITh!>Z$>;HH_quTJwq@3K?T7Ow}f4Q6_=?zo4 z0!{8U^3ThWPkXihSxU&mJKN{`z4&-CdZP2^7uVkOT5Sw{-*#H#!^F6^>lthG7`q;+ znD`r9d0S$fp~%FMsy~f2bW6vAK$|BUCVg5~!&n(x>Hqd+QQF>5c@9#~IM|yNSk@nY z{h+vFZouD|81FxR-|qd6XOEw+c0S~`OHa<sLz}a2F>*3%Gy5~oPd@he!W;gro7TVH zwJBgzBnarMZ`;4Sbh_*>+1E!86>W^!V8)#<EfFD^B;nX1C8>C%;iI#Di;U2vHTFTQ zXD+fb_8A#Tm)H1~l$V#sM{0y#TMYu*fAhdI-&cb|MZ-f+hK7dfxM{6Y3iaN)a$c9$ ze*c5({`egY)(id>t9sAr#fNXX38iY2Po@~fdTrSt5#IGS?r((iS@x|H4)d5O8yw_d zY~gQ6yZ5Z#pkl%IpHlh8|NGPCdZr~biWza(d@8<oe82l<cge?HrybY4TmAdhzFoU_ zZw~^4Krr}K3tkq*30ae(Sywgxj`|PJx~i<gNpq9buXC0aDOv3_lG(qjvn8+Sc0^iI zQc_gqUYDew+X`NvmK9G8Z|}QSnz3jS7}Vv*E>Jl9`OmrA8!9jEJ9ma{{b$Sm&*oNd z^E0mfciWurym_CKe5Lf+U)L|JvF~N@+HyksY@pk`>sQ0e)hAAwGG)o+5+NZWp-FC+ zJebz#f|oqHyL*LeYA2?y3DFAga@%k*J0<1HyG7eqc!<Be*6k6n!FGnJNbU2Mwfn41 zuH+<!ZjKVRyU^hL(V~A>OqZp~Cqd<f>K6l;CZ9|RQZJp<(cvMSsWjL5`2`CTzQ6a3 zRQUHge-^NL!gti!S;tLLF+xLZQfr)IxJSlP2^02{j`;yHPuLDRI=-FuT%s<P_sOEh zFD$|;?DH)$54lW`YCe_HnZUC@Z$Vn^tt{19rPn=8et-V_d2#(0exXhmrHQ}p9tQ!? zMcT`kFK>OGJGcGlj?Igs??-CBdLa@mJNH`c+{Mw?Eu-$~J}{Xq$eGY<m&C%X;?9tD ztzY8en(W<T+6gH#%@b17W<K7vYxnwn$36&v7Bidl9lL1$h4*jW<9kQU&CShkx!u_( zop+b5J5X;|?w*I&&2Am5E#-|}e78`rEZ*1ovcfLwqmz_osTw_5pMT!}^Utpz-)_z3 zv^vTX{}p^G{X#H!=$!}x4?DBAMy(C|T0KQ0aFKwJkkG3X`)^6|-x4Zn$~m9xJ>fjd z_h)C2vj^Ly)$3pHTKD>t)6RJjouG@~PfSpBZsYm+`}gnPpJ)I5leGW(`SV{tzn=X2 z*}fg`-@Y#X{p&}X-OTe(yMM;n&FIhfzc>5)J==2rd)?o^b+i}ioZghf6RU6W<I^jt zglYaW3IrFpaCaA~K7GH~<n_CE@3a{-AxoPC{>rI%Oi*y_soFemHT&zAd#g6hyXR%7 zukU}Z`}2c6=KB8kE-UTWwq?h*Em9nhH1@Ly9;jJz)5t|=_38%C#z#+EIK>&Si7DTS ztb2HaLph?y;n^Z?7d9pqHl~!N9p!VLO4Oy>i2ENqT>bGw$?J;hON$GS_vpw(-2b!Y z{>KQtCzW~e?=rm37@4>Tt=_$Rz0Q=^prs#o@Ak`s*3BlCKe9M&_~zEpvJG={zTc93 zTXV!NLEbLv`@y6)55K**xcK;O=64S-E!NQWN_;u3?zP^fvg;=cV(*r{-siXdU6A`4 z<A>#@7IWpLYWrEAZ{~WqeAS9|%hs(~HP37Fx#tJ||Cy3Dw^dH^eK9A)vBGJ!vGe;t zAP)@uz(7x`*KKj2cwhJ9M~@ynxz_veK~#IPq0_<ujVbLhC6C*q)j!<;U+8Rq+B)|+ zoBWf6XEXJ`2J(OPfB&R8SJOp1A!tEG;fkIFhGzGhEB!sz>|3{QouLibhP+%5IRE_f z&lJ!t#@UgRuRqvYc{aBD`n|2DVv9eQTBWAHX_@Qy+$)ebEI4@b)hk!7TzX()dDgf3 zvYqepm)9<TSu?dp`hN0v+xuU9_J28j{i9b|DfgBDg+^(6lPha_Vpc4Vd|$jvMTye{ z1h#D6ym>SDB4yB$%}qv|X7-#>?LK)T&S=xdjfNFDZ)A&)A3Jg+X=8Yfn6R)g_vFLR zHf*rq;VV9T=*vY<1J>u6H5V>dEU~Y8DqVX~y);Urpk?R8$(tr$`fL+t`tMve=-_Ju z1JKI#_4*(HUcssN_uiqSN52-GjfpW77w<02zBg(6owXI&ukYT9(#$#;bu@DIRnRT9 zS?4zWKDHt6)iS$N((KHip3nH`;xBUgY0=IYz3Hcy^2u6FnKEV4q)8JdOt>SL>v}Y2 z)1o9ZNlT^*mkBE;sd)4;*d!e})urVjsHL`f<xB9Px*jV-w5EC~gTUfNU;sWh5>)ih z^a0fXlR7#y-1}rK3LZ#ENmX_JSom$vub}F<TF#q~Uw?K?IWa$8{{B1x)2++<70$0- zy<Q)5^|9i@1t6dR1`FncfV>L0bn<{vm!8+wMD*CM{k}$|GU|Ee_jBjY?arv~U^>Ju zTXf@LyY##nM}iWBHZR^;Z6l#@CTGF)?+#iP=kz|9RDVC*_e13I<IYY`pEI^?&r3^6 zO5&cE78Mm0**z}??YfYAUik0W{R{8wKbxD~J9c|R<?Qtrt9r}qdzXLIyCvx?uzHbC z#m@Pk<z`L2CYvr^Qd}e->$t{fqtN1xz;~;6SM%_H`~U(1ttcf_)Y`DsSHYzeh`Lp^ z)x~>C((c7BiH1T#LRlI|%j#dd@Vf3lZDhgmsr)#5>tjLoL-%D_3kw3|9B*9PZ+-1t zZK*uy6m*%IPft!xR`-vaV^R3%Nati>-&rP^mzH$aEe`_6#Znm6{kCjnh*po|TseU~ zd(5`03)t-4W4?Xc@;Dx8fju_qhgvv=)qJMuL~cqsDFj;E?0E117`!a8n(MdxGMvh) zS+4C`aqC^hs-hg_gx?F<<;o5;nl`;%TzOGFn2~k=vdH@7Y4N_B|E*&Ybok)Ftl7UT zGJN8v#ij9}LMBop6jUg?bP9=hPEzrlq;is5NX279hKkfl&e|3`r~S-KC_w6NgSfG# z!CK~RJ9LhfFXdQo{7B4;f#KMVMT}p&R?FNLJtv*BqOnafrGv3eJjlbau|-NS<wB3Z z<JSBMpVHI#mTXW+XyuwQMd9qOu7JYTCLgbcmwzvfwQXC@z!b)_fJu$DYb#sJEdDH; z6)zX0wKdP0Idj%b-mEl}4&w&H3VjX62GQ%9qDRCIyw5(sv%OVZW`)FE2I0696&nxn za7u_>*EC|3i2fq@H;*N+_szBNMS{r_!^Jb_M!4*kzx~Mg*!0?UN>jbyaR7>uX&Ec4 zUq9MqwSC>XzM~%Emv@#J-SuX_d~vPF^Lslh&dr@Ydv<ntOigTrpP%3U)2>sQ>{h$6 zo#oI^XxXrK)wjOht?Rd4UDtIpq<nMDnME`EKkfSUYW4cnpnA;E=3<5ksO*GNYu&xK z964K<7bBy7{9s|ejA7qV4tDYV{MW4n*WGwGwUmi<sS{WDDfQ*cG?#^lT$vm!%*Sky zq|)HP!&Tg+%ri4GjIrVC)w!)fOW|QPU07R$s})of!>Fi5Pc2Rx{t<g>cJG6I|MP=M zHO2Rzng4uSTityBURYP&y4knieA{&}Z{zIf-M8<VZRgFKeEZS1oonBEoMqnYcPZ_b zclFNFoTYQOO#F6{*>HmcH|ssF<BX@~H&s4){^vZWuv75=%ZHNI{F2IF{9o;Cc=^=l zpMTca%?Fj>U~01qx3skM?9<;iZQQs~;`+0#Tep^<jcvYuEv(D$#><|$n?ILY&AokB zqAvdJ)i1kGZQT3Xvav<bWyM56r=GcYTyC#uid}M3Wnx-6-`PAFwI}LXU+0=R6|;0+ z4Ueyly*{<|Q|jL>*DiZ)S+F<5=vEKg;)OdiGTg-bp4$K3{53Z_+1Z;Jgm2s4PFMbZ ze%npw=nVGU1viiWyLsa6=IR?aE^Is7HEo)k4D;u!$rbm`oH_I6>^;!(`ZuTd_4M@g zyg4~9CnqQ8&GEW7N5hV6G1LLA?tJt5_36{6Pq%WnUEj7e_1d<jsoQej%&tv->~Pbj zI{o#VIlY_n-^|Wkyf&Bn)V7<KE*TlWegy*H>&GA60s~O-e(6${+3d4vn{Vd8sLh); zmA$#q*xFiJT58&8y|wwXj?bw|F*R3-rBM<)vU8-`+gh(`wD>)bRG6}PgMp@|HVCX< z1p=UBw6(NWtpov0P*H9KDbYbxu$PyXmKGNmctLnalhJz96-Mh#H4du!tZ-$UZ;|Pg zy2)k3nlr0C7F@q2B{BuP0qC-MEofD>u<&JwTOqYh>ICZ*Asv-DGAx{nrCCG{JMb_l zXe@0x$}G~rs@)OMFsDZ+LV=^3zwKg$h+O+e9yS9W<^YCc1}6ktB+_Q&b~o#oZ#KMl zyF|l%y6hqzt@e!1yf-K4w=8`2PegVuqtwyjI>t#QiN|Kn3w7F3&Slt|cdI4BE^<lw z>zflj-V_}Cls>m;U0}nm1K*ac{Vge8xXhSkXSFZ;jL%0dyN0ZEzxX)kLzs|qW!AyV zS7s(ud~w`)cHf~Or$urZ7AYG(|KOiwr#a*L?6(?$3zh35pYmmBx$yC^I`}U+`k?ef zp_^FAxhDA?+`2297forJqq2&#tVmzvmg2O}2e?g-=~<Ly%sv)h*zi{K-E?*q$-gY= zyA3WBW}BM+(KvU?(&>^y%B}|)f0QRo-Fai<;!S$1CiL2|DY5U;o54S)d27YdcOCc5 z?Rk@r^__m5d+GPr3oem7YWw&YF83|Wi<A!KR;npgG+H5`IB&T;Tb<bfA2F4~kqRvS zX*->I6S>r<u$8(TR2Mf>Pd9#cXB*e0(qnuDUvy?=L^{q}Q5*I7`SXU)R})j6^5->A zSZz1CQR)64%~Q8FceG{xF%~Jgmb(3+!jt!#OiI|kT=&@$H{Ed8`+1us*>`f8&nP^q z>3{pw$~<k!XXc;RFt<(@Gk9~iv}5skekVDRwhS3*rBgo4wddBKp0RkLU|*JD?6L{w zx}4EVTv|4!9u)n;vS+Rqcjkd5N#Fl)vgK}3VDz_&*tvb#6-mG8vrNi9&dPtsdS+qN zwv+REUL1BfxhBSn?W?$QU`uYvJD!_ozg`lzWpNIR@3h|&u~(L9is?!_*C%hkh<!P< zC!nnBhe3&JY|(q>)rYrbM`irpx7i|X_iGLdzSTN&V%A<fW%%`gLzAq){kj*w8JOmM z6XH7l#9nYuC%X;%tvfSsy!~jsY|*v}UxiLqnY4tOP5OP_cilwk6C94ZRTCTjY0Azm zKkWQ%$~9#x=8pG%H6izV!W^vio$hiSnRR5p0nbqdl^YW_{+XUGAu5*hEU2hXzvMI< z&zG*<tIAmdr%dtqTKQYl-dvy0b3=H{9mSKamu@X*yrsb$I%D5$ZP6{it*$#b39oHU zW%mA_mSk&Wes6=iv#aaZIlK;^SElnmv5M(QG&~^2{<d<%#b1UWFXh`gY4S0)xxU#f zz3y$sf->LA*9P)=Cw5tB@9Hh+nQ57x`#q4AW74))1^>Jm7tR-0`D*iqIj4%%WeiR^ zY&(4a^(&XM%}>4sF8p;T?}ftwd!8c;UhAvbZ@mAQC%WmX>Pn4S0h62;30`#MD-Ym^ zUc4ef<=CcachCRb*U|J%;G*HJ1}^!nGn=+ai>*oAu<Z4(ZN&|KtDExd@-Am{7)38D z?p-eX=5KLJrmK0S5X;pW7ash~&%N(5ZSl^nk3Mrt^t9hzrg__IliQ3)<$VTG%)j19 zRd<Sf(w`wcUupG$4g4GQTG*Gq_c35qOrQO(^WAMl+1m9_{WeUwaqieQY31cDn{O}h z2sE4O6J=hT@mH&1RoH>tHK$YFoVK)?{cO3KQPr<yy$8+C9`BGWSY}dv)iZNhY5Ti` zU)NTeu3I1*6K}EgnC#Zazi;ka`E_c?t4iDQ^ULQ(bA0&c{##D^1J7>xbvM81Y!bNf z{lxRPoA+ujo_Fu_A{{r$tU_(w*!xdor1eCM^BR&TyYf!5Tr&Gw>xRr)j$Jd-rV4Od zPpDP3P>{V|B<Z2>yhK#x`NZwk!P*;-RJ{_(G<(aGRB_hlm-_Z&$HZi>9{(J<yU3&J ztZIGw-JB<VhSfh~*aVhyL{9#p&&#^<VaY*@7T?wFH*#!Q?%qhd+Sx99ph!_}0vnr) z<BOJ4-j=Bi98I-s)2H+nHEQfhV|zO}dU0ZR->bzpb=F#??0q(A{^mTRqT@4S>vWh` z1h=ip{4>36(UpQ-iE@XQeO1ieCfB8RMtxO+Tikiuikqh;bXPqMNXw~OBkM75&O+US z`sJQCUU+vLzFd64e(L6&bg$xj{j<XIu1}vk^T)xAJrgEzKgkc?Zg+jw!;rQBch0TB zAN6@%Up{<stfJ%WHISP{?nX>A;&Em=vX6t$gPGe^px<GIh3Er^j*k+3EYhW%hBmb_ zXVvYMmh#Qm>vpql+Gf{vh1(|2J;YEbx}mhICbeS%-#ooD&O3HSam-%bdZG2K)^tUI zrZwD!3q?#6q&{(aEsa)?aM*VK!K51(#Rb~0pIKA?X?oG4rVn?g-Q8aqtZKUX`^`v@ z(>7lI7|!QvVSDyr(DskDulU~t3x7H={o^bCysSsD$@a&;hW=xZ7G4ltG&4B3$x8ZR zZ1#povlDXl5|hu(x?dZ~R<n7JWc>P>-aC|CJZG9;w<-@kF7qNmH(=Z3Zm}i5bM!@Q zv#&}|Qj&kF9r<XhRa@wT&)Mo0asMM{ar^gOe19xkaIN4|g?20dZi&X{=GD6bqe2dB zkD6>L@>MiXq5VV8ZBBOUg!;QX7lxR9lv#IMXYwhveTPdor@ClOx+g7BHMi)J+h?zI z<$~=mw>_<~*VKyJ8)tbqvHa-8rkg*`Yqz&XUk`IPIAdE7Q=E}oVf5+s6ZgXVUM34G zUD7?yZDLJfF`H(wuI01+1@C7c-D~cz)_VBHPBK!+L14khI&apu2R|<8z7W~RaNz6i z`=M*YCj>-1>*c+B`dy}jBWIk{_j8eFN`Ift`Tb#vmYqjnXLa*N$B*ZN{TVMz&(qar z)i4saWi1oq`e53lBK_Yvb%XSorTdaB5<6K#8>6@q+m7y;(qucaVS;MWYbB<u-FJWJ z?`r1#zv(vbd%2g^7Y(K;*dNffVtf0;@`x_$8STXfVl4JlY!Cc(=d#1O7ae;S+~;rl z+sOLbv86Qol6PgrB-bV0&-oqM#F#se^vz$N`GM(x?$wI9U+0|v-NJR{z(e*~oU45Q zMV;GGmaMskXU^xVB7eAfn<vy>XY6B34_K7)+5fc`+XaIc3Jhz!50<L_5o$W`V7yyN zd)F2#QJ0)RBlpuaYf4h8gq=17->zoiI`7?4a8InBwQ)lL>p!o}cFnCB$InQfF=P*3 z8P26?d+9?xM`o77KJFj44T7)kTVkbZ&HrRazvCXx&FA|MHCTvt*!J#E;xLX3SRb}B z=fD5dJG1$Zwc7F}O?vo-;hOiuBKcSWtz$+OH{Qft(OCWGPSW!eVvPGaI6fs#zp-M5 z?7yO_HQ}L$|Er(bp1yRAD!1xH!)?3%o#Z*R*SEi@<fqS>14#}4W-{gTGAQP(-BByI zsr}!!Q%eFx)gnSgr$?WYyIr$tEqn9)%^aZtuhj2+c)0Ft_hRAan&Fj?+k0i~eLpE> zKRq}5^kF_@!<6*fRo9{{68_sgI?$@t;=j>M+{}pAV<A^+2G<n9%<mJOv-zzfqNLKN z%{Y0gUF*n~ke+Jeuu1DH?yN}fZ@4}Ce%0T)9a1%YS*|f`{QJ(Ilkbx#WZo&dcu`pC zwuedm4+}&xtlwO?)U>OXXH~oJx%RUkF3sZPE?He{`9_85_|)(unfS%WEDY;qCa<jO zfBGjcf7<aEEh^`J@qAb-w`Bc`>J~+#g_G*i3>JO2`#SafikZu&=1YHlt+~Q?);wEw zG3F}*GD|d!_DwZByDRf1S8vAM^m)slIwdR!Px$tWHRt@-vv>No?N3vgzL0Ukt@(<K z7px{J-?DG8@nGb2+FaST^68gMt_BHd|La1(CyD+);jX>>>90=(UfqYEKXG!g?J+ng zd(xqhW#9it?~RU$otw+ZSpNQ?+afjh+p=x)p{{#MQnzdjZsXp};m-7`)yODGb=jZ0 zR(=)=ez&_mx}6h@Yz=&T&@A6X{6TW_8KxPpml}Ozjn^^0&@1FIZ`14GR-d=-Mt!13 zPrjUIw%BNcdoSbk6L+_~x-sRDLgN2@cO2z@yN4Ud&+%9qwc=O)&YapS$*-T)7&(W} z=${??z;o`kTqdr+&do;-UfH^A)zN2XuL`sr&d4kJVo;Q=?Jv_f?MvD|lb?%jo$40t zs<A)G!(X#!Nn-jfL4ReAONK|k86PNkp#1Gr$g>OIcXu^NU3<G^!Ws$tqbC&AOJdfh zM*n;^BU6LD@ADBKUD?q68ort*_eRPse7fkBZqZUU*5yB&>&0x_s+*09BLvx2G5cPt zbnIcfqcdT`9I5~E_oNTbyyiB4&I7iit9Vzu5B2Lv7MQ(&ov-nA=(^c6(s{ldZTj?c z7H8ka9~*2eR@}PZaR2_=qLt47TK0cl?`NIbs3Wy;q4Ny>BcZ8#@BQp=;6HNZ>8^Xf zyC(#x%j+#IoiVe%QRqfw{sgmiTbE^>y%jfo%8#3NpCU6QmVCHqbpQ62&ADf;o)d1^ zWODjX(0is&C*HI*=JM+*#+=YpbGyj*yZ!Xc^jF7LYCp5N%=^W7LFx7Xy{pcN1cj#A z97xugF}pO&tLpFRzo(PtSkHOkQM|eRZu4iCr4>s%XCFDKbZYLrEgzZr<6cB>PMqW3 zpv2RvX}WbWx4<!p$6Sw_{2nya%#!$HwEFWSze(JO<KlkbIoN!{kniEGBe$#s9kUJ{ zS}|##-lx4hzOplyriAG69`NrEc(S!KOs+9oW~P?k&LrK%D_$Dh3o70*b!HW--i}(E zb!!tE`&z1(n@PU&xZ=mQT{hv3;903TrjO&7h8M63{eBSgf8X?9Y??o}U+4LHcFie= zd&)1bHgN2Dp2rvF(3<%8<59L}f3N;DIJSAAZ{h*I8L!vRdY=%>GsSVj6`Rua411^E z&fTzg*Uc->Z`68999{R-=}Fq=(>G_`_4f<cvgd5#lv?=wuKhMvoBQTvc}r?f?)$%D zhm<2vhU5I@TZNOezsp#^lQMB@xb0<k{=t+3%q}~duSI<^`c=FyCqOdg+xo)x-gAFn zUB5rkZ}vq^PuA!4%R6SiSbs)ZUw(bt)B4rI7It6uv(EJ74Bz)>)5rBn6<ceqyNcgO zq`lQClx%;`aO3nA+euu?iyj}l^DX!@N1MV8)s=$A7Ev}gVg$6>H?qzz^FCPhvqLhe zzIy8KfJgUZb{v0QGAry?`Q7s(;aw*$3L5WQr5#acVZ^g;j+@cDVm-loE&sD*E@^!$ zNcP%$QEPenmNT^+Z_Ou6lPqh$bLQ-YY08Hpf2SmTc;L6Qq3`M9T>ex3Wv6E4H!V4% z+3xX2{eJ`Nn#}we_Y4j>^lEZM?0?01ZDFxX=aZ>F|E`<7wOW9AP64l3@Put<)oHp@ zjIQ6Pz8&;&>)h{W-43aLEY{ZAWcH=P=FX<Vs%Jcx__8$L@4GC$b%s(2`xL9CXTQ&x zHD~`6o4IQz|Mq<SLXhL*oTE==9^^mhP+Ab(8*Nc5+b%44L1X8%84vC}`oXq-g)R49 z&WNllGiH9C{P%i>?1@(l=}#D1bBnDnR4G2Z^7ZsCfz|V7?4RS3z-p%)V9M*W&cU;{ zxvNEQ#;bi3({H=k&#cya-uV1z@zaon=J)bvBnZStuk?AKvY>og5YLNqGnU7_USqUI zVV}Ee#l1yIev4fmUzm3@abo*V)=B3j1M)<jcQqEZoLR+rXZp2zcf-&<%JX%PEn9Em zazCYJW?_`T+skjm_N%%`aD2FZhwqvMkIVcHd9@oRWd)8u)h{>6Dr=qETEw2aT;t28 z0CDy`y+3>T!jht%xBuhh;Np`~*}gZsnyu;!pT;M)<E?-89{ktn<@}~cseJRI0D~h> zWZl+rl+3=R{{7JQpSteyoBa38{<xp}#XkqB+@tx8{qe$17r&+UWR=a^Q_#YF`PNFu zm%DyWo%`C|@y?cY=d(O4^*PVXb)BwfAihB?vh9@5l{;|@Uh8V@i)RzqobH?dT}-XZ zgYC!OYjr;lhm^e7$8|TwAW*@1owIJU*WCXG*8bOTv4$PLcECv3&GrA*W%@e{yH|>a z)QDb;X?u2g@};Zy*)6BfpI2~e`LZ|vt}o}mDg5u*cFV6*SQk~a9O84d6A0U^IDPRn zxgU2o8MH)R`8z>&ozkaed=r<*t(%>wA;3A^vHkNkaryXX?}N@)@h|UL`-*>M;>wM4 z_Dx#Ut+i{S>i??szWR%*vt+OP`*jzb-Mh<<?bW1?6{41#H`Gu1d3~~1-J*NbU%dRI z9ksmk!1Difi*C10`?x2->EHa%Tc)4c^>e+q?51#=-S^A7WSf?{ZHt!p7jd@HG}5JO z-@K!2Q$kA4={W|7ec5#Q!J!9Ji#7h2ghozZmlt!nqcQ5bZ~n^GPAglc3lBdsD?k1> zVe6;fLvHHU#kq=0q~7~BJEq;<s`p#it5jQO!fM}1Qv<@YFC8-rbf3nP>vTCJD(;&) zv#^fH%QN>c?r)Jgzu`pIlf8lyUKBYA9_BhMeOC3pz_gE6-xw~Za2J*7i|g;5bK`FM zrVY!r(_R(Lc4+ElR47cm{p`AHrqA;&YDcWsWG&vSIQ4q(?p0HpZhVy%w)pY!+mkvY z`7L|G5BklH*t>Y?y(Lv^PM8byRM###r}}-d|Mo>z-(%_{lglek^Q_IB@M@#ri*1u< z9ou8<D<GDAotNYA*TdHr_X*rgQ)jqu^1b`}dGnM@+bx5qC>hkgebM5?EZ8)2?y>4U zEkzDCbIwlsIrZiY?kN+RL@#j7NPF~i=Ja@j1-W6()06KfM&CH3rmK7@Z%1~klHb$V z_Dvc)=cwIte9EI!sN>Ja(t9ZA-=A=a_&6Sp^cyjveD8LB?7wr7^ZJPk@$&Py0!yxx z&V8;EqY-#TSj+8cTX9;2$ch;K=w%`iOks(ylUU9q-ky3$eVhMY-rU*Yn>mk%dqx?& zn7wo2toBzrU)M0MUlUunN8~o^#(DNK)*@>JPtVm_H}6R;U+x<P`CtBzs~<h?%4M*c z$n^F3$H09>Q4c-`zSwl2*5hRBY^yJF7GFM`FnE~!FMi9(?3E7+auyv*-DnYVgGYLY zoZ&>VJ+&Vn3;YqB^y0!Z*+SnZIgY8`BFjVEr+#a^qcmsj(qm68lw3bdznT|oqP=4? z*O}uyQ_T+s{+x2*!aJo8CMS40ZmV^xtIf&VaX#{s#p_vB=kBB!S*$78l=Z>)U;L)R zMamIud|i6;7H<gs+Gx6eam-;G5l!((f%~~ls|^#)bQSuuxK7vHKBp=XwP{NxBZJ&? zb@g_~JM;N8{=YViDtg*;!}^xeQ<<5!+#)2)qKh{sGaqk_D!hGX#<Lyw_gmSw?K~Hx z`AJpD%I#3tlc>vAYJPL1uDKNIu>OU?l1awLLX<Bg%d7RBoSVHsBiCEbL8szR1LMrE zAAU*Iv**qD?{c|#Td@>h!Od3(9Znwm6R`4vEI*%<icfXvg_#qd^qKtUJFeNjbj9k8 zH#MxZ_@}S`(6#*TTQR=+wAh^Uixe#W)+Y(Dau>UJ#4KeoV=dlsc=;VJvA1<z)h5d? zc>HSrVY$wGbN$RNMhU}zav8Vow7*-oU!ZyJ)cj^!$McI_)YT1+x2~Q2Q{_OS(|1FS zFN=D=<b3&+*D+&9kV8)2mcEL3`Ioi|FBga8#mh(Juu5Exn!~nD;?u3jPfuq#o%nQz zq2|vfMKfsyPeX|xYiCy9xVtss!_~*4%fpS{D{Wr2+ai6=mA&`Z-jew+%ijAjtN4Sy z$k&H?&n${L{b<&iTd$WKZ+yb!m9}K!aqomfhwi$Y>2R*OzoT8o`bM&&QQxW``~K`d zy(7r_d*kAt{8N@PK3(alXm)B#aOJ`%<>hUQS##MI{tSqJ_x@@76s8{U9u+=~ZgmBP zh^=uC0y|_U^DoH>SSgpeA*(>L^}@qh>>eA^82_D=6`Wz^-!a*cDdLajRga(RuD!kT z_`z@GAn9VC$vnU29(uBL)fd-VQPxR&QueIbWX-lZrtjRV)qLyUv(~gpn7CeAZa7<_ zdD)VyLfmD+nxV;W{<t%!FhAh%E@Fu)wCj8D@^L%cx8Lh#DawoL3Ns1wEB@%@m^DZ7 zr;q~E8*8KDceTGX5;R0aXHRqXRH<J6VF#1rladx@<L5;$4jnmrBd(#oB0p&U;g+S= zm*ReIx{-Y(W=GP}ugh-zm5f*Alen%B_+Hffdgk1X*T2u77yV<ZuBxJqr?N83mZ@h< zef`QyOL$*e=TuE!SF&x_REJ$TPnx+;nIDj~PN=e7E?=bRvvQ?SZ}l>V&>Jo@?5}DY zOfGoLxv88{M^v6iPI7+8qh{|*Ek5U2HcE(2`+H_fe}AI*6uGzNt8MxDqEDJOwP)^~ zD894S(Bi=d*_QcrhuUVl)bTo)f8Dz==c|(1p4!fQwR+XiM~3%w%Wloc(cR6FKTUhb zy`r4U?f)muYP$0BMt$#T3;CW#A7_@ord#&<eYD$k`1!5aH6Q$xjwa}y&Cu6O4dts0 zj*R{k^xvq@{5W5W=dZ+uOcu^#bDm$RId)e_H&EKg?BU0!uV2{4n@$dtGOw-95o~_) zNk~k5XZvK2l|h9~^G@uT^f}Nb!t9G_<oi`mPrC87hOTVblr4MmRz~!t`5RgGZB)vO z^_$|lV2y{4<8Q~}#XWb74_}+hWvZI>>U@FPp@^DHCa-AuXm?MJ^YV|vZ`92ZP(OR4 zcuuph*ZkQ0hL;z)I+jWveNnbmZQq#<+HX&YrydZzlem#%?*{2ba%Okt<;lINn!<KE zsPd!H+1LNK@GE-mp3}zR(BH#%N3e@ub)rpCTwd6uufkDp7XA&oUgwnHQuf;8mB=>R z7mFvAyCln|raCiv{r0i)RX7*)YKz7NqorwOdv<E7xA;5|2<h5(Oos2|6vJAT4Y8U9 z5C3i5d?@SrwaxMK(obKkc)u&F>5%@Wt$W!;G*&Kt8PN51!o`j$+Lfz)C-Jm1H!x3N zZa#JJ$%BNA#c%bt$1JEaeCo7{m76Q<%>gT85r4n5vNGm(rrB5iEWO!iy_@m7RNV2` zXU@!+%DUlxj=A%{`i2c}%XZ(*+kP8HP1`SXerEBV%7?AuaRy?sKRUbj?&qF+dZMzs zA6Kl}ix2PK{P0>}dH7J-Umun4Q*={zmvE^xq^IpZ|MA_so}+pi=-@<ikFa~6@bewa z?0hm7#~#f#-}8U=xx0Uk{Jnd`mG8`oIG>#pvJN&iiE4y6L~fikp<(*NlnQzI=0`7E zgr{#h+wkK-<N2<uufE$~$pS6k0ZoiUrpYTO=pH%xPgkb=)|Qv|+s^77w=djiKl>ar zGc$8{P?3|~@#Qlz%nUVE6<_vlf4@UwpLkQdqEwRJ<l@Qq%I2Rgv`pSuyq2Bg@=4^W zu-R|tKXqhcI@Qo{e6Hz7smilb$$`C6rW>T@K9jT&4bYtT?A@DJpAICfuP84oHC+Gc z#q4Je&rH~vj?@>Z+x+R7e-L~O7z$x?!erwdwX=onyt9(t_dh!`(>Q%c%$(nw4Zbi; z)vn<_54yYi>@pQ$4=<m>ur(#|drBT2+Fqc0yLMh{^38Yg8<Un^{?qMjxs}0AoaM+$ znOTkNKPt%dgjWjeTNeNN`uhL><M&^S%GSNoc$kgX(ZN3IH~6F_1o8Fz<A?cd@ABCq z)BH{q&NOo0`CWbU<?HI-zn-nFJ!V++-%z^lpJDtY|7VXM>mN=kYd`wt?c2gi{(HBZ zyNeTel6kb8H0Grxb$S;rV2oR;;lk>zRTR9W=0V}@zt4je{U2w3_`m<3_^Shn&20(` z<ZVG<FHa>16vXlWiO>=2KAN-<O8wn<!m{n~fyBNI_xgNH%I0maEHpcm5SJu)tn6)~ z7F&<jD)C^wB~c*@gA_CkSMIeeZ0=@y>iP9-=k2n@rK+151TwOiSs4H7OqYnzYF~Hm z_5c6>>;K+rzV@|hZ`}IpuVK`w=AQ0TcEx-CRUDtY`)O=Vx%vH*<>lqa7R|kTNiQ?q z{PxY8rE=nvYoC4Ev`$Zt?Sl&3<^wqfqG3$NnP#dp9fFda7JpLP(`)?DdxwzU)0~>M z3<3tBxx44HD8Fo+n&WuLW5xkXn;TB$+&Ro{pPU%e_V%Rj?vs1#e1qlNx^q`|GClsH zYq8f|W>MYWUthETMpa*EYOLD=S_y+R^MA_OMa_w&(oFs7k2h~J#7?KBS*I39Zt~IV zK7Fdkx$T3ZbC=Vkk2=MQF&@uTKD_&1w2AkBMA4e_$u&Ip{vMxJw5w!OUFo98MVcpF z#9upZXc1|ZP?El#C;r;eYT7>m-dE@9j&6=U{_pz#|8iSm3_;*WDe`K7iy8kl`X!9s zeLB<pLBV>{MvHQ}yKZm)bt1}<FAY@>pENYpGX4~NwwPVy&FO{(597}px_s83Bgb<> zfk%piovDTWr?TFu*qMJe*1!4p$2}rKM$D|e^`uQt`_B)CzXN`Kc<B7hZb!e|X-i4% z)O*d}Bg76fl*%67_3&=MSp(nguVQ0rPiOD8`Blt*QJ{Eb^6uD}=-ajj8Xm@Oe`RTw zaf9j0V`T<$xkob!E7Ed`Z?3zxKK?c1p*C5IoC^XhUmj0h=<d8QKm)e+fUDK1aza8v zf^h`TG8Sg*cQv&q<KsVv|M{A#Q>D5$Nniiw>C>x=<%)t%CO<ov%yD}1>oC(T*-5V= z{;tYyeG!?rHMul>|E+cJ!fw}=>v2@xF+BJ6!0-S6>l-#ChKGlTi~orMfe-eG)drt` z)_kk~q|iRYJGE%qbn7RwANyqgmdlh+iD`SjgpbFn$M(!pBg^CVbIT>GAI(3PxbAFw z{uK#>RgkjpV(y9tua8%9yo?%`99c3o!Fu`Q7usK>Z~tBAwB2yW+{FzuWp#rYI3CI9 z23(L<XJlwH_@W^Eg7Z+rok!6%+M1tbY>pSYXRj2^J{je;Hd1@#(o~(aqmid|EWKx% zrmJq=WOaPj#*{TGV%LPcJ6la-mI-$1?$GOZ=22l1+U)P)<<lUvr|@$VcM*&8k;c!* zd}Y!c7>}6AZ8>7BFKudj<jra}hNM}N)-GZl85Vmw-@m@TKK}pz{q}Z}CV%{p764hz z^;75n^y-yXrD^=p@K0B-79Kz4m8lwiG|Y4L%~Pi$j%++)_&DH^$D@wI6BY$IKYntZ zoO%C&x!IrhMqZ-KZlYJaHN}H;JCY*J8g&J>avqG~+sJt)PrP9F|78agN}eyeEt_}q zV)%Y`-RY|@%wK(=Ipox5BgtavJI4ys3oIr0Pc;`S&zj}#r+RO(pz7jhK@;C*EnKl! z*xkzQ<)n^JI+<qX{j=QP2YLufUc50u!uW*IDwauq4BJmeb^L5uw6b-Yi^_~o+ApU* zF|>a!yNOTorm9k%)5R-JABDS?U792)xbteulGZ-ADH<1RinO>sM0v3A9LQd%F5<g( z>$Rxty{(!H3Ys`oCm1wwm^v+BJk-N)^CE%U_`rjcFI`xD1KiaYcpWj2DonZ3@+5?* zEx1!_xloAmf;gS!Q}iYU6?4yQ-NW><qiK<-i!hI?VW`f+DVnp~WOg3C?&Rg|=5FQh zv-~ON($195otoxzEv%Xzcw}U@Tz>XbH)ht<SrevA>$&%s+f=c$!y)rz2S>{vT^B2* zol|-Db0_sp3iViO(d3XNcQED1krI<d9(59Rl6{3A(<bxe{hYHTQSJ`+jx_(5&sODZ z50-qU$$0%urqJ9cwZ<INpMT!<@V4Up)lY9LO8lJ-T8r7Y&I@!#=mC9o5J*R@i??1n zd$jn|ojDs%J+lt661_LSYvbwMf5FQR?EXLH+|naKOLCG~IKFH;ckTMFsQT*H_x9;s zUtXeV`)+OZ?|X)O-yD{{({}FK^=ntI#My&Fl;1pqgX!1DlNT00hAdx!lfRB1Jb3Wn zTbItoE{0+Yj~u->-}#~cqon75=ks*V2+*5yzUX3eiPGOSvv=mL6Ba+$YP3blDn3I* z!+%?J=|Y2tG3HM%c=feM%iU{evy9nM_wE1s`2BT%|Leo5Pw)!Td^_-}&=1?UZr!?7 z7qs?m5^CGyU+6sZC6klx+&_6Sce&@CC7gS1o7Jls3eV)6tUB91T<H5huNlXf8;-2_ zW@z~R<R$KJb$jFdw%xuvi)U}b`|Gc-vVCDIoX^p~$Y8ip{Dk$Di|e<F!79-CJfLIf zvSN5SK`Y=6_#@R7T2pV$KXvTbv9O-&W&cgLsuaDSzeKrmrrUhhgpiGnOE*kRN#gYE z>^w4yDa&()PN37<oZ7XkzpBXIsy^^C;9uMRmv?;GwZG~A{eP?Z+G$92J)b871U~qq z=H%rzCqTzS-t_c-oSAv-T;i6q_dPCpJpbG2W;MP0h-=p#7q=VDeoGdARl4gj{ac3p z>$JUVlwV%1Te7@#OWqRkmzVsO%>O!zyHw)9-z>eHQy*nSl|@b4yHZqkuADQm<4Hx{ zxigB-g5;Evj=7#yIv$`RezZ7b&13rqtsNatRz$Q;5uC(wR#in=d_g*QZ{DqYhu)Mk z3HcQ2XzNNIoU=S$@$&j9n!#y@?WgSQTh4b<s@C+x1jWrMMY&5kl3iZBem%K;%LSdx z4;Lkj(|YREdQw}NH*>Y|m>Ax!wX?H3+p_+WMaaPk3lDee-@NCo=GHTVcfVd!VNRjJ zF0SIOclYky%lrShxsk=C%naWykIpTIkws}@y3$H5KAZxq+F?Ehj#utkdA-kArn*!` zbT&tl<n13DL{6*MSUOJ8ImqjJc`}D=oKI6ql?v}!55bk!He7Q(WvL~Xn8PfPR<q!6 zcjT8%Ip#hy3{ERrdaVmwYv_E!a_U=;TeHG0-*V69-MZbcF)4p-X`sHQs^Y{8zgQ10 zaa4{}Uf(uv-aMJ}#t|B>49ZS%adLta+3mfhBBT-yG-ztwI?xySMCy=O(#9LS_Q8E! zsW$CdX9_CoPkxBo^!n?rhyBxwLV6g3uTBxWbeHqxmTT)je)wSa$CJB5f8CAPox68m zwm)w$tHB`D)VV8bl~-5RsuPBL;$Fq+mz9+nPQA`<v}DCHGk30yHG)YiSFgUTe?C|| zMWNY?S#y=9lKnon%eT7UMQ>ZZ^;%RtgU3Hr29^Jd=6js-V7ofgc-?Hhb<+BAdn#UD zTIwyx&FA!fa?6}mvmSo>7qz?D{nCXVk&AOrm&Ln#XMh7Z$48^>VP?Mia^BY#{OpxU zhx3!(>tDR{d-k`s?GrLRH922)+B0~ZYjv5tn^7olZoRym%6+3Lp&p$XN*_75Sw*bP zxVT7_Q+4@{)`(3hCzYHhzKGtGaIi_$@8aU@oA0>iM?`Te+6vS^6jPe`$BV^E;n|9a z)+?7f)s;Dw925^_v^B^l+EqCm+_$H~ru@Z=N4HKboZ9+y&!$5gn?AG!urBO9_;!wX z%mif#_H)wax5RF3;JmZ$T2%ISwcK|?sv+C9`YX+m^K>>2a`Ad{W=&3qz$QaObFL@u zGy3HMHt6fiotL%RwPRaRMrxwv`ZX=ucLEk>J1$H&T*xA1HSO)bXyul=)ZOpTy$IX( z`|d4AG0R0vPdFT&1oAw&D78e8XURmVB^_EzI_y<gr0W(gJ})HHwD+gjGRNXJR(s>r z6JL5C3U3y7)92^;)>WP!{{5)8xL)*@l!HY_yQb!?;}YGe8T9DEB8SSL+Tusomh_$k zxz}{-+bmF7t1&@$#TJb+UP(p<2Z0L=m!()_jwA@MEL_skb5~ZRfz4rA!Gt|2I#wLa ziyu1p=&-m79Ft(^VeC*y=waj%FsWd8-<{~`$1X9)$S0WhNcsz@0QI9&i*h2S8*f_9 zayW9T*yXpso1b^v`7N71r$=eyIoCa=D}T?)woVDIy<>Fl7Jrj#Y477-b7CZ0f9_^w z+;nbfTl&;=-b(L1Cod$1*Jl2YQ+&biBv$fzmHyiQ)+~P?iv}Gz##nRhZGru!kN=!s zTTjXM>*}vC<~f+2l_jn9*Wr6WyV^#R_B&jT9be6zUj0a%+id#8SAB<ns&)99d-u=y zw5Oh9@^X7!G`*bT_Nvf1?YoW#Mei2MXv$`|!?0Ld=c|mxUR_ODPDkm6`h~U$A%Eu= zne4W;2(YmE{c-P?)5!r^j;AI&&sp6jt-5wWvQp%P0}sQu{`onp`CYv{^X^x{TB^&L z3$9jAT(?MS-OjKsO)YyZd)}R=`U2X$vYyY(v2aLQk-Ua8^r+dwl<tQfR}M^<@2Z`B zG_Hho{#u^-JHr1Zz1DhDK3(MT{@9;08GMrE-z@36+w(tew)OTM*A*LG_MTqb>-?%& zwENbpKYE*r7X6kKx%g1(wau){K5HwNd2G+mJbg63EzCA}%k9luo*$aNM%m$Q)S*k9 zn#X=h%9^fdbAJ4+x@1yL3U3*6|Mk_EujeU5EHN*L@lI4;dS&(Bk9=i{i9i0;p1l*n z$C~rFs^`#(X9@hD7qN7yUORShs#DJILK**_Ngw;$U(~K>pOaL4)5Y$qBUj^r4d)I@ zeVSGv{G#^oZcQoK%)b@wOYEmOEsC(1A^2ZvwO-Gs<W*K(Od4NW-Qvzl=cGTBn0&&% zZRdIxso2@4mSnnR>uILGWxQVO&)MsDXXBMGW-D9k7i+9;)~*rvwr68YF1f*9)Y-7H z$L;J(uLILEj?}7Lbkx!-*V(`8yjf_s&+D7=^N$}s-?%wpH_HaUG@tUEo?|68p~+R^ z^A#R+&oWB%tlOG<IJ8oCK0{lt$o)Ao!mnd~ACH$je6DZPQQspW)mFP3Z<x3p`!YMK zb;r2~%Wn&nwm24+7}#y+JoI1l9Aj>sQ8S<3pLrK#4$Q0g{%8I(m7rjyk~8*o_h**c z?QvG)V*YhgqVU|y1+EV&CjEL5YjSo<_6+;&E{USc4j6d;eIc?^v{kB6)z32aT)^G; z%^^xg>ov32Ff4t#`e39P*P>rLUwUj1yZ0dL;XLWOaEY4xC)vAC9WIX2WNAKh`YDUQ z+MMP7+l4;$N(yN|zbJQF`F~h{rH0(w`JYcHPAWR8_*t~?=LTKgdw#p8D@@GTrlkGo zg{F1<++>Y=-3!>2^rtO6d`!%C+MVaulcyzBbJTDwiEEntD_$zF%-}gM>nXpDGSkK9 z@7I63^GJGxtjnVxf3o>h><abvcz^%>C(pFv!<WarI$1aFZCCr$Jv+DWW7fW}>Z(T! zT?36i8gAlQ6y!Xq>iX7b-s^{*&pxk+G-7jpxVa+GtetV;=NeC==H@3GZ8CQyY4gRf zDd)ZKa*n*aSwntfa{tm7fq8|OgI?abe&f!byiEtH3}e17EN(l%D=X2s^AMAK;n8!` zI67KH^7htD;hPrxx9!QbdB%V8jy^P0+3a28V=_0KD^|5lt>0K`y{i9qb0(#e5*<Ry z+zaYHJM}w@7=H0_fA!tvTEpuL?Pm?r%U8de-8X&3omu*s$Ng00Z?~<^W4U>`Ey(1U z<14)-lXiE_+Vf!Pvq^cbvXxUeJ+_QHb=;?8Vt|_1!t}X1W^4zA+v8mKZ+WO3l)i!2 zAgv<sLD|8XC6}g(H?PuPR1&(UyZ6EWoYP|SGERk^IKcaAY2bf$&4Y5sHMYr3U8}x{ z)%3X|dwW-q%x5vtji00J-HvtL*m3HD#j$(yLa*KD72njhM(*M{39awJy|sshW;uGf z^<AH7rIYXVP4?9nN1LF79oKHu3Z1uMm9fmc+UK|Z)vU6Kywl$Fxi}web-(1DydtKL zL%g@fo+C}ie<?%Brp!&sr`%3|et3T0;{ubz4KJ&-%g?S|_iJjZnT^}M>%2>EGCrHQ zW~x5Z<jxabh4}}j&3stu<dn1B(=}R_vF>$7ljg?Y(*9EkeY@|<T+Pq^can9^{eM++ zzB+A5QSSM6ZqIvzKQ(6a<FxXOKOYw1o|zUAU^%fhvFV6oyYSDxx3Q+>$973~+>8#~ zC_mAg{mA$26=B~6LVv{FW=?f#S2@_Iu6xS5PWr0~pO#Je>vP9;|1^_KYhm|TS{tpA zCj5l+)HyYgZPR?Lw%vcaGV0RoH8(Uk=E_;x8)hl~zp5oAU1Awhq1w%`v&2SO%)loi z%f_%P!^vRZ$4db!@7-jbIB!geW%Jroymi8>IQLCc58tg9n1A)Uz~{B|Z#SM;<)|H) zXK`Y_LwUG#NAlYBwGQPSg_*MtxSOtNUcRNk&v=oc-LarN)vcOaZ_iHpSnD9=urKYJ z-S+*FQcMRIZx-$rx-TYri0zhqo%!b6f2z^nRc1S<z4*%cf69sZ8|0RYG%d_ZeI&Eu zZw>oO)hPKVHd^k#)D{R!pP8rcSoBgQKy#`zpIu$HIM3-t5;cdJecAP^)_w|G@%s1E zrN@79Y8;F`n{f5g$)XhvH$K?wEhzBaXOXowC(nIbgVb)(#}l+4ym`sHhkZ*&ZOf|z zPU-A!H~9{FHs+iD+&f`@;X<SG*pg3|@^`bWWA;9w=FSkAw&%v`KgYW`9O7lXdv)r$ zFK_YS)3~D*|D^W%((8P7`m+z_e9djtzbGYQrINMF_QT!$uC6z8KPGAzuXDJ3&r|Q| z^2Jh%Rpi$FlQ@u*s?)K@$CPQs`Rp%D@kQq!OrCk4D`u161a9G`hr1p>Zsja|aJWfN z;+?Sg(&;ONLcg2mO?`NGW5B=syl)FXoYkLi((~Y9k3vj(^TjLQ6^_@Pp5D{_LW;qh zOJ`s9x5q_4rpF&qdRd`po4Kwm<UG&!ZsB{|_Dy5mcT4KRqu;3>y3?0gPyTp&@8*3B z-*kMw-;AGZr19$f^%p;rl8f1NlQ(1<bhj4uz3)@@nWtu)ca~{GL%G|<7yVtjN2f&U zwQH)&$Ovbaxm9Q61-unHqLMgm-LBRco@cLk?p~W?)E@c%()SpH6E_qjHt8O`wP=-c z$oYUZCtEi?bujo|t#~=S_Q1@zv!YAqtYgXDmff^j^mgJwont(TpOtF#&S~#`#b#L` zpu4>2Y*P4zL(AXROP+MvHb2I>&5CWN@cY@v+Tu^X<9<_B-1hLvHpZMBiR5YDnYNxR zpM7Vx`SOh+uddwOY#SACbAn@!@#nnPn`Q?HU7fJ<=&9he`)-;CBX>EtZApEw?8dK4 zTO4*<nRS*o72b6(Sy8o?qe1_W*6Hgra<vneTAcZz<fvgB>k<5-rbS`W0kg%X_g>u! z|8xFvY&h@E8;L<_wR$|9uFAYhufFl>Y5$rdroqv*_VxPQg01gw|0%J3HNjtKUa4`1 zOpNBKJ0?HcR{yeFVPW_9fYH*r{k5NG-Bw8SFtq*F`8Kz(@|c<2>NhD(?6){2c=Wtv zl42%)tw?iPzT@kiowp}<Yn0uVwCqs5w`i5!9r;%h6<?&I?rc|aI;W&G!L2)9d+LGo z-nwv(`%6r%zp|T0mVZ#%c>U*!rtKFw{+_zT6u^Ay=Am%Gwsz+fyXDiDJmJ@R(JSOs zIo&5vZ~5B~-LXnc_9`BEJx3quBz}7QFLLi$Nv)elzkYUKIKAQZik3~$J?YU+-eont z!V~3>dOn)vaCh5r=O`W{N1K*pJ+X|;ZTa%@#>T%6gzeaCGsi{RV$t;Z`TH+R8lG(z zj@uQx<;;)IdDnZsJ8E&vJoz%@u~vK33iru7FV3y^wp}c8_gBP5ukK?fm&k7}owM?S z?ApDug8UjM1@$Xx8okaetXX9ja{bdQelb^ruzzefZnqwJ!z=#vSzOuKNaL_i4*M3Y zec!RYZ|9zrQ%|l6Z+^J;N$<hmU5{6}J4}*a%~>)}UbcLOa`NW)wdM2wil6Aez2nl! zpD&!EN`qN;at9|!hS)zU=G1-OwybzfzcK6Ikc*5AX%)YhWt_cN^;fo{Y2L@g#5>Z4 zcA|3K{o&c)LU&L7->|A?(!XoLzhq^cPH*r1BXeloz8AAS--g~@UGnu~{JGZ`r^KqK zv|oR9(Epv@u3r`pb+7V16_iLS_;P!<Y}}DEFO1au{uqBtWnVmBShN3}mAgvjwB-89 zt#{pHPwc$aczZ(ItvF7$u<|O6IrE;bJHBRp^`$F0{UW|LdimVN7pK>)E&KAe-M)P1 zzm;oWdfVT(Haxhm!7e>>t%_ibQK;_|U$rCcuj=n=z6{mn$Ph5fc=X@CzUjLCG`0I% zcg)>Z=$Ail@sSH}F6ODaZJlIL#lt(pS^n^*id|jB8|Jel+`ZFuLB3VAJ3{qM$?j`v zF2~m8Ew}h@lQ-9Gw$92U7d{?*{iPu9j{o2OGdAnD_FepOxO)BfrsRIdd<phT3Aei! z*-Xyu?`!l4d3%lD_4~7h)yCK6=k?2fd3MvjtuX#bTH4%FPM0<#k(*X$xfwrP`4!35 z(|)%iRWRU2&FL*0Iz@YaoH=^ym#y@S$d6Oa4yD_>zPrHLSnTy@>64Goe>R27a93s| zZ_8GbdFH+6ar2Xxos2VXehr*iyZyv3-iPd;W3rkU>v-zQVjeyeV!GC!cumP;3Il70 zVFt(Zi@{R@{-!G$Ye?#Eiqe;sR=qt}qsQE>&wyK1BE|Or^Sr+6f_dh1@2GQ~nVHqi zzw*zI&4!AXH`bOkhwO4&6}YEn%goQw?w|hbQ9kS=bJB2irrr9)kid)YRaYtbdA&%_ zWo<by_i66VSH^2HypFQJyt(#O<Og3jv$BcpfxM?!o{Q%@y3eX~51FGP#<lA~N#6_y zn?-Fg^5uqY7x}*bf11x-*p+L*=fL=M+P8hrXK26jOcvN8|HY{w<7s@k$E<j{)pN3B z!?u>kPq&ie-I}oC&*48u-iZElm(<#C%dkke_R`yIMcHLvXZl@P`TLyh`4g|T0)7^L z{rc+Eor_NM*DajC_?d2Id{x7vcPeL+6ur0;jNH!$8T?dcS}vP0Vdo_ezb5?#zK6UA zqIQ1!`}5G2rf}USzS28XmpB*21T!7#{(oS7RKc@N38%%kRVY8{D?AV&Tl7%3Va?tM z>6)M44A(AtRClEA_<5m^Z3eHp%Jdtb@w!;8Hfi2*sCL60ncVG8b;sNd&di#Z_`Z71 z-^WL{xRjqYvU59O@$ci2N5&hj=vbcoW;}P|O}mPROK0Dma#Pow;q$qvca}uuRF&FQ zd-ilmzYDG1-M2nLXqt@tZsXFAqRY;%nX^y1FvXqoblLr&i`H%8#s#;zl!WGGENxjH zoHnKB|Nr+}bsTQ-eidx8*toYwZARM`$1^jF4s9uJUwfhK+rgD5mN7hPmYehE-_PCG z`}c~y>YJjyv}*h0RXIJn5xjD%ukplo?)3d=5-lOzaUu7XwY8}DjYT}EY8N!#{=HF= zH@j$g^2NJs@@w`cK9bk!jp&pWT_VXUGt(<1Ms=5NXzIj%!8Mm9UTvRU|3<1nMQ@QF zi$a8D;TO~G&tB|$F*8H&ck-7PabK)A-hH{HgYn{?zmEdmJ~1=cB*XUj$SJ2*z132) zzR2DFv|;h-sAosGnxCu?v79zP*Qp_|E8E+&OhT`HlbDKT>YpQlN8epJef#IOnBBsX z{~P(fi#{>^74)Xm<oV9|FIaqCa#oof=rE|hKRrN8h+QSGWdF6tN>3-VU)v`?W7i^| z^4Tn6Pn|+n%~~?i=9$Aj<}1067(eZ{Kc~{i?$lCe(Ra`BoTuj1X&0^S7_9ZWb!J_< zzApGam&L!?nMs}>4?eP6**Qb6cw>{n;>G&jdL3Mg>KW6u-TQy`=FjOj@5_Gn^+L)y z9qW&mSFS1gy_@solJ3A~@xcZ9k=~c=J?Cw0ef|2>VilP)ZL?<^Z&~uVagr*3;(mAW z&1>bw=N;KM;f&XJS@ZIAopX{Qk?z?W=QvlDaY^1|&*Qn^7WsB(TkGT8b8(qL_aY9S zzWIB1<jt$qF^<0dW=jN;ZA)&=Nf+LDU#2sp#DMjwPD9Ea>mNM+u8~cvx{~j1+o1Ed zmiNSrgMJYgO>R7xJ4@|stE=W=(YznU1t}(vlO)>Tsop<goyvDWK`F|{bf3%*?&U0V z^tFO#iu#An4(Zxa$+6uy|4MSF)$5d<EXUmboR)w0d12fay?qx;w{zb;B%!^)p*k+b z!uXs+5a+YkQ@`%pq&9u-Pu4^!AGxbi0xavk=RaH<{$tytZnwRCXSRA6-V6#gE_s%F zWqbF6TWNQLpEz#0DW79>?BRh~_l5rd++BaK*ej}EWkq=fcVNPT84;P&pG<Huo&2K0 zI#`E!(;KFJUiZ?z2!Fn$J^#L8`(xqVNmEz)-TYa+W%{BE84|(b&VTi#cXpk;_lmcS zt#4*unq_xW&G+S}Pl?5AC)6A+WzJ<cGZwtES4#5CJK@K7cU*W}&v?c%U|07-6H$>x zVT_%J9A8aHPcI8FI^wy5rE7m&bVh`f^e&J6M`~gsUmx9_zNXh`@jT{7vy;l}vQ8Hs zw4b$7$-SI=o6qt1aOS_=@ns4f{Y~xrzXx8a+kQ<%f1>E`*B4Cp-G7`=rTk*C-?XsX zOFS=p_Y7yax52hH&e+&v%iW5W*J5ggj5(VwygYty-~TykdKoA4d7ozc9?SioB*C%U zLHOn3i6^$qvPiPHR%0Q3`<S@+Y43Ft-aP!B%<wkq`NmnkioLN{;(s{&FUZM$ICT@3 ztK&uGLY?EKx!q+qjcO8K?g)^)(2{Vs`u@jTYtG(3u6ZDav&Bz|Y1u^MMN3L7OT)Py zTr2t}>Z^S_;`2qjxt|5OrYw?LJdJZ}FZZpl%`zM0EvBEcedX)@&{z4zSFxR0a=EoV zGs~~a|8-sG-&pd2SKNKiU*>n~_2Sj;bX_yr|G+qR%Gslz<a;+JIjT3^v9bR$Un70) z<0|F*Uk@&}G49)!ZP@%a^0%{C+RUkEK2`TwpL1LFEL?Df^i%Ep4;GJpt-M?HeUAU) zC~mj@%I8n_7am`*%==MT?dk05O2@>qn_oVzVJ~cwJhUNX{(051x14nwUj-c!&}qCI zHFLq9O#!p4)lLL#zVIOI<ooTj`BzlxW^HGC+xz4oWBB6l^J7*pPG9GJ>QARxO_2(R z+oWT6IdgUYWG&nO%8*%VlTu~I**)LbLM&RZ#!h-$IOm%B=Y+d_3Cd4xHx#kY|KNGg zMUr*Xne$!i1hn3Cn)Vf}W8UlO$69&!{!OO|51!Q=c(MEJyPN;?l5cswp8V=Xf}i%k zLv6oLd%8ZGJukoVap(Su^0=Vgw(VahB%Mnw?d$$CvH6g2@ZQp7*SZIvR`LD#SrGI+ zF{U-k;+FgM>q{>iRjHjZ42q9g#>dsWj^*Kw7lK0E>!<D!SrD-PTJ7%((sh3;1AWxw zWw+=v7L^C9^=7$EDs0+c$)>B=^S$cv@mKQ?2wdDJ=y{_vf8U8C`Jaz>KANm`;(b!) zl3#a=W?Xi7t~%d7Qv7MxOG{Oy4>FIBhgR)$ZP}e<wr{ar@XbAMy=><?TRxq8rmo?^ zyq??P)%wdDBk~RRW`FBh+HiR8mA2>ZkFuB5yorr2>pov3Hla1g_wI>rYn|^X#q%z{ zHqjxqtw-zY-DDkp-j^%d<5u5Z8DD)#$!b^XRK2j%3o=<)*I7OB{*m49^5dw%<|hX; z^iQqi`hL>S*hqwVe~ZSP@Ps`5S?ks$=Wg)uiHJ$$bSdN6C6c^fDd5~?-9H&7851w^ zguTh{sQM}}d)>Ebz5*vUWeWd4YAZY;ej~3K=ZP~eOkN`UCN-5Qy-3_B?)SYx^r-R` zshxaTS(CE&%@&K7Yt{`-3HiQU<}dRGduf&0sm~W)W04ZMvt|9!w?<-XezjiMe6!`v z=k0>UVKvPkjLrI{To89#uULLe?etwMCqBMQg8!eC?@O2~6@PHU%>0$z;_|PP3cqL1 zVKM!dvEjPb5`}m5;%5Cnp01o9r%>VIqCd~9py@{<`^wt^44=*SR%N$J*F7)GV_Dv> zmAtZ?@#by5-&dNvR>a<{QWVcD67ctCc5^uY$Y9xGi^D6zCn!#dRf*nkl}S)mOribu zSI!oh{9CLupZ&RY&G+DpR;M#br*<@ouU<3r_d3zt+qKqI+Nj#>-p_sFK+e?aNv!j| z)6P9xt#jd*+HtYA(zk^HUuz87TbX|?jkw3E6{9e#c1_CE=M}4DY}af5k?ne9ynM6c zuX{DxQ%)FO?~&}8AJeFtHs$#K9oY<@MK>SRR+@A~dHUBIX1OdE>aM@y7n=9HDfQUK zEg_5DHO&wFU(6@kz5nnUc3p9M*$1^ouF7IHCOZum7U-;8R1y=}ch~n!-Y3W0kKP(< z*myVWF}Z3L;`(*hGK<*{_dIkD*5Q-55np^ayJee0Uv>TK19N`3M%~)vZ!^C~A~EK0 z*la=G@Na29J7-R~I{)>?Db~$3@ynB9Cj<lqoy^@nH%!5=G5P59g`0#9pK@H-CT)G} zn%`8v5cB=JLNdEH-0po9_Hxfbv#2jE5w9M|C_YSL3EW}V`?)6Z+-)|+FD4cLmTSm< zTvk3eJ>Zb9`LUn36U+({eb$7wd+xd7mnxYWwvqYZioOFv^RF*Wy(ypD_{{R|gr%>{ zQa4H@uUVe{$KX`6_KH`2kM-wWJF?Gim5i^pk8#<-i!(gzf_hJViR;zLdA@SJYuXBD z&hWyBjtw5%t8RWimVEACm~@tKj?5WWUjLU%1NTL&(LU1p{L)^jgFcpT@14xayA#F# z@b$9O4;~t>n4_4&Iqf0$p3Rds&-wb3z0M%Z_Tv$$5AQDjoN8Ih$&qmI_Hx55M=mku zOkLj>t9GUHMeeqUIgBljRn?xHwG}yZDRKU`Yqm~v1lT_b)ZRLCglpY{j|+3u=08y} zk*iL#42bwJRoY~_Xx=jAW75ed#82;f{x;x8kqVc=`&AoQxVS<(?%Rk2`^$ead^vm0 zvm!ljJ4FX;MRn_cf&t#lEFugbpcj`KrL#oMq*RKL0fc!OI2jU4Q!?`dit>~7ic1nh zLs%J@Ep$$#Yi!m3yI|%nCWa}pY<_c2O;h$1usAx$gsp4l$*w%3OW^`>J$qIu^B#Zm zx+VA9SFhT=LGkOaxL#wM^{sI#yQvkg%&bG3WEzW1nj9?7++tXoclX)nRk1BsTykQ) z*Q$J$XHt^l$mea|&UP@``N3Mo57$1FXMfmj`sJ?Fk38OLsW1CJ1>ChZzGLnBPFCsr zxe3+oZPo54_6k3_*ZJ@sW6}FRJIZVBSlhmnm3SvB_x)U7wfphClONwZSonTV!TUQq z%5&~mo4%73e<v&T{ajD=^44niV|x!D+AI9{USr|=H3jeY>?jX;@%Z-T-?OHat3SN! zSzbQr?%a@a^^12si;D^i^5ZApxpm{(m3?YUK^N)O`YK5ab2I;*_2uc*D^rh5-SXAc zQ(IG;Q~RaX$yeDyp+Z-ATsvx9rIeZ{C>YkLMlFuH6R7)cg~&S%v2qcv@+)1|UPoo8 zKI(HSbf3FpvCo~rh2<hIWJ4eIDHXcc-V2;p9`aoFXr+7aj>Ts0HO^XVeeOH7XR+RU zjnmevKJ_iyvsmrD#z||fPkl{$7R$ZY5G)Vrus-#%FKf?YiT5jxT5}zdU0UI;dM~h} z{K`Yw)Czaedx0(GS02c!R=Bg?3v4LAa$ojox%=0<fi>k<?#V8l-d>*m-E`HvzD2ti zKYORK(^~6YU(@cz_ugr2w_f$OFKPGUYwt9+T5G-SbLzJ0HtN>uR{AYvDyAwXD#j}I zRrKlCsViG&dmcM`<j`4>$7xN4#%l|V_wJY(vSVh<9UtAiWg>ZMV&=kJ=9jx>dmcSI z<x!ebq4C@uGkxy(EHoE>aW>>pno^;0?LD7)=E2X;9;r0$-7(WFU+wH{&Ch9v_RQ4F zS35m><)^eoduFQTtDT&!`6;bw&rG>|H9_;>j@c(are*D!DUrYI=xoj-XO~nMtKReJ zFu(lpY)XZ(=slkn^UDv;s#F-W-t%cNzkL7flXBy)cYSKiFW)=6<oJ~1Eyqiar+lBf zvaho*v(K|n^PRS^%w_4x(!tWgx5Jm2s+le`Q8QU)thRZ_`p_Nb+s(GEziPVGWXtCD zp_`318E#yE)nJ4E`gQ9=*S!i0T|F!G=&z`~yH<ylZ+*2c=<XHCyevT_=M;fYQ>9ec z6zpqimVRq!JkBQ@ztXZ`Pr>11;aR-m8D}ycYKI>4O?|lR*p8J3d9S$4zaI4seYkAb zj+MH3SzP8*kNUbkT()k<O0B%CuGw9Wmd)F-QY|m*g)i&gm8yAKowI*c2HUQj8{+qh z<CR)y@zSGST-rKe0Y7$aN^(8w)g>yTt-f#Fj0nzH##qgbPLE!ndfxTi>p9o+QyuHI zXKT;Wo~b=UdwP7hPPkUMMz~tI%KmjO>m1hEtm}y2{8)OlaA{#{VQL}kk?W#IrydbK zGWD?N;q}_B+AZ46+D+Px@!<^N|HA%+{R;cBZ{3@<FV;R;`(W*z+SrZJE29@i&y1e< zdza95rtP1$J=%8ZTWM=<YOZUpYA);B*QaiF-SoQ2b@SAX>!KT{ZV=rtb-n2Nsp~}J zRy=s5?bR(3v0%d^?XE5n4Zj&bx`eo*nvOgV7dh{DPH=X7poy`ezV7}-Awhm#ZgsAW zE|o5VjY3REKWcj^b19!v;^GiFx?ZDGqeG)zqfMhVK9D1jEs!OUDUf0RqCX3NEc~+Y z!@@VUt{0t8Iv;f2>AdlGS5UiCyHUGRyU=%0R=%gaM|qd>w!YOqCDkS6CB-Fm>Snlz z@f0HwqbY_WhEq1ISJTRyEV7-m>-Li)xk-=AIQRNG<WCmb&e?hU$!D{Vdwi|(h0oni zIg-1na(2c&wVB&FpXC-+&W^aJHg!Aa(_Ev<*&g@QCT{0^lKZJ*cE=81r#otW+dUuW z9;%qFb5BhnPx$C<m5*kQdwluwg%96e^1)1Tk1t!k@WI<EAIuo{`2Nci-hX?^d$WhT zeZS=i@4c<^-t6FR-*<V!yKgUfXSQ&+@3TDNowrrqnKkbAy_YAv{q~Z#W{JCfujL7E zy{+=r%yGBxxjf;`x0k#zQ{3%)EKhjjZIw4>jJtjJ<q5C9z2vpo!(G1H@`TskRyob` z`t_-b_1)jO9>s~iH~knV`2OpMINtYLKg4mqFZ~e5`rh<I9OL`1@8kZy+xkB4=eyGP zabMq=zK{F(?(4g_x9_&Ti+lO5^j+N3cc$;+9=`kfHtz1bt#9LQzAJqjclDj=+qjGG zzP^b&`)=!-xRdWn-^3k#XZj}Y;JdG{<MzJW`Z{jsyVBQjTi=<!j@$U|>#Ml6@3y{* zTlsF1<hw~q<(w0Dr<{mYIvlI?M31v*`jLX^3_HE+?x@<mn<Q7x$y3hRzdPl4?4rkd zCkv-P*x?<w!#nSes@c0qQstf8<($2{Q;x-6a_a0^uiWwd#6#PpihhxM$}QhdJg`-& z=x4d7-0=OxecMOn{a@}X*L**5&vsFH|C77Q72i+XwM{DTzj9Z(<ok&`wo2vwM-KMx z>D|%0rB*gkI#N1N+Ed!`w^dQu`z3D|yq)uQ#@i{icNK3--WI&gd7JU~uFqQ^Z@s+r z@Yc;=OWm{8v)QwsXB~g_+V3jg)N?#mt|c8cS0xuIH}?t5Rj@Lwxyrccv-9zGKYm$> zeXl-TxVdn#vwAyU-+C+k7k4gfTo~+pymh^mNlDs^Een*L+gayIl$Dkg#}__%^x)o| zeUG+mT)T2<?NLW-V{PT%UB+r+Y~Q|$9$(#^?fqKYPmE9OoM@k@-_>xLRgzr-lApf1 zu_-zxF?{;^ti4~(;KPrq&Cc$8GWs=pcP1`w<2KoM?@Wdx8@J)Udj}#OwsPt1ySK%o zk(En(-@O$(JPVs2Jv-9dx!!!C`2us*JdZmy_bLwU-MO`PZDMq=xAX6);<PX~vu|77 z)~KyvTk~x7vDewY*JBTtUeCE}8ddIlEw;JzddywZuyWt4vCgHJ&lFr1*_qjK$HX~L zN-|GM(cE{!Y_+!8Y$y2TZ4-WY9ZqiVld;%$X-~mnH(v35moCh>*u*2Y@6wTmjfc2J z_g&hNF_DQ|WZ$JV0TY|pSkHE@H&M%Q%5=!|x-j8~*XPR1757Z$n)5#QHGZ`0=AKNG zOr!nxcKkkc_xsy@dEaw)>))=u{%&>I{Vl&2-TnS%U)p!w-TF6ckH6C{yT9Rg)7|f{ z_r-mGyG#Fi?e=%8OYg7wopks6t9@bL*Y484s%v~$H~7gk#iG+ZJ5y73q_*5Ka?G14 zkteCJ{Y-!KvE#azA5Uw1IPGEK={Gx4OYRt1=1IzIKf}HKOmFnDW4ghQrxiY&wy^N@ znH{N7J5p2b80p+GGR%{d*nWm<`<d=&x7O%mM|Cehn&$a%T4Lep1qG+q>_`o{W2BiU zDYE@cXY{cny24eb8}1pY=1B@|Kl5Doapmcfdq#7%pXrEB3wHaw`gF(b)X!xX_rx0I zi=Vmu^i$c!J+WH(;-_w>ekz-|Csrw6{KW02AIk&_cem__mC2ue>~`zNvdBHL4tMmr zwtGK%+f=bz=AK^LcJGI8oho+o+|z5??)~5`Q^oE-clGMFd*6S1sC@UEyLwgIz3;tk zD&KwQu3p)8@4IiE%6Ff+t5>w$`_5aY^4)vx>g8?szWw%4+3q!W^|H2m-+J3rwtLQ9 zy|nG#H{Uvy?e4j&m$cpc##^Sc-8Fah;<kHVe|xBOcg|hCsO{d@-Zqu)j=8HBw%z;c zTc^_PX9~89?2PWXqwD-mO!A$WV!8K(-KuS33h~_mJG#T}xN5yyBvLNewfn`9*px?l zTzk76-Y*g=7wp{q;<MhTJ>6FCmCo(XI1;<1QatmX>&$Y&XR#%f;*s}Ur<MyojWwwh z_q^viv0U&;?3W7h&K=!OcU=3*10Tm8sSww_=c@2d>F92ak9tmfy7}HK9p1g-gPzi! zZnpPI2X||H&|})u{qLR9{@p9y>pj}t{q3F7-rXAS^$zXse)mpk_wE(%^cL;ze)djj z=WdO6dQH2#@4ZvnzI(-6y`<gU*WM{@-L3If&uMq}xpzvNcdvM(r=%^VEu_t*&Gb9! zOX!o(E1^e1w}dVc4H0$uDw@%z<kEKHgQ$nc1c`<}Ke(POX6Laft6{w~k@+~c`97wb zD;_fSO2#)vO*rVpBN5*iR>8Q0X#)2GvG~Ryh9t%orVCsOqVbJEcN{eG76_Y9=#<v@ z!p5|>(d&+bO5TF=(kDK%z1q`gk-tFD{K7NdC6&yn_Z()JUwF!!Qpp^8&tZ!Bg(ti! zmCUa99444wc+9Kvh>fd|+47!4uercu-Ypf(jXN4e@)sPD=J?2FwWpCMf59Q?jt^{7 zdm339nf7O$*lqgYuGG6c-m>j&yP}!zu1$P*O`$xyW4GynyHfY^c=NWmZHs2Uy*Baf zwFPC_9=lB!+?6_)$D6jjZBsP!&9#Ydt|^pdbL=*4xGS|Uk2h|6+q!7x>uVEVUt3U` zePWks!d<C#dAwoU+g3$0U%hL5_-^o%cZx;dd3IK(?5J+JW9#^Cp2Ryjh4S<LyN@5g zd-?IZ#)t177Jh%Tqq^jdt>rs8ney}8<>z~MA3t_C`0=~Khwl~^em}FLI%-FC${kys zJGO@J<Rr?^bCsX(-tFGH`}onjmmj_JeE2T0@cV*-?`w8chupE%d?zPTe!g?}@gsMI ztG+jUoATq~Vs@VRi4|`yOk_SDzC=9l#)^lB!d0Y<Cqy|+YCLi%e2IjI!Gth}s>UUU z!d1l0gSw?PTctaX_O=}A-SWsSs8D(7j)?(xJk;}4gw2Cq^s-bbPu(%m<BrFC^GVNp zuT&}*-t$n-TXI&~^RwHjJrfP`mz<V9`N?h7o{1XyOHN9Aesb&DGf^Rb$#H4VBfTPz z+=}*0l*(5*CY|}wjj2#s^PWeixzMBDk_u(XdmgRkLJxaQDwH|zc{G{}J?Q;XuKe?^ zN3FTg{oXC*$}jJFRGJIj>n$l4j#SybVx7ji6>Bxtt`Ce1j0g-53=0g6U%X;LT7wpo zD@)dia1F@?Ee@=WU5s2O!dJ)#*e$4XILL7HvGx@{4cP$81qBX!KZw3!o5H(7DnQTS z;twuU=2fg3k^wpnM}Kg!GH0=_5D(CD*!hF&Dw7tghFE}x!`dHQOPRD-MYvW72dM3H zYISOKn%bbm`1PmgDdAPZUBX!`SDuAy_^$BL@LA!lae95g)PN}glLICNOpITsuuyKH zi$iNe(I2j>97j2}a<DRa32JTZ$?<uk9V~HUdieElwRO5{b=20Z_X+n2^9l6{F|LW# za!TpoaoVTH+R1o=Up{_%)qw-a?S1RLA?^6<OQrNK%(!^yx~ilOs4BX?R9tIE#>7L{ zRmC*c1WbJNTBu7{&B<}U-c*H;FK_-V?JPdBEbZBK)ibA6PcJ>CdTPD*WbaAd6TK&R z_s4g>nbhHP#{2a8D_*BOPo7vGa>89uQD%RTw4}JBM%_}idm%?=S5*Y_=4U<hRo%0) z**x^aGPZjm2WI~&5B{5%b>H{t?v>T%q3@SHyBo4+c2#-s+q|s1zDswnEH)2)x9r&6 zkR7vsl?C6;%ew8Gx_f1|dFb0^%kGA3nO#*Dd^RuZrmyPmmC5FzZ<e*)4cYK}`md*7 zzfQmPb?TF#o%@2e=dHTtdv@2#2=lM6*sM;T_pI?-^iaiC{h{T_bDkxBlZu7rOujSc z$TJmFb;*q;`ka?cn`Gp6C^ZH#IZ83GFq)d(;6A{uF707n7qf#=TC+LCa>}CzCmUK) zQkERKwIuth;?XGW#ZK2GmY8|{xF)*9e2KnG_L@Ys34tz|eG_*Yaej$t4Ck1sxuEI5 z1mQOl2kx^=syr}clF*ru%$Ta2HbdE<?f|<#+vOM6U+DMxExo=`CEk&ZVFtrV?n#R8 zv}P7_EsyL@X%o6IX_b(GU!zM$=aeG}zH|BAau@|Zls4EhE6j2bZ#=-{BDA1@p-zHh zZ-XdjH;=&;h4;+?0uNLZ{M4IY>{=JJ(qxyL{MJC(6)Pr{tY})(x7Fj0iuEUvl!W;v z3oc7W?U4ycTzux;I$;-sCib~X3;CuTV4SNYIH7=PF2jos1!nc04~(+HJqH>U<_c9D zXkmRJQhI>ditz#`Bd07!BfD0>Ax^cP24;~T2`RY@s<I3pSQ=CqSQs7H8Oo3J?P1Y2 zK4Wm0r$3DGebc3K@qPX)Eh@ejE}Hn;Z?g2|Tc!q6bsJ+3Ut-f+${T)tfyt6rkEK>w ztnf2l*ZAtoBWo}1eZj0t&3bpRWeNK}EuWz>Jx^+VVyEXvYajEL>j$osH<$B<s_l9o zF!R>F!&AP^Rh8QGUc<97bm^vb3!_?gu{@Dl;cc}_FUDDvE%fA3(}1Y~E860mr*6)Q ztX-lP#JhhoO9ijsh2MN^9vqH$b4A}V^ZQ)7U^lm^ORD=**QubcMM0Am{#v|ian)k0 z#axSTEn2lm>ebI#E0<_ZNqd^TY@R~A@zX0mX02Ry<hqZc;J&o1m+wu3y{=mAxV~r2 zUbe0`D_@%gge?zL`ZP-`^hEZGEAs0bPko%FF1@}m>7l8wZrtWCO^-~y7OY>nucv}H z_-XDmmHfqDDrRdv&Rx;5-IHq%?^jR$SDk#XIQd>_zBTm@@K0MG*i=<@u&Vcx@YUQ^ zPI=CM3uminNUg7odT8pWxo6qSBiS>&_M~MV$)2JUA6#2i<12LLQSJ)=*7c3Qs?Q4F z%bxQr?(&guQfiy8>(uUD_NwLUELElLfxil8XZ76<$i1V++17eHU~beNH_oHktLCp? zXqLLqE%TG<Re|*jja2u!WqvTdy6<|x^fz&fS-#I&bt`*?SMvJ6M^(OCSFX}p!sYyx zZAy>2xS!@M4}(lSUoFcp!Ih2cD=$``6$!bUzHHtDJL9KYzRg^zw%I^$nf6j8FUP8o z;*ji+)R6d)$dJGg?}9MH)svUc%o6-KQ**`9%RaWU^U_XvelQ94tzAAd>5%o=E0^wM zE}L@4&p6cA`mD&*Hzx7RXL@B_{MB<w=xXLF7r)DlOgoMg%+l0Qm6%eXv2($Zrb%30 z8X9wdICLIi_fobC*!9Q3Gf49YyO)ApfYBcZ%@53~`}n84vkRE?+hOHfX4NhHQ;yjM zd<r`C%c1iXv!GeR#E0cvua5Ug8?Q20rMpVY$#(UutEaS{yq}W0=Zr|mqxV;YAHJV* z`TqNm$@kui1aIYgSMHVhwtQ9AH)~hk-*dfI75xocujd^4$)SZeqU(>JF6+yQkBv-I zVwSCP;?i{TtVpmm)mD@imJgPVVG6mm`d(o86FFrkP7hC|js!*_CjllQy$g*(E*`fU zI7Lr5v<O=*VC1_|YvAC-vF+WZMs}%`1}EW^mEq-zjjV10jm)AC7}$g4_&19<t^BBW zMcC~@BkzoW#>q!_Cp=(MUf{r~6kr{2h|OmOL)W8tJp(4Ljsma(<$H2@1q_U9+Wb8~ zZT4)n*IZcH;QQ&f=e<a`2aPZ9L@rx!=bq8mDQ@4Utz5Q#yN{u2=HApS&6TfogT0&^ z_N2aQIUc=imHvet-aW$N`H@kD;;I*RhgiOEnp9czQ%vho;v^RV$D{8It_oWPGzxFp z-cxH8`gIp;BZFGh_Q?50ilKYix=v)zSkSbG?bXTS*?un^IF;rYFtO~p;B!FkW5rpK zuP<1ormvJcYEk6aDA<vpdNg&F$`q6TPHX-CE&cUF{FPwG{skgT?`|I5I9E1JOOq+7 zJ@S@SkI=QmRqmm_Mh0Ia4lR7*6v}p$<5;54iUy9LxD7jEjS5#^sf<1<bC79b-}X@D zBhjlkR9g;YTzIdi-tmB05abB;^7563d@tX-r1EY0D#7dVItfW7rPDN*D>+s@SrMr6 zn6>+~&B`4;mpf0JRK~S=em3%+JUuX|#41N9<yG{d;90A81$JN3D{kTmJE3RX#4481 zSbuj`KqG6!gQ)GnR~D^OoWAnc0-=XH&M>(cG)WrR86Um!$T-+r%g%VI$g7_-HCH`L zUp7h0ezD3U<EzKiT$deOYNxtKOV;$AvD5?yCk~sA1QwBm%HL-~Lf7)FSyd{zXq8}v zL$k2gf&*L=PbW0A2plPxp~a(`Q_vs~aezsA$sLQH-uYL4oSZVLM_ec14;$APbAN-m z`wK7q@tu6>N&bu}3iX?pZ22Lry7bWfki<WA&PT2Nf_Uy0uJFp;9~ku8xAcwq6|L*} ztDM93FAPfk;k&h+LtR3VMWUhdp}B;DB8$nnMIw)Nu8N%dbdX(U#vBW7HV+QQ&g}-d z`%*hU>3U7bU#Yi`l~JjnfPp2)V5y+gW~bJCPDW0(jsPc4zW_;V9ySk-#tGuAegcgq zueE}`oI)IpSpME9oW4}LJTNHhq2AS1T;-8mNrmF7f(vHs02zPqd8mN`6Q76qdLvfR z6iE@uClX5{I96;apSe<D_ktY?+ZGsaJk3(dn#g#TL51Z6`vg7(X$M_~wG2}Zd37CM zGoj*4*R$j>9r@t7dw5JePkuBCby@1LDlVv$uj}o~GbdMbzUaz4F^OMQ=Bnfs(G~U@ z5eh7FKRz@sIubc$*}U|bS(nzd<oKxk+07ktFLvIucaK=g#nra$)|q?Pm6P?2o=<dr z<gbmtx`ih04@v&PJ@t6}q*+0Ee_B0{fy$+jzyRm>lR_Tp1qaOOpILRN=FF7KPm-6- za<NJ4%KT^;?A_kvWmoHES7BEiD!+Y|f7I3XHLpI0e!aNrS4e0(!^%%9_7%)rDJs=J zv#G0a=1O((=`)L>3TLiV6P`XZ>DQh!S1vtET{cBW-#D~)&l!=cAC0=E&-ChYSQy0e z=0R#0ll<l{<x)%cT@Lx~=PbIAW%{1WCU;G*nx6f;%+pz7a+|&yZ>*2hwizZVf7>|Q zmdbitPK%4!^l|pmX`=HZy*^4W72dzH?VsDqBl%OJ6zn&vJTmv{+rQH2AKQ`qRl1e) zBX|Ao4Z54Zs(AmxV}ILjefm0c>AL$Gxqp|<`Z`-eivPyE)zf6>TP|c4jR<6H-5wIW zXDPGHoCFqOj|~SnJGc8-_Wn2>a`|cevKcD>jkbzB(hv4h_-7Pq`scKW=?DE_&zky$ zR#*Qn{q;>eOZZm&s>J$*M!A2No@(Ce;_}knlzr;4crTC0hewyoZLrp=dG$zBx6aA+ zp}DqCO<vSHm$((Xu2d|NJ1Xp}E6VgP@S~rJ>s;%A^S3*rF1+cx)p+T|zAa^|+87>w zWa^dB3!CxdPRpU1RV9;hs#1z#qC&jZNG;=$OXCb!xqg-V-o>jf9Njp#v-D-cS%o9* zeA2pWCOC0jIOlW9-R+3uew$t9Ta7lXmC;r*JkW5MRV>8eAxo#=^ht^i5^SIDp4_^y zw=(r%z{wBE$9p9WqE>Kxy|ShyXNji`zp&zE`OCd>mwja~^U7R4D|NY7^0M!ZOT5=F zoxK_~ajAm0?X)7Ds}YkHesSt-72;Yd<Tb}@hSy{-rB&Tm53fqtx<0EpeCy2Bw|0c) zURq(65;9wVRj=69WnES+TrJ0|;}!@WKFTFLf41keiG5wI4b^3ZIq6BU`&Vimyb|+w zSyYSG6Dv=Lb;eJRJZ6<Lj9Fvwv2uFWGT~HVmEex0E=v!tk`H~ar(RwjxyZUN=uY9P zyyFYI0-Vm=Dx7j*U$E|PzNv0+tV3rg?vLd9B)e4p{>noiWM%I!JhbD-S)uOt8Y_SJ zeLYg1=CEH_vtriL{oge{?p;1B+&K9AydD1oGWVVPyyUC=@|mmtoACbR7oPB6=j)ID zOMU-C3ibN_$4HkStxPeP|5@ax-11}JO=S1AbAGV(t}I`-?5(X=+W(bJf1juQZTDh6 zT)Cj=&-0V5|1FOG@DJ|(Z?N>oc_F^e{{g1_Q<j)iDyYgF|L<^8LGHy$E#V4<<*Rz` zHO^XPRLq{V>eL3=jFno!WeQ7I^;~aU6*_Y@bKq)cz9}JJ3m0hd_5F9aYH=Z$o4u&1 zaDkdQdy>;b2X76&B_WR-LeDV=8uL$?5?r}JLa_e%#LQ~GD>p0W9Xj`TOX<92601HN zuv(rM;_d&ep{cp?gWRg1?(Zs^3oH7CcsoBkWbS*O`N`I=?|r6I4S(>1>S<r@EjIZ+ zPwRH|iqI|JRYFhR3tsxtia+F9^}K{t_a3`EvGsMlclgO;TW_m-i&GxkUX^^mva<8z zyjf!J7j^|Oo$dPUFn7oK;LhI`h4+?gezx<O`aM#pP+s-u?~r_+_sn0v&sX2`-{R=s z<(_ZteUkr2KKj*v$@^;kDyM(We?QJwk^8^!)(-yCw4>z<oZf#vQYo)~`nQSgz4p$J zcHW)e19xfe@elg?-u~+By}uo<{(b)Pt-W97|4gah{K41jr^T%Mx7g*0eW=3!h3|g& z3-$H>zjJ2CdCpUheg3&<9_g&gh<cRc{iyR%)T$hAsj0aut++#3cug1*a~D>f;<1-3 zl9jA8+WO_OQ?Qq{o=NE5!iAczdboX6=U!kGa&d6tu-m}=*vVe=Plo5w{$<mg_)V5h zd0e?*<tnwWpWK5(PBQ=P_;E2Lh>!Q=ywpe=0XB`U`il<C0&{*$*3>w3*vIy-Y}zT$ z_XfefrR_75nxc)Z&xDx1F$mU-X`dODw6p5Wl%R<Uwkyosy?PHjoUKSYnAxf(?xVA& zE57>C^`?Kf&fiz{Q$71ib?PT$Po<6nje;9)oH$>Qz;dnYN9(5UfNuh)xSVd<X>_xv zK3}j#dWvuLrWF5guTN&#-dweOs-nNP!@RHxo3(o$Y;iiAsoHkAi+lN0Q9o_9Ibn8l z!_4M}>C6sOnjI!HJ4|GD7}xADhS_1?W`(_(74|42?8Z6g%|&H$vqU$3zObfbZhIQ* zYa0_S<2mhat|uxpLTW&a<CPg9Idj_GmbQMruwqStoYYj2bIyw=J+d*;TJU^f;7c3+ zUax1B86g_yofm(qkdqQU_W8mJg?a66sUK`iwCbKO3_NMg-^+EkG9%>PIp@WT%H*V` zZu)#-MZ?^7w^WdIVb2$ODi+CQdGTBkkyxQ=u|iWXAUI;dvK0=#j*ZWbRL<})=uOy_ zGAV`YAWPH937Q3+`I=AjG+pyFm*#4+=4z(qXdcbhRQ1+u_3~s}D(_`IB~RmQK-0o2 zpw3m)*ELyT+N&pAJ(i_3b;2)q*Ncl6T?q_)utFm{M8sIjOX7O<vRMJ^?in8qd14#v z?Rd|4>6OQ}!B=J8&s=ovN(KK`w`0}I=ETiOU)6cEa@mZ4Iq6v|k5n$3VsYL$^r+2w zk<w2#!JdLMycP)-&RZsY?6;4qs{)J49EBz!1BXNG>M;vicsd+f_{9nqSIpO3dg#7S zC}X{`tL@F-XFKoaPtm(mx+?q1=KCEEEGjJrn8XeoU{anSz$9oF!N7Aff7zUU?~JZ4 zDV?slZ1SqzKDxX+Pfrn=u-hlJ@=j{kuS2oRCi!LDNo8FwGAqPjZp5cEOIm6gIOKI4 zQl%al_852FpK1B$9M8k@RgIzhU)?heS)O@irDUm<+uWwu!&_cUtx)P<V18n5JEbh7 zFs8Y;H`_zVkws#%=>CljtZo91&8%Az<}C~I+?4gHBl*$~d0|&6;XR+vSnf1kYB*7M zW=rgeBd1eNvveP?oHHXO&L+JzI73){^3zL?#S8O($iMnuHm_W9CwE{bU)j95#jzRB z!^Ce*<lA|n@5}MlvL#k}Ta-&5I$0a&Eji+J(q-#rt-Wi!6jxt5x@yY?t*@)S-mePU zvC3qvmgs7)?PW_s#HBuoGza&q$@-X2%g{KwV%LICE`dJ;_`CR3I~ACGw|ue*2%Wj& zVKKw}FLPeZx;5j>w0(JtBEJV}1%)y>g<hJt<jJA4T#xN^=J{BZn#CG9>gj5UhW%Zo zG^JtltH12&TYsNFt5q&P=jvN~i`BR43&J-4f3PO$|G~(;|C%?a{bf&I`iuYEg#Qnw z9QhzWM@a7f!H*$_J>4#I`RGmy(3n~iRO;ns(-9TqsVnHH8@OZ|PmuSI6{@9Igv_%} zN^Wh5I^?Q*aF;-7+wt;}JR1-9W6dQoHXiCnn@b$zmpuNdF!wC`%NV;AQY(cYIeIE} zFf9Gy^5V($mg6ehk1R_GV_lr38tAH#csxYhOUSWBQs%y;BZrfL6RV4dkYkImk3=Jf z$Bzdr!fpu+f+i6TK3vBWKMF4uw)YZpWD)X{c-X?)lE9+g^MFaIW&?wu-v<Xaj~5N> zE(QmfZ2nxBAP^;VUVfrOlaQZ;B8y7R0cP!%>4pcH6)hGr%dK$W;_aNFdeeGg3!jMs zi)ze)mNb=CRYB|1;{4v1N=4Y#MEPqjl>C|KxuNe-%2gMqqai6PS(H2^KZ!3D>QHb! z5%0F4fg_|&rj0>qMgXJWiVqe(?0y1{93dq#YRnQEiY)#gMR_I&FkLvjAb~-;B1*}T zMXl!nv)0`9nL(#&&V)?;WEgzq_;DXq*M^UVULE}_*|c7UzFkq=zpyKyi6huk&;r!d z>#X*f`c@azRZt2rx3H}C=J5JZaER5zz|o7D!P==*R9(zuWdkRxx7v^HNvs|QjV$$o zjVvNl5)N^w&Ixd0_u62>utBb(hr{YYqp+U@Bdbn<6vGY9nATmF7!KGyP*?9cz$~lb z$ihD*p;54>z=@ebx<ricPmCIiT1P;mU{nS}g6SWn1QzZOci0$}at^d`1vs>D^&D_w zW>{T3Q_xT1Ad`~7LMAZ@#}=+00cU20?qX?P6NZP+)TCt`7?v?MY~6X1Qwh{Pt;ld- zV-av{W;Re@7L{U%n6+Ocuy@v^o_Q-gLuHq~5)6ILz@fmvY?5(+jpK&`qlw4|g$a8l zWEK=@G`%upR10m@(_wF56tZ$)VxO`~s)9|-U}1}3zyX$v8nSFT2@J9;maI@)x<Y-G z-_}*dO@cfNk{N~UZiILWl_szT_D@^oc~zsIUB`fl(~CjT>vKqNQ&iWYpwbzWdZtY3 znX$?<bh;R5p_I0!a-xJm0%IVbMFTq@N6^bhx~zrXqWPJRbY1r>ZQp+7!!)sbL5HHP zD!f_qGau-(?pa#D{mT1k-|hzOi{4f4{WUN1p6=1zOUt)kc{lCa-Jo63R^{GL^D^(~ zw(ee<zx~SFY1{4wZHwMj=6y9U^Ommb?xpG5ue_Ny?QYPfXsa^sqj{M(bXj*Vjo*Id z^|Z3PLF=M-m3nW@%e<z0bl1}G?N?q+3%eV%%G&C%tZtEe=bej+<sog>T2K1eb}lx& z6R1%B<+$wELig%BffDaD`mJ|8?t8UkvE@6BKI>nHWcL=j=ia#}Req(_+Us%OxgCpj z?*vK)itVdvy{(_Oy5RQFw_@9acbt7>#<e~CPFSJ!(Oi-3{&&m@t-qMPcq_Es^Ul9L zZ!33yHhcb7aJzYa_Puj^dMkf_+V=GAsoPVwtLJ;)>)XRy`TOIxj@ycP*7x%EoUN$- zXx4GNYrAm1@;$pfxfQ!Vm_2-Z@OIO7=6vRR|8~DE-~Ha~{@cB`tG0j6dwh4_?%eX- z@67JL-Fdrc`{TUBck6b)E!+Lp?DpHOx3jii&RcxfZg+0k?l)#P-)_8}#P@quaQW*C zcdd%I_uW}5%>Mn^wQr&AxxTu*Z&#n287X=5M!4)o(6XKNR<SYBQIYYb8%oxdtSMPl zvSQz}GXX6p1SI!8<KPrxo**AzT=S)&@p#{QORJ&>6%UVHmytG&Sz!1$+mG8QqJ!~q z_Bk&7kb;Ykvi-Pp0wNYZ%J%D$5wTP+@_Euycb4(2=0s<u=f=m4mw$ft_SoBHZ`<C! zK6|V8mhUazTW4=xm)<;klk}$98>Kg{H%~NAFpoEnGmnkW-;uX1Z%f{$ybb&A#oUd! z8*(?`=9v#ymv!(8&Q>%ty20@?OZbQyo3w(NeNIiy)|ZLQ&Aj}xWzDSi-FmX&VB+Vd zv%J#M@wr(yb|g3-PHXe!j?YcGu^~bDFk9PMuK3)z8!HkXA6nMx%N3s+abrQk=0k3+ zzFq6hG;;iI%-F!WmGN&@@S(Prv&(yz`#uks_M0teHrs5L*-Wz;@tF_uW^JE+?CrA5 z-I+J?W^JAA_I8=)?#v5$vo_B@_GX#p?#vT;vo_9ld$Wvlcjke-S?gyXd%f)CuFM^I zv)0abd%f)BuFMU2vsTYO_G;P6U70K1&6IpMQ|UX;#OkyYw#tWXm7mPxEINOr;5@_5 z^twC7cJF4&edpo%&eLC=cHDOH<9R0w&p+6a9=9Vs?~bwAyO~nod$_;z^j4=Gv%P%C zR{8O~#E0`b3(p_eksh`qJ?@UN)*WNLcQeJl`*3~d>8@sLtxh{?yZF&O&%*NycBK2= zF;;sw^FlS-mwB3n=O^q)w|g&nzIxf`c@OubyWKIK{k`Xz&$I9uXQkafx1HRRXpleS zwDhr0Z7cUAYUIy2Ded;Dt#ePJLjH{7(r!n1g&(yQ?n#u&mpCS!_OXqz@UX@`gHCgv zN4&)qhb8VAw3_og<Tb81%yG}4(VXW2@8|NvKkgdTn)BS}-CTb7#a)9+bDn#=#pQ=@ z+%+gQ=ef&kTz>e(U4ue%o;$ps%MS0jYmjTsbDMW_+2Iv;4KmGnZt)hE9iDO5Al01b zCa-bX;f}ioiRL^vct4jOuDELuYtD0>cXR3CjJpPr<~-MUi%Snj+%*U_=ehdV=<wg5 zCv}QN`+0W8r|gJtxufs+Zo0%faRvK*a%*?=hum@3e5Wk({bJ|u6Gv)As^lB*xvRcY z7W#hidF_)*`O<stbG~2f_?_|D?$VxqqxZ^Ze!uu+w`otm)_dhszcW7BP1@71^j`VI z?-w8KgbL+bcl67=UwrI$$49%UJ^c=M+`GO9KB{f0ke9vZ-u69E@!^7m2iKJk*zdR7 zx8A+Fs<NUyzW>du7tfyTlRJ74w0^`k3A}!!s-!F>tjwj%<l9$|H+EdR`wzTZy#Duz z*LJUV_3wDMc<pbG*BY;1Pq=FvQqF(zu5<DC33qHo%J~o8b<Y1j;kNCSGX9NsowL7B zxMe$~jDO)>=k)IrZrX;F@lU+#ocw*l4O@{i{>Hn`@!uz0x4lxzUwGF!`ul`ywo^*^ z6Yn~Qf1hyGHl&n4@UD~b9Vgd3MWyW*CPaI*MSGmk6?r1o^-zqdi2Lu3)|xv`wt0#Y zd5Ut|FZ4xw9M_%lSnN<C_g=^%6|RdrTGQ@0>E3ZN%2O1}TPU$zpeH(`HTuO--6e-~ zpF9%FD&$^Tz`b!tYru}yuscp#c?(6h3v@-lXz2{NAQa!~amQ)C)4cfl5AWvh{(b!2 zzm>b|U%Z>Y^SArEf1SJQAH18t{rB;=|1x*i-*`8F>u>kB|2%isUwAiv^Y7zt{%P*6 zKk;t<#^3I5{&DWEKk#n;`rpT2|9iQse#g7{Yk#}H{&#X${f2k*SN}f#>fg#;^(*q` zOXkg2+Ri`mcKV68%7@=7Kl#R4^!rG`Z-$+<b$9IS^5)BJ=jYkZ-+w#(_}j&gznv`n z{a{CJ+>YA3J9cJy^QE@;b8qMGy`6sS?d3ynl^=ggeE6-i@b`fowP8DI<L=mL-Lccl zn=iKApKCjR_igsp+v!K&E`IdQv+(zV9kqUU?9}q+U%1Ww<(p>V?+H7$9Do1r<?iYq z@8<2@?f(AV$=%f--p$*+`}n(eD|c7FcsFn7ZufWZI(Jt;csFnR?&ELYW$v!N@owJM z-R^JSdG4;h@NVAb-N)a&)7)Ks;@!NByWQWs<J?_+;N86SyN|zq_i|VDj(79c?sk9u z?&PlO4e#cy-hKSlyOq1DSLDr;%$uiV&OdQ>`iZm3htDcMdB$1v`AETMhMkplcWmtP z=E<4!^O*DZ&rUyncJbq9CksD6*ijj`qcZP~jalA2Df51AbN=4h>Br7qK6F<3@w3E- z&pHb~AJ|bDwxcrcjtyv9n5lccjm8}t?L0YAbAK*5k$CfhyVBd<@kV`bTT{(^ZSTWZ z_Yz9;SL`wmxEo}AC&)EVQ)&B^3DI6{(OxHXMW0OTdN_@#$oub(r8RegZ1XfF@-*eP zU+IhXI<7nQ@w7vQ-g^tY@9tQdb0^3&Pg6WkQ)>H_p6FGr(O$=Nj~>z$eLStH(0grx z_ud^#Lv}2Uxf7(Dw^AfeQ*65k*Y+!2(OyS&r#_nIROmf-$5Nj=K?}EwywDAOG)<|{ zyY^ntyzL>+b&pnh_wHC~malm>TI=()LwlC$<!hdfUiE3(qCHF1@-<IJYkiv5v}dVY zzNX;zkdEk6AE#yQSt^mg@@O>I5#6N~-l|@Tb)`zX=N`*b-*{W|O&Qbfx%=|e*WX_G zy6n-ex!dy8*WT8AU3O^K+;w^Ct8cG-Rkmo??6e)TyYBe9yj!MNKAER{@`T-*C+><I zzRU6??^mJu&mFU??)Vzq@wIukOtyS--)_z0cUvCkRXxnxRcL;5$Ly>-zIu0jP2Me& zEDz=`pWL&%vvs%TvAZmf^P(Q+RTY}AEHK}>V|LUXU(GwdI`7m(-YpX?pWL-u^XT1{ zM|oC-<}-K9c6zUNVfV@-cU>Ok{n|6z=KZpByE{MUNfnys-t(PR9{lWXOQm`2J>O~N z!B6kHRGRzV^PN;4{Nyf6rMc}rU!_@233Z{nwyaq)=g%q?NzR`dk5&h99Ctf*biJ2? zh_E34el1BcAwI6UP%f4~pI`r8b!7YU>FwV`U!Ggnm-lY<q!^hyvu}qlHQQ#ob<29M zjP$hBl=!K~jvP9$Z=Y6WX<=?=ZRn|>LqWTOHU+KvwaTS5JblM%*LPYIcUwJKcS=FU zgU4ZCSpAO|jLfH}g^6)r3!kPfraetdY?b7`Tc8C*3Qtz^g4#NE`)<h@e7Ldj@#?dU zk6We9_T7@&UixsGNuKrNZMt{Dj^#$~$nCj(XvbTw?X`u`qIs_$ZPU%0eJD5bj#%sM zbvxE}-Cnjsx9j%29cw#px7`!_vQ0J5`_Z=f+rRF~eV%KXZ~bW7?Cn?g<UY$a%&)GD zK7Bjv-n38KCU2j*C-+INV*c-nXhE}<(k;EyKCX`4qOfL>@0N~l0Z+Y;dN1{EeZBhB zw61Ah)3~Ocx*9I3JylC|)fCB~t{Pv(Nk%HJZ6b=Aj{BA!nZTs-)V-^Jid=kfg2%&& zo0MJMz53Q`S_H*;?3|dSy!6<05%HjmC1*S`6<v>A7ZD3eT5`Z6Qt|20>ms5-F-x|1 zcq+CYy)GgW6t-lAhv&qmN7<)3MT9jMYA(=J-M4Il$4{S+J}-S9{+VT@lxpm1tZK~q zIr~&<SE^SkSL&%J*F{cFIU#al%5f3F_+Y0c7RyYQ87$LT)?l&mS5;7{lc}?yQv7n~ z6agWXw)MdZ_BCG`9=@J(oKM!Wpl0qqgT01Z4c8i$K72jpnC;v`|L7gdjoxW;e>XX1 z+gj)!zGJ!myOmtuuN<|_E%XoGv0Ue!CfE0pqqd=i{=s*GMZSMIVryFH@BCi#g{^L( zzvrFH=c`{;`dh!(d~PdS=x_dh<ulu}dzR1m{^irWsC$=BReM$XtG{3Q#I|?O@(JI+ ze4N*{W4YqHm5*(6_bl)EUh;8X(~jj`-%UQwQ@VHgaCKINKlgjhhqkhFxaxY3?O1H^ z?gdx*m!q<w5BqlQSgiXlgR6YXQCZiAed~5C)_RxGW!?3tZ{Ci@YVR^$$g=KTtolx) z)B0DXyY2go=dw@tELMK^;+gE#J&R|Si+t{jyBB!cI;zrL^Zkn_vQzggo>(sOsn70S zpkTSl5!uo`i+jtbeC*S^7bs93^080tUf>bystR}B_ZbgmRrf4zE)V(8$96C9fc39( z_rLEl?#n*iy|}tO<bB_>yMcSGtIFNqzRS2PyL9*B;_{GpeaG$w?y&w<=6?5G#%<Zu z-HWr!L*Di+yBoO0x~k0m?7NJcvZ~#EwWc@TuD>0(eRp2*-Fv&%mRi3yyY_bV?Xc~u z^MdcH7~fHG%@a~GKRIExOWSOh6TU)E)H)xkF&0h!v%|CIj*4xbkVKx4ocYPV*)GR@ zCp}g>SU7o4!Q?wTJag`-nC1zI=Lt!fpX`~vq;<B-G2cUne1#sXH5N`@Q!shY4$qJs zo-ub+bn_;O<OzwHb8?xV?3(Rz)OXS&HOIoqb9Q+8+)-I*&iTSO=#iRY;pCcoD)Y*@ zubwqJd^YGwnqtvro}H1P#Koo%A6W;=?EUL?tV^C8_;@IMnzVU$ugtkQf|j!_XT=vA z6&n=m73&mh?SB^V%;%ZMGnZ!$b!RKj2u>7MI&Qf9V>Vy%xg@?0nWJ-#them2Y`1K) zY_)8OFJ>!dDP}5WDE_za*^j4Ro_=`x=IM*tvnNj<JiYVu#?vc*&vKq_JY9LZ@O0+y zrq6Yc>n_)A*G+$$-52E-#TRuhvhU{gIh$lQ&Dkikan6SImI;>emT{J`mND_g+lsan zZ7SMOv~J(Ch^HY>10J4f2!5i?CpbsZ!sr3VLCz-O=CezCm-;T{eHuR7Q`&R3hqTA+ z<Lk|oa-42h+%makP`5R53uEHqjL8|zKdwHzaO}de3vCzDKCJFt;Jbi#!P)t}_rqt~ zN!!h~mA0L|XT4d4S-Dx6S*ck`eD1THCpnLD9^~BHcWcMZEjKsZTyt|p?N-mtj+-qv z8*bM8o#mWnoTi*6oW}g^>a)|wPA@y%b~^3#>fUL-(|D(yo!Wafe72VKs#%g*T~`Et zMj0=0Ym-tmb<9fo5yiaZnR{>lEICtyD<6JXHCLXGxo14>yU$Zw=gRXw_lzff_jzK= zTzTH+p0U!qnF8N?9@}27IB#&zxcfU#N449>d7gXH1>Vm*Tz%}rJk34n9Peiytake_ zk8@8t!~2>0tB<{(_i}gok9RZoR=d5QcXD_7hj%k~S08&fZ{_av7w=~7taf`huXA_$ zgLgBxS08&jFLQVLjdwG*R=d5O=eaxm!n>K9tB<{zr@1@*#JiartKHtr<J_Ho;N8si z)yH1Xd$}up$Ge$ptKDADJGm=;!@HTQtB<{!w{lndig(tBYrF4EKT+F$C%o-9*UtP2 zzmFBfD}4W37%%_*ZDHb%UymNwy)4{+pkV)p9r0y%^sU}am-)`!_xow<@2AK9COxiO zS-5{k!Tt+7;?wTv>)z2fdM7USZo0&G?w;SNt-qfh{k!PU-$#$?G7I-FDcHYZM|{AJ z_^><rTJNTdeCO`^{q)G+q(^m}d*dD6PZ#>m-TC|J=em!3;;r6`pZlG9<nN}+{TcW4 zXMX2?_P3~Vf5bigso%Mu{xz!H?{QCm;&<*Re?L|1@7NLVbVtAMyZ7V2hbs2#+|yTh zCw}y|>c=|AJ@I_+#Si~p`k_v7PdwXu@q@orKh!bqiU0RbeE;vI@9Q4!j{o*feD817 z_jLz%$G>|gzI*zv_3jbhFJ7%pDU}bs>mIWGBG2}~iMKmW<eEG&oAl63s7RW1XK&Ju z-iAAF_Ib+k+XMS=bF|&=IG(%ZvDu|U>8Cq-3+}k--*Gd~Q<mNy*n9g#>+OzXxh9Xz zvL2dEDwN(>AboU4Z^9in?K^IuF$Sg9^=>+O$|8A-MYm7vx~*|Ex8;$URiX6E9lcKZ z$`@|0IFjq~$n4jiUYq>I=Wcg=Hj^rp&b{Y0YkT0c+?Gn|*n4i%wg*1Vb*Ys0z2`P* zd!Wjb#T^3iy)yZWkKOM0Xco1n*Wr#^*Y?0ixh)maviIEDwg)a0d>Fp)Ty)20F{wiC z+<Q*5wg)`ZZK>prz2`J-d%#m&mr8Emdrp(K2RzYbspPi3=cJUkP+<Fn$GTT4xDD?) zb#E8wi1zp>=C!9)Ab;WE=o25rv_!a>fB({YQp#O=*C}TEg=@M?O1V=x6FFl!BRNBB zMHkIl$T3kdz8PAQHA71>N9%VAvgHAN)*Q!WzZ{Y+dCa$|kp1e8=9nGL8Fw6w-z^Y( zryyA_z+E2DW6g0)cFQ5zFOT?w9`Y3xvTxnd9JHf3;*O)n9Y^hV3ZjmhcDC00e--rI zxN_#ttLDngNY9^F(}RpIv0r-radkh(d;z;YyI#AV_*$`AhaVchRDLPceYN~z_(k)J z;upz3uasX1zhHjx`T67TSC^k}Kc9Zy{k;17)%^3$&+VV<KbQY*_<T!w%lQ`a7W22S zx68B3wac-~w#$mIy;O6d=3LF0np69JP59aKv*TyW&xYEsKR<o^^zzfgPd9(PTKshK z)8?nePm{l1U4E)Pt4+yeUzS8s_JoH)^MuX&J7=qZdB(W6((8_mik+>s<^E4SH?EvH z^5;}>W~8U(&#CT4LZ1SUgwGS`>+R`|uXNB*Q<AHDYN(?k^F;8^Dd7{$KaM{>e);>< z_V>?j?ymfjH*e2u_V>?r?yh{3H*eSM_IJ-_?yh{2H*d#m_IJ-JcURuYo40Lt``c%c zyDP8c&D%1Y{p~Z$-IZtZ=53nY{^ptF?#d&1^ES+8fAj3;uF5@m^VZF7fBo#{uF5TW z^VZB}fBkIduF5qPtM+-x-V18m9`aDvwZfbCUQpBakO#V~72bdE2Gwm3xvzV)-23g_ zpsMX5_jFs!z3<))D%&1%SJ$=N`|RDIqU|AfbXm*2_udW4+a7XT_h^~-+Pgtn+e2>Y zww8I%y&IIaJ>;gYYngZN-JqoHAvbhc%e-sv2E}a;xvqP()I0ZXP}KI2Yr3ta-m!Os z!nTK8)pad(KU?4~x^r>Ioj~Vz8j|lc6w5;<SZlRebDfa=`nc~?q5IbzixYM%uDBCu z{Z2!+JfzQ>>$vRKL$alh`!*H2U)`}dX2;@;JAuaUR*1dRkSrJBE)VIk<~k<3^^ojW zC9l@?zJ2AvkMAC-FxS23tME?k=x)uAc}{y~^SxI)ynE$`Jf%Ie+1{%i+^zW`k7>{B zfA7@x?_T*n@6qnr-`=V1-L3gP@6hhq@7}5H-o5f&-lE;JpS@Gtxm)vHUeoT`_ui>( z-@Wo}UefN_*WRgZ-L3gH&uRDUbMMqP?_T*PPign;WAD^9?$&&h$FzI)zISTtcdvY% z_h{GbZSU09?$&&rcWBq_b??+x?_T*TZ_%!qX**_i-SKhBTc&6}na6zcgxQ)W&Wap9 z%km`cSE2FG9W$%$_!!*rvB_H|Yd*Pew&wA(EsxWx9;WRoG`_iGX4V}ay*oZ8Gtxf% zh`PH_nY~YZUs(PP&_09pS0!~%Bq$!cuGItH!<W6)6*MIJaqB82)-GYSePPay-V=WO ziTe8HiOj~ITUX8OtjzqmHOkXcQ}X9luAdr8Esw8fDL+=?IJ*9-%Ms_pht`K4ay;m8 zVEt9l5YgWCp?huiSnpnc)oRzyRdY<Yv`)LgSGTpv>;ls*``6#Eev7o*o&GiFUU+x< z`!#ddZZ3&`J7?<VLa}rEYPaTXJG<$o>FRG+mqnUXr=88Y8Sb5Se9a8r%?a^ur+?iP zJMDB{?bax>(@8hAv%g*qi(EBTGOTNjz|UPqDXwjzirS80Nk4Wmr93^})jw5E+n^@) z;l@qLuE)LlL}lW`<05u$OiEsQtcyE7JY&t7h|EOSV_e+v;Yn)_L_{V&J=(>ktrsK` zEEFsd%(s7;#4?d(0?T-oan$*8`Y`(Z^#17m^7pJm(>G0DG=0+arthXt^^WQ-)oayD zeV5%8;}yddb1J&)_Vp>-M7B-YDzbITmi3w$n(3NpnyH#8@xjM}jszVFIuNvP-?ECO zB})sI<}A&q^*!lz&}*mHMz58>W;wMQF?$J$C}}wFTXdp9iA9yei$}v@-=aSb9~&<* zv$BcE#Rrxxc;Rrev5EO<>v|3IfSd(a910m*kA+W>)X-gU!Xc4Sm5r-s{pADITowNR z->tlF`*!#8n(rm==e@dnc~5m$x&QljEAQH_-Mzfzd&#?br|w?fQO#BEfB)Ue+qSv8 zm*;#hc{^{_-OF35yUP5}zgv0JR(JREl<y^P=5^h@yrG(_%zyv8mDg?G?phx6z2x=0 zth<-jRCks7uYb4ls_oic%R{!G<k{{y@pj9JT%#vu6Cauh7R_ea>6^5}x8aVOeV(xV zcF+FXEN!=2j^}QAY<975_LCjH1$Wf+@2HvQ2}^JH?7e-Y^>)j#T%*TknGek-7S7&K zF#E_3--J7A+IQ6S^Mpn7gvGaecHdTMz1?y&x2fr5*ZRPX)>38e2j9K8F8g)Y;@I*j zulw@u2ClW9RqDR+-HWTTTX!uEEuZo#E`7&!rSD!(;@a=5=J~GmB(C{RHqUpiCvo+6 zR`*xGEnIJKN4vlJZsB^Xcc#bpKH70z{N2}MdvEQyF7^G@p}m)OT$lWQ>(Jg)JHo}@ znI7AFXvcNYcVCb0T~)AN@7>m;dzTfg*Lf$}S{+-sUhAFd(Y=dyTo?YH^(fByz37)X z?>pL^)qnSdpRazqC;VKsY~lLZ-&a+xpZUG3a{cu0S(WRje)p<eKl!^><@$-=xhmK9 zf7f~x=X_`N<69AjS*7A5(>82TXl|8=k4(6uqkTt5KTk|FPfXn0yL+~3>+IH}XPXY4 z-Sj9ea3a%DPT}}SCvdJk_2}kF%cRfR-OtWWs@$A#PiOkHsZ%D$N2=scJ29K}Q(EPo zNS8Yr(_5czGTCHMmm29(c*y0&b-@eOOODt&J(Bym$KB@rM9|*dS@G^hhWfhN`}+d? zyxg4XWGh7k4{iMTn&YwUri%WC9quCTLXCeuTzss}$756W;>1T$_T${<IahvkJ!a~a z)IIT|>#!4#g!Y~vU5lH##WXkk=xRR1C8`-vbJVginMqxQU1a%@a2X+s`4;mm=32~& zFLZm5^C;s{%A<t3qdSjmJhJl0!Xq>PbSZZVcQSW=?ofWD?Z+YGKF3YQZO+m4777I- zg+he_g?#%TNjwsHB=Csm5l7uo&LfORejfgK_~q}e!|j{f7q?GtZ*DK%4%#9apB=XY zv_&#LI|IB$GCn&AyhSoTI|jT(GCn(Og-7F~BiE&b7cNlss9F2-%bO=MAGf9j8w-E_ zl---E`gF;Y>$5ySTRiq%voJH#Yx)tjct%G>#*eMbCKLo1e8}c~Fh5@Y{`Fb5du{gY zUT<1fT2fpT-+Ary<9*ieRzKcn`L6r%KK(o2kJaYh*?z1xc87lN?{hoyxxY^>j2C++ z{dk|jyWNLs<L`vG{@%4Czx(&Hf_Sm-t`GNVzgzuipXR&nNBh*@Wk1@t@H^|?{LbH# zcD#RHYy19oW&F9{eLLPit2KQ;yE6XF@3?!{Kkb|Ped(U}Pij@)OIOC9_-%JD{79|y zo$DX>^?py?^Zrq-<DKx2`#Qh7?s@;PmiK*hMf{=PeD}gX>}&kay664<+Q08^m&fn> z{qAn~`+b$)kM4edxAyJ3=<@hozt7!u`F5(dcH!>$XYa&!{#Jcg*SI_W-aGN_zn8wP zOWYlQ?Vb46->Prx9Cyc`dndm6_tH0Yio4^Fy%XQ~TlGyH<L>x<@5I;tUi!N3;jZ{? z@5I;sR()M}a98}gcjBvmFMU<Fa93>Fj@Yg{dM<g>6}NZuZ10|MTlK_Sp~G*Po|OG8 z-2G!mY}Fk-gFAXQdDCULclX^^J^r@oaarZVvYmyyZ|sQ8x}&FeN6#d0y5x3m?(N+@ zw_96ps~&sH^tdeYVOeG2?iB^QckGCbx}&FgM^7hDTqJM0==Sce+p0(3Ha#k{EZjX~ zN32u6_=Vd`kGyqyRQ7XEtWEy(bF(u{r9^mG{`}5*QZD`Vu3OFai}!MuluJLo>sGP- z;@#Yoa_Osg-AcA!ypyX^E`9W_Tfz2=w{xGANpHRDmb3lht=uJL(o65UWo*BAGdHD7 zdg@)bl<gO9<f@cOx88M2*naVP?vqmK(z|Y;=}otY_}+CrYkF4otVsJ26#|;xY^l-Z zf(-eIeT5uI&|UPP;$d6wdRNf&=Hk}%uAu47<kt1B(5{C|wm_HlizBirN+MfWI@deS zFQ4#S_DUst;XOy?cME*a`kXnv-f`O0DU&C~H!CU1ySDt0dUE5$4vt6J6Oxo3Eqr)= zfzu(!g9p|-Hq_VE*2Fh|`||0-yM1gouU<TRvX-~2xw<&}x73ks%ciw`GktMpO;667 z><JMPH>O{|zCdr?T7|U>)~t7oa13`bJMrpLJg-yJkq@EF6Xflx4txk@?w7SHdhlb_ z!&YgttQ{XhnL!0b!o$^V5(Z%v3lD|!>P0O%z|^{43X&JYdDXy$+Ny__H%~4;63#n& z*322x<4q&Ng8aPdt_pDe)KEGS&I@WsE9}b(xH4e{W8mS1Y=_rNalM~9d3)<6Kh?EU zR4#eBE3Ewz=+gZ-mossBZ%>&j<HC%k9otne`tkE}bF${2UQoMW>Li9+`^EN)?Yn&` zegE?5?dj#w@7`?b%RA@g`DD!&)_2>EY~8bU&DI@Tx7-yovkvv%d`WDJ*yh_sY0H&U zr>#j{<GMz5&C}Q08cMfX+?y-V+taNe^uBM=TqU_%S2q--e|RTwYh}WMSjO^yWq;nB zDlh-_ZOs%Heg5-ap6_10>zg}w(uK1pj~<MC*>U@BWp;9Ou($PY>x{J2l;os@a`iW- zS9fP;tG|Ai@iyUY%-e{!A#Vd(<HUqP#Z*;M+_ZCopm3Qs{pqGjML|ZNK5la8;&^!D z1jnOII$CN<a#BKZ)4=Vs{rgToIu07IPA`vq2b#ZobWe|a&fIO0nw+<1P2IF2Qj_~u zs^{j*n+-Q_I_;$POq8uGa^J2^JKp_LQaW<AFvTZ^rLa^)kdvQxz2CzJ^AjFiW&Cpa z_2b=E)s>|c<>ANs`11VTy*jdY?ar;SvSy~Tn@zT?E?ySAOn8~|GUKw+BP9n)c9iTZ z*|W}X`nhT6ruI$qyLR>9rHx!yS6*7U>l(|7bWWk`b+^j1iu!hx8E+Q){3*)Y>xAdY zY-M?A*OndEF3xKyyy)c^vC>m0Ab`6h=h4<K6-RAlJ6r4bT+5$5U6%GN_TZW+Th=Vu zqdj?oqLQNg`>qZ*P$#QA)$n3~q2R}LGV9i?S;KR0DhP<~-@OS0CY6VWfxwG*r@-KL zY$ON-?$!o_)bdmiV0{D9x@JwzU69_XqN{f=TC`}<rOMC{5HMQb1p-`8Ljysea*q}m z^n|;D0PDw9D?orJP81CGuLkK|G^re-`NcYr-mX)(A({hsgY{~qLN&jEXwHch)vXrQ z64jZiExLMj(6?6CuxX`htA*3*50`E(%|DfQB=1<>iM&&Jd-C?>9mqSBw<~YOJKpK0 zh7UU>vy_TgRR(+9Sl;7tbC0H4kk^uiWi88Qc)axa==0M@apIwwPL;uKOMKk!iFkSl znm;vkdF)%55)-mVQvuW%=E*;`a6v5h{|{_$xg=(k2mj#UemiSXc`#G(ub>}6-}1Z? zPtA$BbKAGEI6FCbw`O)GxZ#^>epIJbCsoH)hxN^}mZdc}r>bi#eZ8#WW^v}-DQnkE zSv|Wcb-AXEW{COIO`mQ>1cBNZw>oy1^_wZ?$mB}pO5}>=imd15nC&9%GTT|&dA8H+ zgW1j*${E5L%o(54AEzHK&;9l0JE&}WwXRq8?wlBzIk&gMGLIQF^ITU0XP(n)uWx1C zOt=|yGva2*&4AW85m@G#;%6<pab@SE%y1DOk<;Bpk)E2BfojT%t}#=N3-oF9h%YS^ zba~_&=whR@N29H!xl!Cz;KTa&i++53`!rU>c8|67uI?anb@BF3Wq}U@?%cYj$2D8Z zOX}23S4U?<XH92CXGv$y-5N<62^#SlvEoO$Te(xYRbMa4SeURdW?{rlQI6JEiyCV0 zO6gax>n^=MYpvAU=*gkQsiDEC;R_ZB3vX{bRi|>r%hSPo!jCt5)aR9X9kNUczU<|1 z<gfRhb6M)sC$<ZxwCquz_)T5u-O1z**W<^k4^=Qddh)^Lqsqs53b!&76dyjY75wv< z`OoLw>a|tn)m4?>S=RfVTi5q)-kF12j~?86S9rUr$u`ri)sxc9%F@Eh{7)R(bL7y0 zcPGWe!>*saW@`xo8+WN+7MwqCZuzN|l{;6?tXw{0>ZEB?r<7Z9N-7C``sn9s(o~R> zvgh(q7w4lcN33mCcyv@`_5>faw>x0J-}>$4-M25F-W|Nh%5t~WF6+6?+1<_6yMrP2 zTKgJHTN`Wd4&G#-ztLcW_1{OQUd_t8yK>s!DO}mFR$iTZt|0fWr0#FYRg!CFu9jSF z9h~Za$VKCYsb-@6qsyB=&nmbTpO=*uC+t)0dD`n#wh?IF?$F0sEg7IhtfnC3E5N({ z<ifq43r{{URooJ6f6(DT_NVmu*N<;6_x<wb<%f4~)_KnTdwWjI9n;3m;l<g>yVbJ4 zrDkTNUq5n24z$~NR?E%VIkht@EKe+XJ*(hmc4}g5<jPLYtEPf;`0lOFi1(<-SMG2< zcFg&Ri|g*V!-5+Y+%C^wXsgJ%tERfDvZCz!Jy7L&^Xs9z(&hKp-Ok;eQ~q|@-PL6m z-`zCbojUzZ*IjEdwr@|bZY|ZH{`cyxNb%QkcUM)f)tlNG5Fqqj_05zgmp@<MbN`IZ zGyVL^Q@_2e=T7NqsoA3K*-<T6b}D0Omjc^+UTN94Rfnnt?Dst6elJ@u<amAe{c5>; zb7k+=LNdqSXzAOtq;A#TI-mD#)8yDUb!Y5&-kg3NTlV*w?e(qmO4r2SeHHS3@}!9q z`W4spfF?a%1v<msF8T@bzs-usxGw0VEi1UksJXGBF8ta0{`2elU-L}5xZ9|@%xY45 zd3tzy_{^Q!&gsjuGSkD4osCoTWqW(-M5OQz3rn+^X6CzBMZV++o6vJ(s^?}k6-T24 zqj;k@qgbQp@HTHZZ#8c=?`K}eyxLx!3Yp2ls_S^@vzNWK<x=G(vx9s!pG{5k(%02i zUq9tioYAL`UW%?Q8GC}<kGTqTb%f8#SboWQ<-#@v&4*LP__)}Ze#O3wm%l$x?%vdA zcW++3crx}SXjWGG_S9`_S1w&RGxlYq<jolpH>S4LR+biK#=e|p!tGt9x>adXQ0&UM zd%XuYPhP!vw_$N%Zb82Jv0mO>-?zt>%<Y{y^{#}G;d+A&(x0zRJ-W3tdCQyRq@<)Z zc|KG3a$b<;)%{qxSmn(XP&;MW)V`E^E0)deONfioeA*l4rvBDSDRb$>3H@PSLXX^B zEzFGE#NSG>3i|E|bUos{dy<6Ey^Mu(-Pjj5nrm_Vcz(Qmacy-~Wx07*dU*PEL93n8 zbGw^2YoCn1-Mee!+La6MX55-NGuHjovAii~{M?V|L|l38wtv^AMKKrGN?+Y{StD$U zR+Z@1U4atYxHnG=Ybz^DJo6^;M8bh%NA!GdT%Q(xz4hUxrj4hX4(>7tHwax|5Tf=@ zDr(W>BhO=PEsfoC?u80Z{&eZnx~Y@<CrvDG6*(wz=;ONH?hXZ!_p>T2G9oI%oesX< z&bF?h{O{|>pj^FM`(9SKySX}h`E`rxN#^F-vWdI1XU|>tk!5XWdFbX~Aroy|V{K(` zuBfB$tkRY%Cu-lAe*ISJ^(6~RU$2Y#78My0wkvLJn5XvD*oW^<M@LIZ`(8MGt2WSC z*?RZu?whrm)sodes|2e#ck3s_em|Q1dS5~6UGcT6e>Z&%Dve+B=E{-Ug-m-@7pmlW za-NU=WZAUYIQVkVr)eQYWl>>%_k?_Z3!R>HO6XMdrJEJrGUEB1iy!GSHdc5GadPwW zGqbY)|B}}kzwqJ02M_Mw)BR{{^XK#9-Kx#?b+y}{OxSSYgMdLm!H4tjru~Q%-W45` zzPxCA3g5eFM|R$wWNw{n&77Rgz59l)=VpCH>D{Wis#)1NxoPPcs+ncFn%WO{sis_W zc|9#B@$RHGLaU>Twgx+udWXd{#T;07Iy_wL`nA%+!otFhyY#m4R^{HFdwtv7%G}#i zuJ`Tv_IN8twUE1ZZVC&RQO9dnYt=xXEtdpcv}G-~ToQEFR#n`ix8<^<wyGe{mLO&! z)|>fWmyV~0^EzJJeb4w_>AaV(-n@JC<k`I|>+ZgK^X|#Bd)L<8ee>?#og3?(zxi_Z z>^kKv$GqM9HmgNMUf;#HIe3ynapmh>XOfar^fs@)E*>#s>aNpX?gxBI&!)Psdn9ya zQ)%>;s0~poqSi&N$@8AdDYS6G^d(20bDTfteQ0Op%9)j(2D+-+n))YGQaDZ=_f|S2 z=%V~sH8RA+$7PR7duwA$^K=)13GdIppY-MJ-Iq^eg>0;MTJ7>S62H#&z0C8@jSJVV z=y~3{IV19>s-o~`F6P}T@v$l@(bHYJSzdd2HhFk>tkLWA7C3z^^<vV&q=iX4lQ!<s z303oGX}UL2Xuh(I^?Q!z&z7b=lRda)%9<s6lqXMARFHq)!Qn2@+f$wrc+o)cW1ox| zn-JeUK}Uyu_WP|bZ7*Lwz1;o7n<uYcygLySBYo$#Y+<r<bntHF%=F~6)bbXeGuk|F z`f5s33wv|!3hMqATqC$@qITxga~)S@S8h`FcT}-4*|V+SgTKLtC<pt!cKfowe|q)d z-MX!tmp9KY=G;_t{n*}}TQ}aEs&Qgf?C!M7Yp%?T?M_>+;&^RMkI$Q18x0KBXYbFM zes|Zj)A!P1SEnwIC|Bj&6jHu5ynJie^{|_o%t9L)_}4KBTsX+cQ(&vnz|O?;fa}0K zW*)N(57I6?Wa4Aopz7inRL<-fFr~Lo<s*x2tj2ORwWYg5mMYy{vUpK;%3>8yYe(nl zC+4YWoR~I&h4ZEmubtVh<i)C<Ivk63T75Gz_Y!(i<n7Vq;n9?o=jkcr8Q|$T$y?w| z?M8V|CC;pkfs+)(q8BM<JdKj}-KnK6e)NgoTX}IQmA&!#SN>)7IVw*-(pPheQM9AI ztu=lj2Rkb><9?^VKfiu_Tibf+bn~I+UCo<*bA__IvYWE2vWtEf<$8B^ZSPy{E4O%; zmN_rI8<1gs<tA@xne$Xv-*tJyt8XuPWj6ESz6rnW-o4+k{;}PH+8*~*qkPFT(a%0j z+qfrHD_`<dblRtB6ZfPl<x8H3e)e&iVBzVO9jP+;Gmk~LeVi7#C)MGOQP*~#N4m`w zr)BOLwQcu#sOwyDn&+NT({`T+y37@)|J*gI+wOB;_i*{?H+PMyw)@=EZ7x53=dMxN zcAvYt&gG}i+%+oN?sG?%x%~8=yGD82eQxU>E<3&Eu2I%@pIf@kWvA!dHA>s=b5qy3 z>~zmvqonOVH*}fHPS@NuiremUUH5S5>72VpQQLj4={A?1j=5_Tw%zBdu5;<}GX=** zb|!b+F?4<>A^A>1vD{~ZwOX4s+X>mvkK-D9KYrZ$^!VLJkHs2tUrr2d2`vdt`MPz* zst)k{k`V9C&XgUUEq7cT^A<_uEs{5%*gt#4abK3hzDFLb-6|A*xudh_j*CU!BJsRM z(&iI;XRkQs`{a<X%VV`!pmVp9YE+vx9^w{_sZq@Wox2rQqZ$MmH|zv=vHy6L{7vt) zs$a2D+Urdl*Y1S}@>Z;uKJ~io)vkp*@>Z;s_IllRYS+RIc`H^+pL*4{YS+RQ@0Lrx zTdwq7c;fHO6Mt0>|5bTX$5mv1w7{Nm=lr@m{&w${%Y7H-`7Yf5JM;M8C6DV)71}@C zF+Xm{{JcB<X783ueV@$zUAXsm=CQw54*gYmT$l8)uB*`g;EwrWJLbpT@z=WJulH`b z*!N(r@50@`Ia_~c9{s!IQJq(z{lXpd{qFdyy<2|aH|Li+twQ^WJLcQHS3m!I<>$Ib zd*-{{@t^&D^0U8FD(w^R`A`2o`RU(~O8dZj{*%8?e)3nO(%$i&fB*N%9lteOYKv;V z7`)rPBmR-y^V$=?yT7x(XTG<6_xiH>H~$XAy~*a}F8A!&&2lVu)1lZ;kMsf`>J=7F z-?GCyXoq*i9aW7xs@m^_M9V$9cC#FfEqbJ9SUA1qp6Y^f&(7T~M`Ax!POrG9I=7tj zd92YRy_<WyP2NvBvzz6!-p)PVI`1c)+THR=Z{{9vmG_fQ>}L6-SGmXA<&LW2JE7yd zmwePq+~fT(hqJ>yx$2Ig!5u@JcQa(md-|-^j>|Sb?yG#*x3lp0jUCBZcMSFJ7@EAB zAzALjUEb4U-PUTYc1)J}abM)azRJSmD+-S9*pVD{$58W*q0T!Ak#{ph%X_-4)sD(G zKkBnAJU(MbveSEs3)ahy$T~mj`?)9C=KYLw)@`5rBnyw{+%ue2?(<Bxx$=0-J;Q0` zK2K$xE06o!Gn`cJ^F)@p^0>`CL#1~!1j>6J%U-THZg9`AyPT)P+U;YX=bmJN_cIP# zAN$a!xhI+9{fvXwZXfzM_armCpRwQi*!#YhyOV#so3Yp0?S0?L-N_%`&Dd>y>|Ni= z?uEZ^F&(~p=yBf7!tF11L>JxBwRks8{M|I^^6uW<OOM@sbm*?r<Gh)L+qV>KKd~b^ zVn=k+9bJQW(?s7*6EE-PD(~*zz4YkaNr&zpdX(q*FfX!j`-&aWL3eaD-c1uO@9x~K z`X!HXZ?xAPU6ps!&hK9OIq%_~Xtz7Mv&*}m-JMjqJ>j12^z!bfcY`Xo2i()0T;BcU zu2AK6hkLsH<=q{-Rgc_#RI%OUo^DV1=|^{&9_0n@i57Y<EB@WDyIQ@qy8Y<h=0kfo zJGpX+sPB6>1Js6n^!nWLboJ*Q>#b*h?^6?e&T-ZUbXbtfRCB?nz9yBzp7&fPnhQSh z{Zb*^xuetRj!U0;;A7t-6~emrTom$@j?UKjsOGe%lP_QC@az>I)RgvgvgIosoUQRe zjcHHkzdWV=vsb)Vd$harTb|P1*&6TF4(;xIlecKs?2dP8lXiDL%2V1g`^DL{y}56- zCq_x#nt3yPk&%+oB10v^MH|+;B$!{k?)#)vxb&_|jQPcDzDr7lQ}4P&m|wi=n^Gzq zde<f7`vsow0TZh`P6$Z0u>8zw5asy5_G@?JpS%V8q&wcT-P+ywC2zqVX^!`7yLLCe z$y=~Xy5k+&tlf=I@)qon=6J_ewY%|7-hyq?9dFs9b~j$hTd+l%<1L%j?#45D3pPo2 zykV2t-FPH#!3JrLH*CLlHSWn<uui(;HQTLSja%{-tdZt;&9-Y-<C?q$tE4+#{hRsl zpJvg2ft~ejckCO!xu00<QED!9x7Vax`Q%-XLUW-zy<f_dci#2LH5a<wyQNHd<z0_V zbD>+kC1uJp?|P(~3*GEBX;WzvX=7>o@>TTYYqwXsCho{vvR2yjwcDv(6F1~7SuK6? zmD{Ra6IZ-jEctG+(s#j$zcWtMsvNFWd1A*^B!9F(o^fY?-5qzkcZ=n|3-Wvy?4O-g z8oYW%ng;m1siq?gPryeq-dL#YF4VU~d{UkY<Wx7^6A2HqgL<qvkImh3Xzmv!&(`(+ zz2Ai&|J_ny-?(GG$ou6-esg}Tv)VJC=l$|SzdJwFN$r`>@_zY&-<%)ne(j$B=iTys zzdPU8-P%3>%e&=!esjLB+qHZCn|I51{qB5MH*5F&C-0W;_|5sQu4?!EJMWfn``!7r zE^7DuEAN(X`OW#Z&T9AkGw+sf`rY}aPHOl3Bkz`P_|5sI?$@sQd)_Ty_q+3T-K|~o zx4c`v<~Qf-x?Q{GuX(q8)$h(%WwRcZX%$%u?ws3p$Is}FpHtp)h3&yS+l43GetG=u zlgDMR3at+oSby9xx9pCeRo-%$?ZSPxU$)+UdF*Y<<FZwS);kNVFYcI|c1L)FpKeP* zPRgHMTR5By1wX#Nv@lWe;e+d{2OSRB?_cj-TjO2rUFBUFKmEhBchlZXdo}IFKE1QL zC+8+if223p@}zC&8P6m?rs7G{CvTYJQZ?y?=e5aG<{V+XrvEec;jK%je(h@7TC_E3 zYtUAwuc4`JN}yx*LR}%p?5%nPIc6{P;zaN<d#k|H-;(j6&|~(jH)v@$)K-?(x;}Ke z>2%TQq|?D)T}7=)twF6$rACLZPgYWQ@oSrNqCn}%YtIRjCHxxZ{IU4>@#~V~oIL6_ zer0oB{HU7rnC19MZgq3NoEqDeg^vz#_D+^m*R8RgSa|4=XOFOix^|6iW#OVjo!yhg z)HQ2t6APOTo$L}8oo@HW?#AEszvI5|eph_={;u_<_OI=({ayV#?EC6>!FMl7=4DQd z_IfgnZ|72@J3)%uO-|_cKAgt1bE$pa%KqqEkEb0f@UFabNp^e4aow|p-i3EAiRZoS zjm~;J?b?o|dUt}PwwD~!&3!oS)Q+V(cP>e67dfUI`*7O69ZR+D1c`6oa#Yv%;j~RV zmTKI&B)UE1sIG6Jci^2%!rQML(dFH{biwv5M|4#Sz3uZ?KG%J_XX%{nB}a7c?pZo( zyUFKiN%t<Dj?Sv|*3Q>_sylbj(n;G*K23AFcj<Vv)}v`{JC^os-x7H0$5xk*dY*f{ z1>R3Oy!*%pJ<UDd9PcL`-0ku~k8_VV!~045cOQAL_j0%Qk9U*y?sj>vcXGG)hj){9 z?>_QQZ{=?97w;zR-0kvCuXDHegLjj*?>_QYFLSr|jdzo_?sj>r=egVa!n;YEcOQA9 zr@7nv#Jfowce}jN<J|3i;N7J4yN|rqd%4Sd$Gb^uce}jSJGsky!@EhVcOQABw{n;F zioEj$yHDgQZEwFb?cufww;l727f7FYE5E(=&bvbCw%ay&?{}<yT-JBnB5!}e?_;^r z+q3VKJv8gRZJf8gAo^IYSl;m+`WxC9lUv2LYOWSuRALp=sJR-s5VUY2zSO6sX_~^v z(C3iZin(W_-9KMDxu?`1f9~n%<Dagr+*7KNKlfy``=@K2drB4Z=N^xCKeAT%(Y3-o zrBeB_$D-3eUSlkLt#Qw)b35OowZ#>$CGJ_ZZs&Wr*0|y|$33gY?R*c`elCCg<E~Zh zcE0;-H<!PDao4JHJKw#v#pUt`Ufn1y>bvo$YB6}d#@A)w$$;0qptWOt@%d7cpfl<A zdb-${==`a2w$PB^`0@4G`Stzz?_c-E$=#cK_xfzBU6wm{tmoZeUJ##YkU#76>|>vn zt=yBTkw5F?Y`0I#I`?EM<j*=j+wF+2@S|mgdorc+rH;)``?!p;@Uq4|lTLHqN4~`s zmnH6*w3_oi^fj)y%yG}8(VX{z@8|N%Kkk~;n)BZG-CTb8#a)w1bKZNt#pRc8+%+jR z=e_G|Tz>h)#Ur)7Gj}IHc{gK+HQT$s%H7F#-p$x%-S)OGa(D8TcQdwFv%T%J+?{;p z-Hc7vZEyM{cPAftH)De}+nc_hyOQ_3o3YNi?RDSHUCCSC%~)g2_PTH9uH-fEW~{Pq zd(}4cVVh>rVS$~AZFdZe?ie`b%}_A+;W6i#ApPt(@8id9FAEPJC^-CKM`GC>1FO6l zGUhye($89@pB>{(e%!XQ@bHd;!xwfWrrj~ny<=dMCn1(ML&BV=M>?%l`q@$5#fNww zKWfV?JiMgf@P-|U0Xq`I?igt0%@8r?>5_hSgg5z78|U6chx{2r<~*I!&px+(+>>aP zFX1;s5Hy=ruA{A~uDbu7%RTD}-_JeXtNiF5XW@H`d)B?*`5y1xT=BkPM>%Nc0q_2I zB0_vzY;|`T|9pP^`1h{O<?mnIwXXcmcW-X2?A_~gcUHT<yVtq9{K31q+hw=U-6p$j z>w4>~a&EsvO_SF=P|>pR<nn83nmp%$ikgKdr=L^P<Uew6LC0HOUo4&nI^OcSvefsB zJ-=79{`NRld*o29$YZ;vLix1?@_TpmhwSK&x#O<;Zn4NaWigE!S*9ZXzdPD%?l{}N zQ<Qk8DEIwBU$w_^+bNIb4i)n6E#SYqqdn)2GjxX189GDh44t8L)@cinh%#J~q;d4R zqR96Poz*9f*osu~H{NqreWxh&{latGCzbrA_nhZ^ztB;g@mcQDo_3@6if5`{e3IL= zr(Ns4;;HJ4PjZv?v@5+=JW>7PqnuD7f9sBRnfD8iRd;-pi`vufaL2jpd%z>xmI{8^ zd(Lg&10LGCRPgiOb8h+`@W7U(g8%Pb=eq9!_ic}q^S{08T=hNRo^6YH$@j17C;kc_ z{>%L2-_OGTKX%kt-LW^gV{h|rzU=q@zTfJ{|29AVSNZVY&cgpUcGPFxvDdp}Z}M)w z<adAW@BKZ$+gpFDAN$Mv_+RA1f0c#*R}}o;v7<ifj=km`d!2XkBJbvle(&%4t$y@x z^CQrXvGw+<@8pHPpMU=MF?i9&>;5#gXG@=6pAR`s(tbj}l9NT<-^`R4mW4mQstbPn zaQwsa53l(jo?r05{{jDl>+|>jKK}mS%iZ-q-p$|p+x>mpfm_ax-u>KDZS#KKx!vub z-$@pJ&$(witK9$D-R8>gG52hzmHR)v>s<NW=br7Pa{niHnJd5B+_P1BH&39v|MA_+ z72gf+*>;!nckFim_|9`rwZQv%hj$<U@J@42HOKpT2Y0)Fc*nV?nxXpNKDC`YCP&@z z)V$-V^G-$N-4fCANnO^ON9VRY^0O*bpSfeQ(|eT*)+>+9b$R6XYtLkx_e;)McYgMh zDpb$C=Q*o9=-J$sO7+-#p3};Mp3ZftRQJ8-IjKD8$y}C7b=!NMO7E5kluvp*_ezDj z;XTjpa-j}u&yRjydnOCKUvk*`<Oe^kJ?1?3q*>ncHhyP2P<{CR-h=P&T`13gu-p92 zUFk3Hcq_lN?WsO|ckjV>_X5iE7wk4ab65JwJKn<YY&)tC-`;!h?Y#?S`3bwt*W8u9 z@{Tw2JKL7(!#DRHd~+|LEMH-_dCy(xBky<<zq4(qK74)e!EER2;p&pxg}Ju}_uS?@ z_IAsmw_hHW1wAY)Dzx6ZV{Xunxe<5#H17Cm=c$Ws5AM3ndGu|`qcWpH>(+aI3$_P$ z-tIi|_DiL8<vqW-+l8OMHF;EaYtLMh{N-nEbAB${wP&tQ{_<0|J3p1p+A~)rfBA{q zoS(|7_RMv;<ENOXe*E^zk7Y@F=8EO33v8eK=<SpWYsGth?b|0md>c|>EqKqbdHduC zZ$&Ds8SnYkZ=Zbs?Ui!tk9Ym5w@<$Jc1pSR!@GXv+b7?B8&Yn4@vdL-_Q`kNij-R) zyz7_0ee&(MSIVq6-u27gKKa($DP`6R@A{>0pFA^I^3Lt+s@p-EnAUq|f;KV5Pd@?P z#H0rrGS97zy|iokrgy4qs$af}+q7%?s&}fZqBGl~SDskw@+3^ENc&`gHs{W%O?SKu z8Gb%od^}uLqT<bkiH}zc^??eSkD(`7rHrE{d<^Yu1<m_^4E1Cc*9}Ve7|Piysui^0 z$Ep&ilPy6jgjNJ~uJ=-zVmH-hs?}7B{aU>Nw?Zz3oZ2(xiHfrNM)gR`&QqR2eoaM_ zCQtV9Pb!+Ud(V-lD$3iRs_LpM?%d_MCU4TJ*)6Z+W<HeDEb157>E3on+31dPjQPoH zzKcpHr`%PEF!#LS%TzYG=B`Sdx#xA;O^@X+7H)3X5h;>C%|*;*nzNYmv_tE4T6CIq znsgd<8sa1WMf{2Q74akD+rCXNHa^+-VB?*QH)>N?CNE5$nLIJM^Y<yH<DZT_I(F&U zp>I=DdtG}~ds%y*zR~WM^p@n7JT1|EBYc{Hn87rCG5u+JVtP}>wX|032*~^xs>&)V zrlqlBO+etsP}Np0uBpOWY9UVhS2e7f5}>&7)5=FbLzf1(2B)^1TGsU}e5#MA&s1+w z@2RKOYfaIbtTjn%qSl1?P`OZ-6)gdUA(?+x9a^zz1(TC&pz6ofT#HUE>{{rxkn3Ui zR7X+AsSctJQ}?ges?(~~s?n;}s)`T&6!IbDUC5h|SNm37Sb1jUiIqoI9;gki3@!}L z3{DJ={Jm<?vPsLDmK7~a`WCv>r`0Fb$JIyGhgIk3bzu>8pO&UNTTMsMJlt!}=N-Oh z&#YIUF@4(9De?Yls>+J;`{#A+@OQeS-uK<}aqXds`8xO172XLSbvfdEc)faSOLJ3W zyg$SLKfiwLoA>7B(}#C!Z8z@rfAvmy*YA{fb`y8|KYAy;<M)%dc7?nBZ@m-V_B-XR zUEps2OYelY{C@Jr&TzN?sdvJg3^y8VSg#%*7aJ2D@4sb}--dnjVr*3$Ra6`mB!qV> zaBOBjD9+))(6o-5Nw0d1wm?LrCdUdrj#Y0&wzN#Gx+iF5>7J8xPtfRX$&sR#Q-W&m zIi{3ZDREZ1chr>ZQ8qD(dU;~eRHb`@Lj1gIr+nx$(8yTYP+umy@O|Hg<>^Z+!@APT z-FHYQwg|d)NI!hr$B_R1+2u6%eMukJ-Q5&uU7kCwH!Yxi@53mq-DNveByYs(-(|Tm ziCd5Jvg%2O_f{{<61?Z=d9qbqXWXH3#k;7)_J$DO^d&DRh3I>-RbF?S-tr<T!TZhC zshd_G-IaDg)$xF;<^k1*5A1GEVvSLaE^6pb@#ZM#&N<0eeqvfeLWS?sJ@+Q=&J)}% zckojci{N$TEwRZ0kEEJczn;7MHDB9x&$#PiM@&zvzFbg|dvV=Dy<Xje4?fJgRk_!= z-1gAZuYC7P+Yi59vOarv#a*GYyNpM+`tFufJo1$z=emSNTyjEbuKvmO-MXDSwYNQK zIyXD%I=999&RBhkLf<!42cJ#7wYB+4?AuqL%5(R<=9gM;->^ryY;N`B?%kXX#lhLh zyE!AgwVkbZa~dc!OAGJj<oJE-)2m&a4=#B%gfeXsYA6*hnA)IqfhAz(4q2!9CCxT2 z%bh!K9~55s`kBP743<snCT_^<>zsW!eX+FD`eM8Mb8~yE0?OxZt}K3%bEWN*)$vcy z5@Mgb+><ep-nwx2xxzh`ZPzWk%#WXTv;MH>oaP?O`s<br=Iy84%oF0Czqu<aWcI4@ zMrq&OSjoi2W(w<yAH6%Zw0BWS-17~0MLngrI_^Gaxm#N?XG`<p*D@P-GaYiX{;}uW z%U#-SX1f?aSh2re$8zgM+nLv*!sV^!-E1Xx$Nk)+U2c}Oxnl38%J3&SB1b=09sRU! z%gq(Ox4wEkiFMq3kxyuSxXk-gGkVJc9#y%1Tvu`P1>3>bQxEN)l)6~jY<)Pxd#<Uy zVfVOo)ZepCnfRT_)4Fz#xWlc?j_O7Z_hZ$L${p1Q7tPhWCF**Cwc~q}OLtO*zQvZy z+#K&&Tg$2%AM8!I;P;c^|DSiP(Aj>bJ>XgQ>Vq;d(K2^#?>&&q+nekAHf{oBx?f*` z`?C-?^KS8)oBsMIc8M1hKKM{!S@7UMBQyK4(~ZpRd@>daaeOis1rH7+ekcNq^VLlU zix-^O4Hj=?zWWrUo=@hU9!Pz`g9T*}Bic7XjcAC48u1!xMA-Dh7cXACDEPwG3Ity5 zaR-B$-(S3VapIGluhPZ4Q+%XdckR}kVODjxG*~0|3d_dXLYa%zTJDMnnq@KF@Xg#3 zD7-JPYf<kqk$WP}(t9=c?0dLdv)U}nrL=Z&W$>4rFKl0aseNAd<mL<B&e=`ri`6dN zonkI+n)q(nikmAqi_Djvar5oit(jn!#dOE_<)%R4dwE^cdS^W-3tpFVrDfY}r_{wQ z9qnz`8Ct*9H#aq2XK46VRaaYcouT?$X=O$Eb%wHU1trBr*BJ`GW#{MRUT4VpmX?*7 zah)OkTViTT@^yx!Z!rn+an~7Qzp?GOb?MYDwga2^O&g{%uHtbhWllJBPk0MUr*wmV z_1SZ~*&2))l=B$OF0bP(_@=gI=7KWc1;&c!SS*E~`7AJAc$URn_?}OLapT#RjoF80 znF>B$#U;FXR$Q#`o;SWHj2K_fQb;=_9x>yFSon0#xH3~mZI%n!PGKCX9yL{EeLEBj z^0LyR?g{8Qui$AkYB?#O;@rXW@M9Z;WK)NLQ_BMhr(}*E#fBY<&^2TC1i0S1v#~mJ z@Gu&%?3X?i51vxzRXp$K`R<L=3hqX|mL1YfF&qW?dAVi0iErB$OcP^@;z(DV(dtm< ztg-pBf%ey5yLKsNv?`dTT^HDp#}TiX)4D*^=^Ah18Rh_fuZj<qwh9j$)76*9cDuP8 zSkt4!lxWVBlmIHl1uyIpywT+l#<D@FK$LMcN65?_f(y7<bsZmV{#^Fpq-9dD@#jxv z4WRurnw*u^9W|h($%P-w4uFT4Hhd^c$XMReT=sSWvxD~A1MkZ|TtB|N-1^3Ah4svP z_}=8PmzUi-wyey$WApolwar^^JI1mX%U&oAxPCC2y+HOz>4j^KH{Uj_ZQjUt#ERoJ z!y4xGw-1K1$Hqj<W|U62dhqI7hSkig`BqsiFcOS<<kdK7!mlZxro5W+Xv(cULCQ-^ zj16_yUs+nKy7bA^Sv@Txg-hj}EsWw;f|e_Ewuf^Co(fnMkoCb!kc*A!-`7}8d%Kmk zyQN+_+TGI-{H7oyEt!91(cXngN{@Q2w(x@%(F8Vqt7)jOGhcQ7U!K4Id$)%-Pv5+H z@$L%C-`gy9Y?pqT)_-i<va-dO-W)%7=2V`@%)QeiByRNn+I0Taqg%TIqrL@(K#ux1 zU!^q#)a|eiIaGXPdHQqNM_2aTxpHQY^NgvJ%KuJyDmx3z4Vdu_w6aG$>yn*|%9F>f zLW%r`HctHLq<c$ROHC<mh4b$NPKOS1t7e>M|M9$h;jcIEzkT_nCo*sEoLJert+O`g zcQ;q>4#@kKk&~Szu64HV&6zcCoF?9^?rd$0U7`Pbjo!Mo+)GpWU0GXMOIcG(7p`*h zoWZd-+mmt4I^R|ACeQA*Yu(|WW%lbz>HKp!UpimgO}zQy^0iv7%@@_z{(h3Wm^EUz zqgu`uhCFkPD{j6U*0Fymvt`)wnz1KVT;W|6L)>-d8@n7Am}M1}+BR%?&A20$|H11j zhM4QD3wFyj+~CzpT<l+DzT&dmVy`<3Jf(FTZtzapa*=7u-31=fx(v5?o3>n3UnTt{ zWAXNnY`V8zr0#L-G^-NNpRlkuPQcvbf?M;iyb1Gr?Y_p^U%b1(Qo8olp1MhU9E;3; zZK?b#QrY|{=ZofNwu3icJl*4%ZdT=fN5N2f?`-oGr`^^+<2|(L;_S-iRXJah?n!4Y z{{AUW$gE2D{o5@Um#=@VzPegH_shu-zh1tJ^OUZY+Ece_xA`Tv`3&plzk1iY<)Xr_ zYT4W`okvP1Jl)%3_N%4r@08N_3wrIQ#@Z*|)y`P#pLE?n{5#vN7fbhSKkt@*<7@ls z>bE&xny>FIF#EOe^*s~mzXwX+U)vk`J?!QS_BGW)W>v?leWhz3+b->uJ5tLb&C9ii z@6>MnEf*E`RXa)ZUfRufYd7Dn-SS&5@|RzqzI)%TugBNf*Ti}MU$->zx<bjl*Ee6V z@2Iwt{`;ZqecEFAJMS*$d~v?LcSdhr!tVQ<F7nU$9>V<L_2bLCnQxpvym>eCj@7ew zGcTCj-CSLqyqh^AI@sIUcsH|!wz4$$Ze{`I-%<|OWgEn&$5yf}C}rQUwZagVo0ATJ z*4GPMc%SrOeSf~c{`+@H4CmMN<@xcwOHu$$DCg?FO>*FUdj>SIo|K@&^XByG?AJ*R zr~S5Wdeg<I!xM8;lsPP{i*Z$Vqn0peb$`V@{`qs~%>I6u<LsH!zZoxYD*9BnU`kKT z9(e`O(js{oNqe2TfIadKH6DK&emwl({P1tWkH!y&AFv<fZ}{%~pnX4oo&1k??fdwv z<-gcHxXWKzW>u6P?rxrboxkvV^PIVdR`%{aIC1Zrx`fUD3%(tEQ`hkO!ncEO58r0r z!JjFA#coY)!taJ}4`0_=yxy(Aa7%N+eb$8+{1_d7>{d`|N~q|Vp<&^X5ia0xV7J1J ztBnj!cUT$Utv%~?bBzegR_;Sm9O(*EVg=3|*>~(67ZXRKIE#P-10##T4m}Qq1_mY$ zg_u|Yn+k@5&FvRnA8cmlm$Rve0}&q{Jp5Gk;laVfPrg0?i7TxG$=N{UHdNSFe0b3K z5u~8G{V-T#$Mt4*{uU4+XY(f(WCX(=xf+`{vHZLVEQQh=R?O(Ah^UZG@UYO}sE`%_ zEnSknaJ^ygp4$wzzuE5GWqWY*<kgFJ+0Go@dT{SuwjDP$rEkr;mFqBT?!1{(CwAUt z>uGH?eXyD_b~|Gn?}MxZVa%KIn76!<Zn(;@iY><Uz$>!_r~I7PicDb^n%?ZM{q@b3 z*Bs9|&YnKFY;IA($%88F(_}*8_%tjhJaJ%QpD5!H$0ujWaqyrLd!LL+9G`^a9cMlf zOOHJ=3b*<t#Q5U)INq8FT<~)LqsLw=^PzA-x#f+=4D0jW6*(MllwmKJ-fUrATb#T( zXp*V6?{1j|kuOiojFg-iYbmf>rsrnnjH!Bt4!XQ=&-A=8T9D(@!<fj!kbFR#d6ypZ z9*F}-8+6$+3>CT=BX~CK;@OepAj-VLr{$Jt3A0dnvygO6RasHrg+0<ax6+fMRxVvT zXODEiE%&IGZ2e)o96NR&WRuR>aF9(}<sPqyxx<|Y1<i+cH$G%*k!Hv<*wOgluEGVs z|7I_COaHj3DSvO?y}JtU^Uke%m*=4VT#UWkydv8>IeNGBiiH#B&b-Te>exOrf!hji z@)))?UT2GvF3CMmYJOwW`9r%lUE*D1wqTbuc!}M|%IP-KE#3z$pWC<LlCO5`sXgAa zyk~e%_nziGrF`WjwRL|h-=58mj<fz0b>5A)vNwIPUe)znvsb6G&7`+J`#P)l*5|0R zZn0<9ZO^%q`ZnscTkL~%n{%!#eJ6F=?QNNF>f*}coQlfa^4(HbZe}wqKY079f<Lo0 z?>mzNmlgb(EqR}rEV#VDui1k4!aBBsYe(K>6{Ibfnh?u-%0ytdR8J;DnJLHS%j?$! ztk)M_zDp|SW_H3-$E#N#cr)wq?lSps>47)14(}$D3zrhSn^&J--8j|s<sPqjWmb>0 z3U94^7JBQ*9<P~GrcRqaanj`eo^Vf>rB9bWd6N7%R4B3Xr^ca=TE2E&9EDT$G_`g0 z6_r)h4>nB^T0e;+dr?WnRDr2n>nAC)^<S3|h!YYp>vFtiTKajC#4U}fZzi$adcpTX z_2K4=vM0QY(idAdOm{iwR(?azb9V2$DX~H((sw`ZQb{)3Rb1-1CuhpiBdRAi1x`Eb z?UcHB`i#pL1ZU6u-t2FE_sSmmmO6(;N7xt0x7?b#`LdU{&Dt-Q`!>90pZvYqw_2#u z{>Oulbqa^>eQZ9)FZQ0j=UZ22`*-FehYtQ`Ok6Ov?nAjf!<OLvcG|Kxcgw%1Z78=t zvH9{Y?OdK;4e#m<(gPofG}}$styTzXoaJO^fyZ!Cl9LJx^21+UJGO1vw6-$PRc~r# zOA|rOt>~yoFcrS4bg5mF-HBcD4YKEqn~(oKI91m2G)Hzhi<xz(v|=vH7Qu6GvfRqt zH8x*1+-P8+{a0$?>%Ip|OWkj5x-7WKZO1O<gqtg`ax4sIRC`mxa>dKjD?*c#leHp_ zwdPjl^JP=BqBNg{Iy_5NT^g&t*5s3x!zDj=sr5`UlH#K4nH*G<<fO!Im44822w-do zXRHtNI<$Ryx#)uQ<>g_k7_79X?&g}&-CVqzD<imJ)>JKqw^|CmjOknk!3;M;9cD6t zMyNzSq+Xx6ZZ(6x_0wCIf*hvBx^ECkC>0GzUCOm!(<MRSFe$FArOGOLY^rwdF+3J; zOHzW3FMAp8*mCJqcjHqPwu$1*p4O_3HCuuN71wO}nDiiHc~5tlEaSqAa2^4Dw$3uE zqKu^~tzlLfOO+eNnH?RpReyfge)al-=cCVMAD*0%*;r|vaVt3}F6tg1c>Uyd<|TVO z_!O-y$}-+;sIWG;mB}t3E0oXfYOU&M^Csa&<A*YhEte14A3SiI@q+*Q{`X}!UQf8b za2<18`|jHZ*D=Sk@8BzzeUjI{gD+q9n$?cdjNP&~tX7m7yq<84@okyK>ws&DYnUV3 zH{Nz!!yLiBjxSzzPhR^vzG&HXRvD!ycFAtAifAoc@yelevQI<RG=;*+cGjkr?st~> z{yuYRw_4&pmWcuvcPgyz&VC)YAhn+HDr<yzOl(4_{)$cNiAo}0l%{Tw|M>D|<wT3O z`sSv_`a;Y0E=g5=>N;x+zaZz&iis{annBCHJ-WYjb%<+z-0(q3Qiv;V$-x8ryIEcw zFQ549+b6wAa`W!G?pi&2w?|1)fw<<owQrSnZd@6=Wb2mA-7RTuWfM;wJES*h#$2UF z#md+v`YI|aDofUOw}3!O>BJ%saLD%qgXd>Yp4_>|a)!IR`xH<=@x_Z5ALV30!0_H; z5Kul+T>=6o75#l6@aG+<k9jfh9;B1GXtz5U<U=%jyoG3<dKaX3vGN9p<}IZV&1>EX z@`1pMqX$9Y<sJ(Qi|OL*n~j7&e_}guNp4b6&?h#9OZz?sx^zf0xK|%@)eham$dI^P zx!s(hqf9F!!lQ!OAmhF`$B%O62kHICw=XYeo{(-|o*rJ#?4T~r{{31R^MhmCmbH~J zPbd>(Fy18HVDy`zhgIPXo5LBuod@>q$z#~{hPhzt(y67)4XN@{467MJSQ}nR&D40v z8P#;e^w*OsZ}w<E@5tWL89B2u{LJa>l+1jVOqa@DrOqas3wz{p(vsq$g5vnK)Kx*7 z8u)EE9=>o~%-$o{utQGgmbRLboYbwUAF2Yt^MTjPZ9hD|eHqkRle+_2v3_)KEdPT$ zw{Bds3D~TC>#G8&iIt=Crb+>{SpDeJ#%o^_PB-iDZ?M_%>A<OGE#d3Ts{$?vsn}V+ zXY&W|N}68Iyew7q=@OMEcLjcGD77R%esmYstjjY{krClx`LbL3-<vJ{=hszNm7U#u zY4>i%^M2+xa#t*#J$dtMwjH|_-<|D!o0kzC?7Un0(z!Dy-nDJwO_n}l)^L|MQF@<Q zMec)L(z}|Le0sM|WL~W7-IzO5CxN!8Meh#E0Tq0y;ir0Xe01Kt_G$zjz~z}5tEsE0 zvr=1Ad!?3ec$b#Q78cI)-d}E>v{d>mlzB^YS;}M8WsjX>l)S1>xC>6_*nH`eka1Aa zq)Y22gsrORlw0fhNI^a+>AuK?_d=q#GzAqd-dFWfF|u*-5s^{ZlI^*0>NJ)pO~HGr zOk31d%EGLI(w8cigjpG-FLf!L&hhT-Suf99Yo>Jcdb|}{dsFkGWZ+FzBjMkZgnDC@ zHYlF<I%RE=DG%CE!PmKg{o#!h742egc}0Z<-(Of*o1pmcfvnJ$=b)V&&b8k_J2}cZ z&c~fw*Y}R^?9J_<og9kh)h63aw^~n0vj^?uXg~30FK8#nh3U0n;@7XqUfLwTNa~Q( zE~!nsoI@9?__Q>|%@UfY{O;Gwo0XXsZ{KD)6<1~&$f~eZwR%<b{rK``kJFr4)5}GL zgih^o0)r{x9w6}IBNrzK7{)1r!4Yjxu2nIqXa(ikmOtwzfIz@KQ6Ugmv>U9~DIcQQ z<1Iw<)L5`y#SIY6TS{BEw5)4s*$}pHwRVVU!y3kzyNn6E2eKGK${9k{9Nq_Kbe{k1 za%l2ryVAZ3d;Dk5oc^72@}+eXC-r>SbXmGIcD~g6$xkMCEEn+a`+oA%h7Wd<LR|Nj zA3U)CH>fC@|Leo2FW+9hdG~DIop+OC=G~ij_wJp`w`(U|zCC+#b<=MD^5P=@!ta^< z`u_R->(0H)d*}Jq?xgL~xp&n~j5qxbF%v7Be`MZ)d3)Yn4!<7Cxo(}ph4y>Pb$+XB z34dSt+B3U*_32k!uQgAv^A8QXRXKmfnv>5s{7wkYQ7)Mo7qK8RDs16pA>r@M)8^K# z_~lS)Ur~GG*MYAKUpHTGU(FvOzsWA6c7ssE^S=SA4WQlEGs78X&6qxIayY{j(1wgM zQHKs~20^BRoRk=ri5091Iw~?EJTxj;4Kx}+>uBs4-^4P0xcU0&!@D;x#xh<xd-CYP zy<1}$cihyxJu6CT7Q>l!4Cmf#={d75IjM|y^QHCL3<lO;g&d|c>|oiT+OS5OLARPQ zf%AbELm1NvA%@TwrACZVO-GiiKQDbHvvK9j&dkcWdZ2k~W%>2OOXhZQB!(Y%Q+HDr zxSl!D^Wx!qUk{`&FRv{7now^0pz^}!hUX98Rh`(ZQF7gR?wzj&?B%vccE<AOm%VCy zTa^)Q9qheZ?t;yXN`cP-&l=xUNo)=_(3buA=|ZV(#m$xMdi>FHTWk_y`6It6hlPf{ zYCPp4{34fYtNzE#rONZdZdpDuD+G;Y`RtAhyQk)DExT~emen1%zho>|oFy|`B0qTX zTs<wds7&Fc$q#dtWVQr3YUeWT@og;owf*_^^4UMWyqWcB)`z^yv9U6D@8nKuPOdKA z?VFpOo|U<s^Q_OBo;PN_rmd!>rj4exch&T(*Xi<Eyx4SU?P<p8V(gJJ355lz;e4y~ z*u!K33}+@V?e$rxYG=E)WJ&Rn)JG||QcmshnGt3cl(}@ur0JQL{FD{t-`=X2Df70Z zrBJX%N%&E!SBjC249^~)riS{unlP(H<ukv1`Y_|&j5lwh%*$uKcyi~_1HH?$=Wg2= z`BEzQR%+1Z%f_3Hv{Qw4`y`5;S?A*u8+K|->C7E(rg(Or?9%M!d~FnK7-|?8xw4;g ze!zU8>+Dzj-0f^F*Ir5g{43>GOq{&WX|GeBo+n-lXD(On@9n<ce$mhIj`PtYubW>a zXIyuZciPPrH{a2k_f7Lh#yxg*i2Dt_72i$bs6OuICQzOkXefB`gPyFI5Fgt;RmTJN z`&IYtz5>}xIvu)EcKVZP58egcximXk`gYW<*dS+XV{Pf(-YH3m3325wS9g2A*2~pR z)s4NYs`Gp4s@*ADT|y7JytvBX)%5xD=d2epjXNvDd1r+?KYP05X{>2T9PgA#N=l0B z+l~u#_w|M|D|a{_J+hkdV!(z8AF?EP-Z1~!E%oQehi_ljwe8uxbN8`~4ZEdYu&ozb zI9H1`PSw$x^~&tu%uA~8hCbSSeD~(vUJbQ%^$nF()io7izsjb*Thl2W6)}7EjgmK7 zD{oGZ+_d`1vMtB1Ju7RQwrp8STKLj+t3%TKr|g)rVam2CTh;|ln>#5+Gc;CJRoG1A z%CrsH=byh-Ok6&1_S`w!(_5CMsy%zVb4|~ZIeTm;eVfo{+i$Cs=if2!nA}mhWAl#Q zW?rPearp-Jjn6-PlPF_L{V+pfietx)1w3N*JFM=62C#K}XV&f(5}nfc@a%&LAJPIc z<VB}6J~*3Tz<gk~<AS+vtf>#mjW6upE&1T)#k)MOj3%5`&}-g4n{m$Ev<K4;&YGGw zq0Bg7bMO{pZCTCTk~2~rrZwI;n{dYO@PRd7PItdfyO6p(Iw~@(s?_+vsRLTgTEgbc zTGqU4o5H+9?DMC#%q=U5%6zsqD=9AOR_Ld&)f29|?+%mBzdEr{uqEly!?j9Mpd&At z?qxjyoo^6#Z|$pxcW+(|eYvV=yH$8Eq_Pd&wkj&>YR{Xn)2ouNZip7$9K1naUwds) zWM=5=u<dV5PJ3-!6}G)9b$Mu5)Ka5^!l!~CeQNkL;m4OZPwwoonKdIUtFltBUhBIa zTUD6Vr1JEoCF0GyEVX4Pc5l8UXrZlIxLab+O-(k56-#f5HgE1`oHcjW)JRQ9wj}Xp zL+hgq&H6ms^w?s`R;4agi45yHICW}LK&jz|O~E`Xd~TSETv?~AYNtQ7Wo}hbURvC$ zWpgJbM7>;^s=D;aQomdME>SN#IJ9Ls6PGIYPJabz@hga|{qiA*cWud%304&=TN>)i zzHYuGxX=6U=1UW8v}F%2z8Cmf@9H_fm(QL&daxpI`Y*R!@UVetmekibK^NbgJ@v-R z%uRH&w&<In!z<!L^s~gLcCRX38GS`ZOYCb`mg~}8S0E!%H?DquS5sEhcjL?+>se)8 zm6_qEPt85K+c|Ujq={v%HCwbpT`oQK-L#}-&Xhf#6Z?CWobIT&A3NeIVD2e6@xz4= zYNCAqIN9#0fOnwG-ZDMBy}W$#n->qBJ;@8Yb9+|wZQrC|V{hl(p6TCG6H}7SJ<rTz zd2`xp@}5aM@`9$%Rm)Y4Rn1gQy{ocDW%Y$6t5jC4PG-8YnWb~}=bI}}T0VM}lNOc~ z7bgqeh`n0)XT^2(lPtX=7cXY+vFfw5w47<K4g&3;o;?8pn|pIWK<3!&$so{NQ4DIl z7qLNF?|t{ro&<y4pbe##mL(9)&)-5cueb}+J7>-&h-T(8h~{m1;Ff>i)w7^|*^!KU zwY8Psb*c05`8|U)%NK&0<rWqe{o?E((Ejn!0}xP%lL3J_2fLd=;Bk3j0SJ831GVV+ z`0he9SMIg|gDi;V<!>OG8)89vW#+7fXihFI1nu(<aaiAVs$=ydOU=q&rMJCOy>h)S z60JMj`o8saD~PPUqH*!X2Ps7<NiiX=xWxw@9QJ2_xxT%;_XlW`@|zdy0wMb_O{=n# zqrG>#Ww~XzrMsnGKhfj!Myj{9Hdfg{e_i&Kty4>Tw`}NHv+m;5z^R?tE}~J7?lnyM zu*ZD9@|#&JH7oPAEUn*G8CT|;<m=>X<!ik6U9S9iZ)Qx$9&-gD{`b6#6d&%@6p?xJ z`S<P3yUnW_o0{wDtINN;`4<%U^S{6M=k3d<cV{~ua5(6=&u;&I+nv>m%Z0y}<v+M{ z<DKvAs9Spjop&4VHc$Vi+-M&0-7V+vHMX_95#`R;+E(+1Nw4y`!yB^Db%CpDmtDRf z=lOGj+dDRAZ1&j9@mVbO*2`3t#ZOZo%jREP@^^8E!1foDHeLE8WE50%X<dKVtcq@z z2w|6ld!1eOy^O!FtF-0vqWwzyqgx^}Dmo-IEHc8Kv~`(ucI|epcLg1tQn=lN@7>wl zw_+PtE?qeH=GGN&#Ab?46zvu56m1o46s^3wX#JvfO6wM_Ra(1f&7#%OEukr)p)RRP zTaIjHRQ>oe^Kz!~qgw^H^0RK4UiMl&BPnq@#~Ht^dv@*6yEtvGP-v=PqF`*SlD4pF z#|j^d6>49uHQZYHRHg9x`N<2U&PQL4G+vap*=@7h=4YR-CEUt<`eg0GEtijbcnWT3 z3ljSD@tTW~Ra?X!(_Td>$^72Mu8turg%#OCjw(v`q+Gj$k|Ufevbh*p+5hMHK1fh_ zuvT!3hH0&7_4c;&e(yiMS(W}ak9XeQds83%)pZXzdw1>0%^IeqriG>@rp4Rc&iOsO zmvtxWMxO8Nx$EZM4b^>nZNbdWyIXtST<dAQ8@g=S>uVLcS!r=nkp0fy#*iMvr4C4s zArsPL&_4_5F)S5?^cYMaJ%;IQkRHROGvFRWtQ4fj;5`}AW5|T`81&9UdJIc1Zd$(Z z(4w8Yw0o~Eo$0syvgV7q(>BcabHB54`Gl*YtGHA_g9PWMe2@VR5~N$0f(8lR^Q0{W z4HC?q*}?-FBuIBLX#x!r7<YHJb#-=>Kbv5%VM4)&a~%IZGXDE{cc$Im-L`x8ST~2O zr-!?jr$2je>(PUI?|N=aN!^}xYp$m;cyqXMN@846VnX?|?(Ai+*IkrcXT4a|a_Sz( zncp0zI8HY2F!nIcFwSrhNS}~;AyptPAT1zu!eib=JXtY&9D5Xm@+T-QddO>~qaw1$ zvANN)!LiQ#h5z|=wdDoXWk*kU?{+MysV(E3wA-=LvDC4|vDkcteul5^+c#_r!2{I` zKnqOPNk2I%x<zz}XiI7HrlxgGtMVpHtrZA;u~*H(^G@_3KX<$Q=X>XsovP%tY%=~d z>3iC(@F2f?r&sQ@Y`XkO$oTTQsbQ}w^p~1OrsVL*tn@DNa<MT{+2hyR+|<}mUwi%J z`Ofp1=RMDBp65J&@}1RP(AMSUJ1s1>zy9*<%CjTSwme(%Y|68evbh)LoH=!Do$$<v z8`n#jJ{P?zy0yzM#xKe*(l7iv=joHDR-QUix`>Na_hV(FM@3mtUfcq~Su>}LbAYBf zm;$}roa}CiY8+t|dU%6lOGTrEqlQx40+$8O3eF0LxI-*7I7B$Uls7W`{q;>xKz@Q; zfZT<<tcRF4tzJBPa`SG7LWlgkT!$QoY==y7kF#|wyf1iGykVL-vA46eu`+hSdcAeJ z3c3q)7OduOVNGETVFl;Nsh}Kr^Q7kH%2c--E7O+68tT8*N}j3WT$!r1b<?a3v)1HY z4h_EA-+9|5RsL7-qkj#zG&!|pf4<prX`<lw_F~W(Oq)La1D_IMcTe6!P*Fzmz5nA! z{2lX;{9gQ{`A2d^JzqV?d;f=^V@dupetErF`~JMC|6crkaCiP5>)lqncJBDC{GIvx zv$X5;x6R-BdvV(3H&tnFW%Z}td`W-v{I&ZV^*8?-{#M-Fntj^KbIY19?63c&+<bZd zYX4RL(4NlU&Z+XhIHMjNoABYymLMTNZP}B148hg)Qst>(UYScLnWq`4frbdIln%9R z$o6^MTeu~7lB>2VW8zZfuFkNkie!PeTP}Ddvn4a-_biyp^y%->A9rWiS>N3qG;_vo z>E`d{wV=jqMpMm~b^iD6&WXMIb>ZiUp9?>Ces0`tm;X%}bT(z?b@#J=7uS3_v*wIf z?Xh+IGv{`-Hb!cmoIZ6@#m|D+`RjDGWiNg@xXUiG%&I7LsY+;=ms9FewKXDaUk<h0 z%Ix$LnlFCr@;u=*mNRNT&sr~T3HCYVeVRMi@~4JmWuk(sL0iEd1Mp67eV<1U7bHGx zRTTNc&9cX!zP7TaTHKAl|J}KFhpwF6d-7<kgoXLmZM*knY*@Wp3fyT~*S39|$+oSl z9eI1-oOzR_A^ls3d$-hqJzI9|Sl6~zhc{GegGo#*Z<y2yuNfSB^%tmE*xSDMe$H{m z_w4UUm6n;2mGK&?t}agZrh^;&-&+NbEKGV->u4gPqq0Z8xv{pP{`=8>{(S%U`<~oA zd-LjDaVtyn+0%FY{^VA{$j+MYzo7Pf{Q0>4c>nuvA8x*W`tau0C+5zbI_bjQc~;hQ zCwDh*UVZy*<>t#~+e~+_TKaX)T{)9#q0L5{=53TS+}(6{o`Ln>PmeZTl3ROwbLw*2 zNZau3?5F+KR;{X9;nOm0hu#A4S)S(WyO&K(i_(0ybZM-+#(M9ibN#&BZe2a9dZbh^ zak)xgnAN3>rOG{J7g<}pAC(4{7?uc@XqG7M(Q7V~;&}1t`J?o3@qMo^r7y28ud2Mx zdfsnc-@9FBK(k}9;^x)jX5uE(O~tpqp7gBfnbEVPXF+ALCt{Ao?1?#~p{jp=$=pc} zc3l~JZ2HQq4rMG=?wZctGWXMm4<d1L&ep11f+jg?KjqwG(`3_NQ*TpeQ)^RWQ#C#P ze4Psa`*Z8gtt+11?ssk-Xigw!`tsiNUiaSTXS^miRh-i6pJ{t@MufzS>ra1fx~#WO zS6BP#$xT7?R-bM@ntU`k^}3kw^!8JJo=%R@9(Se*eN&k~Z|<B~+fy(5flr8IUb}C_ zl*f03pFE570NuUBz`$^U;juJ>glBP&W@st12!rQhJ%iXl5e~-_3e7!6Z3-zFIc-zf zx(ib4ZggI`yx;1AMM2lRt5@$l?T|4#b#w09Pm^7w<JpgPC58J=<y~5+y*z4KQ{VEf z$G=*%FF$guP{!uv;+=QPF6sq-wqo0Gt#+dE|NR=QnQLA8rB-~~9>ZMqTjTasVFgz4 zcc-4*@Acf|`1o0xRl$#Q(MN<jjLbT8CuK3~t$d`!kfZrU;Mh^!i(T_?KaT!zq|9T< zb@f7N?UtJ6NiI=(m8C!G@`7w1DsHj7baUaYFVFKoopuuVH0gcq<L}-3;%>gWd((Ky z+W8JSiN~JWo@`$9lT9vv7oXvtojZ~^HyjptXu-WX)@ASRpe?spuJ4+3Ohjc`+!rGe z!+O`kJWF?Tb*4u%xrI!_R$iZT<!1h@>&J90o8P-C?YGgHs2pzJ{>j?4tTcT7oexe) zEkD<sYSLXhAuKzwQ^)so6lb@TQs~x{$19DVNXaQKxw9gxb|G8aE1_)~c~AZy`xJjI zDb_0c=oO}y57hFXUFzS#5oYUj=>0y{qxD_abY2HvK5_Hytn7zB;!|4weJ-BDcHrrf zn=HILXLBWZ&pztnCw=%}^1<opeo_k#E-)5yNx1w=yfE^!<H7y<FJ>y<-#=$*YiEwZ zcZaN!d#4I6P4JXaT5VZ5aT=rQe)ow-4tVMW<#}fNvlcOVM}4)P&f0Za;7yRcvAMi? zexNavR(zDY`pVUN7$qZKFYa_dwdeA-``;6H<#kuQb8(5!?Ou9OeddX7?ufpFUb76B z&5X~RvBx^aV20{h2fa;__WL=@oPSQ0`p<O1^M}v=2{NnlC)d3_W$E}LkD0sc%Z}#$ zgE3M~W*Zt_FZ{!C<oxNZTEWRrRx{_vpKIT+>bny2Hm9Fi+y0a&DxIrr%rA@35q$BD z&u*g5|9P_ZoBvE(^yS75Kc$KDR;1m{D2iX^pFQKy>{*NbxbL#{pVIJ+3*o){s+nht zn7qfKEzefitk7iJs&IRs2G8{;tQSw@Ui&*suD6H1Tt)xy_DhmJVp`9Zh@881=PuLY z{App$^?}>BzumF6(KoDK>M`r$D_xoX)6NR_?a%&jWNLBt@5%kMIy$#*)ctAXpj)B9 za&?n)?SW^nrGi-7t{PMp_AyU$xn_Q1HQ&|F*VV`R*jSry*R(uo+EKi)FVf9waly~O ziu;vZ$_pQ#D>-xH;I5C=_Q@ApLMmVX>2b=da9r_C*=w4f5Pxn3-zzm<RvpH-Cs-y; zlRhl>Ry{UUW>xVSwj7r~$-Y;&Jb$#e##CnsoA=(!@-yOhSVYY05o5ENDWBmVV)pOp zM@{DmoSRnvo3vk!$=C1EjIfzCt`jPK3k8LCKYTsu5U0)}4JU^D7prbHo0T8So>aVO z-trFxQvNjoW)25WM%=ks@}o94?Rmx`F@ptfzOoxn`{VR*gUsecCwGgcE!q`s88ed& zx$|;f-89sg>JhnYUwLKnLFHn5vwJm{{!Xg+T>0Nx`TWU0TMo=fk~Kaa=+&co%hDxw z!TV({KlB!Glr1uM?OHX}zoXH1<5{^n`O}Wwyt&IfYx@}{iTYUWda2(U8lTgyxp|lL znJw15cQ$BxcRdbxbkj1C@!zgZg(|OGAE}g`y~BR%-i;!iQ>}N^qVDY26zd=tVst!_ zc>&wB+bceYyt5G4BoOMb`1BSz?goZOlcnDHx%C^CT&S40*d%6e<HorM-+cP)@!+1% z<I-bw1tseP(vlN$zLfQx3*|Yz>FoW3I^AjE!tduK+MLZ?d~buYW_Yy~&!LGm7Xkx~ zj&UARoOjtJ<=)~QvlgbwUt19Vf^GKc4W^&h<*6Di2o&u3RwgrjQ=P+uev@BHImIT^ zU;g>ZnEmhkpS5qQR?e7QF^5ywJyq@VEtZ-G>lQg=TdLf6t6%Zl=eSPYO`aDI_5PH1 zw$1Fj{BY);7LlKJS>ZcQ&DRsyF{RIGQfE)={F*1V&;R}K36gUxpLb}(>bS<68BK`~ zI)Cle^!V<X=wL6%YtesxHIGBvB*kyjzZ75GZ{{l?u<BrN#E*zfjX292W-B}j;%71m z`OVDBNOSWx49tkF>@0J*w0g&$ibFf}z5g4yy^54!l=`#g^?~&Nb6FNooBnVS`xg1e zfQkz$jQ@^WXl&827dkXKLUfysr`v+w&>ib8e>u~o$s^4zTOnyubxNeCC;#lBGiFb} zJV{Ev!=!K{?ew{aoVQl-1m&shD(<b`8nm0?x{=i9YeHQo|BD`eDDp_-`LcIS(rcc` zhx_e*dOz`G{PqbOCWxyZ@!j&rO6T%lFZP6^5_=mnuexqur6=(J{R|GJ#S?7qzsh-2 z#=dY}GF!w&x23CQu8We^wYvAXr}cJcNAJS|tIXr~J~F%7f0uO7>yZsPw<s&3$->!_ zz4X8q*YJ$K^)Drz(%7XY?0NDnk&Vq%%DLgmtTjfT-aNm-axV6R`#IIa(cXL+ib1?@ zx+-lJKU{r#%ZZC3|Cc-O{K@t@`u38T3b)lZ(Ru$uwAYrsWj5&4{}r5ZYl6kEwo@-p z@?Q4HzM?bxj$@-qsr#4s?e3=y`pnyFPChGLdiJ-mj{p3|-KTv{=+08f6<SpKzWCdd zsMUQ7KQ3kt7HQ9VG{H2v|Foe(iaf{moh{a<<;qXG6&HqcxXvm##gr%L-t+IUhWFkY zX75Gs<c#xDzusGUJtMm#^U9{B_fM_;R#tfY%Zv?T3wJ)c`Bd_5@~R1;{sOgK|L=S_ z7jGF=w(4sn!-TF!yXzP(E&bAIB-JM6d1AR>p6B$tJ*+oY{dBxoxc&Hk6{py!;t;tj zQ&^*3m{o+hWqw+e^}_7697ppqk^5E8EBwBk+I+NjhfjU$xsP)`Oqsqd@@(p%*7CXE z4J0PckJpkqnf<?g&vIc=jit4B^Zdmw)P9zfGw;0R>2<69%`}JTyRJLV8Yvk|^1i-t zOWfRM+QWdQE6SZ*q&Chx>nr^uMeFjEmM1K?uKb>nQ?QuVcJFQdq@O-NGF^{{MO~Ss z67@<fBQz{i=Do($OR6tA7ryXg<JkRfpLM4HjEcMcQ$#mLO0QYHCvVQ%)p2=cj+(r} z(ua<-S^xbJDR$vw*8Pf;|3eCM1H%jd*k$TiJn!6OyGku;SEB{D^095Y*(pY6*7q$D zIB6&8EH?Ke^N|%Y7d_aT+}>_zs(e$YaOsukqob0i4)Q)xXi>cTAb)0s(Ud9IHw1F7 zxxKn?&DM{n&FkJhd#>}~Xqc?;zpi(uYd(aZWP5vbs`>h(`TUo6_Qd-f_-h$D^We$p zwqLIpCZ5wUc<`;|`eetY^VWTdSS8b{(%jQ7U-RVexxXJBgXAWL70oZ3a<5zJPsG>Q zU>SMiYOUDa*~MCRNz+tpWF~aID5&1XlKZi9&v)0`an}65*Yf(yN;LJYvrt-iZz0P; z7FlsUc7C_5`tFk><0@U39IT5hnYL6or0AQm*f~>Q-YYk@h+aSBCVqEo=FNBRzMK8J zX3YxtaXydblm4`_<@Vv`TTXt7Znh~XiMsvl#1)=HKd1A~-?J&(cfC*6xww>ozIjq# zuk8LXA+W{q-898jFC9+(yP?D9y;W(}yr5|hSF;)(D-=uH-BDG(^a9IulcN>8R<2M9 z{AE8OO={O})1cxhM;k&^ndi1M<aV5wYb(-lpJ;LK`UF9a%_b**G~PTWZk^`(b@$?# zl9SEW?|2l!QqpnyZfmXC*G@Jb*LCVAyM=-etyG=b%g{Mb*nz9<QKGldsWpl&@~t(? z|NpSp>|bErtTbWi*|ZZcl5eQj_{=x>uDpv!sPx1|HC4~&Clt>vlgLaFDcfvpRibgx zvS!nlg=`L$b*m$6ykxE&*H3kKQm>d}n1135=kDZ?XG{+d-uArxSnu?kLO~_Iy{T_o z7>%d*xrd6V<cBy-ZC(><rhm!&yoKJ1OF=q)*UstpTwLPbq#iD4GMR~8C}@}7=d(V> zle8047Z~u(thjMlpmq9j2Gj2qhs#f{3vv9iTjRsDd<)C79bfj}jk_@YSfart)`{m% z+Fe>InvgZQv26YEH%%S;8een@eYZ7H>1<oTC?$5))?$hDX*)CSIUOns&K0Jg?hTmk zYI8!dFR5HtviuL1oMO1h^s{cJ%hw+Z6VdpuS=H*Cv+ne5HtDAZi#Q9Xu2wxD_AWzl z@uc5jDeJbadSm3Z!Bc$ai%;&4?w?9|IH$nZLPR}da_vv&zHg~LjrOIL;WiW6c1A4O zJ9qEw-#fqNJ$cu^Zgp#{(*~i<yQRIt>Y~ne9KSh1&CtMj+oLlrC6+JNe?DIzDXA9l zG4+|De@UiYB#X6>`P;)^ay?gOUNYa;Kli~J?O1=Wl~exr<w|WRXE-_~MS10#Yd>`b z8FOx*tZHbRxlcaC_Q`~q{t@*|YaXv$p=X)SAbovJg6hUr+sSXwgdUS>`}n-*%Y%<` zJc;)NP8N5kRqZQXmu4lZu9G%p;tMS<sky5;l&{%rtElubn%68T>@cUNrs#X*h81c> zE{Qu`4t<n5^7^n0zf|Hy*Bf1t%UZo^Hr%+}T6_Dyj6mn=zmn45#MX99o8|cQ(=(F; zZ7=toGoBqh;dJ-<Wlc8(ofjSXYp8ULK~yLI#+);fNjm&i5r@uBOnUNi{<>!S6JCkz zo74(+i|!JPS|+aRCNFEhE%n8enG57<d*m7Vm$<yTW6^xJRy1;c!$php?e3Fe&77{+ zxYVit^Kc8AvBKGEn$oFd{#6VY!(A67`_5|f56{X8bn~6$dhGCeyHEQ*|NCK}*}vY} z-Lc@-wK@C0T1f6>dbQTvtJU?+qIRB7S}9*69aE*A3I@&UdhsA^hU29Tui};!cwQ*9 z{rBh^>zaleC%3mS_ja~DWi!<DQ#B}>c=_aquQCt+t#jXbzVKam-PbaPg44yEy=%OW zZA|wJoqq0<h}X=G%kLHaacAml)x0w8snzqU&PO78UE%qXl?%Fe>qSRR-)6buzL3D# zU&3$Jh@RHnYUw?xclx`4Ev_m~&vTv}PDsw%+V7d(|2px>@oU8noA$2}DzmBo`Y4x? zcLkq%!<C8!a|-$nhm~)MN&Q#J^4w+Dixly9iWdW)d4?JK?z=nV_v|G*st<p-nsr-u z$2Oh+hN1G4S1($_P~RrJ)Idnzo~@x?<)mvzDH}uF4i*-H`TISxzsj^PvT1$Sdv-!m z;hn;tdbfWUth!NO+EaNZ|EA)<PRU2px$gBQYEB7ZkTN=3B(Xu|@_z$i<I{@_)?aI1 zI@$Wm{6yxXaa-B4t6pm89>}r0Zl-Yl`Kh2Qw##pN34d}tASQ6)a`c0!5V6&wfpN^+ zr6yF@J`y|dVp=0_vB~ebs@oU4zw&IfH$R>#bNvwasTo(es~4J@=d)<C6&>3Dbi-NA z&Bs{77bLCjN<QEpF=PAew&Pu%H-hf0I6eLEs|SIFwYS{UxB?>^xlXJK$~1czYMI-7 z>Tv9rz2DAnTTv@+wNq*PftcGXBW7}U?ehyxids{_bcz4!KjGI~Kb~B-W6r6AIlKJ= zUDbBAT-MgPUKn6{!0Y|=uRW1f8&fa4>#1?<=AGPFRk7e%XHuSR<n)xV9QIG&n|!WK z+L`-8cG8uAp7W>kt%@Cro-f+9;&Rgh@25-Z_2fgAv3xkI`F!$eR@Ic+I>Dd95482q z`YxAiaCraJX36_w{CuYNAzD7XR}BUIU-JFDm$FfFi@`O&pNlS^zjWdDJMnEDd?^_% z)=iD-0-VYIA-|`4R)}7nWy`iWG%P(q;PXED^$R^2)DEoo(+u5qbi)L}Ag+r1X$6`I zqL&WMbi5bW<Se!6kB?`!%LUB?7t9(KE9U)u`Nk>ju<g>fwjYE3i8fCOlbEwmx<BJv z;r&-DFR?KzB|TZoW@$c=Gbebfy1(;*?l;X&FI?^&thd{5WHQsM>|p$+*B|wbgpa6b zrTxuzx%!v4^6T_}d!>JDee*k-H{p2qrAHf8o~0&E>9D(PtoGurdegb|gxzN>EjFtt z-_E_3m|(ilI-S=_*Z0-4n_&&_)|syry%7B7PVT-(6`N+6HsxJXv@&S(U$mI5F;h*v z@%aBUn;a{a^C?*wU9gROc-gk<jYGA_^Nk<$B$bSURKt}wzPa3_Znq-kR$J_sv;STl zkD6m*e(B$z%&5&5<Kv_*b2+|Q;%4|Jr_OJGnT)>RVZU=fkM+f@{8q3nRNiQY(6s`N z=j>0niW}_>P+p#?FXNz)-L|${MwP43%iY=H30M1{?c!An=WP%2^vc$jNZY%m;`7a2 z8*3Ndk9yK@_;Q@R(}FOGYwbC|7Kp^m7H^q2`_~Go6-Qld8+2t=Sh5<OD_5w=XE1IH zn=ZLgfkoV_<vyoliHo7*(ST^agc+`P?;W{!$ISHN8$ZMSGV6BD)ZER#WH(#zO3y0W z6t?sto^O-><p0^sZn<zC$Hmsv4c6xmsNH-P%@Ca<W^B1O!G=Ble|YH{U0czapOiN* z5m~gvtoP4ZDNBEcTU%|t`FPJPNZ|`#-W1EmQXTL$g<<Q8l5ggjC37DO?$KNR{>S}k zrR(hu%kH=qGmoL)b5;IyaZ$T@B@A~~u5ps<JhO5BpAY-~)P3}MB<C2(D-iy)O*ru6 z+u$eG3VJTS6{)UE)TB=Ro%2;n&HXahr6k{uS5A)KJx_h&U2<OMx0AtQvlE|EIwW5g zI%OUI)L(yH+c#F{y1*N`BcGfecbOkLm6GMO_aMVZiC$};Nf(#&=B`$G!4~r9$j)6! zt|?dJ86Gm3H(y(A7A7X?w7RB9`FM3{&x~uYkA=HD`Xv9N&gJZ3ncW$a(l#xwo0Gb_ zx5{_1yzklxTuF5s&w2>hehi+}=6x_~$(aiY3*ODMVwk0CcBy!w*5~67pY9Bs9`loR zj$B3M`$mx{v-1yp+%!!sUCsPEZ5}mvHtXq@)h?)TZOJ{(_1AJ!d+e)un-|47nM|%# z(}~w($h+|+?HjN4V~g1@+r3U)a(q#AQ+Av9l^@=>?=W?JT_h>-(8=&wx=X_q*GC!F zf~%{goZjgg2~V*(XLh<k)@#?PFXw)rI<qLQ__C8xHdjQV^5d(qFSaQ63p@+F*U)?W z=&iY#-P}C4&MHsic4~<VciFYw`R+%L_Jz@PYo3Vz|2lWK@yy4c<20ECSKDi7^>;9^ z>Xj=mKP(yBE0gjjZ}#(NPczr=yI#KP`Lg8)8Mr1qX21L_>AF(Gi+TBF_IsEf#hmqd z&$%*i{ogy=zXtCXXzJUjeyTA4_J_n-JlmIbs~z|&!0z<?1^Z3en(p8a>)s1oe#c$H z$foh^=FjxF_D5$sPhKuQaQE7GkuT{Rm!HvKp1)r|xz=Nzhl8y>*O#2SyT2pWtd_}g zIxGB2@WTUzMQ8FmW@#A|S=#hw8XE6kULR4Uc4PG(^K)GDciXVsV6kO7Q*tD|y1!D? zM5pv_@1H{xi&NQb6n={K8TcfHuB_j-|HPKb&HTT087@94VOjct|H!?>a2_q@B++yC zA8zmPp11C~c{jWNYwwVdzR5S{Y%I!4Nl{T^ovGExrsKRuv3URU&4#U#95>I38;b5{ zYrC!&f3x|7zcTYj?+n8xHSv=Rt$X}J6f({n^pcR>u<qLJGXWuOta}-wy7WXv^ot^U zlV0BMyZB-wpN{InWgEG9+AMnX<;xQOhVgsYO25_)DF}(ZGp*)9gXN^aDVE>t1U@i5 z{J8aT$AJx-dyXE^JT>Xo*QX&Cyn_3cIC!PA@+%+k&6F>mCt&a1u<>$c(etkcY3}Al zcivv={`;?T6*H$;gj9BpF5gXW)k}}wAIh2G;9oDb<SakS!51$dhu&oqxuGR2AMrS3 zjldrj_q7umZP(pZDG%Rie6Qn^MQEN}W?A-rXYSQcLR0R^UvF2l{q|yKe(SvXO&c77 zFYl236_K{yN$$y+tDAXcLq1(?WW4ue+mV$)64iNZ9oM$TWb|YyoDrO=zO{PlQ{}HE z`}Fu_AD-tq<j?Z6;`aVY^~U}>A;q4rx{FOuGfcgEWO?+#%roCYwsi{szss`VW2n~O zzND5@f^2^+GNn!=F!o%!lwz{mXA{Fjnf~G{xBBg6Pwgpxy{hG$!ld78E2Sb-_zPl! z&-68W<q17~r&OqSaDpM1WOrvuO4vXC5B03E-_{*YJta8%$ch`sPu<(`ct*={Ev3Da zl^*48w`#chOD#pa)p6}%CwBF))iVsG-!I?N*psUGL-5z9pGRAds4ArX;Bv^D+UOX4 zq>cZ-eww~hr`+;~4QxlBdKhy`6`6}32%B{@XHJ;p|K7J&&a-n4`?4;Gw@{mq6{7u1 z;QHI2w-^?AeqP>^*(YK3xpZ~l3DyS|dlz^!UY#&4YR8Rr|5s>FHU1*$c9CoIH>1*} z4N^)59j&&}tt#xUHV;+q$7SnEI8IKwICZ1S9m7b~bJx{u84^8mC!Bt>=VZQ+HFJx_ ztZYfPsqa+_pEpgkNXS!AnKw(cUsfhD-+GFx(h-}9Zt|Iuiwo}l+Q+Do)~qKmnQw1y zPDH5W?Z<5L4-d^)eq@i=IfjeV%gWd*E&tDWJHyx_W*V<zfmOAvmE?t;KSCW^|IMpd z%VG03$9$jXwRJD%@+BSn_RnC?)<~`I`x|cv3!FWCBDeqi56c;TDPi~JKc$IEPg?Z3 zRzq3%q~09+=(10jO!TxmID{f{RhPZufBuiFcAeJGtSg#5FKXr-zg)NPvBUGpt6I)1 zv8sywyoimTQK8KJ$fMbZT$%iHEdR`J4d`ZK@BTCWpjBL`^p`j5md{))y+rJcQuXA$ zIp=3jQ&OFA{o)n5Yv+S9FPCOXi=A4!)xE`GZN!THye4PeDQ5aw&gNeoO`^K_ixQ$T zx^3k|_wjHlE;{TZ@7~j7@=!`C=0SAqi|AaI$p&%L6km&{#fG|d3;vmW{EVDOZ21() zjlJ9Y{7TvXB=4T|VnWNCC(YIY846B<A3~=-dgRHtVv+4z;lN~P2M?3jMcU7jA_a?2 zJQ4|B`z70a%{0Z+cdr~z7n*RO@oXsfuX$HkpDkqaem^x}+cTDb^H1m>@=tleTcP%D zcI)l#n2ld6D-L<4U4J4hV5`kt`B{b`aA}h5t92nw{C9TRZw}p6{m@0-G%G9bwN!8E zfh$Eu(^59@xfu5feKa`QmUT#E!A<d1{t7F2Ccp94zZu^5OY`cDmQyzw*Hr)fR6KF- zLfz-fV^k78oILDuMQQEflnITknlon<6xg3|?KS)%DQqcu!(y|O>X-9!E=9BBYT4zP zjr?~1z0wn<qnW7sigRgyHveLm4`M~KTl}4~)Anp$c)8?hZ|D9~DpqNZ4)gdJ&RRHc z{b+emll`fSsN0v6EjoYgl2nDx-dWaEqwJRPnzP^X?Y~12x2?BK|I5?j@8!Eo;Aekn zI%o8q%l=-=f7OQS2F$ElIrsO`i2nI(+4s1w+h1`MF=RemE4Jf;d700Ninrf_jjwH5 z&@%O+`h?{A?g;KOpN8mFRmL0-Pe~or2;zB=!ebFDwS3;|{12|#_g8Tr>#b-lio0E8 z_E@`YUtg=Rp7X2?w_GB#*KWAIamD|-vV-T0!<Sg{OL-qn_nQ-UkFBtWW#ZLfS=$`d zSI>ke=IaQ43S9i%vvci=nm_*!|K~j)Xi`7VRrC(W<16N(Hx8~m-fZi2%=7B0A3x6O z-!p$-E~om)P%}-7Pp8>3<o|S&g&i+e*2_F<|6Ukf{C%#Z`g6%r6+gMx%pYyz`+3e) zB{Hp%2x(n@KH*e+ZB$^jg23CVw2ZAB;o*s`>m<{8ZZU2s*jsz$d*p^!j2e$--96s7 z=(okT^X*|xYVwiR!Ha*m-7uVdQ_kr_Ez916$|YvzNe$1ZsmA&_wPpm_CFa}D{#a7% zW$AI*a6*G<IcL)g9;p~kM&38VN3U*w_iL(T*3N)duNT257TX_v6<(g5sM;c`;D4q3 ze%C|&%d1Kw!hPR_aLQY6v~lrJyK--Jkanqm@>G`<KbBsQf3uT)^Y%@zmnw-~*{34c zF3+6sf32DAp4>xDK9l*JMZZO`-Sn?9+!B1dwN&$b>aVF8V$Z{VvFwx$Q;xCp>^?n% z|6p>^7Tw>XtSkXq3da^s|10h=|Jk;cUpu9DOq>z@S*=2O?t8&Ur@rp;b%~$p^HP#= zzJ9rh>a!ON`-^2CJFd+0nx0Z7Q9HrnpjRDF-iPCQ@qebhd;P6^v&S|)9eIyJHm3hR z<#`i$I^}&ge_>@`)bVioU+rC?bFMntJWyzN|DU+<^wP$A4GLC{f1J8pS2cGWNEPb$ zsx4o7Yr*4b`IahEq>nBxoqTDohqw8>`HX4>7Lz|Nkq{EUl|A=3b4%Ut?Qh=h;jgq^ z|NC;p#2hE4DK6ftqs8`iZF^Hz>E3m-O)-vzC*FRJcyF5DgN}=L<gRA=MEWlfj}9zp zoS@er-gW-w3(1#9{W32aD!V8I$~x@LNQ&|?cjD|@ue?V5sT;%p-AUWy{0bZYoMWu^ z%cx_?+2~!p<nCfy|B1OSH5<Dl((XFmDG`0Qll#vru9q8_dyg0Hc|Pl<d%w)ZrDiMr zM50w1^rhS;+*6HS)1g1>{FzSSD~#S9Zw#Ms|4b^LWx27*>J!hMV>S0~)NQG9v`U`( z%4Mr7!>>h4e@{1U-qjm^OYr8imiO-4rI%!<t&A&;Hd)Y;a#8T#X<pF{3*Jt>=vAp4 zf9mfV*Ykc^#kNPx9opCBb^1Q>nQ(5gsYA`ydmMXr|MZXAr?KJdx$_;%@>#s<*gpN0 z(dg|}n=gG~>(jmBA+nNpESFw&jK4Bb?AY%w=k@*w1SRjx2uqvOmoVGTE-dX~(Z5!e z=TCG0GFRypb#CI;cpt|;{Y2h}Bh#W~UX}=Sy3XHkv&_-`+<wmX*jW$%`@H|L&rtgC zzJ=EetCcoCd8@SZ!Etvtzu$*c57?~?*7%ZSyKu(2n1|=g=I9#r*VnW8{R_=Wf7aHc zb#y{{{?vP2r~mjzPyV-U?SfRpB#!v1&=TdTN8cTK_vpTV{pG2ruVgy(uSz}r{!{Gd z*|CM3$+c%+U6Q@PsU#=O`u9h?`P%gobye{v?o3*;ZSKF#O_eJ*PkGvwa;!F^I)4RM zhTS`t;HQ>9K1d6nU-q@ocN*h|XL)n&3*Y{@>yfJZ#VeIplr!e;v;R7Qxf5%ouT`Y9 z<=tCyy)0I0^WxVZV;<SBzb9C^d}+AP;gw4i>dScT?PU!-J%YQ|%qyD~6`pExtM!fE z^W`p?dpKqN&wpF`JL*fWY);4y?VMNFV?!2Jc<$O7UA-YS@j}V-?WT$R6IWi$i@*Jd zY0>4UHuD?*#ecj#eY=g_!u4l+!_8;!Gg97ZxlEhyh2(m1lM5zyZL_X>r_Y%vG`sgP z!z-`ctrxYYrrz^*4&U-wQ+9oN*8AS0N0x<M`CTNpcGX#zw|h6fD&l;<fAgD}|Dw%h z_bwMXUUK;M!M&>sJM{d@=iT&Hx4AUwjePm`1D<!d?pr<ApOvtsFwg$h9oFL&2Hv)e z<?FBP%v~QOA~yAJ(m~;-Z`rfsx4c)smS{FNh9~O7KCe$(GxONrxUMeG2#r1d(|>BV zw||<=L)+DR4%zGrxwc?lh}i5|xhec#EL6H~SzErUITkzpW6nj}yv(YG_|v!d{kJpy zu|qg3Z^}=r6Ysh8EM6y^WOma2$DUL)d$yf`b#wC#(G9cx<~pZ}Y0i5)-Eet|$|dQ1 zzT|vYhdsu-B`@VSJ&XuVE50eq;{Q?W$hVoB8Mr?9xbAM5!SO_I#{HEO#MjS@-Z}A9 z)t4xdU#34k)XskW*UtC$mybt-T-Gr@{}Ojh)c#NH>N(qzTRWy7ldas%%q5=7EBz~) zy+mc*q@3EReD5@5RPN@p^uEku37OjafV-`Y;pbeo|GT)kM9e+Em1VPU5#gQm{?9bF zH!4Opx87z(t#Qy)4f0i7r#{tm$@NLwtaA0^3>cTL3OpftpKp)e%B&_`o-N9sSRRBv zukoxld|$38f7&Ek>XrJjtW&MZE0k)Mu9o}u<MPkwf4|toMP%*XzB0GHq_H9P-OrWM zHudgVMYSK84}X8<AGLS#q`eZmdoJ&@3x7HJP0XK|t7X-jp1=Cm8zQziT<i3e%I^>V zHE+MZevX!EMCFYC?77Fh6aF4aJFfU|^7aksGpC>CT+N`uUuL>E{_NV@9-gQFGhB9$ z`@8sg-Vfc{E1otLn{Ho@zOnJdv>m4VU$0>M_^@zs{QD1wn6eM=tG%i`H^X$!ZF%$b zgNDnb@76w&_j0N!-X?!%r`E$2Wi`(`ZAH<6XeZLG_8A<h>tyH$SVlS;@cU%aToJ zcHQOs^1l9ZYK)f8-Q>pgKl=Z4$87f2@zjY=NDEIbo)sN;X;#i`xlWB+_wUSXyndqB zw2|?cl*8NUFLHD0e?PG76xH!vwruh{tx6ZKr{7M$2{i6l>$bgr*LHy!n_kyjIWM>< zdH(9U>N$VY=1;Rx(_MS>!xhW7MU~x&ch;^wT@m>t@u1{8zHUa>s~5_jUbFX@sgXVB z)_J9@RgbS_osPLB<QqSuTDI<b&mHe|D<285UflSr<-4}&%LmWiKhxh*#<!@x;B~}Z zug1-;-#+O7{{LD-;>1UB?h{9za-ZK7Ul{r2Y?{@{Q(--OkCpwLn$;6O>+O@(4WHZm z5_;EL-1^$F*H34Ekv9LO(!_`HQIl5Pd?EAHe#+kI`POwG>lc43GLqw2#KCnoXTou# zC%29Sq(|F7sk<yC8Io#Z#@DX?ZF~OVIcMfv+Ml9ny{!KB+x@bFZPom*6L$w{FY2Gw z_wVs{=|3;^UU=NGxp{i6?43Xp?|mPmZ>^a8<3qys<?lBnP0TIQuDd?(!*buVruXW1 ze7iMusuA04b;JK}DlWR4@V(1B>Re;E^XHlPSr2AxOWA*8w<zC1Bk!q&x3s5Dz2Kdr z^{2c`cSfm+(G)q^k4~-*CBeHauf%gbT(K=B{ic=3xkp+j-dS#DKXfxWHfK%qnlndl zysnsjKl`17T+zObQ@=7;$tVAmzVD;<I=$-n_uiLpi;m1)aUlMJYM*$&@vOO%#WN#L zpWIa~vG39L&lkMYlUjILKh`-~=ubU*Y4RzftHqKUI~k7reVIO=XWb;vX6Zwr?B0EE zV*9?!TFlC9_hLV|ieK71DDn;4tg;OgcSp{v`}66NWW<VFH7q~M`IFwg5jt|_?xkp} z4U<oN$l1O;b0gElw?*21w>L5!I{mos&Mn2(?yxr5ziSz-g71HDpDUW%bocG-$OHKS z#(Xx_CqK#T*<ZhUj$LAwb6)zFf8S4UYrYW3rOXj0EB(a&ht-3<a(PFt3w${?+51v; zki+j!ho|&5F7vEo6?yW#|C>0&7Sa8GPVtv;$jtu5-n!%?{|luPyN+4D^=>!0e`U|2 z0@2frHdj_QWiCpan!57;!_AhH6MFf#UkP-)@_oHflGDNuC0^SytR`(Ta!I|>ylR># z=Zn1`uD6}P^jg_=L-6-ab`d9E$jqL{QokyAYlDAwnM|o@&Pk2+JJv<(cU}&DbnMof z()GXM`sG&_t$D(ES$F<g17@fD3rqg)I8iQXZn~!OjMMcSnpIX8w%?g1z<DYv<buO1 zq3T7xCY^qZRDT_@4>T|rQe?<Zc##-!*{XL*?ktbXCTm<Lg?+gEB7f-t`)TGAw8SO; zm3YVs2lRAnaA_?P*irjydT3b6)YPfHQ|~(Jo$YG=VwJi`ZlYzw)B2qb%l5f-Jll4a zr74L;<dWc}=H*UOY?3+?%bRbl;B>stv0p(hESYspkLbyB&oB4xnUmYwEfbb(8g}56 znRZ<gZ^Y%4efN}%H}qAV6^#r_(wnBM&3Jvf>}tjE(-T4!#ZS-sYuguNe)+x|C)1;_ zeHs~DT}j>xgLOn+2i&RO&2--I=hL@3v3HMN*}Hj3#@3)Rsq4!F3W_uqEPkqeNHJ(O zw})$_rnjMpQ=rDV4Nep7)UPERnb3S8_RzGC7InvJ_w=0qA$Q(hE>=o<(Y%J-EO(jD zXAW2ty?o1J;=5R5Y2?*>Ri;T6UTqiTVs0ArE1E3(=xrZTTDZ@A&-)9Hf35vwxprZ- z!0*0$&0kV?iElgqvX-MZoxlCPsC$oEmV!oalB{$3Qum9kdFz+Nr#<7DyU6BOO7!-5 zWv9xYoGqJp@<p7fyM5JDEumkAr`2R+TLayv9G})WcZO=g4L&BBDYAAGLdw^qomq6^ z+X0a@RTZnMnan%$1H70stX-cLE6rB#OYN8|y=Pljk++fi_9suih5EbbPSO={HB@L3 zTf9uz-Ya<SrcR^(0^T3?=QT|A74kDn+9G&@K}w8cS9?e39bdbblhsddaMvulGjHkR z>A9NI?aLeMTPEkqb_7m6_~ik&{oc>|$5(v3*?l0snkD+kH_aca+(%Y%MNj>+gdzRo zIorO=W+FusYBz7xnBwGpZZiAkL(V_%Eq>S*tiRTI;R$cP<GelQoCWU-zQ*-booQob z{2SnDdpKBO+nEaSbsC?pK3gg}Wzwzpm)_l;@~0+qjmd9K9d4C0I~6^%3pe*Kchaqw z7WLlSbY{K8%`el`=L=3-tf?>F@qFXlUnX|T_IST|RBdFMZY3LaO<g%LXk|pE(t;MA zHB*W_zD#=fjG-vL;mh~^%aV7M{z+EL*UpF)-eG)p>5}#DEh?{aiq%bg9v&t$VR6N! z^}bho5<S<~tO-+aGk-blJJ(Us8Mn^oifp%ibE>|TYlr`m=;IgGmh4%4L3i69=l;tl zzAe-EzHIO2uVq*If<)YGS2ZkHSGM-X*2NFM?>ZfKFnsyDr|X5b&vn}Fb5fJ@O#FLE zi~k<QZen|ne|}xJxczO%g4k&nZAwHO11Bu}QP!|9`<=1;Cb2$;!{1(plv&O7QMa6b zXPK9O(9ikHy9K#K;})AQzhHUpRJZ?~W{=lWaT)uj?=IggtnIYhyK-Ln(Z-5ui3_tX zJJxIRSuJZZ+Wbb|N@PueP2q!W^FMaJx{+wLJYYiD`>bp1N*{bz1TuVIb$DyZtGlv% zzvrGSd77wDC2@h7bz<;GL+|L0@QqpX(zb32y?ayZPUX#go=2)yuXBu__jZEw{hzjy z!F<;~B^b>7yzlg)%bkj+WKYjNFmcO?fV8TGw--9T|Hi!9od3rU@5PKK{F<gbv5vd2 zWHQt78D;OU-Pmb*dV9*NBI$WQ{&}ra>NfT}EOFZ4_zs!mJO3@(>fbz+E8l+Hul>Dr zzis}tw}Ee-b?KgDl6!NjC_Jy+^Y*>Ac;59P?`y2eSpThC|KH|qX2srjw~{x$(GcD0 z&@*Wd$I6Z0y`%PWHb%y4D&~93EML8~`r@t3iv@2Ab=Nd*HnrP*b5855rL!-dJ84;P z?EYC!r^?17N8D52hfn$Ou*$)xW_2aYo&3Lj_y6t>tqR-XtM&2rjSyC@4UaXBv@r-8 zaEY;Lv;=PCG}xw<Q#6wyu~TKq1n!o5AD$|#OVVThsPSu)(4!l>zF*Rq@uX1exnJ6a zn{HdzXUn=B7VbXT<yj!ieITQx^2w)-0Xcck0@tkbSy=Mm(SxEFN&QprEq!MwWl+1^ zRytwF4bR<;ObJ`lA3r<b9Q3?xujBE=JKhhhQZklT*5)k`xbgk!4wDb(-ac<zysBW) zn!W8tyubT?#e98p+cG$UH%zSW*pp_SCpz=?Zcd$+e%$_uQ_8{z+%+#sIoWmCg&Jn& zg=L)Vza%gELnx$6ZN{#ek2`vN-gzV^nkAUJ@M>`$m-1Bistb><|CJRbx9p{r&*QU+ zQID6i?`c~Q<mq>+Qb#%B*__YTlUe3H|LOQr^Sa&sjoz*@s}9KPwsxQXupwQYQGJ?$ z-|nW={u`d=4U;TqocVY*Fa4akY2TDt?q1rSv$zy?dZ?cH<8Ur)cl1Mh<I2rV^=F@C z_5R&(@I>b(7fV4$uDqXo(%02vW-`o*{xkDKjI{b}x9RgQKGqhVwp}yv@DxcA=EOTD zmygc4Zs7K7rdB+g1atAJ<0%0(#?ftp8xoIv$$$4#N{82HdjG-R#>y~r;lw*@&R*5H zVUqQ0=HD8giEL@7rn6Pp&EE4UtwC?umbSnP_ustCzF=&kTFbNhh-9jfPcU!rLVM#g z#|1JH(ter!keuOMX1w50ui3g~>)KXcJYVxHS;54>w3hdGr@3d^oe7_ej+poa{yXa& zFFd<3^F?w+@SINl!x}eD%6>|l?o-oZE?(U4dFH?Ye(5cYkxkl9Web|8s@nO~So}Qj zgn2T*lFghy7nt`m-(kMb`2Illg5m?VA9xCQYS`?U*EODN{N7-FK=y@f!3LpA&7J%T zb`vTXJ~?)>Pm+^<VD09!)OM!XYKf0G!(Rqiem^j)c!Kfw6w~i17SE65ymMT$*wie& z>UlofXF~z08MF4a)wL~KvfeQ@;fHT$O(OT?CVMC8Bc7aRyZG)`@A$A!ZrR&b+4Xzp zoJ?M^fKS}`%PYo8?MD{3BX$>F%bU0L_M{^(-L6k)m5Hzp=f8d?K6UQv8U9Ug?$urA z*{z|rOo+R2hTkzek@JUIuJ<3_z4Y_^{n~$?zw$d-R`J65``NB@4TsKFG4k4Oe!#T# zQhQJ1u9LnGm7nUz2MDPieEGud-Oj)-7k5ivoP536>sg>f%R)<@m2&kdbN8n<9y?vV z_N-S}!qPC7<VfanpDmkyA9}vQ*~LGwF)-zE?Bl~C9=1D=%I$~?&i6Cx_{Hi`WpCCK z8u`oN`~~^H%f5f<iVNC1anH}R_xD~sJo{>6+^*F3jdJndKWW~pF4|-w$y*p=!aLWx zkFWCO?M<Pfxj`$Z7j?dAPJ6k7$uwn-cgens&n5moyEk?1gRZp~yuvnpQhn&<{c@U& z=+;9Qb}DJdJ=9(!TKM4qgeeo}rQX)sZjfwf&|ABvH#O%-TGITaj|Lyv_J-x>U9zr{ z%l?x)W!jUqPo;HcKL6wKL*Rvh?NagDOOIbzFP#3>$M3>M$z|sG7n`e|xLtDRfAeh0 zz3(0k8k46xb+i80oBQSBx279iZ+6{_4&A$c{=(Ygw3nXkJHwZ!xK#YRY`O5~(>1fV zP5oHd`_=x>`fu~4-uJsDS&B`&aH7L-y5rkVXCHi>^Xge+to{_S{PwnzDXFd%D>=O8 z<~KGUXGt}gw_)8ayB`yhKb_yB_{Ka`lqKcV@j1IqJZ~+wHVZs#5@`9)z5C}h>!YgL z^*^8UcD-G7=og!Y_VwGoeyY4LTvuiVObq*ZuFB5qyF;Gt5mTe;*tu#cn#&XlQu#J^ z$t=E^X*w^Bhc`mXQ0kG%(TpP(4_)Y5D(cVdCp{;P=dHwBiCG&>9%UZRJanPsqQqiG zXXR;ZHog+P7Ey*#$y**}9Jt)JTvOpqDMQx*@mANI+LcL*e!IRDyBx38aiSsc!x5nw zT57uzrtoa!S;o}eWx(aF)4Gz$)uiW;=;6dw850E-7aW;0gUd(csD|xE{bgJNt%h9D zYovl3T?%_HX&gHq5+JCsxTIUtNYo|eq((*3OdnC<xkg@X5n92GuBno(s?$z~G%6*z zJ?v664&CB0E70Phxm)!dF$-OTs2N)}ZYiH}`*K-zgX|6C1Ckdc3;1@h-(#QCc#ffM zs=r;w2Zoo<N7{w>UF{Tp?qJ@}X2pJo`8>n%1L+TpA4t8Bso>wie5dgq<N1c<2Zaj~ zR6E%f<YrVjd~%eN=&WA)^T5)5TfCNU4_=z`=!D#n2^G<k0`-3Jb;{1*4?m@KG~`*u z=0vHij8M~)1__?AZ4aE@z02q}vir#EZR4<@mw)To1cj>#_h+t*4%vIJVD%Lqzvn&0 z9)*`wRHKg=bX)P2+H_g0sI;l;mz{p-QTY`Uo0UJ-O!;^urRw9s2b-n_>OTBxas5wW z{l}vx@7>!UG+%h8{cNdaAFityNxz?C$XqL#-EBJI(Srj&*Srvr*fFU??vG8VVU}~` zJ(fjF!{3=Lt$p(GdbVe%_|9w16Mvm4Pm^q|6j}eMv+~WN%#)A)G@7Q&lv$g0PRb;K zv*wy$Nzzx|&#|la@jBmP4|^%^AsM*ms{X0N%<+>fW<O+I;d$p_`;KyF@e5^KPWGmP zKO*(Yo4&4%=4bns$CGHVE?J!I^V35HGwP$;8)vqz__y!m>uZTF(OsuCr!SB3DO9|h zS|YBgw;*Q5p<F%hM2#;R-Q~}7ZF;wV)S7p8x72Ruxq`kY8KNwlmppuL@vHFl9m8Ci zs=mGA-yg-S5&!(?+U5ME_g)(-FiA~xF9;}EV}I{@%?l>SU96i*gd;A=X?&4ZUDvWz zOHg6rPr1((#a{}K@P^yFpLobnBJ=D_W8arFi6;@}Yg+m`4yV2FIBm%<JKH=$X~mkv zTQ?2gI6R-$t+!Gk)PZ&72hPhIUfy&QoN2;*;i;px{g)y~UGb2ej#ITuelDESUbJ1d zD@V8e^abM+-|p<?f3rM8RNi6w@xyJCY94lGlsKMPJni!z)v0^97KCh>qyOd748x^G z)9$WYF*V6`&5KoAv%=4C^+!$ao#AF765#6**XmW>Zx^xetFTJ__5+ra*9d+pkJsWn zxkBFkK=Fk8cb9foH|;uTCCTdFV5Hx=za!*O(Z4B^o;9`gPhgvJYQapNX}kO$f7y{B zby0sd!@=b<_Lyoc+bhl8wQQ3MOYNeGSz1OFiihv`-c&fRUEDS!D_zE`J;c0dUDBnz zQ~C<*?|QDyQej@qmvruG+4m2NXMR0;=)L-uv)^i$Ze`kcvvsDRufe1hp)W&21w&m! zLPG^zLc>KF7#KJh7&sIfl)AK5tx)RHTG3$jf88rS?UX>L6(0ocCGNhM(BC-gZH~6= zBR*3mmjhDDhXq65nrSX%d@SfJctb#(ZQ|;tcdG(+I~SF6ynSS_J2X1)>Xc~L^3_-J zLMKJLK3TS+c<lqd2^QiUGr3dVF?sH`+;B%GD35O&xAVJI47=qP-I34#e*EUvsND`% zVnj>Uaj9Kro?{(S-o7vI>fN<TMXL|xb(xvF-rnl8J1i~wq-s>`%`VSHSEsC<rV{0N z^OerUQzyexJ5^I|dg*Lr(T*1M)KPq|X5DGjcSl5BaMfEiv(5mQJgdnPOlN(Qg`8t{ zp7c%%o_bs4shQ(WPVYscH+@5d)*i~c<h|wBE>8W8E6@2Bcls9O6?x}G6;F;znmlv% z1)<qT?xal1czDh?xl`=T9hGSr3(xtw3F%(g`BM8M^Bns-o_{_(<1Z1i-|^FV&Ji`u z?V9hcba(nz*BeZ}k@D2ccc))Yy~gAlB~Pt({%!Pm)V|lg#Pg5CQ~oF+d!L`o(~j^5 z{R>dt*m*j4({A6~?U^S_cNNXP_pf03jVn*g40rlQt_z-a+vPj^?jy_ew!eI5b~09d zv%Q69PF2y|3wgr#<zETe&-v**`3QeTeTDa*f^Y5Hj_`Z_TQKd%!8iO~LUZT+QPjDp z`JO+a(>FSA^5okr<+E?Bn>^+Ak|$=WKbt2WQPbV7`OfU>-DO*Dmz2%@mUsD<e3wdH z#i#alF3UISZYk`#%{2SZUyi30#l=f@TK>x8(_cMd@{Hv7&kBkg_w2MhcSk1d-GrNK zvv!BZt}d87<M7*O4aLO^c3K{}BU2@Q{Knd>qSXh&7OGury0Mj^Tz5k(w?`PG+C`?x z*@|zYG&XhVcZyAm;ZD2WbX{wYN|Z;D?uWIDyp;qtgr<0I2}l#I>J&S+MoItTq3PL) zr%e@eL<59$PsDP^gf&iIp>#%Tj>;p3-OllOp)*5|s6H|%T74#lzx3UN>7g4`mz~(e zwdIIN&1#29Gnh_mb*L=cv5DQPQ*+gugT9X@%(R{3DWkQy-JsJs`P%~3LXR_Y--JR% zc4~h6#-Li5aa!($Q2UlQ2XzZMPRp%()2LIJk<afY)V{(dMZHktlykmN`xiN<$rdf& z1!f*usLmf)xx)LAz~uH#DtudQQ&bB*-Y(SaboQ1z<;~*soQbozIAf<)-yNCUbzR${ zmp)mz+eI|~d;8?}XF~NQwqK@kJUJ6uSY{irQ)`dj1e5UAN$od;8sEh77q4r#7C$kA zyX7=%(o?3LyR~M;h$dfmzUQ3T>70;vb>{1X=Z*$GS-9zle1M$Qq#w-h6_q>fpUJ)Q znp5n3n`N6?QL%U3y<4BYPj)HQ6P)9{<(bgToi0gwfz!Q@ly`p9n|M!k*KU{M=`Y?2 zt&LUM;_Xt_c}VZ#^{a(XU(F~gb=YY&Cq~wH9iLkG@ky(dPhM?&^2(s7v?O-5<@Hl% zw|W(?JrSdAP`+UDjmEQY6P}he6t$ao%Kpl0*Wcbe?RMj-w*}?xhUFTQXDFSNJ*2YC zp@?0tQ?us|qjyrfX8DRqGZww(3ly@xahFklWAhyAE2?EXimac+N~f>u)xPd_Pxg_J z^_ja1bvH8KdF$|mYwJ#@!gmv<-FW!6?8h#roOJ@Tv{jz-rFA-$<gu^Uc6nBoP}F)Q zM$x$3Vv>f*^Rj~7PTA`&%)GtuHP_czMZ<E9NjDO67g~49Ude0Ud^_<?8ACLOUlRMa z+m0vS29#NM=uT1F$b8EwRmggRu8G=4=NnFmLanc26wSE{Jw-MZx893csL!3~$+Pg3 zQ?QWpflUHajtHC(E1D$XG^urp&Jh(umaVPRRN5TU7pinB-ihS!e8dsYE$PYQc-F~& zna9Q7?o;;22h`d8WWU#MZLMBfQuKeu?~5vY@6Nt;zimI`$@%`b$8Ytk{txhW?y}bK zO%l85nq}SL^N3qrT=T7hL8tz)7?sV<+f<5H6iw`tDN&txcy@QllLeZc&inE%%xzwv z!k1ap{6yx7N@2%z=_}6`sC7oBnsc0W^bv}`ad*MK=4mSXUhH;^=kuC$;^8UYOGN>5 zq*I<MD0ez{-BHkFFI8=NDXpBg)*x<M&Bsfrx_Xi8GEN@aE+(d{tGjmZ)-53L<=Uy! z@_Tk~op<Bv+NUjkd24s76=WaXYZ!TYZEmiv+14#vr)gM5bL)EEj7m%KxF%&Sv~8M1 z#Kf&V0vA6;z4l&x`dmo4(F(q+b5_1cnILUh_`v6Do9`^MoNGQypYceVe4k@z96IyQ zLMG>{=Ako|Zacm39aG^>^PP4p?yNC3*M6gSai@3iy7QA?KQ601lGkss-TgM}p`ylB zJT7X2FU~o{3pGCBS)wYq;;cisP~!!jEz>1b@)Y(S5n9GmGF>D2v_o;H(Jr<s@0KR% z3|+xjCmb?574Pu4Op|Cj?U2~1?66b$4DXex7Dv6N?#&PAWODTq*_;2wwy^2@lXuc( zCBCm-Jlm(peq4p`-COCr9Pe8<uI*d6OvQ7S(3bo;Qxy%hm46?baidq-{LsF_$H#i5 z&G+qlIMu%R-Hnx>MdtpQS!Ar5u60g!siuYhUQaoDv4#~khbFr$*yFy!n`Kiq%T5=C zo$eXlEJf8Us+|s5{Q=WBO1^Qp3pE~-W7PS0WVXA)q>4jll}l9TWfXIi3i)raWU=g2 zTwvK^-l;gplEti3afW4!NvHCSyMiy)iQQAI@sv?~-&at+^$6cV??)U};n{BlcB!;A zlyig$Iad^Ml(!408nWzmZkWW8@}!TU+}&cg@`PAj_41Z2&K=$|iEsNF${P2ryEfUH zX|i*JHw)8l<s)|mZ|Gf}>s%qd@TB67)R{Z&GWG9zo|#oN{aM_k$vZ;IH!s?AMeXDE z*<YXTODNjB<j&)~uo=@YsqmF;o^VHJ@9$f-Cu86IyRb7dCvV!c-$^IEQ-!AQi;*`n z*M490p^DQdiC;^<&@*ONb*Fye$#+Y&I=u_^T|NJ37F*uAJAd15mGb&?@BC-KPJa9A z&Rw~(xI^B5CKgY>_fF{U-@u~!b9d$&Oh0_*>&Z`(CcE(Y#x;3A5%@kSN{M~@^b10k z9+jM)O6?l@f}T$pQu#`REFYX*==*5WG|L&DF{g~!4LZHUpG{CLJb6lHg^J$Ovy7)? z=BX4V7_sj>BJB09^c|;4am1vGMQ`+;&oeM;-*QAa>ATAm%NeS__UyF1aYj*RWBV-2 z64h@WoBih;k<0iNIQhooGj^#$)iZ9o`y}-n{jTu*;#oX>+MC1EZ#18=3l*xpaA&Dn zx#vl{s!s3B>(9^Fm37J-Sm!_EwQ~A=wN9C?nE961+0WSJcFOd`$Xi{1e%j7UsP<iq zyw3IXvvw(+cBSk1XTL5^mEYhZZ+(6F*{>Tn`G+r>Yjx8(-S688Pk9Ue&d<lrPB3%6 z!4o;z*7=f+%UJ;v=W{%U#!Jo!7
S)$*3q~)ZXLHvR}33sI@sU+Qzo}iL+Te?Rj z>DF4ur@J&hx2-!ORH)arQ}x#|t4@jMi>3woDJAWcc=~8^cZv8zy-l$pMH_QsCU=DE zy^7tl+oJsA+ef!tw{)j$Z<~G5N9kScy3MCe%XS`eGw6)ToWA-^QD^KDqcqPuA5zv# z+VSvR-i+PS_ujQ_-OczmPhq$8p?7WT3%wq(?Gp5Q#J1z2=Oeal8$BPfsVYxC!khYd z(h=UI<Vi<(UEEYUotDLjr-+}`6Q3Ea{GO|Ex9Xl4#nN);DHlB!320tFdP7vGywzf- z>4F&HQoZXlJ~G6!f3R}6KG*8|2Ius?Ip*IRCOhU<t=Y*wn<vQmn75Q^eBFUNhkgF_ z2ns)w%R0BfbMdl%A4%inOYWy_kEjTU?b8XUD~&X<*tuLcka<e=6(Q3FJEeZDYts*J zp0@hnsjCW4vkvT*dbO@?$LfV|vpjZ7omtnW9PT{vcJcFXCwAKA=JC(EeYw1P-yJ#K z?Z;2OomkW#xu{LeTqm&k&eaEHrYr8QbJ44b-lC(@EuiD{ttCb<DOEA#lDn6Ptp@kW z!_`U8Tk;f3CBMuTR+?_>d#Rn>uj97Uy%{UEZq!Z5JsCM`QtJX$Nn`D3j+p`~P6t|K z1eLZ;*uW!{<kB%g={twwCIQ8mn3%F>j~+dG)MYF_ho|Ur+u2!P0uQ&QtusmAaq5h# zTJ5hLN6whGY4gm`zbX9m=<BWvPk6F~qCIv>9?<LFYCOd=C-G^RLXqnPYv&m*QZ9L{ z%Hb_LjSD<;E<Oq4*sXM7E~9r+w|aQXCT*vQ#sQu=fzP`pJn2e!!c*C)yDLWU!a9zG z^bOuiK9;(A>{e=->!`QUb=v8qv#Ey9c=9@R=fsHR>UHlkUZJ|JqbPFEPMs5T9_nml zoi^L?&8C2&wv8@A#d@6P(^;m>UU>5CfhTDZMbRa(LMeKj2GfsB^L}(n)$r-0h9Xak zohq|pgnaZmRj0d5@@6`zn)qbWi(Qh>?(pR0wV9YR&z#M8=In;2X*-IHeRfLDxx?dm zE}^V#gNs;Ry1}FqO(!KgR5oQ;Gv5|{rxMms+-lV+dM_r?FNxJWykoM*qIX<rLY^Kw zoo2cSg{^Z?+sHIcyTWr#!BegnA?=8rP1BBWg|0g=-Q&<3uF6i8OY0a^C%W7g{UGFd zB=(_aQmb+J3hy-so^Ta+ir!jxL1iP;ZP5op+G}DD>KZoB(N^(1Q}CS2bzQ(Tk4Gm& zPY7whh;`HnY}u{dpxTvC-m288WU=#5_#%$d@CSuQPsxdDex4!Zs?X1L@2<_f8<r~< z&(1dDx0C~&y<syW<-9Qew#qFNcNlXUbV^=I`>1N@d|PLaN?ONk*Xb^T`_d+=PHdeL znc$fsm?{<~6#3$8lKw>3dpgThCcT*L8mq)<vU!2G%EdIX;!c$(XPopVy3UB)qnhSm z+`ZXFsA99nBoC+4I%kADYi7DeDsiiCwwN@7@uaL_x|pkw`-PdU;Y!^b+)sGAX!mDK z?x^f{nA}m;|H9j4r+ba3%Xaq+PnWIk5uQgjyL)&Z+35a2wXflG$Ic^yK5`GIStOlR zz9i&6qmm`^QHODP%XDj{)3Sn31rs`zr`!>Y7e73wyYcMN4^IVMgxotMndcr6j6HTi zt&ruu#2J;o8`8`(jtEvIpP1C4_<q`fa_<#I-V=(vckEVtmM36te&jB1(bK%Zozbc5 zcyz^2oofBHOYznnf%Lo%UGtWy(k$;z3G5Ci*1K}MHOh!ht5af452IJo+&guW&6AJJ zx*H_vJo$*)&Z|ajYMr*bpGqH|bY#)pcfE?9Nu0%b%X=6-lQ>KAcJ>_fNaBn*c42Bn z)7;y7<$g)e^EStrcP`!iwdk_Sws+S~sZPG3y}f)pi@CT@($YJ+oxMe?Rgy03mUySv zwzK=;+oXuy5*PH?Hgzk$N&2zNv{?JuE7ha}yCq)fwe9F$_%_L7x5OE}Hs$Rn-n(n8 zy)&gq_<h>lvpZ(Tm1obB`J8grIC&n==aP&fYn`34lkV_&<gqJ<uQ)CGsG4o+5utta z6je8}tWSTTYGixZ^V^(?V=>=OyeR5_H1Fdy3DM_l2X{xOg}cp7U!j^;dRN0d+~po` zQTg^0^BSi~9DU~2YI|5G@W`CqSD&4V*c}~~cV$j`hpJm`CELU!8W!dpQ?(DD>(wfD zy<+*wFX_ru>7`{;<{Z(`Gv|8OB~g53){zxD?h`$G78OmI?joDwp6F?F$lA**Y09MC zTc57jeMCd8ocrwxwN9%;eM#OntE^w?1h&jsd`if*#ga=Wux0k*eL}8FB3b4hku2=a zn5@BcR_TJuxrS(#nJ%*N-5(}t9Lf`n5^}YOY?<mJ7$|0_8rX7MX`V`#M|4ZfqR_dn zTU6z?>N4pD9=YBc6wNZpMZ>CFVNwQb`eN%&_e|-to+eD21!ub`>q}4dY?0dSo+e%E z*<w_*_FB%7=@LxmY^^p4PCX)!wQlOn-UTXc4|azonXU5XdH7UL^d^U%pw>Cw#`5jw z?kMEu3Fwq-zdL16yl`EN#%AfHbG(Aj+Ai!?e6UXN=3S+t)PSA-?s-`|oj-GA-t5r5 zsBzNGOQ`pbnUuFq(x!<Mj|lhVT$w6y<eW{hQ1i3G$+nKao_S8*5t=4v)M*#z6`k<8 z;pF}P2|@SvYj^I~`Tp{3=%(N1svpCj+D+Z*mG^&v%D+W-mK%h7zMVh$$o<K`k37|y z`!{>ikHx$FBi3EIVXxL{zvH*dQ?16o(UX28@Aj_@KlwU-(vkU-ey5aAK4-7exqs7d zmGaML?Ug(2_5be@di!k7m0G5`ccLfn@NX!NPQLVwGbby<`;wjGNy(eGjJ{R7J+sX@ z->OZ#yToAWlJ);MS|6n88gwciiOlv+;;71w_2fxB6%{PxZm>ygii@Il_FYvj&&bt! zfgJg|J6#s;+WJ7K_0hGYNg7wSU5i>2a4Raa(<v=`t}2&o<Z7M36(^!Rgtq>=#^rtG z*QT(EF1otem8w~hGf(*~nl@$SuXhvYth}S5*LrucS@6P{U8ihc&F1oXBw+RZ#p#e} zq0NupO`Nv!$GeGBS1wc8H>0?JiBIUWpp!FCc|N){(fexJD%I&+XG22YO**1jrgwGv z%1J6|Cu6mAgCl2poeGKVl-UxawI}e5=aYcvL05a7-UJ=(J@hVUYj2a!qY3-Gr<!pY zbVg=QKb0d=HT~5Ijdd#fJc?I#^(1*Iwd;x>og3)j`6S`_q6x-b%HpoG1MkGDbvmDj z5vg4qF=@uuCyRa<b!mvVP774<tXcSM(FUU~J@M8lfhDSXrLiIv%Du57rOG=!Wtz$Z zkMvGaE9{ury(m}3s53HK-1U}6upZaui8o?I+}(Z^1&T;!dOf;y-F267&`sA##zFU7 zvy6i#A5qNE6Ph(~hDzGj*d_ZW?(3PN^09T=#BX|&rcUfpDJm$QxTNRGREs08l^)8P zs26(Nab0D7!Z&Gp^Cg|PcU9G<J5S$zNA_*slej1UZtS$re8)f0I^~>f&@;ZDMeQH% zDh9uspkCf_NA^-#`+~cQF6;RBy-t3gwsU7>M&7i^vsueGU&)(x^X#Wx`cLkNXTRe% z`rbPIH`D1_#i#pr?Dj5wCp2ewNZIrQdhOQJndh8VJp0t(S<!<c%ZQya6Jq4|y#8EP zdu1K}#M{p2SRd_<OnNtI#_PpTf8E(>`-IJF@{i;vd@GCF=j?PobVo7j-Gsf?ET?3h z%G-C`Rm?2sxG9_TB&~91WV!k3lV_85N2Z&1pQv?wzAvLVe#TDy19!wD-*IbwXT2Be zRWyB%9=qlA!?R8|o_YG<Y0-hAYKfipS?l_B%wL~9`)ap$;k%&wPYudycf`nRU0?q0 z*PPh-O5Yjc#68aZeRr>{{@5LPm2!^Ruba<(H7wt}<_>qBm;SZ7JbP!mJ2otSi}<&- zuc<sS!$m$z?vU!m<1^bMJijD9Q>^N=`z7~C<>K)f?G2SDrneVVdPFSh*X4id^=0F> zev3}KFW)YzU39-^cS+?}#io9vPP=#C6xBYmpR(Jd^6$Z0XYWV+lYdX%#;@IJU--TK z?Y{+YoxL7SF#o>%-M_N>BkzPJ*E)E9DSZC##$EXxzZaLauh=PbWL^KAubj8rV;Avn ztv#YT@5L_lX?KJ@-*v99)$p`wEc5TV`|=I5?njoJwv1){oBKB(5l;NxGNo3<^Uuyr z{Tq)6$9=mvwIb<sWVw)k!cO&X?;_4^nq1NJcHWAju(>YsndwJ8pEx|xtK4aqd@gG8 ziHEO$eYvZnQNHqYWaPO=*4o}l{5t7@o^J}D>g+ipUYvebb>9SQ?sqz>o%;9ANqN5s ze5#||sehp^QT^l5oBH=u_G$cPopnS!Wxv4WACFGxZ&TSP@Y{9j5plP=iPL{9I-&nc zD8AzFBF{(N>*9B)roFu@SH0iEJ0|LLw@RmXR-NIr9VO4i6Fa@r>Ks)HHNLBUU;ZZT zW9+W!@1`Ua``YaEc)qKlIJYEL+;iK+X%Wun))tlrzL{oFockbFyl@?->h+fErG;hQ zH+HJcikY*Sce9Ih(ss7VxiX$xHg4-x>NLABZPKPGvyTV`=5g+dX3AS>+^PCu8rNiv zsi`aVI#pjy+chadDNj?g({xtM%5_UW2rWIbj?={Zs_HC`-D<~lQlHKGuqh;ZQK!-M zmRZ`NPiFln^390U(!IzsTbs4aw`Hf=uXUZe*IDidrFE)Zi_uiR&N4Hb>5S>Yr?U<e z`9?&(@>n$G`dY#5SHc%fxu^C{#p`LT=5B4(@}+myO}RDIYIjib>;;oGT+5d}SSNCO zYEaSAQ|m&eXn#!+&J$Yt&a6-^Fe^_uNoeUkvx};MS-HZILQ5~06{-ey<p_rg`L2l- z_sHYj)BQD7I8exUMeZTJi$^B;9#Ng;aGNE15vSH{3vUz0C$lybZ`_iTq;v7eb+s;) zSq9aI=ej7yuA8tsI{DPoSG)Dj+~LhxH(~p0ruV!>LgrU0nP-?!@LaO-JDYx|^twHa z-b%gd)7kDWWh~F!5G&=S*SpEv`3-NdP^-yKr$sS}W%&)0G8Vn%^%I)-p4V3>@Raln zl}CFMJ42>wvp&mPP~;u6Q|jIwK@UA{rSg^;-p=p)G>SU+xU94c?>aN})^6!@cX*@L zU75c7;c3~2&!(*?ite~8=%&}b-n!{s5=SxTZkLs2;a;cjHazn>x-+OYJnPim1Lf{F zb}IjR*P$YQcy71i*`o)ZX6-0;e-q1_lgDjVeq@rh(i@?o&Y+xkZ6@Jd=cY;(FI{nm zH*%fGH0!1_vKOCB+fWp}W2f?~JA%3IIJR0JI(PKLvm}RN!<?Nh(Mg@E(*wNkTzKl0 zyE7<XZ{ahqkFlDD(*?Y*Bs>>#6<WGu%|gFL+$Px#lUF33le(soB(a&zxKm=+8b_Zb z&P~=zdAzYg?hEb;xnDalJ>t-tz7J7{<CNGex3f$#eWBVH5q&sTsm*lzksDIagxqa* zs-C;{VOqqaT;A+X)f?|RHkmF^owi~#+omHzK6-5C*&N<Fg=xI;of4<+2<3ji;;FOn zshmZp@|-)H)e2kg*ro}&&$+9tR_JowHde^+$j)|s!RA@j--NuU#PY<wyXbvo!ZSJb zPN@@jn5VB`Jacu!)2tnf5>MIY+E#2&fAeX@Zkv00{i@U1Z!Eo79&NBw_flT}owt>{ zO@8EcZ@T^H#M8#|%pE&Tw%wVx^)}PF+`ts6<WAL&JM%W3KK$;}hg~MuVx&^?x>dKQ zzuRW8+vb}d|Mt_$Z$B9nMQ^z~Z};uTWtj;(bsyakOWNK#@$}=9PaB_H$|%mv*r~g3 zS!0@1Y^UzIJ5GK{+(z4<zxh^k_mS_THpBAfsnKgZ?+85eTDnvE+q(|y?FN%QnBR*f z2t_}*o8+Cuy(>D#b4lV8v0$O-J3CpY91+XP3!HvK>8$Ppq0B3HopdL<-O-(-aw%gs z>m(Pc%<T-`OA^btl{!uO?i|vd=r-r{qBANxV#Gr9x_5i-@ytnlzG+2Kq|MADb51`x zmD*_Dx%+g}`%Mi-od%t{d+zYWPItYf@+Pls{pqBWDl6`A6{$Hs4~sD7+&6pSiPVK= zoa>_xo?Ck$O{jRg)3j*EQ!3l;a0RPfd>XdEsB=$rV!lvvr|y<JT>0CZCV4ifZu?QB zedI1z=Hw4vIe|~ZChQiSHmA`qiF<E!<GZi`>%+5M=1XX7y^(yNKY&fQ`$MYWFR@0? zq&Bne3)3PV<_Jzx=`z^H;U(niu!$qRQ%NS0WwVRm5wS)cL+86n6{=|mqFdG-;R#AB zR5xs%8hOK0gfUO7NGNj2Ox8IrVi{=<)g~UD8M(pJ#F*REA!WP!jkh05bFb_)dl17@ zE#7?hs6x5nj#!>7@xwQd8k89}#PY;#&(FJhXWCBQVsDP(-dpPgZ<v1CWmBSee)j3b z&pu7rX_KhOKmBy`(@z0AZ7Qc9fA{G`tlaj~i_0p{>7BpvlySFB+;^5;)l7G68{f`b z@MK;<nZLkp*YxcfZ^SC^%Fq2>U0k2_ZvC0Rtvl`YzPCU9x8hFxy}vi_&cE|_=iT|Y z{?5I7|Hj|OyYsKBGQOL1pv*I%$WvptN=K|v#~q2XydDd4w%O9jXL=Q%9y_qx@Ks*V z4(Y{jk9q7iJd@X>^!??z+DXOpZ{1Nh`M&bKUF}Z)sCSd6{#JQv_werWUB5-j=iho4 ze5=-Ix4-MUOLJGNJlEQ~(<@_L(EZR~MN_xLsA`9Mo(es*+p9EO^PSe#*ri)mr<6_Y zi&?5<{{GIC;>~A$P0W9PI&Hk-L`BiUGk4ain6u24b~?i=_*5aMGdhK@Xqv>5(~f;A zY&VMpHXKo?Vpp6b@#N%Pt?JW$kEY$dD^;!Smn5)j`xTW>8!A`p7oL8ntKS*zckj}q z9iiW^#XXvKCpt)I`;mLQyzgjz3Y&antw#P%)x4K`x2hCszhArgh^|JytmhNU&)32i z^_ZTXF<Ha;tkE5nQ#+!M&2*70JN?3Y%EJ`N($f#Tr#No!(d-NeUdLl``hfQn$;~|* zQ*T7NMJb8QO`W0YWE<%gp>*Z0(H^0NZ`vkJ<_I;vGRs9n%Xy+_%O&Yk-ivtDoD)5# z1e#rmTg0<z;R2OU6_Q<gf?g*B@;U=jol_-WoeN0lRD8m7b?S-WrvfQ_8`TV1W;jpr ze9~Feq2H;vLPp6usbi1x8`V!XmMl|_2zT94D9z*8CjID5n?;dx&D}+o+dIzcY87|y zyQ8!(+T^L2?M~OC?JM32lys_B+*K&b>rgd!xyj2|*8T2|lErq7v$|5n-8=3mX>a#< zFJ`&ZwP3r)TY<bzo04`9?<bAcERjn5w-tL-K56Vc;iu$((=}hH@`#?(<P*+Mlngu7 zEp}#jKjQS&TQ#YIX>#``A^(V?z}QEeo_bZ@HjF1-y@isO?DWrCH|dV6r%?Byn2@rG zH(X;oU0wd2_55@5=X14>;?Lt}9g#=~=R9q1)Vbf-yzP4EqEGxjkNVH<*X`VI=wI^B z)pe)iq&vD6=2>U%KH6=3C~wMKZKu<s6H}L}cLr95yWG56RGhjYR<N}E^{KguPuwo< z40O#4nG}6!^3)eX&1WJx^$fk<vl;G=&R^HFja#*R`-VG`3FcZSMIFm~U)|AA3U8Uj zeRR7}w35hl>*hC72Y0$9uj|kYXSpFNxNXuT7r{jFtkZK1%UnOi3g)f5c#rpBn(9Vv zrPHQW#l2hZNP4Ubyu-UNRdwSLfh=u7PoBiGTb?|EWyd^uE|zZc)afdo=cyA^+~>*j z>DiY_KT@99mBuc$3_p1`)T?;vi5OLb@XXVpyNaeRi&51GKY21Vt7z(sn5C-WnkPe@ zil+9&EL}IdIB(a^na|W_&Q`tn;>|IR;>mB<Oq!8z&Nd@^N}2IVN!5!PZ<kGyeti1u zw%N(gC%Y(bo$Zsi=&s7{$|uKO6kSqXcKht6>@81@eJJ|;X4wtj4Z?bc8|P$qRz7_; zWp+TG)y|twe7t?MmPbB1AKWUZk$SIF#p4Z|;7;>ZcccT~^{RhoyStaMJb%MoX|H#^ zo2s4P+*2r8{^rg~i}J3sbFGS(AG@QeU#@j}?y92Yi|(vcFYkKickr&}HtVHN{HEU3 z+-U9k#OUTupF%z1*{4gM8TIb;iPH<7b~@y#k?T$$e?8&5sZG0ma;AHpOx4=$lQg~a zgzcu{{zrF|&Az`lV=GnMzv<3mt?wGAY^#d;U%V5%W1F<w-RoW8O<SSe?k;*0=5mWX zXWF>aAzkmn6mFKMOqM$xeDwq-b8mUVq`K3=V_mQ1_V$}^3!i+`D9XJf+3od+T`$?u z^UsG=9raH6X+1~H#3mo%&r8<z{3Bo<HtEPRrDR3V94=|@lM%6<@=xBFsDEspJNJ%? zS>@X)Y99|zoxAA`i`vGOIm>lBBZA5vc^^4+`=pmr*j>L6p^cBqx+Zm8-6lN6WzCkk z?}Rp<DO=@z#A?&z7^Sd@a~}xJefQ>w%0<s}e%YOVxn*A7B~F_!M=lD!@0Z%?7hlFy zT>9ay%ad0(Zfl;ty3oACveV#2jBI)Hgxyxp*e0r7WS+VDajrmiGsEN;jJX2Q1s#${ zrY_mmc|zrxLDA$(YD|-7FrV@@nw{ajsNKx`<q4N?A={q2OO%9tJ-;-RcfRvsQ59^T z(>X`w--}%?xoTOHI3Ax;but#5>>^R97kJ;rTd4Rz>>_<(RnIRAQk7IXoy+wmPVMYb zshVIE7`{k&k9zt04X5X;m6jC0pKw|pbhqLAg46R=b91ua2b`X-lIeBfUg0!*k29xE z+%ueJ@7CARx|dm}zO3Y}#-`>Iee26BZq6(|?l*V+i<sEh*n>Y`fk4*Ta4<;Qw`<p~ zSHHD<{?+L)G!`}PVa>bVG%?%pq{R&(-4(Ii@z<ShT3i#-ofFF)ajbD#gzEFKP|5aL zg-dpJ`6Y3ggm3lqDSW17(y4hS##PPGb>`|5F;`VLcAfouuuOE?kyR$<uJ=Q$J43VI z@$dTW{C3rzBl6kXz0QWZ=Y`&1yQ(<!#@bEAtB=JTo!0UA+*+Yx(I}<9_0dnCt=i}! z6TAJ@*|oolrcQK`iQCR~ZtbU{F6++F;=HR<gO7Nw=_+2mW=@jtB38reEy~L|CTB37 zF?D<+61%QdZF$FTv0Lj}H)boo5s4Ej+%nh6J4yKFR)gmvfkLzUVz`U^7EZsxQl@*v zl67V_)1+VrPanl+B4wSjd*&#e*1RUP@r&NIyGLj3j>s3!ew(x~R%cVUXxYYn>w@RL zW_fP4cBfz3y2&$MUwIl8x-%?ro#<W73qsagcFJD3!&kLj!u!pFXJrP(YbQiVTC(2o zzxP$}>8}I3_4mCKn!UT_ncmC0s=KOh&3$vPwEo&19n<fvx1T1I*M3^(f9I{^^R(EV z-er0G+h?0TOY7a~9kP!9?pMzu{tKnmXYRgzm-nuJ#_!@Me38YqXLi~>$qSo3d+XDp z2}QNjV&<>sW_k9nv^Hj^-5nki^^5FtUN@ilD){Wzi=y}ocf||8w@&(f=*>QdqWGMh z-Vu83n$w-{$-aMAR{!XZywUgLXTK&s|J6{u`NSR7O|LIM{q-hRUj2Ie+g}S}<(0oP z#DCxUdhw~VUd6QwV&r$cZhrFZK~c5APKU&I>ED&hmA)@O_t&wwHeqLE=sWJMyT3fs z+Zf9iohNo9c2@E9B|J~m3}3%x>fLF-^YBjl+VAOa_*NFzUbwqP&-``AC2ljh_45@) zGQ?SLx(b#BUfJnUpm%9jcgi!R&Yd2ydP4VIFYWdy7tegBv^RFi*6x<FiHGzqUFTg@ z-2C9q0#ox7XLyB*n|Iw&*eAWEy!lJsg}c17c01;qXS`)wcvoSQv`AU=zIVNQtDWE9 z+p*jH*}LBD)yZ$~IqWt+@ve76_2bumS9b;%yt^{XI`x@f=g#2RcOv)a-r5~pRsQOo z-`Tq>w_9&5TYll4$j!N2yMyDWuRNF9R6O%qjGFOu&oim7ie~=MyL>P8(r%yf>6!10 z_Qo#Tdb*`-<_W#v8>zo`xtG2ZoKwB!ncU2s?n&=1-m|^5+r9jI#yh#acNcH1ZYk?O z@$TYvvllA25{h#pcA727dF;EWcVG77(_0rly|thy_fD>|@1kDA+a8;G4LWTn<TQIH z@hjgxFexMXl-VkkZ!d1SO>vPfyM4fWi(<NT={CdZ9j@upzCs(H<uL0BdY?19Bed~R z&SKq*Et9)b*L5mycbgOaxTkYV?vkB1iejI{ikDt@y=|&k{_)(}!m@0EovQm{lzkqx z?TK!Fv#X;h_QqYIqIJESx?}Trm9MwXczx(p>BZ9Al33y5>n*pBnuuRq$7yk$<;Gga z^4KjqRX4?mXJ3CXDI)2dZsW6CKX&OIixDnb$GI!}(b=^NpX@3q@@<JNDc*RZH1O%P z9mTmlu`b2gS9Y5A#faxzKRU5HF|T*$>!!1&8&s}&6!q>sB9(gmf_F(_d2Gy1y<_XR z4YnV<ZaPn8*Mef+oi2ZhV$bZnQJ#HZr{1X;>4flC=S)97Q<@#^bk_8NQ0$4F$7W~G zP`&0*+`Hk3RQ~l3UTZd{h?fdw_v|#?b4Mz&?4jDl)>*M@JWDQa=Qipz{Z;l*^&;!k z*bl1Ler)R2?9_W!_EBYH+qBq-ozgpEG%dqVoxU58CZ5-+cdBgRv=>e};%S|FkIDq6 z?MOU*H{qGr!kb6KlQ<2hFPI#mbVl`-$~1=JPLod6eKAQsi@24iKbZ8Q>Gax*WwCQW zv%O5-Nu0XV7fjM{eeN|ia8lG{dnc)BXPmtjwW)mG;eDkcMb1~qRAMH3^rE(Pm2*_T zotb%j;t@IX&p*80cpCRwcG{*aJE*pi{fx;em2WvS*=8J>r+xXvq#MSmQei^o9Xq90 z`8az$YTK9jMD<(4U7@(`t}`-ksDAP&s_clBE7arFpYA^OwDS8)9>v}zcjsAcZ=G>F zxxD&O9{=Rqlb?L+xGT5*wDY@94!cbb#Ylzcb#Ki)q55e@QRR_XsUp2z{q3$ZZ!a#d zoDnPMn|J=sQ^jZ75_YTh#7LFob#Knxq5AE~-FX_*+i&GQEUTV!N6uop+w|K^C!ac& zS5AnPD%0yV+}=7Vn(57_8AX*_V&z=)c#XFoy<u`isB+I;IgRb@@4iilmGjf<wchS> z<E`UvTNgckjp@f`dpDoXHGKAIK~bf_PMfY6sq{SVEw`KAY~z@HEHbHc{prJ}o?a}~ zuh6_0@cHvE$wgMXLz1U+y$Py}6)Dl{G8cE9*{yWO_2AP*2Z{m%c4~am<J#Tr^lnkX zZjCE?U7Nd^-YojC%cDeZ(yZ=~XG*O*J!15PrgghKRk|6gva|b1*~BY)mu|XB?e<6z zKlz&N;!el>ya}_UL!Pm<?sSaF6PPCL@|5lAU4@;}Ps*CF<XyPQE4AA(!TiMQdkc#4 zpWKx$``&hE@58eE6?di6zaP7?m$59r;;yDu`Kz;YgNm1*y0g-tyzBJbLq*G1+*!HD zI<<WHlXp{Y&kfogoL-*w#;@_N=K9kv&yDu(^vTi-o_Sj2nNi_Rp9nqSsi&uu&wQgd z`A%xlZlA2_FW(sT#;R>N{iL-2-W_Gr?<>yOauxUQxTCD|UE{sn&AZAwtDlthUwL=& zrmfU&_k`~q=UA(X8?VGHFcQ}|#adO=_(D(M4r|hGhs-Grr*i&qh|c`kvh#?N!Tb-? zBBneQz9!VYqJ}9dN${Tf1XZ!KwN4R>Cf-mlQI!(3^qAu!XU!fsxq|5iZ_#tM#3E;n zor=fuI+V7rIHy}w+<orOB7^N7r*&`bb`8iAysKNZ+wsU9g%m!a=L*R}y@%Fay#91$ zapi-Ud8X5kpLr@+T)8VoZr|z6<&|Ib&fk4HbGJ?Y^z^r%7R1VJIxSpQx$hlk>Gzf$ z)lRo<72nQFDD$@{nxNn5_>Aw+bcvL=3ZX*HI+h-BNfWj-Us-B-B6_;CXnC{4&S;-J zk-NM>PxAKuO;I;I_0DbS&cOV29A@E1=I(ZV-nF5)Sz>2&`8pmW`=g#y0?S?Z+*ODR zcbmigv~>HkJCYURtIo8p`XoI2h(bx7)ntyRPuPC$GI|oDp;><H*4)A}SBITSd-SHv zv|jwWYr^NtGmc2+nQNVFl`8JN6th@2{K&nj6U);p{)#+37g4mhFGes`{MDJc8_Qe+ zcDhxXx6GWsNySZZr_vd{DKoNLo}Id|+xXJDiT6y4ikw4!uJlUk*gAiaN}I>0%ae}? zB-vk7ZTs+xQ*WckIscqa*X+8WNfIe%{Zl&)m)(&F$m>xvXPYdoe6qLk$uWbX<dVC~ zEx(^UTdPz&|G=H)y5C=(s-0Cdf59Df_3tZB)+QCrpLS=tihZZ5*w?=<DjQdv_9_$V zUbjX>eWS)HuQKhqo;qF8nLbGuZ+XQD`TvO0^iC4kDQ%j%RI4+v)?Dj!@1~;d$L?ro zn4h?DH>tQeWv9KrtxdqKS(}2UNMx9^-Ru=CORl-Q-0C~$x!S1W`DgB^8-8DTy7tj- z|LX6a@9pm1UB2`8m$LcS-U;8T<=X8ZAHMQjXjAdjYcZ<E;htwgUlmRLvF_5n&`Z0$ z%EL3?Y3+?&x^;C++0+y3f^LMaDi%I>*3|s$9g*V6@1NcC-8;M7{Q0_osoEk>xomej zm4tJ=<JuUjs2aZF4OeHZqEdMFnX8|Qwr+}9s}-(&ZfR2S%5yQR45yzuz4TPk%0)4& z)Tej73pyC9r8<4pn;^lR8joT`()GFw#apL$E1h-?e7dNjDDXn8NP#%(ZP$fQ7HRC( zIHJd;B!2RoYgh5a8!=0a#ZR7gJykSuQOpu`@y>Tj2V+&XbuW3M)ETRyWPaitZ&Gpd zxjPFC%{5N*t}1F?bZ3FOdB;1pgLf6SNiTWwt4EV{D(7F0rw6Lrr&lk0CtLikbw~Mz zyWF|&Zxrb-*{kfS#Ap4z>8ACnJKXN~K0b@n_|&)Vh)iZV`@QglcYV9ozq%t^7;m`K z`qsX#qVgrROP{?n_<ic#JBv@D_wNQiS*_V=`K~7PY23-5r#v48%&NZnZ1tw<)@Ryk zofb>(tlqbNn#!XepF$_ONJQ;ZDqg>)CRN3-{l?x&pQcW&ZvEb6+3B41F7&SUnRlUg zv@fYVT2Qq9R-B`np!@9Vso%H^It@O&n|f1wo5~@JPhHxbmJ{x*R$70cDEv+(>+Ic5 z=d|ajR9WoSzO`qOr&8a(a0Aajg5SFI*1xD?opeOTFRt;KXoC7#&o2SxlV-cfq`d3e zG5M0pKY`uaxBQeQa~wV$JF#ri_1MI+OVf_Xl$9TyIQh~YQCB~%-Ja!sO_N?6Jn1{B zIB53dSt|b=iY8x}!}3h+>s*&7YPFV{o=JV`=ANfLJ%nr{EMK1Rom3PQzKDHK=QEW@ z4#ksSsTEEB(fmg3sP7cDz%wo>4K_O+X2eLug||+8?f9PW=w11|@9vYbmzLS?+38$T zej<DI9v9Ih^P{)7cI|d}5~Gl+C$Nh<<>c9e<;6ZbEpO@ZY<}%{?yJI6KGB`_58m-_ z<4!rt`sgXs!J<Z=oem%LChVTQ_~hBbvf`RpiDEq-GjX?>+>cMU9xPAv*=aB@Mj}4k zb?)k<cdH6^%Uy}#uf6U({q@6BUlYo0kHqq4UvIwg^+9R-lRFDd%TJt?%_^#w*=fH| zug_APeRlWaGe<u@ee__r#j+Tg)a_oU)>aj*zLR%#QnYH>>V0`!s^PA;WKR{Xev%h@ z)7S9%vI)0O=^3)$J8M{8tg+MbSYDr!xZ7Or!)IFypB>^TPR!V8@J)|LBiwb;>&CNR z1D;j=D6;(!%kRIAedp_iZ>t=3%T0*kcX=l;*ZRt{e-gXxyJBQY_4v%ikI(E@K67;8 z(?>UUYrfK(pf7$xOjRLd+oaRt_D=Gb-m$C9-Z1UQ!P9>QQiZqO;jfx~pxpjRu2a+^ zR*l&XPof$&a~6DezNseQ`RBlsI)&RUTYo3!2=5W{O_27O>!J|M_DC&|^}fRel~o6f z1PnX1*6|!U<?xMd(exEfrySl0HL6H@#3%`DZtUTGqI1z{T6W?ohaQ!v6-E=3I>lDR za93Y<n$jrX*%DY@yke*2u{$y`d3;-=mzJ+Sk;i5Be8S`vN@p!^sH}1*<}>cpIyXnz zXAzh2^Ba>^EIMPkN@bNoao-*niM;14yju=Fk;(7W`gLxjir}$ZmZyXgSIlMB5p0{3 zc*nD)(TvBU)8I_oL^VOTn+C^J4mlX{=ye+O@f`M2;@Ob+LiLb=5s!MO)-fJty+GDE ziCa`xRT%fEc52<=d8`w7bXMX7)n^Ngc{U!ADQ*i?F?63ZJNfL{KsELmp?5aE+T~lE zH+lB$DbLI%?(|LYW1M#5;91{^Wx)sTiun3HoO&bitnb1nQKmb?a<6N>waVP-7qKpQ z>T8pyRxe}K_q<LipZj86@Z{HD%I4l$H~Cg+P|@5;G3uMLr#@Mhu-h;3`pef%D~cTt z>{PfGBao)YVJPl0oxACM(~aE<U-UY5b0@uPs@Sb?O^;&>chj3Dj@=6T-nCkNXPQ%; zcy@2Xvp9ib{gR#HKi;+Ot#*7Lw_~^Xvv;lAs}tYGIqVic@ve14^~2ZicI>u(_O5UH z?&P=c9Clluc-OaK_v6=bS9gXNyt_K9I`vsx=g#ohccS<A-r5~r_5IbmxU+XxZ?E23 zw*JC9(VKg@c8AB8yUyLsbS`$|vvmf=;T=1*cij=KDL;BIc42w=ox7sN<wtMFZY&F5 za91>?-1SE6$I{8K?x<LpXP)&nDxQ4g&JsQI&i86>@2c#bT~a>z8K2F`&%uf-oC2Dg z>P`xWD0;B`tEvEly8ZI}5a54%+uN5fU%veLx6a1K)^=$C*Ix+h@87!p_xszQU%&kM zH<-!9$|V94%08FRW&;L0k6gZdd9ruz?PrrXZm|WVAK|R3sH$5Oz>wa}$Pw^d<yE_V zp!<G#VH+b2$F>b?m+3825K3Wi;kM$ieBfL^qbX&^kH0RgkvB6PWY_HyFmQUn9~{7; zEj&XanUO_mf^6hk26o9AMhjnh37$XSt}##8#>*yIkCnAi;pHl~nWmY2^Nu_T=B(3m z5oKgO@WS)Vd4{H@KZ_zZWEiS+v4&h>oX2;dnVZ2lr-kE|k(AJvV5g>Ah0HC2+;TAt z2PQhRsH=0>G%#`&GzD=f9b9pgu}Mqj<w6HVF0T&PZXQQ*2d<yVzhACQ5M|PLm25Km zqmlEJjam7J1N)%{&0l{xBt-*%2y%ToFj=&suA)if&j*&TAl$D0;`?D?lOT>J-cR2@ zuV4RKPNj9ercc5-e@zEQL(VCGLzn_o6dE-nlzwRT>Pww*VAIQB<PKZVB(mTEi`okY zc9$CmdaUhvl^!lvf3)g^|N0{nVq`Ze{azV5+eCY{r9H2gllUKzV>~Ggk6G+nFE-6* zJLusUIY~=7#r?#D9$Cr7Oikev*RRr2vgft>`1nz~dW-x#1<m;aH3yhw9~@wNcVne< zdfyz2f-4Iwlb7+#+-I0{sNv_MrQTxlcH0%?&1wxd<of1<*3NHMNlQ&hPH^q0sZ0zP zX!yV^|D}Q5`UE52vM(M#GX=Ul8wJ_j7e3d~(0Eba$bNFh#QAI=Q&xOv6xgB2sFcLd z`6*3c@$%)%*{dxYn$@^+6BC^HISbgl>!wu2ZD3xhCudb7Ur~{!pu!}wflb=zf^zc) z(IXl>bvc3!&zFDs{Z~uk?9Bs{g@PFRG=BW~uqM)3k*!cofWi5}ss>5Us3kxDu9GWB zZP?5D=X21!`1){-3k_V1B2`X1tQr`eJX29%*l8)Isu9e_=Ar-M6~nx$y8ZI3^SmB3 z$TQXH-FVn!H*Yy(gaV_mL<5tL!2t#Zfkrlw2Mk;W2N~QPZE8h6w5zW;)PIPdpLL$8 zNX0LW4+RSk1h@9Jb6(@AxKL-4BvP@f?8EP~4Tt(!Me0<3{Izj-Xx@G(pSLw$zExg? zYriFzy_!f}x=3A;NL}oHg@A@8<~oiA4h{GFkDt&wH=Q%(LL0-BfP)=PK^HjJ#`nZ? zgzIS~xG`}_mWD27N>f?j(8wgrs>&67f}v-@<CmOV?tFp`O%=z!{Q2<Xk3ix=NrvRk zh%`2aNiI4@%nv-2uFYUnnARbh#?Ydg6e-ETyujnznHfe59V(xCQq`-yE);q1DDqxV z<b7dxK#rcsbnBLGA(2UndiKs+i#c<I3<Y+wZ1fJ8+_CVD&=I|bK1ytw+bg!W=uKx( z+sI-#{eY?=$1T+a&pTB`oW;`xR0G{+dmr#_DJtSD>oneA<LvW@NBca(WQ*kYk}*Q* zE|qNYi+WYBvrW-{`ozm{XOQ>0kV)FAPiM_2Hh*%Lx2(MR&fNuN=5y}yCYLkcxXVy> z@io`UqSla|PS@56Y|(Cc!^OGVX`kNoJ5P&t$7D@EeP*rVvuh7_>;1^%+#CJq-Lx6I zRqw59vj{&tb9LgGs}G)Lt@vbpxp7jP#`zsZjH^!YUVPeipr~14XF$buw!0DOLg^+u zm1f;hh|8O>mwV|mx60VX+ohL2b$c5t=)Ep*N_I(k@2)#*w{V9(ms+}0>Dams{qomu zZ%vS1?XxKKzNq5Ut{uArio=iGGCfq(yX?*iUGr6^L>bF_cid6%)eE^LTKK%Hq1g4t zPPZhzDKn*6pLH1&_r6&dIC(egv%JEc(O&C#wrVeaEwy#0TUwrgdU^KQyQ_+}Pl%B$ z(F?f~QQH|7vo3U6w#zf8(w)Yq)&<VVUh+)pVXX2lZRb<HPQ{Cl+*zT0-Q(2VRmG_b zb}F5_v(})z`|Y`e-GRAzQ)X#5z3DP2YIfOa^gvH!hV<7bZYN^}!(Gnwx<%#*sF-`) z*!t0I+6)(MJKh%#nq4_3UblN3p1iv8T~@<xsT=FqRKuNbUR5YFy|L4_Fpq!s?c!(O zdUo2z<@ry$9sKm0%TAMJF;eBzkKI|iu`Kh-PL&5SLfLwp#?xD7crQAwda&H{O{`GW z^domw4a+_E#0q6kXSt>7SmxOhD->_;Ja;zZxw9Ldr5O|(ckGnhb%&?gy!qbQf^y?C zcX{&758pc5P-Z+KR?O{Phe<ihOlzhyvKycF?I?2h*{Qt1=CPO3MdMW|{9*TwZrUAD zB!2pB(#qI1o4ZZRHXhKMcD-~_@!Wec>ZaFMo+;%jp1UJPeZ%V~rH&VND%^_^NY(2w z5NDar-E@j|V!7juSb-w(mfNfg%N*y#3M7lO++clJs=s2V_^CVGiSJl-zB}F9n^3NQ z;x2dk_d_@KGL)6yh~=u#d^<t9e8*kctn%YG?;4hsH{6wtlPOtH;`&@Nq{BsKr(4mw zi?gz?lrOw=N785A#XEO}inrf-*Rx)_^qE`h&Oo<y9a`ocQ>0tooO0M5SYe*^PAYS! z<B_}x>$s;rJ!esrF0xZ;Ta09Yp2*~l2UL<ao=hs-8IgVL)ufK3<dr9r5_d*q9(&~N zqLdu#dF0k^(>w3l)>$XLxpbn)^u$i(D|ZA_-*p(2vrM;UIxXw?wC}`jiDh@{cDiMh zbDzD-wcF@ejAVj1*W0!Y#m-;u3IykMs9(>Rr;xL*r?P3r&VZae4(;Sn&m&z$8|TI7 z?CNGM-*`iB+NAE#vW-Xd#3sH@d2V%dr(e#x%QIh_JhQ6Y>6di9^8{<+^QMGi$2mI{ zj>QPX>2>IcyG-SNbduGv-0?uHK#q9JB<@9Tngn($bll<2dDm+E{m_i+gQxdScpBGH zq;IoR{KY%g9o3C*;{uBGr`+W>57?zp);wQPrsDOANk10n$p#7~9$EX?Q;ElN`iflH zl1|G<dV#mB9ti2PIG#K_BVM4cO>c%KW909de|dNh{$`sY9rC?b#a!c^w4YG?mRjYv z(mq0#bABG1bY$tq{57@Ao{uJI-#3`_<MG$tbw_k|Eq|u6@4=@jvyX_E&-0pmg7NI$ zi{C_M9$C6&`I&jQCRwnY-dp%&rFN&i>%3W$W`ve6e`mw0`muG+@;NGLrFU1F`7f;O z@_aPu=G<4+T_@-M`ZQ(Q@(C*cM0N&;%`=)bL-pxO{c^7SWtN?hrN%owp9q)-&pNVn z+ssR8w~CgZNxL=aM{&wB?aoNov|Yx+Q;z6Z8gHGs((_T!#M3Ql%T%VX%wMK4{pD#N zPoegVnVg<U)27Z`6QibST&lY7!0#3Bl$EDzzFWMle@V=;E&Weo)He6`)s#Fn68-t& zoO_zQrRNia=WMb+SDbJ!{oXdU|HvKbAiG1qIZo{j{C;uf>4iQo-R`)@o(%qG{Dk@W zw}Rq+t4_PzcZtuwz1V$x))C>TcaiS|)jqP^pZi`eLC8O1r~0*bA5}lL+_Eh!^B358 ze`ou27rw0T7baOaO{`8jYr9CL??I{mik<CqtCh~$eiHJ}*vUTSh+NXU#OWD_Puo5c z%1zmM{GRBg-A?7<FWz#kjaA&D?NZiyXx)YDSA~kV?uyafw|Z;&)-QSjbGWxWW16{> zJ!(;}+4Z)2w#S5WXY6zc(i6DH+O^vuQ~bmmCfS|r5sP@ux4YkZ%UE7*va>yWQLpLt z_DS4V${Y8@EYJz(exG$SR(IFxt534_#;)DAdTH6#DKTqR!n@yO$?l9w2=6{|_0_JB zQoRXNZ!@1;8dbdVOpKP{^i`*qKH42pJ^j_YptG^7wtH_aTX{iG^yX5o-68Sfu5-JY z&be-Uwn(5j@I$OfwRr12*TV9^GqEE1*V}zKkKVe_KS5wmbaSp^O{dedyxy&?Z&bM| zi&{_UvUn|;pv%3`TcjveF|X6<liu{1tt(WEcJ7Rc5i6Q@;_B0)r8{H7#f+w%5Pep( zb!SY3-t{T14XQ<%J7ePXrq4R<`n2e&?h);Y+-II974KXXqqpyLtGW1Gmv#EnwNF2d zD&Dy$W}W)<(|PO6JDtAhU7xx0f(lpW?A1Pt1k}P$Owv|4Dcbae%dlwYvlzwL;!aPI zNoLolxhSR;M|z4BrRZsPI(404Rh{_yTugaqOwqHVNfAuvo_ZB!hwRjQbLOhbMDLSp zKRzo`oZ0QEB!27ZuA=OcSUvM%UC$?rW}nuX$ep)NxicoGSkkj(;<IZR#n~k@S8H!P zHaq)_=M$wOUb9ZKXEDO1+u3fEK76t(p~!s4PQ4j1ImLmeOE;FsUWk?USjTBC{yJu{ z&mzvfubEDlZhSJUVYl>ym@h@S6|o`3xf5cA%kp}6NqMXjeD><>UajKwhwf<Wedjv8 z_tft2vhQB+;&$HE-dbH+w*J^V(Hnag6^F0bseS5>XyQ9Ay>iy6yPe*xE7+}l<z3gt z-Ar%Rec0t$l6PtL>?P0Crtb7i%oCbEyXC1`;7-p<^ONt?PTp17K6^>o<a2pKH+(<s zaw*ZfINN*4Goh(FT@v*Kr+c?N6$;$xQaSy^JE4=YO544cly#odyLdyDX}3#Uc>C1V z&Zn+6mX}_Ml`RZ+zkPLLS?P>eS@(5)O4nbV+d8Ru?X4JXlk2PAN7e2OtG@2_KI(4l z>Ydr8PohM3`j+QSo^$)kGqZ&|eQUSBJnidP7F=_euQZR{BD~|2=&9XKW#Jz0xK_q4 z+^lU<)_P#wgzHziins2F(bWm>K6SOKc;%ItRR+_$PA@%Fv~oqvsy*IM%k&ij7X|%R z{3-dX^RBw-<PXz4oMw7Pc&jkx2wf2JJh9t9ZnDEPkEXk!i;OuFJ5^4^E;XP0VX8;d z?a-j&9;;53lDiUF;VaJ=K2SL}qd0j^>{5f{5tAhjpY1g)o4P~hu+O9Z6NX1rPHiYk z{%}`))9lzhf$iGLXQl=|aoV|4@loCcV{@0ghC5Wm7T#6b6fIKLz3<)nbAJ!++;93l z{n@`GcjBl2e!P4C-rtvZ@8A7<_U`@Le@E}O-||~|_x=sPfA3N`5-W5|k27;R%VzIG z6TO`#N<Ti=tN8p_Msf0jorb^qCaP}Kcq=yZ?jq&wD^C2qyVKtC`}Jr4F5HQ~|F?Fh zz3%t)r~j7Rk-zu%>fQJ|f4AO^zx8+K-TM=NYZrM=*sZc7R_KZzXZrM(jowT*R1dyB zwxBq9&rZWDcO+8#0#!G5oH1OaB35a6Z1NE$o#P9<btV<{>`peZY@2jMX<PCX)p-t2 zB$7HEujGl`=S_Ml;Znfv6_{1GskrgP-H%V+E!b`S;2qz#-OeZP29}j~+?92CH+62c z=<~RZJHyl8U7b<=^l4n`&hWr@S0`7ymal*CPW1NPprZ91cSOtHby<`jow+;dOswG3 zbrnV77w(D{l(XKB4J-@qxGP$g7c^(~k!NaicX}r0O`1M?%2Tz_ot}YtLU(<ec6;WS zd!F>w+U=QS-g!cGQ*q~wm_^3ZGtQ`fD(c)6vq)>Y#wpdRqRtn3g11$JiaJl}O}wFc zsHikxr`4x*e7jdWzk5}%+v>`?zRjzX-@IbjZS^8%wfS|gvs<-_*B*+|*1qm_YU`oh zVWroz-b6LVYOlZT^4x6iPT#D&;F-5Yo|S17Sv%}hopVPhIImM}I@@IL<daJ$KDl&b zx8aw(p54;P?~YY;Z!{AVRoYy-LAA<a6Q63QT-Tb#r(zq^WRp5A?%ffseSKoL_P(4{ zbwRdSyPZyl6$%wc-0bpQ)UH$BI%VyhJE9fQy`EndJXyEm_9^eAklVdZx3%7#ir6GN z?TAd_x-PSFj#IKlyPdPYyU*rkIm0^XDbvZ_4iDCG?RcGd>hHoQVU9aP3$}Be^ksa$ zs$jR)v>5)vcNcETDiy8Xa%a`f=+v^{3p+I*#3<B?cidqudit!RsCZ4RL{T{F&7+QG zs~6o_wI%v#>DoJb6Ewu#u7?^v=R26|sw#NwcI&~i#3iv3o_akSxs~7W&E4r-Ti$V6 z_R((V(02kiWrcQIeA4UN&7E?NHR%~s;BL!JcVt5H`gW~OddsJ|(_+UREv@aV-i6J* zt7R?DF?;o)bD@pptMBDqojCi^S>J<CqV~orgg1EH)OzoJ>#XCme=mv}O?Dc*$>Xy8 zf5DsO(UX50c3bX?QOFhNxb-)&Z1s<SA5{d|@7g~RDm?Rdv96%|9s39W0;hE_pRzw7 zRQRCxv7Zv(?!p6}M;@lgBtMpzY|)Y;6C>1q=oq6J-`*qgLB~F-d~}~ucttgeqr-cJ zNY8n}pDH}UsRDc~5^T(dTr9f9*Q73sGZYxu@U?o1w45qq7GX#?78jE4PcBqA%)r4~ zAmPlwz;M)og~NeSE{w;Rf#JoZ1v@ss>(Sz2)u`UBSmUaC(mQ&4g$UE{Aj{P<_qy(` zQNJp3ljBXpga?n;GURNDIiC0Vtn{^H-F3#wp4_c-`fSo9bk4mwddL3g`)w>O%g$YW zyq)X$`LM{nv46H#d(E4q#*jKUAiMndkCpp8+`hG6IM&MRxB2dYo2qm2RvqPhw?=cB z*S6cM7*_mGTzA5tQK<NYR9|QLj!n`vf3)Yb%3RadJ;^k4@$B`Ihwna=`E6ZVU1nmT z5pIzym+oR6^OD!TX6m=p!)hki9#0K@{qo&&`QrWMCC<OZi>BUZ%UKei?XhAW%ZpPV z9*Bt_QcwL*%bCV$UHr;FOE56B@QKG+pP6@;9AKFinbUOXSkLdkikVZswLh85-EHgc zT(sfq)1o6uM*ilpLBI5O&Sj3i=~H<8_KOXh^)-~HY`(c={-%DGNAmjw|CR13a`7!p zvp-jS{=Fr$=#PDhZG4e_AMV^K>*SGipX=7}NmYj3ZJNg4m#^7Fc;b0ZTI@b%d~{lq z$cqTsn&%~@0!KJ+BygK-dZO%S*2h?M`hrF<*OM|A%co~O)it*n@7jIDTeIteg1dTE zTm8;f@jvo)6{Quq+dbZdZr$(D=l7rIe<7FlpY#_Wo+d0l)VuoH_mp`HQaKj4rl#&q z$p3s!Hdo}3P#|x|`_gSbXJ@1e+TD#dU;8enBZK`^_O>m@ewT0cy7V(V^o^<R_hWk_ z7WRsTXImdwxmxm=cP{6YGuNYTY@FZqe)8q|OYbcUZd|irmCLQ%*tO}**}xTF#4<0- zE}7f^qIPa{$J*k+Q;m0L<}8zw5f(1kU*~t|_mA-Uy5BAH6hzKu8pglfe6NSce)ofw z(JyUx?SJc8Z^yCY%`Sr==4|gnrEfW9*7Jsh8$@1RU&t=3+p_!kK^1}APj(BOUGwYL z|KCzRVe78VHo=_dXWcN>Tl4ATY-j0$TBjDx`w9O{OBT8*uU<0YNAcch1D2o4ktfeE zEqrk!!`x+l$5N#k5wE@#GoD~N%2Yb9rTw7$3C$+OO-?`4)D>jsP53cKN9VfAlg2Q& zN~6H!6a6hVCjxcmR@`vg@a^KnX?{+|>u*i=-gxWq#>d5blEUPkc*kB}eLs2g(YXiJ z<I01qra$DpT)0N+``1ZF?wWFD`1?0Kh`Fl9z3(K$rDyM=#2U3rTBgl?Rd9;M^tJHa zHz(s&4vOAv$$4no@oCS*%I(&|Wl#Rz5nemT?&q2h8~LBK>E%hylh01Ii*jEYe{qHI zQ^~Lk*`aUkj3$UqyR~S#ThfnzyXE#=5zoKpIY~)ICUIpD8}By#NWJKD?>4^cy*y<W z<JMq%Z|w@+rd=jck?EO^2RBp-OY9K+@@RXL>sCJlErqH(Vl@JDeZM407pg9kJrvGh z^Xt{$uNgvh<>A%kcHy_?mg#K$=gz_C@U~!v?Q;#Mu;9I$->hO-5OOf<<vX9>F)A~; z&gBGM_F?at^KS7>>*T;?Gs-yEz0)gx8?vJ3UB`89(QjWh-m({e*uDQ=e81Ym^75_a z_A^@J<{tf5XTv}7|JstxS*p4wjasvt7=9X;cNTyA@csI|C4R2W>?cDXRY?7MrMo@d zmC>t6ch8oOnyWg~baotzt-Zb@drRqD3$?vnfhFtxS6e-jD0?V>W{<O$>I18AjccZ* zDRULt%|j)<mE(l8Od2zuTcotkS$suo$)t#fTKkXQU!}8Hh<TT&=A)LI&zchbvpZ#@ zxI!{cMJ+s5u_Z6~kt@4ueC7UYX-=$MAraAUrzkIA3H1vsIi41{t*@_|J<T+LSEDN8 z*R9ZdN2($t6+e0X{vw|GB;#!Of@hpxgtzx-7Z-P^+MF-FzcMK_xc9=<**DkaT`W4# zpUSKi{W*4q(zn1m#r)0b<r}vxJM(&J=SHjCrAxW&r|EV+tGxU@WXGz-it!T;MXyjT zJ-;Sq^_0y&RnL4*Ui>y__hsMbg5R_xeajR7|7SmuxX01t(`r?fl=Ne><4;ffpYp?U zr%H=D$E2ewXC1bME7>pFELkMBs!nIN!GfE+Z$EzZI#1zWa;yEc0=vhX7d(EoHq@%t zjq}-q3Z`%GVlCE8@~)ihwBf6^{>gjM&HGC`W(Yi&PwxEp{$nVUgZo0>1)g2ZS#Ku4 zIzRuXbRO@lX~(R;FOg9B7o^Ug_Ez@q_ABpabbMfn4KX{N{?{;nc~{6%zS9T0HqV@Y zcAZhfAI1G_i(SRa4gNp<;oH}6sQyAp!t%sZRp0DS$gW!`8MvdMNp`+!4qJ{}nRLf5 z$yKLb*)j;4DDp|SE>%qkEzGlivbQy5#>Dh{_47C+SMO8x_$t5^n{o91QXknL*(*MG zYprVxy}DTB9ovESh(j@4TD@Er?GyPqcgp#AGTT<)jc(q1%k{qHQ?`;Mw)t}FlXMP$ zT*tw)H>PU6tOV-<tM^IE_Ws#!8=85K>CU758-&UkZ-z5+uaaV$?Rma9GpoHyRLLUl zfAN}Yi<t}OPMay&y<^*jFF(27FdB6$>`1+QWKrUbjNZhsGwsWynmT<}@B4ndW_iY< zXAz}pQG!+0eD~8HdYYZ-;ZS;dwOQ-(2a%SqrSb*`?k{Wq_Witj%~7*IS;o(dd4m}C zFY#JY+bJTm=Fxn!MYDD*Kd-#L^8V~e?|&G3q)mRytQ0+~a>?r>H$FE@cqra>pMShY z#qL=)n{r9_IR;L)=*>(1s@{@kTIiZmu_!EJ$@6UmDrapC`fG3620xDW>Sqc$df5G$ z>G8U!Ka4$mRK=I`#@{~Q`<Elb?C~qf)eZtKKNOo(q(gFMUubMOxs9oQhu&48$<q!u zJ9;s*sTy9|tWbFC)CsY(^V6J5oO>Rr{1#ZqI)%mMTjXE)f9me;wQ2enUuY(&J?h9_ z{*1Y0VZA(GokGL*Ga^jwtC=(QtyVeCY+2c{R64y-ORS~QC^7KLro)Xd?~3L6?&JO} zaYTRTD%oVM*%IG>%{?KhxaQq0nTs!1X_p_g3uM|`y=ZsI+<R}BQc4nKx9f9<vb_$P zEX009_EYQ5^6(Gkl1INubyli}`lUyIJj7m>TGJcjXtQ?TiufR<L%N4wy8cdGAuCYb zV=8mEE9qIVXU+RFsSJTE6(`swHna=cS4T|S@-Wg}_lN0ue%0C1%R5aLtH1f35&q9k zV}->L&3|=kMSY{+tlf2(*L92fma41<lZrOcsMk9W9FqI|ICyg7{l_snySA{qzdLQ> zU#ixyWK;4fKCNk{+*SV=4)2LR5c7Zki3pyu$<e=^Dw@xUuACdU^5~rnf9&Vi-jP_Z zCs<c-Bl%(BPJt;C-h5O{uvqqC_PgATeRdoCY+~K6y|sJkvEy-oRLV)cL-S?NGX7^} zZWa&j+tl-vzs5$F<A+bb<qFQ<RoppYho+`ow|K<Ul3MI_Y02^PkKPC=a`K<J8!dWf z`Y-;Pkh2`$=B)p7pW~*<wFFP0jhpw~;e9eW+=kWnz(z(_<@minxG%q4wB@7Wk56a# z*7NW9n#H{_X=c@f!p|A0p<N;I2Q&iwzi6bcEm>E`_1DOV@q6T~<8|t3t_3kHJ-vs! z*!U7=8>nnL9C>Db<kE!|LW}Nh_#7V65Z={&MEH)HDd#%{#wZKHM;4D0SZ=e`GCucP zrdSesaMO=~s9y_Kc&y`(3E1<Ibyg8yNGOws*<HT<g=Vv-i8}A#Qm~mI8-1AJZ?u3? z=ES@UJqI5i5#X_7@%5N8cSl%O$*oB<Ht41*#3^hy>N#*~R^qV(^65Ji)mOh_WY|>Z zoqnjCi>dKjpyItFuk{Q+%n1r($eSNmDSYqaSBd<jS0{H((3z&DpxG79l5f`XL5<_= zlo-hg9q)stT`)dy!|2Gz1&RTxZero*)mE=a`X{G0wXW95k^l4e_9N#s|JkKHmgSl( z_pZO1&-M(ngXFsMQjbF}A{mQP4&Ktwl@w%L@FiaPKI_w)`RV6$r+2jNjAC|ZPhSx- z$&EXZL+bnYzIKUviz)l6xAh#ja4M`SyE=A3_ZOf0joNy*_q|(Q85g@><qM}p%7zE8 z_j)O7MV89e95*|>PcyMKPg*xdQ8#~fqTHfGM*B3_0=+yMO$$GprZsr5ddgKje|1qf zdQ-a1&7EZzrJX%CC(Lqu8ug&*$k|QDqqvufh`%@V%;COS%KhT1s*m5MTwVz_p{f{N zbrYqPH7y%{zIf_8-7TwAwr&r*k{;)=bRMOJ9<wdwCltg!Xt-2gsjy=2<P#6p-3u$W z-Y<BbvH8QLV`Z+ciHy0+;`GYCK3@1O=an5}%l8?#liIxF=jIDPHC5PMBYj3O_C?wy zuXt1D5~;K^Tz?IJ)n8BunsznlhYM@Q>;EpRuAa%_Zta|BVz;ed^{AIfL0;zN#lHW% z)zanP1iD}LIdJwB!v(|ND`qKlo6I_zSzEEqMe;aDYiU({`~vICdvk7b&s*N15jFWx z!g=SN#yZoMH7h^t-0Nt%xvjzJUXkK;y^FuC*n$=wc)a4EP$PF-t*^x6KM#ynSDCE# zWcQM<VLQ4lLdrpI*G;x1-Wjq|d#f~TS|-|VU-HxCmeCIf(}@Q{^NzYKIxahjjYC54 zuc`CX^S=t&ch_x~ZFr$zpWPW>sdE1m@8gce$6}>-p7a&do;Yjk>-G|x`)NI&cLWCS z)f2VewK3Ap+1+`?+{S~`6W{2ViMmDx)i8eUFi_=vpx=6R)$SnWT(KHnSq{A^9f$bJ zQbQ!~I11eqoiMRTy;nmjv!LjT@R3P-P4DOjU+KD-`=cyc@2x{--pkicy&<z1vn~gx zzo->sslDpu$Z*xxJlaI|p3?C*Zxz24Gk<w>OV5DSGRZ7C^U{`S>v$^Hbv%BlV8uC+ z;R46B{OHKg9+efUvqH?;B4(tfPMsSY=C;}B{0SrD-Dlny8=W|3XY9SdE-hdGU+*)? zRok!Id4;iFJ-x!Dp~0N(cWtG^lq-&w1~p%PEHhDm#k?zW-R$PH^m!XU>@b<KN#(cE zywI-9R2L?n4|TS#jzuS&CV79ocya5Ljk=uel8(7XbE1AcwemDw|N8i*b9a7mIz%X6 z5ckSHn)-dJz%Gr72^R`GqV2ehKXHVa8O-;8bZhbjXXbf=(^O4vC_K~=co^J#WoqW9 zX$dy{H}_bzdscUp=GLyuKbU!o?_X)#vPiKH0spREl#uW+UbWa=qN{wCX!-G~Q#*4` zANG9x_W$49U-}-O8nZLGB5s`H=Kr5vTE8s%Ny3V>UvB4L$>(&>6Z?1l+QMxdQ}m0= zl`~|g8Z{qj@`>i0Se&_aX8P%quKzDOnqGM;{%hyYzZb5}-JVcloSZy=s$Fcj{Pa7e zf%8nhY}lcgdnW4YOO6SbEp%ot{r}u5a_(E^O2+LE6J+01uP|-XX8a|%?sB?R_uB9J zn|8Q<SdcM!=Df9x`o~YktE}1hZ2E0ySrPfa7Te$7d^R;q`oYVtDQt#|EIIAE^j}om zt7GD9y3nN1f3;KQ{G*yh<;q3UOB?1i*Uy?5v*$z2)+3i{_gG0kKkajh|A%N+l4P@2 zYmC3<yj@1e|9?umH_^)A<qiY=<npArf2)2POqw<O^}<r;i8(8-Zk%hfyT{7!<1{`g zuiEuDVm(W*)qlUJ72wUxBEkRydU2^y=}d)7mKiZHfG{5eCqrUsN@jjQQGT*sMN&#~ zXb39<vxUxybd9b0e-~tBF*018!g%Z2(X=pugm71Wjjlx+T!E?_yp8^o6*zeJC|}v5 z<jTYH>&xX&yw|F{FNeB`Us`3PeCX`ec}Et$y&hRD%32q>Ui-AefA2D*jzyY%Hqj@F zRXCUyD`cp&vHauYOlSMa8p)=-Jup^c{lxvJq-rPa-+1cOk6)qYrcv&4RTn->g|XXC z2xYgO-`#P;g0n7mPrKLQK)15BOV)Xq$*3lu*O(e-6TID9RXjg<>eR_yJ_;-5=pJ!B z9-g*G+<$w8-xg0E=l9kbyDt`j!GSsN92<{^EJ-dk*&1Ya?!vqo+^XL4HzFrx^tf$4 z`Q$~~uIYZ$XK1{fbo|wUKDE}vGd^zn@F>@@_<X0?&ZY(ayIEOkZ#@?KwWYhm{=#zE zX8tdk!kpC${Hr<mzhrXXY_xl;5cT5nxr>c`H!UnLEI%t$xWK=<l)3hn)<w2oTY^n5 zEZ6Naxv*UJoWuNW;;9S#cc(A(mljE0;QyPA%_{A7hyI1-yecJ)c5i2iy}0~OaFfIQ zZT;%Mwp6!7ytuq9ve7PAZXwr~%)=9yYHwLPiM+Uct&mA}bKpYGFPYCZ8tvYSdo1vu z{ax_I<ztFn4)eeD$}!d6S}wryYfEm8!~AXBau=4zE-rVNZ^p~_VutNz#@buPCJU0c z`LKP-Jbj>{@224+)-RcdV-_ZV6OVG3U)IC*V#eP~YcDK+>%=I#Ia+N&vRSt}qwMDJ zJ>rbDx71jdXtT&oSZ8$Rx#dOkZ6`a!ruxngZ!O!nH%~X}%yUbJ$2Vksj|Zm3Z5MmP z*0yaG&*#dJ7t*)Cu&nEByJ@jQb4zdTB&Yf~=8`jFLfco&s+)hDq4w4?jU^Y1t=p%q z<G!GubD1fwCQd{ujXm(vDyJ2*j{UIF*wUNZl=SrTybH$GKF>?02A28;noj*`!}>ye zn`Y-!;ajP{Lxnj43>U_hh3huDcyYenbTEX8PeE;A?6=+y2HVZP?lLErop*NHoiqD` zN7~Ol%r9o<8a?vRtGy?<D|I%{gL6eapDNv6Oy@l^_vwzOpLrH!<_HUJS8B58WKc3| z5m+MdD|L2T!Py+$Dd)MJ_P>#mcs1*0l<s_X7va_NUrwj3<vP%Eiv91Va4jaCiLV^@ z=Y)2#s~(a4a@w!cDnsh_l!k)8x~HB$T@b(R$F8jz89V-Md-}QHg2}cn0T*XWMX|Sf ztCxg^+r4MA-5kocAn}_RtH7-V`+1caq|#nb=v?nu|J!v^+f6;2Ap1-A{R}S|NUNH^ z&YzvayV*N_O|H&GgWJufXXd>$j_^P8^ig#wPx$T}CF=_Tc5})yr>a~uwC3QqHJt9A zShJ>2Q%UNQp0zu-3a9hWePxkf&zK1@WgK@&H~6BH{kZSsr<GM{%g<e3+xm&~<unln z4PK8lj!BF!PV}EY8)n@*W6MmPYloR{U#y(E#QSiLxYvq9*CJHdl$={wP998L8=`6W zMRw0d#-PuK-T9^D4BlKYuzt#@y83e5hUx!GGG^!=;V_)SzcjYYOGxdf)6b%e>AET1 z5~sd5mEF{Iouc=t^;6;7rpL!lwsD(goH;XLq9F6<qZNgjiIIUfrP7WsaCNthIux_) zUqZ$VS(lC*nZ=B~8z;5A_1|BxF!hb1<B1rfoBx8g^wfS~lIq`n=Enr(SEnP+w0vCn zV#eKz6*WhfByL;DA=UqSQr}H2_XRcc%5G}8U8s;rJ(l4cIH&9I#+teFZrZK;&~m|r zXSPYEQr9Ahwj_zRV3R_nZyWp#T_<1oUs3dAu8pNo?TdvIS}$;Eq$sld?tgd0|Kci# z7hv%WR*?+?-`*W*-X^_P{aMw=+`pHe-|046n8fluEyq0S^;O~Gm-(+HEahHYTu}T@ zR4VAws{7yOykNK>`_iXK=lmSgzaP!LQuB7tG>$kYv)|y-b6Jmb<u|9@KG1Ub%p898 zvpzqppKMwoby}-`CC9t7HBt4JayKrPsQdSomBe0u`@w#m%-mi6ZL*Ra-{#HWKK5<i z4fhm>HcM^k|B-&FFMfw#bN6%lwOP8d?54@Q)bF9S=T7CR=m~277oB@o{<x)S$L)ec zCoY?mKB<X$b<7}0=KsQla|C8}cc+QeCC@m&>GZvl5YN@m&KUh!Wi-7$sPFWm*VBt- zG|Ku?{r+74@zgdwH9sdOY27*xv+OzhoHRPF9gth-74?-ZE&oaco6&B~-Orx!KRI4} znvb#Z`E1FvuG2P2FM3}W^J4Oy6Y}51?mqc5O*Uv|!L4jI=hG5zT6dk3@}KpXf4^bR zx%jfg=C?}h_j+EcvU2=Aw8VR<_KXe8t8aJ6ebKAglbv<s*NzhhKgqa1+51`Kg!6>u zHZKyhN@Bk|xm_>Si*O0gR)2m%r%c5m!gRH~mi$UNCEpW=4__$1k!C*Y^`DytRz-Wx zZMLZoiVD~$qxMtF|8uK^e(aOiZl^oG&o!K$nJ;7RXBM-<adG;eo5serTdsGTS?6z) zG>O(UJ{cKVY<cp_bZMik1fR5PZqYe+IvbVK%(N2^^w%$ay=1SQoO9Z@9T|q<=Xt~~ zSME%EQ_)y?aOrW=OF2t>`lbb?o$}fArE%)IPgho+EQ!;bAs!JC6R~pYCJBp>1HDVw zr*69#W_?<IR^_!Aqu}RHCp9)rd~B+nYaF3zoV?|-+2Wl!Cbw38E;?DWPyLzI>07?} zvQiy3zS{a}2I5v_K9kc{uRY;$abt7QW~Zd*7Ov?kdfVTI2P$rJeR*a=YJay_@yDB4 z%QJVE#Cx($?qRPoiR;rVjbC|n>ASY)F0;LMZ3(ndiJA9U-0iu)h|1yL3qKdV$olYL z-HO{UyGmsH%pdQpkQ0?#?e@KQ&+CY^lKI`b`}NJv_-nEAZ;gLubUIe7E%@qRSNHd4 zVr5+4O}EGkKXE(%OElm9&8I)<AGvP7TfV(I^nZK89kp|NJ^wt8Fb}PK$NI?3=yc#( z-)}!;XWc#jbbfa5;`z}(r`J#Hy?x^K>0|QGysm5y{W+&OR>HmaHtW4BUXo|hq$?$x z_r{s@RV6OYar2vId?Q2KKW^KOwPlk(Zq|w2AS-)=Gv!ju9=6*X8CMqGpLuknu6j|V z`9C*(!RfbUF80^|341ZOGU)Zbny`AIg>%ki*Az_uTXZgeW4qAI-k<sLk^gqg(OGWu zZ%6sGV!gb}%EvtxZ!(tNUG!nrl5f%**U!4LEi*5*_r}-bY=@Yi?=#GPQYW|H{-0aC zUsthbLPqfSsgL<T&st>pSGzua-?IHrvu9U_+AVke%r{d*v@7!a<4O0#{;gi){O`?~ zZEI%neYE(yc2@EAua7Nw*dA}1vrR8f`SCv;^@We7ueZtk=e$y8zrmczjeiukJuQ-| zw>Tc4CA>4|%(H2KZu~9kJO2JcfY$yCn_de1cd9A<|7O$w<C@dcO}FneJmY!uguA#- z+AQV%UcDK-WxFi0jxB3^+;;Z(Qls?LpRJawj%_Q{n)UO->FfHN-Lg;J{ondyme|qv z|3CHLSDbf+Yw0`f<z{`4luXq>D^A;e|HWrH&*C|UYI<)jnz{JU+#<#A$DCf?dG<v9 z^OVz?@%4Y&+lq|Ve|)%PXYS3#Uu!!ndTlm4=kt7?RpJ+J=W;mE$xKjcr)qpz{8r!F zQ$p=^il=04PK%kQzVqdpe=}mU(@yNqc;;$cedO-+xmVxsbJ5e@TzD$`*_OYC?rY8Z zeCEs!E-#H#b=LpyqNx{K`KKtd?sj>{caK>&r-^z+N;>QNcioRS`K)5z8z1*M^t<pz z-HYXx6Hl+(8CDwEC$wE_Sz6!ynaB0#Up4vX>TdF9yOZYaPx@E3Wlp?v`0{}@d8eAE zn9i!a=Tq0WaW;3Fwfkh|vu<^l;`W4Tr<>h4ZKj>N^c+vwzO)VbZnHm1DM;(EW%q8o zx#O{ww`BcAi?hd0USgYkFzJrl?84h?MV-^xl=BU*^Bl`=@SF8EO*+@K?otJB<e{Wx zJjb%Lwth0{pR#9}+2Vsa21Rbg3!C03>TeZFo89}|^52z)sHc(dCTyN~{YuWp+dm#{ zym6vDXM=p-shdtQCvKi7zLK!V?Ae3VHKxZB7N0-iT{Pw6Cz(oJvqR@DnVqVL)Gp82 z<G%c%rF-V>PtglQQllmODkllV|F_w^<HzBevj06_EcM!xCuVuvJfU=?IA5qfec!)1 zI-9j`FLSebs(1SO{!MQAJfHP9yK7uK7dbK5?r^-T)=uAxXXO6=5dZk~yrrVqywtL% zMYA`3oF6Rn-_^K2eX5H2)6KJwx%`ja|E6wgZsn=x*Pj}le%zmYIc(}p(RB8k>6*>G zhT*(!MORMcH7<O*c+1n=S+lR+-&S81DffTTPuGt(Y~xn!@xA-w{5s#W&yGFbzsqvp zzsSFzr4PrXdEPwH9@nR}DO&H&^tr(?fB1Il_;+3Yx%uO(WqW*Y-u$a={bZlk^jNdG zcf+3=MW;Mop=jMEGG)83hS_WLuKj<K^*(RoU3HazP4nk%7edufOPp5UmY&CbUF&6n z$D&0$Up=0|vb;P<bc&j!`?ROlE2WMv3JsX$;?ry6@UE@%$O4m2^@|(&wI^PEI#-%2 zT4U`qr(AQXm$@%=MEh(O<oDY9DwSR8x4FChSm~3G`%FK*nQ`jy<NeF_cdXYx=dv*F zoBEV8h65|}EVgj2%4+gk=#(6>^7i)R1q&HX?s(0=&LAaGu-NfyvkRBXs`;0mdp4#p zu98>riVEdOo7%Op^Nhezo^6+&yDkh(Yd92kOUw8S$Hu8C+q7SFDn!5hJdY!&VeOXC zl%S?-*N?`Ro$b{SIbAl9@kNLKSIIq(<!`h&%HL?QJXdk}WvxuE&XSlerL!7)nzsHh z{h4_rrFHGZW3y_r=bT-=vwv|;+}CRo&AvaDt6y!bND|4co4TBz>(+&tT3;j&d@E=; zy2@hD+cm~9BHym9V4l6<t?B1F?etnP&%K{^MJ@jRFYL%}JHrENf1H=>-q$AEptymP znbVPpZD+y+>AvI%{U);?y3aantRDL`a@VP~eC|`T_ikUsqtfv9?g6idi#9AT2t4)s zo>ce-&fhBnRM(y^JyqjAb<3RMIOUx`9zWe+D;K1AV}F;@QH}2C?x4prw#@91QBy7t z(2$5${Sv!=jjiEy@32Lt$`5x*{k*Y(one`iTd`IOOV#JQ-g#fRcArXAzc_26WZ&s^ zMga`l7;?UNtv+S3U}w;!RiF4Ttvkp%!Gd*?Muv0xqCbz{<-19rbnU$nxM6a}_Jy0L z?ppLZ|GvSKJHO{wO7DD8r?OO6XS0g>X^FG${e5Yw(H{$%+RuEc^!t$Ebj<nc;-*GU zi7KD@$60v1zZ{tG$n$ML5_|v4&r@zpWSA%WXw~};t4S9&zOr6+-rI?tDXiAL-Fro` z%H}r;8Y%%+%g#G9l`_a)j+^M|`13;BtVR8mbCsjpWSqOMNQIZ0JPnlMIohnSu~GS@ zqr1`bJ-@}*zn$Ivu2p&G(dq7=PMv$`{^8P>O7|C{QnLSDU!9S=T5!i==VqDZyZlbh zeQMFs8)AN_&F|&so(o!LJPU$vX_@7%pUv&M<AYIcoS@#EB=z%BE>{GsGk@7AWgUqz zlybgMFw-udLrTT-9EYLvEIWUWy-tf~7oN@0eILDU=2}zb4#|7leddcF;ZfL=Kl59c z	jBdBwVYPH*=rDKGAhY?aMm{mkrhqtR#ncV-{PLwqcYe+Aas)!5ar{P-DUzf!{X z$PdHXIDW&5ADxQJcJ=?*bl7{<?-_Ra?c%aQcaLugPYH@U^mV&_(y7(I&WfztpL^3O zCg|R2$KwToUuVDkTy#NO&tk=|vmkXbdsZ!79`U7%*W-Y~rXItMicc-YclR1U+<a=C zL%`<TbrVu~T8lPrJ=NCu*xAP0?nJRl`r@^2&2MFlXLL@pO771#2`!qDwu?Va-0|ea zvn`3eYQ;Z~d<nm#pLl$?<VT%a)xDV|_jvcrei?UUGbp2#oNaa5`ewSgUeJ?%@7R0G z^aQn!uV1xn4}1TAn<<}WDVhGglbTtu^7rG4^BPr0Qxo@{n{y&H{Cn$D`Qz(PJ$=7v z?d-=6N7fp>oErJp^Pa>r<KMeB_^a+cl-u*~q~-Hmsrv82AE%twS!I%3_3?`rAD^U^ zyxjN1Ez9h>u2}TiN3%Q$S^T?9@Umz1RJ+Ih&l&@dB$t|~dQM)rO+07$vqOtp4oAJ5 z#`s~OILGwdJ)55dKHSSw`Kg6fXZP<%_UChiN>Z1<kzDPyox_2rfp?+Br*)G>8yCHH zndmtqq<Q<@4EwYU$-q|O$k(e6b*_26%kSF7k~=2Bvp%NvJn`T1Z;CDdMpvU>o!9n% z??@@RQhW0E9Gm8C(r=IR81jkhOy0bN?d0ygsuS+qIbdhA`S!=A2`_f7``q%O=);6v z>s}YdO=M?y-;rJxd!6@VhSj_>`CFUzOFfUfdGz0oE3P*^oic*BHy6)7yfWv`DZZv7 z-VgK6-j;Izye%)=Ytn*}h$(*hR-Jn$UJ94C+ROWRvdSB`)zX@^rul~>D!;rqbL_M0 z=DQiD$|~JGrxv@vsJR=p1=M5J@i-u9<|Clt%>GKt(EX493*~!3W}&&In|dD1&^0O8 zmY9;T=6lIh2|0f5a+kSRyhGP{Oygd@lq=e8>I|iAQodr+$qbujZkptwQ&zoj1COAP z3VZw3pruDHOiounKSeA+_1Uu3M{Yb|i4$lraA8->*?5%S1-$R(f)l%urKN(emc@=n z-Xl^xoQ=#mCIaaS%!b_(9R?MRJ1%h)IdVsQVCgPkbzb4n94fGkf%PG$kH9^HW*<(E z1g($C0*w+=ikvtc1cWS`F4i7kwuo__b0Fm+ql_M3PQ!_b(k>3nk`o-6eGCd13+*-* zw6Y$O^H|`@y5_>NxlM;%RXBw*793!)I=@&l$XU!~LW9q_30t|$toKMt-VOisQE7p% z^-<?Tg*)QB?mkb-f6tct%Ap@L(6w_ROQc(<nBC-tmJRExF0EqTYF5c&ILCRmpow=! z)1wJLv^GV%8q|BTTxhOK|IFjKxg<0`@WSdhe`3{E`7J6jTcgq<(ZtZAYtz~F;LWM$ z{51?!*YCU7dTji~#~*aJdv7|sR=@M*h4Ru%t#-as)GZPC_F-!ChIW0K18O$+4z%0x z6uC<uNQ-Qi>)N}7G3U@jo&y1_ZI0`B5`@JzA2|4Nox}mPH%*M)M?X2{{b1Vhv}tOV z={5$lhvM8J`)ZhGf8g$(68=*`TsNFyc7Ye`q8HJc4Zb^Cn+(1<zN=um(c&#!@&2UH z0kxP(4yLc|PN=#w%>LlbvVi@sHp>Ri%?=Hho9}#Np7({p`&r~Vz7<h20XtXUyTy=m zNSk*_NUS=u!P%B%36HOzo?mUgGmrbgGS1I2id981oz`LvzB`sP*}ieq>lfX?8GPWo zg1H%EMd~3#&MzCkE0~Kh-Y|I>*W|!|Qt*vq-g)MP%Pr+|9yI947@WAea?$L9Q;b_D zv$E~f(n`26d&8QOTs%dEzqHI+wU*8@b-3zOdm@mPZRfo9w?7Xs+%QRu^z8EMOPJ7o ztl-8yK5l`B8b>Cmo?5^Wy1L+-%ZyLj1}9w8xf&wXbc&On9#jzTHD#P_aH8e*-E*5+ zat`UJ25E6MW!|35aHA#Cb4TpcGI6E^;b)FcUoAvJF0ES8C4I}XA#LK`fE82zE-G<3 z*)fUnUtqte;G(n}CpW&{5bfF75%ENm*Kqy%8_F*4Qw8=;R#kYSy86B5Uj_Y0TYtu& zy-%B8cr&%szB#zN;>A3jw;z-?b-!qM9BsMb(59BdT1SI-IX*4lHi4^ri^8{gIxTbA zx$U+Vx2its6W!w{T992I$hf`DD!3syNZ{-oT@|kQ&Mx+J_Qs~}Q!AyuvD|c?_u%LZ z;a#hibFK`KklvJ>(6l1T>4mRqg&}8cTg$vI(VJ_PAAGsYp)++2hqgaUsD-rCs@YBg zp&J!iWI62aELvW-Ao5YE??r>SaJ4wG!*aC(^Hgp!%e_%>nY?D@#1*dbU$3obR>{t4 zITtT?Tqa{$<T=$y_kW$2cGlJw6fjF)X<&Oaz22tz!sLwikA2zZu}<2i<8VogxlnD< z>Nm{Hg3A}J{=?+INV_29V(7M=4j~sq|79MOTCSy@t#d)=?&OlMp5ZzQ4Xyq!KPxmG zWv<y!@Tj>pEi?3{>L1OmS$tkSAC`Zq49yUgn#;W6hi0zm%qgNP6nN4f&SZM;EAmCM z$L05$`RWZ<GmJ!7PVP%F^$jTAn<AQ;SlPLj#m&QjNw7qS_h6x4_?6R}1zJ>Qy;{8L zw7r5tqP6@72lhvcuj<%YSuTi7OFVw_K>EXZpH#Y-`;6zDJM?KKqyHkUf;mt7A}W_2 z{#JE@AxNi8{=C74V+uQu-QClWR+(rzebs)u@Qi7Gi{xa&jk#CYbZ$COXsbOTs#NH0 z+NmvzCTno?sk54N*9dR2>HKBawz_V1!<k2Ud(<zASp1s8V$%KRQQodolQnL*e{OsG zQh@O%o5*$P$+^rdE~;z0g!UTpd|^17bnS%njI%0%8dJ|cTEfNtpfBNyZ+l)yhS<&i zlXt&dP3dB5*(%3mcwqKE?~C<f2Iu!NyBf~k%r8=$CHC9GPH^3!bqw~Ob=7y>2(HaJ zwBDnzoAazub8Xnfqz(Ud7Dk3F2@oq2)%+Hy^z`YEX$+qqKTwIgR&!HydDr(IhO<qF zS6T@<y?C=J<Kmx2z6Fu{r2jA4X>*8!xn$#FkK*r|kNzn78()2Xr(5ah3z_AXbL{%8 zbNU%vGQ@6M-fI(3;*goa&LP+?b9b)1)3onatQ?W|R@Zz`usmeV@<G9}EmnPde^rC8 z@Uw@Go0nKwy?)Yht!F}Fm&&)fvL>hb$|pV+lr6fTW04^y7_&CYJVQ)0By?>d!>UzQ ztP56Y&E{S8T`OCLc|q0t$h8wWb2jZ-waPKIG}N@uQ*Vi~@{O2{MelS%);6Tw@cDb< zozE8~z5tymDq9w+`SCZmOj=VjZB9n-@f9v7?j2M1Jt5-M=9Z9Vz`@Wg$-r>9(1rK& zhAHME4b0YIRvLPG8cGH>W^x=Yj71(I94t)<1`-?&3Va@(Qk{%nR<NtTd%=+(@!qC- zzN86To`mBM+bdVikG7xp(tF(Ewrnl;joZwJ3a{IW-({Wm+{k0+lYXJ~hn&N&F(yi1 zw&}C@{af$0F3a{ww@X~^pPAct%UEa2?lhjd9ox2u|C)a3m4-v(E-RaPM}EYHxAWG$ z{eI(^N!ay$)%$Oku|EuZv@O=v%0wjEFgMEU-`ho1PcAH5C)2<2OY%}JwurY@8S0*U ze=EeuT&<Jcx8QZh(?8M&>o&ih-*M`|e2$=%zpfuy$u4fn(C}~Byx+0vH)GzbH_d$i zu+G5t?Dr`rQcSL2bW@j({g+qXnK3t^;_|gc<(mW9I}_?%R<3)pEXrK;-2+aplS^hx zF0Q;=SkZA$nLF%#zo+%w3l6?7pMC4TV03EPw@!}<^CD+&?V2RfT_3`}=#KIMwS+}Z zFC;fKRC1a;d#QcRsolgPz|1>WQ~E&K3aM5FzOeE?4wD*GW?eFwxh{hvaf)2zbYIC2 zS;i&*J8~?xd@G&P$+@yQIB4gg1!YGi_J3Uv&^&+MEU^Wf&Ndo|>xwQs@kp%aUUg>4 z(wPtb%=U5Jo}i@j^SYfU<0Y-Dp{@)Dt>>IM{?F}>{?ELq_XE>DiLeu?zm>kPmSEoW z|Fr5P%kq66ubNdTWq3c(ZrVJj;Jow9UoGjOqRfV=exKEZZX_Rc`NsC7d>fmSK)k*1 z<o3|6g7;FXoD1%~@L#UevA627LuK&S$Z2ci7BXi?g&%x!OF~a|=l6;_#=Cm!3nh<q ztk+p)Ep^vxy3(ul2cB0v536L%lstCnazLHVl?yfjqT5@iA4+OoZ+ZKd=!Cs5bmV-M z4;Gl09`#;yg6myt=%unK%Xc2Wa_eNA^Vpnz%dT8IOD3|<W8YFcX6BkGx5*2ZC*9t3 zElBKT@7hZNuKu@gRLn9{EHr=p>U2y-;a(}t!%rWIZ^`7jvOl5H>Cmp&Jv&;&w|?yO z<J!~raYqls1}ml)*=3BMq!Vu&l-v$(iEQFtuf<~T!1c?A?ck|JUxZhzOU}Q)xrf)% zx^UfVNtYQn^>sAn-?zz|<=^tKO13C!Y2AX5jWuys{Z>{6JMa3U8613edh1RNr6+2$ zS4`o2w&G`Ls7-;y3jQ0}TArVim<@FM|L<_r`f!@3)SWv?AtYJ0vUJ`iGxmLv4<7ED z(c=EVAuly&_xsI91JW;FHeoTe^f0;o@5R*#k}01xbEZBuK6>%;?mz0vzfzNSgdA@Y zc%<a9;-=E80`bhpr}~~xoM73xDyQl-!*<y%I-5`KZ2WaL@r3xYPu&L6N49uN6t|`Q z_0xHq`PJ9|1IG((2ld@g1#*~~TMtdB-pY}XF;DLP;Sa4fd~L4+f_&`GHw2ho+LYBd zIU@2@uMtbkvmK%m=9}3Q=EYv#^`iLZ-U2VD^;>S1e=cYg2#jyx?!O@M@70%e|GpUb z@bz{+@T$6Fa+~qe2?s50za1AFQ{-;d7A}~2q}wf(ThG4kEoWm|h`w^$lNm)%4Q8CH z%8B5$*}Fw%{r1%f7EO9D)_JA7%)j_zjb`YHMb#U9R$NxqHgEfEzI(d|SNhX+Zh9SN z%nO>2$$8o=K6LN!_Z{;uO`N5%aqZz}vwfy0cpplwzyE$tze%8+%{FN(UHQa}^NWqY zhrDjCVy`$fm4D6&<rpi@H3G&{*G+QgaO5koz3$vOmoxt3i>F7d-9Isi@N3*C{VO+- zb%UVChAN%Tkg6zYyN2tVXUwyDKiA0dK}fdRDT{-F3Wtqf>dv}UIptIHWxXdS_*c$0 zw7GrE>GAi~|2*&C{9gM=%k6L0TCL|S-||;`^Lm7Sc9_dM_j=pu#Q!emvpVC2Q=%<r zzu^6MQ`b`enZ}3ThUOC<@oH`hI9hkaJT>2ZzaZDFK4bQpE!VyYEj_U2LuhFn-<J5b zzjaxsK1u%-|DS0))8a*G=ANpy_S$Aw`B&d4e7<MRj_}(3uMdl>e_;QoQE@h6o#UD> zJMENG>i#G6ec71R`F+|0^Lw)%e7IfW_4bWW@{S+MMe4C##wS_DEpi;@7h0uX;x1C# zUD9~GjZM!;Wa-RF)2ddkK0bS$+^wE?R_4@YOQnAsY}48pB=+!{@ssSAKIbRid*k>i z$?u6@_sn+LqdVSToGo*!>H78k{OTU&Vw)$=v8i#{cFj?d=Utfev~TXyy0gCdF6)g5 z-gCq?e&=SjO2&zPR{OoqD;Z>Y-Kh{g%#e7hJuSJ@%xm&_9m}FK9M<Zo>yKKAU6}oa zC0Q~koX663hn%~1RR6M@C*6&HR!+J0;<|TF-~WSCoz-32ZvI~tD!s&Ho?XR(+<hS` zLQf@D9^~Da?QF^|Q^a?vXzJWwF^gy3S>?O>{A#{-!3oQi>Js>Kym{l-O-SC__hjZK z9kGs*E%m#^BU<M8TxUK!gRkY=s^?+S9xSFzu}e<~E^70;#4}?h_pQ}0+@-u<EdAOj zD)dE2uf|7dqWTWLbru{(p2wZiuYXxP>71MZtBdT@m0>*(O5F<DMM^gZ%t|~EA-!Y& z{EdyX159>tx_wT3xg>6SZZwBR#RaQRwdOB(NW2hRreJl^qF^#hs@BqoNw(?dIx6H` zw;olWzV71snJ4WJNLQ>lxwgI|#4m$KVal@=+NZxunS?K>NcR08b|8V3J<M{oiPfTs zucokGof{aeVZqx~alFLQYBDcdGsnwgZx|$E%nJF}RwnpQYcO1N(eJ$Nth<5HKi~R& z`BiECOL*(ly<3b{H~6i4=(?z_AnJ5P|BNd;WyK`hTy#!t6zy`1nY68Sn^Q&(Ru zboHK)QV^&4HRSFktt$+XEJu9UlS;KG-DL=<*V(U>z2^W|!_od`?T9HyJOh@ft|@iD zRPdmy;;s85^D<_(8xwC{IC*ojhDqX&lvnm!7rzP0n7UupIXOZ?f5OXGtLF)CW}CFQ zSbAc*?0Ji=HdFg0-Uc^TS}zGb=*uAcM}ue1(&Hy=)F&Lb@wb_C-sa6S9j{)URg2E; z^^9b3JF{u`KmJCC$FHo9$-Y0Lt}ngmg~7C##ZRY4w4CXFXW_f;qP4>|nZOnCp57J0 z_Ahu+R%`iZ&b+XF_Jo84yNk;XZ3?+rW>It{O7rsWwuN&Xu9k_1KNVsS42r#*8gpyg zhN@V@CWfE|3v43V88g>3)kr&6t(^C=Lqa?Dw`H}9WnZPLz;y1?r!FnW=2ho>c{{Bq z=47mEe?U~S{?mXthFXhlm+w>jpwf{mzv1Jo^;#Mn{P)&ftz9g^9GsBgdg_1Px1O7I zjZgO~XusVlo4Eg*p2efURd2&*8d>=y==J-rSa)~v!NS?%b(VL&9MKk2`6WDyjm<m% zj!!GkLkr#W7F-Rxm+U^KaqEZjCyT5%KLy%FOHNfP)Yh+^^}c~qb5=ortL1EMp$xf4 zs;vDF!)G2!X#X5^dX@WYw+&~K3q?0fdh~@U<U({+)WIj(9T%sz@PF-;f0gmmda>NC zWj|7-m+J65TTvvxU|Xr8QKy6y!)wN*mi^NC5>=;PIp0(gC{Ga)Nhn&}!+Bu28Y^=^ zL{|BcY3;I&2NVvrbtJ9v7n-(_jpgc=GwotmN&_aX4B?CPnslr6>7Cq(1v9QN`dn`4 zGy1J@>(R{UY}ZY}jfEcXf5h-TKC!ua#=U6|rYn~H+Ot#nYs;0S*;|g6R-e?)a52$3 z_gmyS%gusgl_&1_?P}?-Jh91capKL1D{OaG#;WA}(hT^hB*LV~QWFr?Y?iW4`djX? zd08K-Pt4}!Ip}wFN>$4PL2rit3T?r1_dI>0IRCUKX;0(O(0^O$V3VF|DlDZHl-Mg< z%xRze)X<-UyMaBjbXmE0g+t1M9aq+IJXE~;$AZm8s<rCVl9%r;d=l@Hy)yN>ZHS=0 z=C{C*r6<&~1#T7IGx#L8;Lg<3qH6PO9c*>Jf7u(pPj_o@i%`)sjshW-1C@FQT)je8 z*7#jmb9LK0akiSot}8RbUuAta`fw^{qiy7d&eaq8J@2YK7Z7l8;Sm;Gk#;=daKol& zX|Cp9*1ccam3Z|-<7BgY$7+I@#qTI83Ac(kZ#tOi`St3ds`{y+{T~`HPUSfMH8|y= zWo1h|H`}&P(;Y<`?(aNZ*3~q5=WPZ%y(zxa=Z9*CDV4kq^IyPJuHKwqAXT=Te@n~* z(Upwrqb|g-*a}$`2t2#S``GNQ+TN#|UtM?qD4J+rzJV{os(5kp9g|s26Q-0midb!4 zvamMn)3iqaTu;6OKZ{~@f|Dj|ocnGPdT#d4zVf)6->xi{I=#L$;O5Sdb&dDuZ<u~& z`X@%amOn!GuU`23{Pf97{&HR>_n)UO<T+Cu7gt&?FhAy{{FEu3CW~(raz2<7a`LFh zt;oW~Ezd)F9ZDRZN`_4R@A7_!whmwAB?rb;KQ||d_&jA3&C69<|E&H?$)`|GV}%(X z0(ql&5-;oI+i@Rb`WUCbHp$X(siJVj%;Q0hVtxVndf&VkWpMXj6|iy7*mkhc@%Jj> zm%?UJOa4e0OrI3Iy#M(B%YuiWicWd_^|03a{DZpE;dcx+>|=b@F)!Fh=-|FN$+G*6 z?)h;1JTU#81kdU9={D=;pX+~R6e@XAefLbyshW@b4HHdxC+|Mk^G9%ZkfD~m{Xv$l zysDKSUhk;-`1!<({Zn^kPb&*v=kjfn=AC61c}#r{CNC}aF4`?q5b|kWfS04)wZP}c z@37AGPq?L16>aL#^z(|v-Oj4om8MfZOkK5jb#qX)`hjC7jtZ8Vzffl1bt<dZF7BS3 z*|mE-r@oi!JXdb5(%P`KV%8F&(C|M$PCmOS`!Ff3vxvdE<u*qEi<dywB%ucHDgRbz z8wc>My}s8wMB|5iq5rJx`Pp+yj?bJGs33G$$VzC^p{}Cp-K^X5!}}#4<Sx7^U+XRV z{>Cxu;Fga&_E<C|eW|`~HsQ#I-Om)>EPwq>N8<ALrERWWMkn<S6e|6FAi)<|?YDmV zfj@Od3vYap<a;JBW}9NH^Kf^?>UcG`se<n>F!SARV!o-gulbev;$?OcbN`71T<7Gu zCE_bFH?}$c#oiNs$-hFhI4i=$pC5@6)%*S4?QVjCVT{0yH?aqpygDZ5K2f~TYu;+0 zYWk49=X~CT<!ZJoggAXKC~nr|U&SKi(5SmywCzafMt<pEtFNvpt*o}0@_*U?&v&a| zJqa<bS|wxoX8DcX{q4)|2QB<sov5PU$ojlzqWH7-EsjOqZ&^zOyqASaXlsTleOkz{ zmqAxpa_Y+Cp~_yYwtl)FitU^GkM~FTs9sp1)v#!Bs(ml_)MERV59Ps{9cOox33?dp z@40os^D9eZU*y&)QY?wLrdDp<+BiQ*c3t7eb>~bA1UCuxy6xNkaf@F0T@S7!r7>H# z@J#9mH;D@OoU;6lEJtBN<Gyd2SC<w2y!g7Nu*Rw<expC{Q@<^D=LC3e$W?GSb1(k7 z$|a?M_TDC?J?rKQZqrXYa`S@TGV4ickN*9R-Fj0q|CM3<3X@q292AarscXM;QYrmB z;fnrZ&0PykCgsW2U0=!8_;ZO__j(rZx~!yvy)Q2=Y<>2xrc`Y2*$Y+YihXPkb$z<? zSl|+KYPNRc#JaRanI1}9?-R6UM7~)q&iT^opI~0NorJyIpV{Saz8Mt7&j0mGZ13eR z`M{8>>C=3~RSnm<7FI_~L<jD4?CQQ89CY0I$V(sd{u<L8x+Pl}dN-ZF`hP<D>%ArR zD|mkV(adX^=6dVWuSFkk@8aycd!$-K)k)(>@V5Nldi+Yu%+eJ_4Kg163+ewFroq5b zyHHWHLFDvirOL}39ont6J5G1FJ&3>d)+}(cSC*pb>e(N8-wTOeKG@#nbL)z#Xwt5G zZrfGV+mFXJ#?+i~@pxL#s(H6$r^Dga4VnKA3C6rXICY(r`9n|rHB%-|Y2WeP;#J4> zX|jtR8{~f9<QRA@B=V@<Q<bA@wBjTT+4yID{r0bIS4;fToOJ6ScTdZ`KYaXy`}0>1 zn>aSB9`#OVQLEt4+j6Vm$x<H~=VLAnC!#C$J_>bjoGKSmFt_nWn~Q^u28Z6MQWcq< zO3f1sxa|x0gjdhB$U62k?vWkigw1cbuHE_nwlb+#z{;rg`ePGOiO5qEHu%X#B!zcu z;_6tW;u11vjgR8vEf+eCL!K|2f8g>IzfC7?URUh+Y_jva6~E2PZ#k#>c)$6iJ1(zy z&bM7RX-;rWzFzdbjOY5%|IJUlvrpQo#`U1(4adB^L(?Dbe3$w&cdg{NMZLa#>E)+b z^;gY4^)AzY(!Fi9iACy}DPPl~znaan%MO2#b3Quci<VXS`7Dv%KYF#3k{|hf__F8C zcEJ{I<+N+Rj`ImuToAdx^Ki~_ndc(6H=fSzm;7igx8nHi<b%}>8)wc)w)LK4&1Y<~ zpr!WsYt<AE^$)g>*`#;Ins;=v<lRcH+R~7hEd7y9b_-i4@7~TU5AO)FT*{v6bg4}= zl|AV%ubttJ3k5w%dWZU$3r^i@eY!jBpuxFNhhD>FnLMT`BHwv9vQ{556n&a9J$BRm zom*~{%I2)h{r9G8{^PZOU%GxdkrV8)k@s3HM_=?>$&}kxu30xlpY2xZ+5PyT#2d-? z71dK3ubRCP@Dsi>K|5uQxS$Yw<YJASgXUbT%a~p_I+^BV#Kxpf%X8ED$euHyhLOQ= z`W(wOj~@T6R8QwPTJ*Pa->=ygQtuWj7tiI5J1#B0EiU-D*`3(iZ4aJr(tJ{#`Zc(B zQNkmM^NF7EDy||b&FpX12u=7^`=vN$wOaJ?!<sW*o@6cDFl+6LMT#4;(o|MVG+~xA zIO11uL61G=!<&l-jhBg>6lu`<%bNF9&)4+MyoIG(7gXhanRvtIac2F8Y%!LTHy(Zb zzo3<&QSr#>Z12nVdB-=YR^+z|3qCyjNbTf&w<-^l?LRlMI_YJw<{yqO^jzJ)?jqy& zyuSH!i-ULTuh4j(ujShnDsnW!b?>xq^FtaL)IRF(ZIbu3ju5w3@sD4y&qOlVyh(k= zbDly^QB}cmy~0|f@6pU0Y{wRbxq7Q>pSE6<vP%B758Jw}-a@+!*DpT2a^u2H-#>{O z<f#9P5PZH>u;cH%j(52iJQ&pWCy7ow9gq|h{W)3RSM^+%+SQW_gzn7xmujtXRiRI_ zo~_>TuSNGJAN~msZ1*(UT(z^_u5qSAjKO&K#|_p;^==<5?+EU=>1)p-b)9jk&dmRp zmj0;;_3pVEuKAGTzkl|HgA79HuXbtd+YvXl$1Bj`YW(T%m6K{Fr~kPkwq1Mi<NMB2 z7|SNNDV%TVWRT4;>0n(UZWOq#=*O&vrJo*ciffy~)?n_sqx?(9-NhHP>nDBJxcqIg z@gZ%I_>vcuGI_58x2@5>sJD+h^GfiRbIjuJR|VuOxbtDj@@|G{3C@iI;*+Kr)SP<q zOMY5>x5=L4PE+%q#EUd_&0W29y1Teku<{Y{E5YBYdF(I0ahkUK4`*-oLcY7YC#Hz) zzaf8O?!EJq)>q{2c=b|b&i2xMX}TG`9KLT)xUHL}dpV7vNo%@qm;UwWzbu&#Elpw~ zIP9`+aO6c#-J+daob>EX(iPnm*%w`B`HTKP+8!-7;R9Qy%&)pBr;W>g@n^+zH$>=K zY^Zy3^da-Z+;-d9izemPpIP%pQb2Bl@A`<oh1<3=2yoBfcu||k(EYWIRZ?`jnt~yt z<?o(tuU^_6j_t5+?_sb@+n8V%+IIZ(I*t!V9k#B|;#KN@seZ)1f1Vx3%3a4VRtt&D z6XWx7aqzFI+V*peK;N7HA{P`VH?3_Ie8BQV>ov<G5A|6$rTw%WJq?fDmN}Kb=B2O2 zjp|!-7c5-&;IwA`yGvR89CD>mGv6y|bwp0JJQvGte0F!4c$;ZfY)<;}_TsyXyfu|R zPEK9M`^8>+P9AIbNlCr*Id8%jiM$RiPD-y~FK&&NaWT#OctESydda?LQv$U&b(JQs z^jawW_jU1i_8TYGK5?l3v$`m*{cMUoYr|qA#mUpB@fS`y;re;gP6>|{vX9tioM_~E zx;X0Y@izZk&Ydpzzh-h(oDDqq)R5s+Z;pst?_JN=FH=KiCToiRFne{zQB(Gh+3GVJ zr|{KCmp)^d9(OQVQ}&0+>oW^=WdE4GKC^HNUyW4hvk0$~OxzcDuek8<=yPkS78wn@ z%d3ppGp!yNUXxsGqWZw}dZe@Cu81N@+ok6x=(gyEE_tOYbxPuNZ?@BuYwwI+F8@Da zqB_I2PrN~;Rz}*{Cv-&B!o%vKX0*(+z977K+WZ9%Z8?7VMVo%H-o9z0d7kfGA5}Hq z!y&Pn$7^;zbg>K-yw0|2>W66Qu#Y^F3v++04`gI6k$lt~QM#mkrPjn93l@D@x%g17 z&fgcyiw>rIUAkb-#na0)c~AKKP7^8CvbFV3PVI17est1bZuL)U{RJ(>x6~s=AIn}> znd)`NVZltFq>jH53Q>1g+-3H-H#e{C!f!SAemm93ugkY2*GxHJ?s_6z|7z&f{1Bm^ z`(;WCDwk;9ym9#AsoryGHAinNT*|%Isi!CMQjDu;yHI(-f=km(T;{xBuKsrWXWds9 zvoP!54Gu07E~MZ8{wsOyt|+%1*+P0|Z4tMbe$;2k$NpG!d2Wig_PQMbP50bO|BHTe zl>V}gdD{PFiT-{o>@TMGNUr+Bh`P1qmG^`1mJAFGAk2@lwIwC3A|(lBZ;OIeD<eY) z6CdxHGi&dOvN13)NH8ccFmW)laopX#{VVUgyZ`_1{=V%iFB1nNGebjy!;ZLA9+-6; z40>^?Q4*mGl?53X82G^$c_&SBPBxNtj~~~5&wFbdXvW6I#>vLY#sD*h0c_0CNBu{$ zb}=%5FfWR$i;xTf@5lKtnT0{6p6%XZzl(Z$0s`xA^UThS<*d8LfA;^`OhfxNdx`!t z`KymRITT6$KAgFcA@ToO<5NeYHcjSdTCMw5?}?s$#vjJV{9^SBel#DESNP{}nt#(D zhSU7({v0^P&sU%Dqxq1$z`ukK>`nF@e;6O||Emjl${tc@@RYsI{>5*``}|A(B)n%2 ztXuG%S;PLsZ^nE4^Zq<|$6jV%@Tqy1{Dr>>@7Rm%JAO0X;lEe+!0hrnpIydJ&wWW( zyEF68nG);CZ+&Xh9vNSrH}lJxDe22@&CE!jHJ9_ePkGuV<KVe7Uz|~~4u0cPoHofg zc+Sj(^d{rWuYJ}TZ#{R!>hkQFcg}3F3Z6YPB;9Ln=UJZ>#%pJKp7qI0b27d>bEaRq z(cF_~d{Wc2=60U(Sz_FKPQ@zth0g-x+H)ppYKF#@=dM@^Klhn!oOw<pZP}Tb2I;%z zoGe;)YUY76UsBcdjZ0^Cp7xny?0ZhdGWdzl1moP9FALR<&y-3}nv+?mc66pty3-ub z(>{@Dhm0;yow?>riN)lHKCQ-r=S(anKk#WZW<2-BJb3EN6=zIR)%MT)mG)@1=P93R zW7nCU`Dz-*PtUfPU!FYkUD~GECr|p68ecqXVm|qfPoeR_vtP_6-}c_E|8n1y-<Rf3 zFNpv2`O;hOZTep;JI{Mp$6fjyG;exV{G!^-Z>l!>cPmex^Dd2B^m)=7?~VFrD?QJ7 z7sfT!a-Q>EufKEOm0v=yz4POWK3{s}of{WatNB&cOn>3NEzeX<^_Nz1p7l<Tv#LG$ zMb%h;YURo=sz&+~_nG{f^ul|ge&fC`KQGOgeq_&+pFz*P=ji9|Tk<n##&o0jLp3iy zsh*y$70>kf()8(j_FQ?Ys;3{g&*bN%C*BkF9rtZ{y7c&TnfR=l&W}rvP8W&ys^R>o zs;i$`$$8p4JZ{scOAo!<^hNi%{J8YMyGfsQAIpzR_q{{o7Ja%jW%{4ESJj>GRW<eB z?iG2ex_A15JyX65-ShU3+f{w?ovOP2)x9p?FWvDj(m%SF<@=@E-g(wH?l!*TD=Pnx z&c4I?!{+AOvNzr>IM4UvO~QG;FK-mi^JSGg>~6j(`{3rmxw1EII?k27aI^6ZUsAb2 zI(t!>Ksx&d>xRwE*JXFSTTsfr#=2rNv$=J|UB}t7E8Z!TvgedF>}oc(4%pmmV(qZG z+1T3RZsSY7GjA50;qxkYNMm1OEpfN;1)ozn!>;D@vOPB&XUJB(TTskC$NI*d#%Fwo z-Y68a&#>Ncr|~JDRyji&dwki0RQA}i1F7s&tY_S5e8Q(x&akst*Sg|P<6}Ol@&h}X zkIHJiQz&Hbu)eUVS;zXore<yH4V#(|%SyakP{7_|Epeyu0UuL2!;a?tvLQDar^@== zY`o9+tL(sbW=-oGw;iX*zIe-Uitkfd!}ex%>m9`(McW?TcFWH0=FW8upZ5KCX~K49 zb?X(k8SnBvD^u9syhHZD+XuPqdFD6vHoo)PXntbv!THh;?j7)NzAb&@-h%VIKkg)) z_o^=mzqPkf?%FlUgq@D_*tVK4*n98|?}s}N&hfsvv)~->i!%zwMQkZ$+{s+#%{wGd zT+BR|P^@aBefHYADe0=V#t|`{=e=sfHpP0DsoHBlJm*lv7M{ki^U|tI^Q2F#+rlPT zqUzy!N^gaB<HnR?&0UvXcr96~JvH*=nUzUO3e&9APtIeRz0&gK%#`%5pgAjprd+jD zUugOAoM%Nw_#*4hf~8iwCzpI&5VLN}@#pFp8BdpJ7ld81_B{O{>9O*XC!U>imP}g^ z;nyWwJn4n!V*hW89=yE!)G=~Gb<kaIx7%|L7Eg-F)?FiW_xj{Fc>>kVmgW<Rn=Q;6 z_A<_q-m@>^8{0bbjJ=GndGFmhaF#bL|H3o2Rr)K6o3Dspcy-XXC@Jl`%J#|k;y$#$ zW3AzHX8tXeec&0}3iF6!W>a&IVrCO_i{j?X(lhQIIKzAD&Vny&DR~Z6%@?IR?kRj> zTVVcU&%qhe9XlJJ^IGK}sBAtfopDd$46jjsLuK=6>4<v^KCva_eR#?imv`YQTTGrq zW%EgCk9!KA*e00YC~7_~ZE<hGN46gGh&_#uc!lyCDwuW6PZTlhnC~cJ);71;)A*2= zE8n4_`Jgn%J%tZ!4dy>~J5H4j*m-b@^pCp>-m}%1Pbg~MEA6tg@gDDjI|}dFD$Fa2 zns-azxO?Cv@2fnA^5&h=C+;e|V=FMP*xh)Wr!0Meb@Ls`KQkHM@%%aSpp0#s@e5;S zJL4P1%(liSjGJ#sUO1Of##WJ5kj_??7Ld+XlBQtYd_(fg%*HvAM`kj<;dyZ8K`Glh z<BYkCuX*mBIdGOIEd4?n+bUy^x!q@ugv|&LVarKtuxd6n4lr&uF?KL+Ha51H+xU{_ z%$Wsec)Zdb(%6<5OU!M2!Q+(9VAXtHvS()F49SXf3yRt17~h!F_>AY!8HHlD8OA&2 zG(P3gN@qx8i%)xy$`+e;AeC*3@r*f*Pk5Bl87!N1jVtCfKIV~1KVZ>(R8r%dLLpm+ z@dcx19peK=&DzErjG7NiN}O9zz}8|cF{kkX4^ujWMe}~ikeQ5AC4FW#-skz1cEFrj z)A+`0$0?F8&N7_h`IOdR-mGrCV|L?Ro;hb0<gt|)&zRkKhv!imgL(6I$sKFA?4I<_ zYp3?#NX~Lqd+odHQg#Q;pZa6XmULBH?Wd6|%T#YoO$$G?`O@5}Z`OpQt6FKFTqm;o z(wwPJ)`+C5-kADe&6i!1UVE+8-ni~dnyR_>+I3gbRIg4A3QyWB^vWwcENFAktf?2) zl%y@aJk=-MXmilasb1kqn}uF_E!OT_C$dZEh1Y!T-bl|gUh}j|*B#k;>6zCo?bb-m zV%0NK^};vBtSnMB(5{U<dD<&B?9nEnr(Tn_J=cls6nf$n9aa@{vT*6KsS@E)F`k7> zk4zN^zqBc6+SCneN>Wv|wPn|(><D`3)uPS2PGv{X1Fr_{ziUHMRkgHzBTwcp-8c0| z*rZLD?s)}<U5e&B<yEQuaP60rrMsuT2`kzZbl0my`|Mhk?Ll|E3bgmGeNuMmo#!s~ zr}Mr%SGhC!&zzF#NpC%C{T>xxnm75&oGH(j+?t%>Kg*W$yl1)JrsANvlV8kHsSbMM zS?o8dIB3q~1plVuORqiGsc)Tkr0UY_$#>>#sS27sImF+~w)3p#3iY*?o@YHX{hW#~ z&7AD#Z)AJ&jAyE!mTl)5&n4=;^Hi#WUU)80ubpS|OvO;Wa^96nq352n)idXbJX>;R zvVs3Do0FfGoSJ-K&X=bu`s$^You@sgsQb=SsSJAJIYB+w^5sXB<CCTQlWa0SsvMmx z<nLtTdD=75?@-aDsgu{tDXEzB(6d!taGpuUqz9gj>WuTglm|_nykd^YQ<eRbfB8MK z_B`cTt?p{+`Cdgs{psA6@=KE^zw_H<ee$GdsrtpaCgqdvcowQ3ocpD0(rxat_yxb4 z?uh@XWPHc{XU~IgtlRWod}gxKzww#LR{zB3rd#3{_9c8{t%xgl&RP~1@SL?IPT_ac z4e>LTjdR40R5HHdez51kSJrj<8MTbBx$o^caF#nP{=zfXRr(&ajI+fT>`OSyePxfr zS?&va9-QI!i%<B)WUR08x#^Plgi6Mj+-~s-RT8&uOy}Gp9FwAUA*>{o_lH<!n=VH! z;|p%Pc!OuGNpTN;Hk}hM*q88`b(TKI=cY5_3Hu&=Vx6YHqK0vXcui&Fbn%i(#_8e# z`w~8}PSWqFVSK{9ZO?;`tbO_!HH?qB#o`zIXgVUUu+QN%_oh7zr@7bdIdF=bFFxT% z(;;zzeF+~}oAfzq7$0!|iwk(l8WLyll(kO(MK$An?j?H?-m?bAE%?r)p?{*9@gDcQ zJrCZomgyIKYT6}!VQ<1a)*}6mYQ{U<_u?LGYq~4?Vx2-cYkgS5ZYF!}9kGpXxqhu_ zIM4NMjl+4aPiq#Gv2M|x5z9DF^iE{sT+u6$jB`a#tWzjsEe=yiXDtk4*v(|2T@l;( znky>&z^*29?SjosS4Fo(HooEt3O|s>x<Wf*Gn1*d$7UuIZHvuKmqll+J8*{U)S3k) ztSMm*yP7VFcC1q<VO^m8Bj(@?(T>Q*=Ui6d2X;1{70p<uaE8k$ykTe4Y0-#v3yN41 z!ak(3#)Vx-WsM1Q*x7Va)MK4O5$go)8=IPri(0H(P{`V&9TC&`h)XEEVF#10_K8hQ zI@&unF==aC#56wS;tF@z(R5IhW1T_)YlHTWXve9d0g(r%i2hi+AfL5Hd%~usy`nCW zjrX_~+-ZH*D!EJ{pS41}VpG#@(Hm<IoaA~H=CHkKr|5~b3VEyr+7;1_w>iuF7gRUh z5&mPz_>S|>oCn`nwyD1;X0lViQOsnkexkVPmhgpn3Ex;M{0g44l=%fbXDRVhsBXF; ze8#eIj_?sn#y6Y~<~;byvQ9n2mhm;`y*UTYa)$X|c*e3y-NTk~w(x>^31>O4%uzVY zd120jGn{_@2~|wS>KesOmxL!+GQQ+=^H->9x**&z@4;u5WIu&xEc4V~*f2ilH1l6j z*<_%8!iMn~r=EX8Wz#9)2Frufg)1x_rwbQYGCt*0^H->BIw9;ZFX1$&od1K0renee z^AbL?bg6sTFh1hkGDqPw=Y}~CPI0c8^WXzZo4SS#<3mn1e}#&s1HugR9=vA>^>cX2 z672V&ylJ2Chq(@?IKTM`JY}g;ZzyWoBm7|QgOi-^{1VEU)YVs5Gv4KV=BH5Jv_ts7 z+y`%2@|17LHooI1a{rLdvP1cUano(V8+{ATbNuK@IM4B=N8vn2mb-&>(@nt#k_YDs z-jH;hD|kV&@eN0kyFofjk()p|%Le5J<EHC^JNg!svaC_AFlI7Wj*xYnz5BwhT|OnL zg0;*dN&&KtuQ+b>FqE<cxNS&d$#R>J#**PykjAoH*+8~&rr;Dw$Cn%@Y8I5SEK!z_ zZG6Gu<j!E#bY8GWvT=rBMc;yAmO08dWE!7w9O_XhW|^V9L#FX5hn71-8cV#}gH)DS zw*#pxQ<P`OG(O=_a%Zq?(p9dIX?)Bf<$l1T>8PMapF$x^hw=rZCLQGiMorqv8;qI` z3rh4Y5MX?G*|*_52iw^>i)J>wV~Kd{(AmV=_<(~+{Xr)~0n;|+AI<@(Oi>B$4zAnI zu?VpLmf~LJYLLoOr~E>i@jl0ro`igsK(__vOd853q#5sV%<FlO$5N(TVAQlr@Ir4w z9!rsOhcx3Ij(ctozBS(Ee^ICKow?qw;Wwkb{Eoj3Z`ps<G@NJuR^xD<{Zq|?Z_Hcd zXZ&TD$A9N%!(9F=KN;ropQuy##$0Tt@SM5Oj^Q_>g?z=|hS%&-_6L47n#&h_ZoJCB z<!8ey_8|KM&zM)pM|@^9mG}6}Xd-X%x$!dpjJgA7*iY3g_`;lG=kTlXB7aAn!WZTR z@<09@n8Dxiv*9_rmHmOAjc55Y>J-kf8`(GfY&^{$QMcd|bAsK6r_6D77oIZ5*g5=c zJjw4-r|^k+g8Yq7jmP;d>K1%t?vao9)9{F0$iCqRqptjkPmDV9J3cXL%Uk?uc*xFW z@9?AXAU{W)!UyIC`5(U>rt$~;JTQg-N9}_5%r){8J~i&;clp_Hk9|Rn!h7Zl`HD}C zyZLX_9yrPV%Ff|?<4*n)wF>W;3*;+)H{50`GheW~@ec2wn+)&R{^UF;W8NnHVl$(i z^o`Aow$djyH{RmCke5)#Twzv_&Rk{|kj`9Urm(y52Je}h4Rd&p++=ve_8{j$Df2q% zjJpi4+3w{WILj7hej$x{m9)oQhS|Ie@)FLnUCB{6%XT5>!5KC`^MqZD#?l&_8!z!r zxXJL6&COh4SK|fVhP(&G%*kd7Y0UGaU)*7M&Sqx5U}vL&^octR&)D?L6LvP9;%&Hj zU^;KbO^4~c1veR<vZ<LX>})*2>yVdlnoZ99!H&jbyass*h0I;j9(NcXv2DpwIL)>p z=fNqqH8~FonA@Z^?l3%LV>4IS(RhHDA@4yxbEug^Ds!;egYAv`ct7MioMQWCCXmWp zCEc*8aS!i<+y^Jw-kBwAXH=J7ahu^T+cPtT?TtHl59B_`WzG}7v9|$Kt9*FQv_t$u zapP_78*vNHv;K%lIM4beM&UebmcB!E<4x`dI}gm|zOmC`F876<4R2VJ^bMXf73m2) zXWAg%P~3Q(dq>=YuS{#iD~cJ-#Uu7Q%;sJZr|^|2N3Wr((NsL3xY0!1p}5gl++uIT zOV%?n3(l~5={r1QS|Tp7x8Vh=lRiUL<9Y6$oeeX%E8-S>W||{@V^6~~)<ZE0pP6Qe z@7UAulvPWg;TcoB-h-!1v3dudGEEVmv8Uk)tCBuLWuvZm#h!-8tWx?1DjJV+Ys4vh zWa<#VP}Ha+exRsPTYN)N<6&-zxCI}WTEr#xG(2Es(r2h>+|M1dlVK{i&(4PXtiSXQ zlrw6I-`MRih5JP;!ztEJdJW}`>f$?gH{4~N6SLqQQ;GPD-3@nGAL%iaH*V+N@%P>9 zx_AF8?|lEfJ^y#@yz}oXZRbDFp8qXf{`~z)>-gs{_q;j&Z_f13g>v5>{&~H-?)Bz> zv&%oPzW*%L{_9PfSL-WH=RdpnXQqAaW%JLe`@WpCdD*}3MZ4XL`hPRTKhL}WbI$&s zv*e!{%YW9t|4Cc^Ons&P{ii!^p8D^5;%@gO|L5`YKgZJl91Z_-#Jxs${?m<rrf&b# zp8uoO|3|a_k4F9<_3=+v{+aUq_rB-Vn)|;8#=T!ybMNur$@{B!mwzht|6Z*By^#NV z{(G~=yPPll6v~<E)f%iB?S*&DZFtM_%ctQy%Qqi~^DLiy7L+k<5uP!ZVIJq5nGJI} zugqka%Xz|2p^T|mO(C7BP>sQw(L%UlZo_MqDD?wYjpo7y#*J4wx6EvK#S)}`AdP8- zaD*|Vsj!DJqlvJEapPsq8GZ-Ou$=N)P{Nd==3v!$k+Z{3p@eCH@Q*nMW^i`QY<SLM zrGCJ&@hoSCpTZdyBlQN$#?zb;ehZ4264XAVGR3J~NM(vqbFgeY$?4&zP{cGr_=ZvA zaZU@r1%*sK!Vz;C9<d0iH&`(03ZF1y)Dhlc#HcN7F{j}n3zxcsMdLwE4nKtgrUv03 zvmK^#2FyG#h4Y8+f_$bL;R!~KdpTWZHr!)b;G>YwR3Thp)VQ1ThVOxsEU(lY%o}%d zp72%3V=54?nB8!jxlDe+?}j_<e<~T?MQQHgI3W4pIpcQz4YdyQ**{bsn8*I2(qSI^ z6}yISjGOrnd~UGj-|)G?ihsdphMVk1>=Mo~Ke16b$DAO~@SJfye?hIoYvu^~56?F0 z3uh!oC+lq6biuD6mG{TJA9ei`_OY<-s66nB`Ie2tSH_k637;9Ru&=3Xn8m)Nl3^D6 zoXP_)nSJCFo-wA%1^i+#;#a6W@PgSzUf>tQ1@;=dgfq-XYz};8oZG+A!!ViQIkTDk zf}afr{3mJ{o-ymmC;V(U#okbPU^;un&73zap%LOzGiF@-UTyMh>me7=fD7+!DQD#m zx(`B?&ag;zi6*Lb?Q`>pb<;AQG;^YryVX>g&eI<8ZnuikmYkVrBI-U%=47$T&52>| zvy6jYcqF+=8DCm1etF`(o+DO4uRS&>AC!D4dd4HuEy(!Ntcd~cyNrTnPYjWEe`P%B ztw)sGCgY&F6L0jmq%S!#@lMZ_s&o}2<w(iQVwFo1b=()pXihrs(V=YEHzifYR#~>M zC4I?_i7xJ^jDy~KY*y~=bFrTE+N0X-kc{RTkN&C3H+xN<D&Oocvj6mX;%oP<%Di<c zzXRv?XV{<m95}!KP)*D8#kVJN*w6aQQNCn<zk$8f=Zml13*4%VF5UHLQa;%mVi9!L zqd@s>FUyORB?tPSym=|zdCH?r{-xx}_lvESLw~+F?_Oxf^f^%LmHR6BjdfRk3chfk zGD*Jlr^iR-)5rQ{?5qBqIOje=-nGu;r{MH{A$z4iCr-P^+pYSO@lpB4#20p2p95dI zFOl!9Q~4G6!hL>{-KRep=iHm+bL*D;41DIEZx<z-nYTnk`DHIl>XJSEJodXj1y1YV zQgh_z#h32sc3z(+&g#EbbL5$_vHaGbC%!Dc)}Q3w^}FMoN51^qx+Bk(V-NHT*bDvP zIPD&0XQlHe<FtE;^vZ2NJ5IS5%fGBW@_phRw^FmB%@=3)ugcl7YvNnCozi#fRGu!@ zkY0F`<D0U&^v|0d-xq62|GnAqb@8tL1vyi83C{1oW7hO};$62YyH~$Y<S)M4|H$mn z=D<0<Ddw~8dYp4Bw)=HkqfFUM`t8jVe0SWoNWZMxvOVy%dxiAQx+&WO-?~+rRsDUD zyVyF>OzN&j$znt4&YLHS7oY33Fu!ysqj>T8UO#iLyB%lTmP${(nQ_)_h4jR{l${r! zx=oc%%=?nMSWmh!Z%Uf7zVzIi9B15SN`JlSan^N<c<;^ZAL8fkThu>~`BEwP!gZl| z=fBp!tDmo*vwwYk?Ej>n+CRci#~<0>+f^_A-zd)H*3apm-#>HBG@G?a@Sa<(_(ILU zx1Z<F>pmA#vg^72-2JQT-^RF93C`^H(bsBz{{Bt;t^Hf2KgOm!Q!=c7{qIt)No2|I zy`RM2=g+%$U!(r}Kd+zM-@l)X-@iZjU(nC!r|<8_JM7y(ujb#ae|!JFey;!U{=xl` z|C0Xg{geIk`pNj+`^%*Zf8PH7{p0&-`zO?E|M&Vg_mB6_>8In5?~nUe_mlfs{u9@g z(w2E&c3hm+D`DPs$K#!nnfSuEEosUpdo|3P?qqyiWG)_<H>EsKURgsr@utUzMYp<h z^t*}$-?$c-72ST3tGuK4k=~@u6Yt%>tN+feSbSw($o7eoyCX{fKl}H0^TfMu<<dWM zO?Cu6cFog^+PmVcTZwpPoXE39XZC0PTlTa2+54IMmy0LHne3c6W&g7Jvww6yn}1$p z=@z2*zw4*>u@|S@!pyAhocOTl#@^mVe_}t2f7PG8e`WpaoRFuBE_GYzzuI%+^ZHl! z&7^H_b`&f=)NS@%?44@M9qS$CMeCnT+uJG587H!%yiot~y<>Z8s~Nw$Rh55Fd$;zE z^{(#)`Lp-tT#E@wUH|Bwakc;VxSQU)t{1(38h>g3;%d|HdGAE;O79Z?qI6*IcJY&M z=WY@|d(Wi$^_#zUPVX!~6K5|jdaU(k_U`THUAwB8e|}54*}dy}vHt70J9}fl72WjC zd^PoPncyAQD)HQ%FACqkxo2IS`F-2F+Pm4Q`7`&%>CGw<oO*n3*0*KvLic*~&fHt} z?a|H?=RYnw)-9o5wVQ)`?%qw+$=}cI%qY~Kzc=OEx_7mk%+I;ji{E`)dRIG5f5zVY zZ%OY;nRlC?p4EB6XG)rq3IEZX(oYwf^Y654dA{&w`zITd=ZcoXb8m8QH_uyt=ibh} zCDpIre7onXlD249`yZQ@+17z~TuS&)+8y~7@cN#*@YI<n&bTZSp8KwKQ+U48oz8zg zQ+DtEp1a6=t?*92FIIwcIuq0v83)eoeB)D+u4E~k>L-$>bhgt>{neZk#fwgN9`pHP z8Ti6wk?>SM7cc9;w=R|6e6FM`*$Cg=<!iFbJYPTVflH^bqTiBKr5)D%H!D}1bLkRh z^lPyaoZV@l{%8(I$)cN`KYX5~FWT4nsV(IDyQ7kij4$4H$>V=(=aRliXQS|0+mzn{ z^V{>(CsliVS2TCtz<+Qi$0-*-xvIaXRW><2NizvK>#{9I%~bx<r+{hgt87$$2+VHh zkhiKiamu-$Uy(1cv&Q4gsRvWqH`pxsap943PanUknBA6C#_jwcZLe%+xXb#+?#niY z=w0ov<cdB`xO>OBfPbg0$o7Ui3-_@8spL52T*dENnQ{L8!rkm8f&u9a)0s=`8a^>j z=ATgG@Pt`Ge#2A7e*S_QhsVqk@*93I9A#IrWBAAzB{$(IV}xA6Q^qj4f*%Zr*+uLc zJ}@>jv#_)9G1MHm&%DZ};S}>Sn*;9|Yxy5kH%wuFW2<nAVK2KwrNceu4{{%#FqZRg zsBW0de#N%o9b+;71ZjbChI7n0b`0+r^Z5&;Gs{)(PAqc&Wi0g0qt0!Yt%JDLLW7`B zVwYkviWSebT8S%d7P#Y7$bC|`C4J%c)_FQp#2pG0cQt>Lx$<1h{JX;4<}&f3XpZ*` z-Ru%EE6$lVJeFll6T74;@@eyhEGcVlQN1M=X$#M{I*4<{dXy}@*y<tvDP~2<LL=^( zks8H{hxEA{BUcnDo@zA^pA>VVC~x8EldWrXN>UYdxKkrNPCIpQtLmlfxbVoShy7#Z ziNb})Tes=3><mbG>=f`tY}KZKDXmL%Tv8YAZN4NUvXbq*<9ham)0VATC%0wUhYwz2 zE=QYrtod)*c$`Up;kYQDPf0j+%14Fc%{OFNwAH8e$=+)Ibmf$posgd#%QHUyQ?q4q z*R5TZEivh>N3Gi<<4f}<e(9N#zU0=#4EI^Goaa5tA@%=@9u@1LHy*`qlZ=DrOiXZZ zGQRZMW1aHWz9UwbW>37+v&9P3W^nhC?L6zTLV2yE=UI<THz(suGbj4F8_AwL<B{s7 zCEIz%V~KKapNdt`3y%fLwS6XODu&9HeOD}no_owz&g>HbwO0(>cgdVAT5@XQfu1j^ zD*DQ$lAWhLrYQUNsaOU*@tB~TEBUfe<@iJ?_avFjLY1Qvh1{KFJWqQ>x*amQG<D*d zo)U{m4?S9y1^Y}aCOz<IRA%h^VjeVg;)))VRF(Y`f4M!9_B`cLt?Vl4nXjUu{Is{l z{L<u!@7y*?pFHVNs(i86#C*~nk3!{xy<f~G-FDwC|FUk%?~C*M3+z9AzWCOCoBY?G z9p~Mv?Jj)|oY$XazvyqqH)R|7yFX8yb1$`9^m*bN_l@#re|nsAFSKj=%W=+qz5LF) zE58I^yXV^#eZKh0J=ZSiuf|tpGx>#eTb?PK$}j!Nan?QE&g$=pFUrR9Q-7}bqHH8T zvCibz#24-h<s0k1{Jc1$|47Y~pMlTa=Rg`EGy09}5B+)ZN%?fYmOazwi_`n})LeP0 ztS28>XYzC66ZeVoj&)m}E<WBbW1sb><KyC^{UY{We>grW>&mD8<T&jfZnx>v#fR=~ z@}hMvKQ2CSZ<1%NWBGCMzI&+MqE8p6^#8GY^}FM}vZnmoT9K#9d;1sEO!+Q&&)wf{ z*Y6YWl-1?0*1CMZc*ng+{%9@B_lvjP^Q7PAE!iFT&aK|;(A^W~-L^~L%)64VY$yHp zrpI}=O0!jWJIWT{?ER2alCEqmef4I?Ik!#HNApb5l`W-@-sC7#wvgVN_heV#YqvGh zdvBgN>$X~Y>rIc+#aDW7<h1O%IIH(c&XhD|6Y04(Uz8|c?md$elD7C#?};3jT^C=t zEs}1{W7&1_xm&WC(B_HH-R4Rc=55(I@tIqq*{M4m#fwk(>X@&(!*Rwf-t5z+!0Ej^ za$I&^eBw4q+BJ`5=f!Ega^^*MRun29>lHH(x}#C3e57|v&XiPT9qHJcCr-JwOH1a3 z>=1nD)-27LC$dBEfm^+F=*^B(Zoy`sZm-B!-q*V%XUq1$DZO9Jnl=UAbE}ZHz4;<f zd3W!eoRIB;cioDmPv(kj7rf(^FTL~c-M_1Un}2^lfB%m9zkl}r&Hlape7s%#_di!Z zo1edbYyXz|^#6~3RzF`qcYo=>OFy-Ln}2&hXMfSZV?Vini=VrHegC@p=>MDk)qcKy z_WsrVx&K!E^Zk4Jnf|N$ruA3<_<j~Yd*8U;|NpFirk}T;xqoSY%D+kfWdCaaGXJ9g z;{N&l$^W{3zW%xWv;On@XZO#lKm6zHpYEUEKdpay|J443e|0}!Kh2-MKjvT1zqx<3 zf0}>Ne{x^9UjDz;zuZ5kf0Tb*|LFda{T=mN|D63Ke)_(4{n|gaf3kmU{{U`7HPti! zXZrW|cl1;Jsr!Tf{rda%_v!E9r|$3FANcRp-_}p&@AdE9SFeBn@7CYaPut(=-@U(c ze^LG8e~13o{(fC{@tx}~@uzWLo-5ty{u5JDJ@Kt;t=^;Ji}Sj_#7ud<=vH@z{;a(m z=UvP7HWdfX?S2uXQXTllwODUbap0Wp1pTJsi?3bRiEoWNQgv~5_nnw6Re`g+L-f7& zcARxxA-;B}$641*J*VP}GrRrtjrN{6<C?0cwYTGp>k{$aIF+iv7p@D$YvW9wDH)1a z#$BlteC|3M+T!Xq(BHM^#HU54x(~#Bd8(u@Ub?g6wCfac-#C@Zz$dN~KrNMzO2@mU z^po~vd{jExEu`<X$K$kXq~4*Ti&MMT#FSJ_eCXOLE*NK0G4X+Gqc~&Sm-4`=-78{D zo+|C{{-yV5x5p{hYH`<{9`BVj#Gl5tlwX|O{Z4Pw?h_|nOT{n7nv_qx<60<wF!oE? z#M}4o?%h>g{Qcj}?%mhV-@CncTlMcZPdAsJkF%}*{ATT4@7?8P`t$adf4g-vI$a;y z8oH^So<C>rhU$lJYVW?@wY^mT^*!_IyKiFe-cDQp>fV*TIo}q&i`^U!YN%a&W4rl# z$@-UZ#?`0a=-%~C(|>vI;@(Bo&flBf{k>zIwtmLmdDYh6i{9P6vwElbnR{pU&ZyS^ z9`$bR9qzRE)Az=GE4z6*RsZR|lY1vsD}Q%-cX!kG!u6n5WKXsDcdd86ce;0kpT4J4 zz46W7P1jG|JG{58n)|!ZJJ~zhJIo98AKcr&H}qT3P3zS4_wVi7TT{LC&E4D5sq62> zX;gpy_ULWxrtf*{@5ZTDzy5aV?cCei+s*Uz@7&wIH&6Jj-xBM<cP{m6hvuF*@3LL^ zrr(uxB|G7_Gd<3`RI06-+flaYX6FY`3(Q*h>dcOFE}Mjp`k8>*V@GFllqp#V@AZ3P z75Lg^jqu)?C(gR87T!A3qjb@g&Ko{0Ru^Y=UI8~1h3C$EQKEFY^NdeO+M-LHCwyG2 zE`nNat$r+47oWQ%s|guTeC{$=xX^El<-}($iE5|jaDbY4I_j(DaGY_8SNmiXIK6X+ zkBjBSCoYqOUHw=rFHY-}Q!kpcqEP8rr<i)s9F0PyBb{4(rlczA2*=JmamuA#Skf=V zLhzwWvoNQhh=t$-mwMsQnH{HGg4I6FUXib~uXBmd7W2R<onO?Ni~{euR0!M7e37TL zyK|0Dh`Hchmtx_Qz9Qy=cU<y?ciL|GJ>i}6PX51@9N!h~`S03++l4=Dwmes~<$qea z;+x{F_B8oJpD)a9e`6E!T+xdEq@Bp`3v=3^*oZt=ywU!^=F6`MubtQOZ?yaJOwpWw zt=*MpidWl%<dZ%NymHQ#3;G-|tNnsa$+Lx*+kNDXJ_pQf_mWroEb!8KF@L9>$S;8x z&hz<uD?QFQ&*Lw(JM#0wGv`_St(6*|70<Nm$#1Gz@k!BuzqazkY3EqEN1p_qI#1^J zv=jL$@WeS<uBzt5$A!n*CFG-OJU%Ww(k=jLtZuL=d8(+*FKd_bBjBNP3qP-&%8!5t z&JFy3Z9|?aYVrG4o_N1-U;7WaNuMs<a}JcdRLyb9xsv~(?UyGDcelThEBX|0*SUoM ztgXuT08q1aukDj<4R={z=qZ#l){8alX0Yep5!>*V>6cE!d8ThV4(FLZ=`1K?+`>I0 zmSG<2oydl{tXCo#=CYp9Qz&CB7E?%PEEHqd&0xV@5!>*ZDN6jnt_E}Ng3S$AS+_(s zykZIxKaj?_f;(a}gDJPiW(E^(i_Hy}S!d`SIKy;GXF&;LikQQ$hKsBndI}|s3%Gy8 z9GJn{5!vvZ$x8gd&W5wB8F~t5n2f|5b~c=5jnG?A#F!xVA(b&s>_RGIjF`jDhLfxw zdJ0916S!||YB<hnp|_xrv4=Y%rr{Bjka)um23_tGn;3MscWh$N=C+7wc*w*h?y#fb zAS;KSLIGm~_m60YsjLB!2d1$8&|Q$vSi?PGQ^Q_Xm&k^DObc`r@);|*D>gOkX1$?% z;3U&4F^BC9J6TWYD&#R1a92b(+-5A}Ur^m}hxv~s!#l=5G7r8nY-4{>%wWfUqnN># z{X}uYE#?by3Evnh_zIpgl<@^TXDH!QsBXBye8#e24)YO9hBu54WFCBFSjV1W%kY}< zp3H%>jA8s2o-wRq_poJ{&AdP^;Vk178HKZq7i1osVf5oqsA4c?*C=kd#5}>0;U%LR zzd}{R1?C312cH>|`4pZp%wvCH!|<HZjDJC8g8};q8-{0$di)8M4X2nJEDua)uCR2N z&Rk&0@RU)FU!k($1ha!&!f8f1{s$Ee$CwS|5<W6?v3uAsJYw7;qi~vWgUo|djB8{b zd|+r}*RWxD$jHX8P|<LJnL+NsdxlUxho=m|d=JVS_A!5ubvVWNjZfeyLlt{NQNteQ z2eJ=NGQQ(WC}&V-Ut!H~m+={&LV3du<^!@1-ZJEIztvl^JK&vDz1X4H6X%__bKlgv zlCEgS{Wj9$yi=vvs@RUQg*RJ2=#-=@T615G>^SGNiTkLYNxGsX_t8j>GDQpSy?Re} z1-y1z!@W21#961++*>0(N*7*fy`j^x>%y$oD>_rs6ivA2M!qOfyxe+5CnRm*rPdQV zF1s$ga9YIOs>ibH!gHr&F`>;9o;%IuF4WtybHX#HM6pvb9K{Pyx9W(mis3lp6fgE^ zQ^54r9Xc*MFFbLY#O<obvh%{URypyam=%SJ$6Ce2gJLua6_2!T(U}73yu?PHIOWvN zEvXl>L*SuPGdHK6$PR%APW9ZOksYU;g2g^XugF*2*SbV!%l3dNtzX2NHU->ss^GSb ze37TPyLFCE$aaCdPQ~0Obw#!d+;Ph1-YL7Kdcr%$o$P-tIle2{v)`3VsScRm{6l8T za|K)Wr<N<eDcovK<3Ch<VQ%vqnULoSR_rI`M5-^$X?`Lj@?7CY^8=YLRTEx2u4UgS z_vM*_Is00<E6)_JHV5%16$`v_%;pO!4w%(^L8j!{g3HZ5{6@tAGn>8mm5K#kIxc4K zloP2Ec;Psoz1Py?jN?4^Qn@3Q7oIuJVsEw7_^fcIS&x5{&5BP72JE$#Cr&%Y@;xdN zc<MNr-BV7aQs9YWG+&j?iH{48HB0bE*?4?haHLs)|58!FwB`*mB~KN!*=6NYDgqul zwy^WcsZ<0!aBN`zD;x4uL5tnj^2GZE`<j37O)9!@&oPkik~POE$4d5xvR|Go*xmew zuc#>Cu44)NSy`3xfIE%_?0aROm|b}1u#5RA-<NcSJB@#MN~|Zmb*N=~WPD*>;}@PO z=?iW(X0Xqa<v8z9&bG-o0JO|W#X8`PLowSV<A6Dh3G7YA7hXH8W8TVl#OeZQZP6C1 zfZ2^9>|U}RXB}2Bua)#T>yXLjWPD*}qu+a}UqL5Wjbu-paY$v;lI=L-u!OmnPsJ+W zg~I~oT0WCB1w-aazAKgj&mCqnXYz@pEjZI?z`jf7MA3p%jR$zXq$=n$mr8b=c9>Gn zYqImY!z5-`J{HRh(;DU2i)2<5DjaJRJ1^x?xZp^m0Q)7QfN6~zcuG<gw3%i3QY-=< zI<zqJ@~Kz^JaA}W{>vMZs-VT}D|sS+!M?^HY?F*G+;a$IyCluQ6>!g?g4tH`Mc%T; zH*7^l0e2lrn9uU6m<QZ(C}7^p`=o60%snZp>XIkj&5Ca4tdC9E+G&%b$S!#z(Q%gj zx5EC)J;}1qEgA}IlBKWDIF`BCHd*qx#4&xl+d1OXeZSpEYQLMbVSn1j>#^%2j%vDn z?A&=T>h&7mm?WY8h$D(@AKPv3B=Y4)9F{!xp;b2~an1T|yRud-pJtV{Vt(1KOfLV3 zLxOD|nrFt{I>?*0;gY9*#6eEC4~@Jr57a+2Otic8;=OCNdQ}D^d&G+cyDx0p<W<m` z+q==D(d?KK>#Xoj17VJR4&@Dx_BeS|@A)&as+~n8^6r6yZY=Fmd`bdW6n=aNWO8EV zju2B2xi~SIeWJ2cQ;Oq)Lkry&v-K*OY*7$67?`5#A;F^TQ1;_S;<tkgLaU<1Lf?mh z1~PYc7<8YAVR@#cC!V;o<CJSd<dNyF6_GB}T?-;vo+_z{EAH$#;p(85bXrMH{Nav{ zW3C2zNri%4-5xP4kCe9PD4kZ?p!4XI(i)vd1%hqe8Zj&nmDt1;cXS+ZWzc(+FBmH3 zm?{`7_HcX0KGzSrPN$T<i3z3(R&_UQ>e%D@K=;u}rFUY9+d0&`S46YiReC0-xV>YC z>jB+Ixq^A^H*8zpDHid6d@it~{X=obZRZ<ui_R<lkV!hP_(ewPykZu=V|B+(=LeQY z<~rZ7beZdX!LsFzViLdMbAcj0!RG=S+8c^Ht~>9LTl7_6O?yQ#hk1L1t;=lZ6>>^n z1#<Wrt2#{E1ByFL+8v5JjN2`2TV5)jky&&`(Tm^lnZS~E3EP$zicb8DRUPM@dn{XK zI9JFm`YbS~{f14;GsQzPN}mO0wC}KKc^Z)s8?6&D#bIJAn^_st%i9O^T~av=5;GE# zqL}sx2sqbRwoG>}v1FO<93YqUNnlcYhYiaU#ceW=J__`;XV|bjRuto3SkZCBSwYU} zwBjZirqhb+WDcED<l|4Q=s4soAeZz(psAh1hUJ0cKfb`H0wH{cPX+4QUs$u;S6m{K z^j;v4Z(%uyM*9hCmV1ixWFEZ}C~Ge$>e%IcK{n}~Kv8>#HOn2vdwdVgI_^5Y;8Q9W zsAp@m=CE(xA=~m+;TKQSd4+F0PUjUq@hmD6*wQ>hmSvvf9m$rtj#ngE<~p9>Qz{cE zW>ZWTC}d-_=CEk4kZpOb5XFAbs>8gwz_{b8;}*%5R|-Mw2h#*rG)EY7m^OPDbC@(+ z7<XKDoWXbKjKV3NMI{0$Y>rkP7acqJlu85^H2;t}GQ+V$vISInAGGW^>zKi(bVk95 zz0tDcv||L{q9TC=wvVX-acmb;1!CA7Ejvy+dhjU~2~23dVbpQl(SmPLp+HY_giOmL z1tIoE3l81p6Gj|5%{z=Zw3{ttS{^EJu{&CH9CYO1Qz{T>X#OGXGSx9a^2ij&AH0k5 z1!|fn7<KG*bdhYir?7xWDPN$Xxx%Ppx8n`oLnjqpu{oM|>~uWAtCS~D&|D$ia$COa z|AOD`ckKWCWPT_A=g-4${M+hZd}g<+zww#fw*JKD_FMKB{w03nulQH+oWJZ}z;phR ze+s|bZ`hyt**wSo$WP`s@(=zz{K~(sKI1R*Yx#SB4xW_{`+wmX|EhYAzs$4k7yL^+ zD}UvW;#v6%e;%HZ_xqpli`}?h<8%8Z`w2gpU&_1vSNPR_!M@?&!_WN5{}i6_&#Qm& zhxxg@+5ZJU+YRbZ{9%43ulGOUXZtDphM$M0+gJQ_o^D_8lliH<+JA+g?I-LV{w1E4 zm;3+VNBc2*gMW!1`Mc^p{xCn1-||QCwETuY4^PRj`Sb7te_OrAALfVhZ2uL0v>&i% z`1kNVf9OAlr~JYH9(-@#XaC`^<0<)X{{)`$SJgLsYTsl3;P1ne^6&m7d}mj$U-6sy zuKcrq3g6pz*dO@&@GXB{^^LpD@8pWUe@N%wQT<_a`)%7B?-rhy`|&36yxf;Jis$9B zzB}x0ziIp6=Ha=vH*Px5wY_k&`HfuCcY}2PqHhA}puybD?bmI0yjxhxzoxokGrM_p z#9imvwkzH#mh$I(YuMFpS{<;t-K5%KbGvc1#ogwYa%bKwJR|4z-64&CNwvh?<`;5K z-x+qbpSSI~**wFx;@!ex{yEh*?leD>JM>1en14p~jyugy<+Q#tr18gpdyvW>`|Us~ z|CH((cbcEbDSc<y*{)k%ai{sQoYeONJKB%hYP?e{<nO4yu&G_A`oN}k?dlDi+7H`G zyjxhn-%>4cr}=>#(|3j)?fY#*ZZc1`^|{%6U+&kp1KZg(t8d(Po?`prE#oP<Pv080 zx2sq0xZQkLZqA#9dHf~SGj2EEk$d!wVSD>_+Z}fkzw_-XKe6}leCr4I4xX3Id!JC< zZdd-GxZSq=LUFrI`HH>FZ)MZoH$3Mnf0yu_uk@Y6bG}XG9eWSYu|BcWd5-mgdyMB~ z<K920YQJW^;oifuvUlzze&x%3x1g&1iuHz_%&%mx-EllCd+842S=n=U9)96lR&G$- zZd9(ZmwBf3gnNl!_!gCO>}7r-YxmyZ8DG-72bJyTtPAcXe&(B1&QaWc#ya8N!%uwE z%2(`Ro?%_Hvw6C8$xi0!)&chtKk-c}@7TlqM0VSqhadU+$}{#bKb94Hzo4T1h_%8! z$J4T#?l7K~U3cf;DOtYv2^H;!tOf2Re&A~==K!^v|Gf)%$`|s^;3;2S`HS7m_hpyd zNqo;2_-;WtyGHqm-OTr7=iPbuj<2k|ps0P9^@Y2Mpq&~WyP5CE-h21Jto^R#i*t(Q zeD%*7tl900cg$^mEA#72<9V5HXB^MVd^)qRjBiWvjJeG7Ebq*0o@;q!Ci7g&6Xz7m z_==w?r1KR%W3XnoD6W{>{8}dJ`2nkT^Wp;I_N$g#W;VZ)33`4Yjc-M9gfY8mv4=6c zNwI}-`(?`+=MJ8cIdx`X317-H2dnmrmL2C5OZXNP|Cn=lhGoah=I1h2&ktC(pS8?5 zr+7xj=y`)>`)SLFa|?_35}tiX<%@fEA(b!YnS*8fNlTA&ibZ@Aif<UTAGfqPx3G|} zr#NCx^CKCd=M5I@y2U4q*ma6`7_n;?Tg+*GD8u#K!J_@3CC53%0=|agAG4jOS_aHK zJjL?I*@gLhHN_K*+V@(z%xu0Vv*3(kK3_#~g;D!%%Nu78o|Jj@%)z{Ur{#&Wig|nm z#TB!gZ%dcmU+}x_j`^QT=6BM6_B{N?yDk64XEwY18=u*1^G|$kyJdc1U*b33ihBjm zdCTqvJm)RBr|`S&hWVMw<~im^Dw*F%KiKo|EAP7ej9TW`()acpJS!b`|H3ogRrwyZ z%(KlG>`Oc=ePxg0S?LRV9-fi*yPxoj%{X7<bK52J36;z*rQPl;{A#;k-mvfCXWry{ z3eR}w<-e$5elBfxf5Fc-gZvXU%+I9t?kD_gJ7wNbd3d^cMWyp}^MXp|r_yTo6@Ipz zFn8FOcv@QS{(~QF$IK1(C4S`X%J-;Yek8qRkK$?R4SOD*l3ugt;RoKfe2p6Bhth2K z6@IiGFlX5J@I7znJ%^{f!S^0~Z`)`7VXxyU>2LQ0p7K`ZH+*W_WBy?8!;{kQ?j?L@ zQ_o*f&3sq-**%5tZ9B{l?0xu_H!u4}Z1X#*qU#^hd3R)g*xYv8^v1e{=cRtENjxw0 zWsTx_sjTY`yW4J>K8QR#*YrlD^IX#lk<D+UlCB%1^A=qbNax*<-LSdsy6KK}3rl&| zWLIovGtZ8Qb)IdyVx3|sZ_c%bU2Ue>0h`-QvK=<J8E0F>HoufQvu5ELDX;4eX}n9a zC1RUjNI6|+*wuF4v?sE8hH1sRg~hycvTwvRKa)DNMzNT8M)r=F=BH9x*BR1y<F7qP z<&C{|AeDDY_KcY3CsIn+8FsemW>>^CKbDfZeqcx2QB#d|iiNx#*%vmo>0}?+)TW)i zVN=^-Q;Bs83wT?yC1RQ%NHJY!*wMD%G$fLFs;N(8^L?pb*A8rF)6BjR?L5Ww#ahNw zQlG9hY;RM~-Vxn=S8C3hg?YRs*)yV>??^qm#<0C@yXlU(iQjp4rJt}pJm2`iyo2W@ z^Ufz!x7npXC~mV&zfjy}lfJ^1`K@Hy`G)5_<>wNf^OT-*c+Rsay~FnK9ODy~&U1_p z%ws$!8F&6cRogY=4f7tJmAo@2@hea6xdl~iSBy7UGQX0%HplU-<fS=`XC=?gdH98A zS-L@Sn^C%kE%Qv{3G))a@GMH_uw{NBX?Nb>8Bfx=2bFE-j0@%^e&(5#&QaWU#yDZ# z!%sZZ(pT6p&oHjBY@TjhV#z$+IAC7lC!R^^9X8BQB)83Z_>reCJ;R3iv834f1r=>a zj1}fNo|fD+hw-%Jx;Y0=N%EafsAxN6EHE$e15Z;rhYj-s$$#epp7Mm8GkD5Vm;S<< z`M%_mIf?Ii0?#cdXVXYOVa<F`a^9SW?|9163yRuy8DE&2_>QM2y~CRMj^w>_56s%` z8ouaLEa#~|)?m$MpS(l1`K`pSp2qVM-+CO+OML2CSjMv@d4??WJi|MZ&2tT}NHWhg zJkh6E##4MuA)Tl27=tyNMRJ8~^J|Hy;|HwT%##a@+pZdJk!*e?5p?`O8qbR42xB(W zWDjFDlVl6yw#$Yy`VO9vIMuVTgeT>ggH_u_!;U`15}pOgKV%NiFzk?QelB5k{D5WK zS;LGz#WNB{#~Uo$P8&w_EiB?mIQAixC+^sVRGye)4wh{v4L$l4i+Cm^-!N)BZfMcB zu#l%GIYOrSk%Z9k1`9Ub<P%10I>|eX*tC-^WSSpJa2<EBXgg@g(Wh9z(~$f_+IgyB zfaKvRhCg~2=JV7fPcUlRYv>}`d{1IQk77PgMRJ8v+it@fy$4T9ygKG!-nP^5M6Y5V zPeF2pbn|WTvi%Ewx8BkJ^ONbF_@A1G-?+EMzxd2*7k}e3t8M&=&#kxgFVrP|<F43O z@SMABU%+$jl6?xlTW{!}`Pno_|Hx0KH{uU!9)9Is7oYK$>9zR1nuBM>!}ecz#=R=u z<1f=}{RMT2XT`77D4rF+Q1kGNxZnPSU#!OQ8lPJ)=}-8{^iteyzrwH93;GRp4?lA! z?^AfjJum*nAExKxX8RZXY&D2K@rUV|xZeJRpRK3#8-5;|u3z!fX}W&FPo}5hYWo#_ zww};;s7pL8F1P=|kJe-Q26c%axx3;${xCff-%_J^T6{yz!&BmGY94;zZj0CW!}L&` zZNI{g)&u$sbr0WjhwgKD${oD#!S~jE`X6c?Pl<oqC-9WJD!$=U>mL0FwGU5<zuTAa zomD-4#c!s&;?MRed~e;Mf1vi^TkgE*8+V)Di4|@Ckj}j$`ored+qyUM7M>UTk&}2{ z>`RW~d9keR4!c`#>OQ!6Xs+&!n@)3eFWhW;BbKz?Af3Btn?O4EhUkXPt=DyT<Si`a zUK3rhnbkZx;;z$d-4%I?rQA7UA6gqS8UsEYT4=R#nUAa0(x&;QVsG|M+8VH(b<g^^ z?H^c*xQm<>t#&rO6T7pGVSB5&8+T!RYLvs>L$h>O>};C1-sa&EFSlzunO=(~Twbx$ z>80qsJ3E<VZ)zXe=_GkdJ0mW!_>B6jU0hWSWf5h^P8G9icSfwpj5!`u+^XJN)S7&- zPqn($S$%c!x(ViCZ$#g{3TY7X5Lf@o)s(!oGP(QfswlS8h1xrHBj%aUOe#z&b+gP< zJS%n~=iwPKzwHUTSdF7KHn(2Vov`y|3HOp{iLDB^jykPiy`Wo@mw3iEU!{LnbI+0N zKh9T@j(WZSSg7N&MkiUdEi^YyG)-sw_KgqrWf_OeTzJN3snwL10n0bVUu^7>(ei#C zvNC>U-Bv5n*CA`2Rr!{lu$cNfeEqtq$-X~#%V-On4xOGX+ZSpv?Lol2;LJKx_F}D* zD;31ePxc%&7kwddZlS~RPtx2Iyn642WFI^AdlkR-l*gj8we-SEe>RCux4QB;AX;z# zsWnM+vPv{`COZfEx&FGEJ8%7$n1=^<{mv>|v-8$^zx`I9EkhoLY%bsD^?B;7)lc>* z{naWdS#@Kjf$zozXKJ@TTXkxERP5>nF|F*?p?4pL_C>5?`m^e@w&BK-aMzt$#$k6u zLpQxy_{k_#;?7D9`{h-Cw8~c)Y?&8+@a@|^SM#aw0z&>BJ2abX`l)ZHrp{e$9pV2? zpzdh;nuF_iG`w`O+_`+l57EVs#a8Xs_Aozx&M3SlC~?iFoY3D>7lh_b(^fCp5;WU8 zQZF?-_4t>dS7M)6o-vx1G_A@w{nfjb=a=6)B6X_L>+4sp!qqn{3-~ThS+>Y+tzK!x zlovsLD~e0KeecO0P1o;LoU-<g&ek1QUj=o#?_K5gH1DX?s*36<b0VzD`gT385_uC? zH_d6wq|KtU*9L6&s%7SWz9Qtp`NdxD+qsfMcTE*Ptzi*e+7;QAzjEK&V-a&VUYas1 zNqnjF(&hZKmnvwof1P$}^RAdvxvNj=cHGqZq|ZG~w<3;l%AVwf#oTkm%(gGcR6HYQ z^l-zqZx1)KT{Y1;!}Lr{Z+n8}mDRHrUgNoHC89lNVa)QDMSQF$+VWTIU&!>gO=ymB zh@8k>|EjuE!QI}!gy+n^@P|eFxq8Ue`C<3}9QhUe+JBw>)}NhcXU%u3_xk)xc;=nE z^X~}NKl(IT;?#TtbyeoyEcW$Ge>Fq8FVC6(<I96r|BmRr`^kC6f1dTje<mL6<;$<n zzOm)z$_u=&{o2e|&dPjrx%?-`vB2&bhv#zqeJ7HC>*#LJGVZC-44Yf^qd#nF)r)?x ziS?AO&%G<D+>@d^?l3(O+m`dNkh?EoPtL-?>r9JVkLqgVDHd{fm_N;P^wt%>pg%Lw zP1FCoL0ep&;%TuBIS)^Xt!at0xYP7djBC5Yj@E+<t+p%dXg#n{V>^RI=+lrT(LZiG zP1Oy!d1#96kKBd%+%?WS`B>ArgN{|*K6FoPQI2E&8qM&`Z49Zatesiwwk})sQR}$w zlU&Apo&6gb_Mf_QX!82F?FHsjUkCI=Z@AqwS@%kAV;*;L^n}|^cf=lS`>>65`}&ae z8>~ZL1$2a8`0G`sabmsA`U%yo_TdNqa-A3b6Z7yJ*S7E%#jJMWH;P$p!%rAbeIc5) z-l4knruKuKhvsVE*y%J^`@+tqH=;@F4W4rqtrK|8wIRHrxb?dBj<|(ixz>bN6tkL# zN9=W)t-T^n@hex(x`wJ&)9`@eR+Dgt;#T8ui@i-RMbE@6JR|D0-r*V7l5mN=O)o^9 z)-zPKp4aZ#*)&7DB5vVlt~ud1_B1^cJrtw(nQKP)jy+9JMYYy5JmZRA_uwg4?79O_ zxu%5A*wgexRB1g!Wvgy@#h#|eqEhP*RJ0z|)`(O5$kh>kp{P|S{6JBwcKC*(*2CHo zaSK0iwS-ITX?h^aw4R}&b-#AVPNu2aK0BN4i~d@7pqy1R{KjslDcUb$8Bd9RTGvqC zsvf>$chg<bIWY_0ag~J6*xhtT^wBzo^49IzJ9@WTPkR@!Gx@J1ce##z^4-2v>#+G7 zfAnlk*Rf50D!IB$=hnuw<A;o|&E5E>Cp2BhD*0resP(lu8=v%urt93;_@L*j)wI_U zYm+zjeNEFbPhQ)1HBIO0#-QU##$vA`vX2EBht1k}p{F!$&E<_g$Bm4`W-k7c7j#7H zu59<2h$YFreX3SrFCrEs*Y=sF=@^>lN}m3#;dpsjp!V*KR@;k;MD9n-F+XW`GbuMI zvrjZ_&6$k`$9Kt`E?RSH<AFO%($?rFS4yrv9T9VEkxaJHMj`J{T4u?va;4^CPy8w( zqT6QO&CFkOd|}4%B9S?tI<D@ReBUqbSdvV3p^mHixwT(52pxAia%ofW%ZNyylQv;X zx>{4U&aC|8vow8`ZE~#S=~E%woJ(hFosDQumh20)2%W!?<G7TJcfpziEA^IdlHo2` zvw!2N9@o@0n#n(XLp!gUi`|b1I(AE1`&2|#_(9*TX&UEOKU>x`SL<9vz=lujCP`-% zYu#CCa{SQZTXVe5hRhA_o#|D+Mm^ZlZ>zQFi_rYw!hf-$tgrKQc5j^16KXDYH=;Or zrlj|}GZBT!2YbJkg+7bO3vb<-^?8*>@Wh>2@3l0;KgM1ypL#!JY5d!|rPZQ$!*>SX z{Q2s9NPlo`(@(DN+V=5x{Y2BW^y6>VT}{_GyZ(od>(8t6Rx0hk^f`3i>Mb>))*&-i zuZu~wxcV}DOZ?fo*7Ox;*1xMsP1m}%{*}*GtEscszo=2Q4tWy3G2HfN*16CM=ggg4 zrvlo-+D?6%I%oZ(n5!0{b63l)zqET*-l}Ws6ZSWKzWO?3UHH22(x0oot~#~aVEw0} zt4~AY_a*&(bv8V&P3!a3SK+z)f@HZ0S6x|nCdT!d)}_@7`@QyRebVwYh~Fsrszl@R z%8>m|d%KD>uCLy~Q~GSRY5dBcT4(336k}ih%lPV>fYxx!y4Gi_jpOI~v08?{4T)Yh z$@uD=^*;NJK8Ma+nY3T)Z`YadCE<&mJ$H6})V{dTZa<eS*OtOn*B8pPSN-Aovikh` zV>PO28Yfn|?Emy<)n}~(t2fjftqgq-+7!+!=BN7e>a*}!@vT3#K5L&@ueW~Fr>Rc^ zqW7)(!*wP+e&450q0`szsB!&y^-1`oc-K1CpI4`?m)l?TXVpjTW9!BC2mR41)HL+2 zwELQ-WqoUT*8EeSr@RgC@PFv{)a>f*kSD<r%XUSdnsjD{-{qCRmidIHuCmt(wyf+r z7hJlmsaorFaMV1f8m*6-N0*DuPpVn<QS<n6x%rzOKi9l@d-*q?qwVIQ_d)`eUAjHn z>r`;nzDu7%r>);mQ~Fd}J6^Uf^+)K#@D}gfI@2FhAA~o?GuD0m9y)dXiW<|W+WXi4 z+V|+U*QxO8c-Nm^@3l4JpVqd1zdCvSyM3E}pE?;{8h^3Y^!wC1;f3)BYrlS*dOK`) z^vk@dyRXh)Td@7p=BsbRwncxv*>ygwdfTPXB2U9A=S{j3>-Bl%$+cPA7v0S&)3%Ae zdvNon&7pI(qAymSdcX4W@@GcVKW!Fy7gRs(RIFFI=B?#-=2b<%`nJ+wwtu1BRn2EB z4gF`f*{S}zqA_#1*L<zdSEeo3nVuB8s#Np((zwLwU7M%OS^i*JSFBf=rj_^A$W!Nn z%BLOLeC170@w~2TuRP6j%U{e}RekDYaGC#I-LGky7T#+kxk@$7yqD@N-4!x>Y1s6l z&sQcd51U`~`O3^|%VXwOvDU0A(7w4gDRF++=P7fRGtD<rsX28jIBlKHRkgFhS@WU} zKU;ZadC2^xJ6Y#~H~QBm-7}S&n!Zva`lO{-sS%f{Iq&?X-=-NIiGB5Pg}rxfq}Rm4 zl{*$c$q`N0zOnW}&evU2Ux%&rcGXkeDf&7rKWO@+N33Uprbh3)nN_;lEPADt-qZ>a zojF(62K5)+?K&IO;jJt8G+q13Vkgh&?NOVj&RTmZr!{T0arE50)Lm0&u053#S`{LB zdF_cD*D8_OYu%<_vVK*feQ~kZcCNczC9BV`J(i=IrhR^`)%HhsvWm5R?X~K?8S_Nb zR-ajGF#S<?^6n`jHqo)y^jtMrSGtv#X`f!JwVi46)#+>Z<XlbF*7Ih)rE9uF^l8{+ zZ`(+&^#v>UtX0|Gb%(2HwQjU+-qRhSkHdPRb@P_)2z?aR5uJHc>vUMewq2X1PF>5l z-RRD$0_{U<*|rPa(JIhBu=d}vj(1zqR%<N?Y>y7SnRP0#EBa^d)$OA9eHUBLyy?|- z^`6S~#VqBYnk3WI&#qk*6ZJComgknHqRDx}S@YL^+IHyntCL~n=1=odw@;nyuzJ^Q zt;Js?wlCtooAqv)ZuH5>qq(fxuig&J3x6B8v^w-%X#KiFyk{;|Or5_vZ~dmdtImhk zth-e_b>8YvF`?C>Z$meSKiv81Txi+4RmGxnSEsD++M9JQv}j#dvFMx7f^}7UPkmi= zZFNNXqusC025hnZnaOxpvt;?ci>vSOguV``y80?K_s<f=wLy11&-j%&zm+R3zx>Xx zB7EsPmDF!qX4Pe<q+gY(+uBvGbK0AAHZ*IUSFz}<)#qYLtERpTO<Si`9I7cHag*tU zmQnb`IMb@BFG3fFH^zOfygFm`k(j5Iq0d9-gy+UBtqh&9+GzcuJ+D4#onEcAp2=8b z&gy+JPoJ*R3oqQ+^=Z|~)hg?|_Hcb#r5kP=_p~DPacEDtZrsv}&_|&i;h8(NPKQRU z+f_7m>T16AMtfF$&^ol5ZN1PQtq)oUR{vYKsz`L|>SZxc%R}#n)`WZR%zD2{Bm5<A zsl}9sp#keo?dE#FN<I8;Y-;(`$*W(jTeLgtoz~9PkJdHqe)U#s`^vKAm*#4fud;Xk z>)UEQb^gk{<(uZNIv-NA?3VG=c`HBhgr=^#wKAh!Yfe^~#-W95?Lu?7&WDsQ+hiO% zcjXJ7tL9Vg2h;?g^b@tdI%nk*AJKHJ8!I31e6_gxI-oarC*M(vD~|%YoK^j<f_hB( zZB8;?rvoC}y5^iZ8?riht0dRifb?ZSvZv03tPI{bldE)<Y4FOKT4zHtmRT8JeHpUM zxp3yGGXc@dw2VVvhNLW0lI<#5adM$b`yr#NFG3bMJNk)QiM|M!6<q6Qnx<tKT<Le! zQuKMq?BGm4(X>@(RvIkdHRn{(s#6QC+8@o~IujD#c1k9zSW7>+R8s3qNX)WDbFzxG zPOem1-ZUrcbVz@&rQcNx(Z>PvgLU~>t)@N-=?qr%TbjB`CpdBDt5YFi%dF;{Dp+-B zCC759IbH>;4y<HY{%iKCQz0SCW*JSrA5t6q(N{Hf)!vnhe3qJvPFeYB*`e95PKJ~R z-}HT&vTE1L7t3bN_R3qeW95ToRkKgMU3qtT$^28bug(YW@c&u)>YL{6<-cr>K3{3; z|FTl+eDGHPi*}~JMdmGkXOsGTrIr81O0RD#Z!CXiqxyWMh5tc2*5@m)FOQj@RonG- zrMdsk%B-&|&HPu|P5mV@dwIb8sLxYoEx%;b`fR1K|6IG&UsGl-KV=j8OJwG9kNHxy zUSC#TSne?YS54NL;G}t<YF2&LJh$9z{-qkNGr=?c6YWZWPI($U)xWXw)#>24d9OZ+ zOkb`se^!mxr<Es`E6l(3>B{5aK7UiYqd%@Z3hwe(wQKz`W!myhHmy%rYWqi4zWShf zcsbX6rJAe{ng^FN&1b54^?s$6e_&<Sso*;QueL|OPnoj(+q|w%Q|<)^%sW-h^?s$g z|6SYE?^7l(e>HDWb=Eu0oy#B1YpQ<rR&)E(vgwy%waQo8dq32Bn!fV((wyn9HjB(( z`b}qP`brz`t9q`xue=GWn6_*4l(|b^>A0qA-dvhAeb(kFbCy2RVcjh<XKCE@B2Z0p zZRtIor)ev%F1@9*bXUl$pqyz=u~}z>vZi@$7MZp5oKESkDKCT4rfF>sc^Q;4O({03 zMDyZOr|C?wuZmY1dUr;iDqeYRsm1hLF<!+h4ZKtJT6bP~8Z^y2RF5@nrM`D%<f_v_ zG1C^sWEE+iT&gs^DJJW5P`|gO-qjr<kAu3sHT9<M5P1|7HSN?Uk!efU>7=HvJiL@= zx>ihA!ODY6S*CNva22fFzjT$3YwAi(@1MF?w~O2l3YvB+TI*C$mA7N0R=(z*rSo)3 zw@<kn<Tq_qbXVTWolBog>x$;eTe*GdoxWSPUf);TS^Q6?wR+0@#d-akY*(ERtm(T| zJZ0YEPcos^B5wmXyFavibuO^1Z&k6#+{G#VUA9^00*m^(ibdW87W7rwp8C4t+Tw`* zs^TfL7l-v{6;F8;xYB*2CD+##rtT{(wax}+^jQ^Oc^SCOeWvBAGl5IpCt7xWS#fc( zOFx$_*OwLN7ax;ReWr1Ku~q*go2<_oXBQumnOZ6GEO5Geq+DpF$c)ANWS%}<q32#` z+4X6~$;B%DT{c{wR_L17%6+YvqWm(j*WFNV>yF7!0=wK*<ytGIOk2E3ruFFxZTCpa zR|U&Y&eiBQvRQTElg6RBhpt#=eb6{K+r-kg{MMaft=ZKf3A0Sy8Rfo~hfH0(;?9!l zD^nK#>3e0}^<M3=vAgHamzzGW*t>X<Pn6u!?ZHVRQ|7LcnOZJ#&#%ng*78-}@;&<( zzv(L~3b`9t;(k_EwLIibV1c!!+}CZwuYK2A?|l13<y5ZvrG;hfmt?idSJ=;Ue#rMU zefh<?eit{~6xuoYWx#gln|xQ(HSC<<N_w3SsBBwxH#1)&%5c7QC!eXc$h?K`cv90> zSUF#m^eS6%W8pKKFa{y7a{+~IO|o3)0@ge4<hyDm@;V^Dt;qPwtAO0LAX%+a4KwG3 zd|T5rOr4j4OUX7X*;6GN#?DhESCwcOIZxy>wVLuGV4-s(-&e~kGZr4<d1@K*JYbG< zF5gnikQob&+7HRRD$+Q;P^+EE_{#K!dw8y<YUnu!@|jvrc@i+u*^zH+>WbqFW!kf3 zx(ZhuT`1D-CBs#yq3fI~$#ps)yls=wm4^Xs&Z2y-7FQkwG&!^Kv07ZY9}wEM$mq(H zg@4*!Nq6OIXga^;6;0LHyKn)|RCAGg0sd{fq)+8(s5@Wfbv3_oC!omrC@-t|mD>S% z_HX|!`5pYuzrOC!-;?M4x7*+RcjdXdo&DRNp6C55>sI~k{I>k&{11Oho~v8iU;Wv6 z&VQ5r(SIh-)h+Fh{^b0oZehRo-xJUn${PE<KTn?ZUv0njr{~w@SLWaN)AH-`toc{| zOnIhmVn6ri%P;De=b!l#@@)B~`6vFk{JQ+Yf02FbKbBvYpZh1*34NaY+<&fp;lC|E zCqMH~tUL9G^Yil4^L6T1{oy?0A7A(BQ}FcpJN~%*y!^y}lD+FcmY<iW&6le$`m^$* z`my<9^+A6$KdK*@zva)Ar|LTPu|H3q@^817{1@^=_@RHZJ?B4>AHom(>+M5-cAoMN zuKV<R<$Lvg^OyYD@;!LU{4aG)pMvlCSJ>PBeECj&_xw43LcR;%^)I$R`B&t-@E!kr z`<-vM?4JD2Z>RO&o1Eq9_SSdbrR)x#KljI*E$QmE)=zJ)EK|QVH?928=F4;EzIhXp zu5M+0@}0=;%X8*Fc_Wgpeq-)~H(z#5e(kr`dgHq<Y3k<IYu{Z-Q@=Vls61)2@GHOU zvY^euv*uoSQ<Ap)@?4*Cqs_rH=X#YZZ5Dp%x7fP#oyacX7k=}tdvAK4@tbE|`tHci z%g_8~S-0NQELJ}=SFe22os~uE2G+GVPoDOREqk;{_^IDyYtMHgJB6S4MVD3GIa#>; z*j$P7s5_p8%a6<zD8IBRc-q_zZ%R_twXJ2}rR)fP=+|P+`%Yy?@B_aF>%VV9Qq{Gr zeQ%!3U%qedkFrUdF5mMDEW31@^ORqu^~1McQkL(Y`=+dDQ}A8C66>>XRkjD;@hh<2 z`}RrM<#)cj%%9%-@?7oC>_2x(swcnot<8H>e0kpNFL$OqUv_JDM*gh5oacSZ^EMR+ z&z=3^j!Jd#8{gu*NyWi)W+&t~6<>bsyUu*;y(3kZXV1QKXG>M^?AamtUVA&w`mQiv zyVLWmZ)ToT@#UGb{ql|Wo;>55ny0n5^NjBj^WJ+ZRlzTO7ns-HGkK<FXkK~mN~Q2~ z-`VDw_e7p8J2TrLf7hOqpO&4Pec;ZQr)v7<r8_%M`%W?Uy{A$c{KR*HdG5}aAJvY} zmda1sllf8Y=xm{Ur#+sheIxS@ZQ|UrL03FJHX}AUE|PWMee>81*Z9Ro`UYDJ*Xu0G z4~)1xb@rM&B^8q&`nH-2-ZQC~{J^)-oble5^5ChnSKKjqs<wajue?XQJx}>oo4fAx ze6OZq{`783`Q^#8-{o!Eee$GlsrkjbCgqdw_!gQUy!)kW@@<i_<qND^?`Zy+$@EU- zkI%z0u5H0Dj9Kl1Zy2-M2A?o)y`_1<FR_fPVp%~tSJ|?Fbgq(R3f8SRG|$XznxlDS zCes^{2R;u=xz+_|%w>8la?j`BS&^{i7t**^1$)e8nytCOFY&C%6(7a3A{Trfo)Pg| zo?yjl9IRp7dP#G_Os1D2Zp#&{S}$le_&qG<N?xXr#x*ba#T=&RB4*1MShgAjpP0k+ zOhj*af@SL|&4!tWrfXKrbegVNFq7%2h}v=m%hnT`4t|NJMdX%0uxLG|Y2cSw$ki3> zF^B1q$QB>P(;^#u9-b0e<MXh9t1Vb#4%0&sw&e;Itp_w2{2u0Wg)VbQ<qBT*z`S*z z<_BNLQzGA%38ZpW1vePA?$LbU`|za5yJZRHtm?rlW;5LtdA3Z!ymg1>0pEwYTzURC zYMb5(7tR0hoO6f&htDmy)o<7>JTLsiCh@%R7aPU%!ddejez)9Ie^7a7uKJBir@87E zDx2O2C(So_&RH~1;5p|8|Ax;k*VT8}E&R&4#=qh-i@AS9t<!Av6?TeWIdkSU{Aw}v z5BS_-;_vXe#n|7Xw&|tt8JmS?guUiFJmXyAFHzg{LfC0O!>^X}>OGZBGt?{W7JlZO z<A0;3>6!2$8^zC@GyHedG(8p8n$PfzGk)HKr<}3#4m{<Y;y<IN>4~t?e1@Mby8ab4 zO^=18<{$Xca#UTzPVpmWhyR67Ejs=OKDB83Z}`-5SY5(y;RntZe~Fr=2f|GA8Gf|v zR}ZOVnyT(o*>qp{*SrJYSv37`R69*ke__jbO8C>fhVL!v{yVCh?h4PbS@@2##D7M0 z(;eYQ^BBIjY**i*n^?}d%lky^q4}y0^bVdE%A20ByT#7?!R8iQ?+cq-Y`j;*GQAZ_ zo8FMlSw1ZxowIbBLptXs?~d3*b5u`6I?Yi%pvQPlC~o?LT`kvCH|RY)D|AOEv6M4+ z+JapzS5!AdGQASIrsH^4=#mcOS)p?}4@)?gc^hnQG4j@kWtyovK`*g{bCEYkEYk}i zyXgjLoJrFj>})xwTA-I$%sI=OV{^+H)danVMV!;TSHv*QP_2n<nyy+B$uwOxKrgX~ zbCP#Q4AT>#Z8{GNIs3dbVwfHaiA`UyqveRIf}Z1Pp-noBr-jz(96Tk&H$7oT%OO<( zy~F~}CU1@yrUyd*rUj&OhD<X^<*f655zTa8Xo*f@K4;*x1>0FPyiY_k-4mLp^DvLI z%)4My%P!Rmx`}z5Mcy6JOm~FtO?yz*a##6<oZ@$m`o4y07JK&{woPvZf5|kS7yKsU zcwX?6%))ORTij>ZGR;%IW7#xU`HCgeT;&sTir+Yj`xKsY6!tMxvsk!S*fzZujOss7 z)ne{mP~37=d5dM!E5V@t1J5{CxJMMTn7VruvzWMB6t`Sfo*{SejNmDmg<m*Q`W&iS zE-H7(DSqKt;Qqtr&<y1c%ckdoR{aMmTh1zH$SIx?H0p1tY&oqQA-C`oM?&9+ryOy8 z7oKv&^f^?voK*IZQ~bm+!Tm;2%W-83xrHA&dfX#ynjQ%X^*2<o=(?XMV$pHmQN*I{ zZei2(P>`$Np`zuWGKZYv2aX2!AJ$G&l>;mfO;P?KyYM|njr)Y6mc7a@mQD8r7sx2S z=csV6C~DcQd_(r&Nx@fr4&^O7l~2ejzT+rxudr^qEl}3Jz`Es*;vY$-cLINS9+q)z zbADmWV&{Crn8nulgmKF)#S46iWgHc41?e1RZ2{>VC2b1UEjJX;NH)z;JR-^TM&JR@ z!%~iQ&Ka^yuLbV$96T!!)_x(4W0kXqEYobo1$>ET1+MTYo)x&j^YDy-UweWTi?OqY zamyve36e}NV>9AoG<RG$wrA76`;4`5iP6adZtV(IEf*9U_#PH>B)2J~am;gmA;a`s zz^whj_RjSp_w}zu%#@hbx>%oGdzLeYamyLS1iptw9MhavObL3%+Q!H<L$OA(X}V&G zB-3=o0KUW`j!DiPGE7edw(&eH<mhwGkYRc(AlAOXqUDI90-xjQ)VPEU?OmHX_C*V9 z;$b{3u#V^8DFMFr1dEnKiUNFz1sqMz95PG~1pc)Jq;iC`8KiR5Ilqu*x-YPVCo!KR zux)`ki$?SVBg1E{+ZJiBexd!1p_rr6`GEAH$%=P)59V?BDO}-g%;PAwKOxg}N8nK# zgL%t##T|bgzOe7MfAQN<<37J!{es`kcjW*4WPHbOR{!8R`!xHGKL_9P=hR<#&R$cu z;W>L%-Gt}tTkRM8J@|&7qu$`gQ})T>bqY_}>+M&3Vm>GTU?=nOkl)SQ<#)WCnR(u) zChb+R_2l_83(|irw!Zw1f6<?YzRq(#rD>a*)0f?xnUcO}?#VA_d@?`>MZfl0XT0~& zIT7`<K51!5i+80hGc#UzZp*JUwQDm^ow;Hu{K#kOeB;J*B36^{z3@p%YXTkQJkR*< z*)K=ls@|RX=|h^3@#Lr8JB<_DW_lJcJ3G^2dRhE0<I7Kd;?tOng<tv<8M9W+)a-iT z(`j6K^3YS&3)7wAg=#aus9u_G7q9eLX!i71ds3b+wbp-FnfXoi)^v;bPc@!ryp!Wz z)vWxo)JT73<;gEgFHb*rW#5rsL9e}6>K`nu^*rxg9rx&S(ERB+@w;kYepS6X{l%Uq z&zIWhKYW+qKOc06u`Xx{U3^h>@rQIx|NQr=n${N{tDWZyi|?vg`EKb^*&9Z7VND;4 z3yv}7>d0EJEh-CW`?7TRj-AtAlm+|}idU2}U9nQ%@>pSM%a>0tZl28i`SZXz{#Sn* z&he+z3;b@jw4d;~*}}fzFXJ5fJ^v1TWnXKb@b}<s`5iwGzT&_2$KfmcO8bP*%va>s z{A`>hzvL(5EcrP<55DC0sZV&uo>~|1tKkg4N4>!>=8N(z{}{fo&$pNO%xq}?;g92U zevA4IKbg<UxBPT`#&1wx@RRwpe8@kBGyMDh95~It>rcaJevNvApUfxaUH&nAVvnx- z@Pqky(LBNDk{ix9eD1lPzQb<uSIIT!D?am>pO2_@o1MPGPWh{3&b-E7J*MXaKKGcM zclg|6eBPqA?WOS<o5g30z2-YUlU#CMqPFdYvD19UUp?p3dn(&zq*vH2{wz7?{EeEn zXU2zYls`+(IKQK&?WwWWe8y*z@$()&m5iNt@TugK^D}DNo)|05XZ+ctd%mKk?Xj`c z{DVJwj;3qaDSwpgIDg?&kIwl6pL(><Z}`-6I9<YS@dwG4^Aa^}4~&`SGydq=pB_@l zHZ|R+vhBX{uXzW*^Jt#GQSCM*{e>;_DdSJ`8o&3bpWjj4cGq~0&Ej{GCFf^Ux7{&* zG>`Fn&-U~ky2<5|yH1~oJvKk}f!^WsMtRc{clX$xez3X6_Vk6#JvOIT#In6LN}Jx8 zE?GV;F<r8Bnq#`;rqdm<$L6G-h;*BidO(l)oKf8Lhr4>NrEbuBeAeiWPI9Sa?zDxw zdak5yh-7<ZbWO+ktkESM=CelUbRL&TE<0_oxyR_VMl9RR)CqdYC6bFybHuW}FtVF& zm?oJt?cvUzbEyS-$;FbhPIGMTIg^^8_qa%M+UXTBY%@}8BHN~?mPE2mPYuvZE|Q#d zx+8||iP1Kl$Ayx8r!!*M9vg{GU$~>^NUDOK^J$|^I?Shy*6AERWyCi<aYxUgQ~|x@ z0?DS+95HMUjQ&jvOqC3oW|%5jclt#%+kK-YI?4HxfzuXl=g~NQBAV@<(L9~Ud6H$P z3pVxaO1+?)oF`dyx+9wHj?uko56gP)CcltV{w`79*I3PCe|(2++grn5GR@}=zsWeC zH~b{C_?yI*<1=j8<|W^;Y@3^W#gc7q@(DTRZxY3Qiq9nq`xvWvERI*$w!Jot>OWZ3 zV}87#xaVr}7R$C*hC%%YpGmAZ9#PC=dfcO!$K<$0anI%C8FGiu7@m?@{6!+A&#|iK zVseL^@)wB($A8!yn~~gM+4kJfs{deR&)MV*Ips5kM*WSIJ*Sf+<Q9LDNa*|cR3fhL z;!}y3KF7+QlgS=(%AX`A9KTW2b3EBXZt+Knp5qZVZI29v`Wq{FbdR4X;?X(2qlicQ zxP?vILqo29$BLeV$sBUZA0!%%|FCwOnjBzxY)bME*~RZAYK~7R>e-v@V%c`jaDj~S zdx?tU6-7O}lW)i#K56)>&#}B`XYvVI<#!SV$1AMcZX1-fFSPEtllVuH?VZ6Np2uYp z+YY}l=CM0`!<fhR@CoCdTZtF=lFKA2+6vPp%Gv_cB}&>9t$S`Ho{?;ulXygu?Tx_$ zp2wvU>kengvb{FA$8-3sL0J36G>KJ*J!IKtCobSiK5KA=NBOM51)j%e4E)*?t$2(N zYZ&)jN}M3c_R_$uUD2xNLSh5o<6?>AHpMiFd52%fust_0YhP&DV{rI{4BImUz4k=Q zo>PeplE<beR!F){Pb`pRdupK8u4vhFBGG{_`Luyt`$LPKV~GZQ$%PVKhdpH29vN)m zQ9f<3f#>llgEc&l3nbbOYsj!YG+=92wCFjI$iVkFUm~>4F;ybC?V)+kzQhl_&Zi8% zwF#z5R2^<G>e-X{fcNo9gLiF-<~-_$S4gwnHF(yhXx_6U@c{4RT#3B>H~zN1(=V$3 z_*{I){tutKZ^z&Gx9Gh7k3UK0^}qa4I<KEq@A$j>X8ePnN9V@h_~|+~{=(1JH~LBS zhR?-|>I9#QZ`j}Px%+zjj(>~3im%yU@tNCvf5czc+3_p>DSZ{sscZbzZMr|;bGONU zhtJ){`z`*qzSKYSXVDpbuX@L4;!E~R{B3=q?^MtDtNVO>&(GEw@fH6TeHNdy|Hhxz zXZnZ!D18>6v46*()~EVf^^DKN<Le$i6_2eu_*8t#{uzH-pXe*qGyd$>-Cyyi^|8KG z{lOpIN8>gADSZ_0*ni<ux6b|ppSrd8Z}`-GI9}r4q7ULN`z8LgKG0{XXZ+E<KR)Cq z>(qFkpRM=xf7KoQ&aJus#&6dt@h|=|oznkQ*Z93#egBT%t#|e3{8{u)yk!53->r9& z<JB%~3rcCM+@Dbx8=IoTRMUR0$o_Wg=f&)AvU6U!-qC+h_wgI|_VZ=-m;dT~-)uks zVcoOmn{S`bv48zpa{l>mHOtaBtlub*o_I|8SkAfB^&2;pYzeAJo%{6Xns3Ip&ZpTQ z{(N)p`8PFT&yB6-pR5!6eRIy)l=nGiyCc`WO{+KiyjgPY)}V@sb5H)%IhQ_v!~BCk zdA=H(#oJ~7)%k2}lweo=x95|w-t3b<&wMpLe_qRe^Pirrr_&qfOV*YBm?^3=Kl5kL z$IWgR&K8+p{`=-^dY)Z$?H`_xo3#q&=l)#t#aJWI?)Gn=Q|UGHf7VU|)g@DZo;j1g zZ2sK3vS-F7^Vj~&IhEefHD9-m?PuhY+v%0__tuJipDA-Uy>$M=TC<&zZ_+l+zBqHQ zPT9G%YO~#U&zw(PKl^Rovh>ZN7tg!dEB}3yzu7F`j{EMKQse7qBg~68OHOgr?I~TG zduPh0oei5MU!*NuG`n!$qP%A*;wvk5Z}|GT{cKw5rJT05fHP_BvmNu?(u|FFuef`r zWOMM@vj=k0cFgoxC6y|^W%f?b!n-+V^b(#P$@`WfUh*dA+s^K*wzYZY&DEPD@9CwO zZ=S4t=jfE!ny!<p7fWyMzI0h@K|z#|c=^GO?@J2Br|+(~b5v!?<XDTcpFx^CyZ6N= zq-DKJx;&vsynXuJuspZwnQv2T^j0rUKbN+n;?tX*I(OHcPpi>umVH}%Dx%Hv_tRbp z?Np9~If|`ZMtWPA?yr9u`8s{g{JlTVoK0Unf9p@5ubZ!cD(hc2XPv)NGYu4)bAP`1 zVto1hnVPU?n=hR|QRDXO=8N=2^IPlKe%*YYo@^)ldFJ!<x$_I_w*8#>EIrZg^dFwj zn@^wDv0wd%=S+IM-RDn{)6egyar=4mN&2Muu61lbZ%#WeXJ7nh%}3*7=f&)U|LA-) zK5~9b&9tY+I`d<Ho;j7?K3}pf?1$vT^yc}Tbz(mxAEejM5B=G5Dm~cl^Y1n9jrW~j zQnT%Q<dpMY?3zDC-b=5TZ~ODjJLBEw=hTFKm%N)^JpW{^*muc0>G|__=5E_P^Ih7` z*?({HlpEX6zMGe}J97TnA3597jcsQ?y}72$_}1Ap^TV5O&OQ4kCoJ9AYWB%IvE4W4 zoPClbmTr9G?1P+dyJo&lTRVGW-nTSk^Vw_juB91YJsV`6yjk*9TDDp6=EzxRFXWV^ zZN7Zg$J}^x<jk{P=E|ETU#2ad-I*u0OY%k9{Mo%Xea@uKn_ZfBZ0F5qX|rax-qa~J zK66&jeDj?(MaBlRYj2)8ofd2Mc$4JQw8^tQ^Tc*aK1qu<tG;ulaPzUV66Vo&d<r)o zIV)g(c~j)Hvm0{CQjN7|%jTu+h<upVGMhI~ZAavTw1(M#bHh@NwPyR?Jd?k9-`O8# zlQ-SGmlkMt`8Lm~w945JbHAl*-hK9sS@EXGyJ;n}&*rLakGzvsFne$Avu)jXV_&>e zDi^OWYuwFkzkA2s*0*}U-ZY)p`}W4^yxylbi^{~e?4EI#bzbb9o2_$WuiRvv8++oN zQki&hnPR$lVHx9YZj0R&cUxcUMU@}i)os4JU~~7?*ey3(U+D#vA50Tpu{&Zjx9M(= z&D<utEjD*wj-B!D&>6i`Zx)q^r<6JF>b@A;@lL5ke8KJ?caF}8?YP<cT+gcf;Lh%| zu^I1_&gdDHH}32{9UJj(QIU8;*~e7zxU!3>;xT28JG)QDdc0FA5}&a9#-{G$u@>(Z z6^i%lj=0nMNKdG|aR;~V?h~81b$0LA#I3#C;!f*BJ+5-c9o+|GIo>H1h&Sy1aocrj zY{1Q<Q(}L-U6e0gvwOm(?!B=tH(T%NEqJ4pFJ7^`VpI3-*c)#Toz#0(=D59kXY7f$ zN_pZ1yDM(D-cH>;{bk&=>YMXV7wCU3zWFwF+w`wHd(Nj;>s>C6oOe1)fAQX&Z$>uL z@9sQvF11u|aq-MIsT-%C-RW~KwNS5lFVDHu_0xC8U8|COotm##TzvCYYOY@JUY)N- zX44nOZF^>9I(_L*p0laxde(c-d@(YfK6U4sFGfbwC&rmo&3uu%aC&3hx5}F{P9KSR zRvGy`b<XtMxMh`*Gfo@nAKvrklhNtZTKdezH>aQ86LamUk>2#cIJ3%`Pf{mNcZ}Qi zbkp(EGWywjdOmJCdRj!^dk@b?Bi-q#J9$p0hU;xEy7@4*ZMtZj+mGuJTw?KYZuj+P zuY3Rf#hH{0z16dQ&Pti3Wd57dbV+k}^=H>}d9PCDEuXw|=9(!_F0aT)EilZVzNU}o zT}sLN{)3e|{so^my1F?ZKh6}+`1i)uy`67g^_{$^o0h)OrZgz}WshFn$~|YUJo)lO z>6G~k(QT$~4_?pJJ-l0|pXW@fznb&pXGYskKYFFNd-j{2`Sz1<PCIvTbG6oUqa7zp z^ou8@8<}PY-R_y`)06TfC0a*OT6Ske<Q1cvQxBiq6fx6Ca;m+0<>Z^bZsw7)Z}r~I z)$Om<RQ?^YM&kUr<8JcJwQJ5MFI}>z<iL%EXFf^1PF~x;({9>#37I3|$Bu7VQn}{h z#jaV$L*#>Nc+Mv0^T~7Hd0f4Q|2+TH{m1{vG5`7e?Z(}tUE6o`+pdgWb2d4z>XF#( z+MK+NcaA?1Yd$-<iv8TYqe<eysZ*QXr);@$MswClfvYWEH>G2B4!h3~RoiA-mX?%r z;Ci&TS(?$slNQFF`kQC_lyACw`kmh7**fK$&Y$$sub%zp)25xLKdE(ZHI|%rGDCf~ zvE+=CSA3488C^Z;_uJ=Nn$ew;QR>xmdA^lxI(1S)efFF+r&IbTyT++mNKQY=$E_Yb z=gp~<2tDpOJ*QF|rvHr%OWmY3J#c5vsnojZUt^Dz&zy4ln_hR(%zLQ;a@wC~%slQR zZ(aN5O!Aigzm+=QH(K|fwG(^3@z!xK`O}|g%sc+grtP`mmE%G3#h)YQ9)DxA?7PH_ z<3DV~EH!^gJWpQIKe3YM(&^-Qx!XcNW+bORewsX^Kh;j`nc>;vX6tRzGJoHAn_MUN z`tyxf$uW82hhuxbZrpi%o=sT#_ZxHX<jp_&ZjWBu^Nrh&1{m+`VdDGu)mE+UQSE-l zJyV{>ww2$UeEOZ<=G|vbrj|~>7;9EO^G<5v^n<bA%4Xh9***EC-!$u+^G_D2e>T4P zHf7u7uQPkjr&Oz5HjbQkGE05&+?+Bao5^=)o;jCNs<zm8=9`p_lh4ldIhRtX);yQz zT*~^%JN>R%Nxn|WS1UHY`6?w>EqJa@sgc>_g?`)8j7%ployl`HC0)&W?wJxJ<H=KJ zt|>7xnmp0Z%xdO~l!cQU{k~b=oN@Aq&oj%&=P7e0=lU(PjGS@ONd54fH$_ILPim<% z8{eFMa*xloR3p8~fqrI|GoPePob2egEp^lJlQQbrb9xFl9X%<c?mdU6&`5W3>P()~ zDdB3Hjcz_nX`3wS=Vo#9K}yqPRzEh2oA*;f)fOAwoO1Gy+Uwap`9_+P-};KB8tpx~ zz-OAd<h>MswcWGN<Qb_?zUu2{e)CRB(d47PZ00v_r{wj&wOjT(;$3pR+~L|Y=aaYh z-?Y2-+|aK7ZKcoo<Vv~KwLRZ9-aP)nrtG<)b^q1Mo^#2Y`j6U~JvX%MKU&H2&CsHM zuidj>5wDZi^zW@ab2fQ(|JF*MuN$u%zhTq%>&C3(S8S#|Gc@U+TlwaT;pO9JY{H&x zymb78joYsqFOnDax7xA&y74?YSx)%#jOWR7`wQ*1{haYEIZ^I(4bSI|r;qE%udd-a zlN>Mi`BTL7<2!8Je%^SJJgMK+j_v1-X~*T{i)+?=G(2`(Og^|q=cD0~<6CT|JvG$n zkF7j&D!IL1(k|?W#KYv~eoi~F9}*9e>-$41drl<>%YCk1^WJdZ@g+9fzDG<s{zb0& zQ^dXGihkS5H}4F0AD?3r_Fdv`a&iAjTe0sFcarn_cj|82J>y-{&hEdFJmrS=-FNlU zc1O%V`a@@1x}k0N)5tYthPRHUi67p4WA4#6I$`OCR^2D{#CG49bM%RhSi0ejqYrex z?V9mAX>IpLy>DrT=G|-cuB91XJsKpQyjkK^Qnpy|=7?EGFX)t|ZM=NcN8EUG#LS~! z;>w#PUM4N>?$i_8CGjF@es^!A&zYoo-KBcRcHVfFG^@KcQm5GP%uzk@%`t0=3=O(# zBhQ>piWPgjN#beJ<Ze$rv7Hi6lA^_`W6l(AJa$w<JUYgwaO07q0^*l9MNB)oL8mO$ zP`g`JFKtJ}!=#pOUOlxP5f73Yy8r5ir5b8=`$nG0-?;DS53$LcZrn=>6uTVFb1JE_ z`(Y{P53#4MZz~_QRkv^ZCae?Gu~4$sv%@y>O`hTIqjPk^woBYiD(*h1E4E$YPEvmN zPT6hMGu|ccZ2xP?^WDI{{jOYEb;SI`KV-H&H?VDgYPsf{!L7q-{D+Hg%su=@ChWO^ zRr^UfvFaOh^kWP@PF<A!B3k9Mc57q)goJME_LG)6=Mp!xAKcmcCUJfH&U;MX#MW;w zuwC=j;9B$=xo^)5%-h$>U3(_Ba(hNG_f><dI#aekDC#~Et&z8rb(;3}j+QsOTkqf3 zWy)vVQQo~vy{4jS`-$DIQ=(6_E|oj>%;3u5Yck88Z7^wHX!+($;_~*Ha%s;t7`0E8 zb9-iR>98BWu&vG)gA0f4_@#?y%s6br{@QrP+k`F6CoR_$Z!l|K%J(hJ;OfB#JZ#nx za}Gwa7aL2wPFT^rmhYL>jn@gw)7Xq{dp>VCd)S1ZyEx)m;*9p#wn(|KN{JbV_sKka zx<RkK(6Xn<z^HkuWloX7`NJyg#Wp%c24@c|@ZT=F@i?)sc`BdUvkk`%OYleA_!Mq9 zb<lv{+lHrbgJFB7rH;46w8QIU(o#1ZJjlUsZFA<shC>Ix{5$pcblC>=gur)sg{8m$ z-7>y0d&;hZ-+r)lOXuVn?A~AYe#_5Azrvp;RJ04qnN`eqkl5JHDEF;AV(Q@)GG<Q= z_8<Pm_t@I!RAP0ztEJC-1C5UMr?PG3Hzpr`$G6$~%*n*k_KUJ+<umRi7PcRh{Z=;P zwr*Me!s_lj(SLTbzSI44=TVv1Hr<^3i_gVs@-{vftIC`BTx{$11$&Ra(fuHE?a?{i zH+L4D(|vKr>6_Tb?K6tGEw^_RbKi(Qu=D6^-I)A~RovI2_v~z)9lhq>qO-ao`4g+S z&9*!2Jvu9T#ZK2*(F=ASof$pn-k~pIX?cOq#FlPXDCWKt-E+_Bi`c^L41140*FAQ} z>5Q&T{>4h}bJ02XoIZ;s=1r{RJ`){t@6ac)soNQfyY;t!DC*YR{-B8aRJ6}Mr%z%N zw>RuLIxX7f-l30Tz1tJ^9DSrKl0UJ6`$%-gPS<JC2|JHYjgHuP^r0?KeqaUnp=h3a zP9MY?w=?WHdS7?dou*T|%kCU{FIKz#!S2>6(Qoc5oznf1$M{sNa{GbZM<++$xqIlH zm|tFCIrpyUGk2Zdi4|^d*nRZ2?j5$<vOeV-?i~Eb(`G$m{=q!<&9ZCGC)BXrHl8u> z;3u9iYl*iBo0}g>z5(6vwc1!>?!grHZrPl32}Nw(#u9H53fQV;&y;Ssb})jy+IYt7 zgJJC1#xq_etZd#W$y2()w0WhZ&e?<vHf!S>FB6tE&y-wqCShswM9H3#4Hpl(uyf1u zlx#SE@EDI;n!)*lR_u>ua*7Sk9z4V|%~Il7!t~}yzA#IP83*_AJWJi6*IX#sQ?%ja zK^69H8J?mIy3MwH&nzMyC-gMy@-4H7c$Co5oGGbuIw68>x6zEL2l?2IW!4lJ96HFx zE-a%{U~u5zKep9I5>pQ@<9TKtaX+D^*;6tne}hKzOJ26r4SNoLV5>H|aW|o?*-~;% zp24nz&)6nQ>*N{iICzh(_;>bq{k!{%{{Q+c{w}`m->$!>zpb~c|M)Zee0<fvQ=h}% z#&4-V`>*x+dh7a|KUbfNFZnm=ulBd~mh~rpYM+bWP=D~}>#zFP_ecFt`uqB9eBQsP zzpKy2uc}}A@8~oAEBmkgS^8|fN&Ui~ug}CUub=rZ_1Su(`l<h1pXp!P@AhBlul5)H z3;XT<OMRX`W53P+SAV)cuRpur<UiNv@MrNe>SO<<{tSN_pYZS3r|Hx8@A`A}ss5?` zYX2ww(f*`=V*j>3Uw=%09N$}S_;2fv>5t+&>lObkeY#$!KJn-4Q}JQ{tp1$-u>R0~ zj{j1Byg#fzu%F@ouivXr#fSWx^=bP3_}co9e^sBZ-@AX&pQYc$r|kdq@6hkpC*#ZO zZ~lGyWc{xFFaFK??fq{3j{Oh*RsBAlE4F*{g}JWpbpD-TDi^CuE41dmy_=<GZ|Od^ zN0V3!#nyH@#5X)+o0Pr#q|YIp$S*Tl=SRMo**Y)s$xPR`IvMGP*4#FmcNlY9Z(d={ zZMAvAT-P@`&(1iV(|L4;sZ6ZkThyBk`}01wXwESe?!F$mqpRYGO4`L;oyLE@T#K16 zaa1$xW7qDq!p_JI=N_HaxpO9|R4g}bp;h;l$PF`DU+G*s<8)T%(ix_+I_J(jDiK?@ z*}%BlXtTy#)|rtL&Lw@>7};@7sYGmnTO5me#6fp<-I4}Y8<UH%87%u8>&1>mERpz7 zdwR8tMEK1v1L4%PWc3RZ_Oa|awCz}r&B2JemqMJLCl3{R-*~Qb?2OYH9h>xvmfYte zbIv&xizTK_wB$Y$8FTJXk=WGD494C1n?D$J>ur8u#C<B#=bTfK*u>2ZbB<1nv^jUE zP^@=z!knXzbVSl8T5unU%$VsqEi%Ef=V-#iwx-6~hU%Ki62EtcP8>UN;n+T(1%JOD zd~`}@&6!69Vr`o>=CD50VM|xE=spn1aPCpQSZJDKs#tK^L-X!^ksr=FoznT1CYUN# zwYkBldr#zpvyV>dyh}?o=T_gmVm9ktoo8u^=G{9Y51f6JE0$NzTiadn_TAFm;qT(= zza6@J`h48>>YMMbrt8~PzrE>wKCbfHs=M7~>u>J;@TN3f-@5wh&F*t?o2rk#GfmgG ztUh{^yG-ArdhffZyTV_`t*PF7^Yq!c)zw>XdY7)hviHWD)?L?U?Y;75YMQ=D_1v4U zOY|@AJ@Y0sZT+RaC*HX3y8a?=QFZG()?L@1$0dIg+C2Sv+}!HIcUyN(e-@Yc?bIFa z;`OKZ>U>{yhx<%i{I^e=!l&=u@y2!M^(S$Ys$Ji)?z}#2uiW>dJF5%zkL?xv9&|^$ zQ2)r@EpMi#>g!a;-aLIOuDx3FUFZ(+hjGo-obN<;h(Cy{uMWN0eJU>a+o#*B^Y!=b zUGiq@_g0a3&87g~nxzIGTocU74!!73S;!<6DbZ!PcCD@+(|x8y_qej^yRqA+Pqx^x zyXf~F*6h{kemSz?hxhMhU_Co$k)|=*6yq~$)Ac8HbjJl=S#r}mUtgnqXX0J$_j+62 zn`iWi-;4AAw(IukJbm@*t8ZPmU%wMqRDJX<>-Oun<MPVi-dkE7{w}uu-J!jw&&O^r zzj^QKb3MEAw>!Ph$5y^uwYU4*x|_Q{+$nvoXI*}EXZN|-P31@LnLgLEEI+!F`<tFc z`QCd^tHNK$t|{NU^Yq!+)#Y1vdVgJaW%rFctyR}&?Y?qn>N7o)^0_--f6=?V`^=rt zXX`HQK5@sj>iUb=MdhvcSgWo-k4=6jR6PB8?A-Fgds{1~KZ{L#cWMv!=XIxd>%3pJ zhx<%y{JT#@;nR2TxZ_%R{YmVka@TvTmDi{3mU~~cXZ1(DW4p!P2kp`RsCQ)dmOE3Q z>gkln?mT@ew!K{PUTB5*!`S9>&U>O2;tyi$`zu+G8eW|G^l#nnRd-yUuG1|4dG~6$ z`2E<RZ(4$Fi(YUmHgaw<G+ZkZ$93oePmN&7+q<Gq_4e*waA#_{_`O*Fce{3<ey68i ze)X<v`Sm-oMde5DvX)=J9h<lQMs4dm?V|XP&qa5v|6n{}dib5X%GS5qzxFhp*Z#K0 z>Ad!*J&V4HZdpI0mUUkEoyyj^;a4hI=Z2ryr}Ry<I8O1oXki@VZ!U}V6}7FewWHz> z{^~McU+}r>YWSAQ)>qm=@duxYu2>)Onagy&$7e2+^%kGIE{D(9cj%1vsXdFnh^E9j z{_46I-my>Vi|B&&KWdK72=AzDeXea4f3Q=-BO~MKsU@iz&zFkn^sK*8)A~&N&>p4F zqBGX-sA+wwtrgGsOf)|3;ZxDrxPwncr>vh*)A~ePDW36Xm+tzCn%2kKQt=0WbR7-X z*r)VSv}65+PhC3e4}9v<Uccc}*Wqx9eTzPbwyc+^X?>v06wmmhYkzo1CF|61pUT$z z+P~rse&^C$f1}!UO8AStOsBLz#WjBKQeVHLy7jL1oIQ))iI%LNQQdk+`%xU@_pa^X zJJu$Zi|$%|BKGL~&<E=dolo4Zl^344yUT9%gUwyGt1oQsvRS<%_RYp<8ME%?#u*id zW!`OQx93R`ZjWGntCbesm@Zl#mY6PD8s?ZTx@mPs?9n-)Cn8<vgdSMObWSTS{Nb*y zYoQy~Jvys(XH8P6Xl~fTU0qi~H$<|&(z>?B>8#eJHB4u<&aHV=BD!p~!R9Wb)f%y^ zGeak=ODYjvw3;K9^@WyQxM7-TQrN?tUFSjz<~40PJ^j?_Gr>MtMOA%_wyX&ni=Jqi zg)iLMWw8204C^y3z3{}HU8h1DB9BfFt%!7;9$FB|`cz9TTybaDiBN}iNvE~s!XNJF zIu>fME~!woYqduV>m#i#Ym`oFZCLZ@l-8Oxj|xQFR%^ttKGb3hSKQHcAe3R<qkPfO zFvnEU;IN0=yY_{CSnG63>sy#$s%X{fhD}|2LLaPsbW-bGSmJgr_0=n)S?_8+3sc<Q zwIlSv+DEyfdCPCuw!YIW^8ff;WXJLk#o|#7p$m>QiYzqYd$L2dsAz#+{JB}2h3ofk z%8*<!;e*Kb<r{2W=LdhVJUTD<g{AAf;4AZ*zKLvJexSI^dijRpF017Win(qEADNeQ zPV>nerE{7I{*2E>)-Nxxb$zWF;s5cONS@!usxGtT0k*EMG;hpd`YMv`xA2)rreEVT zk#xVpDz3}HGb~+a22YvSbVk#|->{17VsOhmrY|D%mrE3L87}`|<N93F!hd5W*V*6} zOV?+b2L6SWT&IIW<}sbo+&AaYY0X`8noetK_#0MooeXxF$Mi`g+V5ip*YRMJc}*Wh zx|avoxIWSp@L%{;B+{?(sYtkA;!~0K<pwsc4>dXb4J)_~2D8j#`XExjd_@tL*76xe zT>FE+%x!uv66CkByh~&G1#8wR!B6Hgy%+KKD=g>Q9c*FgdROy>pJ6%I&fp_+ncj)y zFE5Zj`c9+7eWG=j{o(_%t@8u#^c^Y_sdYP;E>i8bFkPh5Ezp|lR^XXFrt=!_dJdh_ zc-7N%P9r6~X!32guG!j5Ps}ddb|{dRJmDzzX+r0kvc~*|t2YAASUNuwn76TAV)M;P zOD@Ct3pw_=25$?wd1mX6X<|16eHJkqUw^8x$0KOpRSPb?e8YWTj~414+se1~QO?`k zuf0y^G#;7Mwe>X>h%_y}P$ZTnwo+6i{MoEI-0#=k*{N~8X>-`zt=}>Z_9cB-W1lU# z&a^`8T~w%>phefAt#f*q%0vp>K3Z{I58Tq%R4S71_ApIk_2LX;uB(Ad`kKya1lT9O zelA>JV!zRvYkT0HwUVu~gy$@NA$|0&#uA61vY$aeIZp@PsAVb^sabr(y6`3&-<vm` z*7G|;if^s5d}*l`JY|cB_?2%h_dC_MZk|73ws-&Cn7R+k?$kM*ij8^rXwJLYN$=*n z)(A2_6Lp>Ce5UATqAcqySD#Ab>91pQ#V(%QY8C!c@sUna-n#3q7tUUlN*76YE41Re z95`d<>n{S^+IPerd9HD)XHkhrikl;s_3<l3>#W*$oSD;IqGz-*CY|r0W!J^Pg3dmr z5|IUqf5;r25!fNw`dq`x{h(zRXd8mk84V-%M$4|#%=eY{>zXazP^0}-_v-dA_rRZG zvjQ6=k51p7a{tk%Y16i!+mo6m689`h#&vpNfh6lw4K;T~%dQiF4t+_dW2R>(N*;Zz zA>)2A;%C_X=$d@b$kpef1MjW+bnSk0Lq2O?Q(<=g+S^-muD{xRZBC%hx}!U%y@*<v z-MFrFhuEw@jdfGgbWO9@M!qi8J-$`ydQ!~m&pKyzet33jc6Q!6jl~`{+T|i0`EUE2 zPHSxHVLBZ%vDo*V>(6VCqI>d9`yQRrShMG8#kComjob?>rajl-xxPx;^<h-#wW3Ye z<^>AObFC74r@`i~xZ~Ob4W{cxHm}cXFt~p-@6uYlz^F@e@ds(w`x-yo6jMd27k3zO z?G1F0biJqX!R=#;NcrLo(yfyNuk<$Mi4-rMAnkfb<AK{pGp_CaZ|a=B3-6r&<1^<S z{~te(yj9P!zxZ6Z#%|+t;VQd{&xN<nU-0+H8}$!0kIt#TsabSR{Y8z_H{p%*XME<g zoZs=8^M?O{pGRJ+$Jk%|#d*zt&(D_G{%h(MomCI9pZJT@Y`(+aBeVQh{B)V+zu@PQ znf`O?4t)_$vkQDCymY?8XU<FhJ#|iBgcr_d_<Q8J`mq|PGwL?>7k_e|^UtYs`YfDi zH}NOu8UL8NL!X4F&S&`CsXzb2r%t{34?c08^7pB8`XoGYe#4(5)BJ7f4t*5vouBaM z$Rl+T`-wj|kN9W&beZO#@bk!2|A?PQ9;)-$2matZ<j+&*^g+0BKEt0Q_tjU`G@Vjk zR&(gRaP9mDzgwpGzo}I^rT)c^@u_g-`~$y_O!mK1d+438pIzX0&RzazYMtH*7tU|^ zedM<KooBb^dY7-ev-97XR_p2Wcji6cG<Ws+n3`v|jHl1r`RPokwfNhZ&BYIAzCIUI z_H320_*~ZuvWLp_Zn{RuEjk~wvH0vv?{hJQVuq=DH+DWa^VMp4_VbvvQ;RpA`<kX_ zUc6RrQQ11P_8oJ*zY1(FUV83mnqa(&c;QOUbiqQtiB{sTVzQqF8Hdl>dErcH+Pcd- zeV!W`htG69aW?dnYnt9A=ajgj-lTJ?R^cyn_U+lTZ(n@=W7Z4j4P%n{7FHi@N>>+O z&SA0ctn-to6$h4{6m8R<%>7xQUhH8Q<Izr$MDBgOT*r58+jU_}P{l&cA7%<qcFj3m zwC>c-182Ub>ggAk&g?!NGo{$~oT_E`lb8v`xieoE>K)%H^*m`#cA?(UokGu@=6Iiu ziF|g*==#*1YtEEfOn(^DS}b_Z)MEOBn8sqpb6?HFr|w*F#xzxL|IS~}9?kYX6;oa8 zI@3E}Powzh*;e!GlXt#*wrTe1lQE^m7tflSPrnmWSbXs8SF`E2qj%@O+&A_2wfWl% z?tl7x?QQh7{I8YW=cB9dUHTk0Z+q7LMYY-AbZzqQR-Qf=U3zcP=V@=EH|C$M^gb6| zc(19J`&{(;{GI!*{t|l~oqw<B^R-vex%Yx<wZH0`<uBZ~^_i||{?bbBv(f4ItZGkx z(KXJWTDkg*u2KHPeWt&ry@+0z-?;DV&ucTbAKCNtXV~-TIr+K!mi`QzvEAtYp_<p9 zbWd;By3h3a+Vt&v_FR3ctCt_R&-CZCC(#r09rta0y7u^XnfqBa-5=K;-7a$9tA_id zu5Ny6CHLv*@Ozs+U3(bamM^-`^~bdb(M|cR`&fTmyB{5TZ_%e~Q?~!P_o}-4y{=~d z+r6Ssb@y&xuxILbv3t?}_jXmEey6LRe|4|x_iJ~ei}H`|W&M8bc646$+jUEKhrNrc zzji40^!cdm**Di+P1m){ejDk1KC1HCs@U$bwKunZSW}v=Yn^>Hvin@rrtG8ZOw)BO zvyVn{m+4w$?_KwFSJ>;QHQ9S3PoIrioxL^EyL9c9tvA-R?z%Q>>y<TA({xR;=SIFR z(Y?I&%$m@&wU@S@SmV0u+KZ?~*{$nXcU^lPm3&QT^R(wtbF&NAZQVKTSybY+Q!(7d zYfo?0xxOlf`%F~)wNIPErf=P`#&zelCsC8KUDvVhyf$sC-1VZE)u3uo?0Qg)cA@T( zty|ViP1V)Oj*UEhDyltOa$V>Sv4>I3*_`V{cZfZRs?QFM>^>D0eC<>8>U`aOTbHca zx;<>l)-TtZHig}bs>rsDe4VGed+VGvq1(moMipnDTr0X=>`qjE_RhImtEat-+?oE@ zlKZ=kefr&bsnucgH~*Nk^|_91`cuo*-*j$mPCI|7_}bjfZ{~zP*Re`JIZw3u+MLZ# z=7>Jmxv}}doUc{WUPrD?-#G8<Gad8vwezk%)494i=zLPK*sI9wb3w&nvo>FtQ~GSp z<;_0ljf%r&ZuUB_R4n!~a&da+Jkct#7m@SRdo8`sM9xbuop-eI+Ox=6>8+O9pLNb` z);qt+X7wi>gY;U<)2Ab2&pj#<dm1@8-E*F3rP!0m=yO#zr$4SawprqQl#TbtHAglJ zoWE2QHf{5UIi*i^w9{qhrB;MJjBH8gou^t6_8_t${qNk+r#f2czLuxoui3Zx$GJ&G z*X~6Ip1WkteJZjt{o&lNPuA?-{N`LyQP|zclJv84Rm;QfL>8p)o%?iK=Uv|yc}nHN z^=6H`IqhffxZCnp?N?6Id9`mjPUqD=<t!=_-ZFc}U6y&icW$=K^}TYFWv=guJf$+> zVl%~b;X*UU-JBM)EAF<uR*Nz}xU18AcERS(tG-)qw!BgcGC!Cmykd65W=_-D9-BE$ zW?O9TyzDz8@6Z{wQ#p%Dgj38McXeL$?Z{Iq5neF+$DJcHd^>KoJXf<aKe)5=tZzo1 z(it@)^G48)nuxqbMZyVYA5(?n%r2%1$Cx?p>^$k~k*8E7JYn{YO`XSmE%Fu>3ir&8 zxYP1TO~|})2dD1r6Pq}7X7AX<sXg1`PRm0zE_25nod<n6@{|gM8)pBw?K0Ij;O3Dj zzCUso<qOx$p0KHNudmC^mV0Uoa+LCgD`r=0>fG&nBlplrwO3}2+dFsqp2$_o6E2ut zal7TVYMK7R>drgfe|ECGQ~eY3=$p{C=`V^o?WW%-=CqxDqPX*x_l3BmZ$cG%h0le` z^a7s?mFOu}ci!+mv$JK6_mQ0}Z&V+|Jo+lMZhFREme;EHVh){E4b#8)OlZ|~kG(9j zy%)qKomIUOqjXmFLd>Hxs($*3Rh-7tHHtegc~98M@>11JU$Lt5f_FpQqt8OgdWz44 z=1qUGhvm7dnf}7cPJ`(u_OLut)zeR`>^$Y&u=B`t?~0u+)4dCJvOHB)(^stQJmKvS zmvmZHPXA#==P_@CxTKFlUDG}Gusl-T5~FllbwkXfQ>trX9(@pMo362k<)JE@zG6k^ z0dI!5NAHC~^&FoH1?xR5@7(A8A=c@X>Nh>Xr$SZJ8;Uyjct41JbW-)5USc_?`t%jM zS?;Pn(^D+(+~Iv7_R(9RyvaA_w!Bj*QvaAPv}5uI<IdZjH~bczSNY+SbYA6)kJ5RS zEOkfg&YPYOW*(XAd1I!_T+a(LTi&Q7sT-yX6{!iP3vHO(VBC4#bBEueQlT}ID~vhK zCr8Y6neDm4PpMQWN3GGS({yrxai_^-2jfoT$rf{4UaFk&S#(ClOWiR|Xvt)Wxh*eL zoYWbuI?sFd%xszAS>d;+SZL1V8*^HosT}fADi)eCdB>cVrz%?NjA=sgY7bL|V$}|& z3Qd_jV@}Hx6(w~>%TC?N6?0l1t4OIIwCFtQso|$oDAY0ef>Ec=<O4>X+LJdJbsqMV z@LN<M)G}FOPRj!oCUr)O&i$StGg+p3`pj&(ukuUnpgE`J<QubHrg*;aWjdwuNv+Ym zQ+@J|*)4Zf=J+hi6DpZJV|L3Ol}Bof=AGL;ci1L<7u?l<qV~vq_Xl=|&MW81C;slR z>woaM!?ypz=MJ0x6}2pHmDA)Kp9_}DB|aA{m2-S9xT(LR_Q)Lf6O}G=+z;3>ol}mJ zfB388n)?R3M`xAq*d%=w%#~aCtK*9MhDw%K%GYe1&MIHBVLGdP&gRh<!DamhpF52D zHELOAx=*l6`XacfpQD!Lg|eNz;WNP`xraYH&bb%ZC4Cm0)z9&{<BWTP-J?%})B0D` zu*`6;scf0<UQ)?2-95lA>6753{*D@!C(7Gw9(@$->(8iRd8{lZzwk%L5qAYUr_;)t zY?w|fud_LHN|{eS@khrYcLBSk4}wko95pNtl>f;EJ{1g+Gkhvo*Z-oL<-YO~o22)G zfpQDKb7=IRsAjpRJkRFQJHfL4f=?a0+%MQBy%Q|z@2F<EqkK>9;kJ&ut}pbI$_4Ai z8h3NpckhU8d8_nGr|G=XHyx+*N}qHVl?iU?o)OD3&-G4Z%Usthkt}mvPv|L?2^Nbf zrVAE|G4AHD=&p!ud94&BesEWZd3V9)j;pR)B3oW51&JR_6I{_9v6;iP+ha3_Nw>x3 zj?1ny^bVa-I;FFyL@-6naaYGh*A6|U62S%CKVpu|aP5d}d9Gw7ez1}=?t-(?t9}E{ z6DK|HEn47sLPcu-b5ky<H<MUIA|%vK<}mDuV-@Uch;q&Ok>Sm?{>>s2&rE(!2?q7| z*TsxA-O?sCIqG?O{99(xaQ$==2Sdz(*Su11CM{7p6)1FBJfoap#u7G1w<cMI`F@|O zUUK<PX5w*Rj$A*XeWTtQg>2@y_4l1Kge&(dv>7~Lo#yG#(7e#op_u=J81GE(RS6|i zo{Jtb5bJ2&6DR1*P-Wvg%Yf5-yT$sz0L7Uq3cENfy5Ie)>TX)M!_#Wx_3Bj9&V%1) zziv8sPssTKPma&7Q$9}B>&;gf_y~1+W~ix(W~mv9GH$GDZQ^oY&v#XYQE=aOjTZr? zCyzJ<D<~;_;bMJwS8d|Mn`(lwB`xK%RTLYhUpO-H=Dp3zY|d4CoTQI!GPu;+zUQ8R zvxC$dpQ4QuuS<t`%-V9~#OVbutY3?AS^4;`DqJyZ$^!x4NqvV+Jo)^Xv~0MvWPDF) zsrU+QWLj^&VuH`4YtHs+51*MmH}y(zQF$?)<=XU+EsX2UHTd;jo^WpV%;1)MpzJe= zYt>`XTZUpx_ICD~bv2$AZ4)G)s;hE#T=DmsH1V$Ey+sQ9ZTD+<I@bkGS~Ou_U)(~4 zeY=*3*Z55m>PcYlx81&EiRhFAi+zJWU4PActJvGgkkj1Ua(&>1d(o4c_HJLo5ifjC zLE)OIr$eW^V*uM^h6|G?u^jM;oYdp#kk-dC;Um{v3GJ6E>&-O+j5$}lW4gC!!GW_X z3I}@kxH`6`Pr9V`smhY)p<u;c$NEX0R$S**&dz9=Ej{<T#tH#nA%R&-w3U@LiaoWT zOloj?I%(ODPm>xR)V*Qgp4Z~xJ9&rSWTl!VvqI8JX3S!7Ja9p7eL(ld8F3H3vIT1T zFmcH+<OhmqHG8Ipa7=EHSt+1qDtq8H?;@cYEMLqx&CS*CEmqKK@?=`Up=Md@zSqg} znAQh|_2wD^K9kxMS;Ev9eGi@G?Ygd!vB8-0L>|cDPM1^^cJIBnXu+Y2DvJ(XR9T^) z%YI^c=bn2y%nx*hj2AYr>PxK;xF8o3#CewSgIKKYf!DmZy1ki<WEt`UFT}+JHFV!= z_HJ@`V*6N>OY4e{kkPc+vu9t|SYhBZsg1v4uVZ7MXAXPiUdP5>Plo`$2fAEbDLz34 z3~~7Z3dun&2OpJ{?{#y&r=XA@FmXY6$BX`_tI9K8yp}b-?G!DTw&=|7zw0=R1H~R# zn>_nlclMay0^T2TY)_VPSa?*NNcT_`II)&%LiD_dcMf@_#mPnGz9qBY=&9|l5RSC2 zcy?z=7{C1O2&Vf=4n|hYJ2jbhsxs|VWZIdzl8NJq*^lXI3frCb8=5xNmKHnY6%-}q z{@{7?EUo-{%JxqATSuL;53|*DvozijX}q&e;T_{n$-Yp&C(Fz^o;)*8vz%~SeuDHI ziPw`G@63B*dU5Z``A0u=EWCE@qwu%CYznMJ!7?Xw1yAsP+<3L|&K8?xRuiJ9HQw34 z^Q0_Q!Cc!Rubp|PB;S)TiwV*X9rBu)cXIMQ5wn;e{lFowo_S}e<cZ!>4tc?BMW3Zl ztj$+2-`99&3D1*l<`bf)G~W5bR@BV&WSaQ|>3a@&{&I_@PrQ9+xU=!j8@8fiqY2S> z9r8-L-|{^%V}GJ%J|X&!LtX*%&b^E~cbeYZ+nG1{&g?&#P1VBozIpjc)syYa9~Mux zHNRLq*~Wb3Ue33^Y57gh)yngdo~xDSIXzd~WZt>=<QxOW`%3!T61Vw2xufED<HGh6 zf=7BS@{W8`+hE>UJo)<U9eFA5)YeK%-syPY#*}}kYO=Zf%}*1L&)%`~<SXA>cU-=z ztu#+87QQlj&CbqQy)O4u&iY=s^W=<Mg!!ea$(Lu(xOe1CZcW<c-JUaV8l@ke^R~$B z^z13;wp9olZB{+kR&o19?xO9j_t>g#Prsd&9=wP1rLUX4(Raa}H^b7ii-j-VJaXom zdGvE%r}XZ*x#x0<(z=U<FWg*q=9&5I=e~CNM$goe@*Y)AJ~zAIUdm^+S=%q(Q#s>n zl;2c2`Sk3FdrLm4CFFg2suq`b>8V;wo>S%Ile0bUseDqKV1Bb`^6}Xg_m+HA>oJeq z)A`6(D8H#fSl9eyk+6>W&LUxLbIU!Q58b)U7o{r~nF&=-KGdI6*Ya68+3wR%!3(nm z?xlQC?v$RmxATE7Q$AD0<o&Zlc5+Ug?X$D<zVENRL*>Gn<~MhHPMQ7UF3Tz3PkBw| zvtRnoyYu9oTA6ua(d1pTFWgOer&eU%xtsHj@4dW7W|QyEd~r^tT&+H>$y(Unc<0>C zw?4nlw4C?(cE;tr&!;m>%G9<P&z#FSZ|0quopWbinaMeK=81DEWopG~O6h8aX-w9_ z7RHrxJ74=mr601IY;Ig=Jo)O(Ei*e``2?jON>f{59BC|UYV2t&Y+`I_Jo)m>8Rw3i z@i}#7Nr_rYnv>P!i!(dUsg$TKF#b8`<cygeGdrLASfwATy!|k@ZM*0_H;c(<XJ(vJ zIpbrL-efuX^vsBJON!JI(mtiC#id<JRf|b;s=WOsw<2$M@$A{R!}7C>XTQo_xjk~H z?t8O6H~-x!E03Ojd&eEO%G*zJCvA6}dGd*mO1hAx@QIl@GfzJDkx9Q~A$)A6&N-Jt zwN7KlIi1sHrp)A=HZx{s=hT@p=Z+MpwHhnVIr+ecC0)ou_`u9RXP2DvS#c)il+T~E zOXkA+W_rv#dCzCj8JGO6n#PSrllRPgaQ4YbpLc0V=ECa6D`#`w^?8=2WIlPv%mZhi z<f`SZmRwi1W7gEG>(+#&ZoPi>!5X&TlkQG`u}|f@YJFVOZy|gAowc2By?^a#Iq&^# zkIQ-QPix$ss&3U^SbOq~_lGrYJE9(Cb*<K2cWlS3r&$wMdsd#Dd;QrSvEQ@aWS7LP zE}nR^`$F83Z%UPVi=Hbj6rUKad(QP*49izjt@WXmZ{M39xXz^i>ABKQ@r!#;yvxoL z58OO!&Q-l|?pWWFtrxF)#Q(10{j&AKb(eVV&ry%FSFE?)x9zFviR<t7v_0Q?^Lj@7 z>bbmm+jd-!iI4s~>v7Ja%@b#?E!k$edEvez=e%F-X*uVe5-;?7lBNE{&yy_l8*4e| zOy9Hb$XC_1`iZqCXHVZzdGeL_tvxQK$`kZGYdL36U$8IbtoM~YDrdbf?0Is=+b=%p zmyof(=I2S5rcbEkeCh2Luk>ruh2A~6M{<>WwzKYI`C@i(dd<F+Gy1E(Ed6SE_M2)_ z+@qh9&P^}am-1P>{`iX07`MklXJbtCIX_Q2Gd*G7lTWJC^jFq!&X`_P**SfBNhRm> z=>dMupHwF=zw3ASor(GKnU-h2Y`J_n#=qK@_gv<N<ws|_J@HnF7y2o5VtP*H$;aL@ z@t1xG9h<JR&*h_Pr@muN=d|f5m7LS2$5eJsoi4NQ$OqL{eZ`uS54>68g?<PfnEq$) zl2hI*_N1K3n7G)}&N=_RWYD~W-+Qz{`v!GR?}%laoBBXb`Mgol^o6^7?xy$HHGh#z znHTu$ndF-D4?gi6NmtQ(d_H5&;#{Y)E=k?9Qim?e&6RQfBr!31-p5qQji+a9=CPb{ zo+0^X&*{tG<{WGPBr)&!4BKN*4ByEd=Ht1Yd_|V|y!W5DOW%d|P4}ogdCz;%9+&s3 z0dbSQ3+<V1Q+e{P_nWv!PgG0w7gnFV<NYK~=)2I4>3jAb$yMF0eKFSao!7rLEaj?o zuX@th&u4629y_nCGU{1oqTgkkv+uWPYVWjNdn&Vjx#qlW6;h8gdzV}CDHj$NsBT*x z80k5G>W9da^QOLt^qe>K%DR>^)y>)mH&3$G-ne;^mG;8TLN})#S(kFo>&Y6Gb6yGI zOzEoYwF_fCUwcJ_e@au$3){47l9_g3tmiAQ8*5lfRkQ0hTJxCiNIdeD_i(;yR$SuK zm~|>=y)LYIa>mOqJjtp@Q`>O!BqMFjSk9SKC#*{;QC+0X8O!;?%P!m~O*JX(k>#vc zURL3Uc1}7wHDjI1884&ork#^cPmNf&q)0U(>{F_0T-c>l)tE4+os&*Z^;oA;q&h+S z=B7!<r&_FAQmERa9U0U4$V(`^X@`)m_Q_2`I@&uo32AFv#&kaP;tF@#G3nq`j&&*p zstwvdqdlii4TwBBW$KT$OY&7~v?p$ww0EjYWamAv1#49DRVywl%yD~Y!n3^4f>(R_ z&bi;pr0!%EE<f3;rd}!)5cVlWYO?mu=+3)dbJi^BJ8kkW%xU|iol{S&RmoE=xLjex zyC?I>+9$cHdFnT9JKuR0`G0z@vP1o2@ub_6Z_HbAJ|RAt^U1DVCn7{@dmDJxf^Ox~ zafsf;HcLmwL*SG{yx*bcD%F0Ao~u;)1yu{(ntWy+%X!atbB>(zd^M-#oM(!^Q1v8B z^@+ukEYurqIp<8?Gw;Y(m9^@LwkKy#-eGz2mFKNFE?-qvswWl;U75VbvUAqtC6=7C zCeN`v`O?$JKk1oDs$Wo*kdeBg?a3FOF8)GQLKi02%u6}rd1TI!&nk1(AKILJ=4s+D z^h{-@`azqMPd#<~gDQm#)PEKU>8rmi64Fy&Xmj$3r;5K&rO=7VIhH3Md&>A<st`Ih zS!bThN0m->N1M)RlT$1?r%jHr?3_ATX5NtxDy`~@HYXo=viJ*C2pyRGXYP_yo-5|0 zobvqRcd1-x-((NVllMFq&2f3J65uzfTxic^8_Sb-J>U2}dZJRQzR>#Q9nU9zLghj` zChwVhBv)m(@<mzCcOL(GSjtuE+={G)ZcqFo$vJ=G8_CXj6Q4+WzV*m(H?kJ8QQm1R zWUai?Sjb9wqO9i|k7qqD=REv2O`5LogDrt~<MsIECmt2F`P$f;O|npKl;xZ=aZlfo zQkAvJiLxhWPuwAS@|DM}9+y&;mCA|6LRTiPk?fo`afu}7tci0ZPrmf<aZgH9Np%ad z5;9U&ls);v!^K_5O6bDGn!c1X9!GkP6syctekgPDnTLtHP@2k2<%2ROpL*!H2U!Xk zDE~AP(pP?IB&4UjQ0C+l4;6PIOQ91Jb0kkb_K<PEWFd5HqE4Snp-QK+qfF<ti7Aqt z(<a79c21or(|4pmrBzu`=Hvqp7Iz^Fp#u~D^e#E&v7#sCl*b>pOXfoRCVEJoyyvl~ z$0c7Sz-^Mb(4L7lk|*zaym5P!qEf27Q2OK@k0)+I=0ZCr?&&@9R(ZGl#lIf!-2c_E zd{?fsEBY;XyZ_5ij`{s>es;|3fAZ7gt$T*O(QiQ;`JJByt>ssK7POL|_}Al&`?DIC zbMB97SiUJ2*nRpXc)fp1UCUSHe7i@_lvm4Veippizof3^tb2g{re}=8Ke%%qFiY=! zuw*J|gQ4ONwi7mso+)SAH9b>Kw=4Q3c)5SZPmh`XQ|emIxO><e{Sv&`-%`i&MR~rw z<Yz%c`Hz1*p1WJvZ~7^Cw!h`4$1`^W`=XzMr~5<dSkAcbt2uJoeOFD(X?G2Kqo0B& z`(5f-J}F1reflAIyx*j*<)d=9eBd9CNA3dli=HY++BH2@4!28ss@yJb_{Za+JBPi| z55a@|EOjg&l<VbJeiGD@pZQ5}fB%=-miNj*cALIW)R4dUn`27<lUkPd%Kmmm-vxK~ zTm1C6>;A$H)cWi{Qp@sAIbXi;_KA0HCFYZMPqddlc(-GI@149OWy-Z?htid+%@(CA zSDFRw7QEGaCXeO3+q;}2=iFZ9w48HGF&ElB(NcQi=7|>4jdwZb^zO+!QmVXGI`Qs_ z*}Xe%o_OVUE61f&d8KsXX2C1HYi@ST>Rob^V^;5+n<rkn`IslADW{qR?GiMSR=j)S zg`11H&@RCXy)}6$XWWkD94S_wEB)}!iDzym=0a)8Go=sSIq}p@$2@4Kpn>$yO@jK; zFE<J5NiV!};)$DzxzJ9*6TLY%Pds*$F~77!@K~=-o=c%}r?lgpj%mFqH#w&D#@y_f z+AEWHq(He<TJg?_2W~9pLOTQx^!~|Ra>{K*PRc2_KW3M<3-0UnxOw89+oBwoeB}VM zN!tbY^xE7!ao6pQ*`pNYQt5@aPuy{PVkWd*a0jTJ@OInX+a>v@_r5)!yJP#$oo~OH z-M;<n&avm)Y`4GMse3+m>-LNH%&Mj5-F|l`?fEvV?H70Ye%p5A_Om-`&$n4@KX{Mr z`L^q~WAd~2_I}-FzJ2G;+^^frwy(T5tx9_K?STB~;@PuqU%J!wY@6}+x%bklX3xBR z>P}dd^vv5H`O<rRzihj3+adq=p4>CJNqL|5to>|u?zUO}<vqG*a%XN&yjNB^`)Tgf z?TtI%p3aTSdtD?w{kBH_>^;7pww<`Gkbk@A_T${X?WXsRRos4*+qGTwUR%ZNX}34s zX?wa&dwb;0w;#+7-{#6!-jn;m?BH#teC9oG-*3~}9=J32RBqk&uXm4?&z^GoTV8k3 z?0dNZd8c>tzTc+4{qEhg^4XJbzsg&@JNKR0&fAajns>i_YqtGnS^DL<y5-yKH$Oc0 zEPdPUn>p#Pjiu+`{B~wp`Zk-*SI@aw-+q%*k+$1-_S~DV&bXzU-MpEUKHGTqoSTo% zuvtsbxfz#UJa=uW*|nSZ&OA%ocJ=11Gs~=^U*+VaInT{Ko0FC1Z7e<O=D9OvR<mE` zq@`&aN59NTNmHJiTVi(crc*le+_%Ns3^#YqJX^f&+)a!0+jD%2w;60sJ=bP=`)SU! z&7tSm(zfYuuAI5{bWTj#;yJlRW+!hdr8m#XJ)P6P+49^q3+cx>-J3PfO|y`GloOS9 z+DLlZ&2?weQnwwx$&;=<r?+6+!J91U+;eyfw(Y;U>Wo|JHqFgH&t5Z^zMm76c6+w& zshq0Kjx%-h&Gy`!cc#pI_T3!6wAHhF^S150`6R7-HgDdx?Kkhl-LCchzV*)ae|y?~ z&zgTdFMf0F+Vj~pakoFuns@!vp0M9iZ?iYAe^~kUTy|O9>d#VhucyR!*XEwfE{f~^ zEcGV4Ag;Rh?ANW=u1CaIf1Wk_dRTn+=UK0^SFYb!$@_Jy>H3wGx@WU9;;cX4dYQd! z{mja>XR?>BpIF)ZW$Q&y6TFu9%hvPPkL^)=W_td*Rs7?c+|Q<GuOHeo?WfeU?CI+x z_l5nGnsI&Ko@Y<D>a8!V?ESR$<aL$!?i$`tTXoml?tAtl>T!0@dfk1?endUW?pU8$ zse3v*B5wDmSyQj`#T(bG{a||NI$OMOjqV521K0n>t^Oo6_4=|s&%Q_9&#qbTS(*EO ztH%15d)c0D-E;jzT=l10ceBgZTUM@pXS(b9v$)CCy6;SPT)!7ry!*sE*Ao3n)f4T- z5AN-l-+d?U$Ty{0y+hBHszJSwO1+?J!CT#D;#khRzKc0>&h=GH%Q@E+eWB`!mf{nO zCt8R%?&X-%y(jL-SEaS$iF;4X?%uKU#4FcZF)m+~R*EMU3ts77v$JDX_mZ6)v%2T( zJn_=iM?dMAQmS51m7tNh;@%T4TwU~qsst}|*TkiqaXk`q<g?OT@rQd(JaaYC7kZ{N zQ~cnb6Hi@r^n)q|4a9#I3F?c#EE3ccU%2PQ6IT^|p-RCM-8nl?Ja(1Qzf>W3tXn6} z<)c!kxZ|FVY27J1Ii_{T?ChA@EfaU-gHo%w;+_)^Tv_ymDg+O7|A}34%5_Cd$|=`B zdY8%t_jP;hJaNx;QH;xbr2xH2<$`;<ZFZix>-t9T(G#Uo@rAoj+;M%PCsZ!DqkB*6 zk=(6!ua<<Lj(vMRYsc!Jk#EaPZ(sej=2-ex+tn{4b<byQU43z#*>0(MSKqBkOW$g> z`eLMS+149ZpRG|#-)gb?;5xSSt=F%{glEV0mTom)y)!bmbgS9wmFuSMlA3)rAUt~W ztXWqtt!Yc!YP@>xy0l%hW?ntDCTy3~%&Q*Z(xA@Xg{uzXzhiRGWF>`tj#*o5dhV)O z_~jVgGg&iNC$1~oIqPZG)YXlVZ%=2%g}vS+HT|ka`0N<pqOB*cDumzObn9_e-)hr! z$9CKTbw*X!we6TS?dqmAZK+$eS4T#^EigTNl`C91Cbz)!;8mt@=9stnTeVgPM&_Q% zs$2ba?Xm5%rd<6N*1c)gy{v$+)6u;7Th&+JU7NOj*5s?N!WKv8=9%ui`Y5b9`faZ1 z_RcqcF6Bx)g?}0g-s$`?^Tb=19Q8}-N;PVm(v_;zCZ#KF6<#>^#2c3nK2OfMyzyCb z&gF%VOPSI};hDyQmcpIJf;T!3%slbhB}V;{mEg6`Ju^FIcdqeUa@Hk8eUg=+nXu#B z6SF#3%=DPmxnSmrnVoa|j+7{+sRgAeEfrQY7QEEi<L6SMv{0CF?uq9v$9!DQxY(#) zvJ^bmnd9eDtdyuW$x`r4XN=#GBBiOqjK&l7g+Cfi)DwPaBzUUR$IqomX`*oBoD<VJ zZTyZDD)kB{&N=bOMMQm)h2W9SjF}$OIumA|nA#aJ^Tb0J9`zs#!9$%qel7({jlzs` zPTY4{<<oM?Wtq>Be5G39hqF7TbiVObIpy+2jVV>BQuyHP6O%jd_#Vkq@>2^k7u?l( z#@8iJsZh9a_KDjrcjPwx7P#B~#O}#?=K}dh&lR`xZ>;s0-~OTU#Ju(wl^*ljuh_MG zQ{2pd@bd&~{*9j}Sn)6XEO4{^h+WD#=O;EQ=bRJdnVu`I=P#`Fc<me^|LK`xp4_Hi z6U_JnYdu~$->_l%s+cXe=$T@sT+=hfbh)Bm0+-uoRC>&8pJLZ?#@R#O=$F97_7*#q zFN*W|B|i%o@_(%Hc<yW=zv-vI+4h!7k7v#X@<l%dPPd2Hv7B+<XLIDV^Ddi~)6N?5 zMn45kw!7G|d{T^-`}9NLc)N*R%SXj-{=gcKN6rHBi=HY*$~8Sz43|rKs@TqNSmW`~ znM2;_hrq#h7CV*?iuL>}KM82@&-^5?zx|7C%X`HjxlP|EXz*XG=9tp{#Fpi~qQ6|x zcY)pQ7L^`%onOcqeHYl-e#DmLonk(JVf2Z2P9@@#c2BV9J{a3Ezx9sZkut?vu|w&K z)nbd%6)VMpb_?8UJ)_5R-szprk#kP3bXv|irHBjdo?yv6aq|QV?#5V-Ijwv2j+82{ z<xY$}F}rm~<cU{Kw{%=e6<2a6ZWg%Gx+bz?R_l^Tj#;g9B2T<@@)1u;Q%n^L+9hDb ztr&aag_Dc8&@O=stu=ZnXPl1c94S_u%l$Cs#4{%oaiKKDncN3sPCRwe5f9oaV8H!z zlYl<=%S{4$+zVq)JaJMH7uqRsqBSS-#A7EJ@k=`dj<xFOxfCjPay!O!OlwVv<e1hP z6WKAfRYvbffnqDSV$6vLPAuX=I|L52{?T1>%4vm8$|<KmVwbiH>}&OiJaNxyk&a8g zVu0AB?E-sRZ6Z(Hb$TQAC`GZ9dtvm6J5Eo;gtiOpXx*cG<gLPP_KUV2?;QWhuzXjj z<14BbxZV84l4E}J8_SM)%}*>n-a2OR8&wO~u<tAuux4LbEMUbx(bnUQ<1-nTbB>Q> zSiUI~@O`QhxZb=)uH~yjKHsBf3ai;Oiv_MWFOh3G>lnbl>6t<n-=t>>8GJ?06qd6a z+IGxro?_|o((!~$%NK>k?1Hu)FB~2CKUGdJWEU(JINw|%*Ya6mHv2^zj~UG=ax9+} zrn7If@p$TZK<3G5$2~GjPCM?9Y5AlunSG*-#}h{d{!LF6`q>L@JRUnr@NcRRINGct z$MR7jif__Wg$TZ)rwU<wMHK>vn?>YWJ}5M^3)*-*aAe^BR6aqAePPiAP4<u09`_x8 z@F_i2sAlgh64=}9VCiws@dMwdCko~48?8GgH(!x$d8bg!KGE9aj^hKqPh|qz8{hD` zlq>9H{%I_5r}2m6iMI|p?3dCNYS=cVD^#&fN>|v*yioSU8;1`(PtG~K;aPId;RTON znZicqnZ^Q^%$>#pHyRH}o_OsL!+yz1;9BDz$&T5LYxtI&bqHafWF=t6>?nI;R^tju zk6DciBu~t2oWpmdL?MkWC{1B0v!b!UrN$mUmlB1A%#5-po;w`laXI5)!+yz9;9O%4 zpG&bqBHJWOfisOUd`F5DrZO`cPta%nXf#2O`Js`(sYV|@mm-CU%#AW9rZw8|9Vt}k zWloei@yJ1heUgR1k;V*3k7<nwk|(A%Mo6A`=)l7sWFc^<k%!NvK%tSDQRc*bhgCc+ zryQ2?9LZOxWqv5#F{SYhugWQhFKkSy3YE+UrB6(5yu*7WPr;8Z$XsAo;~8F;JcUB$ zM(Go`9q#<w_?!Q3{gZ!>&)XOLfB0N}`~MAp-RIYT_<4L@{fnRO^XjksYyKv``Tv2> z{nr0CeD1gUzu+_f&H5w%lF!*c`J;T!KH)#(bNTiE3;w#lwvYJ#@tJ(yzm328&He}c zb$?}l;}7#!`RsoSpUG$bYkVf3{;%*C|K<7_Kiy~6Px;q;#@^$<;V=G+^)3IHzsS%3 zFY%e*@c)ND?$7Nl{%`!rf408mr~5N|ga3s;`A^q}{9`_2zwgiC)AqamG@rKD_;2`= z|75+(Kju&J(f>aF;6Gk(@~`=$eE0u=Kkkq01^zF5Dj)f;@u__Hzr?5V?f(t_xIeV# z_;2`w|6o1KKjshe_5W9V;@A2=;}ie>`Y(T*-^&O6+xWd-<Nt-<>{IHW{AGSG@Bgpx zJOA!_i=Xay?O*&e{La6#{>WeEck=oF3vM5OXIJul;_iO?-v{ot&#%4n?r@oW?YD#J z^3~rKrps4;3*60rtM<%0=JR&%-W)z>_v%gaIlB~4$JFxogw6dHzZ>qd&#B$>?r^F6 z+TRIxkI$~%ar5{qyIXIZOXXMoPT0(UrFPBD_F1({ZnDp+opbZ}OFN(MiD~kw-vW2> z8~s+ed;Eo+%Xh(D{1<9#-X)*0JM!jmvHaZM5AGa)W@qwUFin2u?*n&^Kef~O9=Ma= z;P;PB{QAFNY~t7Zz2MIACw3~|1$XkFsLi=~{IQ+P_lrCDkJakDb1szc{Oxe3eOhhG zP4;QEF*n<%*2=s)Tp-{2Tj9>}2X-vq1$XctsQvSH@hQ6%Z<0^h{rPrrJO92~kDJHu z*)4kGoG%~nZQ^$RJ+(GBkKeU>^X*}ZeCh86w~ybkd-6?iJO7T_J#P=cmD~OO!d~}x zw*T%hf0wI!S6Iz|yZXyc_W9LscDB!}ezMd3t!>78!)kt;?>mb5t-r4*=C}GjVXyle z+h=#2&)Gh@!~9LI;N8b6{_E9S?lpgv%YXOqncV8{8O8iptC!qsK5HBBe&aK_talTi z$z{ANd?vU2yTRV}nblKvy1%qNai{r<+~V&7d);5yI=uf_*>Ct=pqT%Bb;-Tv&vLWB zU)bY5qdMgt^Jlr~-#6@We`<T+&g0Xzd+sbgZM)-6^C!8<-zV&Ge`2ffe&bWQ{_h2Q z+#lOYyx&;Cf3#ZV9`i@JsCN^e%0;{@d@2|AuCRjtaJ9(2<_~hs-v#!#Kd@zZ|FOJZ z>-&PDe$DS6cDvuV{qaumsa*B<jw1fO)ebw|@7aEM_wk8b`S%UG+b36Fx!e3suK4?e z-R^g6AH4fm#=pJt%{k|Cxt*VX81vt${4w+RTbrEc7t`fxo^4E*t9mvuU2f~=1)wt< zKAd@c&gRXT#pi5ZoN+Fb+xU5gF~8;K4rBfsl?P@Xe{B=<{Gt{AwaPs++h<p<Ik)(% zO~~_!R{Un49p)aNRk>oO`>e_ZGmp=#oOAAQiCo&Vz%;p~pB0SxFID!Ob1sov_?cnu z@#i+j&N!d3v3Y*clK)&~&N=5|xx{A^E&0z>#+*A`BscXlgK@w9=MP5xdY>N{@t>;n zIp<s?H}P}Boa56fZO$Dol<WPRFz5Iq8<FP|E%=XAX3TV-R+%vK_|(dXna3a6@H`K+ z;6GH!bI!RyuJJR&oa6UxR-I`+WwY$e;e5H;&kts|PpN!!R{508muHNra+RMC%sxK3 z^3K`Ad2)Ww0?qk%Rh~KPoF`ZKxncJ4+ctOZZT!u5xBSVz$LFmJ?mv7kyZ!x!TKD<o zA1aT}D}PbxKCk@BzUFVTo8KS!+-LoM!{<J$_X|Gr-7G({FZrDHlRe7ktP}1tK9^np zzM$6qwROb(kI!WD?rr?lXZAjz*8P?BjXlg?WwY-sd?uTDuko2|`n|$me3#2-RJzYB zpR%v{jJ3yo!(V(C%Ukv_f03R4Ug9&K;rkCY?$50)?r;3bcecEx(*2pW!TrLYe5cDp z_A#Ha-nZxQY3p5knonD6+&BEmce31NAM+>K=zAZ3@EtEV+1LD0w)=fRjr${Of%^-e z%0}L6d@37$FY&2t`+I{L_lMRT_YHsW9V}<r$NWLI{{4zid|K~keB#?*{$+3Td)c6S z8^8ByyuVP*KBfH0Ugr0*{`U&M^X)FTsC2(;{o<bCcfOtFNA@zmlg)o$5PkfeRmt^< zyZh{4ABb(AUwUWV;WF9UYX{S1tFJ9gm#w@OxSQ`*>6vxR=dIqYIegCQ)tcsWRw>s7 zclTMop0K&k;&nqT`<&7}>kgO7u6>;ldwh23j>zM$tZuDwE|p#RI$<;4mC`kl?Xyak zM6%B+ofCQdrIpY1#5CE|Yk|A?j9x3m9)Drwa$Rs2--Xheb;)O}j;uLcEIar0gP7yb ztW2&8rpeBHeIVxeQ!Ab8fjjvOUjNv{r~mrJCO*B_3u2Bxu~NA%xRdWhX-?$v$5t}e zFYe$wR;shkxlp$AwL?t%w9=GF_GzUtk?m7UW!4=okZpaf5Oe&270Y$O9ef8$|Eyhn z%4)@$<Wp9Eu3g;Dx3APA^7uWgMQfb%Wdp8F+|IYB)F$%yU8^_O9;V2azFrW0{EpR= zYl7SPc9iZ}d-$!)?&lY5-QQXMo5TEFrtVx}HQ(*xFP7}{i{Dtb&ntdn>HgL-<Gf)t zpUv|f#eCM!R}}MEJ)dCf{>Jjz9OrYEkLECclPNg&v5N0{@s@ebUuE*oJ$xp!`guk% z-__zJ^P10E2AtpcOeX8x#Ah-Y=L(<6EC(ICFtd1yrTa_E6LXrs$Si&?VC(+E(&7Ba z%09#A0>ymii%aG;f0mj3{DO`9jN+7e%%5eZKi^>E{?ziooX4jv_sm&*+H%L7=1(${ zpHHxHe`2X{e&bV_{^tcY?vE`c&Tp*XJ6fzVkNKla)VYaIWg^ZMK9vbOS6IP!xL9Of z^9Pyc=K?nF4=fqZe=P6QdcL5jPxJW)Yxnz>Kh7yWm8pK-QN*{m*um2Mp5=#gAD_sS zKi^>8KDqeH+~#*O#m^^LyWg>VaPDIn-*)C3whVWfPuMotvo};T)bTy|#*o9m;5_38 z%LZHa1<x5i$vC`aydZbr4dVmL25a_&=M1l880Iohux+@(JfoPQh)>}h;{iE_Im{Kd z4A+@6Y#FXGR}?ek@g;m^xFyr@igAe?!)#^;+Xhqi1<x2R$uP`fwkT#;&Yn=kkjA&* z4C53zhMCL?whb4V1#BBGFf-UToM)COW=P^Y@R`Aizu_~38Nb74hC?zA&lnTr4m@Ry zkaKv-*kIY9&;H;k!!8+y>C6jk8cs4#uxU8K++fq7%l@H)p^yDS1w#+}h6;u*_6ZdX z9qbF9GHjAzn8v)Lh#`#c!3PE&{skWxIQSDjFtG3|d|+VUfAF4Rl?=mF<{3o{b?g_) z8EV)So-%ynQ#i%=LY83)^9gH)J<JtF4CU+z<qUp&3*Irj;7fSN@Ptp{9m4~@2X7hf z9NTEkcen6K-{bQZ1;-zz%WQwVLDqeK;Rng%^9o-`y3Z@T($`!jv-$A><38)h8;tv` z9xpKFyIFXoFZrCslOE-B77520(`D8_E|7J9Z4q(&W139fv5i)JW{(48-CtSU=wU9E z$v(C)O(yeLW139*u|g}p%Y`!}-Deg~>1#e?;c?v1itl1!OCNKI%>2g^#(aj4KghU0 zx3D<A(UR|MVT+{uGYf;`g_eA$3q$&t&sgm1Iegk;S5Nb43ytH3mV74*UHX`dWTKCK zwBS2lXwuhQDAWBoK*s%%g~0KJsWOqr8dGJ$k0qwcv_CeGaervRaoo^??_eQIA9I0B z{o@rzd|HoZ81d~d{L<T;FB5cZqj{gk;|tR4QwpE-GUv<qA1gHH+g)fO>3-MZ#W6#3 zzMX|fdYSWN@*fxcKK9PMWdFq9z4rGH{B4_`f2Z#7H|g4a2cJt<?_2m>x^iFOZ{Az^ zXX=>Go4>0$e9rt;P4hYPl>LIgdoAxz_}pu8zu_<2ocuj?hrdd%y`S*+*zEirKaagK zzg6S>ReI(9gwMQJ^4I)qo0Y%hC)=$2IX{oRH22w`_)I!=U*IoZqx%YfkG(K=*)RBu z_d<S6UGf?8BQ=LVOV7Rk;LovV<|g|EpGnWWf8futr{+5Q1Ap=w-2d^3SO5NtPrQ2f z7yLQ)#9U>+;7{HY`8hw2JvNuwfAI(Jv3#96=a15z_Z|MUP0LUD$u=!N=4ac~e3`n# zAEaCFEBrb3z?@~j;1Avd`G0B`pE6%jlYGkj&%TS_dH3ae{5*Egd{K?_d+C6E6TkEB z$+!7=?5_EneGi{Vm)>9S``8`xC;J4y^X|ysQ+qg9diU)McirBZ{mWr4m#*7ZxSRKO z?w6Zv^K;+aY@3(+<fhwOvyAPAyLoMH@7T<1eS5`bUaQ*^KvN6Pa-7eZJ<4G&lP=iy zaTo9P+%0*{rPBG^9;QjJzMZj|_iFBvyymlJ0oymGNoQ@Fm?oXEtuRe``E7%{Z8LMH z+;n?sb|R;_M0)XUfxB)m%pA6V+}Ue*TVONq`P`Dc=3?pDw=djrn~|H6$6PEu{q}}C zZcoh)<UBrYwkK!tX|o+U%|+6aZ%+VCYbb2rm@3_WyWo!7V>5~E8+Y&?%~i=`E|iYi zHZfH?Vq0OVblA4S9lVEgMe>>pq?>OG+;Mwg#<2b4_Fk>q3pVv?-u`gg?Y`NMZHlSV z)weq~@$SuaxaoG!?8CN?DbnS)H{5QUoO>m=IZwLy_JrGRcg!Aa`?!sFd-j_+=kHQG zum33Ky_5Z8=drh@IqNSzm#SH}@wrshx{1%Fwq9Sb_t+cL4>6C=nZAixe9rVmjPp0C zjn`)s^IBf-DCWJ9ePHLY*QPP+FIMqh%igoIZFcsWxW#8pL)K5M;x)VOu=m)k>=irR zW@Rtfd2D9(oVdeZq|(*}K9gE{U7?uwQg%<A^B1Xw*BSO6dv1Cx#`%n?&H9U#yyvoW z;+#KAC9a!T$$KU{Chjn3xSFB3SO5BlqF%l04~lqCW&6ZAf0CMby<yL>Y1uY$hd)a7 zUQgI_?2)O+`iT|1N3t_^x=qVY*m-PfcErwO4^4U22UhSN%I1l4{vg$Oong<h`=+a6 znopT7i#hyWs`mPW-EC8{-^41PGX1iS@u^hh^#i+)P0qd(d-$D{-@3qZ-d)*eVx8Yf z6<%-HeeAaBon;%XdGBUE@q2vUq+t2ObgAu^H_UaLpZQ_tv3Z#<X1dMGyyDkfCbjwU z0pnil%NvY)tu8Mx=DnGD#4q`r$rB&tb0!JP8PlcKUoM#I_Sz(3`NuS=yk#4$dd)5e z%yoNZa>IwYR4RMf!ZfMOWsPZ4>B|bOcrRzpnCUh%bBbT{8557?hE}{6Gh6(aOQhys zmN4cuy!>H~+jA3(<r^(|&t|sFbbDrEu)NTc_jG26AM+WLeLjazo9yywK5e40+|ZKu zWTuNBbCFc^vX2(L$1_d*nhT}6F9*zVdt@T8d||3o<g&(8sqkfqsZ#Bi4M0m7IF=h) z@E*)$@nbHKs=vI#h*#_K3?ttCnO}UH^QD58Z8Y!IxO`zY+my^FzRdYj{>uu@d3R@8 z%yheJ@?x2xIq%NQBfiXeQu&t)taHDc+`U}n|GQY~U1pu%Zriipw%9HIXqkIHv&!#u zan#$)Ez8f&YkR)MdihPuwdXQR{3hG#e%oTX{G_EWXt~Zo%eP-mu3wJwPquw~HZ#vJ z+IH>P%vH;m&O7$Z<jUo1bCx~ZVzPXp<=Zov%a_lbm-cLn(ekPD+@6_Sy6omJY^(dl z<ice;f9c{`GcMcszqaZ9yyfg=6MydFsAriomdDOZtBiV@nc(-kXx8-0yXG8wYI5qb zn*U@Q-A^VbE^nLjtzs5v1&ramZ56W~Wp*xCoVV=h7M<mZmTymGhWT0Boc*xn&}9yP zX&c`UTMk@i@c(VS_Ectw-|V7U_cLpkf1IoKbj#k$i{>mVmzr|<liy+Mw<j~pm*1TG z?8%m0mtXkJw)TCuWyj?Qe%01zbGO{RSmJ(K_U-wM9gBZTzAZDkeeqY%vGgsri(g9W zp3m62_+p=#wbZ<e?|RbGw^%K{DCt|a<;KNlJ!<J&EEXT^V@uz1{bG!Jwrp?d7W2hB zC38!+m@Qt}H_b|F_Qe4AXyaM4E?(+sOWR_+cy3>s)vTEpPxXXZNzJ_I;Vv!fTe9WC zMF;oaGP!3mlH5MatSvS<chSuKvW)JTjG2oQ`^qe5J<XW9xKZ-$>5MqH*G5v)FKW2Y zmhmmxa^j+b`)#9Jk2CrfoAw>Exb-NbYq4ryo5ieY7dQ2^rEbw)94Yy>z~t~nE_Y>_ z+yaw>7n$6dW!~m*(OMiRnR_auZt>UNW9GA_T>R$NZ8Ym%Mu6LCY2N%T>WlC8rkT&0 zeDRgrV(HvGlbshIxiw3_%{AGc{>IMvyX4OEKR)x^N&iuK?5%Om{EN>eYvyfyE?G5i z;&aKZ=NHr-dt>~;=J7e>H#UpU8NaY`{wBHc{EW{%9hED-EQw@)qN<rOfl-Pjh2f;Y z@+rYunGy_#g)$5zWH>rHF0a~pn^A;;{b`t0z~uH6&sj$txR^ATFa$AhFfdMJWDsBo z5n>lfFA}`aS(p(qVZD)~vOkC4RlQ4U!UBAC6|1=Ob;LODzi~QpVB<!^?Z<bDvmBVa zsy3xMNHT%-&FQ9ib`GC9jvJX1p03i<^qb*-H*(>dLqU&SeHd7;eNc}&!*cllwcHPa z^PUGK&AKA_LOyQ7i;zPN$2L7v<*uplZd4Gu!m~C$q~xT}x&x19Ds%qme*NgQis6P9 zwbNp^S)V^^d?Rbaxmfkd7q-TpLuY#C$o9_;ZsmQhtJ^sv+vebgo#&TdU<+fFWC(p` z@+9LC<HY6D4=_zLkBFC$^vc}6+e~ro-nXu~^*+-xRvuYeEs>_a>}t{<HR)AiB1=m6 zy$ek;3T8+rpAeCns?T=L`Vkki8|Q%+%+(1@+-v<g{)gop^$oZ7aThSEI>utLX32k* z&-%3=o@gICz_9AjroA(TMXRrBUNiK_T=g(gtn+&yTk#o_l$UFmek&WO3i5B}2~DX< zs9}5P-YIpoip|jI?=-<>o@#pY7%n-!<gc@@njmuBFEdf>*S^5&rTSlI-(1Z7th1rP zyjQ7e*<aJ5nZ<%%(~I8UnYw5TU&_*@PRY{8^_o7^9@=(9&3Eov-s_K!d@|Y)->{Wc zW>HK;?qx+A=gJuQMJIxiGM|UsS@T&bH??muukPCJJvno&9V`w;E4A87i*z+FRE^r% z8|mqzVmZ58-emr%n;Xt_HdgSKUx>J#$)_@%HT~AxiR(|_6p`fGTARcj`daYZG4Ix= zY0i(OREq3p_p-5Udm^!|OLFr<=RIQl0f)qXKHK(VL(bMIGoMG@Okm%pxI5^H(lU!Q zagm};>!LDC0<$N{%SO*nSbdLq#zKc{6-TFqxg32nRYu(QJI{l<AEln!Z|rZ_8S&3v zAAMk1$DV`D)%UAJtsfjd_R{~^2F0Rpxk5TQH5;Q(oo8QKDR_34^1(Lw74fG8HY}8x zaQb7NxxE;}?^zKyEw6qsW3cX1ux8%y(rW$s&KJt653*IuzDHE@ie{(oG5u#}r#I#A zMX7xGnwoCQ;;sK`mx|xMz2%#>nwXpE+RU^ASLC^(PG8)RbM1OXUS~A_ExVXSOGS3; z1Qts(_LuX16HQRsSY;?tWt;T4?~er^+r{YV?3`}@7N*rZ@a&j(_2V(E`QbcvTD%Kq zEZgXzJh^Z4wKZSz6;(DT&D!xKc2@S&WzUcNezuik`^C8$e21N`oDrIPz@hfb<PrnJ z?@Ldbdd&JPcJPm?hPOzJbeDmo>6#Po*q1TyGuV;1@%?JCX!o}6yR!F_?uS%q={+z# z_KxLbaLHLY{_Dq?6<cLaK926J+AvS%+3vW1HXDMb>AbX9>cV;FM9nAjJM|a1mUlhe z_GQ5#r~3yD`c6zX4clVt5g~Fd=5Bb~mb42?mz_$spSJA4agFE;IY!~aEz(y{ESgwq zVyc`X^;k^DN#IeonqsiQ?r*KEM=az;w>ug9`_k+E<G~yQu8haYt!~+xdS6viW^Mbm z`{2>tyoph67be#IFS%3r)ksEf?u-c%t5RE5Ox54)keRf@Y(ra~JjePin<b)qd0gdl zm69gbNi9<4oVw=Ns`G9kt?AA}EAGu(;mO;l;G)>^VS%jOoonC3O)tcS-g%G}*DNC| z@X+o%U+?A;-t-lj+<(tW|JX2bt(?q)@Z^XYA+i0EH9iygKWz)$U7fX3&FbI(ibVUR zPeRqARYjs+G>D4wObx#_yY}XdQ&$-tGtTLmcE2HOV(xMddExJF!S3Ir+f>@CTlDO{ z-+NFo-R5<No`c}^x}H;vGdH%dm-hc=HMTS`-0<N<>S87V=e|D|dwAry)-g}4nOnzJ zt?VFMIrHg<?&GQ~EfZxX`|kYqu<@b9LYW^{DJzVQKaTCY%H$}!$n9|6eSx)y%lm7b z1-@z@_wGD$TxeNb%yBg-C55%e-0~Kz*)P)(bevs|S?kjT=H%>Y&w6bvrBaGlnW?Tl zbgOfwUigU{Hw_NfJ+OMU@`~~O3Z8zC_XpM=4bFMpt+-|3Jdq7kR~mesd`o6kTj%+Q z68jRKE(=Ni#q{kYH_vw_4G*oaHX9j44VX5>?_arpQnYCDG1f!j6HN8JJ-3H#)Ohng zX(IP;j};*~yqm7gJOA$b!_6sM53Ra+$nJ)8@nMBT(Ym){*JgcaC=X;jc=miv+TztI zkE>TmJ{4X#d6A!r{8ry>w-WvqMqb~ieqOu8zI)ZR^YY@mOXlXed%d_aY1yl5-f!OI zTv)lGpw|15PWRH7*@0`iS$BWGm8<uE*4a}hlZr$0f9&9N4BJv=IK3t3)3kH<u56fk zBRS==-t4Qv+IsEo?#k&5il0;4malkV{9%J$k~H`1%buT;&NR$<Y50HkQaR(PXP9em z8Xd~b_TDPEzqDBT%+8mWyBiiLb{H0F&ij?YwDE-drCDDb9UD8O^p7rUsh+i0Xktrg zzRspUsycl$*Ywz*u6S2c*ZsIHM8h_DqvC@DELNM7L?<>@u+}AsA1^WM*u=kVwujXl zspC=o5g87`%G1j4=<JD~Bf?->F41!#K(sS;&ZDD@tCDWXs`wZ7fAD=IctLhKk5+et z>WaOqtVMp*_*$h|$y~MGCd;gF-Cq6Bj2VK*_br*l^5ojP7mIzX8p7;rLn6Oj{5|)M z%#<A^s+wGtpPts%mD_v?TlGHd<LZmc^9%R+<((_HUHDn^(bUi%7Y(~N2P;@i{V%-m zIB(H!27QeUS1mbj|H-NJyIpy;YToLfOT=EN8?qidbn@xdAG3R&+xLsdUCF8SJISHH zVMgv+zt4NF9TN3ZPO$mE;1ScV%H|gt_c}8gdu=0<)T|$VZrHhWsrW+{vBgz9+ak)# zE^cevv2v%#tt!z=^Jl3zIp2<X{?(Ot&x4rA8`b>Bh1<dn&IQiP<dSKKH8<6|{pB;m z?b7odA6trVUfaC5-#B&)qvTe<+$|f<x!Q}QX$NI+?zj<k<a?ruu*T%FUDNZ-D;M#< zT(Rfc;!`{RdN)1xJmG$c=aJint10H!4O%Kz*-n2VoWeEPU}u}Ac2y;}x2J~d^5_*7 z1>EbaqM6@ncOKleO4a>6SEu*8FR$cM<Bjw-{Yh>~R!&YZoFT!K<TKwriNA(JMQ@s> zsPUPJ&5!oH%y_Uide7~(cYM=t=EdHBUFN!{@^ba@ySu7(-8Cz}Jo$Cd?$YyH?+S15 zb&uPyHan(x_8VS<kTp$Qxu>7XBsH&?p!CA`n$yQq+qXXrxmj_@J#PZ5L1R|h3r^pT zxd|&pgg^gZ;5K=7)0<qIe-6izB8)_}`Z|7Yt$cYi#-hq!@08LqBj)ReUQDvTXfko* zyj6}|;`6RG@JW>4eB^$HMbQ4!KDL7U7i`w_%Cm(TdOnb|W7AnE8DP3$Vn_35fi?U` z@0R^}emF+%_TjCP9x^e96J9TVa#)P%@XxA=3j8u2{Eo5*7=m9Gv9+eXn$Zx|;dpsf znDN8KZ3Zr_I`PU`tt}1PR)p_3-Z4L?W7o4=mt$=X?<|`C&`!6l>fM?-tk+oM7}5`( zbm6Y!cI~dvk77`X>f!a<Qt)lpMW?A3oeuq7)N0DPc;<~`-j`mrE!ie#wQ!G2Zr<$j z+dO-1%r8pca`QUKz43(w<9?OgJn8($2UWkEzE~BV`TgvL?46OeD_7=3vON~+(N)Xb z$(s@Fuhy8<cWw5Oozcc$rxnbuUEsL4Y<rUQ&JqsqTQ6lxUnIC||GIcKYuog5diSiF zW(R5}aB|=IboF&kn*W@*2chTnO8FM-JMRAV)}(2>L|yJ)T$<<fZC+BwrL1L-nF{^3 zKS{an6kTfXZF~2|-${3cU%%WC-Fr7J%0DxF)2_T-pU%bVE!*`@X~Ml}darv{te$Sg z;Co-g?(>q%I(u)cUtYa*a?!FY#@D|es|@)f(R;7p($608>ioD@3XE@lD(*eMgjwlT zDVzR!86Df?H+NTEUM{|%AR*?-9+m>f(z#Qr^zY7_QlY`K*Y|(6%z~<Op@Byt#RHbE zlg<e0IGuVcZtA%yZ2t-kBU8DrzTf(KQC0s^JM$U0tY;SO+IvwuJnWXyN4cg~Y-@wU zzpg6Jxg_jfTKDVJqzPr6{jbV899Q06|EW5fIq41Kf&2-Vm81*`80sZCz63FxwAB1r z8b8<igwz(6#vraMiClS?Y}u-WAL$-A9J|!vPN({>%Bz2u9n4>PB%Z%oN`>)9%r<p~ zch@em-FeLxC)|9#s%TZ<s!6Ty-p&88Ah=(6+6{5eQ=MIpTaKSUIW<FJai5==T)gG< zs`!?D`jU3r83HF)hI8tF`uWqYbfQ;j^7D;XJ>#ui(z5o>Hrrjc+vcgD`<*A}Oxc9I z_6gK}SseW0jN^1gj?zZ&^=qpH=d4?CZ;F*Q!;N)$_OiZJ*%r>9d9Efmga`+2X9>~Y z+WWcCac9Gd&5z8(`%PmS*<@BV3x8({)c>+x`f6{7`N|W^wdGv*{%XBav-NiIt{L;g z9UXNpzxVf75IPxJ;P?Le`P1pQ);fPRx*J)gIBW8{IdQiH>N3uMzI@x3Uxfdkz0A$x z&@W<_(idiVJZ_pC{A%T*V%N!8!kMe@28K?N+Td=fzq;&s?4+n&k@g-o&-P9YdFcLY zeS!YP0)8#?<h(sOaaUx__m*<sxVNjc@Y?NvFK?EVPMx*=V|2exX{(9Cs_fmbWbQm# zYri+mBBF3t<+AI?mM<@|(qCm>`+9E9O6E-chs(tyUpc(yoqumN-{gCuf#-i)FZJVj zep~ml^}MK8S3X{rzE)PX>~@1@sobT`Q1caWims*IyB>Gl{T#IZe#H68mDiJvH@}K1 zbohF%+bZ|>lDkz|N0*-SUH{v6Yx&RVzceSVYyYE~pZ=ue@3p;ZuhuP{cVv;?*Ui$C zzkT`gc8B?|Uj=z3)y3&o<J4DmSN~r1zvt_@Nw;~w&zVwn|JL5Vk{3(br&sC3KCk#z z^h?%gs#QnT`3?0U{0G)ETy8wD`-*SiqIsSxyG^2BZCW-f{?)3Es?)V6%pP@~wSJPO z-Ee(b!LGemEJLq^d)c-YUbx*Uyx(o*@~-Dcy{sjV_3c=mQ>S34d7|5We%|Afu<gG} zbc?G#mrZ)$%G$i!t^CJJq1Ma1fkrE?><yZK+_#9~|L2g)yt9Hf2E6X?SaZ6?S3t?I zY3Ce=;)L63ymMEoJrI5U-(1^p<@yTFi4hU0jq=<RJWbDiKDNwNnd|oD$CYvtKQvap zdSm6o?PcaBJ<0aawRMIbCnA4EP6&F+&C9_!eN*mfdH1D-J<)diYx@5s6j=ObKlkMN za~99o$5*1G_!6c+oV@v+!o)@PajR=@#w)zt!rL`Hdal}gldaE!j$XU$*$@=FsB1q* z%|@jwhT^9VL?5`K+v9aMaHjp$yy72{clm=4-D6r=92UEjDOz!xx4_!WCoSnN4Ph2< zA_5aw%@3_!7OLR9WND)At)^e`t955E`TXB}DR{2&1lE~)tE3acq;JpSTI?`~;p%I4 z)s88x>T8=gYi7J_YmQjHhG)4!^n;JRrxs>!S$FKpQ_%yHH7;78Yc@>xuJE*ODq~<? zeJ?0`<HRnhug{k*FQ4j__|Nm&Pmj#=>{6k(&bKd<PSy<9{{AI7r2G7n{n3jid?<aw zr(vX`E5*IzS=mn>^Fs}b_C6|$ZFRalLtI+wa+-)yZ@l|m+e>YiYnVdan0Fko-WZU% zD31H^=MTBMw-$%qRekuOr<5sk-5l>dv-wP-9w(<WUw6F}FKKqjK&FppZs2S|>2`}5 zM{RPa=XWn!%jWaMp|^qiCr{Wjtxapx{hrv(iWQ4_cFE%Ly1(oz9%s&<Ev|pzx7gm_ zHYW}h2*m1dlGN6B<9(tUty1MS`Tse-)k3+Bj5{Vx@x5u<C|YWEF+ZZB=Ucm|Rlw_{ ziq!`<WL#W%S?rW|>CJxvwcFot3eV5$k-rgr(%E35mdUc&5g+xP<1$kl{cg)Ut6vgo z`}sZAB=FRB-JAOwSG@SX-$Up88o6^PC%;~p=A@vo@!N6M*>9A#z7x&cu522Vaaz9Y zI49c!tw@uw^WldsYc5ra-xih<yx4P}nWUE6(ftYjim!#QxzCD7vf8SzV-~{_wmBqX zQB~Fa6y4))nZ2hotER1Cl(U_+q<u#C(TSDPlC6#0oT9-mtHlC?6tB#((Byix%c6Bc zUjWznRJC0)(*FC3UMU7Jzn2r`y^@*P@qq22irdT<?*0FQFJIhie0xgu<mn<jhZ((E z_f0sq#;QaiFs);L5ySQk$0q-fS={v3I_m8tofSL9MRjevl<b)`51jeGe8+dwsR0)r z-97%=_Ws9$JDW}(>zQVAs#WEmg|uV0_5`(%ExoEAWWraj*gi$HHgM_jd0Th{Ur1-y z{5aSwzcb=?h|Zo#waz|<`V!4AZb>^`YP;34OlJ4Q4bc^!+hYy{Ouyl}TJ}V0rZ!jR z-RqC)pV;yr>OA7OE;O>%DzM<2{|m?ZWtAG4Qzk|$%+jdSR&kNps>s*Apt{aFXUe2S z&D9y}BWFqX+>}r1Jbvk{`sC*`9#?w?Z%C<(JJj~2zf97zNqB+TB%__0r{}g!=v+Fh zOXuRlB>{^fBzmM)x9*6T>&@x1Ffj6~1ozHP_WMdKTOYAhl$}@J#JAiy{ZROkV-0+A zQt=rIuTHO=RCz@(frTqD;#%x3v11*~t9cTZ%+e4maktMnp0vls)bahp^u0%fHu{F0 zzVWBc^P#=mqswNC%aT01M7X>Jb>+^uotipbA;j&rqw_MUh^Cq6m!EXK#9Py(qpEsi z@wEhoK$E4acTcu>q)zzc8Tf?L^FdAP>4olDZ%m4&b5!_nuD$=U=7FE#1onUYT6~+Q z8U->2>9@XMoVvy9)$W%ZCM%|7%)2sw`k}?jKSP3E{oC8A@J(F(Re`G9s-(RPOO!R{ z{dg9{ryFpBsgotV;g#SnZm&B`UzxVV`130X+dg`GhE2k6&IPBAbMN-n3tiaa{JY6Y zYjvoD`<&=iM$tc}Uz!;7b3$K|cF5saU)CAZB+O=n#aopxEYy7Kf6LPGmG{rduXeP$ zPBr<U@oGUtP^EG!Z;0g6w3LPok6l$VG%ih#7yK{BA2`YX)K@L_OUq<p-Z6w}e2=of z)ww|8&(%fVI|3ctw*<}5-#Jkuz)HYmKdan|R(au20S&j6OPCoz;6R%A&(p4XK}-iz zFU;w>bL*s<=#2O%S*60=CzUgzkLk1jH@|YY{wAM@)pz+>!81ccL$7w1rG=VSWpCs@ z7UjLx^pe!XUV%-Vij3mIcZ6hwkKc{4tNj^Ll(IRjyw|_`%TsxSePz*iW9Q3Q*JVEK z;*iW`tyZ4WQ}4}r>dQjU$Fc$TyG;YEf=*n0;;WoE`{Khdk9zp!C!B0+vVJnJq~%y< zy{pX4w`JllGs`D#cWRM&+qc`>v{8Qgo?sit1zA<mWyRTN9`IG3Wa8p^Hz7afwS-8+ zu@|DkpDy2@zdhaF?!(sKFQ2>1o3D%ISnQpauavrA<BfR1hV)OjF6Qw&Xgzb7T`hk3 zg2dO)A5y+go3&7|>5ObX+g;PPkK#YynQbiIYTet#wyfi;=EF9L#lp8W{=T_4$>mYj zcS{wg<Td+a7WG8#+7SK0=Hc^`TlOuSA0WXZ`S8;8)*W9`cdqu*3;cBH?BrwW|9<sw zmfqq0wEI>LAAfaUqt)%$KL?FX+J05v`TOL2;pX43^X@e|JYK)w&42gHx}37?4xZK+ zu@g=*y^m+l_B?8`^6~X|W@%l!pHG{8mgy>QwM$0M<M)0Omgh`b_onYt;*ECYYl{?n zoBqn~o4c#}idou8@2N7^U0oD866$U5h#Xdv{;T$G@3I!5sO3v<E3U6_$P;R5n5JU+ zws*R_jq1IU%ci}_e`4cy9{s*0a^>#a=_ZpF8s4~HW7B%&+vjI#lj0vQ-~WF1ZuaT( zmz(#f^xhC=iVk?M_sZe%iv3D;HP)MEzdNd!zkBUFsr!>!Lv63`(5~(h{hCxRE_N$3 zmG!sMtzOH%kWZ{7ZkB<1p6s$Ii{@^3yZ_Hjw)E4qH=auNz7s699`5;FT+x-zt6X(& zpT)-C`pw*PUR~P5BN)4=pheI-&3t#i<167E-;YZ9?>>4;q5qBCCYPLkFE_qt+wPZ` z3+|47rq*lt|CTM2?58wy4rl*=7LOP;9`9Pguk5qd@mJNJY}q}>lItJdo2|g}c5d#r zu2=5scH8C0pLLk9Vv?2Mn`INXr@ru2d)nz1{wMYRq`kYJ-f6Me;VHGyuzUY;`Px4* z2kTa{cwX}GPF}v>Hmp2c=-<0IK2z3B|Gehf2ApDCA$;O&9!J-@1rxgWRQ!w8RP3Lb z&@}N_&d=PN=kvsD;`_y~T&OsDe_8qV>vva)@6ML-+{l`7!+6=pzWo9DXX~6kv^(Be z|LyBrr;SSlyO(^t6+cI$EuX__M`qiPZ#{bNA27Jo9&mDA_wn64?}Kq~?6@~B>SPa% z>s@?!9=FM{U(sJnOSxBd+hy!wbxl3HBKJ(tYGJ3xoAz6Huw<Vw?%SPOqbTIkpun_g zW&&^IX^(Th^YnT*FFALwJDyFbE%VHy_vbF}*OOq8RsMPL{JT9nO&pWg?hn>qBwOx( z*Y?*Xu1QNKviF|;cQ2yTaF@5@{X0HP3qSVmKcW7-?$nOotsMDnJWuYX9bx?WEKSI2 z>Bc+pE4264J-Smf+b4O|{z&J0cLnAsiu_eux2`l|kE7`2-n(V&LDzX2DnDGFbU3-; zSYUnOy}M@b-p%1qb8^eqYQ13bR{!$k;!@)$^6}X+Z|8j~DtDHv+IZ~#*Ka}h-dL7r z*nIu|;!yAe{id3Ku{U&1eV=}I|C}xBq%wMv0vFEzQ}~%Xu=DY|z1k{Ib_#vs%y0^u zHKF>JG1JPrPsgu6;@@_l_epnjR$H2k?&GB!uisy8WNmax?$OHY5`SMGIJ^61j@Y${ zdefW}q_+Pp?Ju+6`SVHc=G=EvwaTw^^}kuyzC12`f2a1Rq@Jf&KcC@_cWBgqB4_{N z)*UTPt=NpdkY6uKP9z^vzdx&Pj%M&3)5mpX>mN=z>9FrwMpNk5Ex%trfAxV|Bfpn# z^{XAx=U%<#=6Ij6Ic+A_%2wm27xT6XXauZUqx}3{WXJyKc43~IZ?z?+iG8|0QETP% z&F>E@=B&B4_-?G9U*Go$F`{Sf)~Np6u;|CWo(B<k{$6~yOMdp&!(COoXS*(Un;NQm z^k~VGJJuT`rOJ!_R4%q0?R|1FZ{@XFU5mYh88e*h7TQ>@di>&qfvjWlB0GWAOFpf6 z_i5Lhb$us~?~%&UsW|lD-rDl-k$hc>p}`TNcVd_7KbpCzPd9#NsfxnwyMMOvc+aZ2 zck{-=Q>%iL0w-6WdtmbG0Pl<gHMbg1Hn9kEs0sLp8AP&Om~r&}-2J<IpP#(ZdPHsE z1;+4I*C$tPj(+jnpGB(wuc%!4e$%fHJ~@BeT<vmc`!OBcgAR7DCp_7-&2-NFlH}06 zre6hqZ|r^Uxn6tc_Pd!r1(nuo)|D;axzg#U(JbRhPrsC|i`{fMtJk1Or~LXV{mpxO zt$(GqJXvG?swAbGzq;Tj<K^m&ksR9?t~z{r#L8B|f5Oqdch8NSUPZ4s3EAh|7q8}- zxNqHjH~iJqXxn`PviydPPuM=4d?)hkzV0)ZR{@WfEI3npgID?aifdOUI;~@Uy5r{Z z@A-w#w#NE}TmEp`a**xkkJ<0U-3miDO`pg0Xr6#-Xo&mn_zekPs$*B5ewk%HYxeQ| z(oMP!|EFJ{Ebh4f<-w=VU+sLhY(o1yE~WX`rpR3J<!##W#A4N+?N0OJ<c=80NGtX& z+F`vWxY3{Gb;6lR?3M5I?LQbd&0b;<(3=#xxB5%wNtc?4BF=p}mY-8kTJLB*mR|4t z!F56<$Ih;IjwiiduWE|wSe>3{7X4?#`+#L~UN;#{RfQ}2Evoevnk9tpeLFAeu%nw* z*{AJ}X4SKs-=uvn>gd>?l6Y4_?5V2w&$B0&yl?6${(O`rBj#)PtG74LzSCbI{nz7% z^23}<7H`*gY)?^_+j(mZk6C=trRnN-yOo|O9(Qv%VPPIGEp_-u_2=a8TxD-0)So`l z)$Y|iem+ma^7!3|&<cOE>d-H{q<$CbwF<EuJKKF%`-X+4u7u_BogcSV>sF=+>{uLQ z7ys$j?H!Bn`t>P9djGp|_UUJ~<s~&*m4dqG-@Tpj;n=(FYW8x5Q}R#m%kpjAYiqr+ zn)P?m_1HCnetthrMBYs`->p+3+%nraE<8T$W3yGHM}4sC*U+=`r|&tm*Z;h=w&lCS z;)YX>zvNq;WIa(={^r>xb?(!xlXceYEMdRGlI>|Kpx#z{!<Xr(ffviYnAhSj#Amdo z?Z2{dk()~FTffD1lAae2MIVe?`SoG+<%z2M8oZ7~*qv-rKXLn=;ltZ^*Jy9uGw+Yu zi6V`6i{is)C`flEAL1(NoV{FF*8TWF$2W&QO?v!C?S%f5pcgg1``_%-^4qEP?y02m zq*XH-cifuWG{wf|*4q@;-;a14^ndz%l9mqoZ+QHsq>JSW@r(DA|7?n$+EXGUW7YJk zDDTr8BMZ~6q`<_NYj5tBZokxfx2!SeQzT!G;(MO+DppT6$$UEdzVs{i#q(lq``E5c zXytHl2z!-Tay)*;^LKmdUuTu`1tv2*OY~LyB=>R4Z|(O93k<KuFVWw<`cID8$;)ZQ z6}!BX*X{QSFJiTPx2(Er@!7L!Q|J9D)J^3VEG|&a{GPPgzr3DFe8R<fV$6~g>Wwb! zc=Nvf@ru8JdE4Yu<}P*H|BvZ!Tl1aAFF&qtmT9rh;Q4yp`(k@UsQ*6?$1kRKzgIK0 zyB^%DJgw=^n{82l4?PjGddK#&^Q5JD<)j<$*J{3B@`_VOgv~L&jQL(p8Gomii(~S} z{i%oU>^*wwPR_Jrcl$q-JGuRv`=Q*)?jNVZ_xTK)&IyKR_W1prbbDDt<g3e*3cu8z zbkRDY`a|c$OW)F-uE6aYT(<iJZl)@k@1AIrYxbU_kV~s0{94-WJNJM5FtRdwlTm(m z(*2TFj*OVc>x;Z6`#gFlwr9;^R?mNi9gTM$y|Suk{Ie=f%KmRH>*vlV$3JeiZhvmF z@Z;_K%hvBvmDG5jpw@f+-=mrvYfZnFe0TS_Qqg{Fh4}uMXG^{QO*lAB=W&KPPYPS~ zCr-6y-JKn0A2huwc6uySe{;F|-NMbj_s*_za^aNn{%b4e`su3c3(b4C)4XebxW;s| zNN@fdYj-m@b;|B6wns<ana)wu^bs=O%{W0u*v|I*tAsl;k}VOcUl;ca?|vJwjQ<sr z)MCk)3H>14XX5tz^z>H#?wPZX?Bh0?HI3oP=XWP}*zWJy=HANhZTJ4uv?n{tpBtXE z^1mGMZvEBv?2En&uB<b>b>E)xiH!P_V1w3}C$5HxpJpEIZ;5%L-&*sJaox%1_X{^y zr28Kb{S;)_bS$iX^FQ(G_h}n<{E^YOytPJHv9M{U$%*S*xIbR(x|h)KM@oU)(7|r+ zof7>w^B5-h+C>*AsV=v7f5G^QF)if1_1SsCKPFY*d1H69WkU6zH+GpBlS4&1wf}s) zxpT*>($>$Lmb0*I)|@f*f?|B~mHcL%QkPFxT{CjLSby%B9ppIech@$ttw*#Y0_7hu z1TSH0-*fMV@6mTLQuD+%7@dlJTi1B-tim4YPn}OrvVPk6J!NxPz_MbdUf(~e#hY&~ zUS1wQQOO{qhiTD->_4G>vR9d16rBscpY~m3f4=#Sd1*&untb1#pyFbV(+r<>mM><L z)H`wcU9te9)a@VE%H6Z<g;@Lr^W^r<nD#BnJWA{!3&*ty{*I0;EW0K|CnPE||J;3% zZR3=Klbq}}$4DwZ-{HhGP2`h+js}Oq^8-c<Ix0^tbBKw2nmN5Zg;Q<H2gX|o?2$Gn z)TQ{1{%w-pz!o)4tccI-r-;o(AGSqK=MvTCH|ac4%4jrT*(dPA$!_+NlQ|g?R}wsf zQ`+u)D_{IGazb&)1pkBD8IeVIY+21uIK;K=KAllh=5XuRqAy=RK71;lr~d7&@5)K- zAFh5hwA^g+^J5C1)suqqJ33K)!5=)?Kizy1RZ<eLEB4d5Nf((uTmDdrpL|fb{>sj| zH+rWjci*2r=i8I1!n3y;K7J>n_tSm)ov&u^c1Y`XPo3ioYKECzJe?f8CuNJ?&oiIw z-v81#QCQntEAqtHJDydn;pJk5`j3pCVo#d=P_gKJ<9br>+ma`e)xqBuy^viWIN9Iv zfKPJ2e)U_&lg2+j&2-hD@Am1_%J0dWGpZ|Uf`0re;<MAN4gVxyx9^XrYr*@ze*O97 zH36S)9yv9&Ce85E!fv|*bCjEExc)ue%qc(RPSXw+UVWwtfgQg$MsC?%nc;5{VE*J} z-j;*g&pz8xot7E6Z`Yh-kB{bUakOE7@NmL;opRGB^5vW-V$01J@ZP&Qq4>_-3FmeA z9i)%kR(yV-`heUdn<Z~N4(t|tJA2Z_UrU}CpPr&!AAey}!%541Pl5}YW1hTsJ3Z;~ zJIxd6(;N2`|KR;sal84v-hA(<E$j8Srv|)HdbZ>C_38CHd?zYA7noz@sP}2R<GhEZ zDdthr_=@-r?&mL>D8Bpuy-5?6w&=8!eb+B&o72WNgDFAsfKfv#L+A<hyS^s&{C})I zyk5}k^9R(;;{U`W@M-4J7MmyQTXG(sZ>iy9S~a0P=JbjFv$rJv2rHid@%gdB{~ukB z+YYMEZx((c+4S?wAys}!C$;MeiyAbZNJg$(a?&~OjT>|J2E&d98|4jc_FHrsxdi4s zIB`2I(2+ewy4ieQeWM{yoUP`MjW_KM${0o5oOm}QlELwZ!u%#(t{;pK*k}H@bGLmO zYXQpvL*Is)w{EAIPBbQT|4W?E_-C1P?uU%cmYe?YKD&Hka%F5$pS^y)#D~)Ee}#og zPxZR*PuDrJ)cf2))%i{JGBJvivt@4fPFw18u8=FvaB_EzM_G#btp~H_G@qS+ZQ@<y zck?3u+38qIJD+28wqs=$o^XD5_Qi`&OS}2P6s}8babW$Vd1Fzt#0l4XcQcxstx_cK zD9&$cmN=0<Uu+l8wx@cFb2ln8Jv=nMxS(;8|3Td{$qunyZ<;!?EGMOBI8HjR6ZPU# zW{c<c6=KRWR~+@oJ5<wq-mSOy){otvlgq`fbu3-E`?=HsW66`Ax39m`R@E_1QS?7G zA^*&T``H&Sx<*=Wiq6*ZJ+k%1i)AK)dxf0sUT(NB!E=vvH{&N}sm1;l6ZZGad*d)~ z_bj`I4r~kOiAo6XQ>|XbwQ>b_rcajr?#XWXi(kma#Z8=kbNStp_}NdB9^LYu<ge{- z*8T48)6MUrN=n>!xqhFO!e8xcbV1;*1COeFoZa-A8;>ON&wJz*uYY$j_0etPU3)HH zeQ`B@>FT=~A1?0V{bTXrvBH0!jSBm32n%g>(YBjcP!sVeE8<G%9vLG+{q`C+myaa} zE-QZaFkSUX|6{*UVXM!-U#}i|&15sFd+`3OzEj(S(=&WZbgg!&`?~x+@I);B)6D13 zRkzQdzUHtH<H?d6eY-d#PrAg}tlZ)un8Pf!`S02ATTecpDBS!)l<CPDGfC}SvDI7! zt#?=})U0E>`__31@82MyaQxzgm3`|soXpluSuCNDSGL)L)$ECEqxq8++`C!Vi&i+> z`E%@1{2!C4csw?7@@?&$O@17lChvZAe?9Xgee;S1Oh$Z;(w{ipF0{pzIG<DOaJE}4 z@ls5*#39d-{fUxVZ=ivAipZIG^#G$!KVGDL@8bTX$#|c2XGgwwTI&&!YblRs{b7`S zrjY*i+|AdgZC*}1_CkJo%(w6S%{9d`TbEn^Gn#TgA@B2Ih3)dJk;{L#9m`1Ixyk!d z_vTe`b+(8bPZl^xewrY^JJ3=3lfZ7ZMNM2MRxV&N;!x!44RjD<@H}zJ;`RE=#os%2 zdtP6(c=4qps`1%>V#9X_3+M>0H{_b(Xvcg-fn9e&pGc5u>DJ#qAI)taywegCI%sP3 zeY@?%Ba`FQ*IC?J`$mu}QDUN7ZMie&qY2Gy@)HivvtbiFuUZt4=>KTPuBB-&VrFG+ zdGo$qd+nwzMHMY8*|l$or|-G(_WRbz<-2V?1kXNl{LuR1Sg}USu^a2Sx4mw9yDLFW zEM?yEyE8gjZ}9opt^BhrGK5*`M1D@h1E<Dmv(N4m?GN$pYVc?MxU<@G-o!hP(#(5y zpIATFefrj(C(C(KEFY#Sd_UlDZ(?IkYx(Azx;ETT7Ovmzu>5}E=JZp`(<eXPJNs;z zrLFM9-5YnG_I!6VZ*}N}tf!MFb;g`jpZYkh`^nKj$I9%cPmi5NLwrL+g4sUbzPpA? z>%_D0n|EjLFj=<zZb?n$iL-kbpS5aqZr<OpFQjPgws$AeE?SxGbh*o38g7-)Ap9wk zakAFWH3^<<pCS)lP4nl>IJtb<;<NM8tS0#Drq8zZwQy*A;L2yz#l8Eyf%FpXBXblx zPP(RZBpR(g?7rBn%t4~+d6@EqLwi2HdBNqjq(kv^ic9~MkH_wob8^3I5Am?DKNiAR ztUGbL?Y<umpH3)`()#A6U;lH-@2m65{O{UkJyuek@80)Hv3!w9+eh)$T79q9o;)c& zL0HEApiRxq+NJ02`j(z>+~-!i<K|xGSA81#?d&a#QrCa1zO(Cf>*sImI`j4%IN-6G zYis73ujN^7(i;vWOr6@S*Cx@nirX*DfA_~vpKi2P+;q>le&g8vgOAfLa`ayN!nR_+ z1k2qwYK$*D6~d?6BumZyaXS4d&&TB)4L@I;TDv?muB~tPRGH_tH{Xap@e{IT%&FKG zo$7U+_tu@{z563&%5Q7f+J3Ivp_%{fg(=@}?(ee{|0TVbKA~K>ZqY%<fA6-hxqm?N z<H4(;fou2nT3eNU|Dk&QrjSpIi(Xjb5utgkpJtZd(b>qUoLTcVy!Q6nuOZ)x_5R-4 z%bex;fa%;6^RmS|y0>XgR5cZ6Zn=G>_q$JKvid7#!FRg50~;USlS(glzEkjcE>j27 z+GGaiB@;~8e$T#t*Kgg_Kt3<`>#=L}7g({TY?9u6v**bNmBIyFHFw@V$=n>BJgw`F z1)FB3&bRO*SKrClWnSUm?Y+VMb;KXH9lMXI?|wQ(WBLRwVOjS$yLEZInR|~H#0mDU z`s-_zeb2|sKcnVb_|JD~yJy!wetc9RQ+}Feb%3_vzh#w;uk|MPFTWdBXFmICq4|rW zl8weY)cY)sZEj>ee{qxW`Q4!8w`=2VKMxV6{FR$_SKX5dy3E-!^Mz8&_q;M)scj1m z)ozM@r+q7!Cn?r&hWFe*XZQWBIoNcu@cZP)X@QQCMHAJtJ)|NpNW99wFg049>*s_c zH}jqbElzy!PfJ$&<-w!*2LCw(Q!ZP)$-fdTlh9Si_sC<D*wzf;H7mq}<JzV<*JeFv zdVYBRe79B8H>^+$Pu<`tRO2A`>AuQKldPoY93pIv9zG|Q-@lmlF+@>Gut&0E{avjs z=4IRO#{RaRFH?EzP0<Qh<p4*UpcA+J%{=WV?vs0+H)(^E?(YwadiZyH-np8|E4BKE z_nuFAj4Jc;dLyf%p6oB=NpeVDxBu<bSo{1f8Yev!Os@U<U6O2mHFk-n;k5X&+cy#q zI!_H%6%}JG|9<zi_9d6som?y5INykUG(|H~BmY=?Xi$7vv7xBqZmGw5;szn*o9oUf zMkQVT@j72z(I9x=lhRYu|I}TuI2NDUz1V1vz{S0?m&{AsvZcEJihkU7`|Z0aH+{FQ ze*B<fyVa4>?*7%vu{Ny=EsONK-yBG>oFZ-dvHbbkuV0eB_b9uBdnF6km;bBF`Sw0* zvvSqCWu>pJLyS8PReC!;ciXL75i8PgOhbI1&*S$q{vSJjn`x#StJZ}2<nMyYPWJU3 zS$ys)@v$v^IUf~o+>QFO^kdilH#hUdJiQ+;-!Hdr*>SBqs;A`4&K_L(+-vQ|<);-T zq~`y4UA!gw+^LiOXXkzJoc)*S(dkNepU3O=`!!{?G2LEQ_E@=0qe8hd=}Nn3kMF*F zce4W-q>BIM-aj&X9dGaIf4_db4E?&Tr+d!C;uWse4$b}77$^N?cJ#O+w0Dbzy^mRG zrq5UN*E_6V>s_0&f3dKl;EZF||J-bE?oBf(H)ZRPc0Cetufiq&=-p*9i=Jqyay@^> z&$cm(!R^%X{iREU1ShDRv<{VO|GRb<*FiA_lOKm=z%E{Tt@@1?w;QL2gPxkgWL7PO z$1GY3`xT`2eiZ+iDt&%(b=W*J?$AGu7c;KKFE0=0xtV#AH|0vKpe~PYdsl=3_v@1i z=AX}T_s0Hty7Ol5p-b&|b=NJ@|FmF})21hmtGrhHQ=02*za*PYJtV_E<=_iXg;fDe zQqg~7`=@`ocXr#k58j|r-}rX_+Zvjc?N4KK#X_?}O%2Y?F|4Zo_Rg+Qy#I}h<mv4x z_1pQ>r8h-Q;^O&UHMN#O`kw{&#KX&bqdK^EhbF9Ab3o$s=M(*B(-IHf&CP!FIE(#C z$I{On%$xdIAM6RvoLxO<>-^W;jmPrqXWyH2)55LsUQM=@`U@u!$7#_*&d)Zww9T1* zVnVrAM$9Aq-%svb&U@m=Aocvm>*CPAhRl}7&(Cq>O_5Vvmh`8AZ||FqRndHw3pU=m zFJRue?v33;Wz|}v4l(6OKknFVmv-IMReN+Ok1H=HtbOvWyDEG4tLRnqU3qv#sk2W) zXWrv={@fm)0%Sif<91G7zCSGgbYB^F?=`^^7TL&075!fgFYS1}{&KLv&n-od?sVF( zOH(XqKgR#3^#S+A$zsPV-|t&_SZH@e=-wI5OBPvlbXtTJ@myM1*tP%J?RT4(=T2F- zuHbS-^7i<<Rhv6H;yAml%8Ir{PFdM<%;JE^Uz^MA?T>eSWxOo$?tS%>3H%4oEi-pq z<I5DDJ-s|cv}MDJ<-w~`cFM0_aj&dBH1@4q^Uj(x8GCl$oEBbIYr(=~`EJEz(ej&* z?{Fl2zxHM6q|5Kj^GY>>{e>BPE}nm9>|(#~?_K>Kl@l@kyVp-?n4>ZMiI<<5?9&6R z=X>w!?%Oos-`w15t6yb`X|3|!l@iP;tCr9nI`wf{aYaCe&7=JD-1=dketu8sUwt>f zy!&;xGiW{{Jg=6+kt5Ci`tGBK9;*YVcu$cjuPy4h#AYJ)Tl-kgg;1$PsrkD9CS>w_ z6>rjY2;Y4%LDFfFBCAcyg9U~+<L7P{XbCXsaJGBTCVRkbyG+*pV`~5Y?S1h`izU9J zuW(O%h(X%RRjfZ#4mQmRn{a&}*QePojvkB^ci#90Nv_seD<fC>*SYx5htt!0z9ir7 zbpE$qI(m7>KT|ixm2q$8X)ScSe`Tga@|OLU@8(6m5}VL|$5Da5a#Guw$E(|CJFK~I zCi2|1^Y4}fF5kdfx>Wq!>UDxs9-c5LEnm^vDP}+K>6(XsOdOxJ)|AZIs^(BPEz|d? z?M#cRP12%g6wmK{JMq}c=kMhf2S0q*k#w7JYU|gn)p~asTc1@Vn~Q?R)N?wyYMx9m z&AO7JvOzi6J-pSc&y1V-r+wypo1Th`EjEvB56v)i?OXFnHQ6%f+DThJK8ZOUH4#Z~ zUgdp!|83W)Lrq3WnkOdS^|OtPuzMHxb_e&@q+3^{mOTFRR<Lnmaq1ME<+JVc%QyGU z^9VShKQCp`V~^t(JCzG0K34tey>s5IwdAYM#~r^<cAj14G3oH<?+XJtwlUnbdD!kN zJe%pljMwYWPrqCLUQnecvg)^!sFKjjJv@I+l)r4<-CJ2!VazhyF<Gp>vQ2N_zJ!A> zcCK&YdGfB?E%rl<M#oXtra#3gH!f~|mv44dY=L^?p4%Ua1Y(ykNCp2rYjs;zEY+N6 z<0CGIy}LEGI*4DJ9+vloaYj?er5~^Jr_VmiRon6T^JeMS@5J`}`Ml&#VB+MQDRvC+ z{_d^&b20h!G}U^MC!0@BKC2hWxA8*7oAm<kvp2tFH(jc*=FPFKvzL^zZV_x+^|Jp& z;e8z;hvd!swchQDlb@$Kzihcddc?&qVJW+{cmM1>(zN{S-PzvfXEgq(eDe5|FUNCM zuc=cK>Qi<{vwHIR_Zv<P_|{_RogXhFoNtzUq2ks1i&NwBRc{`>YO3#9XMW+vtMwm` zZ&U7+xtaUa>5JOr2{+oCPhC!nmKFVeG$PJ(>QVC(?W&m~&W|_jKf=Fz@2a9O)1En^ z_wMK1_T^x3mGIsqlXcN%+iLOM=`p60gCr-VXscSjJ|gJ;v*X>Yumi`=T~@QdeO}kp zS?f;D>w<gAy;uJ=MHo3xi*j<_$M}h}B4wf~=ZOe!kqQ2K-Xas~-|0+9ugOrE9DDZU z;?pkbCT`nJPOANTS2Lrvj%j5QXsB`D)>BoE-=?~q>rZqMwa{7BIcd%`mF^3ZROU<H zabemWefZ}mog-6&goXTf2QF~m{rFwbU6Ev#^6$3a>vF#Ncurj!u6ner^v>QCUiMe- zJinKGe;yTI-ng!`s&P{Hj~_+;p>fGu7)+jg&u7dy;l$<OY`6ErmP*C>p|^HS@K@9H z5l~}{+x2Ao0q!T;HC3N%SNZA7oc`%HU&tqECcX*xPubbLZELhK>bTdn+t>Nar|>wQ zrTywQ+%^TpHjCIf`POLuT(kS;o5||ceMMW%>-%1CSZz9Tdt<hu<*l5%lg}QD*i;}~ zb?E4qV&*0L-#qd(+ol{DVW&00fA8Y6iyS^`P1xI~VY%?nnujHd^J^-SKSeU$WBd7p zd9uHTyY`9a!rFoFURfwGvR#<qzkmMOMP)1}md~H4mtMrB+$7mLm(!9}p3jn%y{Jp< z4O8GQo`{B4S)C0FnT@xc)Tn!7catSeM18i7<y&<FPNQ=tpLx064de8fBYY=E?7gdy z$ek~T6;8|E%w<j0TKMs1{L|?^!CiNbRNgib`yI_zDI=9!r!w;m)2UnSvLy!3*qz=z zey8extIsB}iSwq-|9LeQj}E`vXmFS1&B;8e^7-Yit#2OZy=fIM`k$;9wa52h&&-^E z%Z`Jl+Loxu*RSu`<8Cwe7sJ_)x!)yY?_~z=*~D+Z&vxo7_4*o7p^eKY@BEUx{pNXo zyBopYQg<(a+N76vZ$5kKhtrp9Z(^KYO5Oe;{V0=Nu404Rj3Xy5r%iXx>Rt9D`=8CH z!tYr}TJOgA#ES(cscp@iacsr?bNzYm^F(KKUpdb^;mkUxy%J%iqR(q3|Jpb&IoSI| zmR;t>1=F;T<<FgW?pAv6a51lm+;8ogPsiJx&rP!Qnylt~F_vplhc1J|r`(7sleKkI zHgC7gTjb#XX4)$?m3!MP&i<1P3`&^r@<im`yScLpTYR3koEHz+w^MkEoM)olP2MNY z%Xiz#EU1%CQ59>CJQ(*jZ1X<Ti>@7Sa(cOU2MZht=DHzvCE6&&b4A?+iMxxotLAgd zPhNlamIeFvCr>};cgLyaT|DiZqs7FtcDedfyElB3Sa^K|UptF*Wmd&&F1=y&Cb3oe zg~P0g&r&;H@Evq{X!G-MW`6%^VPUD+e|-~QaqOyEqF~SYY`&)0lg;m+TW#FUGF$DD z`0PWf>@%%Dx_!#Ld6z#fw6-boM8ELv!yQ?k0Sz{p&aBsF?K<tyWph)Ped*(O7f(J} z>%q!??c|eVY3^S(#Qs&|JMJ&*XlWDvLUQ$P?OBg^|5jTW%ka4%rE~G!3j0NknqHIR z1!6<nj+y?``l)i_&~}>@m)^bm_;jJh&TjvvH_lBt*X~_0T%_i6*Y?)qP19TT%dT5Y zO5(n-L7kyD@Q-ZW4cn)w8NDCYmEO3_=oglLcDARa$+eHyU*0`iy8W;BXCs%Ab|?OM zPuA|`DNQz?Dn8rQIIA@3#=)swpT!n@ym^0)a;weTcL$$7{}J=)+8ej6*3z3krSV_% zNfz;$R%7F&FCbQ${Qd6LwA2lrn@&gX_6%}J-mqV8-kl)hl9bM!^H0upbCp{A>vW0C z*7=^wSGjukOZ-_S`RKBK!oPhz4(&F#o~)JloW5OBQ7ZA*2{G}Omty;8+<ctNliDr4 zJG14bn15M!r(tGG<~DWEVvtgaVy#O1qeo_xb6XS%^D|WloLDZn%%4Tu+B?0aFOS74 zg=?<<ZpLy4&xMB1YLikYgkO><HZ)wuaOlfbMjnM^skcYo+6V8uv6kui*`=m=T~iqo z&+PAWXune(xQ3_KP(HD_=gewFH)d}xr!PBdYy`NAH}5aozR<Y!&s{Som(CZHwl2Fj z>(h>Rzdlsl&Q)fadQBvuZrAU)ZWe`AYKp!;KAf?&elj(>+^O!$tY5z0FP~oArS*1Q z$MVWb`@SOwYnBNvo4zHfJhSEHX5P6!+gaH4nSQ!D=WIE0U)=tBc*PRKJNLi8`H;rJ zEoJ@pZDsl4yS4LqHo2>wuRZ&)abe-j`?J*>Yt|OVl!mW*r}ol2dG-EC_qM+lr7W)R zUPgcPl!++HkN$A*YVV7mH`jhmDK*z-T9gtT=bCW(xZS!h>&&VrtJowjnD{xVH#y|= z#5GHTE>s+i->t2fvT=22h}@IQCq7Q)+jM90-LQ2Xf|GkEn8f|=E-wA??qlnVV|n}K zXMe2@((}BxF#F7-_kPBkVpf|fUwL#)%>Uno<lVPyA0MA+d`?IB#HYuvdvu!2C;c@% z=<eb8Izj64RDmNMfq{05l)Yol?o4)g7J2cY&Kia2thTz#y3@-eww~>nxBBHTzY7w3 zlO5iD{GP{H&V9lq|Ma@D<qBaT2B%k^x_(*9c-NlEj*n&Q*Phzu#L?LL#dBx2Y;(to z$r>^tnxU+#XPlFJ;rV#w{<yYppL|MA1}}VZ*p8=Z-Lk;IWs74M-COIoYf-`Dy`D@L zZsslS_{t(}?asIJR8u?G)Y(gzO~QUlKU)1Ux9N<apq747#jVRH_*SpuUw%ztVdmUr zZWs598eQUw%xkTQD_lP<pou;5jrwcjn9Ds8MITLCjZ#B07M>~USh38qNI`y~&TOq! z`tEyn@2t_;;UHI3BlYuoh@wI7`hQw;Jszcfzt?(LQ{;(2b??%D-zMk#mWP%vy|Ycl z@!_u1A&(DzQR^_fG~><sbA{hI<t<ll-|g#>79){dxoG3Y!$+^M$ZyOLIU4`vc-~q~ z`TWhZ?e0uib?3&KsUJ`0tzWJ3b4`)e&W$&vt5U4|3Z~rM<J#C|P;P#-sVQj6HXim3 z-qB@Cn{%c)p6^uN&@<uu-Y~8%i!I_SXVuLTtlIQ@l?MCMQq}M8>@Hqz{i+nzw>>{H z-Yv^JY=d9HoVRM1Kdye@wU_hIh48qZrQ-TG&%9&%bo#}y$y}TXKRspL&#O;XD-xen zX>qYQ>CKAAFP3~t-W+mh)xI}v!JA$m`n9o_W8O`6N%>iKZzk;Cy=UX@UiH`S?)O^F zdy~fW?D47GBX?ERub;Zn>dMrm=b~Ts`v&9G>1B(i%3Qe`dCp(d#n>tIii6F~xlYfI z$NYZ&SWCb6<*Hd<k8j%|ynE$4v%j$`Bg^Ody<z)Y_|z;(^R0)uqHK9{-XE_|sU}Y6 z!re|-=ggC8ZCsPY)dX75H?iUEE8WQ6ME_GwqEpK^TO1In&17Vo$Med;#ya9+$x;=S zqhbpZ&j(l>>{z<~_-45Vs|!C~ufO;?)Aa&l<jQr0*W;J-@4mih%S)-n&B6<utTyd- zon)f#>=NGL>T~40;-cNla+dVDw*@Aw&CM)e+9^B7;o8pyhCTZ&_rEOs!pi0te|3$e z%@JeYDIL=7HmwQV6E?LsA4{#zUnh1yXHuNY@11sc9!P|w%-`Y9B^GPyyeDdp^UPZh z?~7lUu>91#P}PQOSFUeZF<XHraADKuZJBGnnYX-3eQ`p}Vc)v-j8gqSW`EgQ-TUFL z?qlwY*Ym_KZBIEeTZPNy*e~hQExWn7Pfk8N@59gCYr`y8t6Mc4o7eDE*w*je#Jh?9 zb2iP%oA=>ya{JX_*^pc7c1PIFyYo)T@nhAm*grRTpBy$&FS5_KIXUB#k&6>o-gTpk zt{(5)rAkWEz1FW^d{?JpLV~O9T<`gDdo}f)^H0wx5!}_qHv5HAiB2;A(GRCAR;eeM zm92YI_sh`n7?0ou<~6%Rj~hBZdvS87bYw*SS&u0dpBDO^K7Mz(YOU|4>yxwNYhUb; z{!;S(<=^N#8c$BXllCvazgT<wxmi0hpX5efI<bCL;I{ogGtIkq+XybHl>D{n_j?Z| zkAMH7GS+<k&iO`tm$AvZU#Ck_CP?S+5qhGKfBoGfo1M4TL~(8IobjjYq|=cvwI|=b z{QBh3$u2d8{N3|yRuuev&{GrTAM9STGha+_>4k{5`5o)ECGOuXcz-p|_{jG3I?MF) z`kxgR6yAt`bld2fdu;rMQ&roo^i0w|e$NXwpRkAZv%_@N)FYR!`F`<UyHc|-#NKb0 za(cP*m5o0p7hiwmSenAUU2~Uo`TcB0-s+x9;^(Fm%CBmu?w1L=ZuP_G@uvOe`NvzT zteX7x^Ur1lRr{hjUAv!6(GFhsWV7D#^_%ZLztrWnyzfHaMiaM>=6$c0Nht3SXO0Z= zFWdb#GBwojD8s?W9=xiPM4lC1v3ny{bmT~1xacJ9rCbi73}+65`ia#Mp{fULEWRd$ z#^&z6*7HxiNNmL~rc>4xy{G@xRg~|(JJ+iH%3g`n^0)u3+kQP%RZ%m=@E}u)ynUH{ z!xOcW9Z4I7?UrBv`5<j`+8L9ykKrHKgq<=zL~oXvx2S-rH&EB>2%B+%d%FAMcQfV- zB;WHm^yY+c(wU70XH!qKrcNkRKQbe{jMw?W-ecMIlkIn0`SJT~pN@&hua&J9M(yV( zI^C;#DtpqoZ=PRV&bBVkV-xSD%17+*Wt>-@KYQ!O#fy$kZ-`kt`{w1l^ED5r&3zX& zb?(%UTdi-Jv@H90HU4Y%ca9VMyO+OH%B|ISa#+O0dX3Z7k|hE%30+wgivNE^J?_}l zUNNoGfmO8W-rWRexsVl?K6Fn0y*avd(ftFqN8Q;xi+H>iZoGAW$>WmEf1}fP`^K1d zMK~l2)n~KZb6CVSP156vL{1|MtLZzwRSt{XIAT*`e(gHJ^l{e~nTt(JvY9p+3oyqv zS$AA;RXZfMSGPjNkIPBnVyWMU5EjFkE;9sJlodD{jaiIrg-qGDUzxbz!H%0!&l5Oe zg)2UCuW@n<Q)V&R6wI<oN|DDeVFFXLhyr)bt0#K1Gfn1*@8D*C?#klKscgsjBwc)E zmN<jT!L}r&51ULljCP-FdVcbqj?T8-kw^Phm?~>&&&n%Xyn!YC&Sa~1drjvCUh6q@ z>)o#SvfX!8i&EULT#a2i`>w{F7~@shrOPf!_~=ERT)*+!{pIE6(!IMa?GJZoajacG z`IzB9y@Pez7vD8{x5@L@#p9iF4N=!TMK4cuTsO~o71uGh4)w-ACKp{fc+E~fe3|{{ z!2Q`f=dZXl{h0YbwfS`|HE;F)y}2MUchcOL^{aQRzZ-s3IeLSvW0%1rQK`iZX&x_D zY+yAlZE<exNmVmnFf%43<g3(T@3e+p-J(ywen~Z-sO(l+dAvit;$V|t=cDt}j)l~3 z-gjh|^iigBzZ=-sZ|~+lJKOVhRpYT0^A%<;-Y(bhO6vZP*)^Yz2kY5~SN&ZU?e*Fv zvxn{0gw_9y?rJ>VQ@OP8cKr8KvH|wnBzJmdDYEy~_XmWvZP@LipngczkvaItuL&1^ z9J~LdSNp~~t6(4D#C9gz-<-^qTX(a(ZhF49gSp%7T$kE<E%mJ`do~F_GzsuN6dXM# zFSsf)s5bgd3CrEPv1c#rc%?6TJ#5PMvxiPdDt@ofej+RORrFo#q(dLfvn^~^tSeg{ z*uVIi<IQ&Y`;#gj9AuFC{^NA&>vuxjnm4cNIR!28VBF>Hn3GxaExa;mJD1J$Lwm!n zIQ@ys&Gk7jrzzuN#*O<cwi$YdS4CbZyKZ~#R`~YymM%&r`#y^Q+-Ci1UB~KI-LvmT z1}(qae)isu-)5ZFY!08^pDVonJWJ}UXZIfQ(*?WUCE4mSJ@vZRvGnm8+nrC2%AQvI z;{ABjeodb({JS%s*xcl8c;c%dY#sA9T9E7Z)H@RmCZs9ksRYj`TPw*SvSRjyP^pX) zE_!NvHqS3O_V~epMGsrfPrRFaXuI0-dD5U-MP{DPu45+up8at<aaq(?a~lJ1HOqyH zH}5xcbGvsw-uh&sW1rGW>C1)Y8Smdl3B9U0-4y(57Zca<(&;a~)@@b|P@6B*yC@>* zL}*a>&KU_B_c*3DSZvw8+c#c|^KrF#wQ{C7hqGJ0QR>&Wx!d`cgX-PsqDdbf=}%-` ztiSuE#R-*jO&<go7WVIt?{KTxTkV*<a{phyjjS&!JGpiqy{MW$+jOxt+e!n_l*gCZ zJ4_dE+x=XE+iJq}C|N$K&3|(r&)mf7aq|7+`(2;5-jo!d=Y3=ssJC_cUYh;yw24!W zh1G|zU%qp8p!~WkvyvWizpya((>5sDuqw`iJ5hzLL;8MK{>e6;pZo94sy%S&(A~+# z(u(uq<lLuhNi}!wE4zK8v-nfl+7<laMY55lbELy=7+u+^e&oc|Un$%BwKd}W4eofX zOIT|4b{&V^>NSs7e0@-TTPND?*4?tDO^v&M^ZgV&v3u<s?HTR&OMdSt5`MMd^vZXQ zyUpEGm)uR|3g%`k&GNPVyFgY@IPpow=BQx%eRF4&EB9~N?bDENp{i<crhPRkxG<#5 zAnZ=+<pqC|@^_!LTXJFIuPc2fSv)^I+e`xLw_gkCmM;Dlbmng9r_U@kL2vc?vh;nA z%oA9%>V8ST?0m6{(uK=TeE-T6->+gmsnmSZd4GHJd1dyqpIWnR{oq#o=j+c~>NBo? zXAyp~sFXo&U76)VOS$sZ2MWsXUq0D-R&K=#zlOJ5Z;o!+AN^YG;@brqB|e={6ff&m zeA}jYV=HIGzDuW%hO$3saF+V|mV3^2*QG8q=jyVRX39K%|7k~8R`b@~7Ur)weti~F zQ%RcYA$wFWWn!4(-B}lVGuECvbuiM8E2-^RV7=>}h{rpa4P4#XC#2d`vU<;~DK>t2 zLu2N-85=y0#{O9{Cv<M#f?YE6)|dHvDsOSy|4%B?a?-nFY1`lRMee%vI(v3BSCVJ4 zM167I9p9vc((4sVXJ44O+tsWyd}?6J1*UCJ3wPbT*XH`OpztB*wjEYUlPBJ7o|V9~ zb9b0Z4SUF~%kOr-nRqO;eq~)vwf8cfQ%9CSntvaYzH^D4>VKTbq0xK&pW6Ldb6tPm zy4%`X78Kdt<}ABzN{`YklXVMivelLz()Y7fJ0UYuao*DpESVyA52?J#la!U3p>!>M zN9)mZ{dwl=diO?tkO^$vB(-$n=S04XXB9$U9DQ@xS18Yno8`%lqlX>7{k*s$#Qx_s zk@D$T%{||?R1}|(l3e(9-nSo4CCXxAS6-ZYk}NR6E%eV($9qn5wYKb^czN>f4PO;E zRr<@_+h<|@c-71;MUwX{p1kZ@CKBDyX6w9gn&!^+8Sb}_=@_28WN|cpuJGY|^ZxEI zO?!E4@4mh4^UBnF*GYY?suD__<dD2+zg76|jjL{n@19##6SK3@c4_V2U90a^+OBl6 z-+61hUs$l*1%-}?qbwQUw?>M@lt-(Iq?l;zR=UeC#45G=FDqk3Qm^{ncX6`p><k|S zC%#BwtybRmaaygy!q4CCHO;cxv`F>jjDYuRHS>LbTx&_6wsOLOB%S;-Rk`be&v$2r zmxr!jt{fSmJnf3ppD9@y9;X*-)v!NiOI@_EkoW1?`Q_qU1<q&H7O{uS*3LB&oU&86 z-X@g0FkH3t`PVPodS2@VzrK?oJ!ef?jYrv?ToJ(=JKj9Y{eJVjjNC((6gj&$cGHtG z=6ns$I{#)_VC?M~OT*kXJd}^0Flf<o*>t$?#*%eSy%%+TcD&o>Qxp{&E0;8>GkQi! zxyG6WZ}r)l?bfZk(p24jC)VMyVEyD9=N47`YGPHk+pTo-Zmjj<z>?FkV%p6%MJHO5 z6Mt2lG&o}5dtmA>xx_Owj9rc9gnKMr!78(10dw@L3w`Uh_C{uvvPm!hD|%4L^TLdy z@!O4`p5LG$xa)~BSIwQhQZg~`rH=nt&9wfZ!`$@r)D^0sikB@P8b99g`{d!!?JK`{ zZ{9t#<=lD6v=dI&i|*~avHDZe=7{pu$6BnKcFl?Sa7Sg*=1T{~b~MPytuo<^-WT0? zEU|v^gSgGYZ_k-UyMDcM_GxikWp`nCWvVz|*o=m!`m1d!-2_Eqq)fTY_7rlkAD(x$ zRm94CUYYo;2|K+WY*JF){qbw+H?>OwXBGUkI&NJR*O7>QYZvS?bCHzmf2$Mv|28EY z`I<M;L};GkgbNcD+GU^DeoatiUVCVdT>Z{JHk#i>-)5D+R<`ln5Y?|!Xxg66*gN~l zo#v|YZl)u~*`5c)XS)`P?=3c(zVAkCvghj;rfna~kKbLfb9KS{iOzN`ryqU}J^O1~ zPV=1N$uDZcl6v~)L^W^uy3bSTl7`j}XTEBOo44QOnBTe>=B~jga(KJi2^rbfd$$@V zA5wWAVVbpdpYHE+hld|`c$>C#eoWiW!D9077k5eO>cxw)F1gfn7%k0a4R+5zdGGm! zF0TjOlb!^xGx59k_;ImFkH@l&*Y2;}emBj2^%R?f4tBqbE0iu+ynkkOn0=w&DU)>{ z!!>iJ-B_~Z<L&!Od^(#J-!**K6fiT)wD;k^eKF-TRZh5`sJj+W_cl)1WolFi&+Sil z?;a}-{qpfi>CvNKQUz5k-cM{=wP*4w4To6YL=B6BEb;HRW^gzqZ{4qOZqozHUnvID z|3-`V+!dYo<7-^^i-w*xtT8_6yKNa(ie&uxa{X}k-LS|E|Bq+it=S!9?$4WNCS9`B z<caHMk!2y-zDEO>c2@kdeEDrj%6hGpyZ@$czjpb&XZxLn%z-V-W@b-!7Rk_goPX)& z)px}&n~oI*`Jbzu`tkA2^Lf|OmoD7cz28Q?Y46)IVXfbb-(7M%X}Ya%cdt@b#+k3z zGkZU1M%($7&#o>FkKMg7`t{2bdFt9*mxu+V=q!#{8=hr(ck3=O*;tWn{JV4S+^swo zusrr+$8K-ycTPJmBpXhD_qL&^u*99sXiA(2-;ID(?Ai@2q1*RZZn?Uo@XCFD`-%5X z&vw?DxqVIf^gYIn$3p9uu6t6oox#WP>;?O>m?tMSN{%lGvu%EyFRg0lAX$D>Wv^}Z z?YE`dU%iy+n;+X!1zPKV_Ub$1quXaKTweX(@WgshL-OT}f;A_i6${F*PB<CozHra% z*uv?5gHE1LW6aOlcAC>W=-rNL{oZ-Ki+BU9!Y)02@<}+XMMFi3&%436O}DZrgXeqs z>k#9TrD4Jf?yqw1%5E-^kx@VAdf?ZcCeyq-t#>1J>uOH_ir=u+&PM9#uhS*VD*m*b zx|(+Tn9ki9%es5A7?<?LubgmehI<olpz8i(`SqLUg?!Uk@UeG){)EkUPpQgCIsdig zdiwU}<l_p8TFzXby?0$cvHPZ`zH>ut)biA%Ux5>*W@MZx`?|GvrgKVzuwAmg0f*JT zM~-T{C-&+!^H^N?aU|aGnTTF;;;r}HTz{U%L_KNVXi&@2|2FMj<hoSzd=U-t%f6Rb zrMmy>Hrt3DQS7Zc+`0SN<mALzJzV1FIv(pyi;#Tsd1knae{Y0j%7p!by9Cbe_3tp8 z&r<YcM#6rJ#auJban3h<7(H27My}`Q^rSO<e;gu=jS^kXU%N7q%jDP}Z>5mFu1>@G z)9-jBpNT!fz|5nU(esl-^qutWyV{%9bF5%wOx)$mdo#z$sa;3y*q%+V4=b8oc%dA9 zA!DPFhofXsuX<+BPVS9HCmh6&oKl!ycE5G2!86BumKi-ir@PqIG(LVW=4^La;<NGl zBWVvaY)*trC1>1`{Vd&|;5qs7m5PE_7k62I4Q&ncl~mzyj>_MC^vI0;FBRRId1srI zq;c*%-hMaJCw}+M3p=EjwcJS#uZ_8TqxOg|$Me#KA8)=0Tx|0%h;dS&eTd##tpefs z%N_SE`x|w7p-S(<f9nc_YpW%zgidr8#b4}uGWVMc<FT{-0y&IM&1XOHecaO!8n$}! zIxdTco5i2ZZDf<mXn5b^;$ppgo_hQp(_NtPptj{KX$nCzimaN7DmqrrYu~RJ@JJ$< zQ&sEZ#}XNtQj;e_@<n%j7stJgQ+zLSp4G(d`>YhBx!OyA>CU|R>hURGkJGPTR%&tx zD!rF+JG5wDiSwyq=T(O*t4*>V=X0#u?Q6R4UdQBJVdB@eHoc2_UeVUVC&K2K9)Eq& z!wt;x>#kJYa(j8`ox`HtTQgP%%Ga;&D=7?Ro4w?*y55t?r~W_k+_v5#U$EHlw8sP` zyNsMi@6X+O6TA0Vbp1lVH~(HKec8O?WJj{1VBrbIZ9XaQa{IYAE~-28@iB8|xvS5{ zN%=A-m1L`1bXTlgY#?lHUcYTw->Vx>(uzZtYeeh(bSg=iki6@fBA@4hu1U?0cD<fl zzFkzW;b<R^%eu1HJETh<?>w^f<JI`}t4$I^ojkViG27*Akcqk~xM@kmd}for-`-!p z8C`k$__g5+hTg6JtnO~jD%@(_^J6pX+T~w$SA{5@IL7gby~N_s^qq^3&JRs}ta|uF z<?g1pj~?rtd%a}i)p+Gek5^b57aUVEoMyi}^3KynN6xO9HJ>8aS~Z>9J3mX&W5ERf z1N_N%Lg#rr@?5_-u6%vpELB0FmD-@CaOcac@0Lzf3R}pfQ?~1#(YrduU!UH!&0bQ> zYM5|f%g(Uuc{{X^{jt(&WbvLeM^rdD?&jC>Vok|z1tt@VCXOlIF;b@^WkI8ADcx3~ z9k!+h*O@E>`QE<1HbYhGZIp{m=>@@(l+KjPv)Cq8R(ve4zME5=Z}TYG@(Z{ch@0|j z>Q+I|{L_;-I-?$P^#<-)qrUsO-;|H7FWA|n%Kw(mvVNB~G2{x9;l8Nn-pO0`Cv>gZ zv(Ug}@wVQ?TCa>fZJ)QDetGP&epAi8m{*A+t3&m5PMw~-c6ClU^JbPP$>j$$Z8=l& zn~a}c7io))2;Y63WBa}%5&KN7KXOYLs7Zx3?zH16+WZc**T?kAf|y&GSB~AEyF9LZ zzF$adn`De8Z#B;aiDUO?-#@UjR%40RD^Q1UUWhfD=mNtl_ZO$_zZR&SJazW+$+G1i zrzyDJxghcC{dLXQZ|lswou11GUA8!OUv>79`FEui4l*>eE67MS|NZ(Q=~n)(<u$os zM`LRq3f7ps;p%BLe8Ml3@#m2~w_A8wv25nkmQP!MTy6lZE3&i6*J};9%y~fM?hn;Q zmW&OoC0XX%83GS3GJmtvk-gz@-o-gw35Nx&O~O7-_jr__T+MY*Y=Qp&X)BzJP0T({ zKO;DCw*I_Ff7Xa*@Ep9a>yUF}hU|7b-7^ncCTj{ksVR2fYE&}qhRT(LuV22rbJ^5G zy-BgWO^drQBt3G8iD=D&cZbsQ@1#y_X|PGI-`>AnZ=ToJ+j&O2o}N{d?p^oev|YJ( zY=%mVe1dbbX#L&&((Ko|@0VELeQWt?ZN;Ozky9^dA54FoS<ZL9msNY#ylr-`qn<VH zH~0765E&HeW7X96=2&B?jEs6sP0UWthiQ>#mii?qOb#);d9&l!hUZ_uY^`Q_GC@7n zJWFxWmSr=3JX)c(;LXHCRn`m6l`X%Ux-00ld2omCU6CsR`#SZ!oI&gI=A9|L{(SW; zQLkHfHG`MU`Jnv$D_dvFF{6Lm43w`t%G}<!b$5CPXeXJZ(Z^*BQlEc#vp#ha%Zxqw z;)gb~^v>DG7lcYMu3DzO``k27#a5Y;Ia~SL=UAKy&nY>2f5-M^CW0mzcjq=SJ;~U% z`?qRNxcdYpmJ2stzrP&0Zg;%Fi7eqK^5v0Jx}-St=1aHpJS^OH_HS?hV$BYb-bIau zPlW5M_uMP+I-a<?xKQ+YEod?_%{*FGbnZdl6+G_Js=H0CQvIhMv-~$pQSPSh6LFiW zqIJi2Tem+b+?>|oq?B^%h|arpSJ)JnD0H}01l;Yo6BsPA?Fvt9r{ZQ=O=r8+XA&9= zwb)L$h4W4Lz0UBO|72D3*{Zu@)!we3zHoh-dGuVh!)2wS%yGG85{tZ(H|%#-j<{Cv zGgA2vFTbKaKfgnWRQ%u2Uz@CtiYaLBeEV*SX7Hu$DG{442+B5Uy>`|~W4iuill1QE zQ<f;SEuXseN&bUXD?l?@YDwE04!z0kGt-vH{}SDul40}R{BLi+{6o7O>vz+3Rc~>~ zmw2><!F?k8f~_kjTyXsKsAs?Rsq<;OJv!_d*st+kVvySWH}>8ex5Ss`h3UI*zx#A2 z#%g-U-DPj}&j;^G);@mLX#?wv9nmKh_#}H@A6C$rRLI<W_aE2J56qjFroFJp(J$Mt zo0<P>O?Xj`t*DS`=ATFFXYJ$vzR&D6<BHZ}`SsoYWseV39bh(BjSxFO>Cx(>rr%bj zB}?uIE}UrOo0#?Dl-|0<cb$~EO_qH$f9@92+2O`;>}<bfUr5ol*tZ{BU!2^jJlXB7 zr6bo|a}FnsU0!>Hg$`c0apb;ceYSt-!uj@x$`<lGUp?z<Zj)}id+V{l`og4!fSK2( z@Nj+kaq?!G?*z+T(Vto}zPwuh%Y=0bhkLoZ8TY}$)t8rPnYewP(ercC9@UvIa?FER zxIw2M%zK+wIQ{tQSKdj&)s0+_I&F9SStD4tRAGS$pK{#{=P9eg9{qc!@MP62MN?(Z z#Se>I{0%)=d#VeSWTgJ2eCJoTcpvw8%YG%hH*Pa8g>?5X7eBme9*Yt4^QD&}-sxZI zReSg7z_KSXQuAtx!p!|t8P0xuD;az5<AoJn`+uyB<caU_<5``kE5)U`f%(-*1*@Wp z6DD=PXMa_?RmiVrZ@*2}^GO=t`6-~XY2F*Z-P(^l6!VXMD(aTE-+i}b(jAql;DM3y z!aKe0Pmbj6+#RN`(%ZItn#i-uYA@MMSs$x?nesJqqFzN@jrKVUuafg7ejl&ftb4ud z^_KMM#WImkGd5SMdp_R2-}nEG{vy4UEy}ys%H)2NxWfBFZHdv!mD8n9a_?r&&)IhN z>>;U*Dp&1U6(>yGv|*a_$&h}Z^fTPNb=hf4y6?E1{S@80y3G8gr$X$Jbp}nXA+I}M z>0OF^dN}R=tP}S%&&*end8oPZ&}G4pZ=wvI4x$!1U90z=df4o8@^V_fN9nAN#dibd z)#a5~+FF)=nZoKXHjT~exPq>F@56sm^@}%{^F9%mpVwOP%517jlkN&Dl?2N-$MTH$ z<J}THH!RxM^Xd~H|0~sFms&gLDo3Aj*tE~S_tuYYvmeQu1MKD$nsakho|tUYw>$Ta z>>R_ECdtS=vuw8&r+%+~$9D2Co6?!SvSfj*u4RU1msEr$Gbh!WoK)wqar^SAvq^C8 zo-2&1mO1Q<Pqs_V+ft~wf3EG;h?AAJQ@8F+46KX_4!6rG)7vZb?rv3)-|>s03#B;L zYHC?E?F;!-@_p~8ZIQ+nix*62;p5l%`6iEJy_Ry|r<h-hu3x@wv~IUgQ+Q%vbpG!3 zUE#ZHZ8Qs=otl51`?F)k!=)EGtEzrh+^Lv$INbH<V~#nKCheBlbmQ#1UGt5e2ybZN zk|;Q)cR|n7PTNATpus@q%fp8+Cmd-}QGIfS{rDuKpKqdr)R^abpGYw~5wqB=uI9$0 z<B|$b<Q@9D9Yr&E8up)jToP{R8d%L$dM`pXbXf+QQY-g7^{0N?iREVnT3xhe9m}eB z{_|$quG^tOZe|Wu#tAc=IEz1Rja)c!R$XS#w?@sXP1UbME-ydQwCAhX?BANsT~ox` z_HpG;y1-y!_c6TZXYh*?d4&%-4bu`Ha7%BhG}g>rSa|#XemVcOuckR$m~8u4{`{`* z)T0e+_ME&v<5m9U>+d$K61}i}>PN5j+M03ht5h3hqb2Jvzb*Zq`L6#{V#J)A?b{<_ z-WJ~b>3GoLL+gn?Ki<%+J#A+WPmRkL506in;8y#a>A7w2(Gp{i)TnTwh&O*;d@IS~ zy;OMZ&b}twpP|>S^i1MDZhv_+PqzFzN4AXYw~7ll-spG4^K_p+Ke@>5oZ@`9i}s99 zVs<pyGUuD!ZhdiAH$7Cn0W?Oq#Vc8?{(amO>%w*27dFnCG-tMpO>f%Ysa3b;mWIFD zvKX{6RapG;WQRPNLi3Yee6PHwUB3NJFjf7~j-5(hEmkSF+EgBXE1{wy(b}w4y5J*o zeg38;aeH>h?h!re@@HeJ$ct8scS*9>Wi4;NxpH@La?KaU*v8zSBJWr7m^t=6oBNGP z^7Q`oXE(+EeH$>Tj$`4*p8coqm%J``Rk-I$a`Y$9kGrx8%ULhac(I;eU|G$CyZRp| z$(T!Dee_O}v3tM8rrSsE&Ru*r%hR^&?5lz#ZsmVpRv9TzIsWwdq0jlp=1mrz(JowG z`{hr}i-MrtvrU$s5)X*ow^KNE*~ZK9tMz}fy`JK2X>#x5^;d5mB;<LuvIjVZd^>YN z;!S>CdSb07%UhQ%GV`Q+<^GmsoO$%#KIC4Em)F9N*W(ohc6s<d-nu{F`Ay$7t9I!x z*yHRocTQ_X^tUa)k5xbB&O51A#`=)$(kV{YW8#bAJ+54rV5pyLt~LFL$-G~$Q$0>b zYyW(s+xPg|^O(brO5<ni{wv7Td8|Ksw$_&P-S4@snijt;@@NB%^sc$vlE6J-qQZNb zlGBPty}^I3T5ghNpRs63;QWlFh93eug_jxL3U`$IExoK?X7}v`_e=&Rxr@KOlzskN zl$ra;-~a0Au=u^#JMG=yUi>=rr9bcgv{NiCn-pB1=4|$sla<>1ckidFu3f($x~<Yl z?f(cWEF<676sy0L=yTVoUe<g5xTt(+*tM80_V15+BMuoap8QJuvdf-SS@*Xmx9s*& zmuoIFm}dCjzr%2GPwUJ3UlOWs)gBW0^tfMn;fIfZS324M-V`DE<ox2*CV6cYVLd-f z^g1Oz|JZW-_35Mg{!E^d<dD<xV%_SQ$5t$0Uh^((Z*ActK9$V4wvIgQD-L|Gj>^T< z<t-8FP@5kz?~Ju%2G6(ahkNh(*;a*VGcP#zV#BI^4N4O>C$N0-GrDW+`0NDhx!qw8 zzAl;WDqD5oSn-|~rkiivwl4S6i=1>#a-Q@Ih2ken&tv@!qx|<rW=Ch0wmES<yJfF8 z|Nm=e1EFgnii_f=UMbu@xqP#eQt6L_Vj<$JW}sC;Cxk3*(r4&-1@onxOq;gGWZA~s z_gCs?$8a2S-@)cL*Ew_6u_N(D?&X##O78{5x7lg1_U8WKE!-x3Jl4Qf{cd-zN1LKW zhR@^tb5oO)w&lefwhTJaTkn2l;@zO~{_3#u&4=>VNpjA5y82MEp<1kr=%kIh6&WAw z%f7eB>z3?1p}=}?);SIReS2@*eP(C-K1wFSy=`H@!$Z9hyRxsplUg}rSGCA@mg5uE z%J!8NZ>>3`zJAKGCz>Z+=1oc1I`2)H@T;Ozr%$`QxaGm%{VdU$Iqt#%9#*4%>D~S! zStp7Tca&^&c=mBI`^@95@=r>1eC$@<$@z9fNKJZf#E~a)&Y=p92hXQnl!=%5Gc)Bx zzr(aE7R>c#yWJ|bO7s5v#BwKi(q&s!Nfy6^WTE=fy2FoOb|v-)R2-bT>+9ENyH1x} zw_3aE!?ds8SXunbURx|;Ql0P9*HU%6wro+~0_){+I+oh9b$+%*VX@_NAKX)KeqwiE z&*xz0<W>7U(sy6xe`%HW>b=ww?%iL0ENW+4*1_j-dqcZ#^7j26rE0f*C37Y<%#nDK zU4CogQ-R>cq4g`*t;)Apy1aP#q-24QH3x1?<eIUFTXV-m-IGyQ76tgImT)*v<2fj{ zK)QWTw7Ylmw*9Bom%jUVY)Rl$&}{JfHItY&rK@Isae6FKKV3>Amsjzi_eNHY6SH5e z%RKW)|9oM2#FWXJVQ1boSxjWP9jhId*Ku>9Pg3u>AHN?TmNa9_H<RA|b%ja09P924 zLW~RzDh$Qq851ldx|Ad3Iw$=UVKDKCHMY}zF>Mv2lD=t!nSbEKYg$H(nVNSdGi+U@ zzQDNjWvW^5UDK*fzB9i_m>K2W)JuF8a<8nqO5J4o?XDk5jPiM#D%b_`-bidZ^7$KU z(uYR&kD+%@w$^X|eyid9@{?Ov_}Hq6&U=0E;pfEF%U5!hTjX&>>A#Cqa&SE^*Bo}J zBDQhb25GtaJ_SGipP&5n|E$aJXZ3U05H!<+?T)Y0gP5BIhb(t-*_zjGe9z4G^wEav z;%q!sOshm%)@X{HS@lCPOHudT$8OW}CnB=>Z)_7wp3W-N9x$c2OZUajx6CoR7jGZ3 zn`-c)-+7(VZL3Ig-5U{I@l9U}H`#NkiJ7PvJ^p{yZ4=wF_y0SD%B@pQGD*(;v_|d8 zHkKoYzqT*_I{P748^8571JUg>Rc|e4s<XZI-LucZAmY*PyNg1<++#4j=Jmy(S-|Mw zt(Ph@w(c$CmA}w-Y|Zw6Q_Jk75`vZX{bE+Up#42KDxzCy;?5__+$Zo%H$J~i-QZ?$ z=AlG^bjJ|6>c3sq{X)4*&jwVBfBE-NRrcU{#p9yN|Dq~lRQSH?$FN7<doy*DV&p8N z17Az_M19yTK66RuZ}nfSK}~i2hZq0d!1DaQ6ZgV{ev4NBoN<8hcv0)jTP9*MKU=O_ z9$xw-x7KI=5tmRVt+M&gmo4$vP+-(c5as5Nex^`=o5fuqa!Kt2>yl?d$JQU^-j)%t zwCwc$Rl!$%w=bwMW_r`QL^CyuJJz1jG35BlRLwiuyz;Bu`B#1UyP~zEb6QG(RuiKo z_v3JjGlJU74h!q$OlN5k<nT!DO?Tp}Vmh#@{l<$)wjU<MT$__An$)@H)oaGB50$nT znS|ReW3gdA9I}K%C*^+B#%<qyzg4OG-Cw*@dC$gMx|w^Ev*cNfxOQLid&p}iEuLLg zSF&{5^;7GuHCp(?*9i3fSW<HGboqfx439f!R75m?=#@=-d+*@gO938{qJR5xlJvwT z>WSM37{xv=h=?qgjM{cFVWVfmx#{;c@2c>8k+rU#{*-C`#8X<1T<;QAhiY&{+Hq~~ z%QJ2Zl1RK$GGWQ@X|5O66g4tSuw<^k*Y)M0TC)$^ip;dtIZtkjyy%She8NWOeDBt? zw*+)&w=tyt*!$3;O1~i8{@n4nUyTkQ-^v|0c1Kr{ZDZjl(H}dnNh`h$7L=Q5`19k7 zz};I~n72N@Ay~fTZ@Eow*XFIaf;E^Q+|0iBTI1;2c@Cco3aX_v?b}~&te!Zxe6gqQ zs$J=UmL^HnX1g`^^ohI11YfH;a!sJ>&6@{~6>;4=e($lpaHu))!~sQXcJtI$bBE)t zb6;+dEDhLYcd=vn?DE98E<eN1F=F9o{6p$rb6jGWEoo6w+c`VtLhP=UcPrNzysGP~ zJ1?kr{KpcXD{Nn`c@$5-bxEb`!ByqcVcjvE@{N2IlX4sbwli!z`!c-b&4;E$;o19H zO4Ik<naDX~Q-s!1uOzW+cU~QEI4{)Vb>G%)_I2Y{m2DMo^sh3RAO1VDhl#`Yc-X|v z0rm>Va~FK*UlL)tfL(40ue$WqVjmCR<Zp!m7BX&AJX6|i<t{FJ@8`2@w)eK%{j1Ur zS+lqVduMzut>pUaS$us#O`g<2w)>2GjZW-f+p)RM!Qk;BhtenC8rItEOM7A*a=x6O zzhj!c<j;!^$7`l3_<eYH#&$>ZY9BW>Kf?oIv;JvbI2%*2?L|lNA|<uMtt?%4{@5fd zeD|62$3np^f7+J`4kEjxBGW$KDoDP+%||N4>2R)a%Fg)Q&H`IL+e;^=ZJ(N*mfvFH zwmzw1N#ez=zEziWw!ED>M?;5qiA_r3$9Jnt**{Dy;=iNAyKF<uf4Nn2OoaKFt3-b; zxce-}My)Wb&+biI*p2%;Y@?Yq6vZ`fXd0bqn%c=5o}|>V%=u`n`&-TvZjs_0CWlN^ zzx~kpyZMf~VnnQdV&(k5Q&&Fty?**t;RQFJE-{+zzNt}a>hHUua?EwJIvnnl=M-DV z#4njzZ5USF?j<?*^@m$3woyk)uGG37|6(`w*Q*zI#AZa+g@!$g<L7yy9=7zdn6Ffk z|FhHELw*Zi-@Q`((!6VGmX$3(GQXW+5`5{drlMWhzS{9;!@)S4O5>OVH@0|~Dc7Z# z@>*sp9WuOXR<D1p<Lh^~5_O;Uk75h8T(f&0mcHI1(_R|>GHdU8`M?7oB<A*<T6cb` z+bXBhzngwkbag~)&psP-;4R}koi6^QD-}25`9=9z8#~z6o60i(N!ed9eb4M)k|mKF zb)o~yCuJPd$X4Ctd+YqNEqCTlVopxkxJ5-lbjAOWM)T5}Cl73AFnlW0*t{}rQurJ0 z)$FG$z9g?c$0nk>ocZ|Y&U{|?Yp%UoE^>h^S2l{j+R>_TUA7=k(0EJPLXEON9kR@? zMDi=TZ@8)-xDh@5?5~M`-TB{X{ByY!7B3M|nQ-oV>sO5m@qiBZ&(DG*kLWkpI{vnB zv_It6@nL4(W$XCQr~mn;RHxJl@8C|^EA;KoN!i7l*48Xxch@;I{p=|V=7Kf+Z=*GK zwq&nOdA9T1ldh!q*{5av7mMAgp1a=T^utHl_g{r_J2sbIW6@jhBT;=cD(}+Lmba;| zUH6LGGb>FNU0YhT=+*a%QeWQ7`l}qDc+QlUxGSNP*1hXU?*xS?1CQP<d;VWnI1yoF zV7Mn}&a{Gt-j4~Lu`8I~xaV^}41H;op}H!z<HMaQevY{TTLh=^9<|RuAf6%+eZ)=J z;K-%5_ZBCf`WhP+d~C9<ozdjH<t|eLinXtlh1zc~STsLtoi6tinaD`qMD~{ztj(OM zQ+uZ6H?S&QdRA|H=K+JpznU2nizZY&`Tk|!!R$@DCxjMoB|Yq%Xc2VqSz*GtFU@iD zO3yEke&RT%GOhW+Y%{F}{aq&O`}+3p+*@3dng3zlmt9lZ{<U(5U;At39D9L5W6k~h z>$r7)YOHziJ464`wk<{eig|M<{@FhH)!C~;tCqVhow~Z^+{118%txPjC;lqd-d(Eb z5w&e)y}4l_-vTFx=*y?>uSm3f$2|Ax{6BIjPp?D=GjNt4e$IA=<#X#rO&5`sFP_B2 z6f3@;EMM3n!El3L_EnfzmAUMXnQOcpSN*U0Ua)t^8J~NzI?R8z%f>$oPMX-DFu7vF zL>=}#Ug`B~gIt7C^Dg@N|BdeF4F7j+(kbl&lI_x+57(_IU>0xMDWlfM<Lr5N#w>x1 z8lO*-oe%w=b9&LOn@K_^c^N*;J!Mt!QTcKHCd&&uO>_+Fu3wf4d8uV4Z`A1B6V~-< z6aON)Uv2kT+!8(9d`*?6ZQ9s*GyVDP&53n)4hwGk{JJ;fcC?yl;5pk_GdD)G?wk;< zyw0_Fr<?8RNg7_i#E!5Wzvy3E_bFm!O3G*V(AqoqD=ye5W+Y5_@TsBVW%lvCH<YJs zoqSO^b5_@>!w#|s4}XXiZ#eo}Al}HPDOdJ?PWY`$t=AON-aUD>*SGD8OpJ83Pyb}; zGj<L8e;TU34?k%q>1V#&gXt{u;eLi-JGMPJ)$2<h8o#<Y)k({ET8jLIsrnna?xnFE z6;gCbm;SQ2Z21kLV^@~%;7E#-iTKfE;veyO%9BG^6O*@33rws2c%WFx|6Yzsz5BD5 zZw}rwRp)rjdph;mA%o`o_U?BBc1T5J9?@~)IygaR?-st*CM^fk4qpj-e8BJIjkbSx z56LVFoWI8M#E-dJD!)bIl^?w|H3>M{*m!Q<6}AI$k}V3FQTHV_X~)%{Jd?2`%pm0{ z%hEXoOzdBpwLjEunSAp9%lNRArqc}94qczLNP_L{z5o8kOJgTqxVbs^0RNRYC*Bt_ z%bQ<6q4|J+hYM5Q?f?C{JNlfZ8JzwUh=kg{z7TJDqvz?xU-Po}_bj_|-^8bhJEPa( zocSEHxutWe<!`xa8Kp0nB&j}S3X7?iPlUz6Q`Y~h8>XDoQDJ$qYr_J*tbMlZm+l)W zl!kqmig?YN^6%dF`+MvA6L)M*{h8pO7ZkGXVVlr)?!JFc$MWMUvTPzu@9``wZC-Hp zQOb@xT;I$3-iT%2O^v(psMl7|;>P58i_Yjcs-5X+$<0WvTsf`!Rl|W~$#wJXqnm|| ze(2qpsdICRM+Cd-<dk_T(I;A`L`b`PGs?A3(2@wd>@m}aF?*@{37(IA#XF~zNLE#w zFD&u9X0bGKq1%sF2^@zV>wo4P{qLe=E+jp3UE6uror?3!vfjVws-N)Hph$;ZKZR|< zGRHgmAI@KN>f>LUsJr{ek<`b%zh<nNq`~g*A-;0OrQ`S4OFuO$mDw|O-Ljhb_c%`d zlxE0ly?yrbybZUn{$|hXf1<v6gVPgD+iO#lxn`E6ybX4}r}B8&Y!BB|?|D3?4SvD3 z5v}h#7p|NkJ}LR};gHusw<2YyXr!7-ovh#R>cZi`S5m_3E}vx7ZkF`uu4G~@iv8$p zr?6A?l~vdFXJ6w4`KK;E_TXoc%)Xqn!4cu1{}(*v7JY27=`<$;o5L-Q`B(M{xnF-N zw>&F&f@jZfrB9q+7uN5PbL3y7urY7L6^+!dsXjKh*M8e{yRS#)u2N=IYUtNb`D?6} z9j%_4D`RTJ5%TxpMwY3ElkE?D<F|^K#OEG!_fk{w_i%}S#j9rQV7ML--Wq2n=KRU{ z<C4>1OWdVQH~h}gjViyZoH%vap~YvGdTiGXICg2D$j-VMUS9*3F+_-5e6cFQOJZ)- z*=38hAHNM)8F0etUDR2rgQlrb-qyR^Ry<_$`n>l#>*>xSkv%^*v8-Kc6LsH+srXWt zU0dj6!PYL5{VP5{+Haa?*c!!<UF>{y+Orcv_ik`4-^y~Pc{STnX`7dySe);!nP+tN zPYTo2i$yDgnpYmam?t`C_jZj18VwE-PXv7~c;0*?I@7TuMCSR7Ln0i;Hb?IYwB7nz z&!>{o#dLIQ$J0r-9^PNm>^A*Z<<Cj}_iyGnX-fI^Y+bwHNK03Jbo-wrhjjmV7g*HI z)i(Lcn-EmG#z1IEg?7k-W9+kn<_3A)bm+R(^RKxv`O)GPcgqA!_(Q~tIp3vQe7?Hi zjcU_x{xwIpEvaI$C_X0GHT!Yd`u{HXmlUmR`TgO{%+L=KJ4&3l6!u=Nk!)USGHaT# z(9}fsmqD|Xblr=c)|odI9xW?nF6#6P{$=N4x=1c%=E^7UcWcT|HWG|o^KZtNDy!+? zX(pmeA{J~BE15LshyLA33jfj)C**2>T-|Vl>)U$fs*lOdGrz^oR`BSRxqDmqiPZOr zf3@ypH2qt~_`@elKA^omTrMo#HGuW7`9}}+l#Oo{%au-fbT9msw3OR|_m<c*YlF&< z4{ttV39H&T_rQl0ub${%YScKH@t~Hiwe9bX4V|^ZDY><8E8cb6<gh=fWb--m_F;?E ztHbLH4n3={UG4vDhIr-Vdh7IvNBw`}dpv9sKE6o5w>RATkMh1*lQWd|e2mQfwr+Z1 z%C5+xOm5E?y*j|cRbutzNtSKc{hZtjYqJk7Z!oK|ntpe3LMCr`sP9IXjM@OBbBCH1 z|5$VD>=MfycYXP0p+pHE@d;MPejZHK?>r>rbuU8y<Svs-fwL9vzua~D{hA44J3VJ; z9J%CTWOPPaeV5Owm+Q@riTyp*KmA?(`sI^rR4UF|RK`C{5!mSUKQLG8(Cm7P3C{zT z7zeCNIB`x}%l5Q{Nl@&;+d*YJe=0m&B=#!2KA6!haLMU~4DBmx*SQ@tQ#p7~V^gMK zeCerIp^wW240t-9w5y$PxplHB@YnB8%#TaD+WB(6Zj6sOn|9_TZ(^UBa!kgHhw5t; z=I4evuV}44q_{RY`^k}ry09&W-7YUH)s~Pkc;6*?v}IrC*+U#&^-9yOe0}+^((wE4 z;(5Vhhb*r7YUCMODjvAD<)-~2v%QOYZ}2Srob&q0cBig-U1lW#y}m{ZvB{h6ec8QU zTx8C@2gkN~*CZNmxLj4*?7lG5<@i&!3ky!`>pGl#lf&~fU&+5O)b{Unue=cNwKc|D z&$=<F+~s}E)MRV-MfZ+~cHiDv_No&#O-_7UZL@W5T7jqQ>%2_~*=7p$vF!i9{oHlH zWv@)xv`b$v>HeI2>*j`&azAc_eOY)uhhf2|<o)YEOQbp4PO;gVxO%?Y(UXUbq|UY0 zsTW!`{0h|76BT^P+CQgEeZ|Mma^_RF9|_EB^)Qy7_-K8~GS5`@nxkyVfjU<wmc`i@ z{oTJvgUjlQ!VS0V*IJ<(>lW~J?N5A}%s){l(Jb;qXJV$4X1(|?jlDmXhx)2+IW;eF z>oF0QkT)KG)-7rck~r$TImonc3zJNQJoiL~lI<6zZ|;;j?y~f8=zB(e2UB~N=o4Qe zL@gL1ix=!=<6P_2H+QP$pR@HR-fdKFc<p$fLHhD)i+MjzthhLB_X-A2kv_J@_2-XA z8{Be>4fJ>&sQK@a+n0A=WvA+WY>MkyD06}_*W}6CQ~4@&g&XfatkklXvTc=~ezLn{ zYuxLL=Wm!)co#Ruq?BofmRvA<Ht%69<AYzvZVNT&GX3^g)>8Xp+XMNs!!tDO`X`75 zuX=nS_m5-R&W7m)v$Pw_r^x*l$<r*`l|I+$_lfH^GWAA>_!&F1Gx>vc`%g_3Qu!Xl zu_4JM`hD&!%Psu&+J~1e*=v5T=-3W{({bMoH>{jmxw89#qmN6@{7C+eQ<i+4^2bGP zn~OVmSO+}voXhIz#E`k!<%+~#wG)eMQ{KFMwbEQ-b7F4Zjh)PMY#N_lnAKs%y5e!e z(yT2%X6&BS-L*Y#M*MlTmwmG<<RdpK30$AJ^IXl$OI-DD3>Py-uQ)l+-PO8VL6o7p zL-|+vU&*;*$E<C3m~E_eS$AVUFY7d(zeg(<1n5kgw&iZ(Bb`&9d%bRNFK*Z4)?t=^ za*l7RYPro*c~j@=m)$8DQ~GMtI>RDepINUzVksHPEuGD9b^GaOM;3=2;)*kPFy~5U z`6mnamfp6%H9HpZYaa0U^t@SRx}b+jK-|-92I6k31r>KQ6;D0EHmx<yjAv;<!tQQ< z&bR|JoBg{@qGQ|AQ$rQb$esAZ_KTJO43k{u$D^k#Z&hEMcqG5qvWMf)rQKHZl&&53 z?4Mf^zb!yU^2X}#Ddk_peyY4mnD%Y1Q|Er)_}tY#J<cIVWDeVS&CN=>7jeqR?p|+l z@wT3jq9cWKn;fsqs(RLBdrCUl=dRH7V-=!_y?P>M*SQ0v-B)<*w|hP5+WdEZ$6BTz z$uD&Bc#}|Iukoxp+wJZL2c9ER5B9gP&;Jzl@N#eJ;_pv0JSHi7K6Pi?qT#{#;3{KY zweRP4#x#zPyc^z^9e<p}eZ<x;D#h8#X>-iwods*I{)*eK@aOo7({{^~`8(4@GHtp= z-X3c&TA}0R#`Sdl=H*HPuI@7Z89qw)U&^#ug?umx$d`56b@<(#Rm%0ETo2?oy9>)F zJ-JZ*=Hn}$W14#pMJGjF-J7`e%{CqLe*eWulkfCi7uonSjbZ(=#Rlv~JdQzk=RaX_ z^!_RvefH$5*PABh@}7R@I9cH0Q@_2Ij7rhZ)%!h;7W}A-^`D-zDwQqgqWw3A2Zy?1 zm6im`+dTUx(_ET&bf2>x+YgSMxknhL=ihRXEmT+Uj4_;JKGW{R4s{up{`34{{;!h` zTWPd9t(Y$TP^U*L$=T{`%(L&T3!2`jRA1;#w$5RV@(3@tQBnMSIe5n>oo$7o0ncWJ zZ@d#$f8>?2I=}CCR;@Uv)zLyX3v&BP`g6@Ms;sTN;JQB}RZZ@K$LXGppE@Qg`zokU zn(C%>+w!A0kLyz9dm3`loBlDW`Fv}upYu^HR(6K`q4(Zr=1g??q%-@smQcpay;5S^ z6-v2eJYtjBmYrGV@S$Y;n)f<BkB?dI<vLlR(71#5D<{j1m@n^?%4K~H<Z6FE^>?Mq z51-5#+hxnmA|HIZ9TJ><dx}|HbpXTtviF<UPF*0PH+RBZ`6cgHbXrF3Z(4Q7;>8<@ zZpTR+p;s1g{@pXHI;7U_PWbQRMh_UL2v;0cWi4N-T-N${f0WmZ2T3;7+MWH5b_+LL zdE~jV_wA~?8}@taEG-s%5q)qWm$qWwz7o!}f>HgI+XNWTF>4n7JMnK?x`v#p<%y$f z1ebEZpT_rS-^*V*)0WKCJa8jJe@m-BXY${2`AFTXm+t5p8~(9**3Gl?SJfqDIn{%n zo4$&jv2>bKx0?5Fa?8&by3?K<y6bdRY{s|f+2<Uci&MM3Qvaz5t=MmV?C}%@XEt-6 z0Acnk+501RCGPC=I_2=?!hPn;(R@mMM`h2fGurHwdgtQ2iU$i0bk$3}Sl)afLrC?^ ziNqs<KISp10+IrgeGKL>%Kx#rQ1CV7gSf1=(Ici62456xrk?(7rQZ9F>rUBW<9El^ zZfsw^Sfxql`64HtITzKoUJrCMX;T0HL?%S7)$rx9mr)ZMr*yhsTb=(&^wW_EYilBZ zyNk!}cE9jGtpA5s59eOK0`KMrM_iZ|GJEO%+>jHxf>r4>^VLNP7na=qtGzzbd7J1Y z#YYy7x91;zJJXVn`P22^`7e6{4MN$ctj(F^emy&*vCA$anfZDg``6uf3JrR1T^GCG z$^CTxx14T<X<VWw_C*9TedYbWXHvObr%c|{r_1ZFTn`a@)_-}id(i6*6&riK6PLD6 zf6N-8_qp|>V^Cbx(;KUfbFW>VEv9#9n_$L+r09(nM;P-9chA|Z*J9`zv#q3beuzuN zi^2zAccy(RUpMbf$vfG@H(jRaHXiG(UflXR^z`=L6;?CS<}b1N;h$JG{lB~ZPJ?Z% zS9VE+<-XNfaXfv#j=>xz_J?<xqqpuBy(wbWof@~+wCYUdT;??1!wfUM1Go4ZK7PQF zc>h&#c0px;6Sw4SW1DHYs>V_CA7;&cbZxu$vm+W3{b6fc!)AJJi?7-&C#UEbQ?y6L z$KwWv*shumuioQ1?_Jnj`8yvprmWm8eD1)L_C?E-zw7qSN!cl(Jn2hc_v)GcdDoJ* zo;JKBeKWbyYDT8o%@0b3M}2Evv`)Nx-m#<c%96Zq(Y}A?q_I^+Z3_F4rM$KA`?Rf0 zK~;-*cYIqcdt>?crJU87`~_=IM<???GmT%7lr5I#*7t;|HavnsQ6xr(H*jxK*3^E1 z<B1F{b#5USg%>!=W=#B-_UAxDn|o73<&o-?yW-`ZGty&PzlSx-tm)2wy+bH=;eO36 zerf9MHUI8z5ZL=}mrCZ|j?Ubh-Tbeg7hdLOZ~Y@XPv1VMN@U)>`|gkP#Ec3BU5$2b zKE&C3@c6M80W)75y!+~vUGo3p=gq$s?Va~pyvDO?YtE8s3)TD27rft=qt_9s%)oGH zL#Bn;+11RiRCTwDbu_4Z`<XB^1Uz4H^6rL5xo4)Wm}&p>TuaB10$!tat4|(Mcexbb zEEVP1nmTEd)?LZBnn$@l2v2A%+{WT0!r5r}(Ns;g)u>wFt>e+?kelxe%WhT4e$ITQ zab93YQoDxe*ZvFTdwuIA|0s#=RO<Bq<#>kgp2)?kosaoz`&D@yHZSf=+Wl9?qiKaq zrr;e(LA9oq#&1j-YG3cnT%hK6Ts@t=_-@zP$Hpx2V%HBArt9h0h6D?5m&;e!=oSzX z*YElI>%{v7$FDh7URy9Xm-m0fOtp7Lt}-&AmK9=Ve+)!#SFT`PEPr6F>Va={Q%)~g z*e#)SwDz+07J<bN9!XYocOSRiQ`luOQ`zjJvE7c<u8TZO*YF1X4BFr~Q)xwA$H#qJ zCrin3NqKUoy-M5~t^FZ--~3}1#;2Yz3wP`ce)Y%CZO(eemE2wq_1`==G&NS`^&ARd zZDro9&L82WRmm~aw7PW8HQCDRmmYjBvadO+aedDkt}92Jg<pOVzuw?;RHr0_!CNU{ zE{BTm9LpS&uxHbG1cm;1&E|=#{#v*x;l=c8U%xHOO=#qhSo%1rLqobkjP>o^#yKJR z-#_stdEH!~r{AEnhG%mdPsR^pvni}cS}fPixcF6ML%Qs~iq>T>Kd*VVwLQadR(qDi znL}qL_?-+sA^1P<$v2OsyjA8*!GC%$K4W<~#cB4Ueb+YXt&-L(jN8L?hReX~!0ppD zM*p(ItsiS|nDfP?)pPCsqO)`6_<At0=43nCe!IOPj!7=sEUMs@*POI#+`>yY7I7E! zuhWclWIFM+PJUNu&3c!MeA-hcZ{K|X{Wseg0mpcfB&ygx3$|~&`CrkCwLFybp6*-O z%Io^}yl1PVWV+kVe(Tz)EYT?_#F{I({0bxc$q#j+ZkM?jeDn;?D?R?Nc**JB8M#L` zBIlmmWbn&&p8q1e!Cu;@*!ilY_rw>==Im;e$^RDnQ{R4dlgYOI-9N1Uc6Oa_>|69c z>~Xxe&$f+61hUgM>-M+Vo;5HFnv(W1SSRw_#JBA~Plj+`Vm!b%Yx<nCiaTfI?A2TN zGl4Pk-lzN1?`kh!!sKvkOWx&6J-=-V8YWE<Y1G;JDR!qfpGEO&?G4)Xty~(3&g{I8 zREqYB9(xeTZMv>e?tzafqrpU{<!<ahg-)F`bo-#g@44+bn~b-rv&5qIiIcdpZyeHa zkJT=EW#PuQ!olu@w)3?Ir)HkekPH(%aKA|Ajp&VvU*)RiO59@e7cW2X;>IJB-RE9E zni6YfrXLU^Bm8E9>f8HTr_V2qnQ0=jFS<^~H{QR-po>}Ui*9t}&HZ*_f^x^C;&yK+ z?JW{?pXg<?H+_QQ-Br&SUL7xzc>La}OFL)Rw`WUV8l2nl{7L@_PLo)5<Kz~hv%4=_ ze6`#AKyJ@fhtid<b+;?G2+b`?TOxSXFf~zv&4Z66@t48&?S<JjjS@wVU$)hG{t5n; z)Or8ul{nQsoz7=IM;|yQI{n<y!>(?-Cfc9AbFVw_5RWLk_}*L3rvF*}ZruY*-M^O( zp1S+W=;O>h#+O?6=W_n952$6l89G(ui&~!8f%%6`+~0kTf6*FUlzb-8G<nAJAMX0g zH&*EJD@e)x=y!86`KLTPE26NKVOL@VU+FnNZrAX<A1zi<dFD+_$GAj_)Lvz1+?m-s zzbJys{CuuW=Z*N2E1J6w{dZ(w)0|hf`qG;fEJ{TVngvpUDzg*3u3Zw#$`DE1I8nY% zy7@%T@{9jx)V@n{?f)r%esOEZp6JlCQP=XD0)G6Sw7(+J?99n!31^S`<p%`hCN?H6 z)STa7yUBU#LAIWja<7X?$CG~)FRHw0lk|O~NmuWZmwA60IsW{dT~@om`I^QQjfm6y zRg?Hl>Y|e08@0rL%K6DHlkPM_cX^wg(!%HK{O9C3E?Mw3%5-mltlzw+(Qh7Da&g|d zbNP8NTXmi^8<%{|m3RCzR|mQ}ZNIoFB`ZS7LFW01^ON_xF}`kKsmhsL+<Ul()xf+{ zbeF2>jhlf>Uo>)A|K<65BIV|Nrl>3P)L$)%zdWJ$Ni%DCn84gVgWGmD)=a9&zI$V? z)wJWby7~!Mv=_c>W@9_*rZbIA-73%KfbP2YzZP97S1HXA>=k^qI@s_mZ%DIfhx`19 zuiF*GL`>Eyz0a<Dx7=1K;fQ2xhD&+9^7j?T6i;aMhVWc#2{GTYnAb4F^X}oyTQlWi z#CLc^%s(Y~!?~!DEuxX@k$I+R+6BFhKbU>qc0SqhD$4DE_-5xBude=9*tcuu!`f5U zv2HCh3)eO;x-C<l=3l(>#1-$8>y>98eSA)Krax;#OHsy&n(QJ`dyN}QzT8&+mcQLR zrH*x))A5D}Y<3E1+r+iQ=dZBcz_=%1OZBHmXWSwtT`zG;7mleiwO^IAgW2$gm8f&O z^s#jTGcO$cpXL?rv`GAd<L}7tihDWFY;d=D7VGYE_?~F>8^(Lb8J8xSGk)ROI4dM^ z+8c@HzjF5NF~VLKN^Wz$*PZimz2|)Kge8ZkojY#Pnaq4tXWeZ{!^)Qlp8cyB>bB02 zpHTW&yZn`*yNkBE%grQasVbKlI=?l)Y<+d{rLXRX;}I(xJnhzqoZxKYtaE$e@p)oM zf%nQ7=GmWk7=OKX;*@pHomF|*vHQS<RRWBL-sUH#m401mI4AYN#iX-UcQ5bck4a+p zI<~{st}&f;@6pZ;vjlek-*e<@q1C0ciS?Swr>Dhrdi4vZyl)Z;HTQR#c$oE@l=j|- zHt*l${ZVi+4?OsgZGZ97Hxp!&jHkSt5PI;;>-j0qq7(NAo$8#rTWt~x*SC%%3okBP zsZpwUIXEQ0T~YKj<BF^=DrVmgo2kUEvE_W9<;hsTL6_@ph^9-DtjLCsys2*+nr+R@ z9-eJm5~ia0;=5BU`<^aeJ@;zP7X~{bMfPm(JX2-O5_<HUNw-+3YWXUWn!Bs7fBf;& zc!s7~c!QkDxmAnOIpy2-6(|2pTD7O*Mr1|8krgJb@9VzgJrg^9qWE<kS3k?IB#r-~ z^~=sqZ<X*rr}O8j<HZ+@(xr19r$?;Ltt>WqBw~M{?1BE?>DD?WH5X4ku->U)=6pGV zYwa$hA2T;4?mTew($`r><HIJFYaH$_lK%Df>r&e%kG}csbx%Hddr7oFPE+^N1M}q) zZ{-^Y8ywngw6vNzXZ6=#TKef+x8>7jEL?i&?Up|_6H?|dn0@A`zMX1yotwjBmG0Z; za<`eR9_Fk6^(wrlwBX8p$)KF4pH?>=*?q!$>-7HB1y36`y=(vI_|#h<|6|xe+4GwY zJXiMlz-#XRwR(f=(wcWuYBx7*uwE_j|MT|idwj0Gp052tJLscS`;w~tH|^I486D;L z!R?mg!oG0lGiJ$~2QCS%t<*TZVd~VItM#OpN^i~0bzdZ@%l4Nw>fxpA1`Xv`XLiYD zZEt??*`w*h&c8RM{)!&X{LPr6w1|KEjTcfsj-{p49+f|SdOPdBmzRTO>pfV1loj5o z{HlKR)}<@;x4FOOy|jF#$}`Wz<Qk{Q-AjQNrq=tcd$i$6)pk##prqIq5iNb?%RDXp z_dK+8OJ<5^gs)&>RjH8q$X22dC8?#Swv4gyP*U!BTdT<pEz)fK)sG{TY&LNnJ?x?v z?R@d?PbU7mt4iF~JPBCUn|P|5?|>~!57+<KKPP=PuK#oN#PR!QL^}Nf0@fVvb=!WL zvmuB}P_&FEZ1qEylRc?L8I{+h^mJ_74}WC5@Wb$PQ}(33W}$+fW|ozj0u8o2!8}#m zY+1q_)?fa8;#jUJ9CXK^;KtRJY=&R7CoS~%Runkye(6&Dt^9-f>`R-Mz1g7m*J{b- z$PEg=f?CYK)-4ad`{8l8)cyR)&*lc?BpD0ncIlj*vfTXM#}$41zH!d`x;tM=T6*H! znMuhL>eTz1GxAlxd+wbtzFXEcSE^?1u{Ouk66`&Dd{@hy$x15td4lb@%v&2lHwoUg z=g<Br%_%ig|4=CLD=@t)z1+((*e)hx2mb_{J*MB8&NknXoN|>ZqdBQdorQ7l)pl8t zB;{=Tz3D~wHd*FI2v3@oaA`Bw;SU$v;x0Y*nOf+x`eDbEwNs2IdwLxbTD~g5Q1ZIO z-D|>cY^Hnsu9!C^wPe%HRa(JMx2}wi6u%+#sZ4m@`;*C6Hf=oj_~b$6-b90jn<7{D z8m-v>I<I8M6%SEyCvA!O1(hGx&;DQE>E^X8{PTg5b8qj61a<v5uei01OK)M#O}*PH z@(#yRxPx3i`2?`5WO1E)w!2+v-3JMN?!x&yUI}dHob+U)im{Bwi%TCYF5kMW8&mS9 z$WOU7^z2sVm7QEiAIh~CO_;J*mP4|hQHc4`3g)fd4Eb^{uMbr5xOlK-1U_8)ApVCm zbBa)1QsVN80Qbw!H$4`9zVpiewd_j|X!kLc-<wj@XZ~(e>ZI&e&QG;(R~>GOnHg9a zt)yD|{<NZpkh$EHis>~69t%|X{Mv84Ea8Z0?1co$Yqc!x6BrIy@^AhVlX7Tc#!nN? z{6pyp5$z@)Sp6Gw8OvV%j__*Qem=BFgV}G9*&PL2gURhGvE@aca|$O*^Uv_&KXj<* z?DiMdU+kiehF_j6x~o$_;MrzrLm~d|lMEq3D(%u*;cvCBZ`h;mbNKU-ZQp{<$vSQ_ zsyTS_RPjb<UIxcazod1d#Qs>TpPu&Zvt!H;hdl|iA7q?=z24;0(eQOMR(yV6IAhvn zR&}4%z5=D@7p#Be*#-4&Kd?3Vd9vG&s_EHF)kO|wnFgM_%}^$0|Dv|#RN%Gdve*ev zlmw@^UYaU7!LMTuOZ>#6A*-iz@Z5I&(fv_Pa#?E8J?13y%s#35#74ci{S%VCov!N$ z9)GR6oGX0w;)S~kR0Lf+&+*1D`lBEJ<>BQS$1Rnz3jewtN^>?pm6h@P#srfRrpoTG zxASgD6}xP8UY>jHeuMTgtAOYF(|+*hxN{xRzq?fJU=P=XgCCZkedp--_TM*NiJN!! za(${>_3n&iMQU2)!}YQ!WY%UX{qt~o-B^$k<-(U-_I|pTK*uNX*J%?)PW8{X;*+%x znw;;MbK0Tj8~f`p9yh~_Ejy3QH;{B%Aolv0#2k5!er4W0cS{-WCvLybYng0$c!?g% zexsgaZ_iY&SY_*eghl)Lk$87SuE#T?RZ=*<JXl;C6YsrYaYI2~TkVp`6?Z3@-K-N@ z-`8~arred@50xwG=Sn*~pW|uUIo0T{UB!)wKiGx-zGw_zX4??`^V_HV8Ej0+UR;W8 z4C|eqAA6zqG?zPJ<BVBOHAj_HHG<kdbnGhoy5h$Fc*iO7FFwY|w)fATB4x^%z9d)a z%#E!(YPWkkZ+tBHwp-sL$~utm%Z5c^OSq@9&(1tCDQ1h&?y$H-6aOX0LtcG6InBA{ zN#>uX&r3INUXY~qW|~LnqV;wq@qKYY(_`OF{iOM-sM7AI>@~^s5I1GX&zts{EfZ<F zQpVV=p6>KgV$S0q|0*38t-Hp`FJ8cQk!h#N!&O%_H~s1D-(YF!<#gr7KCX2+hDYZe z<o34sooMoUH^(N4sVA#C`of-UW}5e&b4IV{ZpQbnr)M$wHR`NBrWSwt<|%(4rv86x z=A9L}bmy{$VQ5WQkk|hOJw4BJ)HFmE?G58wR-th^UuwV8o7nlc+7x9rFaCYF>W%0< zXT}pARxZzvvt2aUwsrNrD)p8^>21dsE2<aXuG_lw=Rp;<bdh;#g$z?uTd%Z~6&1w) z-k2-A@(oA6-hl^9H-0Or<o^qhpJveV{qC_MU-ctPRSxh@fBZvU<K2YbdFq#TarcGP zty&Z<d_hI=$K7v|S-h+}_7tjhb4)VwI{R;*Q0vAI9kUi}IDKKYVREUP<HA72D~48o zyF+^}e4MO*>d4fTAn6l!!j)flKDw@dsnUMIJ%;u2T+;(5O3J-j7ci~K!j$olJNw&< znsrkSu6uK(u251&sP$yzL#Az472A%sUcRC4w>kgDi{=UOi&rO}ymL>I>48Y=3#Ly2 zd+wP`n;>jF!(W8mQ(Vl8pZ~+jvvsQu*+yPdOPP@MUiQ$La<hNa4(s+za9I&_b=vNP zNjC((9`Bj9=J4T%-Z8ns_AC5Y&W8xv1Q-a(sPI|zES)xYF&F#mwFTx&80{V$<n}q- zURigD`-HP#%LIn|iEIkVVe^v21r6*1HYE%Aq`J*sys}!hON#5YXvF+!D_^L^yY#QI zZMwqrx#O~Fc<i1Q?=wB+?`>+r$~dOX5YG3Vvu4GH9UbqU`1@a+_aZIjoxm^k1sAr6 zMV*XE`lBGeu0E_|ufe<Oo-<}Kcf3S3{WNnpHyP_^zg*AddAPXz!~wM*{Y*^Kw+=MT z4VcyV<YPqE9oKfB=U1(cztZ~5A@+4cl}Ow-{yiqM-6TRzeVZ+FC#qkg*7%K|R_>zn zPhT-!34VOPppe6u=?tg%&xem{%$@ochdnCf&+u{eP&!^W<>c|?;s=l3bn_}&c5F2Z zY^kYMZ*FR4S-<V0uEd)|4`pBR-P`M)*d|%$BpPO!S+rKQ#OvjC^@I8U<bLxVG`XK# zQZ)S#Ux;d^;0E2>hmr)mSd|+8TzXsf<W5O)VfR_))nS#3zSci+@~NmhTYlu9pF+um z^OC&$t-VVf1CmlbQj=K~XM3LH4E*y>OF824-UN$zeL@YcY}@tw6R-ZyJC*iy#sW2I zzJHsTzvLX@{HfZsp2PAp>k_%S`f_KA9aO%%iha1x_|n8gh;we`#EL$}sd42lYrK2< zR~*mntJ!ht_HWY<IxS0cj-}7w%xgL(e{_2LQo*I_y_ZB-3#4~@6p8)ZpD3HmwMuQ4 zci!q<{Ri}uHt)`!u467`By(lURNsC4q4L)iUN$|MF7eSUnEU17iR_QRwDyPZId*nV z)xnucW&7vr|5_K$C}zRAZF`mwuULLU;QQyYAtCc`b4r94xvH^8^vNem={eq&uX*=2 z`}*?tg8Bj5xQeEi2cKn$GWFb5XgE2@_{NdI4;SL%8jttLF&$SoY0r4F%#-Pu>Sn#3 z7@b4?DXcy!n@{Lnd_C!X)QKr4539b*R2MlWx^!MaPDq5$98=d4*=-p!ljnV_^vzaj zubj_&=h3@Q)0SKl>GqC#_Tl^LeUefBjrnWaI2Ju?-O|h1<&d+?=(g16RY`KDukRdk z$oqZ#cuUoWpEjwCHPfaY%sCWSut%=jPUYWrg|l1lUZ1&7DstxU_3}-%yb8?^{wTFS z?XaAYv&c|oZ}rZ4;j7{^c}}h?lwPsn5m$%F-xms6+7SizcHUb}-es(v*>04os5m*F z%Q|iS<KM9x^}hz~6Ijf#&2HiOm^y8q5C=K;@9lq{zst41ai&I*Ly!I4$D%FjOUoXd zX5{Fq)6tdRu$^hfri0mETX&of4lB2){QAQAyT&h-^NkbaT1-U?8m8}>?ISVKaB_0y zv?ixXnzhyH>|xp(=XED>H(bosWoYU%KFVhE!{0PV?W58=4TdEy<rzWYmfKmg*M-<G zxw3QeBawfpduObF)^+RTKJmz3Ox(Ww&vg!jJehr8zVBS(7k}~R$19Z`7sVDH-O=wf zsi@aV%YS;wH^$_D?#zGn*ckJhF0{}7bfVF~LY8~;SzjKXQ}P+^8Ij5v_hOlo3a4@} zypxk8Iiq#L>)Mk&o=#jV7VZew&NO?$Aj)~{^!Bn@vis6pUTn6wU)00-<k2S)9qGL9 zI(uA1Dmr+c$n<mee=p(XW}kHWO5mn~J8PWJh&mS>yl2cVe)n;-fYEU)_1BJUtG{fR zd}!aE(hWz>m499kUo3XtUU!$)^t=r7W#2WvXIN*x>)(=_d@d+})$e<EsK%ph^1I`n zBu6j5eqZZ>4X1R-fyst{`hCCdd9GWa&zZT^>2LUL?bL@$UwWrDWIibV=F%LUt1kDz zM^m!Wo-J=@u*6^6&eu~y_imlc9HZ8{MN{WRaLwYl>ZGWnmn>MHr02=3XiBQlThrh3 zEx_z}Rn|w9uYreN9_-d>d-wf}rfQ$}w9pv~ZBJ@*h5rz)*l_usOMhXqpq6iF!=GRd z8-1l27jAzF;ktQyR#D`sZ?A<-*|n8}Dkdwxj<MZw@X%78)@Lg$Hr`k#ZjsyRY9YGD z?!o+J#VOLVg=Z7jL`Iz7o3>)*tb5aMvRcjBS@}R{^-t!2VEw1B7Bk15myVoy`^n3R zZ{C$uwVXA7YW>#Fe13xBAH&5r_Om1zDEv38joFenqpqz=X3i|eM2)?zCl0LJT=aUr zOqzzRrJjAnk9{He<xWcqAHObMB(?Qr$M@eK82irNS-Qn7<nHt&mQ}Hhw|MPJr8GCN zU-c{4<}op7VrJAW|7|NK2uQ6^(pJr%^xf+&<Hk;@lRG&J<^FY)23B*-%I^qFnO^@; zEbh!B!Iy_Lz5S#-TWT~kZ!WoKJMFCj+q`K(2T!`+HYoqW=e1zZuTax1&lZZCeasR` zb(^#!<c#XS>pOM6ZK}G<@z#mqscJ&p$HhxluQj|f?~uFU$NRk}Hf-7Zao74;c~3vi z4qy8(>GGsg*YrJ`ZUj9$lz8OL(T6&&1x$;qrk`CM>k{)MeoOH3nv;i57`*<&UbtWN z<Fj(B02_5dg~wa(MPF4AUGM*v%kXf;%Zue~m6E?Jo!zRxs-2Sl=UeqSIV-m5jE0Xu z;f(bi&8oHLzak!_?=@7DTVPrc-<K{aJMH(8qL34Az1=d>|BoyPR`k=|@ay<Ni+!i| zJ<K~6=ccOKxKvA!B_T;kF<yS^Zh?c0=cnKJz`5kwo`-6(Dy1)56SnVJD<z%9E?M}n z)c3?B##3Hr|5S*zml~Hk2Ay_Yett@#@Z_|V(ABD^??lZ_Y!kI~^9^%6(ZDHtc0t>E zi}-n~|Gd{sh%bHCks{&7q#w!m`i#Ek4$ZE4hYNqb+I~qW@JjIf<OZeY7VDQc^txNv z>uNWDOaK1s_g~-U#}ZklQWrGSAH?quRQ@neb?@Z2??0~oyXg6Aj;4=)E9Rc_`NLNk zy-q4CtzODb)ll@iw&*U7WUDt{d;(RN*zGOmKM;u!aCQm{_hd^q-SyojL(}nKi<f*- zkmC-?!u7ijz4^)MVenZq?%3QI`=%}ZJ{^vw`u|_aa+=C5TD?<#Phh#C$WzzQu7)dX zyp?bCaNb;OrdNMD{?>~td**N3=)#@%LR71_bN0%$lFNE;`%UDXS-3Di+Tu;&-+j6h zR79_ObbEcvIFUQC^61$hQHjDke@$5LEXdH=6#3uT@=2h<7M_}Czvs(Va0O~!xKn%7 zaIMI_NV8YUS1-?r&f$sqd*p%fr471#jC%?%8>U^!mfCP{!{i2SZI>ek7w$5xSg@jR zqSb<h0Vg6S@Xrns`gwWl&9fgR`K3-WZnLQG{C4f|4v7<<qJQ?eyiQ2fiP*U1>B_>3 z8unieO5aYhNnaGTd{Hga*#hU-ioSyRd~SJR@wd;Pz95yHKVy4p;s@{Ag+i7^SI&Cm zE6*0a^-xb@mg&+DzH|0I`Ru7!=b#uZp8LbUC-Cb0=S}UIZ7Yv*r?>N09DmO!wmNKm zb4$U?kn?GoPft8KUuk+i^X^F%mOsarMl^Ydy*Z@z;K7mlr94M>&kU$BX)?BWe*N-} zOO3S${_@+~S!Y*dXy3TWSdp<l?8PUa`t8oT*Cw9j(Aj%EE8^L<TV*-_BW9hyWYG73 z<#Js5k(c(~U-<=9JA+=dwoG|xy5Ls*_GIt0DSMAKEiVxIH(zo?iAS^b?03&tB-!1x z1g8rgQr*pQY<HIXoJ;$7++T!p8n4p6@bI0xM=wKeb4B+oo&T&Sy~BU)7RykY6tTgv zLv3zL#^EsTYg#^A`)^t7m%UWC%=W^;lT(te|6z~v(PlE9>d!K3eae@H?5RcHPDw{( zIjbzK`}S7TLdCOcqv?~@jd%GDKZ!A_)EDiW;9RNeky&k-@c&#z(<3GM(;Ho1e4AFN zvv&L2Qb!>NuN_YBo-8PO?l2)e=#S{?IX)k_X6z6WT(u)ixrO~sP4=NQ8xFO*s<WPS z`?c3j+H!VlZRX`JP1aSt4t)7-jB0h>rB%0{I9_P`(j@wfX;q7{V!`{hCO3PwEL9YV zSG@cBY~{9<PA8wnKWKZcyO7Uj*5q70hprI5FY!^6KD8v7&5xOSu||F6ZMOEK5^vt* zX0Exg=fDe5ZmVtnA~G+`%b&kIHbqdf;%a?F$~)t-BR6XWtbCnZtCyvmVd;r{Bfl=y zs<rLC#P9nD>%!#c9p2%oJiTE4)V-g?{{8XMy_j%$$ARoG`EhxNW8yV$8VUTpQ7>sZ zEq0FnY4sfUuhBb?&pDPSRlcy})8p?N?1?)T7~VReku{?x>Uw8=4$t~I!F%o=bv<Ko z<!(5ydXb6Hdm%kz#>^>4KJjwPEX@3|_U&PB;WqDWGxnblf1`NKp(5S>`s*MS_JfyV z58Qlg@jy63m|I2enCSPcFp*X<lZmsgeN+haml2h;QO?Nk7b%dpxijl`cFzxXtpoG8 zpB(u8;aJIjJ*fgu?#%a$l?$5|2d)+hUzNn=yNCbby?ZPRek_YgXgu=a;HgDdeTyH8 z#w}m-;hOpmm40EH^T$<n?gVLX@VFTFv*Y2%f@4zGTb&9H^KIJZS>Pclp_o7YviID1 z8S^Fzo~_|BdKr{EZF;&y%{u`O=fV!xpjn|)FEv$u+NU6}YsqbQ+52^C?=HL=HO*pM z;atA1nP2|#%f%T=zW*<$_ohiZ)#%GEA4P{xRkvJjWH)QQbC*8b^|SF;!1Vb4AG#b( z7cRDnsCsLy@;?1kR>Iev-EYjFv#h(#WfZ^evuDipC7nOr|J6?Sml61HDYL++TvW2B zBWCl`n&5fO?{??d$?XleQOl6E?Z}0lUQ)lDMXor;A6S}_Gd1+h#h*`Zol^XExKle% zmqpqAk=fLV0ZX@(){1f~%_&sb+oM?@(CuH(_VITH-;96Z%51x%^QY}ExU!~gDf@wP zp~c@HiFa&odeJFiHZk@_n87I#-Y+Rq(~akv?C=ktP<7?{n&Uei4m3V_(5Z4`)e(m; zi)R_<Kijibx!0xYPMd*M%VCdOJ1$GJ-m-Y@zR2@0ACp1*lKm^zCQNy3)_gO{;l)Bx zu~xn>6T7~ypZqocfYDPI^=t3lxf?px-YDI0`L^339ge2M(ze>X{6>cFTW=_BX)L<? zhwtI8jD+p)trmSg@N~l#%hRt_uWfxDT<H_jyjqY&P2YA}<@ui~Qc_F3=W}1Q<gxv; z<)Z%m)_aL3zX`8i<j0hsc!9rVjU)T5T|%zf5!JI7?S8s$?v#Z$m>B=e|H)H%PF^Er z(%-9D9ht_<0>11@HLlxUAUE;StfKmm-noxAN(SC|diR1@vd|-b4R%dOes&GF46e%k z%{xodgGDX%WA|K%4dyA6vB>;;g4N^~kDnUTVzW?_{|A~E=qFE4zIR5~`Fboz{krtf zM@$Qn3VApyIck1PNMH8hqIlyL1I-i*!$oqJUP(OF?wtNg!DhyXcbm3KA6>=&?Z?vY z5BYb}|IPDgID2<nN4bXT<D_F|&R!|vTOJtn*#vZUr9S=jzBX&^ile#wmi7IzQ-xN% zID5&vSkCouucCL<xo;D8m7eu{yRUVdcg|9ISD!b^e}d0fo(|EowH0lA`*L#5)VDeN zcD>U)#kQ{0U8=93^w!-friZ+08|-xE2^5%>9rU|odFGFjK&JWfo{mFF?Z?x?S*sdP z&j|Q$ek{~)%AdP)kCg5A>bSjnf!XJE8FRCREy~*;Z0w2tHTg$=eC+dk%a<p16}+`& zlNHnW*E%<&nV}{nOtL98{l%`p4Q6hU8zK_>p3T2lT^Y`E<kye+Dqo-KO$c&GFmIl` z?cq#6O`(|&b{=OjdcOKiW#Uc|hLEXtlM@%R@1EzskA3%Zb<4HAlRtP0E$d>{3++nZ zGiP6?>TltdoZGrh`Fannz1;Dm`G0nQVP#&i`_r1jSAF50@tM!&Y<l`@drr9O8;NT3 zd(oTL2o`SJC@GniR+8*py5B2ds@;_C9zO+%uthK268NtKv;Cj+P20==+Z+9F*Yy>f z)@QzLb$PaW`{G~GWu|R+s%D++nsRL0?9(eXD+GG;+Owy;c;Z^yFk!6?%ghD-_k2%1 z+RWwnO!Qqy#D-9TyC2W7FZ-RDtS)%w(HG@ocYpbmioKn6>QP|#&%VmWi>$E{mR%1; ztye4$nO+dF_AUSHzg|0x<x&zP`c_L@IG25LyL97xYst1kArIHTHal}Rv02V&*gdJf zFNv++bcxd3_^N&LuWCq5_}xA!ws%T=iv5X6OJCIss2rQ(bvfn~=e*6GF<$%j^X7Xn z>gr#*vG4e@rydrJ<x;28mP<Iuow~3gnelUrg?e+&-zjs%4s5$@9<~1F1lPXnt-hK+ zEfk-fJSj4XPsG9aV(O;-4p$UD?r-H>^L4fEgKc7y^@NUV*YR)Aow_N)^NQDvoeW!4 z_Z)q&tYprE&3_H}lKR9QZhs8FxNHBS(uZCSaTD~GsyipW^SrwC=VI15UskMB_URK- zo4zGb@>SaD?#dI>r+;XD#j)c0J!$=t)tmKKUc0(#&#PIY&u#jZIXf-GJo#C}Pc3P` z<?q6|y~*`-T-i>w943<s6)mk9OyNzdCpmvED(|23GdVy0qyL@j<ytokOzf6CeY;A8 z(K+V99iH72R;Et4$nfIH-W--)raFhG|LnXkTk?J7T(Kqzb(R03{VkQcowsN4_}13W z3OdYF<a1?9+8yV9*SE>%pI*Lt_~{*&`#+nSbJzViq#v;9@$zXa{)XLIV0!ATq=x5t zm!7%l&QsbMnjQ6GKkTx9dx!f+rBvnR<w`0`s*am&>{%gu`p6r}SmmQxIotDZZo5_( zTvBlPDoeK6Gee15DW;_tm1eZh7pSea5=#F%O@2pT`<u4pZDm`^>lm-6oO^S-Yg)@r zp>9WKbJjNnL0y)S`kD6*F<aTo98Wv@e8H>FH)d_Rz1?t2l0Nh4?;DD*r!e+9T^4lp zaCs=?HQ|N^vx;JOIA4q2%bBmYb}8Ta;vw<a<y=Vaex1%no0X;CPOOg%eEV`wSag}W z$kCb=kFPPPa5R}We0mzQja{gA+t&*Ic{?|MUM=*enCH>q;-h-WXE)?$8>eOelj^To zHr=i|c*2i~tEwY^n=<kQZ&k2ddi?i_&(kBDns#)!h5zb{lbG8tK4Z@@vHnmCVU-)x zj(L2x`@KDI!(zh)4$;4!e7t17ME$tHU8XIbX6#OOR(x}U;%Bd}DDr%~^|@4Wz30E! zzb#d7?4F&tcAWb}xWa^0)io1hu6DIfy5uuKE~frLN>5U3qQ?CN`?5=(RXlpR`pC{U zA$IYVUVCahk0hl$J$7ZwTe(lm--qpBYrD<K<&d+<{fycAlk0q!tlwEb;m502D-OIe zEx5Ouc@N_;*JH9z91lv}4d{JuF!R^@zY%ZWi~lj_wCj7yel#%drF_@@nO-}zRO6lQ zrY7@OcHcK+mpZ@as`|_$bv2GIQ+v-Wt$);~{5kfv`hv<8x%IMgdlpXo^H%%EofG@w zxB7*@-*aHyqm`zjXBSpK{N~ENXYU0C2Inin=cU|_#R&*I|NCrWVX^d<sr!`~SB+Ey zw9fLK^qu=vCfM_5fs*E1qv|6~J2>x1yQwYM+G6+4;aKlZ=iOS)fk~PNw#|3GQJs45 zp0+^Db}^Pfz6jsiH`nF+a(29kj5@^3qw;0<r6!U2ta(oYmP>La-#N1H@F9ka4ztoX z1eDB-<5<fQA9r|;*DHOm**Wul@@|?MvNM=2J0&D`>Dq#(g31rRwk+qi-8I>%rO5Y1 z{l>#BDz`n33aVDA`ny#KnS5NX+55LK{*ge=<P#rmHB0^LP?^BbA6XFj`0-;`q4TGG z-(BMOnQ*LvS7wrDg7<{!vp?_q>$GDk%L^Oj<SfUcCk2jXX^9^N>t~*^nde*^JmsJS zSK6CnacQm7-6!hZd>HqwI{j^!gvTDq7t@#|o0ZZ&ec#Jwxlbu(Qj7TZLf=z--beP` zN|uk(W3W2A_|i@V84s<UWlLBYFP&du^xBP4)U5fR{gi8~Rw%vmH9Vl`cWBv*czy$o z>*rS-?K^q!ba^am;E{L6QOwEw|M_PG%$fdrI^(KaYNiW{k}PlQZnX5^2>t)?zzy@q z8xCJ>WEF|mRZzRUM}NkXf;)#kb(MK=g+Hkhn-Od@P36s;r^U)ks|;PzjkVW!AGX(? zR2KdAvE+{*R~MY{<IP^ub9M2%zB2jhC5u{AO&@(I@ROAaT6ojEkTpTF^atnr$)|tW z&w2Uv;uqUjEb~~mL~T7dox^Q*?y~e5sZlHw<)+$v63gddRJtg>s+djFdA~KUd%W!R zh2NEyyXoE7C>NW;tRrJ&dOCKs*`nUoJ^wb@{?WC|(l^=6lj?J)^=e#q_Of}4>^6!C zb)7wZv8=HEh)S~_r{#y^PnlkR{_E|Mz3*f8wR5k08SRYsc5W%Z@W0nK(ygvgS2t|J z!+q(SudnrAm9NygO>1rDyyF37PCM2Jdc3Zk$)CFUFW+C`!+$m;lzIHF(A$(6bV=m5 zt>3Scnk$Q+zqr4lBk<FY9V@0k=G4_-EL^*Fm*C$ndC?EMqZO+wO*Or4pW=;fN%?QC zdrRqj0^esJ_2ng#=PJubw$$~6@4m}%$8LerMv>E&+>dk<-V5^IjIB-CvXnJ(vf@Rq zHM4#_v8an$ydqBU$@(is`wlLVf8Mbyw<9k9-pVtxEBBOsDX(gBkw`T8{%pU();W8b zHqM^!U-D9Bmh~f^Vq1ZWU)i^6SvMt4dVj+#@^q4E!2bG;;(B?!j?&@#3W9UbZtCgE zFtVCEK{e{yGlmaYG1pf&>)rD*52=^A^I}2tqC=l`Z>n9J{yfUbpzoKhtCZ-An%i1} z4whGJTZ-pp|1#fyq|b7p$Fh)1TY17h@=gBwZpW@g-kYwd9TQqE^vQFPuTi1J_OooB z1tJlT>vFyvyp_Z}+tB!@#@GE~yc6X0+Lpa_41H0a{oV94d&RfLke|};SiU?6^tX4c z5u3-vdHULWo&E{?KHPJ=pyd0_G1c?xKAF5;E62Arne$7yUo1Rz<$)EOuT-P)rL-d6 zjGE15-iG?k+7aq%$7cI~KX>#W<6)cGOS4v6dz?M?(!S&LWoy-4ZSgl{#8|RsNb)2u z6IyM(sWkn9p0z8(xw~`rT|1~8pw9A8EN{o1&JWvu>4j`84{NVlbN7$*Og_&)ua@_0 z{(nJP(N7~#vncAq)PTL*GhhAf6sX-=_s-Fxm%r^q6vy&OtIS`&ds*$8VYhqLXLj~o zY?B1#>-Rm@``Bcb(zUbx#!s%UCpHu2HcjN&CtKs?aNW~MB$n&(YLoJmjMxvij=SXC z6W={)W#{o0;c4y+(mKkMJz{4j&NX+r{Nn9hUY_9p*K`;TP4!4NyKtaUVlV&kOw}mX zWHYr_3yTZ-Q@*S98PqcDa`#>Hj8`mg+G!J+CibPe%FF$%S9I<P*>$!%`pdR&N*5+^ zCSEI8c4~W<(&<29D@(zJ>y_4~-EwGsy8hKtAunOZqso8va&_2Vx;4nmTmA6&jEjNC zqV#wRnD}+?9(56VZ{YdoKmWPxLp+r_3~?oAWowG+msDNXDPEye&YSh*PW=|XyXzEN zIDc=jx|l6oxL4sx)zzauE;0K)CO?=~x@<w*(OG7X3wPgsnUM5yr|>k^Ef-R%7Th}e z;@rh;#(SbqT8Mq`pZrl?Jos*i+=H-}Tv@xjX7=Y#W_J}x{32F7Wn0-+L181KPJQXe z8x8LrSvPO?xd^r=PrLm8ZZZ2CdS`*m>**7-cw}Tvmrsj1e$G`tF<3=%vfm9=&xrS1 zbG=VWF!L<8x;W$S2ZcXZyN-nPO$xA|Q>j1s@uN#q#h;`c?J1Z(Va{V6!x^qlUeZxZ z6Rf+Jaf#&ImA1Jxy*DV?V(ov8sYRUDjA~Uev+f*sD~NQeXI|n};1I<X+@JmKd-J`I zJw<s_9@yw?+v>7>%M&i~m%O(Xl^EFrRF!@=S-lbSEVd8Wns+a8Vrg#Pu?)om?dp8} z$KFzt%90<RduGvYbi-m9n{x5u;5@EBQWK^uf55zBA^)KWuOo*=GF&&jou+ZYbIp&P zmqODu%A!B*7Pu5X-EZxMHIe&N_c&~dws^<blw_Gv@18!hedUa@qE~^B_iHRaynEG! z$VW?za&01CFI}D^7NVn97?J*-xsiRbN%xaLi7hvN_HsFw%$D5ICiA7|gYLmK_HJLB zZe1=cKXl`PeXC&Sle%{`z4y4e=2*M+)(WsKRD2!(?DdJBBOS9`E?2Mq#vs1Z;})-N z{>r!FsXkg;BCVF4+y8swuUVfy$Rx(^C^>oJi@&Sy>dgB(p2aaoe#=C%n}tVyyY@-V zx_MQ?6O{nNl_j0-e_veb4Pu>8&ZS`VH2rR--mQ|B=GU_XP5GZ3iEjLp-Cp$V{i6pp zOZ{Y~xmxI&`N(d3`sH5X%l2trrxvHEab=v2U(L<F&Dm$^Pie^#W4CFK%k`)Hl89XQ z@l4|4_y?Af&C7Lpx0rXxoMn2r<VD;!PGz1oPaB#ZM=O-NpP4A{eVl*BgUywFwhHef zbY=J@gy;RbDbL%j$UQ65x^A<=7am5#fK{{0GGA}iI*}2-&L?cuVW&S6>(6|3n!cmm zEK!@|LRy~Qg-`QlJ<R40S-SRWo_K7G!7|ZHng@=S3tIgUy!G>hu>1Ps-JX9t4f+1H zWSstdINn@EE<d@@HYX)|_2&Yi##I;E`On|+YB;xDZb^{H*DVJbUGmjqPYF3x{%4rp ze2Q1+Lf^WN^If$bo;%tV;1|*KiAmyz=#;+bAj`Wd+6Oi^X6$?1?E2ttkC#>9*|KB% zPPV5BP3iq7y>z{Yf9q8NCDl`s1%?wsHh%FucVzR*Je~P2s%3k=AD$F{YsQ`(bIPaR zXp~D6*t|uX-8wvX^^*&~bZlREip<Q{uP<#CoL!@{=n40!|4uIyj_l?+$)z{V@q2j0 zg9D!uzb*J<&FMSSLo4L`?y1x7{olXMdT}7HGpqc;lVx2`8?QNPt`@jC<<ir)dtRK{ zRWqTR>FBi1R|nqD(A3WodVBrWoPzMla?CsX0zA6*Den&cc30?yu;|}yiOe%EeNPqL z|JiTbh02ak^9^@32+p`QS-pb0UjI$@cFUF9R4Ohs=BXZ8{%3c{lrK-1xbjVpb}T%m z+kEOzZlc4x1ABPcyk7f7h&~8AU_5J=@Qz6_zpGnvr!Q$=GHr3FW@n~jV0%j3OXdH( zM(<NR1RMM;gMETZ=2iZ?aXKNu!#3?q$n!(5lm4C7;VybTOYUoc=)~G16|Pkqxi>8q z$nRY6%VI~RbKs%6E~|4_*0|a;9Bkb9O!ue4l!Iq_lkR=u*d4SsA>3t6&^*sCw~pT| z@^0(%dl0_xscAu~cQNy>9q(!wR@5#pvSnT4!#+pOE_e5=s-N2%pBQN^vAbrb;eXAG z`QV=u8EtR#)EykfJ{-TaIKtk|?xR%6&8fz9mBQw{zdr^x&oR*Q`8x5P#(nni(u&J< zN(^^ax2PV8OulB9Kk02r=_0RX^>dG{?QdT$+P3D{=7QiOvmU$<xB1-p`hSh!u18Zn zIW&z;FK<*c+0FM{Zs&qUv$tOP=TvNd{kEneU&6&7`=zz|d=j_CdBudkh%m5r$yZzd zefxzZjyj&rt0tK%O$zS2QR<eQk@Lj6?B$7I?tt4rW_~+<+m_|jGm-aYDUa0T6D`lx zw!D#9YNaN=U5+Uz*t!10(hHCNclOJ!S|HJV|AMlZMfm=?JC-=rdj%%WXN|h`S#n8N ztV)21s?$E*=|6sVKYw!9E6wM5s_T*y($%(2XHB!)f)wwl&2Tc<JcCm|I@~E>gPFK) zS)i=Se6A{i1jp%$mnS~056qr>Lv`-w>&>TkZ`?1}(op8!nV}(eI4U9h*-9tQuj>E5 zoIN%7^#14Gy-}QoKOgulclF&Act780!6DIzOS|);e)ue~{PIm<*~$kwPCwL&maSCU z_2tW=#L1eg6eT#{>9|dia!L*<*wmQHH^(m4x77c<U)!%m`*bz+CU2<SbmxxOtOB;2 zxvd|5PTSzNY(}=Kp(opcqapb_Y`+!SrZrm~Z_af&Zd9<@tKO}-QpzRubfBf@+<U<% zBUqOkPCLxU_x@2w?1_y+6E@|oo|g2WVB)55$0Wul+AH^bvip);8un$@`b)}ZPF2r- zrE&C6{H+cA%FE|$_DEQD<?VdgNpEc(3bMK%DBU>XF09Jj)*xZ-vF84tQ_a&hXD*&& zp8vk}lGgTJoE<j14@flk=LbERxy19@8s8~LT~!|ccwcawS^v4;mm}I!?3S7yZ$I(- zeNOn=1<D0~idT#L+3+vB?O*fh3+!%A?TmqeQCfXwNzM7|_5RNiW;iOZ=&`n;Xm0th z+S%$s*(<Hp_Xc|`Jm0N5=~24kdcVzi>$~2TX}xc1`1w5L)@ln8m3uzh4?MiEe`%Us zpha37hl=^GxViRqJr5T4GC#CA-s~pP@0Jt8Zqgpi{Byhd`~^$RSgUlzi!K~+z1Sh` zWBK#gUyV0f6V?TP5D>MFjCpXBFIUL*R@aZo)?NM=nJ+{<SU<IWzJhMEB-;(fI+J(% z*T0Qm?Qe{#-@oowc%yX+XBLC^Htl|!DD{P^?0x*=7EH&>n)W5uHUvD3b4{Io$4Tg5 z-|;y!zS|Y=T{A7+a>MD@|9`cgRb!m`Uiss)rOJjsO!?RRzLB!%kGq20zf`VR#_W}5 zJYioaUO5|OcCE?p%>gSRCd*b<kN#6dY^|TF|8_QRTp%HPSv9ft5x?Xi^SOG$Yv$B7 zEi$|`t3+bXY+2EtX>L-hKQ+`%yYj&4RO(c>>xwLD1?py-4=j7Lv+Sjp;mzfr>KyYE zzC3PB-#CA+!sdT%CP!7<_zmtcrftb)K4znTI`R0lr2GTB`+HNRx2|~EymhxqRCt@| zL;WA0u2iP(<X*8daX+Vx_0@}O^G=j(bk?u%uk2Vcb@rU)dHJ!=oYmx2u3So7I8Wek zeXY)eyS*Qq6P(W%?Ee2I=%J_CLM48Q^Swnqj@<15oezE`WNmC@={T@s7vJ(p4xTgp zx3_Gz)G}gby{cg`;p-eu561Tb(QSG2HgGsL$+ApHI`+MO+58&G_0Nm$%XG(<2Q{3( zKBuMr!|w10`E#ro=Ggwau5I9Iq#*3T9@_moIb!9q=FcB(wV$v=ZgR`Bx7hOA#(wkD zJzt*$?pU3ex@_XLSdG)SYYV<U<3IC@)$DDB%Y#>T#)*8>pPt!mTK>H2@ZS0QAI1L0 zT#zp`t&d-x;Bni0W{C!4X#Gl^=;yIs?bFUFz1_Lu?5clRd-pT1eE#n7?%0kR!!pLG zEuyMS5teu3zVWZ}U@tqpNHtpSLPOB38|~>?=M{Mme0qK{)b~$HXWDPaWd+liO{J_) zY<se;Y6hc3aa2`+#j-hydJD`2bj7k4vaZn&*Nik>H^E}NOmpI%cdQZ)QZ?y)(wmw> za}(rGFM7Zu{)}(I?HC^ECKHSOj)#8AUVb>&<jr!IyJ<q^A1}`AFMs|&z9x3b_q;#8 zOV%0jIZf|4dTikeb-x$su18k*ojWw|w#=MQ|E!-|HoAx37yf9xn|s?s^-D7yS)^(% znKGaMmc6ke;sLur6OY-CNd?!N757|9johI!F+@gM^?1-b#zVXJbw!vZHygfl_5G}o zFR>$fkBdax(sQ5B2L?5n$3H$7b&6S}{PwwTlRg~id%nT3z&vVuLx!iqg%|cJMNi8L ziZ*WDxOq**F~jg@Osh9tm>Cfue}CG{ZM>UQC7E4#_VTeOy}h}&^__8IdV$v3TKidF z^xHmNypf|2*~3{B;wp3X3)d5!XKXIX_c9{weOQ;d^l!9Ov}9bRZTmTePji{0-oH1q zJEYH+1ymGW^7y~(;NDebvwzOJ_|p2Zm(-8lcaD~}rOQs8Z}Ph0-!!4GmsTjeo|*0B zu=e;iHwy)})r)$aL+cl95Mg>6u~piloOe}kPEc+0l@}ZMqEDYx-jkE2#F-HIe6>^J z+VH?PETM^E9q$f1T2K4HEFfI|^j{qJy-$*lrt*K=A11AR<i1r{Nao$6@*<UmB33W^ zRypogpZjQ|!tTgy?n&`SHqDSx=T_S+v{HhFbxD%Khu0H3c24ns^1A)mwRFFLD>Dmu zxUPqL_;dYNC^eLP@@MK%oda=Gi$v=mz4@S-w!~5+FtTIw5!XdY7lo2`xK(SM<2mDQ zyV&6Vfmuyz(ao*RaTlgd5L!|{YZdFmr;X1{oGnBprWIG2=Lmk@Gs!fZw{p*+!@cTm zTvLBk-cooPAz{w)hV?~Etnl=LcypHgHot7)tGZlMf^+g8)M<P2PPvh_@9d2~jE!<? zk~@D~lD;~{wAzC2K&Dh1gS2N<9oq?}2OI2d7IPXd*IhhS=jxv`{$g@5uS2#?lbN&S zNyF^mc5x|fxwK__vp(!Dl=5_ZS+j@J_wV1}eKYi~a#jjFS3dInW?AY#2j`FTuB=EC z>6;KbdG^&Orx!)~%Z6sY3|uInyCnZ(Kqdc^J?G+6PFZc<#;@_-HfZN-eO<;j?KfGm z>#BkU4?mLH6C0E5xxV^<<eDE--<5yaRmdFh+v@qm=+Id&wURrZvufIS6&1e}uqyib zSDtDA0!<h5U%cr?oG+S!XU<46-@ftj-Hr_pCy4GzRm$2w*|jisyJ=riDECGKX_@M{ zuTl(|r%(J3yU=cyccx$En8}{5sfM1HeS|bF-L%;<Q{hkB-@qxw@n%a3PH7%a>VI=^ z=7Mw1rD^AkeppJaTkzske&qop>G0k-u@(K_uFP6B>EsGuW8*n8>=}H$F}jZzTw2Tc z=!{alsc8;}Uz7XfEt717>rS6=k=*tzY<uwE#e7rxJN_2#$ok>5v_ZmNA!gl~74L(m znoqrZqr#}+vFg$nCH5xvQmNY>^hRrxc09M((PdFFoo}TM=eg#sp*N39h5X)dZR%Nr zHB)b`iahmMJBeNI<cR<g3lWDE?qZiS*L3aeYud42C2xgd`i4(FtuuOlpSaPN9dLW< z_eHnY-_2N~RCKP~GHBs-MN7@Fe_f5Y(o3ooSeCXdn33e*rj)A^ck}4ZlJn_ReUpF9 zxUi$`ZsN=Ikg_7d6HP&OdvmAlIJHpwVAOf3Bb#nkbahEaip8HhkvehOGu?wstsX6x z{jcrm4WHx4{vl^&y-)HhEg|&-6}vaC7kX(g;U(R_Mf1Af^Q?uO%U3_S9`sr5u;f&$ zgBR4#KI`t@{J44QJ#(F~vtN~GaPK|Aw|t?tIfvxYybGzxU*(Hjx7s~dGW#w3dCJDQ za~|KxZZu)9Z(iVaf<fFtM`zEnzv?$c*EnBO-Sf$*#?n)G_mZB9`K#=IJ+?lZduWIM zi4ex7`$qOP4hx&ZmvK6*kUhX*@u8YI%r^Q?@ye#Nnuj^NU0B3E@N;Peui+QF*~<A| zQE|^%b$93gSNeiPXS?%0(vaM?;Lk*{+l!+erezq`dS<0fzuvxZuc3MXW8Du9zWAs} z+56@*92Y&YOJJ>Q4VG1!BDbvJEZe<&tLeX@wVyCXTzY=5)$u<Ydt!ou-M^Fem*R9j zrKKdj)VlO|&hO7z9*a->3$n^jO%xH>TKXwc^hMw0@AVw}-sjfN+M?=y@Ajcm%K+`a zjK7)8j@}9pv)hrkFL1T0MB;&uA<9Yed4AVQuD{(i!$6a_Y;|CmXl%;Cr^%W71lRsL zqSXC8McR{}W6|8~H6@I9bWhu8Su`JgWjdu=aO&heJ7cb%x-fmt{*_;xwyTwe-EAq% zTws?LbN9;Htmpn2T^l{47R=rDKj^I0<*uc3l2`Sv&Ww1Zr*wa!@hOSIACuN+Zu@;~ zmeZcl1#J~U)r#xAx}Ka;ux>xE;qyV|yQ5U>Hi>^hdJFe?-PYXBbZKQYM`QEx?&SJK z*A67C*Hqi;5-H5od?#3T+8-4??n35c-rH^T*UbsH`lFe{c2GE+!OG}-)e*&*C8mw{ z56s!K`D)a;4|%+nOf|(X*88pDypg+!MJ?ss&bA-xKkVZ6iddxIbL;)od)zVdFF$i1 zVO<;KaboLqCZ#22r3z2(HcvHSZ*BG0IL20%SHH!GM|e_Y$ePAY-Vd`=h1}K^6)tGj z*Luo+@6SYumgEPYuW&5gxv{z;wW>P5cKVB@S7$}{ozARMI9j)Lz5JZF?3G4R=UAG{ zc-;bDNE^%EnxMBSc=PNVb~UTo-<U0N{r}7GQ|Z0>sU<!0axD+u(Y>9=AvFJo_%Aj; zCWE5Y)2c#sC)qZrD?j@pJnQF#!yFqI=)`dbXNtDho!!!#6aL!b_qxdi5;sJC`beJP zn!5CQU-*j4yw46X*>V5kmbY8}>q@`$6<Ldp%60cLH~8#b{P3sRj+2k`PBc}{+cm8@ zz*_J5@>6FD_J69hYM=6b<?FD+@yaDL5_lB&Z*o6)amlUpnq+FNWAGcF%}VSE6Sljq zyCV}FASI`|NOsSmm#ItB`c5dG5AeKKRaL$Iv9jh*-?;O)RF2*X3#*-W=7!(HsatQ} zO}Y1F{xX5q(A{%7j8hqxo|^c*=Kq7ePZAwH5|~6@Rh<i1Dej=K?!Y~Z^-jvt&0h*T zA0_^IwfcU-g4ii*?&aJzDqkGRyhg4@E+%B^vG;*-!9He2dk(poIY<2d^LA%~uE>e0 z+I+7r+&5PHy=_X1y2LMewuzo?u`?{&{BJG0C&Uu^h_&ik@t)hhrtxJqeLmJV?DFeE zmc}IpYb4*Ql8T%EJ-GRcP1Sm5epWY=_9Eu*F*8h#I<WN=Uoxm&$!hSXg=cT1#ep4Z z`a=4zk5oG^OW3%ga>C>fw&m+wq{Hubv@(Wu6q&WMb#8WQ3~dTJs_JQ^vown9_k70t zT#Dtd?yh-rU~|HIp<jN?M_=sxv#Q~oeW>*vmjB-G+PnpmzigeoOY@A=nzj6|7aYCk z%kRE^ebUn9{A|;+JaW3#YNs4itzA>-F|+;PN2kN_Hq4Uw59GH@Njt!mYkzTqgWsHw zlNN0FEm^@Z&52u#d2Q=j<<(p7CO9*w`DpUnY&=qb^2p9jo7}W6Y-sY~P}2S`*1kdE zTT}Yg1&3@twXWn{WiGy_FM=s3bN^z+B|O|_w`+3J17d!q+~2i|IeSS_(T0hs$+d>Q zhjMtiEKa@7P~N?B?_&P)+1~by_ZC{E+SPJey{T0#ytl6TvHj`^eUq>9S&OEA7t=br zhBxlA@Jjyt>3gk=!)G5VyLIkVkKE1qf%S|Ie|!&{GhJR;x$n(#NB-tFGPe6ZuIrrk z$h+J4SQRsOt^mtE6^8e}x|~Dh+qjq?d@I^j66OD|tM=64iFIzTyBnfhKS^I)WgDz> zYV*9@Z<h71?>jPF_2B6#`>^PQX<D|sUpKq|Yo(Q;qD9vNvpKA0pWJyxO-J8g(XUm$ zg{=?6Rmxt<=yb+Dsg+%NdFEo_hjV>Y=gv<r{4@ET?nk8wFVcQ*)U;FWSdc!acFl_| zY%PiB<$q-TQC9k<z{dI@c8&PWb>+sbHV?jBoD*g0bY^YrT$ztc&6NK39&60_A9|ka zGw(FL;;sez4RSAgvrNsO60Wt!-*KhK_5C&bK72R(f4sC=icN^kVnN-awecd-FV2U| zPt{CaAs$e^HIwm3`-UAW9`w2#{Z{lMlS#;&v#6E*X`mC&#TK(&F4Lx|e^?PHx~MyH zoBV8*T?-}kT0eem{<NWV-n!UNp{@(I-dvLwd8?@GRl*^o$<}Hw!e<_gcl7TyUu4s8 zal$MvY4)ny4HI@neLNs?ZvvOjoYXnKfph;hmm09Y`)?c}`NyxDCuF8#d!f**3)jS% ze*HT8>F6e_-&=Mj)+{JodSbcwHl95d;d4tCtiGtb^zAy??Wb!lygE|Da8Kw;kZ8-% zv+oVM-t2eZuy5Or$8`%sev5y3d2TXO(DZgy#cr3M)0S{$2Ay3wd*xhBx!IRn`#OA# zE>_lZI{v@K@znjn$uEs@wrf0g38bi{FS4=HK4o#@pzOxmEjzcqw0-fTebEim;3?(| za)#<g$yN>@R_$P0J=bZ%y5fUsheE#j<<4HM#MSzcbGN1$_tV%5z4v3yk8Nw*qPsgI zBv9$uq5GG_o~j3w|Fn8Aal@a+`@a^>)VsgvYqislrMo@%<f}%VpVl*F>tYp$cPu|O z^90u!A5-1sv#{wB>!;?s&efKelV@wk^Br4xBT1paJM~&sO%?k#-Xn4GTzBNM4?VoQ zbbV#(gS5QLDX*iZbG^MJCJ`}Hs^_S1$F~US>HT^0tE}X!ELU#~pLbkoooHdH?C$%9 zoquxTE(tW>yY@#TIBx!bt~e>{*jdfxj~(CdvaoEi>khwV>9WV$H|ibZmB#q{2W{Ul zH_SO<v%5m}@iV8da*G?=zfFsr%6{(i<+*!pl6cwcr>|3fo3m48eoxJmo>ZlkOmF)| zmhJsj_E@<(YkyB{N|4`sp-(Gsi~Mlhw>n&O*Tlb)GA(<STlDrWzbYF1bhFfX<|%7< z8X5QQ@j9?q>*xbx*Uh5)A31ICx!7<lWLxw`5sR*iL5vPQclNE1xhN+6^uqO*ty#HZ z^R%79gLZiIb_v<owS=!)z2-^#S?($Q@h<UKx#Jj;+)n?s=F5DWot^ShY1i*rpGvlc zh)G2}$v<wpazjtl9M%$Z_Ud-OMD8}pmXeM8f_0l&<aRDrW4$5aYi$tO@$Z4oio_3| zrzN&M+#DiimiV3bie5&~<pZ2XHeDPn4_{imw_*}qY4YV&Ro33}fJHY&59%5&+U&jc zWt!d0sW-pzAM$hfvFu^k)`f9x2HmX>za5WwnpNL@)M-n~Nu{fuQI0N7pAWYG{_^v| zw@Hh8nGVIsYTVlTrQm0q$d2=zMzgm1AC6snimA)tLC~~fQLS^QduJYEvh4Ne3-u0o z!MF5KdzMUe^@cWQdDgBFu?gSW9B%h2$7!^kuKpIs!+Jd0VP@-0A;DGa%fhVxUU{6I zQ$Nw=M4p=6{Lf*AQ=aQ{G#t3{Mda4$)n-qMiWhx3a!x1zmTVrgWc=qgo!(`y7GytP ztewBfaBk6tS8vQS>qO+HJ?@+Db)o9fF{5s8W#8SWPETv!KeweK%zn<Sd&})VeUg&A zD6xX!bYo7!lCsDhmpvAy2%O#S$bbA(ZBmcU^pf){rCa+{-8M+8sD;0E^_tP+zQRi^ zooC;p<?DVW+}q&k@yE7DWd(CoWLazCqmRBV*#}!MoT$8itT?=6E_Y@QtN6swtH&+{ zEZS<B9B_I^pLayti32C5zkjIfcZcmJ&)vPR7S5E43*5Vxd&iDRRcB2PZ=C-0$>$%3 zm;7Yi=qJu~;YDc9`YWQHxqJI3P0c8|Ds%6`%x|UNg%900Y|Av)PoDA7X6gPg^W4dY znnZHge*G#@T~{%?%H(EJLBysNpDTjpVv?%GzAm{F$Db>(cjBvaE-Ldre|fp>VE37| zzciA4)ps9Ko#o(|7%wSUUr=~Y^rflx>e82D$JU0#7F%6kb@txMs%0I&wwzpR_+~<p z8~+~{)@hkXUW=`mzhYDFjjZ|6rcO&5?muZdXY}Lb4Zg!}$4k>o{(e#rY6#6g^5j)p z_A%Ssv`OtIzo*Yvo_&ss`OOcZna(D%NhgnOjG5HL&8+-fctztv#sd{@a%N6T=HwkP zVpxAt`(*njLvvQSJr+k(PNjzGtem&?o%`hE7^7Lc7AZ_zQJTC)BI4tz@B?nD+6U{) zBraWCz4hF^3IF@HJ`Z~QKt}tG6-)EsZFg1~z6(9;>sIk7QO)0N_T6aVbniX6It(5! z(_cAxl~nk)$f?>tnPG4LzWR60?SiCfNBeJVNmczBa#KO|_MMcJ^R7kzkAA&Zv^LJ( z?Zbu&eesLj6$$la59=nUlsDd5QX4qk|L+Xbe%nKiQWyT|TJ}_*jm!PCMWrI}^-|Mk zHj@^6BwrM7k$IM}fbE+6t#fy6EZ#o;sdr9f^O2;NKXZ4+`)F&w)XF|5Wn%97w2|Xn zQC0{0t0}szT=OPePwT()$Vq<smrY{3#aGS0?clfIQ_kjhZgUxL+&zCYb+wcUm)<MC z2_M8wixx2KcWS#fe@0ee_=me1az0ws^YlNm+PwOpS^YF5Pq}qzkxSZ5r-W%n;YXi; zOy-@+dP!M(UDw9jC8fC@rdFHY&-i{f#f-7X_T?HK%c{i37JB++cGqXJ?hh(g6$#Nx z^wnBf9DK0-=&qWH|K?7fpXf2GbMte<zV_tae9!J@kEW%Sti14EtGmcr#n#YcsbKPo zk^o;GjfY)z7L6*!DI8`Sg7++GSg%~q*|5Omc<4dFhj9<?IhH6#{Hd@g|8)OfPcNf( zu~6y9`R{fe-droZ-(X3^JFB_XCyuc`c--y9t{j?OImek{$#zC<<u09=%Ss0lXK=WB zyJyGQ96I)V|57;zr8Ln+Ph;FQFZ^`6@?&z^ghiYMYY)e#L|9LsckASt#OTE@dw$>C z;4RR(deM_vldkSvP%dl5+kH#;^>Z)o@JiG8>qQ?w?bciC$g<-8&j1VUIVSq{3(w_! zv6S|EsO{xab<Xee%SUVz6Lu;$iF{xGy^nWZX7bkW7e0D8MkuD<+4Y0-4D+Kmezz2* z0;KFqf=>0^l-|y&8xr~b!SQ7hHA3}IS*NY#TlrZ-^ppP1n5*0;%G>-8zmwX!%IV1D zBg*@xZ_m%XJ<Veh_f=1m>clDY&t;i2?s0gOuDN#Ef5wncuUtCUHLu94@$vI}apcA- z!{6MexH8UmX?ApU-RaKD*!wUeh;3TJcIo{uqEzz_@9xRTKlq|yk^Pn0<Ea%1lTYdY z2^Gr9J66TcVZ?YoxZH_}ufHs%{mLYHyTc`tNBS1^^PHc)TS|U$*&V-^2aNdrIsV?| zG`#X)`m7}pn=YwWbgmNQm?5@GM)BRdf|zFZ(l`svNr!qqypGOM&R!=|c_6VU+eJg< zRO}ylmSyUNNhT_MSC|jV<)qI{k?mDuU=_DaSTeV8b#zzsrvI5=6F$#Vc{VMrA?!=* zdIKMwrIq#VqMrhM>MyPdeQdPvzj4c_=X3a%U755crg>4PUQh8}RiT{Rgt`gOIHfMD zH2*rBR`%OocAM~FEw7W)ZZ2iB%#5y{wtsfi##g7>jvd{w*?n);l(*W=-&agpzh&bz zjdgm9B^a5!?fGXMVLm<2`TUV5?F!so@BMd5t=IU#eI$xqS>4`ZX}{qImOoNCt3UCp zNlxO<_D>Lfuv)#(O==R`Yk^q{mK^`PuvYT**6r2BmNQrc@=JtzBht0soqO?~)oIW5 zK8f2Ky)4fZx<<9jo_Hq{*`&BGvpeKbhQXJO|D!%JiYFOtsg7EhzT$VV-vwuXv1Jp} z=f}!*zg#V!Sa9je<IrvG9wtSur%JO<PVQFv7-jo!G55LFYd7LNw;oVE`%B7r#=~?& z*G*w{e=nyNYPkH{A+G!XSA^HzQrBgvU!xapE#9XlrjcnSY*ZXnb>n>W+$O!H;?L&& zF0jy&K53SAj)SRWRqK{R7xi-*b@L<6T}heTal5!VawVhxeJQ5%I*D6qopwLiayBKk zVJqX+?9guM-qIz@`n7m_FMWz+%QD!x)^oYiu@4K5^Gq{TzsfwNDI!v)<gFfmp;cOp zQI_ZO@N0LOqL$~)Z|hERD$0*MJTHZJzfWG*w`?^@_WOr6<TVzZxvu{wXjS3asYXw1 zZ!SpsVAiPXaNoQCtMIHF%1bjQhfY1QtoZAmzYDCS)~kQv`=7Y3Eq%L+^eutoTiw(1 z82Ou`)<(>_ZpX`Ixzg`_Hs6(}bxS759oNx1aXsy2I;ZAiFC7`nGP7HgJhxWvww%gk z>e4rbTk03f$IGYhmIuuXO?Ir>H^(nhj`gPOl)$E`cb=`Rc<3>=<L@KhxvyU~A3DBm z?}Dn!ri*SlvpN4R-@Q?C)uN_8b4LA=m&r^qcU|u~M3m2GNLFDm64Jloc(AT_)dsm^ zReMBlbx0g|cq`+c@%?4<+2<N`e@+lP=9s`%(Wq8_O=;4?FmG?Co}GfN0=F~HH%0IJ z_shP}ok>)gb-`hVciJz_c>Fvso#NdovGVdW@o9U@pDb7ya{Gbh)Z(QXnst)V_TD*) z1>s=~myS+;ry$xX%~w>($M<Sgw#wF7Lctvl+yd{tv*({Kyl8q_ZR(Y$tX`}txy`ea zkFpg<FWS3MY2sNPA6<zv*F?@IcF)*oaYN|M1@^C>3ktU0h>w)wj%MqYj8t0W)Lg*b z(c}K`fQf4Ai>^|si}hXx@Aywl3fy=}TKDnQcIE!UT{aDB{{uvh1c%(p|Nm8tuc-Hf z<(#Ds5xla09k;F7q?pyX^6P0gy(5>7D=yP3ox=D!lGUe;Wd+M!mh#YrJj&DVE%M<i zC^}!3eYaMtXv=TTAQ$e1YfA5y?)n%b<rZ-CIpd3UAH^r!-+gndhbwo%*YLZ$vgdA{ zoZ)-Y!t2?JH%BEB@`Ekdj%J9w&cAxu_<hFajQLio(l<@}K2B%#7rPd-i#f~k$|Ke< zKb%a;AN4<em&l=bJDu&3+m7$NsfQMPymSA{Nr5hd8M`Zac4gmL`n9+=)bwP#bm5l3 zAm-*x>TSp3>Q_#X`_Qn7KjE;LdcRD0ZQY$0DM!n$R6M;avVP;0`;RXxRr=4C{T8#p z@b>+hCEfhH_r9}R>14|z>|UzWx!K~DhHUGnBn}N>L0hM1FS5ULoY;K#u)v{fn?P5p z+FyKkEx@@?X5MVK^;e_|<Je5{exELWoG|NHQvJky{~1npDvjyi>~?3>RsNgnAGf4% z`g_NWp2}MjO^(euvHq)yplWlojRw=2Yui)Jr`l>sG0!}=`*#a}*wq;y)^cjR*H}<^ zHGb9h!t$kkg)!RiH>5K^xF`L1o>q0(wRdix)`Z;N=)s%u=JEThx8J<u)I6g+=lgoY z9&z*O&ROaYUhd`mlE{^mD--d>vvLvF+QY&pZ2lCyZ@t^tWcj4{RBw^}<T>6)j5oYt zW38Gz#jQZKTr~C6!jG5Z`Q3NB-+Aj;bjXwM)oWet_YXLJ=Dd+{7I3;)c*RcSlOpG} z_7&$AJnne&GK51>W2cbQYk`aENvRWZH}x-$;BjE)jq?s!rrW#tU)_%lr7k9!Z@z4Q zZqZ}$MsSsW!OzMf?=BIsAWe%YeyX{bUUXz-_ONL+{z*Cb;_c1(hmDVjr);ea{nnGY z=TZLUUN^xkFQ;iCqL+ChgWTm-gf0!xtTtf26%g^OAXoN^!=FmYUjp)L_cHUSaBp9! z;9s_RNA*_z#5D=u=hd~`*|DfsV6SM7%+>pxN9PMjuuGU9U$8~@!Q+>2VoiHD{tK&J z(Ijb{?saad-R2bv`=**#U*a-x`#-I2bD2+0RN?gfBLB|(Sveti{_MNz|H4i<)n^n5 ze`JYxBlB}@j$?DZ%SnNjfG??nDi-=eM<4jye3H32x4iP!zP^g0Hz%J82(ImR`t)$> zrO6I)HPyc#y*~8r+VSRNarN`(b<VLUf7GwObH<7<vAJffhAyTTwuzWMI=p^!bXHs4 zk%doUE-m<Y`TqCx?UD=CGiz)ErXEYIU){I-#|4@5OMEINtBl&8HyyM3XBDftH=@~x z+h+4>t%8KWi3bmM?e@Lyl*l>Z%%pVR$I|upH{W$yT%!B1akg@Ejl{O?X0I7FJd-!? zSGadKG$j7&8vkyanI=+;yss7X)qL7=Q_$A^m}+L}F_X04w~y77uir6y`J2RxySMGO zsq`;z=IFd&Qg<k^ai&kHQs-jz63d^lxnb@Bv3%!wdlUa`-8K7YBiHm9ho7gHb33~; zo_r!HzUjy9?Mk<=#)~;+iOgl1;s0gNXFuNFz(20}YZkH@AK9@wtK)gXjr;pFVp|rh zaaA)Z`#Al%^PKtf-BeA|K2C3MpE*g@KmM^qy=lO&kCVG!s&(3K^w`_)xRO_|B5Kat zb52%UcIx$A`;kA%%1zNLZ_*8ubHBR3CYs;5``yi~=F9aTZ`O0I`L=Mve8Cut>ANgu z`3NhPUHV%)p~>NL#9RH2?eT8<i>@|^2s$S3+#lw?`~IvscXA59+mtycC9_Z9iJN?X z+N^~p2Ji08xnbMn!`}Z!?I!2^^|SA$Ngj)R(w%W#>2tx8Yco_OcWtP8GT|_9viqw^ zH*R$Px^U#=s}t>hw)$}^BO{7e&c4v0%JHr(AcQ67^}1P#n^+tKoB}6s?=Cke{B^7O z^m(a=msjjoiaVje%o5$uBGGwB(Ry~-w%@w1U$fu56J&gZ@kZC`M-%T_zl)l>;j!M# z75wI%Yp!ik4o`O4v{rHL{?8p;X=ipYIC8iq=wGw=ziHQ;Tl4A~VzQ4+x^-7PZbL%J zWp6>AoyWQL7g**sgnVyeUA#Qme7du;hP3Nnjeu<yyPj}goaos1GOFFe<=~&yEkd)` zNNz4!dc9rmi(XEd#G+O+o%2GoFP&S&6wkZx#c6Rx!EUBm7D}JwK5muPbXsAx;LWqQ zH!tQb;ZW^e|4&QSS@YkT*KC_M_{khBoxbwquUn?eeszCYGUryi(<HY;Ti3lwI}yEp zv(CFub2OhVRrn#b{>SNgKT5vazH^&o{y6h{@9q<Vf#v7>6kM-dh&X<KflzYz?!tzH zMhrp?4M#M0K49AHc5$Dhe0X78DMNh6InH-R3k+|@&lTS7|KmhtY~!z6z0WGXIT@bN z*pu|9fseglS&h*Ne=!?>wx1hXCdtTsTa%z$snWgMI{&oW9pA-mwYvXggf}R^Iu_>c zo4kI%zkk1@w27avV%gT{V|SN`U%ZyGPS<m>nAGaOyoFnib&6_KpOV`9YxTV!tR{?h zSqF}3Ok1Y?aLSUByDQ4Jba}O!Xa(=Ka^P*5xcTh90}3y)x6k@2Auo7dqc>0|*zu6w zn|Zr$@6-Lgy~W|>F7Kx&cPQxy9b53RZ-0P)v~20oM~tijQulw%w)yya<&}+B1y`9A zYz;4y?bLc|%JzG9{G5-~d%rF`ZsNYjQ;AFQLED#<OD~vu7yg@<f7Wlwr>&7oIzmq{ zg-*WQvE*9&iK30Cq&}wHy}SEZNd4@2RkjNYc#^F9Pq1^8B^aHb7}3ch$-&BVpot@D z(Hh6Ox_M5Sy|U{W9^?fbYd3V5QTz5@BlGE|jp~6)eg(U`MZRzQedMm3o@UlHo(Rdu z6LK77uNH<pSv%)yzoo*CjneF4cX|ttM+bOz$;evUT`c_a<Y>R$x;rh;?5=nT3mz1| zkY8o<vs8S=@!q>><&Gb|3KS`a+=<mvtV&bZvdra;?O%?CmuGb?-gV&oW&Td#-Ir%H zmE0|@3i3=yD=c=G5;d4#TI2hP^F_JJ{01|ghA!5SPoE+WPGR=ZRGcp=6Y0Rid_#e0 zFS|~p^O2^WN5{o}MNG=wbK{U1a|(xEPNFog<y&<F$(CHhl#+^nM@?5Lzi^f;-!JfU zZ|=076HzWUSHDCQySDj!{c^^*XzNYwtL@U~vX97eDED1(U45&?t&j6(%qQXXA1~h* zv<-4!y=LofH?=jAQzzV>ZRK9Vr1q{VGg-@VCX;mZU*6r)r8(cSB~Ly~^gkdQc06`Z zMP$*on<6gh6DO8z`*Bz=Gv=H4^Gw@0le0w_>YL}Z8rx|;cbp>p^pez4!|U<$lXdjW z>-(nLD9kT+Z*}pKn*3vTN}H;Qg{RXZw>TkHua1&f<&s_pB_@FihEA#Sk8%!+*w{R- zNE~T&;kA+JxPB<;@w<dcJb7gk|IYltdPdwJVVBm46tORn7hJ_wJdtrc#gY>IZ5hKX zOZOcP-K=#X%O><LP;+49T%p)%q&Y?Lu_L=&$pOYr9yU#hGY7aHB(SJt-LYk#oN~d{ zjEQ@vHgDuC6Qw8X43+-$zEpax#Qo%w>$mB<l@z)am^5~F^Lwd1eth~lN36wzfaaVQ zo`4f6DQ+t!9+d4oAp6Pkkgt%bTg2fsUTHIxEEX-rK;?jwmQ1ppoNfw+Oq|LUKPR0R zT+=h5#f8_AWdcV_;ssXI%qvS07xK(BN(qoiJj0Rf@}i-c&*H`cH#SqY|BF&Cq@35A zFKMw*IWXCvRZ4Qg3d0#H%myNVDitR(c1kHvV0e?zq8aqdqsKw1SxWNC3P;CY%?9Nw z2N*he@`4yvFm~GQ<MFs6ai-zOQ~{4G9Cw@+9lLvgZ-Rp1!K-QR3XTUaODSJjQCR*V zlg*TAy_t2B9P1{p@W(PSOwM-33r-)&zBr@tWTj1o!bP*HWq<be6~=K(_5axY<;$Mm zAC6vqCo^yB$9dbX@bv!GC{MY>;rV#={-e^neea0g`nbijF5ATF`o3DhEngQ32$g9F z2Quj!a8!L_pP=rqX8uT{F!8mrW3p8J;V*?5bI!MSufA*j&grk-y}qA?*N*)&@{sr1 z6fHC-+;wqK{p<sitqb2d?fWLd;<z|NUSXqV?m@%E*OSX0Oq;b(z5dT0Pb>S3Igi($ zpIUtO#HmQbed@EnR?QMty#Hh3v8(SM`Ljz!|BYSfP^hFL#J7FUho3?lN^hB@{oXCZ zFw3Upc(BD%>2{UCZ#)-m*X{Q)-z^}U&%5%%yRClKdlnd;5;x^BbWGl~U-h4%qI}h6 zuUAnnMPcsKDzbkuowBw(t8&IgeBu7B84=4iUc0|s{Cark<_|LcHaFMwug;P^cY0oU z+=hfN-`=E^-<!E$WAA>&dA@IEcseD{m+H_yx-PFbQtQ)wgGnYz^Oyq~r`oP!I5$O; z!;}%+GWu9{?CLdj?_}Zn^1hrx;hZ1E9j?;nV_uhDRr0*nvD@?X!ledXmo`q4i>W)* zCD7_}SW!~QDt=yreY;}V!imRTh^$`!{}-zX(<k%D2%U;!@3_{>+-3|lPs+5s;w^Rf zZ>@I2<2>o5%PaN&RqWQRE|N+;=$td{=tskhqfE-j{XAS6j^)+2-kWy!&BxXiEXIYW zX4@VSW>lWGE$>mr;x8uekKcZqD?NA9@}rUxf47*#9o*z0WOD46^w+JoUuSvF-mv?~ z{_EYxw+8SWUiDzgj+&N;liAbO8hYo)|IyP^F*AMr^p*O>jXk^NDiXFk-dlL_1?T*0 z>Tk8poFp`v|J-`hv1Fa1PSo<Z`?o%c-n=<B!aOK(v)IPNTs)OlZvw-)Ox%92nCH{{ z>G87J*DrIV+O0by@G~SKwSUv=Q+fByJw2qBcUnw563nrU;p&e92Bs6oXFI0%E(*Vq zvVMPP_{s|p<ac}Cxmx(C?9SS+D&>o%gv4IXC`ydBJO5+vx~f=N_T#M#2i#pAO<AMr zKl>=-vKRRuAM;zK^7pu%b+CC_Xu9T=ha&$`&Vmb#d|$H6vdm^ZUhmHq9rvT!n2lZH z->!y`hQ<313S^ydQ@rxv>-JZcEH{L{&S5$cVfS3c;l}+H&qWm8<X<V?F{9|u*PV{X z?msPF_0P*zU1t@8Ud6oPr7jJt_j~DY_#12YT!rC!{IcgEo}vxM<5xYO@#A%AX?Q2Y zAyK1l<8;QL6Nb~yCmsxLFk{;Cw{-QA9W%HN9Q`tf>B^7QCL14zf|cgfPtUap4JnAJ zm;V#{VS6gGaCte$f~udNj^>|iQrF>n_w-Zcx#=}azsN8h`LVmkk1^{{sNM3}3_H%Q zeYERz2!q(Wt41>-0~{K=_uJU4*t3dd^}nElnuR|F8s6sT6|a8hCCcE}$xt5`YRSRy z-EKKUjUCH_kJGi<`57br+^zI07Y&UNog*~eUw&iYy8S-o1@DW`F};!fsOTU!`OMKT zbGGe&SG?=tJ-Z$I-xq(V+!8GC?^Dc;yN>=6OL%*Z8N}Z3Jv6(KpWRDIVP?;VMo&(K z85shPnEA`5brd=W1UW7c6w+{DV)a;XAYifhpR1cTx3V*<=()%C=vXX%{B)hj3~nQ@ z2Git%6Nd~fo=k2sw5Z51VMx%M@nCsCyMt^?@1iEY6B+e|2NYX*j6@b3zpwA&V&|}< z^RfPnMcj%EUcX<buE;$zNkMAHBJSWE#zhMljQ&llJlGT{DV)-$H)ny*q+62LduDFE zC|AE<z}JC+<6oWPE`e8iY>px#49DXQT!Z7<ShycdSIz&+%Og^=k-_NSCeK|HSQk4m zo%nHD?IkO>Tcg?u8Q%q}^I0Z*oc`j_f_+KmU61pnmmUzGJ=bYhL6e<E&SHyyO`1&) z-iax2#no)ut{%~_EpUoH_sqp|@f|4}DjQV=x;yu$^i|a;&U$b(Ep-Fb0-5vk=Pv3M zQ$OIyWBFy*WBn_am#*EN)wZv%V0t6_4S~Za)#lfwd7W53pW~-X({jdptQ8R-mOXg- zP|-1{fv2XEL744K0RwN$iD<?)Miz&b-Ml;pmNIkA`?K)j>IZ$yl1C?sNu3bep<*84 zP<@c`VK?uSklKlj;*u4Ojdu<&12vAt=1-~a_gMVu#6!o0AN%%)c_d9{=}rC<d#~c? zDXDWGCySQf6y9I=X4$2ppL^b#1sCb<%$V{j|5|^ZM@{9;*JrYK|N2raV=AZFq1}7= zU)P7(4;t6I_oc`xO3SL&z4_Rx!FYT@%3_0m&t%T;n4r6R#iC6~tn$64UEgd^Ce?rc zmeKN3BS*b!s^h=f%%bGYCcE6H8{B=oTyWXq4YeoOS-s|UUt?$J-K1N8`LU~lef6EA zhfhCD%>HsDe*WWaa`7E;K8Gv!n$-QiedT-k)8pr7FFAP3zrOz5Jpqy0m4}Kn)_?5X zA7pVrq;bMkJ)7wrE}B{OjyyllUXfa|I6C~2o{!@iQ>ky|Hzuz$H#t_R{G@k6?UUXK z{sNzT4pgc=P)pnt#y%}YPDsI_EuocHD}#wSvZ?gd&rQ;=-szo~*S}iRdciV*%D`9a z1oy@~(d|BUJMHYdXNx^P#LcPr`X$bzaf-yL)sI38+e2Bbn$#qO_xTEcEEVr~GxyQU zcl{jhf-SC`=kEH3A6Z&@_vo*cPJyyvSFYxXU0QxOHo~*3hC}&z=Z&q)Tu!P#U`^q0 zWYYBHnsA=W?nF9kiOC)TC$^dja|Z>r`BD4I?h82Fw@_UEDuT0iG0Q_<p`wZNSD0kp zdm59gpzxC6u(-zr3y~v*DxJcC1@a;chTguW4jcP#uVG|pxZ3exj^`hCH}?fAd2XFI z;d<|$8@|~gjQed}lL^<N^Jm1~8&6q~vFgIJ>+<I5dzTjrP1v7QdBW(ReX7UJ2hqQ0 zI=ne}>83^#6OVt#%eOOlM2_pUdERi}vc{KNFh$7EIWV?hmIlj%4I8&NHl*lmN|~Z! z`0MSO;?tMKJiHQJ%mv>!%7=(2nUq>Z2ricscg^I^z4I#Ul2iA=9bDa!Pv-ppd-{Py z*F8pa+rnKlp2j@?rge9tft*bGt<7szH6Czcw6~k8SY0c$j*Er&8N<><zJqsSTZMBP zw=ZisTKYk=wEaZXmS3?8g?hq@%DqDVN;7C(j{9(Sd1jm2q#Mq9*DvicmbkXftp8y^ ztdg%&{7;Mi>6}6A-^&~QosRxFq7{DYkzRE3JhmH`iZ=E+>z0^GKT?>GuN@>^_sn&F z-o)A6fr2LcTLrqed~N9Y`ZRu#Qp?qxT@1`Voq<jfe@}*ePMrL<i)W(ODc<rgf88&+ zytpc}FyWY<R?V7eDv!=)2p(SRn|{%)k2|BsFvNICgW+z;!qsj{PotLidh|Jcyu0je zw(X77i}ym_i>0+Kaap+F7!%LhZKthwtZX^|?RJTJ_@&mU`769rKYZn>?Rb$A$*)n- zb-v*Hm+C`5^d@HBQF^du=cz`$EQO?~XF<12c%D36C%28G^p=00m)-a35Ib$->CFC$ zb6PELDy;nZK>fXnN!S#Iw_iR+zjl~(F2wIcS>*o|{ndp&1!@d-vy(oXOn=U48`=Hu z#P_SEMpc!ST)V;!mA?GUvwAL<mAU#YulKUEf82>wSi|#p>7FUZ3GTaNEUv9e+P#fu zZBnSH$w8II=?X?SZ=X<o$FY)cPV0>$6Fz=#T3RzFLU^0THO0v%%rn;uX)|#9ac<z6 zy)#pAc6A@i>1s<;LynuRj4zUPCOi_1^eQ(|y`;ECJ?6P>Ue|qwiTmc|IX<!2d-dy4 z?;6`Ai>i!cs;h3Fdb>6+Cr3`>t<SeZa$h7EW=-%55t;lxZ%&=oNrO~BmIc$M9{BWd zX^rBe70$vTX_s6c&HgBRJ771fN%@vVOC6kL<^3icnk2Y+%7zo$1w`x*&Tk8Kj9Bni zN_Q%wf4$h0*DueiCm!2g^Oj%Q@0>E{+duU@WuJLBFeH_qd8yd_E#}QGo3&|bpRYHD z98J;i{ky@qs84-L%l*4~2W|_dOjz{ePr*Ucw2yZ-W%calcg=m8FB_+*<k24`Ys$M- z>+_n~8p<rc`0J9MtFF9f?ReaAZe*C||NRxe>N_}-f1WkaNw0eHl>hPTl*SX^t{tA7 zn3AE`wBysoIu`GiNk^WCxrZs4NrVQ=8t(Uu;Cb@topPt#BIXO*bu@M?ug^NN;=ZDG z+Dj)cosWOxA816YCv5$9+Fjw3V&ta{S~iKBZ@e^T@2pi~z4Slo)_WaIo_ik{Z@q8& zo*iPzv#>qk(t=dh9jX7{#d;-~#I&YZ?3YwF=4-vxsM03jy;fUu?}0$Qb*noxg#Fl$ zZcmD<yYk8Jn(vWKfhHI3|5<MNK5<D3lY(~Ln@tLD*O;h_U0MEc>ZACeOkwFFqj?Tj z8|&ny4m|i<@Msa^lBZ4W`+mNezi)PTd9PQC6-zDmDea0Ue$P*Z&0KDgzr8fl>*vF= z>=fPUGF4Y*uZ)`KFr!{kJNAyt_WQ4=ocfacc54{-vB0|1-r<o!1x8X9%eOqgzp%xt zs(g8pu_XU5wW2?vZ(@HK8O<|bk9xLV_T{tWQ{k#HOnU#*R_HYCbpLt3>W`r;1H%q& z{d!l9<yjBErY1EUJGR;FYn6_u-0mx4XU=o}T5`JkNW)T_pO?+VeNUN6&ivoM*N3ZD z>6QORh268xmR!5!wp&!CI_Xr~tygvPR36uCS?$p=IcUZYbuWt<6)!3exCew>()BSu z@kVCu`FCFyuhLYq-_W3Pe0kQt@cQsq2@5!R!jA-J3qR%lx%9xA$`b3T`3%;-IQpFS zEvlY%c|QBJU-q}!(xzTzwk_C@d*t{mzPP>1oUV6>-&fW7Uc7pJw(h@**=)M3?AL>) zReN?Hw3O13F1ooYB<A(2#!C_gA}^b^akx2z_dUKAmaf2=*7m6H4*N!J9qIhiOvP`1 zrQ*`FzJIl4J#$4<u!?P7pn<j0uOsUc+RubW*PL+;D>rRw^G=vBb)IawSZ>ap(@v`v zgtL{UOg1^C@@dY=>!~%DyqCZDETQvu1!L~4y<(e89@Ymgb6kAg$UOAk!>0e)W>vBr zTpya2^oh>ym|87xdlKhmj)NEDz15mlOsrh4|BP*+GDCQPe!f?&^xY{xD+TQR`I_qH zrbutPbxOQdgZHS`iNH4v8h(1R|Gwu-oI6-7aijgmf$%+x<Ig#ZOz?Sl(NenNQ&#wt z^<~{h*_3X!zH8<<tjaoR%i@jR4%7b3`ur#M-_$7ioZ8+`t+4_#ZGPX$_L1qB{(0Hn zO@|iUa$I^QH2Pth$?T4@AI3{NKNc*lf3Tj5^*z%f3C-HJiWgy1!Z$`0{CiTnS$oIB z{d@Sz7ysUU&TVS`yPp>NNmuR9oLk5EukU<APX_C8y+fO_1<!lUw|qXK*FQ&Il~p?N z*QJUzN>-%>jmN9qWLP%;m@-*b)@1r}%^57E4L@GFmCGjAaMn+1;J;X*cQ)wpSJ6j? zXM$a-iu_K@?<v?OHDOH=_m3k_|0^-F*u*P1&A9dUXZQT$b9pxM*;Z{kdvoR4&N)}? z{S>P!>o>`!M$3d-^1S$eJ2LOw22-`lz+{E`U$6F07n*I(wl89>!@G@A_Y&8i_I#JL zUa|8|_WL;z_N$Y3NLPqWVxMUD_;}xL{WDq@)8^+bTl(SRf?o}DuUIq9E4|>SUzy&> zv9oOEW{W)$>m&nm^X#wg{L0re<@?jOTvE#qyuEt)8CQ?&PNDrmGtV&2xji%Je5~AK z;rbLWlegzCz1fhmi1P!7cFMIczwG=Ilzs^ozuh^bvpuN)mZ0ozpP$B8b-6w;`bt0h z{<d(V&5KK|vhwU^XXd}j<|&-BE%f4R&9cJwxle?x7cmO{s#5>AG~zwqj%uAP4Vo{l zwNq?PPB8pnYqoE<UuNLcs=B`}%gp9{2xFHCGW@5>a8~k!k?G4_ljX9yFU~bRD!<Bd zW(8->?nA*n8)nW-_6b(tK9nIA`!CPaa$3`_MLGM;gca^SoyP7Q&TPHNU5odxsq<XM zf`&^TP311Ed=nydo=Y9jteT*cVeoCm;i)dxitHy3|C||6UsJ|l*6C-ga5(G88=ttA z*!dyS-`PC2@tk=6@uyEW+vkpJye$W>q|Mm+s3`O9Ny)}<zkgLuJ8{y)=a|;XCGO|v zD44PO7%$@ZwL+vKWKqcCDuu1Ln;%~Md5U5E#0c+$&gv^?UA26-VCy`UYuQIH)#|Ht zR+zQEj1-UE%`@-f#o1TISs4u6KC5xwoage`BH)~Ui`5g+ZAN^(Mr*&G_#JabXP;QM z)vT(>>Dxb~CA!JHR(tE(ck*OvifoIOlW{Z8QC<(hdmDt7M5bo=@m%`U^X79k-~TSo zfIq8>XP&t%EGsKEi7QRbWZ$a$^~=hWUI+DsnET3H=UX_-T&y&!(|=Y*Dd(jLfAj6n z++eHUfAyO5JX@FAJ&c*^e$Jvodmp@c9&Wqw?e?GUoEcHab#)_~K1~xA=as&i{+G?o zv+n5er?(^KM2h_3zW&C-=`nlE^r=zK!3~LLV*iykaxIIvbI)DwdA^I#qt(Zs>P%hu z?Zh182Irrt$|uC1=gNEPCoQe6o-x%*^wYL!%JZ*2h-|N4^!kt=o7m4KSu3t|)pYMa z!=jP&Y47g?b7H)bpIi~YdM@(3(z#W}A~XHXe(@__uwT}qY};Ow$aC{i&caDgic+_` zZgPHgE%~`f+=HvhtP{D|lM;R`Qe{v+UfarkU7;cWwDZru+B3gzc<A63aqTtty(p%K zW|{ZW&Xm=5ZlA2S|M0YM7vDMNKeno^QLUQgv_&U;ZN&E%ooNSUJS7ybUr0Y4l#`)- zud4c4{=?Nf${yYdSQRymTk1nFi)=Q#iS@E?VR9SJT6&95t3JPgpQ(gt_p@yk*?C+t z{LBI7^^EyazY+qCOIn3C_8nudiFuMN$)5HntN+l`^+$3HbymHv3c1d*XT?on&Pxqq zn+yClePO(2F_$M|+HZ%IE)hw*4uPC+B34VhKXLP%i_+eRDTn0Rc3=LRr_JHW^Y5~w z%qHc<K|!wk5AuJB&yZDf5_Eo7VV1kYEA8x~`KgCi+FifYVAm!(F`COj?(mVd-yaJ; ze&4l@Z$p&D#l_c{^l#t3v^Z$FqTTx=E~ghSyVscPb}QO~e-0~`%&fb2z8$o(>u8+y zsq?b69?u%~e|H;y1$BSfG}WQ|BkO;Y{(wHEHDa%AUSH_AZmr;Dc`a$C_3AM9F5xfD zpG%H9?Yk0lnB&6AQ@&h$>^*zJ;`mi3E{U%3XpPoo&Tahte^UCS4U*GbzbAYzaBk%O zyI1g}?@{SDsXnVszGzxmxJOh=JX@Kr!Myjv!!%3w1m)sYnxAg@^qS?X`Yd)#+rKg; zcj_OLre%j}4qaL>C3>dhtcdn2TuC;oqn8?Z6m#?3((hX%P<y~}$zRh4L0Z$JrDqs1 zFIt|^yY=LxstC?3<%7?bzIiX{^5^gOlV<CZb@yv$aSA3Zt)6(s;JwwFxlzg&jejj? zuk4mi%G-6)TKU9=d;6NYe1c|c9*vAYV`bI6v$t^DxBB@VO=s+CckC0l+)^?Bx3x{v zTqVO@u{F9r*D_}WPnob}UDw~~ed|iP1f~dUpUn*Zn8PIdxL^7FYX0*!Ukf#bBWB!H z^SdhQrKq{VX4Ag~Di8NLzOriV)0^-jnrWfK{QRz$8#mR-BxnVGcu=`8jCmO&|C}=S z+sw_jh6^Y5rLj#4jWl?2Ebij=Uq7}TtzLTS$&B;edzL-vv!C)@)tmLr$=>GbppVzO z=cXmj@_v)6n7Ml!e`fQ=;#cjz?8TQFCtQ!Xcecr^B!HR2=FS9mi%*8X_yh#!)V*<y zPZv=9&efWKp!?1`wygdac|G$3E7v}=;493~+~*h@$FKeMw2<egXKE`KHO$&~=&N=7 z#xJLL$L?!b%r?{RQkQ#5<&PZ;w4InQtbDm(`o%Bd3ig{f{xY|CSa$EzEsuTe*VSVz zbz)<~PFt*)8(SmdXk()FJw(CIWR3jet=IL~%~ejtr`=u<BY%8WwT%!*$6fh`(gQ`s zFaDcLw7y%jk@KH??>E<&{44IP=S)-o&D%6@2?KM>+^F>21(VF))|IQReS9H#cjnZP zRMt)9wZE1hXO-Li+jGGkjr;%Kc4<vMZRy~*ZiaT#&vUyi9?e#L{M(-Y!ot<|`Kp(7 z{Eu*S)!B>G@8@2zF#KTV*Wcf4&b+8!mNq$#!`1J~RF_SrJx>$uJ}Z6tb|!FF4eNKM z1m*o=@ssUW`YxZ6opR4bBV)IGuFmD;f+Z!!yUSQkywKB6pO$ald(4yL*rM-F6Tj|Q z<gIPe`9yA=<wH@v%x!Nre*C-pW9|3m*^4eErfqed?|(b(@Vp&2%_jX$d&1l`Lr`I2 zp2g=kXH=#hkdvBj@|yGY!7Z1+z79H;xKfqr(-(`fj~3e&aO5f#ES{#T=MyMjX?k|~ z|F1z?zUGKrFgzm8pC}r0@P(S9Qc2VampekYv|itRtT*S%O$Qc%_v^m=zj#9WLVo*^ zyeanSGrqok)WV)|>->g42G=7W>^`|8c9vpu)r2_jGFMOeq{q)#uJ3f27%%hX*tb7E z{|=swe8l~7KL@K%Ib&m&;U}huwurwo*If~^?VP~cZBX&9%;af<spiV*)<F$>RMHcK z($#mxd0byBG<`)iV}FI-iUqwfZu9$^R`$Fx?q>MKD}3lriPBQhOYwEVbKA0hi8i~X z#cp1@;*@!hf%At3^@($JtM`?J?^z!plyGB)q!+92vO3ZA#;<PNy!}*Q*JQu%+FxGh z>Kx;_p|^?k+y7(JnSX04*eTzcI`4+v67LNHj{DBtEn64+>%g~<jjne~4y@moCgf0m zzAkb5-{Rlr3*Kw#wHUssGs{ZR(^GM={GGNvW8%|?0pD*npYC2E!0dR*CETK@sq=9C z>irAX8?QUscOd7c`HAA-1H9~Wtd94kEL2zVV&^>Ao~UEI&f9+3x!G2?dfm#;cIfi; zc^6xL+Wg$(AII+%MXR>eE?S_rBJkMqou_YKT+kwNEVEa7xA4vBDhto+Ys}VNbF4%z z)_u2jx5@!FmQ7O*&FeZ{_cE$C=S#1)r6|LuhfGsHE<C&X-%7>K8`f{VHfffhS!lv_ z!1+-7tX&`5Cx<8}%U|)_bb_Pa{aCZN-^bgT*AmlJUp`FC^jCVctE2YZPCX|7|19sz z68<JD)J$|*)vQs!$RL)7gNwm%wHc@N3YUA|)@n>L_F3HW!u@#Wh3`!ZM1D@?$!J~| zXxDuIqSbPfGGCtPmm(2v3wo8x8xBZ5Ilnb+e#)77OWXOcnTeYuerD+N?B%z~f5bk~ zWRALU{Y|b_A`|ijXT7bwwOVX~%x~Gwm=cFSHm$Lq9E;YwatOuSn|rQUYSX@)A>f<Z z_oPYBg*<p??3GJV+md}zD86xZ{9L}A;CXuHY!;#K0}XC-T5sI9>XqWPSB+iX96Uc3 zsYvgr3s1bm%~EgjeRbcGGes(8hd(EFtCR|#{Pci3a-kA~pl0NcX%lnyv_!MsU|Q)t zZ>vdMLSJ_ji_<?ji>b^m#|!2%Iv;6xd)Q#p#aLy#gt=WG&e=wNSoN9DJLZ4}|JUmQ zp|NJ4C-cu<dPw9W%fxFR=F}B)$Y>utC1`g!eyX`+@YWe?iY(0Rxi>zxO)mI6#p7Pe z1(mYLLH2J}J3gOq{->JM(Zu*W2g^fjU$DyUfBMJgHp9zB8~^UO=v)5fXWxw}PEWTx z-Mj7Xo6Oe#`c!<u`j3$>-|yMHeoj}y@t$LE`({XFoS*-YYtDQtgQcggo%{M?>az9P z@5^dxZngGZSt}LI-8e7*s{HhO%Y}rVu)deDpM34-jwPvEdMmheXK`ph{V9-tqfRMQ znm36<aRt-mQ`*7jOhp~bS0=A3`L26n!D^`z##<^Uxo>sk=(xH5>M#4(zQj}Lh|Sx- zLU+}^Pu6n1d7)!T!xugl#v1+Z+=yTH4}MD)pHz9pZ&fa|xT@Ny#kI+gF^tvOUtBz3 z&2!o60|EX88PA_BiFspC_(?;#;`^Ukt7mQ(F226`NwDwcylXEl70g0Q3JZ6xS{zb% zXG&B0`M^GdCr4%<igt<k=b-Z6=jOY!i+LrIRNrJ>^4U^jZ&%hM6e71=oG<c@&!lfB z_7{Bjc)28qspQn#%86eqy;Alv$~D&?-N?ZxuA`Cay5(Eb%$R-o+UyODGxvL0EDbJd zyUe5eja_ANmfBY?J>6>wPVD-Vl3#^gS9=)vjgj-yqWiNGS3KHzZ_=vowzI{9^`$lB z=RfB3yY}GKrN2A2N<;_VSlhQ|qPloHe_TcOp1$_f1G9uD980^f-Jxo=*sM7x#h;w9 z-tqs$iH0P;or3r0GQNMb<n+!>-oK~uoOW1oJVR??pZm`CTHe(>M--R1ou11ivHYFs z*GpgiSWY~=bLO?zxd-2<ylB<gvHQ$?G5+}{&E(iWe@%#1KD&lNrtp5+4es-n59V+$ z=s3K?GL`oa*UB5(&L<jw9}2twucuN+RWCfjnO}KxnN3f~!CQ|W+^I?zzLdDAPbuQ5 zg3OcO#~7llLsMcFKghkGl_(l6y04R|@`T8a6t=|{^(m3^7ca9loqWG9%7E?B`uy%@ zM~**>!-Xx5TPZ9tdw28mYtEDz3o5>O>1kfl_^G$c&M{$^=%dT_G93OJTy`B_cYO8x z6AcILw#`^nu<?D`Z+HDAb?XgXQrBKToxS+_<MhmS-KqcLY!~eGn!(j~XnpvF&HJ5F z7+Bv`f0%vdeRS-WTPoe1_XK?czU*~7^YW~K<to#*E7lzQyEqhml&*gIeN=P%LR)jm z0^t*Xf86`l_ATD`VQ#>Q#crCZ5xTx?ibuT;ai%kU-1gS!k-pjK$_~@KT|u>Wq1A;x zX1^AE5i!`i+EGALJW!K|Wnt?14LWzCZ`$0Nu;%QJ-xHfzBmVjxXbhV1<j%p4m#^|v z7(BN*t@3U7c5nV{_A2(+*dVW(s*QaD+xPH0*)IOwm&(Uj`|PmV(zt@45{{G&T1N^w zy-#eOtg<LsFIQblDR=t5IQMTQ%r$eCb$eOmOP_xvX&m0T)-PBuBr^GJQQh`yUS1}d zKc9K*pO7)3_-pUBa{W$W(d`EVg%ldE>U)*^D`VXka{Ev7DN)B|AtBt`k0nl77n8Dl z`K&zK{2Ny$RB}k#rYGbCZm3GQb&ALGTSJhqVY2nR){w^ImOjbPyXBJKrfoNCJ}{$e zt$pI^fD?a=u01jm+V{h*%w$6<zqMxTn(`y6uM7&+&EqAd8^ku0$gQ^6s-US5eedc0 z6~dQxZ`|*;UVXZ$?V{Zmg|v=d+P{kL4rAJy&$TaqFFCv1@xFv{aioQ=Ow{VRvoA4M zPMvR|7k`}F{(Z%T%lozYRn{%NH1#3Btx2xS_r&Y3H*9Or&fDYtC-USnmv!4&0{36| zlIyeLdwrF=ebE22y}u=YrCF*UU6k*#??E;<Tdwzw#0idV_x)}pNb%IppE~zb^sEh& z+&uhG&Re|tv|Ubst6x}v<j18KK0BE3dOZu{lRBrBShRZMn(j9<A66*EuL}9+_ww@N zJt?anmCxd|5?(QZ@!P}oN&0@lLP{%IY+SRSDRCT>ja$5jS*e9ngK^Dm1}S}!D2C0i zg`AfpSp^FeP5Z@vd)no{T#BxrC-Y8JoRFVcFU)#D?@R0Az_STDpNxN)Zry%Y=+#7~ zQzkXvZI?d#zTl#W?2(V}gCh0WvWsUuF6U!rt@v>^c}w%mpw6so&8^1{h-%11t$(if zPl#j1S~1(a%WcQ+vUM(Pi#rzD!{pVpN;PbX#oqGiePYGU2L;>8GP+Lkx^;Vt%rv&V z*5vi>;{WY$_Oabt&AilSs#DUX%+Ib?TCH~^Czov4zQXF$m!7w0H5<ODHk|r4;c>X( zQ<cyYllETlJpMmmnh~pSd&0dtI~)7{HS#N*zgu}rX`j&6Eex%q%}XsNI4bOXx|)yM z=Uh^aq|1ZE(rYt(c0Ls1Th(QhBe0}qH5b=h4-*A${g7C_7wcZ#)0U6)T&0k={Nm9m z@k+}Azu)2(FfY3_VVlq2<N5QpWG|@h7c{?}zA1RswYQTjv+ticoyM~?^_@_PRGa<g zPhU^kPE%MO|G#Ia(qeT#F57BOv$fW_74lIp@|kaZP+H66r0qQ~C@0wQ>gTCb7tgtN zP_}&IHt{Xzwwreus0!9e=ZM(MYAdVKt&dT7Ry+HAl|x&#uE5ll2X=X|2=7`~`ABMp zkZ}0&|Ed-4vFmv{**v4ymitNFow?urgTvhP$mE#6PCwswA9~^!-;>uqDP!lX8Layk zt(tPu?DMqeTb@Ts*OX5;ck$%a)mnVv$&5TcpKHliB}Kn3))98gZ(8$uW`4xgi!-mk zW%RT<d#zI^##>2Z<^;u_1b*(vJ!c&a-JU;nDHeZ|)aT^1N%^ec(T1+0ZRT8hhXZ9# zH}B!`^y^>s(5S2b@3$rICoA0i>ymN#(cOx$oHy}G5BTI#k`JcsyePhClDfL+r=CL- zXQ^iFmHcb%9%C@aB;)m^@RHe<^K*~=NenSd-TPF~{`EcQ=fS<pZgc84uV?&qU~A4& z_GxZg)gl7wxZ^fHR$SvzrNRGbHkazo#ivC3b`(BZ&bw@*Rd|rH{@;M9`gW-dPdU3K zC8EuoAI)SHTs+UHG>-MyGM9~~tv3ACYZq153!Z&ZL#OpQo7m&u@i+F0>pX7cF|4!T z70P_XVz{lvP(WVMN9W%S;fdEIu2~dJwU<luQWi@|x~g_*x55_IGqY>-i~V&HCi^Tr zF0;+M%zEaYr&;!wHBMZgx-=*F+5Cga8~rl8N|)@M_qXkji1@RH_uH2>w$IvlDwmJD z{gU1c=H>jIZ`7VQH9l5rh}0=<Z}czuc<$-`jPM`I>I$3oYD9)z|9Ms5M@Lus5+_H6 z+n2s?nNupc?VeZb*UnGtf0T45Cn<CLo>*5=+tIS&_}epIY;PX4Snky3*q-pyy!p*9 z13iIDnF7yOaMhS^y}#zkRz<JF;#^BiwDP;9{1VuGVm7aoPrb34&&4%g_M4<+we^MX zXYcWxv3JSZ*&ZdppxN`U_NDHN)|)5ZO9dG@@=a^~`JPu}wS?HgUwjfm5^bJFY&R|c z&Dp%#^hWDL&sy=k1$=Re7S(+k`qE$3XFORnyC!?_@lR`BF^D=dy6@~2k#b+!E8-@i z7{BcQ(M)fh_Y(vs&ip$u%4AaG*Z$RNu2N@Mw)FidiYy7YUT~7*<K4shC+Bp@o@-y$ zSP(epJNGqJOFoZ~^H(gleZ1x@uiqrlyvzEyazBG^+*~Pl%^MHue=2@)lb7qAeb+u9 z@1otGNsr1U&TQbg&i5fk<(B#xsZ=wS28)hqCl0Aih>*(R_@-eWnzEoij4|v~>?0`_ zSDDbsJCklW8t+||-l;q7(E7)|-vYebin*1p9KZir)F*LW^ohxu$}&9XoB}g4Ma6SD z`7e4cKRSQb;=0{+PJYidS|2x^>6jTb^KXu;^P=?Yma7^oYVKEGT+P0`kzeBE<4288 zyR^0)cN47S7TP5B_N$_@BFpI{!3#ZWKE(z9;xRbl(JrJScsA&Jb>O?aSBDi^G>R8n z1_o%P&pe)T?3Og!%2m2&%*z;3{I063PcU<RzQe5XnuMDF?@-AOS%D32x>Db4UN3eb znW5ux-_443iwYeaOd41{6nVZ(=rU+}RMB&3^@^5E^)<P-{@f{0VxIowaju{ESC!7L zg@=ldimrDrU9NP_{>~-g3d_41MYB8nwq)kn7RdjQs{QqktLwc;f~BgRs>y_^V|V@? z<Me*RZ?gH_qV(gIvF()&y<7p_%q$`dAfOkQ8dZ`RrLNG%zyQMh44e#!r74;D0Y&-A zdU<&{dBve2tPIQ+Iw#UKw(9>~@V}dpVW|O2U9vlmgr7jbXN_J)2S!$r00&0age%uB zXYGHN;QzvYSCmqRLQ}_eMqfrgMTxr0I-kVOM1Qc_!)Lr!(1{~b=-BCvAw6dejN2x- zoVXY5we$PE>hIi*l0D+V;?uv?esn$bxH{#ydHB7LM;CtFyZ7VPogde3{b>99$E6!T zq%Qhs|NikqYGXsw5<b0iqIQY8Ie`r;HF9&l98hGxI!lSGdd9)#cHV_sABp9^Fkt3c zuy)B5{UFKvi*@eHh{Vf?-@h#pKU@5MlyH1h?&<3*z8(GE^U2j}ZcYC=m3=!upA;_- z`nY#)LQSr^<o#POk9@aT{NvI&&G^N^UHZK#kGhu}`Tn9KKd)QA@AP-IW7pZ9Msq)| zF8jFD_oJ!PL9T`~MNPa7p+A(Kez3jtaQ5wo-H#tu7k}Dn`}5j@n#`>l@r!Q>-VZ({ z{<7s!bxGRKXMVczcXmFlw%+~YmY@E<t-{}qez!?XsL51+)4Tp+rpi7O37PmM7W>?b zYKj|c3Y%*3n`?3noc3)M-lxtLKPxz4XHv9?hw&3p^+LW=J2dVu$?<-5;7HmLGgVWy z>$B##)>Lsa=rH;m*`mUycH{6gi{mWUS)Q|S=Dp(Rd&N2Z$PSHjo`!i{`5`&MMVp&S zU+>x#yKz_O%3Zb}eS3<Ndz2qXOWxjbA!p;vi%H&-kCw4@_jc!&%vqg0NA~`^8)D1Y zE?-{O*6z!@E!|hQf7UGN>j`ahtW#u^?hD;Cd(Oi6yZDgE!9Q~p6Kef#C`rs0W;>zI z{X9zI`62WD8+gMy)E2YwvT@$(5!@o7l%eMH`QaV@$5U#UlcIyz6}L%m)4iIr!|chL zhsGTYYZ!f$S*;l!Z#ctrz*pXC55qAxd8<7EZ&#NYiXY!_uz7lM&-q`hY5pssty&T| zbWdzxWV>W_h0%HI`ht_X2Ocm<Byg<#yWq|-bM;LhkG}o5_vDAIcR#M}EvxYl?A2e} zdxj~&=HYDNk3Syy{J7^Pvd^sQ(Dt@N-%SFON*qu3s`#A#X!(+7{v&xQzctBHefum{ za?DTWxTQNG)4cGaCf6s+LmPTkb9Azlv#uQV^qiB-bIYR1E&50fmkCSz5;40i49%IT zi)IMtFdQ-7XDQgL@7MP}w{Ly!lE=}jC%5tTEEcufHR*DZ-<c-i%{|;}?;O(D*QuE! zeSC-8vGjMnoZlADx-&K3Pp7%~ad&aC@bw?}?%nwE<JN;KpMG3BX7Rk^_;-=B7hEj& znOv%hVcx9X6XPSCek0>>yJE$HTh~_{GIuZh@#vV#KJ$Q%^}aQq)&>gfOXrTiwWzQ) zU$0%iZ`p^vh99?D{=9ZX?f&Fe{oD{1sgFlDCR{nxF1918A+eoDe+L6w)>(tL)QW?w z1`XSyE>E&6c)`FdnfBqs!oxiJGt9)MeQ=F>Qr&XGy!?bXyVj?@jUTqQs?}sKP`qy} z^KkZw?>wGAE^+;ox|n3fIzb?(O@9*K{l&8TEamp?klSajxNn={K2xQA>4NbR`_g&h zrF@PpFlc$(pS!RlO5r-gPnOp=7BQUq_;cZ5?xnr0>JbdA2WQMNPKueF@3-yX8_o?< z=WZIjYs<ID@qRVIr8@Bz|MMw5%#UtQ$~8$5W7sg=lu_)-p9>FJFG)>#_}RH#+#x#E zHz(Ls>sGr|+Ji<O{R!8MO#2ksO?Mnn?7Y^<%%4)RaEoE2NC;n6f#OSNUgI4TnB`7< zaN;Us*rfG*0h{=wq60_Rm^S8m`aU~i8obOUjnQG&w}owzlPVMrb6=Teq$#Z`*yt<( z=6Y`7lL0dfXE$X=3Gt^?C~o=c{V4lL6SslN#S4jT{PI}^2e)jx(4p^pg42v);Us-t z4*vO`8Fm=~YB$>L6TY<+bo6GdIM|vM|8R<aU-$d9-RsYG=jZmmKe>!oNN1ht$CE76 zpDsJPZE4%HlIA8+hZ$|l)Y5`Ew$>D;*W{<x<fheR7u1|L-{+=N@?b)W%4P<R%{gBd z9%eVo`Jligm387#b=JqFxq`(}mivFqOUMY%h^xs=mJoj9u47Pr=*CiKUgMev%<SSG zuiv%WUomiI=kqi!Xjs@L=8+xPm3-sD!Bd>a)Thnxb$nv9*5^$Tw|o>sBbUtq250W8 z`%?U?7?!d}8gVzg@9@>0oH>)-XwL)3wk6_G3$9JcJ-H*QLGjf06u|=rn6*6~HS*~1 zP?($~cj7}6x2OIVgIhsns;t%x>pjjaQ&{{6l!KUgJ@pS<aJs~;JH^b*>!*_MPr17_ z#fH0|c0c~K*Y)Gp){kpDB_F>&a^3A|^y<)k%Z1`^3C)i5oZ#c?kvvs@(xk<%?xtPu zC+e0rajLgJnQg2q5+f5M8Xt4b_uSgk-<PEtKfkf!$E`hA0<K*7ac$om&2uLEma{qs zJ8sUX$t>+ul%Br6_vFG`)AHAv84At~J^j6f$#L<grM-b>US3`gMHjJ~UNErwXu2qp z+j!v(4b7KZ58e4>nzWGJH01ZESwEh+J?NhOsM@+|y>EB^+rIaiPrYZW#x7CbXQ>xI zNBI72-uT&~OUyl-CsZgHemvWHK>YaAYUif)z1{h1Uq7z4`guv{LH3bDftPHZ9?kB4 z)V=uA-iIHy##%NNyF6KO{G!nP7|xnPtD1bz4_mi>Tw7XGb6zd}7E_U@`E(U^Rdr?c zmYDCV?&{0co+~duVxG<vAH#P)`pk8=W81I2{b>8}$0dme*+-c4UOrk>Qyg4VXk3%O zaJ?_9eoy||$&ah6vTHJ%3WE0S6#nt3;K#kVecSZ*ncD1oE*u}loag!7?TESg)9%k1 zKkl6o*k{JyouAwHe&><tW*VLOe60I+NblRu*RuZX?MKnKKiRIX$>j6cwQXHT{=48O z-Ji35+&iGT&n&nte{JXcl}D$$z39mIW8G&Vy>Gi-%lfmzkD?EMvOWCclG22dZ0nlh z`%3Y1B<|mqiH{bzKkNC??<vhE+Vk~T_w6v*XD+zU%zU4z?)}NV`n*h@-=933{krJK zqdkWE%%%64>FwL9dH=HaLb-iAMSncH@Z+A_k6WjHTw7P;Z?MmVQzceP^nT3p!{W~; ze%#yWQ<FPyQvTZAkE*M3YckuW{CH;dpnLM8YU!r+XOBFLe%<zCUhF;-#yc6F->;Pz zAHTCIFK*84$j8e{jKANpG1#tgKZeQXLHFlPHTiBIwkjsD@7t=d@A+hXT}GA<rb!3g zymy(Y-;ddTSp2!|$Gv-J)#O@jkX#UxsGamXvH8<kRR)%QJ2dufXAwDg{n)|nYDd1C zJo1)y=$%%Q?PIECx;n~p#WTj0j4LaBOzllo_U+{V@n~C3zRQQLYd@~l{W&k7CR6Le zq<5J|%&(XJe6&aVzO={D>upbaipzY?**~A0@5i#w!vFO5Ys~SpCGXFYyFdBV^fHEc zWwDGcOT3#uoelkXH1X%XiU--o4%?4z4?F(-&m-^ThnE;GE~zP2`Eax{peEnw$1Q;i zb3d+q`q4JhV3BI-&r1Rh-n-mPSSn)o?L60|-&geWo{!)@GyU%TvwiPh9+@t7!eo6{ zejn?;9W%?1eRn%_ec7??*A{-X-D|#Y3$qPF$ivy(54*V^PyM*JF?ioLz3zP9zV|P8 zeq1X1$uz04C*O~4p9OP6PEGz@u6SwH$I;A-f6OzM{&6X5pPP=t#SBkR<_&BL-=DBq zy1zOoYP3$JSULC32{H4{9r>aCXP+cqPMn<_&1VyMx=}gHve;eMovBEdeVs+I-Z`1B zIWv{hqn`vlaS$?<k-A<mze8BP_4A(xJ`WrooHC575l%jxq?{X@V;syJm|UoR@XjeC zbL&TG;WZyKH_j+CE)sq;`)taln;kdOm=A_1v+iUIx_Lm$Olg~<*@n|>W*cV)Zq4&q zYf^OWjEY@$ta#Mh)Dv5xex}%&&h@qPU4HrH9cOLl3tbvJ-E=B$d}w6jGt7y2=*(yF zqM>bxXp90wc7su8{@d2~md*OQ3~F81=WPztvdmVUwE5en$D7m4R*Ev{1P3?d^B%kT zd{6N<3*HCS%NG1>FnjHw++f)x#t=VCl413s>@J3H4C`+*%}@#0z@*WzUXa`5!iIy) zJO(8UZDM8>9#IEb4ZEAJA6ho`s>{Be>ic#`)a0w*(1@RX^wZj_diO7T{k(KQ)iPS- z&E)*NQ{u;)-k&{r{n?T2ZcnRksl`ial;%#k!kFf}!M(_cn_>5pGiMK^_i26?ni$+v z-FrZC=fwwXn_aKmn6YN1X<~7dQkt|BzqG3A-Zh*FBD|Br3K%neg+6X=%&W;ZtMUKb zrr-PLVfK+joX+iHHaQ;-a@m~-aOU^XeSShB{Fr(6v29EEqRhNr6&x))axG<bQuMT0 z!Dl_zo;KB0pEUX9l1IYbZ&r3JpE2!-;>pOza|-R|2B`N2AA4EiHrMQ&MEd2*BkDr4 zgroRp@kt3siRQBFvgdN1?RwVS*1W96&GoV4ZpX=sObyR^75cGSAGMJAG40AihK-ui zs--tJ@ov<;x!{J_Qn4j$OTHa3)l{Dt{PM^rO<|?qjttceH$rtzyLozQL@*v%Bf%yS z<?*Y5J4KAaP{PdX)q#}i#N@=_O}!p#U2|REwr9KfNi6TW+<sokNL=&I;VmkBhQeDU z6mKa=am*5*DR#)sQ?^WIu0>SIl|$~9MXE)eMcQ*7H##?3w|FPc>6_gdz2?H(mVDD3 z#T+96Gx2SASM;vXT(z;_>@m$pE+wlzNFG*HPCNl}Op<<*L(z7}bAm?eCgiu}c)tob z?&c|*F=Mf~qP*&<zbAj5WG#9ppeL}7pKn58S6)+IO<sMCu~Ib81l?`N)9klZdYj%} zmUi>X9{F`A7p7@QSlCUrP*{|)=a{A0G1bzH$I(IU=36aHElf?yoF{)imYz|Q5tI>m z%-K76mhAI)679#g+iX)UT@XGYF1hE(^B3nP&RuM2cWLtJ$*U(%ejFn|M@~jIM&|LJ z=VFJ8yU*^F?8|S@NzF;hY0Z%iu@06FJ{@Gd_R1N}ty;^jZoA^6V;bzW_OzR+{W?yo z<#VFcryHM^e7YoQN$}~)r%$Yw|9D|D(RvE=l;00xIAav=$jk5^Tew4U*UydY_x*(1 z&e{EI%vGNyHGS69SrXUJ%!xXul`b(qGb1)5*2G}h+?!5E<8w;>eU$8QTNoWT;f$_F zny8A7+pD6KEK8%^ed~UBFwX6ov*^x(7=byjgC3l6*%2hb$8l`!&f1-+JEwkpyHt(w z8simi<{TCcvD@7}xe9ZnRva@GIx+M3a?jRpo06gwq*Ya~CM;&z!!Wb2chV#l#kmX{ zST;!9o@6y6f5L(Z4hl2#dcOQB3~`g4sn-{0U1OqlU2VDA^`kqDc9`xkvCum<bLY;T z3wP#zJe!hZXqLX_=(cd#*J-_*v-Gmmv%=Tq&eib~UOv@d?-qAd=dIPJw#*7!>$+AY zaPg+Vx4qfYek@aB&J~^ZWXfdn&`>z&AaU|YAZwqWMbwkU!j_BYa9X;aJLvU5qu|sz z6~4}%$Hl9Qx{G!{o-Kb{RC@Z^zTEn(h^*+XR_kWg`e`q}mU-!;W~*59vPU(JhHrbA zlw0TDc(JC@Uo_4kX@(AucVmf?Y-Y>E1dYB71`DBwiybU=EwwCd&&_Noh+OvIjLosB z8hH`>E^e5*;q071<A+gP>P!iWHPeDJ)Z{Kt;Ykn;@S3TeGbQAR+ZGi*<_(*}`7Teg z;5Z<4ApBgS<n${CPi<MkXEEWJLaF1V#3k2zl>^QQo_P8~^su{1-yF{qmIfBQ66Fj9 zr{A(3-mY$@Z>DFaZlbY8FM3%_u@j%-)M-CVgfFfUt6s|Lkv3tULh1nzJqxAcCr>$; z?VJv97o``)7Ks<+vBpTwImw#N_&9^3;_Qx#4hH5@E1tSlKa^hE*2`A4@!|m;vsv7? zGt9C~419Xol2%@f+Tpgi@X`T&b>2Nimm+@nIPhd-W+Y|E81S;WnXt{s=*dXTnKESy zqqO1y9hb#Mxn0E}J+D8l?aK9(U6nKC%EPBEA#sXRyC=l?xNRy5dFF9OaC-lSC&!)~ zda`ZK=_cc5=H|;r=fd+0jke#IK6`V`?BwVq>!jl3=m}C&ZiV=^XP2B)Uq1Qs(kCjl z6D-fEm|5NYQKP82StLO|z-(h+(4{ka3^~mrES)h<OxGn=o)px4vLdBu$#Hk}qR^c} zmO|%#9+(<t@tLKsqtG^Q!4&BerNWP9PdQt(F;d%1<96SbU1D4NT+eo77y7NbeB|b_ z=R#|bESl+`VdGQ1DKLng<p$dgW9|(C8)9>&OetYF-4H&dH)I261+Qj<Ys2Cj8k(96 zVXW1LUS3`Y`4r3!i+i@c59GOjM<9NV!2M`}_~@LI+r^H3H<5^sTGO(=w<SNf<-O*i z>0*l?X1jFX6!~-XdPgh2(G`coZK9xxfNPT71Ey$^Nj3ibE&6N2;+4gs7^1Xxf8usw zVCG+=u*FR0k!VR+&Oz~$toN1Y<oB_PG)%ju9Ur~*)7qQTKjs<yxD@B$nDILOgkjEy zf){ICYU(<Dw10EWWnh($U}U|z?||h#b&um)j12mc1Ddkpjda#8V3{YI8n$5Vf_MQY z(FO$;y@rFQPuX40`O+xVlfbIs;pE6}vw=yZ=fD<2|JL=ot?Gxav(5XswzR9}yx=|) zA$hBu1&Ph<@-hdE4p=|T{v7k;+Ai09%Q@p`g*!2RV|;cc)A;e_wBu!|$w|dYs~=}G z-@kIkajWRQtpdw6Uzn_}@wYY6z9l3jbxSsW)^!GLMqzHIZA@xYdnZkjNKj^2=Gjo% z(0-g%F6&2tGvB1J0>?}3k|i4!9_C@&z$H-p<66m&d3pssnG=o~y9rgwbjH-MsBS;9 zQ{7@?XW_%%=#E3%4NhG<Y_{f>$FgsS+s;cY6xN+$l<N{K++cbj<Cxo)D6TSwM^iGl z8gA8J_ts+fk1vka`7_G)Sw#Mr;<$LLLGQK4UmgXAa5aQFt~q$9jbFEBfnp|yZbgTp zCaazRBUc!MWxTSO6GN0%TLF)>O~;DPkafKl!aqfPXM~CA8R=%W3WWTYD*d5!_k*p` zquIfqOjq%Ka^2KW(|<yE-_BJh#J?Z@zU}b!v{JA~wzlc}p1S_R=27>TC)FiSXK(&= z^rF`N=%o*{7YFV$RoSOLS^sVOdcoW+54%;4e9!2}KgYN5q4(*>*&&x7cc*;+AvaOE zrr5Nm(5xo^Tig2HmWR>R539o@_L(T}b4#DBe`(75iNg0~mVP>V^uyjz$$e(8o<u7@ zsuugW^y-I37v_^MJzi$m7%>^1_2=F5cvh^HF3)^reyLtQ!`?JK#hJD}CC^v%_G`_H z34I*1wORSGg!u_`N3+ZAxzk*HCuCneFEzij>CPGXeN*#tmP*~uI@_0BdCtnt)2_tF zxN(M;*{0-Kfw}DycS*U=<(6kW`LZC7bNVq0xqVX|bL?`QH`Ql(Z0pPRX!Khm)b78; zxi;%+Z+5XC_i=T_sF{biIC&K1lpHrvadI!cDERXEi7pGJSecuPe?4FEDe>{_x5?H_ ziMJ<y4Ysx_KJxs8mer<?zBCEhi7h!LDIaH)xh#8;yd-Ak@|wqsV{R^sc^uPck|-`Y zT|1EZ=QL&S0~^=Ad3dYSIGpk4nTc9rH(hSHX|3ulSbL>-T4BvK+bzG2nTRlM(3;Sg z)6yi$pm}IZO#dv-r?dJe-+36^(VHlB?$e5y?lG&w&S@Q07tlVuCAu%_<Z)9O^_7R0 z^CqRwNShpJJaLEE<Cuq&_c-n|So=+PWv%bJUJJifN1peJrk@Rrys)4{Vy?%8E(J%+ zsG^ps$&(iQ7i{6=JHGbl+FKh!#m#0dahsXt`~1>R7j7r%>N{cya}r&C2OQ?|+raoE z<HxfDcA!qAx#YfW{B8NXPaaoGeOzkzQ)=UclqpvfUmkwg{blM0+e43MGk*pT_w5tj zXBN<(pWCm$_SwgermGsOYy8*u>tA}w`N`I5ul>HAmzke*Tkmz)w|%qNr>%3ZPRM_| zroHC;W~EO{=W5jSo>SbnMP#3cR!x7{k7p4-9u@t#SMuXl&5vtkKjzugWZHI|&|%oO zB1-p>l;k0GPKF4k2+?&LKP+T!U&5#Ak@e7-TfyMqzv54>zh?cA3$@s{Q!_qB^#1Mi zqu0fbZx4I=W8Sycd_I?l-J7Fp@)wB4M^8VtJ#6`pc`5wyQPWRc?_gcLB-%Q71M`aF znr!vT<KJyOZT4-M{O56YN!-V!6WeMg^8J)k{B(9=XHB7BO@7F}ZCdwdO?_Ya)cf!! z(?y9NO`{w+xxyICj&c>$Og!*IuJz;D&W}fre%yQV<JPku*IxW+>ut7g>*hZXtLH79 zr2kgu=?|&7r8SxH;_*u?_qmtU6sO9@-;ua4Eg2szd4HDV{mXs&Z+q5Xl#LeA;-9Z` zB!_GMbWxRs3Y;ug_Z<-1XStz8|D5sTYUb57xyl^(rDQ<^j%S@8W*=eVaELyt*_YVd zzTuSalt<E{w<C^i)7q+gJ!6hmO;=RhH5S8DT$7v@G>JAGh>9o$52pA1m}g&;=@qoR z_vG^2_P5SYvb$GFu2lWF)^V<{y3qY%j<xK$C#Q$C&PiYnsJ*c$ps~WiF*8|NBtCjt zzVGSpGTUo18*6$aIue`PRkY`uIjP-fF3Sn7$qhHv+-JJRxa+;8-NN3OeOvei&dmG3 z3F?t<Y1{Zgz@{SOVe1kVK2ZZNucpLic7Exi3ysc`xXc*mPw52>0?K*(x{%nL?a(A5 zA$ifSp@~1__oT{?>{3<*9#xUT4iaLFJT?JMOZZnjV3k|(P4)L9FpD8~Ymv-hHvVaS zy_2j|ZZ1lA4C>IlbY|yU+Iv;uAIJ(Gqb&+eON19ZV3spj%kwPz$RRFSj@-8~O{}Te ze;V2NjB0LNNZgXjx%r()Ln5<)P0oVE!(7Hvb}tmz__WWYOjyWl%(dsjLKb7ik_QUR z?UH9QmS42|y`WjbqC%pHKkbJDYswM{7sW#txLLksT-#e|e&T+o>H9l3xUZb8Oh10V zOXPfGwqRC&+QZAXYS%3mO{%u?QQ}&C&vc`&B41Ve303L!M{I@u9otdie(Y=KB=^l5 zj+8%m)qHF5O!LQcCF&d3+4MY`E-k~q^2gG{C!BTbR2PQIg?;Sqb$_NP*_?gE=Fg+) za)SK3|0p>&+B|tAF8JzkqQw4|0B!!GKd!dDs^~bXFSq68Lec#TR$O-0uXFudQE_y= zVw4=8VMN>M!)nz^yKG8~Dvq5#tX|%=&Bi3@LXY^fhl170R)+iw-(0=<+2GVYE~W3! zBx)Y=?o>avfZO)_1qrj}^LtI&UcM;y?@hG+b0<1kqTl}e4T)>Y7xtR9aSE24?@e@O zyIY+sA?Wn|fkfDob9;^24lby8H`7pu?QHS;o<yTpce;}c1au$Yxt#Q&-~9PKvF64n zcTOh>TrhtgFWRqqK=J>I8C*ZFr)o7#bNT0MsQU5fPw^v<I~4venbG!R4qsMe%EwGg zi=&UG^Ph5*6<fYe#wU3q_m4*kV*Tlh_?~U-cznXqMl4ptvf}unzV}BC8uM*jVY%bz zqXp}aIt%gb<*4d7w2;65g0m>!)IIk6sTOxWwpLgiR-E4c;=xpB)d;^s8*;RcI|{cQ z{plvNrby$cb;*TJXU(WnX-cz$9rYG>WcxAid?Ivp4pY%i)n%4{9|w8Y6h)m_+9ka_ z&@3__$V~dcOWFF<uX$!CXmmcGKRI)O&Houbtc`a6BtLSTF#h!Z)Pi0G_HYTe6DJ(S zzI85Z*3A*Q`f>rcb?c1B3Vf3z4$1uA5#uWJ-)F`ir?2l@a#LQ(bME%Y{lwqu+akB? z`*8!6D;M@lw8<`P{ddyfO{dbX=f^jwlpfhH-j*0D_?=0zO!SeQ!r?U$ca&3Zh-tE~ zjJWcvMJDEPK;Sh;t!_UXTlU!&DIaxZ-xPJcQ2z9x%~imIug&nrlc$yz#~*U>pFb$i z7kbBH#ghqrz0LVD=T;U@=ybM>?{Bt|VOmsJ(0SM*fSpmY=2wZ#o5vseu0MYu->z?C z$Ntx%<l|F|6UP_I%CLX8So8R;<%;8p+-B@sBXnH6&###}*+|DbX?Nc48@riy?%8Ls zROoR@=UE|Rzx6ruBsTlay-_3d{7Yx9xao4=oV6upc40TYA5Hz4@zjq?_4G_ZySST^ z9dj*T&!}m6)Ymt)Kl0m^1wU3iJ?B29-}g;x<;RQddlf?D>u-F%l=*W-N<Z(_Pl6rR zE_XZnjoy6xP*gMLp`N?@Q)S-jWQ~dargv_>SYmgp;CQRm&x*r$uT1N=xKo+=(Xf5D zlk8=8(Qhj*D9Xq0*nMf|4~fHb6;FNnIN_|Jqn*;G@T-+SXPk(eqbo0ZbB$wVP0SO& z%ss_ihn~$_b6Mcqfs$zX-#b1RKS`Ep4%tyN<uRXke}D0vqc7LkT`D}@@;X_zyuPT$ zB$<Cz@UMzvu~Yj_xSM?2p!C78xAMZqA1jXCohB=PeaGs{v9&45@4effJeDXgJ2q$9 zhEk>CtV7cn#aG%e3y9DDq1x28!{X_64g>MEIqNu!Kb}&X9eBo7XLc@6?43t9M9joj zTTF90>#E&1x8w7L-ekRdHZ_kWy3P0>S}aRCd)T6H;e^iur#Fg!J$G39ctd~*|HU7! z8E0Ir%M=X7@7`!Q6muo{|H=ftXB+>9=<wgZk-lnLdsx}5S5F^FSNpv!6717mUbIu< zcwg}Ln4YA=3p3?reOxWDcUQ;Z^;%b6J>uP;C0;7QktlcRqc*?l*~rROhm@|lO4iL4 zFW%8+%zym{>x<KewPyRNex7hj%w%3A$FXJ7vmQSb+g}#3GvfHWpr?-{XM4Zhm2vFe zr)Q5i4m(_s=3n|_>f&Rr8v9e8RAwB1rr>|p){^acfc5tc65kFv7w7jJv=F&qtKG&L zShT+TwL;Xi&9h1;_P%8~<*HZRrBpfN==z(k>hTTNiqaaoeYZ9AbbJ2^I^e37-*Bxc zY`slRQuV>l8~S`5L-}XsSP6a>=(H75p5Gp1wtAn9PLjac8SP$g?k-fxdDd9zaU?;? z>rk5P%*l#x9xhawS{)bjPGI-D+QO5{F-816+KN$S4QWYJs}p0sO|Z&1p47*G%+ZAJ z>K+S^<B3xK7Y}Ol&0JHVaY#`-s;NTa(8DS0FA_xCZMbY>9tw2yUN>78Vjg#QN0{$k zzD>&;!=2uh+|XR#`ex$C1$(57PO!ZGI>A=e>r|Rah3k`dTvxM|s&h3oXIEB)J~=0_ zb<<4FyyrWzKNWc&e<q>3z4T3JWz6=nH%A$Zs*k7f3fy^AxZLECS^rtvs=Ti^n4LXu zzG2y(eaA9Tdv@-FX}!B;qw{Xxs7{)lclXEDE04eRmO8A>QFGea`naey<C$6CUM2Y* zQy;%;pMG6pS?Df5@tbd~K2{xiy5QoG)tu9tj_lcGaF(g9GB>g7sCBW|aRr%;M>QoT zJFJ+awaGJ8=VR2NR0ZkfPB~U($1??@Cv;ubnXPb4W_g%d?3xPE$1|iv*T?oP_5A2{ z)X+ri=xWj9=UOWKCf``M(aQJ9r<RD)t`kc+C%*bJ;k3}A$-&Ngo);=@9~E({rf#19 z&X8-%sWb+QtB-{<rk)M5IGYTj11ydvORn8?Q{s_m>uI}$>ERN~V#EE`&&Ug{WKG=M z)luqoB9+VQR2q}T)?|h$mZ^^}o$S1<HQDlr;qqX;*&TX*i)X|L9i8J;c#@^O>uFzW z&t=`&YBsBbb(>?<{TgosM$FN=8K;qX$fwNugwongGt-}i^c*yIu;5MBKhk$ud0ph3 zIr}!;Y?-8Wrm4W|@H~#J&>4~P%T0OaEnLF2S;{YWN3ct&)!}DXEK0Qws|kCpG7vuW zlDArUMQEa1%VpVbVF9ZT<!~&wcr$T9SnJJ>(o=`iLMLCAFq<AT_tl2*=O;7ytIOB; zCEi%F=t*|kylo#mR$DgP#w2-XTkftsvPmFAH$C?1arJlmOnzEC^*cAU-|x*@&yN#N z%~cSPpM6L0WmQedCiB*oe*bS7bADDFN#j`2@9`#a=C2t??#k%MFTA7sGNh)b$Xw&d zan*9yoEn~>bCW&<cI=h9GHr9$v&RC>!5P#0U2;ynm{X(kXr1S@exG++*8IpgBC9AU zUwY$q;p4}A_1(MH_3ONu_;E^2PT~a5^hb-itG#5W_Zz&~y3ou{s_1&klg0AoescZ& z^>^OB=&E5!dG9%~zwu92(*bwKYmV2BJL-j>_~CIPZsNOR?$+;S*vQDg-?8`-=g*Gg z^F(WZ%{Z>QP+0!!4egJG{niS`cAqvLZ+W~}y4<a%rsnaw>=W)5-_}j4$$88daQ(~0 z?!9`ez8LoS3I@s_y>Ytf>%<;w^|@2}Q}3_}eF|)G6piceSLSJZv8qNTaedGAC&`@6 z!6j$ih2L$e`B`yj-kf!(-9^6L2{D!r-eGO>?2+7b&l53El0UPmp1#SV_w6H3fcW1V zE{^+SS{_}Oo%JMXTD(t2XRzQle>Fe%8-9VC=9oTMBz*b7>7!b+v%bWrJiZY;uRB$y z_)xK6f3k12T%Kjwlk=_yeTE;44SSNkf5nJAo*`r>e%wNh^~J(z$-W%1Paa83aTMQe z@y+FetA1Z1>#iS1H?DT#-z;-F=!-yCa@d=gDUW6Z&Fv1B`R(}pk?irBGdn$wZj{T^ zi!0=D(f$0Pb8kml<%whZ7N)0NEzP71#Gn6YbwA~*y8qae${EM>?L)<Lf4H{3O5)wW zEMU`|i+cY)ut%MqdC^sVS>v^$u*PoNH;vbd+#0)W{xGlWcK*ZVyj_p$BR9|0T@pvu z>t8wJY+CnsVSwJH58S6GcN_oNm9%@uVaEkUg(ue=m7H=_sGIoln2k$HfbY^G!``*2 zCyz?h#k$6pJQNq5)*e&0x3D;{CBFMs49~;qN~fMFaqJg5yK}{%XR?;!!8f)DKUd<K zUFv2b?tf#6^X!<Ehu4L@rWv!xTq)ws&`l3Hci6S9cgC(8hx9c}&N;ibb#5rW*y3xO zJhxjl=b7Zkg1&fFjXCX|Jluwtc6uCAliT&Bpex>0Bl4U;!N(3`hipsh14q@98?F_Z zecO5CSlYr>UoUj}x;ER$JQklkr8^^Mord_;8__Z1*Kb5k(Md0T{b<_UuT9aa<-rwm zjBa+f?P)8_5mY^G^Cj`(IrV4v`MZmq6#swOG3)2OKev+w1LxOg`|#@iO@2J_LVta} z4I9(Se|HUeFFlfzKfL40j`9;5%%&c*b#B`@^W*x~#OwnS?6YmweJr|ga?woL?Z!E) z9!zW7t#;*9n%R!E5B<2eZkoxTm%Bsx$z!qB{jO7Ler->YT6}7y;PEv9LFer)mU;bu zR3S3u$wR(ozXv_do;JH)773h6n%RH)phkB}n2gM$LY}U6eVeqx!hjxUlPy!ux)f9& zmK9tYE%R-ehUV<j9jcG~F3h=Y@@<C3&&Tp&*9{h4+OHLEm?rmcL&bCH&-op$R!#qM z3uPZZix<Ca*7C2iP<LVJttSy;s*yG_4>xf4G<(Zk{qV#5=|z6s)XncNyq4~lUleX3 zH~r0nuwH9>)g5;qo%7(??*Hz^ot?Hx{=BNG#{74b3ZFBde9K=Qn3vahWA?^JWhWnA z%@wFl)X<!ryF>JmAKz9hzIg^d=cY8f>EuOftdE$+xY0jue#EktuUtnr$xjJMG;^w) zaYRl|{(qRnxAvEzovE4{onQNVTs74HpNWw96!_OVc_PP-=kij)P7eQ;ezf3t^4Y&P zQ}O-F`BNR0;;-7PiuKnN{EPgk@o4j}=|`1>3{LLX2$s5kb-%XQ<ea^)HqKO?w^KGL z|Lo=LDXBVh;+E<}JDyzL?60$}qDbI$lCU-VR125H&N*2dd0Hl1*6q`r^-KM@2#4<6 zKeLl}u{@IJIlOA03VUtDmV=KHr2A8*`0chx<2Z3pq>XdY(wwyEemgDNIHvq^KR$)M zv$~e2`je)t%#(tEDa}D*oA+3=H(K~8K33%D&zbYD)3Ej7m-W4lGL8RK3q=*L{g{3@ zCEWI-|HQ<o2Mg+BVm$Z!{C@Px*-7=jKD8@$n4j37WBX6^<B#@fn{HY>3T-`YpE&Eb z(YH1GRR3?#VPY)*ll|$&@{{}3mwA=SF&Ul}{`6z}(^afGU;MjQb6WgAFk_!*q5p)$ zh>Sm%6F+gjcrGt=+4bs?{Vr{(SLMD7Nj^J%PFpV8Frm9STJCg%C7;?S5B9UGWp8Vg z#=XDM#`%8FvyHNszpU>&D7~n+-rOhb=V$&?qAWL_-RB85+cM>BLV@=&SyAQnDLTOi zA5A&6WK#K)c*$nhjF*OI_bB~;BeBHM`{tR`Mb(Gy@vVy1`nEtrbN0yx+fK)dW~CY% zoXr)jj)<EQt^Mra-o20Vy7}@p?pU4t+^l=AzR{hmLiQ7{#JcwCd7Vl#-f^}ly*274 z&yk|lsi#c0?YO&1GigiF>D2zixgzCdE2f@}D9}DSS2!zmv)moOLh+8Mn?5FmR>xKN zwAWkl<ZV=l+iY=csa}=uqZ?wn>n(HY7N%TxR1#ZU<HomZ;|ivdLW6F1;}AZsjVD~a z^-sRJT{Pjel0?+0G{q^`ZP`LAyw}(8v^9Lbbv#be|I}*v=3t#Y{|cw4z7(BcYpFEr zy2H0S7d~j6xVunweQ=IfXSCnM9VuL&yN;z!3Ot@IHCsPp&#I3+S5BoR_g*(O3v95I zeJpgc<MGv#Y2D)Mea+ktRMc+rbUOW3?zXzY_L`jK+wOcb<i2t$Epb}3{WibEy#F_> z1dF*(Ow&42Bz<C=XH22?i3K6kuPbizUb9E<rcq%fZ_?@~r&bF@D~HB)-VpovDeB0y zlhec1ZmT6;Uo9A|w5TgwL9H^NvNS1j@|19`(>(=y!y=Du`I?m)DY){w#<a5?Ra28@ z_Nc5+)#+aN<jcv8%AxBcr@burv??{SPi=klw1*L&PNm-DtuB4_BtrPYsg<I^Yc<v@ z<+v$++;vD*!RuI>o#o-DvxDvRR_?HT`?#p9qSWeyD%aA>`evCu_I`VB%yIO*sl%|m zbPm(nO*3yio6_&CY38>yXWfBMRfp52e>}CCcXrIGJzA1iE`9xVI6+Kny&X@|$7OrI z8B{Dioy|Kt$xUy)Nlx2FMZf7es|=57PCxCc7Ps0$ZP}66qQ?(Ss5tsaLc;4*TG$b7 zq2v2H;{6uJ$SD@DI`ULR)pl8As8z?I4GvqToIPN;-SW-F1xs?wHto2YXw0ITYAkr} zEnjtnhUV<252m&6w!CsGO=HK~hk2Y^H{ImfZg+=wQJ9(Z!MEJyt}CXVwNcn^@JDme zk{q^0J9`t?v#F*U>%R_bJE%Ej%2|&B>tnLQTQ|-8`|;M1jZRZ^62lI87jRCwW&AE> z#l%Ie*Ul@j+E$Ca`4hN-rCfw1-?z<B<rw?c1(R)aCMb3~3)t;h!?|f)jOg<<4^qN= z4i_=I{+M=D?Q+uW9B*9?zZh;N-uX)(3dhg7%4x>%P$6Dws=XaQ>#n4xS_{@Re~#I2 zoOsJX17%7Im!jr8KGd$h`$J>f`Jll5eBJ){H;+$Od)IkWL_=nVt)tD8XyX(%!K;bg ziP4LqeA}{3olJGMYTci;X!19UqmJs+-bY@(ap*?dGPPq{jkjrp7};e@N=?=G)d{&3 zQIVQ;_Ctq$U(fs8p7p#v`MN#te;%E#=GMj=t9wA;Q~_sLg=5f(kftSK`*v9EGq>Ki z&3fO~3$5>eKGIy1*qt2Bb-lpDPlkKGg@c9EJ_}28+kNJC+bp-)>@%}6wb{2d;Hc!e z54MFza=0FHMR6RS&So|<j7{#NY0|=5;WG}4UqAkR+wtvUw?5cT-m`{NVG57_g#%Ah zeSb_S`Qgyqo)y1I@7;$+HvR=`x3TjnE!f60_lAaM8?W4&)=mXb(0Ju22XYJU40|Lp z_lJnTMpNU2<QoqbUU+#tJ!8d|jR6yQ^miO!-l|sdRKl#{!lX!shA{nXrX8tD6B^jv zxAB^s2xx9UVdnQ!$@ZhI)u*#Fm1N>&<nKpMU%$31KX=Ka>aN0?Ow-fX*^X`x+gRhT zwr|VE&h>(CrJrQG{7(9zwD*H;*2CG+pN?w&yw~#MR@0Ab4L{~7*JLlBe&V~0t@FMu zmzAGnm;C#%v{n&3IxYI?Y-MvzVL(m3e@$-WzO7RGmUGA7;)z$Dm6>|Kcgdw=hZL4< zb$tYy`gwZbds>u6eDu`(x2L{u@vO=8s_Bhb@s0IZ0Xv`P@~s;RPRm-TNUHvH*rMWj z$V~ds)}Rjm>=Rp}j=Om-?QML}Gu@Q2<e^mB1~Iif2Bvm?-HICznk5siu^66_1+5`| z(Y{6O<q-#Gez)jTlNcD=#3ogJaN@5@h?3+uy8YXc?`J+OZH!RA!7w4aCR;`N{^YiN zUh}Oc>$X~+|Irfc9ISkQ&XQT5*?ig7Zb@vawLEN4-SquY&%?|66xZ5HENe{IqPmrx zPwB^mW?rM7X;T_chHC9JIM;db<bhtpzB9VV*7oG5<(TB8=S*H$87aI=(p19iM8I3` zX~#eehFe-umTkOpE*eRqnX*D3*`>lfeqCrhoN-!ci^!UXye<zEoVjK4emq*ib^rE^ zL)XoYY-eNpXdBM+$yJK=ryS$Qqq;xuY4FG2=Di;!7{6G`<M8)252F{G)nqQ`c$!_( z<y<q-MQNXVOHFZeP2rXa?|Y>_ZG9*pq`~33sD6T*i~HjFi{*F7TTJNWSP^1vw9g`Y zF~|I^s-`N-to@|ICbe*8a(e0%sjyit>M9Zm$kS<&{{MjQF4Nr%C27$VY5uEj4yFY! zS#swr5}d`6V&Y<bkS*bj@)4HmRV>Zzk|`e=o!R*es+q1jf3|4jmHT#OmDu%Pd)9DX z>GSV89yLSbY4_)c)sG)$zkV2f`eF5}4@(=ZS8>MQ;kX~I`Y?NOZcX;qmiI58ofVxV zs5~*${fV%AXP~A0xt|B`8up#?d@TJm`S3*7oWo03JapL+c3ges(`!$qg`!1oPrEWB z=CaksnxKnoX1GNi&EZO!+*=S?ppjQ{aqX%Np#o-J%iXSCdDXeO&EoNeYZqR~M2l$5 z=Bs&d;E4Fk?nl)j=|7(Bs40x8$-gfaf1B_Atr>^EuPLd?UcMca&RzFy5kA5d753uD znt;`79Iiz)F4=hK8dsEd$y$fhR!wb>NX64~@k>nixo6cBXVw%})#O*!<W|&V*Vp(@ zXw857CgI1tbju^t%YGI9=eZf6`%iS!;+Q|mDc+qw*iXC@e0*Pi_Q~%0x*XN8WBcvO zgeCs}xZ(Y3T5};!bkI(jmSl;^x1K(bK0ND+KKo6HXG^LqcO2WWlIz(5slzK)&1g>N z=?*$BW0E{$ir2FQ$-~R87_py}a9f&X$#Kj;RrKsZ{kF)JX?#_NYgW&*JaKe`*3`3( z+HJinbNRvydwOO!$F@!7{Av@D%(eX7Q8B*s6FXlVQtUAjpZlX@lA>h4!kY_%bLD1j zJpQQ1RwP!uGGdF<>1Ex~V(|@LdUhLMFuzioc2u@rFRReviFZk1zw-+Ii>|hOlRIi{ zniM~-IxZ{eb<R@b$Og+AzDovcCa@|x3-U-O9qVY;&XG^zX|Grk6SK)9^|-6O+~EtC zHh<b6q?Q?)DAqXHd)<~*2D1;Gls|WI)uA=rMM9_Mb+4K1^DQXCd|T|cUR_bI7t?yz z&T`nEnUhyq61Q?k^TV*#i4WFRgg#!!KQCJI+q4@p-%6HL$Ug4#pA)WI?Q6Y5^kHAi z^l+PZS1KIhj_z;{-uz~-=I2{a*aM;iB}@)#bDnOvR`e~kk~MJxuj=WW{C<K{H}85h zWrF5Ru1BV=2ZiS_Z36Z5G%7-idao6gH3r*<F|2*cQ-10=+k&lg?)v?=VA#?Zx~|hr z`?h4wo+6$teG%IN{c|?<)U-UhWtw)=tJcmgOEM;C;+|~=o+;h4t=H@1+*<H?*P&;; zUSaZT^%v~)8m3&<Iqv0Rd9|pA<@(EM$;#XOcFG7BKE2YJY@!hvW~sLL^_5c_CBpnx z%FH%=Hs$0-#iW)NPNuYzTt8<V<4bO?2}y3==q-Q!Mtp|cb?sHhuXas(>chIcx`sLG zv?Pz;LkYI1(>J;O9{*sCI(?ICdC?uFwNKBmE-!w=wD##5*5xTZ%!iYfY-(3Jxte?b zH<!EfKCV8`n|JmGyGh=~AEr~AqV@Mx`fa~)<x=sgqtnjJyR5S<Rbzgz&bG8ibIL52 zr9Pk1l^p(Ij$fgUx6kRhmo@qlJ&UuBZ&b<hdu_qC_1ToZ<iHHSn-*<L&c2j7K4*_* z=;H{{IhRfPA|uV0XUHtRHR;gNrprdh7}q{Mv&oY6@ePrq#oWiPb*<XD_3^IM*E^K% z8hzx*&`sZYTaAx#?S`A_Pm=|!wKO=ZH<dGWb9)_1i=W!>@@DA*6^#=~@&;!QI*NTy zu;ocy)jUn>jMciy?r*kUu*y6#kIN~T>8{qz$BR^Qwl($J&2iDrdB&QlDECwR$X36t zpWb&S`g}cQuXDJkrd5xr=tqm&`I$Bkt{#>ZTRcJLN%Ewu7ONe{mxQi(k;oS=qSVoD z8x#7Y^2gyRev8_@*QtNA5lNC#e^b1n@8ujN_V^i77JcHMc+$x0pQ_PJQ?I|lDMn`w zYP1zz3~HSz@odSY$tyw=uSL#fN#Z{;d9ufwxht$BpXLc(^VF<X+qHVK*PE#YRzFid zuX?#;vahz+Duc!T>a%l_uF9>8oZ7A};&n}ST|{WRyV{kr*?j%J_x9W?s13^fvEr;Y zUw`nFHKsa;R+`v+T4`)k7HGfT$R=!ILtJvj5~tsL4sDQV|5sW2FkfJ6@$aKyY}*s( zFh{MGh}Q7BWw<SLTF+b2Yq^rQRi@~q$M@gXW1G5W=ibMsQeRdq*;H&}wQx!AW2vKu z428Bn?K!t|>f?>4_HA5i^rEacSITjFR?fvYJNG8NZ@n#I=d|d${kJU&Rlbkk^*w)N zddk>#d&C<<#)GcsvZvdsORf*{W7ztXhk3ha3{%wUn{BrR{hAhCw|RGKO=amPrZ;!| zHZE_O^i+p=dw?0^+OYP$GE;QYH$)5d$yT)*F>&2>+ZdjD+*PZ+a#BplCXd4}llZy~ zX2eWM3iN!HB+_kbXD7bdB8F*m%%7w}Z;Ka762bOOdToYpg@qUw+xVmia?NRuY@54q zX^va|pRdQ%dZg-iZk*Y4<ghc}#*Cd$7fp6GbknQa*x_{c^<+thT+7ccdlnT=cYm!U z(jA^KvD-(?x4}t|Z==PcQ?Bx2>o-))eWZ8#)KNLHjWWABjw%XS@gKFA^6+`W<iz$P zB5Vu4#4LF%!2aU#BboM^BihX#?_!ob+`u-YJyxf#^2JdlX#svg%Oz4DFHAVB9eDbo z*oqRtzQaxm6T2hDylcAU`U+pTr0mi-_SMJZMWR%9+dfBee~X-~Lc#vS+TP;tEo`=& zcqptR-WqX*=}D4Ids|z~mZXU+6Wb$f-Yu+}k@~A?nveL?2ph#mN*wKBS$ukiIz986 zy?8D=d*3{B*jMOXSb+BIr5`3u;B{D?^K5#%3`3Ns#=1@o&$OF%Gp$xWl~|#=J!i3p zUbK#a`r;jB6N7S=p3r2QKEu>U=wZ?34oCBn<Gxa6xdC$K={NQy3K{-vp0w#Er#|;i zhApSkmRvfmciihwMdVRKA-lM!!W@_W>ESZMj!#mjC7ZPDxl`ohHeq^9-%*KAL8muL z2I()9krw)DbjnaMK!2$Wx071s$|D<>x~f0Ekti~EN^-MjNm1Y5)=BPqMUhh;K2;L# z&d!KDXJxeBRfaLkSx@eEi<Zr<2cMUpO4QY9Ui4%^vty1xBcGn+vK2yB97p&9A0==_ zpFH9yVkQtZ&G`KhZOQU`{nvG?3%<$p80GLUX!dDSjS^WbX611xZsMZ{9MLB_o0Z<E zin2T3aMtN+R>;|S;;?48!J-N6zA?LbEIW>0<(}B?7qdUdQl~Z5Powksf+>j=zhp{` z&IHWp4i>xH5Th5i(ZcQGQ31ZiE~0!3TWs_`ebjjNxp-rXv*Lmo-4;6gIICmcJQ6(X z{z8eX-Opd#Gopu4Z|8|akNVfPcj+WO-KlZtA@79Ok2t#h?Ht8@ElfV0-RW^8kw-;5 zKVpvHtsNPMmBkC&owdXDq&CiIoi=Y`6kl?p<YX)M)jX@6y{*|-@@y`CzCif!yC;?$ zsS$F{;_EGJlr|N5xP?3}=;=77C^50;utAb!d%TM=-_e?Cy=w(CnEw=BJe4TF;kc_L z-}eVQISw!6E$lg{GJkHjvCJig<1sl&f_^7T3On8H5-+=I_Qxhp><*Ay-QuRF^wFdB z%u)63LMM}R6Vp<RdG44+a%?%3X1L?&qjjrKWC|XSi;!3!FVmbHKPRp54e#}Aj@dC5 zd`h1zo*z5tEcdh~flq2vN7^|@{bl72R_r%tJYsw*^Cu}Q{dEFgcj%TURT+mDUH$%e zfmD0bK3VpM7GId3B=WYmWN6Ob`rulp^}2P@TGf6!1<_C69h)4jT^$y)V{g%SwR5`D z_A1KksD0Ge-hEr;+k}81v*?Fnr(&n*Y!CdlLa#vkDWCh*wL;b5aXVrk@%7D#R{Pdb z@?cx%Zn=Q%u5VNqKABM6{YKFBU*iVv5XJ8zH<h$5@3q<1$@MkArFOB}PxV9F0w@0Q zPQ1S2v;KtR!drYk_jkBDn#4Wb;TO2+l(UT3(kT@u4lSN4!k<`i<<n9Nk7EnPMA%PT zoH2T_rT4gsVo$KyIxh{)*@6#BdULm&N_#jX*mPUKi8;$Qp4BSuIu_=A?xke3ezD)# z8+8kdqmGIB^aNY3^G=y_tT0vRoJH&7TP+t}O7{oEFAO%9TkN(r*vM?*mK}39mA6c? zfA`XmM_^*TR*sPSzt#<zS5{P4<j8D&bx(Hp$>Ywd;d+V_>P=#fx7hx3ExcRwY`#Kw z#f*O+4NX7Z{AqqdIpayZ{AFR^|9@sITJX7lg1fNmzrTjMiqGc@^s7wxbJ=Ka<1=}M z?#2ww**hOhJ2`hs{~3FEvDY&ymnQF4ePr6|ZJ+z^>Bfu~*`MBb994KQw?1T9gI0fa z2hVHf$MO7=eIE7HTl*w+n*V!fkjWbJ+5c1sFUJdc;p+na?D03Wmhc_gP^@7oarj!` zOqn@}pEp=}94-s`a(+T$py=xbyuVK@s&D6+yy)r0HOeLH+wHZSzt{cP{EF{x@TYRt zNAo7z>u9}O`GMX2$X?NZ2YPlKKNLSh^n1*Y?!%Anan~2wh<{J|vAFnYtkQJ*4SRy0 z1bNzg$(!hH8P`#*@Tb(VM8D;<<)TH^$}!gr3)i>U8>zpW`5~O`=w9J}XO`@ke(1iW z{;|E1|K4q>Fh6$n<<Z?n>)d_z7(f2?_{eVKbzVw*OxI-}c6A@P%F$U|@K*5AT=D(@ zmp#898D<}`Hjvx8$MkuFqqWMt){i^B>Q?dFBsEsB_xq>Ru+=xbs$p*1_~LV4XReIt z^oG>96}E|Kht?|gZ<%Ef{P0Tq+L_XkZAWMCV&;j;wPn=HOqt85ml_a#Nas#*_la+3 zXW!Pb-sOJuF1O+ShSb0#cJ5854V3P^HMrUI%4LJA<J*g8xIf8D_y|6d<(sVY!-iAu zU_iBraQ`0Wq@W4gzxH(>)KhuC=|+yw-aXupE^!|9eBkABey?u78P{F!q}}Rsiu_Mq zW1CX#=QG<u>-!p?m7nfh{uJ@}@n^9L7NaM3g~LsQ-mi*bWvyI(SY_@VYo&KF5|!ph zukuc)H_&9iXsxd1*x`9kbEb-j+up@Ryeeju?MIh#sJ@T5(IU0*p5n&Jl%A^VDZ-ue z^FLf?$-B$8%-g|bd1H3B)%!m`4nKSrtI%9&WAUC#^3LU@mB)|Wn}6Y?wdJ~wM|&1O z);~J0yz0--3!m5Z@0V+Q$NKU567Q1!j{RD$?`|4&y7%mAKfGk2)AyPmt6vG+Wq!op zePplLzav|AuphoJ^Zux<<iA%Po$opeJlT)giu`*M@F_#AZwGr!<%%C1F*BXS_#3l> z7kxgk`0DZ(MiqNL75jIsoujRu9C58>(!59u_2`IYYB8Tz9sSnT{&Z^lLA`rZugkLS z?1_vkyzxb7+fLs^|DNt>(QnVz{CIxIKzC73y_t{MMVJ3vl5DJ-em*}^a&}U)(Hq%t z_Us$}s{a&?cuvi$4(B<|_-tzH%c&B}o!^**#vPoIwzc5(lXu=6c^7vuH$A%6<L#6* z_qOr3h8GpGk7vkNKbg{z>~O$ndF-;pygjoDbNY{dtU6|BXBoHM;#&I|O|f8uO24Hy zHaV6|oZ!CFMVx!5-^QI8C(N@>-@Nhck>YJ#b8*3&MZNiYYMXjDJzAu6+dxN8Z<DBV zbPY?Qwc3TF_UrsuVp*PenZ8I;xUS_Y&RQ8_q_@lB#ABgXkCHiNN4ChZZCbhFg0q8} z_`=E`$JOST@c+Hhv-nw}{OqD*ru;u|%qf2KP;P&~f{GQ#*Nd1OJ#16A^26r~6MUWP z<-|5sa$InBG82@nvN)kH@OWW+(;qI!P@5x<uX9Psuf1Wt>G5OH@)!rZq>ay6&K%cy zmuFLZCFy+Er2gnTdmBGa?B1*BZ&y}$eDSg4y44;PHBXAfmq!1RI4U>w_>;vV<raN4 zbCTYBZGWOHT3)bALVouf!Iuka);wD0zWnjxDSKDEJMOOfuJ1!(=U&Mx(~LhU3%3W` zecGVDjQjJ(_Pv(#`uer+yu9!$;>6wlb1xr@mxpCscQ;rTbLI45>pIT|kA%w?Y@K8K zU`k8mf~|9UQ~msJ1ofu*1>P|8oV(0G<Ed+GN|J%rMOU4^P{$I(?&R2Zy{y6>t~uQ$ zGTDK5W8M_yxVk?|l&rR26r=KJx`e8D;*V6-(vPk2p+0)+K5%y*aki|RxuDRnGv3h6 zmY@Ho%9Ms~*ENlj;uC+cHXqxu;)uSu$T3&V{ZSXh#TWlc+St(Tc7{=rfA^2nlv9T_ zt-WSdr|_IwSlr+3=;-%v>V}ziE1HAF@@tIbW)+-ByKq>9ufC>oYGT&Wv-UP({IWmU zkA$>Ms*hY|JL6AuQsK%Ihh@Z^BPv%Mel$gqz1t$D<%xnww`r41O3@6D*9)e)uF_GD zjc`kS{9y8Ni}=e2HN?UjO!$;O{&@DgXv2h~#@_4)cTD0e+R&FhGvK(R-ns}68IdOi zr)D+B>Ii)*G?;MMLH_bV1+m~O78OU7Wem<aS}rU7W3%L8fP4RS&Ess1>3*wc^ldba z+i8*3ek@!3_^XCAzvVN!CVu34dSi~}dX*f%nIB&rHMCkh<+`BRQlG!Y$$=g{^)^0I z3zyES_ga>@DQ>+^^r9s>VGY+U_g&PQeN$t*+nbX=4#~u%81m}6%Je)o=n{YNfUn)w zL6%*#at-s7sh!E~O?&hTbp)^MH&|vWB)jENL1%Zf0?+GDj}kZz?|EPmamc{Jkgv~x z=S*+23Qslj;{_at_ubgB{kVa-Ki|C#6Hn|2KVe`R-`U(E6ZEifL;GQSjeojEIoV$d zcOQzIF!8u9cXgbL&34^48-EtOJ|wH8w!ZsK>I1Qs-7;5Br9GT|Tj1NVfFLvHU7`=q zv2ERSlQ++O$783pIoq0|h2AY&G4*UgLG+=y;#sMi<?qZY2=9ox$<r2X@a_?)<^I5| z#~L&>YqLZ2@jL#l-t3_gW*Nur#o9hH`r70?{K&Q9Q6f)&bcl@1<AT%aFHHN~H9s72 z7MI(oW0i3%Q8?;cMa7}S*%JKT7BS3jf2EVN9v|H=rPkfx^Uu-nZ{qX&lV8e5O*8n+ zGxu4+2^Y`v7tT~!Rvy}<9aYtqw(Yd1u<98*;qwiL^|I`Rjdwp);X1u0<LAs7k$URE z5oJrCs&JoPb>h#KHK(Upo;A|`c1AlPQmp%#$c7y6S^fu%^sY=ZuzvV$f^Rmb@%fW$ zm72rt>KG<x*U2!d-7JV@)XRSHgV|@^%|ebDE|(t*8mx4?@{1|voW`MCrE2{*v*aV$ z+HMJ@tq&Gowq=^Z=G?x`Jw2tLXQ!sE&`o@1Xd7b`(L71@%$w=Tk>6O(7$!u9&4@m* zNjJ-T#!<V?o|&A+*3V2&FS}5go_d4J>baGHPiw~$X9G))S9b*_w;oe|A9q7XNpJ7s z#G-Q(%A?H6En+#;7+H6+&$gVm=XKI;r$3+bTD(o{?`0Zh9J>9{uf<WI>fXwNm#$|% z^R=ATi+H~yCo*R5>rJtOn<}>-74yDqEn(K$<NrSChREhUyB|(E@#2eK>siHnlgib3 z%p{lI+qq$8%Ov;BOUmu;lrH?1;dXUT_QUCl8&6v+>dii;@_y2dlBh3!ou_RVO)Gbg z5tE#`r+CxKCCBz^{^O}Mxu^Rv{KfC${?m&mXndcSlgYEI{D>L<!fKD4uR6QfQ;S-r zR0nP|b<+Ai<;J~$lK#%u-LBtf?Ko6Xlz-|<$CT=jWx)@oREI9}a}xNzE+)Al^836Q zR<(`q96x$I5iZd`oh)cm-smn>y|2k#)vWyBgSo5f50sqncyf2@SvjBg+hT5O>^*EG zx%@<J!ew`lCyoWN!Y^D4R;Hb>mQ;JoQE7fSW$wijyM@fAGVEp9R<>-<>7tc~Pg$!? zxBEAT?Y33mz1D)x=JVEC+X|NKnf%DeeZlMl-_CWE2m0*t_#_qczs2=lXkqH(=k5iS z$1cQg2vvEZzTv3z3t6t|`7C>l9~Jplyolw!?b>>8W&tPrD}x<OLd)-cEU;9{sY*Zk z_3UM9X*Fg|D=wcdkB{rxPs&F5H|Fxlyq^}cw4p-%=_LNH@&L8cmYDd)w>$#x=lQHt zC={Dfv+!%)sSr-p{<H@R)pu^%@Qc@{Gv@Csv4>XN%X}8?VO!>Vz-4~p>TbLK#^b8? z?TyD3?$6zS;Bk-H`+q;QA8xH=KcO)1+*xbKe?ArY_XLerEI(sy^6lG<%IU}C<{Q7i za^v;kXLqHlo2*RV-@3tk<jGy>>rTG+4i=h<`0ed}T*Yy2x8=Wp50&XB?h9DHfAu5S z@%dfZdYw0W4nNjEKDWH`&&wAd_S}A)?>?`*=Fi6$pWjWeSL&2&J}I#9s&&HZN9Xsd z*845IY^%7gfaR|Aqx@CDCF?uvwcX!I7ETpb+Ub6{ly73Ssn0#Z+3)Te{9GPgvi{_K z(UsMfK5|0S@7zu_y11a)=8xsaEqQw-)*O5*ULLXH>!dULbl5lRRQ=o_GbL%GcW=1a zI=^#!u5Em}D23B_;&JH&Bi^m5XLM#i*AaHk<=o77!Pe^E0yo9?3vOJwxUh2i;d%3I z-*@f!qf}J+{qRyjwfBo++7>*y_=dSezw5Z7>N{OSPGz1#{?nmu*P=JHI=;P_ld?-N z=O4qDzLwJ_ZhS10>sHv#>hyWPZpIsBsjBUVQs=B)eITrD;o}3PtXtiU1zsB&q%LA~ z-|T;+FhStx-0cawLG$d4=94X$0{(4EI^W*0f0_mBm3=igyeGHp57;3rSbDkN+T1d4 zQQ`8bPo9aC=kOH>pAx9}bX~OC*6GjDBiakTeLZ|n@SoSiJeJF6?cbd$G09t2Sbp{3 z5A7anRW+{W93O#YDf9A<8AK>;;$1QItU|%$lcrIrn;G|*q_Cc;usmTd@O2MQa&%kD zr%NX{i7%Nn-FMnnh1q*dk|cSGPI{}Ij$x>jJZk9V7H76Ghvl-j+O*D$s+~uSgiPZ0 zZD7Az@$!(`l9G)l?=M(?{-``(bB8{U+|D8i&eKa`cBCdMAE<~;V%k%A^bwy|&vmu1 zhv#xd%l+NAd+9XUZ?}DudGo=ymg)!3w)R^aYsH=4aryDqim8wKw2ov8$uBs!R<t@u zY)5R8(3hQG6ZyGRPfK!d*U)j^@g<=9xOEs`abjf1^XFwJ<HBS=o;nsgA@AI3(Q1F$ z`L}i7Ezp_jsPMw9W3O0V^bU?K(~PC|@ENfiuFt*0`SQV@xQ*#Ysz07O!hE9nNZ3#2 zhP>7rhYzmh7G8LI)~jQ8g;Wpg%cZtN+uT}taJ9mFg|n;W%hUHMo|kM1dMajc)9q}0 zPR{ycf37|YJ+@$yT>am|r;k2Pm%pxCcs#x~W^2TsqsNu6cJ2RGQKIzghjxGV6s|w5 z$31laU3$FnRq63<T&nS9IlDD}u1fZH75O4A8*Q4jVE?ll%LEz!svZ~P_5BnsGdo)T zYJB_~)0bs`TAvE;>AEqysbzoC4-St!xlPMmU!}>Em+z7^-@aor(*a+t^K1SwCHJ4a z6z?y?lojvuZAZ_C={(90jf;dQ+Uoh`ohrP}e!*Ao+Z>jH&8IkjtowH=DZlele6Swl zR(*wUB_a>ki~2dSml{vlZ5C{PeaB;Cwq21&<0h{>!u?~zze`E}o&Edg++ew~Z`zxu z3Wwr#WVDNdCs-T#=4~q6zWlte_O}N!yw5a<*XI_hAAPK^HNW9jxIneVithbUKfapY zl}TCOJ!y9CorfC>KX>g`*SeGPh;74<?(W?xDgTU;{JA>!Z+gR+74Q3P(>~SuiyH)2 z^v&+t!z^t6atHUL=gY*a{nhU5F=CARV|q;XO2_^!JWYD_mN&bdqW^>*b`2;go@hB| zN96Ij6AaIv)&JIWA}^_uLHnQ5V?NFa`?vjJ%!>E_wr#>6)<bt^37Nm$5$*Kwnegff zkJjtGn^*TIHB~U+w^Wk<YU85K6ReG8C(q8m^U`p6O~b1Fdu~jA_3`>tPlvbN9kv>a z=gc;X(W;!e(blPdk4$o~_npr|J!>U3^|wYmyYkhjJJn;}o;k^p{i~-J>D*>~nksX; zW<rGi!-!*x&z?5l7UX5VE%=n#F~+T%Bs0GX^(F_$=%0@;b9uc~@^q6+W#lm<r6<?C zjizxbPLH#*WNVK3DAXFue*Cm>-`|TLFSR5$mhI7bIAei~zNZD7hs>9vmfYDvEh}dl zoIfqFEW>AyPSSeIkCQsivK>9GSSD?B^t9^nM=L5gj~H4mowQP9($UlM+hjK#^_Kr8 zd+F$DIWxIWN4@3B<Tf4gmf0pHbnLWr-(AJjJzE||$Q2zsE!Fo@F?r9DhZ6HHoI5SK zEY(YLS^A>9R~su;kHxFT$W?uu&N;m`<&WthRVA*+`a*iVd&_1Nao+uN^l->&?e<J@ zuYDym@-!1?xFr^S?mMena?;nvY-@m2eQUwWj&nb}TTZ*ImtGd{WLf{v;Hy)U1?O=w z<`*i`(WibqOI4a4P!Ok8B<b2`vGdqQvy_g>Su)YilPqQ)Gc;e)F*!pfSy{-c^4PWB z8M9Mkb}<xR?(r6TJY#lp%s!6d$vvmVk{3-*i_v=UOwhj}>}C(6`NSPeTTZ3%?s%D^ z9F@A6^-hfu>oG_3oiSmO+;@8(eL9u#V%aor9p#0yqxIJIq~^61N+zom2lseOh#Ws@ zWF}v5xx+sy)tLQGjuG!gUk$yjJ?(jEJI@#$tK4~F>6E9Rg<5J;f6s|YNq%cN^Tf7a z%l;fqEjXk8biD@K(La`*Ck*xL{N#$(aI2nlQfm*Gq>{3__0@Wf*=fuEP1@wS?A3Y| zv-1J!^^P}n4u2IFZBE$o@0HOD)l>07JZ(R>{hO8a;q=vb_nc)5!|D|`cB)-C>G(~= zQJwW>2-{qqlyX5U&J*jBzRr1)9Nt@=x2&MD^u-go<EKQdRvzDI*x~oA@Jx?siBNxX z%Ck9p9v7XQH@Pgv>0<GwzSHWKdHW1rHlO#j(%XDSOs#o_o)6c?;@}qV)yn415>tYn zKjWAl`iwJLZ_1Rj89Oo$#d2Gjd+%6ssJOV*dySoW=#6=aMdCbBFX#Ph+HGRb*Zf)} zD|Iu=ot{lB8B@<@Oy0j>2lJI#Iy%f*sm584^)1Xo9q!&qzRWds*U95*(~R0)il~}@ zzHuh#aZlfD=a|iTcX%FkO?{*$(C=Gt=g-4mEt57$vgZBzAh<S-zq{bxohJ_`o}WM4 z$|me%v2goQ3z3s&rT?+*J$FON^Ru{A^y+Y_=MA^6OWoG;nr85N*&XI@NiCV5*(1u1 zz5d1dNA#zU3=3Q0nH|RuC5KO{&U~X9RMg+QUm)q-$&b^YCf;5BIG=55b@d<4kAHsr zHhdB%RGwsG`kv|MYpc)e`s_7h|Kuiq*6Xs@y>eu)rrz5hmF>sl=UcwN@FSS{MeOwb z_R4+v1~-#mni@p3KE5u;Ew#>JkEq<@itv9-_5RWCG-uv<th=lH$OF!auj8hy<+gex zCp<mvi2C<wIqm^U-*@G>T~z#j;zrG>BL0@OLfzF$KJykVQMxWQSN^iPS6P~k(#sVU zB|_Wpa3}6IX{&gCI830c+&)M6qWt%?8!SevcBVfqI(a+Mdikq+0@q!ga(?#cy^A%R zne;k#^4m_m?^|YssHAGMoTzwwS9r3ylg|5ZGn@o{A5;|aPq3E?|IYSv^2H~y-1RCh zlJARlc&)7vKWy4D<MA?qaE*msv%Tb&Ke%(};l}Ps`lj0gg7sfow5eQll=;Rj#2$LX z{*a>h)QT(0Pm-n_w@DJ}uQ(H9lN8wZ;@Cnl-J@oF=R2}xrU_oQFj1aY$k9ISk#u|h zAsO-AHgyLJCw95(Z_!DAmdm+6W2?=Zrwm6Hh1-4GwxYuHabEWEY?1QNw77{k7_UU> zmdoxa-Nco#)%4BHj)$cacMIgiy}lv*Xko?QM|`W3c5)}L+%fsmf!rzn0j$S6uG@aw zHKjuLF`s{bxL&#cg*|eG%qzCWzMB-VX-@8guu~cfLU|r-;Og%V<@<VJ)4js*HnCl2 zAN3v1<tSG^w?}Ft%ZjxDcNz_M%`IXN+Ow|Ee95N@-V|Z>Y1g&%cCQhxPB^w&i2Fdm zl?BlX-<Cv3f4fxh;M++}gO6Ot=8CDFp2_DD5Pi-{$M)79BT1$!(~Qp_e=S^|^M<!N z!=|A6h<3wAsbhCLr&rx!nld@!TR@GyUzB7?(TgX-%}RZHwrqOC(my@CueGA;<q;#{ z<hZvxikqLPPEFOw+mn(gt@Wws)FuJ5xNRHuE8K5zT+@HTIKjaCgnq(@&hGt3c62XF z;oN-jRKL%zl^=~JybkT0Uiqh~b9x02bMN$~n6+{0t9gp6B2yzTz2)L}Joi%k__h~w zstR?yWHpO-teC@9$kR6SvO=HXM9bKso)a^J75XGKi&s5~n5eltyl>`$l$}R53S2wA zQs{VDgx>O?zNZ`F{T9nSPTW1m$#U7@Bun2%5xlFPigYHM=S;h-+;?+BwBLN0!-*^X z{BJbpTwTdId%{*L-9+(C;mcKWoW)*=w8S2F`nltTU&=;1txfzl*YtPhxPCk7?qasc z`P;Ot%kIu~CujbOIHB+2x7_}XssD1j9J47p)i-h{&3U!qzJlf1#N8_cmRtOJBwSi` zc$;!**5Q55CpWeArk~X0wf4WP$rF9{%1iFq{F8&lx5>SJvZeLz@{^j})&99fyAG{) zE<L%DYd_mnx%I_=P6gHPx%4sHJG5xlA$`Ztm!^I3F{}E+E$hBMFrBzt_{ub6xjkA% z;Z3)Su08xZ!CJ&<k5W;3(uub``!BHUT>5ajq|$c7KVHgD!rJ1~S6$KM+Rt=#>2>qE zO2$uI$Mxm+h!rhwx>aO$c(r1=<_g{PsV~2^#%E_ujFzZdDfvmTSNGVnA0Ef1IWga? z3HF@B_31VH#Qx+rhCcE~Z>09r@FdUP=xW!r@ubYpA4k_)nZ@n?F*USsPu0il*GEeq zPwkG6GW_x4sPvpYe1<(PSG5HX`$X(zGkn8xb+y1@uMq9oHLs@n+D|?8Y<^Vg=JS2m zb?Q7Xeq42Qy@r}y*+*`UYuW;bgRZQ;u6j72qH^vg$rV-`Iaf@xkbN>esJFl6jkdHr z`^|`H$BsK}ySgY^dw)TI>-O-vyE{G#PWXG|(T^X;+k&!d-aMW@d1Ak>z#XYVZkK}F zDdIg3wsph{G)1eePk15L6>qGu=(f)OtP3vNBkFE0XwRGfBedzZVjtt$r#&p&U3i#f z<-gwuE~~kc9Q^R3VgKE$kCo+icg_7cu`f0>a!=cb(<hG~x7;SWw7)1v$HDH{#?3e6 z<saS%pJTW0V>Vw`f8HOiPhU3n#+Rh*nfJllv-ab}-gpl?-Sw(G+h%^uI<#I*$&dHv z)5UETx=+ISqn>}65U-vzNAHvOsiP~E_V-Cx`aTJtBfMVuPgK@NtwZaV>j^~t)BWlF zsq?3L>Vyl&pUszOSK9Drx6vBS)Arhj=WO~{DCx$0)ZU0K^@5Sl!$8-JuLYf&eJcD5 zD}26Otyqy7z>)D);Pj(ljjtC@iLmsxd-AX?OyxMD6kPG*A<t!(06D9fT#bnn+)q4L zPztt8T+m$W^OME$#c`EMs_cw2uT*`?pXjL<^zWgerP}Lwxyf;E>zZ|Z+9Vgs<RotL zp4A-7vwPFC2cn0M9I-r+Dj^lM&vHlVjG0=`7fegcd?B;NsK@WhQBkqVA3IJQO`fGG zUK;UcQL5$d1G+++8^ZcC!vtl|B<^O|ZNrir=qp+haB_p|)e8q@&AOf0OK<4Dn&0fk z<1CU_*`oMdk-NM5h0U2qhAuarJQNNV^OK94xr^iDh6#tYqS$su99hJ%vCr9ji^z71 zGrv9yv>ulT=3ng-ePPp_(#X8+Z+<RVlAbc{a7e_qmPzw!jrgJy1w1MqKN9JWT@k~Q zwDFYqi}g-?-4kN}8Mzew^q!a+v7l?ekfl!3Qv=~?nhR4njTLKH-es^nR4On(d{#Cg zQc8b)Lu$ajJ!vz;X3U@F$yhuqH6|%9MRKaSeM4&YrJA&x)1|&gRjd)ZwB!4sBpJu% z8F!o?=O4LjYx<4H^lo%=|AmRwxo>2Rir)9`bxeGB@FP3xnY|YO<~i&%KOWDkuD9ad z-H+c7y_zRmZ@A>n>Bsq<ujk3tdj-Gy_)+{wchUNOdxd$|_S*l;bGgf$lrMN?uhKUW z&%2u+@we<K;Xk$Yl*QwF;*(8mjNS|Vy#49e9_=Uor@ft7&+fMQx2z)V-qw%eM}L3P z>$6u&y*KsaanWP))1BULs<>nLB388D(n{msrkWk$hh=-rz8CC}d8GOAJL^$fExnHy zgugG@v8VaDtYUrs9_#lEKZ_sVtUP`^UV1&BwAK3?KcWvmmzAln{<eqtv48)(@|ZtQ zPk!d>wzsLjSNQYu<FA#|pZ5FnY;$g~VOzIF?dHCKFOm{Ej=H>WX0G%(*W@nXwY|~S z%4dJ0t;nP9a<iDpJ1W!<i*~MfbeHG)QiEfAh2)mE7`&VLLF1_R!-=Pzi~3t^UA~^& zE!?*C!v-0)e|;G{pC4W_Z{xAO>XXencD(vn_`c0oPHs`P8Bd=?VSn2}(YQs`{ydWw zD7>>Qv`mXB$v?GphSm22H_`;xzGL0U>gakW`_U#I(IWjWU**Tst7BuDeoT3nDY@j= zq&uIJHh8%|nI}=6>}LM`*p8Y{9D6T6d@tbfVy;-f71Q3$k3PDYA6+ac_`c!>A5#){ z$E$lB;jXdor`>obuyRjv((1>jLXS@=Z}VBY<sPSzZP3%Xa+g>8^<|2x-raF&!Y9Ap z%n<cs%E61|r@UEL@#SJ>rOl_-%I}93$UDDJsCe?wa_2q4g&!0)DmztPKRoRSU$}kA z%X>nz9arsmCb{{}??fa1>D9JApCk|2>Xe&ay0g28Gv(}Ft?7<aa+rJ7?y+vPJ@Q13 z|GM4dj%uqM&R&&wPdDhcl=^q9?O4~LJ-@FbcYa>S+WErodu}L6rQW%nRKylqsDC<j zUg6Q*%4)tTd#g9;8m_8%p0er4k4LgXleOIRzwek4;}U-7cG1e^M{RY~0$px?^GJHV zn2TR^UD&cci#MGOOqEXQQDi=5tv%Ur&z{MT@;NnL+@0nw^Qg1DJ*K#%*=OM{`(++Z z+V9`ZNQ$jAKmNsY`?0HT=EstQe_YA^c;hj1vHpaEc9Gv%er^sd?Vn&T5%x~<Q~Huq zd!7Hy*tRG6q5i4YMelp;RrUT<c7A-<mMzj<tr64OVQ}wiL95i4yjFXsn0re<s6U;# zap~sLPp9O#y`~u`AK$|`EmX0XEuw7c8S#Vwmds~d8+=aBR6p=Q{Ly-?#R_NRo!;Ed z_%xlnT*1xJob%@GOD}i4Ja%_l&+Ldhp@BhW#s|)-l`*W{6uJD-daZYT4L`g)Lml3( zZnu?|zY?#0r*(m4-nWhFOOBm2ez&3J&Xh-bJ(KsRRd8H6mA2*hSr@ay09*EVLV?<| zCkDqQZG6-DYN`C`EQ`vU$Bm>y^?ydBCBM>_Ry))?JK>Jw&n5q=9?F#%=A{+7i+&a7 zIsG8DlH-q};zb$8X{DN<_$00@+SYROcuDv12Vz~bi|_DC{=f6)(WceeyE0GQotbyi z*W%r$5{ta7!s)42hD@9O9ZFj7#r|l$S{mc*{Zrn|{W;~&(nGSH?@stSyt`83XMS~u z^W&1ur|(I7ol4t0JE)Ff?WUXVPt|yuQ#Qz)RFTQ@o-r|7j`8%d6FZ(CQWjlao%crc z)3SFDKVCnSU9{Bsv7FFkQ;nI&N{_ieisNb4*<<lu;HUHBla=nr;%5m>Z#*d0dhg+f zc8v)OxSq;#9gevm@O?$hq>qPw2s<|4dA#Z56UklS$KsVVZp$ZLx3*pu7V>f)&us54 zM{G5x=j~FxZ6Ec1Mvia5rwljGJI#+a`HEe)4c=z4%XOXkF5_jM`}DtaNj|y!=pN^F zMU6bY$`qwfJ7*qNo~n1kSL<Dp`C1(YY5ngvShG@%IrpR_$IP3alyfp=?~_L}#HLP< z*0FXvDU|kjYVv_6vyHDeoR(fZv-rSLUd{gvwh~vS83<3!V+z;0GR=VbkoN;WwzaBf zvMf0799^)rMe^h`femYTwr-I88F+GP*o`-JZyw&6>gprE@Xbt(Pll)BI+ynQetRSE zGvmm-d5ZEg-#lHI!k+PM?vM0i2|b2K_baJ+c7*@$xY3sIIlseOF}bteDTjCIkMd&= zcy#%^9SnDzII~};x#QHI%SII$rxz%h{-0vO!ub5YP`la;36m>F9(HK62`3hMD0Lrn z%Kq0|C~@fYeiOFWQwl4bPVLt`T$AyaJ2|3vZG8gI=7V3~cPE-J`tvzSqEGYx8i{Ys zr}k^LX_o$dZN%d-wLXL=`q!>M&dC$}Dh?%guV@dE6L0LaS;w)SPtWMApjM^FArseY z&H`dHLu^eFFKWspZtR?v$l0&sw4%AoMoTH>0!PIOr3C>kM_m>Qb$88@6Dssgev&8{ zZn9unccV@lXL!Vy;I9IwzPRmp@=!2Xbm5faB4%<*h88L*pA1fwxMsX~$Q$h9A;<Qy zgX7p?^=A8h()=@djE+{UND0t<^63ZDDQ8Ww={A)w4woFC+#aZ-r75ITWpN}V@Wq#a zw&PBT3){_n_*>oOE`6xTI2Lpx<)X)rFB{t2ogdEcZu0rcP<7%+3IDV!-R*`vzY0EH zXgw&WCAZCB#^Y8;S^4hf5}tP*Rx6G_xjN%Xg52cLhl|>6VuE92|0D->Pi$`V(JQpF zIG!S`#(r_eolC!#AAcgQ#C~~3Pmoo|(It~7G)MZVF%|`MpIpAGIoc=ard36%(3vUC z5o#x8EPotXGI?clfR9_H)sK{-Qz!S^sx38<wMm-9dD&4#ZK{r}PtqrjYmRbi+f6KQ z999XR(ro9`C0X>L-BV*#v!0LN#$V;fQ>JZvy+CGiTG$`!6!k>GnRzRYI9+IG_3><3 z6T`y5u#AC+L0H;FgS$w;==di#5eD^TX;RbJlqY(~@U^xU37lwD@Jv*h$`!@*E&46D z!m@UM_PzTW=5OM$ihc4nLqhgKuUN|4z;!tpjM62GygSlT->t8;VEhuXr71>3ZsFa3 ztbKNZ4cdR#{8{pA&apMKE_s~2X2ba)a<PcgPrYOFyR%BBMxHU+@imNJe$m3d>sp~a z4EinCj|<BkXVpkFi*XHIy6cbk`}TkB_i{vp%&gD6+-NXE((|&n^-(2fq2An7HE%D; z-p=>)b{MozS=wd2WM;=2xpmFbH7i}%H|`SQd#lm^&mnvE-Ph-DUrn(u3^}+*`@3;U z%F+JoJ3Bf=i+BZ>C(OEVN9VM7e!D_NXURwLAFq}kzE|GEUa9dxth0{K?)Bf_o0iyg z#N?m5TIoA;<I%WIheKX+4*w?DNLz%7OyyCZx%14cxABQ{jW@(;2&~Yayl?gCwNE|O z<hMy&3O4G>INx;C{ljABy)g<uIo9vrq5t)7K*rbg{5?kv1vna-om;bVAKDmB`uO;a zh(5#nKc53Sr&eCk6KvV{;g#a?Zf3tr4khiIgrD|Je^BROAork1>GC=8y`nPpJ%?`d z{1JAt5{|qzD}*EY`Yr>pTW9>Nw_SXaG5>JVM((MiGK<%r@cy%%+3~@m=oba)@e7Y@ z#O(G`>`c2;wei~Y>JO)yulb4aahf>oy;}Zk!S-JtCf$(|-xnZ$p`NMeg=*$q5oM__ z*KQuF4)fJ;-J$sN?n{%^l^>UGiDF&!?D_=(W}A!4^IC5-FHMZL%WhE8TllB9e&xEu zrkw{{RW{40dnvE&t?Aw^aA`jOhF=%=uPT~gWN_^kr?v9m;=)_oPahIn`TFLQJlo9| zrO*CQS75eriE->Q)i)9T%)xG$(%>TG@@JQCzHRG*&fJa_CngtoXq9i|UcsH(yXymc z=XEy5uE1pTum3-vc)M$>R*}OVzUdZUj%4nd)-d<*ubTfVTKn#J%G?t#<T)V|xo-DW zM@_%aPtp|@9ADYLspPjTSM#HbfuF^VReFmVju`J3TgD`C@aE5hegcK+g%j5;<&yJ# zbTi)e-$mm;Yql+ZCcZ)U{JWadd{2J7_-tU$ay4n{eU}oh5c^kCwC{N9$?N96zxMpx zG4ZZrt?}7M@7$46yt?7d3Xkk<Yv+6{ntI%&{g7aVNXx<#^F#k>2^If;zfOoT<K}dm z?b*k!{k%MV&R*^%wucNBX&73EzFD$LuaRZ<(Gs3tQzW~?T2~!=qN}{<{bakHtE;O- zr-lDp7G*zsuN>#Ya2xe@wWz+<?ss`69G<n?rbREDZSwNAs9RaQmQBmszD2M1e3de} z=l9N>H_^>`&4EArT+jIL;W_cim+^tyaeuB|rjIvgM$Ko<pTpZy5?Z0ZQoU%-vAR>N zd|ETVpIOu)e0X|-$Hj?%S{m4Hehc|ws<-Q(-<_1#GLxnqI=aj(Mbw>h?cr|4^xgUy z!k(}GuCP9Ay!OB@pKDo7({&BfD%7^NaoA>`ZryY1*YgdDce2dPEv5R;dN^nPdEi*@ ztL$*K`h0?jct*m_ho%b>FUse>%&+>YQsf@E|KP1frYq;hu1<3}rS0K!FMjJ~m#Sxv z&v1Qot?u1+lWC4e)Y?5q!`e9Jy>6T2TfS_8pT_s^L26enGSA8R?8j|>`1zk6-}>K| z?*6dc?P-y6@wqEwchS1n9z3r5TjDpoSr>S1>yP#M7ju7Df8?28_59>9`={K8uWmbU z8{OpHe=GUVdFS098uuLWQaBN{@DJ0~gKzp{^<Nn`7aVd-|DelvMz69xw@dGk%7os> z;_FtesW<-HHp%$i8^ikll|{*ac1d$i)l2Y@Rn^T2oL4<vt1Q+a>C3$7XQPTVi{Hri z_3D0F&Bm9})f_nQ{v+1|{4vk<UOle*#LgacZo^Ezg7+zp_kUlpK;&m$`ctJpYmQ5b zNbjo@{Ik3J!*8Q2{p)l%g<N;@?AYE?y!B|tVzE7vzjiCW&$>`KXVLdP9A7@Xk2X7I zAi7LcS$?Z)sKKQZIjq)m-o`mBQ&x!m^O<K~tVGaC#>9s;zwTHkTb()CnWtX&t=KdF z-;?7uH_XhX4can3os;{RwOnm|TGQ@-3>SEN+0RHt`^c?ts%_kuxcKUeDVAY-(>`XY zHdi@DwE4W=te$-C&tADBtQy->t)GXlJz^eTVdhz!tomc--)-tIxh>z=n3p_DI$Az& zzV3s40n?R(RU8an-oF#{*oS+e>w1@x*^ieznSQzDr9<GZ>spTTl7ic&xUXL86uNB5 z&yznlvoLNAKX;>*jZY-?YvHph?mp&#f|jY9vi5DuuX6S_<2Rl*+v3iWKbc<-FNx~T zQN28E(sWPt0BKe65Ank7{u9@pYExLI-1l}?H~(jE<y8j*=V%%Pr$r=)m;H_JJ919o zfNRk{enGK|O9H~bUQ1$Vf5?3>EkT7xBKxCw?_OEQsT2H+8{S#+y-H4>$6adb%c$hA zkKyGCvtynQrCIZ%SSE`yh8wXS{kZzn*4eE`az*2`pV;RYFWhIqcxRTlZOks~Ymbal z^R_HmY_lm^_*79^#sb@uGw)9Ly&y^;%ze@M9J`nb?II`f_9Gc4*Ipm2`5N|)>&NuD znrGfWYyZ7x<=LY`Ry;SiZxMOWBb5C5(Uy$mhkQf6ILKzb3*zo5K7Mwu=8Ep1MU%a2 zbQWYvpa1$W=*E`2TFvi%?A>zc`1^-5xW7DC(|3Hnv6nx%iQxlx#Z)Gb>XkLUYip$p zSzR+aoL9ALa81>)FWCOMfU7b?`p^#19Tz{%e#OO+WmI9w(_Xr1&7KU~&MtWY*JrPm zHMDkfNjx{_u!*kwWx{q=cjmlkjw!cyt-BVHA=+1JzSH@@nY;19nI|{Bu30M_E*L4z zFTS49;9=G47uWYn+xq0Z7w6<Swq4q8jk|oLQ2zFQ0as6h^vy35r(8SmB`&I`QSRBM z3eyA66fRb(IBe_t<l8ZSO5E<XGgm%Yoz5n{`AyT@7cs>QoKkCRn%{KT{`<Z?<=)=f z#s>%U|7T6!%FLdzuYKDp@x`;&-uhm={B*p`s+JdFnoN$`8T=D1_dbyLS$9(5oqEH< zbKktDonIvSU{#)EOvvq+Pt$YH9d$OnIDaw6^ZG`ANlv9J|C(ODnPsB!_^QT<fY(=N zWO3h+3iQ91os@r)PyV8Ta@W3Nk?B^qC8sm<>UO_gw{uO#?xT7eW*2`mGf5Nq?zDaJ z)2dLD^>XYoUtblmNzILZ6=rTY>!(0$+SF-6Prunr;rjnqy&&?D+QQ#Vr+<oz=hq6~ z)^0ssctEn|o<ieY_Zsz9U!%aeY-*-K)}aM6A1d$o$`snQsOf-WPx2k@V_dGzTi?hf zDJB=r&^lu1a3-y9iv&;ihqC2LbJxAUbNhnF%aWf@t_!rLDan*hk#gnWdEL>qZnw#t zt=@;bT(ZTOnO1I?ZuX<Ue7pTiHLlICyHezJdtO{MJk4MD%hV;|{nXZ;0OjMxawj;} zfBt2&;&y5G<lHRf)1}rg9PhFVP5ZVk`l3z5il)k~|Bvb^t`ssf`nt4enss-Kul_d1 z#+(oDLpu(=JKnQ-yPt^c>ARkiO|BP?E;zfV<9^xq>zQG7OV6EdQHwUU&tUtQrIz@$ z<3;g@psP!rEbiH*mOpn_V=-4TJ+`pm(6d=5I<}}TPqACbUBG-iao2A)e+89}fV`O} zJyPrart5qCW<4UdX#IYs!WpMn4sV%v^zDh6n{VcI$!jIfUjOOE<YS2@7gm|x-Kpzg z{e5+vKcmsqwUSRV#C|>q;@{x#>*%YX;|z66ynPe?-n5OMqAu(uD|hh1L-B2?X;ul{ z_wq$|vb|}4=KIOUYQ+lojpFu)1X6#R`!XK7)m6&BY?XRP-=j0PZa@Cm@qbay^|xOx z?OT)7tSrX7xxL`nW(VeUZzpzDhFdjnFK|S4-+q%HsGijE>t4@$`-h%;-&~26+q~0u z>x6k-w~SZnS+{h(d~E6D5^uFjd$ASsR=F3t9X~gj7iH{SxV`LA<-%ICoZjlh_CLY5 zpQOCtogP@u^l2UMneDT~lLJo9lu2A|Wa*OME3sk!gwEVOn|BvkoTy$jM>1|(`tjs< zH)FPQ%FV6xIjIuq^JDiM?Xb-%y-SuA8cs`8k({{N=;i0MH5#+mxttVuDEohT)XezG z1ui=lZE(q9`La4@p~s$sR~Fbg7g~qj-hA68Q1)E&;R}0z{QW23zDL;cqnq=ISR<GI zdnS|5EW5NhugcLXr7m>-%Udy9A8vZ4{;T235#^naAFaE*UFBVKPK=Y{Vv~x@_gtY1 zn?nmLYA2@rU=59nzkRdSWjDi?f7;T=!h`S1*B+T$b@7vx#Dq<0vZAKkHBJ#}!G_r$ zCp729|4oQhVfk9Yp%C5{c>7giLZ$3(?(Kh`Z@c$-QTC&ST{ovZdaB)=UZHd#W#dGx ztd%lqPx9BDzHNB&RfkfGYEaAK%QAd%zhgp=uM%IL-uU|Z(QMD?)MrN89n#7gu@P=| z1~xOVOp$n9eb#NV&(rMOe>=C&II~&$l|<c_Y^G}rt13mOvT>Ac`u6A(r|2HH?Neu6 ziRE1Ll&_p`$*&yyifPLPL^@h0?GiQhY|j&V&hNnOS68!6D#~loj4fHW>LZ)xs$V-@ zac@q>@;ka|s{hhf8gI0X^h^EuFp%SG7mu@>WWuXoVNr?y?rE`n>i=@3rt6iLsQqNc zRnEp?7eA?g^5ihO(7ZET;MChA>?VIABv#DbH~q3|#5&Q9*N^k=yts7rsz-Yj=D9w~ z6O0csd4B6bhN;Vr?oZ32b9Z!ktS)^i#pM;gSm|r!VgB9gM1;I=e()){>eBYTiXm1c z<nCH7cb3&_3|=4Bh^u?xs-<>(dhL{Vk<YGfZPvJu-}>NUh<sMbFV1yxQ{`5*{W_qq z=8|_Zm%wlT%zPdH@}>vditOyU7z38=<=WCEHp%7D#G09@)_bq)iJnw#U?!u%VeI9j zwXRmY_@H5Dp4={1%?lpP?njFhlq7icUwO1TUtpR)U+qaIqgbMEdrzU}y=^Sdv*j!` zUt2zYT4k#-BfiG#gj@8AKg=?x6?j*RZZ@4z{GxU3v|t9Sfbb9p#a^pza}GVb%{kkY z$L9kp(+$fI{<%dy@27grW;`4By#Apl_m*6vX2}U%`?pT{e#(>c!T&zf=}K)c-v8n# z_RU#vX44AcS4{$9mK{RZX7DWbROXnUH?2MB_c@D(jBZuo?Q)tsU%xpOB-C?Y%7gVA z^&(gO{iDKfl`g_F>6+g4oSHgCm*)&@sZo~>o%mXGr$4>@=q0~(1&yx_PUXfcc`Oq! z{w6E7g;Dq2#QA^zoi4IA>nZ%N#W8V_;KCIyuQe`d>h62AI^po1kmUkLUw`%rJFd^Z z*3)O>m4i=wPMu^8y#Hyb{&lBaw+`%oT%SC}K4qKX{2Tq!95z)vYBk$?qM5(F3ck60 zN$c^?=g+6@Fua<Yn<cgEsbvk*L>p1bpPrjOw=eN;7TQ<4q^W`Dx9nc6i{Han^lKKJ zW-8}6p}CWxR-%;2s;wv5Z*6O#NvT7x{QKz*?3Yi+MI3EVxRri1?nMy0b0b5n=Hnwf zZ^VBI_jPh=z2`bPYm23W*~VkpyqlK<-Vt%Q`25N7H{ZAC_oY8@Rdhbvn{K*swM>Vb z#L-+o0r5W}2c8)GjGNuK>6_rY(&P6V-&({Zy|{JU`r<N^N9)6t4K__RN=-Z5<M&C) zHHC?(m_1qMbo+%p9S8nvn1vr@57o>)^DD1SX=O=QxYS~E-rjQzu~+A|?<u}f-7fiS zyVJI#h8^!8Wo}oT)$F&@?w&>c!YS;s;!FL_UwK;<iWQj$GB2&GwVIMLdurq-hPWJs zg>(8}9-bA&SG!ryXamQprS6Xwy$Dy|Q-A8@;dd*gTOw62t$%go$XknEkM~cK|0}P2 zH{tbM|7r6V&pZ0%dXcRl@29N8yY}7VODJ-9`5;Q#U)=hj_XHWQ_`1xHw5ylCyWL?~ zQhin6#ykIYu@?e<+H+41o@B=ByrrV~==r0zQgv=>U+ngz#T?*P_DTB9H}~G7g=xEM zO2Z;kA9;#CFliBewY>J}JflL<oidzOT8GmllG{c02>krg!erC2MC1C#uSX}JG?=Dt zZ0UUTT3ndL?3pi`b9t4TyxjiF1TWQ5)2Qpt<e$epYoX!Q`|IRatrI+bu0KoFd!g;3 zSOcNt3B@|^8@HYLajB%jLiXY9PWSWrKKuSwe5qMg^IlVL(rdo`GTmQd_F2#KEqGEP z<?raV#x!VC)ILkul?>4W&iibhmflKTf8JcPDE_gt)afOPbBwnJoOYgJ5ih$ih3$3Y z)!FjNMI3%iOW!4(Q-0UI{?iuI{V$pXcQ;4X91{~b%k|#%<TJCCz1}^Cqf}R$?G>1s za=v8wey=~vgw40#jQp}?La&JT4$p)Iy4I6=Cmee|>vvsWxz(fC^OJuwoeVBCRy+JP zC4QRPb-mJtlr!J%7*23;6K(Y|e9WCceX7GX@#P|NA3aznxOZ=~&tL1ikXz^14EZer z({-jrE|qT8kxMR}W<TxOF*&arN2j)wEV<z8`ouQkM`4%h6#XZsl=Ifj`4kyz<hp)I z;Vzy>Q=FyOq!yUfK9;`r(Kr3Ip+k7-bH(>E-|i(QWdyAjQhu=}xqTOdz>Jq6g^K@n zGA+8Zw<h57%OB1nuI{Ig%+lWeeFuluzZFNqenqfoytFtH^y`M-gRUtG+cl;Or~a%m zZnEy5e2)K@uX|u1vsuB`<GVsu$;?bTBQLdOnbw=sbsTA(s}4+iqLpgEb~)i<Ko#?Z zR(o~EBa<??6{pRK(pFxg_tVg_CP+wIY5sn_RlJ(wzgwMC7_Qw961@=7ktCAvZpFUN zH+fBmoLUz={>OLJz3f!-sp5C;Q-deHdD4G5>ZRd)gIT!~cQS07c;{BJ6I)Tiq(84& zr*EyeBYZwe^knEfqfJMeN>jXRr%gD)Fl|E9zRI0?auv^>uV)tPZaJYS<2TX&wWniN zq=cJR?aQZ96;BH1PxH#+RTp1&xnKRr`X#42I!h0FKjPTDOGYx7Z-L;6xdKv=?5#%T zH(4^DDNOn%=27+{KzH%ZDNMUw*mWDfm|y=#Y2Bj>Syit-PPdrayzX*eX52mzhI4EG zoBR0xd!3fg{&n_tqpU4QelVAQkF#1{Z4m5pDtP++A2ZVy7w~DMIM@8ITt7)@(djjw zKj&7Zm<T^u*5IX;7OOjZ{$)<F18bzu#knS_yEDXSb!`)MWNBJxu<`zeTNyL`E+xue z)aTOay5e`-GN+@-U_!gK+KfJR#)KW0Z4(MyO4!fc-Ie;8-K{%BVoJJPx~K4^T?YD< zIXP3+J~@1L&W$~vJ~caA!qlqmOV_LhbCshOr;Zn{U;plAf!@SL@(tZfBA7YU|B3`k z=qBGu4ww@pc=5-5>ks+&d?v~Vu<<_2Uih7RBgfTRuS7$$PxZcrlfJH-bv)X_>C>Xe z#gpxmmfBBtp35B*q$DFFT&D8;pLEh5hB<l9G)`ShbX8+NpY~OxVO7cVaNbvGeOAYH z`FF5hog(aS_*LZc+a)Q#gue(aySS3$z0ywg)s^q<_C?NaUca4Z{}Sd}?LEc4yO*pB zxyT%>@x7(&^@E^EkIZ*{o61@9)Qj~nhkq@@=J>K;zEH`8zlsM-_SIis^H^N(Qh3K@ z@mPJQi`^Q+OL#?;3)M}p>3#IuagE{LpBru8S+=$;d%_pe_)B13!{Zn8nFBQE>55G+ zQ|jh^TrllGho;hpL~q7vzqdV|o_d=t_*VJJrAn#Ge_elP==wh-z;^dUyRfg9Kf624 zp1+%+Od?S;&){|IDu;p@`*wA+{F=Dm%95)fJ3Zm^iC!`8lxrI{o;X}u5@#wX6dG?= zd0d3)iPHoDZGD|Hh6@7fB9lKk=$!1~F<<3$xaTOB$m12^Et^Zv2yJkCrEuao!?!lm z-tgY3g1*um4N-5F|9Qf0?42p6mKCc1CBCwZxgj>!Rq%6t?UlTR%%<rQEn)$SxGik1 z)ahN7{u^pFBm87p;rZ+N1@SUv!TNICdmi%|tY<2oDZ0g1#%x+s|HplXLH}obaumtA zJ~!y=o(&0S%eanbo|CC6mM;r?o)9Da%)m<YUxo0N`i&;`M+%;lcYl7eeEKD8`9|e$ z&0jX8hWFH)@hy<Cxm*>)`rGC9@r+WD$rI1Bdmq%@XFor;qfv5_;_mJFjSJH@7>EX4 zxw&c2(mVT=zaNzq{MWP~Ii<ol{mssUdzIMh14P*Q%O@O;DLhtnx^a<W*|a6hPG>mU zryr4iY4~|gLfMA(X9{_)oqEe`b%x_|1N#?FE&oh;9+vc-%yJFAG7c5h{v~q`x5Qm` z;H&TW(tP^k%>!!!Hm~G06`!nnU`w>n>zm3#?v9ICCko2x`a9|$Dl+B0DRK7LT+w-q z7Yn!!vqYGu|60~_dfht3`-wZ2bL^VQdozxs*zTNs)xYUx%@r-3O#62TGHiL`mo;t6 zm8>$Ay(Z~Qvkhe3T-+9!w}q)5G%7ccw8~9;WcZlRLNeB#$-i};^g`=PwnC@X0&cfY zJl;OJe4XX=EaosZu40#uZmzl07V$hjYI$|_Mz!@$(|az6zRd4b6$zfbZzAL5gR}4E zcD}vf>$r9LVgbXDuhUmVUkN%_=q|x}F6oO$ndc!3hL6YAAG*%=vG%H8+WMAdyJqCP z__Ma`RR6KY)vS9I16C~g8F7d`%XU}O>a|l&EipHCQ`_;rmn)+A)IsArQViz`*Sko` zUGUw&_3i#UtKQPo${A)p0?Ql^CA_{L>Uw&I?#{O@CGIjho5J3fHKpWVN|N?F@aeMg z;{P959M*nTJALH2Pw{0xzp(dWMT?cwUYK{t2D&`Vkl11-VEI;S@qsM9B~KEH=S}DD zvNm|H_<8mWkCYQzF3w3){Oro_Fe`vRQGL!`9*@&?2k)IySoerUjHBk=9%hG=GIM2P z7jIj}B{`+oMSH&4E!U+A$L@=r3|ON5xcb5DN82+WN&KE+bTQ}7VxLLuqRzK3zPObB ztm*lT<251Im5R(&^a|8c3$wKQl#@5MObOk$XiHC$r+fDUO{2B?G0F21Z+Z5=Jn~_t zUjL&vVnweu6yNA-F7u4lawtps^)a$hSt{z&m-oN@KM70pNfmF^3eNd!%Kpi}ONnjL zsqK$qTZ>Q53rJ!6VXEX4+`Wf8az^K*RT-S}K~9y&X14413#Dv4ZE@skiM;6j*uZnU zJ}-JU`Gt1cahZizq^E0^zA6zex0);3|HgdcL^&VT0}tQ3Kj+)hUBlJ;XPta!lSRC& z(vpfjdz>1VPjXx$>lMWNC)>z@TUR7%hL3BLUPe;2^wOIXjWrdPdrj@J;HVT7OfY-& zD3SfFnq1(uL#jRN=dZdKzA1L1#ZraeOI6xL8LSy>RiB6XO8<Ce)_#4)+f$W6#m_6{ z|7@#y#i_oV=SuRcBhj1}-IqkTc<$`GEwL%}L}jj>U~yEW=Xv4n-OtXXl%<^hA(Hka z_DSNIzY`wKJN)74jT0WH#7*{YVsAfh^2F}uYQHIB8IF_pew?J%d170@qrww{N|OX` z?$0kh?&+{wz)Xkl4x5&YWcxOTq*?Q|6F0FkDjt7y#YT`p*W>rSbKkxE_P@CGZ&H{X zuaWu$=GJLREA{1e%*)#7aok&hS@Zp;rE6wd^8W7L#?jRH>B*6~H#{3yOZsn$9I{~G z4UhXBvw3w9tMJ8;rOk8NSD33VnJTzB#J_Ew*v1gE*mDK9LnRj7zUa61ab)1(Te&+Y zCWS_RjhJ6({=Lyn&Q~{SW>(zH(*5BkI@<!~u0OhU@rqE#o6Qf`gmU}{Zn!*Y?#@dg zl4~#X9yNTuAk^xBh5xUPYtjWC7v5+z9t%43aqY^5O$Rnto-#F;i@p)BCH?2|wrq|4 zGR3nuoBM|tFYS~&c;kG?DTjbBE3~H@adgWRUKe6`d{8S#{*8=$YhsSBhcy2!VNPwm zt31YT$w%YXU0aZ({PXUVM}^0YW+`+eg!R2s;PVxV5Phgv#Qe~!Q?yJeP;I&x*F=e! zK10I?0**6dqVzjB9IK{fM4gxUF0$?2)N_|zCyPB_wEsHiRmsTapWGd{pFg*l9L)T6 z&#%t7&+Mnnlddf~F{O7>;#BwT(^XAFj-Pn7(c@XDMEd1*$ul&zK3L+PY!kjT?2XZu z=3{r)rz!TYy{x=IPRXiITYKATMeZ`$c!9kieE!zGJ9kvbmj7<jodrkQXLWWccAQz% zx#v&dF`cdY7FRVa`7%rGg>)?r?0=Li@-XA_DJQ#Gg-Y`yzjg(7TJSBtJ^8{(6{f$x zms$y1_)c!gUM};Np<`+OrGv3ND_`hZy`6UbsHRk=zmmc(9p{P*0(>elx`(q8q)If; zM?dt~6#U$7JFjAz9<Shfk&lY2<}l@5Tzr4(k?Jn<H$CP`Urt;KHQyW8J<a%IX}Mww zf2EG+(Je+b8TGwK#dXy`W}mcg-TL<WJblrVB`>b){hjOn>cMv{(@cxt`?2RM9#482 zBwMvP<@*E?rYv5!(}8{_Cs!t`9oQW|nc48#4U_wKR43UqO!@mEo#C&%!WF%$H5MD^ zeRApx-DRyEIM;diuQ^ZhWmK1Fd`(|+XyR+XevX~N^3%6G(5p&~IqtvAE7`du|8{-B z-Pw73CWb3@-XG;~5?EHKTH6!(TEFnRgyZtX);E|1d(A3(9!xHg>S<=&dC1sTY32D6 zKe4Fj*|ERO*qj6;Kiq8Ya{jM&Ro88M@0uW+MNjxRW}Omt{?^$bn(30TaMi+%6MFq) z#irbADB!i9z0R+}@mE@7LBqX5{-amLPpp|yDUf;6jH7tNyeCcxXIs_=+oZP!U#UvB zy!~LEazy4kRc5i?SLTr)I#^Gbs0LoC3hI)U3tW6UdG8*TO#9>WR-E3}9xB5duW*xf zdOe?#d+e4e4v$_4hN}xoblH_G6k=@i)@H6~*54o3JZa65&;R%6`%kRWOwHok`Yq@u zcj1>OL7HyXehsm?|B~Xqt7lo9JJFnT*Hb|HgrFU(Y*<zN)a(y&jKY&6HQZJBbUMtY z_ho*Zvd}hs%@#*hwXL!%4LR3YNl28gs@@~2tZw1q+p%*~#gv!FzZ`hZc~SL#&`~*G z{T;3`??aijRRSEZZfkD29uhv~{tX*v{<3R5x`%FM9rsbYxcu|qSyy+@{COa5hUEF@ z+HLy^wB;>kd}LyeD_#|MH@T!QV)l=j?Z4K4c_Z<}FZ{@qGp|3S*qq(KVs~csk;zOl z^Vd(Z__2S2ZN$v)^{OjsUVi-;qP)pr-sBl<-fl~U3MN@Fv+GP-6|2jbVqqQ7kXkFE z6DMt`kpBGAT)vd1lAc?FtS%>J{Ce_E!jStg^S_Ar*2D{c%R*ERXk>nRCt1M1TKVge zyU8!AYrY*9JpG0#D9SEMx_Qny$puV1e)j%de8`k3Z}EbtdrsNc0+eKBU4z6A+ep{f zetqogva$C>&%2uY(#_A*LiSp-tzrAgz4&fVdELL4D(h}IeQ+<mzxI{``xf)ySNb~3 z%58H0sytfJBHZugKP}nx+4gN6<!99PJ8xRLh+XxK_naf89bUJeK6bSK70MJ@adL0| z+uni+8L^8*xBcJ@IHB`#;g(4Yx2jg<&Y9d2rkY@3F@wWzqP8@1{6fL~$y`}e=8OKe zJ2q#hG2aE%N2)*nm#mrNndz5fTk%s=ZGnQ(O52xClG_)Yefs)QSA||`hPK%Q(WHx3 zW&DOBt(6}(O+5KMO?1^IcHg?3b;qil_MM)w)Js7qy!XidH|d?L?+d)qlK5_Dn;CY- zR&iO;-YeSQ>NOs07kRok^I!D;+&_z_{i)&(^>hEP;<A2`&MT`2OCLo&wvyoeTfBNl zp_J~_(>lM_<vf~Ocdq;6F%|<U-I}N0&ekM7Usf!|F#qHHg^8^yGab*Yxi|Ioqd>{H zeX^5Z9NtzVAmMIS^(pOjN$#^*86{DPr@!32rL-+bqPK{lIyh?k25-HR?Ye2Y44=N# zCkH!qWM&CV6nzfe?j7M6DK+(6Om|ew!w|u1r7L>Zz3Mr+;*rg!kV_iB6N=TjkFu?f zIi#4Z6}V^W@l}Vdmb_k>x2hmhM=GjIdgnsli7!&$gr07j5PPuGY0*00J15#sWUuG4 zeHFFpLzqdy=l%6DF-#ggLKVkX3U>#`+MIQNGga1oztFU<>mBn>8Rpz_T^X)0<6gLu z+9_SR^n_F1M_W{XNE*Kvn*Ee}TEoH}0u6khZaO8Z|M#hVv`+T@U0o?oj?3Bd=Ccle z<CULk(Nn$OJ2~k_*iCnjx9z;S?)w|v^yf>>PsnEApE^xw?eVk8rz)SZc1_Fu?d*Q# zOqEvO3n{%*9V;#FPgmCTb5;IzJ!f;TR?rfq<2%bcE2Z6S&x9R1YqwWRb>4Qjpr%~L zA7}ZGhV7rRmFti5_1t~G6O$G$|NB5sqWX0FjDV{Z56wiDb1rwOloI`PG3{IL(g`aT zUbt>3oiQ`Hwe-xiO|5_5*u@HpdrVgGDBT!$l3&s)BG|%;k?ZoCb1kl?CZ`KNJeaqv zvCmDR=e|nZuXRlOI!?Jy;8>|LJ#OWV)V-;TGZ(F$@nTnT2=Bc5bv>H$j_Y<GKY6T@ z-|C30q0IWba{l7_M|3nl8!{y=`gb7ddBmcFCht~uEHycOAmFLI68one&Z!*kAMb2l zDKMX}sk-BQZo;S1zMu&Ue>80uS;67pdc|F#c!R|JIpI+jCSJB48(v(@DxV~1_{v)Q zrAoVuaI|&G+>frC8$}Q7-moFE=N8ALUp+?3QBR(vxh#Gna$1b{`Hr59g1*$Aw#yQ0 zn|Cf!-gGow?pw-{lOn0d{iZ##j=tM?<L@#9A)%F>(__p&`i84Ld$j0J$FVrKc{x0p ztxwgLzLL40XBIA;BDpVf{ZIFsVz!o7biTM(>FPVHl-`Q33ha!T{p;r^!=H1UF1rc* zdiwarh0eOImPfa;9&DJI)YmGsR_&v3zyj7kr}v+FVflwYbnC7+Owyi}|M+H1S{=E* zYae@(%+HgP-R}yEe|YEUd9d%>&-*D&kN)>QX6CzDnepwkeO~X4{<jXR4ym0oNq?|1 z;EzbLWYT@6ke~Lu%SE2r$K(f2{by>+`a)z$zCzZgxY)$gx|YQnua6gOc$?5=|6}&M z;yby@lZ=yBr^<!s$#5D8hu;6aVM^8I$&I=$Lhby~oc4bt&GtWetKX}=YU}=N8OxXK z0p83kA`A=+91MDKsZnbpCwp8DW@Px+&Bnmbz{!wUnv$6xP?Vpnmy%kRR~#C`%D`-? zb0WQGFZ&D`_oC<84Rsz9>Khi^zgiT2uYA_3cXpoT=6rVq*(DOv9GWJ*dzMmh>E7Bi zYrnnpd%w4u{k`qOz2EOuPiWcB;$Xql!v2usy=>?7$hTUnl{Y{8U3OFSe|$(-N?2-` z%hv_F7Dax3R@}ecqx8qk^Y!2Q|G&Q5)&Ku%dj02*yPwv7HLw5w@%{ejfBygf<nRA+ z^Zwtz`uCr5s@>Q9`}6#L-ZS-;-^JzspFY0-$MydI-}viqd<n068Xl)px$e_*`TvKH z|Nr6r=jmrv|LIk0qaIeOzUsa9*7`wtyhi1+E35P3`CsV9=kHx4d)nU1A?tXT)-u`2 z=cn3)t}3hByyVHvOK0}|cYHkS+t;e!_h0Y5eLXbn!S*ZnQhyno*IZ+6^3S&QbkpHI zYqmEXHo4sQ%fQJxjsNlL!&6qyy&ur7dHT)o<x=VVpVh18e_MOcS^KU}!JhjeK|Ag4 zZ9e6npRwOQV26#xJ?|=Qi+kOxPJX&{>sG(Yto=cslF~LW4B7qPAf<f8%A?V&yL~># z^WL1bKX$`u)Bk}duKk(Y6ua=}ZpG-&@-JqTUf<bgdAoFd<Vo(QQ+N5_`ZagYSI0`r zc|nJR*8Tdi>z(tm?)o*i*VOG_<r|w?8akUlVAaHJ_6(QTrn$elzc=L5o_l=9v=*`} z-}uFQ_m6vM?y6V!vx6I#ReH<6$rO!kmAjZ8VqN9D{qygv>s<*h-e1BD7U^fW-|e*z zdKkJwHZIsOB<0U#jmnjaR)wx!)was)pkVeUCttrGr=O{wHFDD1^X*r~%^ktNG#Y=s zUUf0-<O7?nJZ8<opH@9u8&tLW=xWvVAuGEVEn2m1%bH!A9LrZ&Em~>lv{tHfm6=!0 zik#-}aoU-2SC{SEw5_ya`!&~9_cr>;uF~RIdiJ!c>e}e(+t)qeT`DU7z<yOh)~Z`C z6#m8hyuxDlcFT=@akA|8XLGXtiLc7u_59bDl7PjrFTSv6y}30l?7{hv+pmn(uWK*g zwsq>v%}w#aySJ}h%~PfytiCTdHgxu<u2V(1(^qf4u&uV#^xpN|H4)Jt6CZ>v<co_A zUvI80x?=U!v#<QjPDWd8xF448SiWQ7v*uH`ZmsI_3Rx-p+Ia6TkFQ$7e$iWlYwe!# zhg!%_Q)_-ZpXK(-gx|+c{Xgur_qqJOprA>y6}SGqkuThT(pc43s3P+3^o2h+tNMCN z&bulvbN)4dqxhG0m(G75J}ipM-Bb2MIrmqEXMM;+^<U0&3--Ec{9vy#44BNmf7zE! zT6<PsZ@Kog>EZRQ7Z~j?Y<9E!H*X&E%$L&-%+8v#xsC6OIrp-8Gxsn3rnBBf_-|mV z{42Iqw-|2K$op=M{A+jb(&yE`{)VM;g_;KEzRoo@dvWtgs_Dc{VUks*nQ@<j60Tjn zz9n}x+lunZcXU#JY`&v*{I}JCH!*HorFPA^7|@o#xmUybw#R%meb(dQ$2}J0PxfKB zrQy7vDd|PL!x|oDu8!mc#*aokS_+K^SyfJb@MQGl(Ug#D6XTITJnO~OrN3%L-`}p% zw&1qEb~tphL*|E*0b(^pQ`qM-iB^SJKal<VQLxUL-~CzE|K!q{AuQQ(tyb0h*NRWQ z)YWy1CF;4E<gF)WmRUu!nR1I~8_j4xxUBuwon`&I5>5s^-w?NbomkViS1&|Ee}v|S zseVvDsP63aJ9MK<!SxVnp8uMg4}P3)EX~9_lehE#q?;c;gde;oqw_=L$K?mXY{h#P zojTlpaCtx9!E_;c*7=%$DnHCT7QbTQdEHq%RvzD?Bym#ScKr^ilMj8Hc-sWKY}c9z z&6sgFX~vYhk7mrdD>P%$-AOZM-Th>sdzs_-i8E~nR-9=&^5RTeyWvb;`D9~h&z{pc zOAPEbPdwJL;OMQceuKT8eg6-8{n;vahOff8c8k}e?_b@y>R0^vx~tgVca>UbmS)Ae zB`c*~zV;3Hl$6nXOxN~h$o}};z)NQ$S_-3OKfPRK#=A6Q=1q;m+4|FLqGN;q?VH7C zY{J|2viu5TR)O>O#cZpC>J7GBl9ze^lD~2OD}Mg7U)uYhhuo>Ux2i`yqWS#`#r){y z>-+ZyvS&nx2VPz#Wc-!!%d77Fyhprb52Tj<w4Wc{_HpB^&6dswwX0^OPfPj}Ug~E& zn=9t(>jZ0?#eJ)<rTvNZJZbzYxG~%6#V(eAbN?m$`2MT;vHc7F#{Vnqvp#N#Dto2< zb<(^8_n&W<tXrmZGEe<OchkWS`mD{5r?Wag_^%})^gqhL=Fj=2hmY$;dsP0dO<3}w zUcATT-~1XAng6={6-@v04<`J$&)WR>zqSO^|K*YQCcIc7zF^JMg~2C2U9?P5y{MY9 z^nz*1(u-?7?bI6o&r?@we8*~EdiIOP56?d~``<p4|8(2#pW*(wN9><&5B~A|Pnpzz z<=a^sw9cQBRgUOLe|_8OoL7S9jg$x}Gs|hMzKu^4gOglueA!@>^D9EsY;uIGS#X4~ z+2si7u8GNh9IJ%4>*W}&;h!xtzs<Mt{Gnw>o+n;D+_vFma`FwIq~IGqiN!a3lD#$D z8h0wL@OyG?!^sW0IYl}Avze?9Ejw~<!^_0*8(%hB=cs*jz2TGSf5Rs^U&F1lQc*+r zhPavJKAzb!zYi}v(6~Xf&>*MELd2|AhHtiKji_0$g^<~03#qP)hyMtC5&B`3V<f{p zTju!TWd}MpXcijfR9T3c)z0CY?Ky{Yw&on(*_k!MT^Elx349T@5I2)N*Y4ZLof!Ne zX~j|%v9>iLVy$aT#9phoslPaNNVPV7_4>7kVr%Vx2P|D-^W%xY!Q~A+cB*?GT;^VN zl|Qs@Rd@1Ker3^`WfQ`<x9yVMx6Ws7$iH<_uV2-DJKg{K|J(WY$BM18ud2Seylc%0 z&EV_Lj9=vm=4=h?Oe@>WcYfy4W5HclLc^!7=GmB;x7qZIugUe2+5PpMSADPYE;HFt zzv9RIi}E&;Gr4V++oz~K{Pv+qOL>z&V@aI+62Dt{8SVeqJmQt(GFcJ5^vXLsuMm@i zj~m+_g#C8ErD||B*JLSgC_CHRE3e9oRdW-rzm(-}|7m<TMl>#DvA~p)Ut;06_;`OU zW{wNGIGy$TZX>ZfOHNzFU6W^8|AJp~$)nV`fP?AsdMsyO$pqZi`t^U&BF=fHFJ9Qb zeYd!kOR3`3gwB88w|eVU#VWpjF5qbWb=Td1FH5VAA4<IS^4Y2ntL)mXei`L%KOFy( zKXl%z7pDW)^%S*T|H6L!^)F`@&A^>UX8$^T+9saEb^Yh4+|@7sN4W~y?7VPMee3T2 z?WMgz9sl^Zc<cSL51X3zBGB!^o%^e2>3y+SypYXayW-^z=Jo%>K3w8AopQZizvAU_ zsmAN)xxY?4_q1NbO!6M@Y#DpL*-ZADGLH6Qf$l%r4+)lhm6UlX&mH&cVaKOm>VGYi z7O!wta}s0bj+C)U;pDJ2*lGN;QSR}DnO8dZt$K6sqWfvvR8EK1KF#k-mOfm*bgL+j z|I0p+bN*ZJZPyC+U&Ujp!5!N7WA#F-5A(lnEG~WU|3c!^(+A=ef>{4`+ufb1^kMo= zU%4eI2bbwF{olHjYt@Gm^^T;v$v)1lULWdT88oNcH9DuN&6Aqj_~MN2?%1~a)68Nj zc{kr~QYbtvVRPc@rrW7M?pG=_g@7dg3$J3gZhL*u?_t%3&3-eR-ILdTY2))#fAI9} z2lHQxnd^M{tKR(#Hh95layGkF_+v=`*S_^-t4>V$Dk`&op*>4oaQ%q|N$Wp+xc#am zeSRowvf!2WnVMg>YyEq0aq7IFeP!Q2T4z0JYLA$y`L+F)s`=7O`p>w}>G>Aj_IWye zXRchz^qsRdSJy?e-Aq~c>dnmi-!5ven0n>Kg6-?1zc_DJIK^M8yOgWj&bP#-^~LIv zDWN}NdsmlCl+Q5;z5lwbB=(m5?xP`z^KV`XtxfY@(Pg(;HPmwc%}*hk@+OBuCFOHC zLJzS^2Tgr{ux+}oL1?R$(&mY0#5z~GofYX^<srNF{equbr8SqYdkNHC5I<tSHuIXh z{pUlI=J>z68UJAOo|U^YpUX2OIi6doSRu1i%i-^wJg4B;=-1pUode3$ik4~yFU?%X zCO3V3<MHR&4}3~jWj}~%)%h&CX}Q*u1%m6io+LQ0_+@cp!p=`Of<tpRJY;803t)cj zWU!(!J=N@z*5>Q#t7PrYO+8f1wCLU6V&+SX`<MIWJbRgX;j}&PnLkp0)Uy_H%u8as z=I+>Nvo~{@gWO${AO-)NUu7q=C#uiAxzc#u%h{^y-Q+6Re9vXv+Vf=To#68hGcRpf zv2Wg$FYK!MBDTC|&1}sqvc4?LxNt@1?v>wDr{-#B7eCJ~y6lu_=P&-pRN``o=<%7y zmmG}K{!+<5Zz|ifGYstfKFrmt-jyB9Yd#v;e&?U}>{SQ()~yb0%zm~qt6|#8GcRVI zdAcQleNyGTuA9F)a<z*L&xIym()pib`r%)u=el?Ci_&wqeTZMRe)@tB@ykB1dBc3; z%+*!uj{E+^-*eHuzA$~$OpcPETia^it@zy3V04}(%p{O`dVPp=h5jY+zB;uHn>t_R zJv*4oAja;`mi00I>Z=*`9kXrCeM8(o^%pU}w-0w-_fEvOG%9+fwC9RN2Kfe|LeIM6 zO$)EJ=!9u-G#_Vu?KCZbHR0f-RsXaj=4t)snznw`{-&uRN8=BAt-Q59VQJ{g>HIyb z59#-Z{G7S|cN05%w6j=vY1~1fRcEv#f<h}<PY1ik9sCs98@^%Esw3JFnxPLx*KE=H z@TsxWsZ!j==7#vD63gl3Q&!D5Ej>@`>8G~!Ts!wn3`vc-=dn^rFMmlW=k(m&ZubxL zx9BY6+TqlC_{^l<nX1yp-ezgja?a@7oDsRnaC1s>+R0-O3G*zIQoh5cv#dHFO8L$` z+MO7@;+A%bSB<opZ4BRRyLByRRo1ng6<ODM)?{6KlE@ChrdK8RcxLl#Kcsg2?FP*P z^&GEI)ixjg?}wK4|4uaSWKT41ZBI1rbzdl<D%ZZi=fUm`CqLBQcv2x`#%lFysb0EQ z3D;~nt9D=c*h6VTv4_*R?jA~$y8BhvHoNMDU{f5E?O`?N^&3uJw9euB!Z};0_VBXy zy2Q)w|2ND$(y(FXp#vLc9$OI5W5?FMVEzI1#L0;sH=amHnX$IDMtLPwobXC?IpLMO zWS{L-@w|NP=B?XHpG`cpV!_ovd-MACm0o=veLbso`KdeAVk_C=&eY8o<ER(BanL-g z@$dBWZ2rRk{GM_hoSxnIWB!!jujl{m`tx}Hf18Rm0#la!+Q?MmDmcZZA~JKW)|cy% z2i<Oa*~sTy30tIcW5wzt-oH9`{oAx@=dBgj&i#tMo3;1Z3#q+P{%_Kaq?yjAnWcQV zTf221-<QihS++M;Eq=IMbp4)yPd!{)LyaoVEZiPc;q~*{3+I>b^S-*-Mro}4mu~-G zVt-K3r!VdHzmFcDadG2GX;s}*S>Dm+FRn!1efGt@)X6v7D?Q6?^_Arj{wplqn3knU zbMA=J@=&-UqS6>Lc_LTW>eALrtx*XYv8$%GU9#Q!Bw*M2YjR%xt6V!S1!tKq`m!Zz z8pqyRr?pyB&Rywp<Z-Dsy>T~V!74SWf~`Rl9agw@u~Zo@ZhDk;bV12NF)^>{S$`Ln z`0EBwS=VJA<iE<b|59*P?vj$dO>JK{@n?T+-?d3zx_R#9nWsz-F;Bc+n&rSWS9L<e z>Y7Ftr&K<b30mz7njUFAU0AYklf2h-t-T9M<|+j4IyY4#cDe7Ab;cTA*H4NpT&?|^ zWu@^{Q9<T~>)&*nBxt27GKQbz=4|mw_D~3Qa%Mdm`p)yElWOJ^z0hrLFXwsP*0>w~ zQ+la-sG9pr&8e3HtD>Hkt+L_zvZ?=87hB&;{Z^KE&hGeMg(vx$7Vg>NH`OE6^sA$E zt7^Jy5BuR6)9ZphIZt<Iia)w@#T?snt&aodbRU>#A>Ju$DEmm_!kM><7wnHYFN*JJ zZ{KGqXLszl%d8_yB>F{`9jRDic=b`>jM?*#R~Y1M<f+cscdoZMafbNwLx%R}ToWAU zbRStXgRk&S&qsj>iN}f?O^zKt+#|s+Z=7s1X@=<IiHF`u^qV|$v3Zq!OG@06$veqL z;+R|8-V@4=oP~Nk!jEGt)Q<1u@aZm|ctiNIVqdDE9MkD!8<ntQ6%`Spj~^zd^tcNx zPPPe>5PdxHm_@gHqUa6j#|wp&Pj6@sYYZ;b<5~VV#=`9APL4I5#S<gMFDv%_HI!pw zPqI<rJ62I)A@lg*<8Fz5mA+#YOA2(3Dfdp+|GVPJ+db`CX}#Vmjqc}nSRDSFeowdZ z_&MPhzt>!DeAu{~wXZ#oz43S+d*}N(a|2vYZ`ND)?vJ0I;enI3JS9c)&2wxz75(NN zQumv8EMby(+RyJ#euzA)aQ`IJmR6y^y?K?*T|Sw)clen6PfV7Xb#w0AxleXHf3w6v z{P{njyL0Y1m#crjc=%)}>+2_)UkX2&RN2q={(O4%eSZ^`4c7C&vojsm3l_MM$R%|9 zFjH`DjxlT7+GPqGZi=Xc?Z2|;$<m&H^;%h1PaNwwv_WwZM~zsZik+#5?3rI3hc=ik z;*jar5Q=}!oD+JhZRMx*kj)bwXf7<@EI)0}Ou<!AS2u)Id{Z%a%xayw<M*HS)Apn; z=JcsP*eJPdx504>aWRRP9X_$|&);5oz?pq&#gheca@H&Q-^-YEp6i<t`tZ?$RT+xy zi}$Viv^@0vUz5f_y)y>04yFFwyF6Iks6BM1(^oC)pF$B27VvPNT(&tR^@QFTgOjb6 z-*#;{VCSA9(=8K{(9`U7raXZEu*{X?1?;{_EzQdet~rK!{r<!6b<ZH9^!2P=zfN7t zeQob_|M`8*%DocXF8xnfe*Sa*^gUu}S(d@!^ZrTwQfR!wXnN~w%{xUOvCxpIuk3{^ zeO~dn&p&TlYV?JrYL5d`+dPv87VhS%1@qX1#4{c|RFc#^&KD$p!|&CqPl~1d*`9Zg ze_t}s<+`|rZEN0=d2Zj6_WI0k^*;KR{lDAGs*esCwvCoYo7XZPu8}IxS<raENy>v~ zVPpHf6n%@l33~;0c)k+a?s4~UZqRqbnKpSs#-6sVkxS+sdn0~ND>L&|?$wu>vky3M zCrY?X*px8;pxB`go)<r6dwrEx=B?|ybk%TPH($j9^$l~_cur>=*f@oa$vZ<~;|w-A zv56OpcvMOo8JFl?oPWjki*l*FvWIPF=#qJdyn_6bT{p%pJAcS2D1AndjX;$DgWc(# z2R_*HTFkrPut2$%r_=h2oLtp}i>oZZ>n!DtQ7_%PWzw$pbt%8?c1@@f`hK!3XovT! z%sbU)R^C}(?nkctdHJ*DEQ2pf9+4aT^SKjWe7^Hq_3UAlVrN@f+3TmwUd@ZV$<`gG z!}O|e<$+1!@!F0OEavx(FXpX~&iDOo_LXzaR)MzU^KS1>Sx!3e^tn#Q!`m6+n>!v) zdg{S+KdR$MD)+~NqfRw88(k(G$>a7+eDK-C^Rd^LBP$-RUwd@vbEV9H+^5w~r!GBM ze{s1=ks)i5<gaNiL7r>bBLgP9{-WJE=Q59;^Z!MEU%i?dI_u|whDCpw_VO^V{Ht}J z``hXo{^k{bweD*lelY2T>6gURmAfi9rzUbNEaFiOX-vFW_;bUiH-}HLv`w};%n|fl z!t)i=VvpEG!YivQ1omEJ-PPZ|WZsd*FLZ;SuDBT~vUk&_mWMtitDbl;_2+gxoVe7s z@3NRu!6_#dzo?FOVX;S#ceJV$o^uNNanI?}4?U~yD^W|+E*^e<NPNYI<{;(hzE}H2 z4YW>ir2Tl;dWTt>OZ~#$BS$5xEG*sq)x}>teIhj9VrTo#V_$j-`rgOx6|Z=7NJuue zw|d9>TescQuix`5DSRlhYgd8PU6~!VOrK*SLb>_^ul9R|TUZ|UQhy%t^a<1IxiLw- z+BKo)cWhXd6%&y(k-IwL%^|yKI|_t~V=P)z_2wKa;!2m7Ua`~WXshJ0fW@<pbNZAP zwPpI9GrW6}HO*q@5g+yM2B)vu_HESDF*w(%dTjTGc22!F$&0wv4NtZP&v<hvv!^V< zbb9)3gTtj+tmhxIm|0mK&QpK><IqXgZx%a`#AQuAQ`qOLr?cT^tLnBL8`{_Ey-A+U zt-kSYYw(SShce&PD9*W?B~x```}dt6ocG!Ayy~$HT(;j!bM1;XS;1Yk`ZppZ_w~pb zoX)y+efp#BjA;T*ygcFaH@%B)i~Ux6`pUlR^SX{rsn&?>{p<SiSw!_3wTQ?MM>a`y zuU%t1P2&7Ak<}WjS6!cZLE&-dOtxPqXGfV&7kewn(3a|E6ve=~X1hR}zi!K8V{XA8 zcbrtrB0Ab*OD@Qq&Q#dY#3rPj`5;l0w?=1?qfmCnghNqMOE&hgWX0}wU~cPvGULV8 zH_KWL?rak1yDg?vaNJ4dT~tSVb;*TQM#~$u&SWllRV1}y(;POtb(a)6vrRl6+VEc5 z5y*3@X=l+KHXiow<0=fCb2bRHO%^*;c*=>zFS_H1B=^UHX?(LD3-Ge=U3Pe+EmdLR z#4PWgIpN546OV@yyq6-fY<y2JnuhOF{2oyJRK`-RYTcL0iE2E~x-H2wS~+;O3v>#K zJxW~iu}0V5;@4$|1^T=yzb+m)%pmnc!lPM*?V>{KK@*S1lf@68mtYd8F<y{%T0=TX zBG>U%PT5AqHh0ydD|Cw295-9Cs)GAcy;-s|kAK%Xv%gnjWLK77>@{KF+1uk@!#csi zojY+yy3GX*fj-@1kB>72M$T{b$k`;oFWq~5PvxPDli&3^@Jo4=EN^VjGx>0FD%+}4 z%N@DqW=?QZ7g}Mwv+>OWtN!_ulNViYm@0N?!y%`TszgPm(>g9mD_bifoY>b+QkCMU z@nY`$YVu)2ADdS9!p2ic850g=NiEs9N@5CYajGGJ*gPX-~21Vx_Tx-H48TRGlr z76`43>}a<wxgZmN+2Mf(uZo&feEQSIUGbNyfBfiT^ORZU$km=P;h3Y)ik+WWc|*2( z_jS(Geyr1yIHk3qXNy3ipqP^36(<{?WzElSxH31+HJNb3k(vK?=7Ow5DV2|^yg@Tu znoW*L$5*i`{0Q?uJ5AE;h{5HiD>vt)I`eGi44GzmO-4FtM!Msxh|jqli3c}NT(o7= zo;|slxuJjEId!%ewY>~GW_b1#%d)7MM?|zg8{9cn)3a@p$}t=1e@%{?A4DF#@q%^s z-+x=AWEZcDpSHz)c~a1_{ZVV((z!GH+mC&Z?PBqFR<n_={1I^V*?FzguZvCVuZw%e zOh0;ek^AZTbZf&~tN)a5D_$J#@X-3U;j!($ic2opN<WeiJ=^dp{<+=;fyR?!N`@z$ zY<M=8oIK8Q+Rn3Q`vj3=kseaXz50uo79Fp8Qv7g#<>jJ>ukU1))L-<wz1ZF4`?;Fi z(Y^CbGWz56-Q=vL?lXrccTC=Xe8wVe<<G8#rfJb0)n_UVzx$gPsr->G+FB=h`p%-m zZLznK;{qSgd_Qxw;c2H^$&6Ri{%OC+(kc1$Ey8DO%+GmN&uX|c`)6J(Jb!k@zKhEz ze=Yrb>&o2uf_FVP7TMlhcDW%j%A`TZBVkRe!Iy0UeTy$PsX1FLyL4Q9h7)t=Ns|u- zoose$mlQfVO*|59crQtaujLob2#x&}7b-1dxUcQJ!rycA@;&E1)DC)X;q!{A-6Qsq z!ourYvRavsOt}7H`$eAqXRVS440_mPoHG>+TG)i<OVm3|V$7PFCG4_o|B@d@E7z`A z>HWZ)JMo6N?zCc+MqRTb8*a8)m__m(-FV%J<y%C@5pl6ciQ8L$L}V*^zS%NCWLu<% zRJ3=O`=7(N-gM-vl>Oci*8hWzJ8-MEVHu<6gI&CaF`E_pR!?(Y*>%||<YcDes%KjS zLQA4L`eVf=9f{@ke7LN2N#SCZqRoFdYzk4`9<DL-p^@t9O?{JC^#nJ0HR=Z$9cJ}< zX5oL5>As72+k^M@Ay3jX4-~Yq$vj@{?_%WeKw@wEkwU2o3rA*o^~?!JG=)|KTKO`! z6`MTR;mX{2+hoEHXJ-EI84n)Y@lL7`*n8+xeYvUqtQSxJzsrg<S+4u%?$r?Kq!%g9 zGTRqRX8rTu^en1LU`Dc{&}Q8uhjq9=8eVpqG$T<_(`WkxlVz^P56{d`xm#uS{r&D$ zQQO}y_+NVS`_KB1Qv)TonHY=qofULV2zHrx<AzST^f`^UuWw)97Wg*4zUz`?-UO@T zzCq$UTwe)opPv<YNoT$B-KF08t}1Q3XO)DG_lhe|nzc1hzjO9a9}VrNze=BL3K`s4 z%6QyXisR1m#v`Je^MA>Ij5YYZ?7!%JTjQ+1`Csy8)?WU<>3!Z6Z{E2#&8NM;?QFb2 zCZWQGx$n4%z>hvQq5HFIAHUl0{OrEM(gW6MS7Kyem4yUdcMA!6J^7~jy!W?-LF!-p zFyXYYy6CXLm{(<&P3{rpD~ac4)e66AFh9HE>+ZCDCg*1du<sQ7I%!AtH{U0}uU+NQ zV3+WlIrki6AG3*og$Hw|^Rvp!_Rb|Y-^>nS-;?L2`}Jx5H{VswG2K<3cg%mQeXh06 zVVlCds&4_~aX~2#nWc?KuBY9%DZP=G)-ms2_mmY!J|1{8dpX}H11(P*qu<Y-{w_NM zvZL>E!($d+7QaglN&9b_$H(iXx!2U*JG0{J>%M*6UQFSOtXI9v`F8gF_qSUl1Nc@f z>0*;f&s-p6F6A-D`1iCc*Oy2p1<8K6z2eBnBX6REWS<0G@m}=U`&i-RpZn&TGoNCd zI^j~oV<p}ziJ1x?*WTPd#VRM=_{bEi2S-;dnWkGDw(N@IIrqIPR<moppZ+ep338F# zg@)ugJAXZCI8Y(w;bU<9<QA(OcjF^ltRC!KapdCBH_=h?GJ*P?>(cID`nk{7g!vR> zo2SWx89vO7nkExw{3@|<zO?<FwcE0#@9*4I;&T7qp>#ock;fcUqqT=(t8ZPNa?W7x z70a{<yADJIO`nlEM|Yj^+V?%550ow|J!ZillODBv@uUMQS1j3;x<+Bogv_&MZ5I3z z&n`GDePbdp!=1VFXWIQQFRL=X`OaTIIqk}?mfF-c3NiCH3J2<UDyQA&dY;oL8Srq4 z?h?l1N>Us;%NmaeZO*s4yet2kZ+g`6vnxuMm0o!>``ngqE_<)|UVam;cv&iiE#$<O zU6&gcv-7f4U2<47{igZc%)6$)ml?0MOuJ%r*(wdJck!enoSXAoeO7Om3{brM%9*)u zpNYT=cjnH2Y4=N(eLVm&)o)hdYoFI4Awn~9`x?$|k&|4lte+Cae5QeG<~0YYf|A9J z2NI+_YLY+(E(bZr^lnd7dQ|$NIHusmaYFuQ_K6y;RZMee^~u)YwP?HOkYK^9;x?o9 z^2}?A##wVSea#kMn|aMVP`}YLP`}gfY|mpcqqP&k>P2`h+%7vL@bIdn%>t>n1gT$Z z08;;R#q<L`E2eAB0UK}Uc4;CzkN>Cq8>S4$zncVzYVxYcy`JBo!7w*77OcJsthLvR zY4zesF6AIUzn%#4bCm~kXx;^f2i@r&3r=Z)gEa%>lh0>B!K(R6b%V_-)sMZF_qk?X zvo*ftw5)VG+p0aw8y(C)3u&Z8-JQ>s?(yqe*|`%lzubL$Timzxagj@4sIN<4xu5c7 ztvffXB+f75GT%0D$+?T&S6F{d+U1h3{9N4X@~*5o><f+8x*n?(u)4fU?5j|O#MenX zGUn(8p4eg~<vfR-CpL3|P@xn@$+E_%eP{N)^vP~13>UV#JS*RAt?}CY#c@pDi{pe& z_e833&AjGkyufMMS4&<CyTy$MmYYn7$p7XW-<5u5#n-JCDq4j#LQ`3;&8)pV{juoh zJ)-N5m!>(iau@0HT3ox}pc`W{Ap-1WTTtX}y#$Ip=EZSL#f#&#CK{iQR=gaQ2}(_2 z7aEc+d0D1ibVynWN=;u)LGhpi3fHflRh(yfsyum2eutgdGHnK<sL|S^CIL6?d0E0P zIy~|Q1?#I+kh?q6t{lw1yD09}q5djQ9wU(QZ!<y4_nJJo;mlkbzPRy79!R-V9!R+< zD6K|`P7V1fGF7E*X03Kg)NXLXW0NX)v!L-nnUqJ4F(^@k)9cm)Ah#c06vs5*b+1a> zY>@Kkv!HY;CRLELtnq-Flt+#UNclmKxwFzhR@4RRH);mzPdzd7b&BHUS&1O89=q6( ztiv19=EK~15tI>3uYe2|1}6#qSE>byua@d@f8HZ%v^Ef&PE~j<+%7nTnwd;^k)9Iu zORV7Pi7j7b6C7L~N{9rq$Vtzf7WZ$v^vtltuku+b9<4{~IaaQg)?B&TY08zWpHk=O zt~6dNdu)OC+)Q2LOIj<9*NO&uCgg@p+L0F1xoJWsuSuH)|CJ9H8y4I1vb0@vNNNNH zf2{dB!<EKsnL$3eB|nwro2zQ4bQ&m`)_@X&X+N7xai)SnJDbqvv-@89yj}*<^cEav z^Hwa$(+~7~H0|bgr)8$6c~(rwJiCPPc!d;)&+^72CY$rCX5BUWz3ll-E8{HNi`(2) z8*>9a7qxF}I&mmwb{1R6jGW+%1BNr%m?mc^7+wUG5pJ_S`=&?D1_jVo<5#L13|}o3 ziw9@2zQE9DjaodtU6%Y7Viz1lLrf+_eCZMFKey%B%)r+^+0Bw$&uy{m_j=VQJ5}Wv zILf-gQ6?l+ptH2`)Pzihjdws+90Qja;A~tgHI=2zwS0<1_P4bYGS?a~Yo$bKXD$e` zmf|?Gyzz(>IQ=BHtuS7DH?Z?@_X?E{l_8UEKopyT6u-_muyG!nmf`ZoBkAW`-fyYe z#cTSn$o>4bv&Zg6_;1q{bWO;1nJAHTXCt@q+t2A5v9aHmoZC3@O6Vt#S3<LU<z9Mz z>%6FJd%R5Q;w#N<+KV{e^l1n+_sWSryPl#v>ChJ`zgJr8l}Z~YF3~eQ^<v_@sNX$u zFK3os=m_&)<1FZ!;O-K*b^gW0ht^2tx&+M#N%n|MJ-9^Apzq4npwurO{ww~v^?#{} zN_APi{BmPQ|AB)YN`)t01SabF8YpS{EbUx!&am@JsFCX{q2M05mrYCi1!u1d50kdN z^z_uJ4y6K%P1B=l<9OsZ2CVimRnnZIb=hNXveioNGYX}hGu`@2W?s77;Tx_Q?=I5! z)UCgyca!NO<&9Tf1TJ)%nd%ax5s)_7>bOjh_zdq?LZ9cI{L8xIT66iml>70aa}Q)$ zr&#>-c^A(hdYDDeO5?C9`%{aD3z(+MhCJ$OuG*3HUf{T<wTMM-yHNU@3x^}EzkKYK zRVzHl7i^K=o*A>@&^OMQ&CYGFuYJ7ye?{5sW}}?)fIeA1tqqqQRm*NVKiiSm&Sd^J zAXKO9#lvl~zjh?Id*0c4SY+Rp!zSCr4!@CJaV6(YZaa_gJT0mHHa-suKE8OSc{rT! zRN)av);_BhN3Qd|dN^aR^QvcCoE!I+X>2^tXPdLpS^oE1gHGnM8HXILeI8E`U3IhK z4xeOI^l|_5$DI9dYaUKyfBNH;W9Yo+3xwR~Z);ogsoGlPMsmB>^X<<3zu#OqKD}(l zkveOihYhmJ3O~HOV}FDvA-AEpd(Eyo_x(N}?qpuqKV4z@ou7AA_Py0w*X?h|Tn|_# zKYebVmvCFK*rCEpPAq;A9Y;Pc+gZO`Q0?IT-}0Lkryjf^aQwP|^n@tRoN7;*g%j&` zl=b8V*r!<MIlp?f)pP~h;ce58cuKu|p0N7`+t<$<oXw6DUT9<SyV<8&*l^F=e$%ad zXCCgHj>jgoI)61@d*6=Bnz>}6UitT10*B?KgN*ODU8zcP)+%2#ab4j0TLOnorGs8J z@N(YSq}Vyz<WlR?Qt6}}NzO9qFD5U_K6iTCUX^`IC$3}DzbSCoRr=A61ZSq_xgL*X zdP_LVr@foL?&-dbihcPxyj(STx4j?f?47=DH{Wf6!!pv3BHZM?r>AVrsrJlKxE{W@ zYV8(*Hc_!d8&5c~Jd5l&Qe(8^!TQeQw*(G5Ne8KFS_&MDm(<_(R&`^GTb0VRTLOoT zq=OP~OgO-0<zy?Row?-t$Iz1ID%tp4XPN9AkE>_RR5tdxRmsNZJM+xHC2&05Y>8SY zujiVoS-Y~nB;=^9SGN_Lt<#dcxs@Ylqd@0cm5YxzoOKFWo1wVMZ;L>va#Y8Ws7WuP zZ!&NvN+c`FEY@{Nn9}OeqtdyryLW>?+e5KKhG(2u+M+s+2uyk*eM4RBkiiWn3%1CP z0}k91U-%2vzL!c@<aw;ylHAnF;j=}c(^KW*_O&O)4jCMEvRD??alnLo;tW5b+T*hl z6?u$xTaryJw|{+`wJlY?bG2{LlHAw)P3LEIt>XW(#(q{kpNyK8ZT__C;NZCM<NsDg zZkfC5)T>jUs@hC{uJAdu@tPCMwaAVmziQU5{I~x7?}b|0jm$se({+~doc?U@;&FlH z*pv8|z6ouNdS@=)Z)N>usT4fZ?VmVv_sRo7?4jEu*}rbM;aKZad_iTIRR&kJj7jgt zCk0RHPj6b!ZTw|n!NchW>N^b%yJW4|JhhBTSSDnxLCWRGRnyYN(_TD1B(r=4w?R9r zS&;IqqcZ+7Aqyri4i}P@y>eLA{j^<~RfbT!bq1HSj7hKN&-g=UE?)5d^!s@|=jDcj z*$;m`t$$~9`jh^&<5EmhS>N{^-}q-ecUs^Ft2Zp?CRiQ5`DeB}PwZXA%mW6K*kl%G zC>YFQ6Kc+UkZ2^icGXOe*s1+X)``^xeK)ZAf7v(j?s1+=_MJ1A%sZqL<eyvy9tc(p zO1}|c0~!c6mRd1qCY#Lb%msPoCKD_infZ^O%&-ibxa&Yx(DWN_ujIB(+SRYSWZsdq zApb<+mCHX?{_8zkY5UuIhPF=2L+++2tiRuE6WA&uE*rl;$h`6Q&v<d3*F52$^M4zC zVcB-MAyJywV%sH$1Y=$mvx^4~XBkEH?Ovi+F#C#X-o#z)vzNSk%(ha!aPF003x`*k zZ#1r*{t|h^;T6w(asHNT!aus$c-S)!Y@E!-^gC1Gqbje>8|B4Me@wZ;dTsKqRYyGU z9*zq7zH#D}t84qO1Y3B$%9J^|-&13KdOc^c!^J-*{|Y_(_{VxO*Akr@&!@Dmu$)pq zIeS`4-iqs=^52|2c{Bddor(3?A#zh#_qXh{{qJ{8ha+#F`C|5S`$NC(X*HO0dVi>? zlTby5GY`Mc+m*BDwsOqbBCvH@bVqx)*rUbKU#2{bpL^@3Q&2@trf#qMMd8VnrCHzq zbn_G!y_jy$?;?KTjCR1sP?M=9_X@h0FG`1hGhH?#dP{ulkLd=_Mc#_PNR<#fo6~!} zCn@8fx)x7NTcy6sx`hv>8$1`%I=uCHcW9q>%c^t5B{5Cmb5#pwU6I_UTH5z_iQWg* zmEJt6rTnprGJ_ZV-r@gC{iA}_)6e-S)*^d1eacU<o>RX)szs&XV4K9b=v$8?k`<Ze zM|K<$<NjE1)~V*sMgdbUu}O!_#U>p)`X~GPCztb!l7HS`xk|UjdbY0nYT<}qo0yHy zoT#_-e#o-S%JQ&@`tup@o-ifPiAh?fU4wfJc$G^@!r#0K@w6FlB|`tI3of2BXW{C} z#U;f>jqm*QE*=)OJ1!$GHskdnq0ccl4%di(+jyXLa>R>6nmR=fO~isNen&W*y*f|t z%!O{Lt2TZK6DAw;loj>)&xuLsn7lf=MZ2c&o}SKy<E^T{c5P^{(|facKezhDqpiUb z4-RGWlq8sjx9>DKTyT8FtDV1Mjm6V{+&gJG&tj*8`}2>gRyz+pmw0%HNjWxR(Pr-I z9rw>P*4h+2RFT_Nz||jP(R=)CQU3>{dX_a$@BEr`VENDEACFFXxOsW8uW-oKFM4M- z9BvIweR+s!cTB{i4({rTM=!*W2eFIZc(6c7TK39eHTJI?Z#quC@o0f&PU!`cZ8ulW z+9mtBJ|e<L(#kej*2}hV|MvbZ^S0N<R2P3KO>C^w)46zG(84{PUG&AH1wyg1SDek+ zzh1oSIQhlH1)5(<E|^panrwGaH#p!Z$tL7Hb2(>>ieIvvaZFKSUw&-FgH7DtF@=fz z;ho*)?UrnoRk{W@998WK1Nfa~t{e|w_kFZX`I*Q$>kKLJHzEHI?rS!R+0MUo-WvXx z84nk77uysiHXhg0`8Zd(pii1ltKgiYYM$ka_S<}`y29CgAFXR%R&d_&@{a#scB{Uh za&_PT%WdCZS(|KGxpM9Q%-qz~pI&_z=DlhvA9gM2?&+}hZ@IU%+EdNgr{yN@y{mZn z(5LCm`8PN5J$o2FQD^i0tfr@YyV_D_{=Fu}c=hU*{%@77AVtf~+V4CJza?v=Tl1~x zgM+7K$kvtl8Np{yY2EjJ@Zt19VUgh66~Vbl+8db<hach7*?ib6iM>zx2%pX7!)3+o zw|qQ)pOrPrN^95ekd^2Yv`%rp<@@HqN7IeYW=rNC;bU^|lyymKmp#T;dUeevUstBt zy|SCK(%PMm@bS#<klj>k;qm6c#>N|px7(SI@G(hu%5JLtap}%NGvP~O#qB+_ZYJt) zWIq1<2;a>K-vq5!q)fe`D1B+(^mvWRU2hg|<6N`$Y>wfX6M2O?XYx4LoXhiEb0)7c zV*1@r8|`*aJ(9lltk=BoXx^n)zjFGl){Nj;>?C&l#ROFYwG_`D-blG)tvd3Lox_BL zvjRRX+IA}I)^^SHJ_)ta&Iu98Tr%3ejtPC59ytcA{JVV)9#;$YNJ_pvO?ACUOPkrR z_3ZpxwS`!}iFey=6Y2I`llwjC<mRZ5lUF}&+nL+8@@H<}$)CB6nm=<pGk@mtU;cdC zF73(o$un+#`|e%0de7Br-ltP@UukDw-S}F1OJwNffT*~*$nfhQ4pzUPdVNdYYB9?@ z6+g|r?)6%1U%&cnW!RK!(^pCV+3QwecqhL_{CKll1?Qd2mgz^HPOsnl#63^H@AXGN zrt6R9s;oO^UAaT@{gcOGdxC|wKb{-3L+JgKW3hjiD7*;?kGs`oI%WE{P)RpcKeO=f z_mW})qyO1eZU5nTQu^qcZJX9@lB<oH@b=oa@UU3hU)ut>Lk!ogUgKuJ>*lWcVOv+Z z*H`VY5<SPjy=uiF2kBJ@MY*$L+Z}a7wuScG+8(ML-gz*Dy|V5>)m8<zzI3)cj;qe| zWOpnq*}bz-+VSY==EmwPb8^g#3u0gX{c@mmvw~@XZSD#-=D4L3rFO_&Iq>n$fzstx z8lRZ2w@O(|l-zOd%7M-|2TB*+KFb^9zDiq3Vuv+Yr1t)tJO2E4%Egwjz1zrpHNnKR zKuKap``OM$;hl}u7v{WJaEAX*ZPuz&XAaz5T&1y@xqNz_xp{&AighOv9vlmJ?wrP+ zXJY!mNMc8z*b=t9CA?P?SWF9yBz8Q!BC1}@Y_3z}nd<IS|7coo=>GoWx^+RH4jW{P z?hBokJzZ9}Zu67lWz)mnzYH~NeA`=?9C82AcCR|2*V7Ix@BNhgV^?6EOuXqS|AhF( ze^mI)Pn|!!(Cz0>iyIpIRrpL!>9_vv{p6h-o$M!l{Ms>-<H9p8YuLBl?EUn3##Igb z#+kjJCeOI2VLvs_?9}<=H{5=z8g31&)AY%l5-&Aby7(5$Hj`zR6AvtL`)Rl)>rA4m znfbA^hnF4O@$<$FiR~(8CdYJJ4bMtHoOUc-_sp3~C9AW7L*v6*r+)M^eerYE>6N9K zv3u*<E<d{NRd@AWNbtf{2P!w(@tjVowU}mAdu!Wz4gJGH%l&hYwr@?1xbx_^s{Mvv zFK$Qnhu80Za(#{5LZ_U-?(5#O+GW2kUd~dsO(lijKTb78URLwHidA3{*IZ4@UOnCV zkWXbE>onGL1$DH&n)T_swzh%Fq_ihH|JgpbT<?}J`%>FB)2&|*t}Uze=3cWRtJyz# zR{3|PD~C5<a?95C*8ULbZM^g3WuHl(D!o64gl)@;50?z_JUH!^M?&rz{=>7TJuCJ3 zp<<wW&-3c9kA5<bAJ1h`J7VqG(_Q|_K=a<o<4-D<tEe5bUTR={PqWu@V|r_HX^!)& zbvEYrIuqme2p|7bq29>)(NBo`@mw37uJTVCE$(S{s($p-)afnvN{)OJDHtJod%~`l zdi~`|5rWkwouwP?<dz-z^<#$U>&ZvXM9ddSJMwFZ;ohZ@6<b#6=EmL(h>W`z5EmU6 z866$mU3oTF@6~_F={wJ!mG;@_9UD6P`zmci^&kc@ndqsjzUFV^HrC0@yC>o^=YX4f z`i%!qWaeA%Jdp0E_i^Rq>WKGG?5<f>3T4l=Y4!A*cZ}ouhoUznZ`Vy*|IqK8!I_hm zZB{!EsQc*|-Z*K?Q&j4o(9Z3hQ=Z6wJLbmmFmb=6Ztmq9Znj>IxP0f#2d*9O7MrDp ze&zhq@i9R{;PJtW_Q#wT#P_tj+<*3LQgTJem1|qVeiqM<kZ-$p_N?j551t8LdxQ@^ zsZj5mxKXk2OV?My7m}})4GpdxKYS)aUfwvVcG3*F*OL!ziI{J)%*_1trKI`%UaMDY z8C<Md9Z)BK?Mj%6f#SK=#F{zW$9GtWH*PG{6Y_o(W7E@B{LygN&uCR$XT8v;OLK)C zR~njsk}&jswJId>`>WZi>%|tXV%c@EVd3l5R}#P2tJhv=Qseoq+wyo`E61I!0-e@k zj~;Go{qf_R(<F(!m;PLbbyRv6etA$(vameRe66C`p%0y{1w7jX8Xd(JE!)~!@gh&r z?pL&j&|e*u)_r1=+~lhYSgbDZUU6mn_Ev*0i=-#NaAD?`&3y3KlUqpTqb+Yxg-f%E z+@u9+jkgsT5Az1tUiq)^#l$lA>wXT~WJQ^DU6-eNPfFMQ4}4)ht=4AFb^&>D9hJ^* zu}Ozixji3;6c-g(yt~xAIPGleE<@AobrBrLL%9Waq$vtr*FADrk^AGv)>f5`$DM+1 zWGiOAS<LSKfX~(<>spPc`LeXLjJpgw-<f>)pvzlxX1V@X6|oBnt*s^=$>mSFm-C#k zv6<t(hCydX<yrN-IGy6pQ4T((%kty6GY@R&u<6-X*}FxcEl})`;V~x`Kf%iZ!krtZ z)!OuI7Lb3etJ29SHtCQHw`a1Et%XeY%h)R>bCMK!f^}PxXSH(pY!~R16kB8z$o<jq zw9}*=4)a+|dCy0CNImc9p8j(7^_*V@SyFY*=F65njNE0|x%7+XhYdY!cGE5^bc&jI zB&zaWn&HA!`q|2H4WrNfJ$=I5y=<IswhOe~6+2XT+leJFvg3#^_s4?6PBn8jPf(dQ zIo;>}o^MeeQq?DlSLVeXe{pz4<Y(5qGiI6Y)@eyz-pWyvrzmt>=g8qg?vI66oF-W$ zDQebaDrTNp%I^LkyQ<*InwcJ;&|=wT*tz)2*Ow1Dcx!50n^pKOE40R%csx#clJ9Gz zQ2g0SvUf*m^<U#ha@X9K&tjX$<gW2R`-3R!Brci0b@ozAq^{IhB`7leUU}?`_h-I~ z2M#-~TD!&WR2;Y94QJD3@jc&Fd2Mo3Z(Xt%JG1e$6HA#;FYA8cKdihqZ<gIk`lWGO z$0b4j>Wn(pSA0?xH~h99{Tiqj*>S*imEqr{^QTP$UP@k_cESDUF(;P3px&4J&+T<! z?)+@>p`g#I<4U`IrXrL2ieq1_ZO>nDcx1wBGiMRsqnC%*Zx(3W8j}2L>)hiR4<2Sq zEh(60)v=y`|1l?)u)yAz<z;!x8ryYEJQ7VyCoHl1lP;$8LG$X2y2R&hQWZBGnnmoE z^DUYt-o~h#dC2gBQ%G%=qEK_R5aWxZS;C?-<JMGNs9s_)#mXb9{m+b7J{$JgZW3s0 z7gPG!(B-qf#(BkwFQ?2q*feY0WnBKRQa>!q9oRXgb%je8ht7|c=YN}gDClR?j9JKc zs3hUKj!WnRF{Og(Ry}d?`Ij7?mYaAyv?>)?$NbuPPMxnsRdk1g{-Yx-_M2|bXOsJN zQK5C;6~`97HM?62N;V5LuDg=>CF$;DHo0$?6<XiN*KU6@SAb*x39}!aT~`aN40IZQ z9`(NBnB?2zE+zieUT<@CfljHr;)XMC6*k^^`yjFP_QB&`+nt40$MF5ipZaZ=tc2fA zH?0{7&y#Ib^o~|6`PiGi<*W2V|6Pwmz87w9K2o^7x&3k;yL|c`KF>L&mM_fL=a*X> zyea4n*^njE@$rF$#AC$*jbqM_3VPbLc#~`{Sv<E|{%h_h>${5;H=KT}u<`cW2M@Wo zH}~7-wX1yFDf4CboAzC@64UlSl31B=aIW;@gNEwIoD<4=+K;S};O93^wz*{S)N1*! z`!{u~kG@pcaOJJS#v^YZByPNY@VL@;XCdxbzF*fFpY4{FDBI=cWvQ~k=vec^&l3Do zEsj^LV6i&poK)M>-d<`bmpP|&Zt36C9M*Rpt8TdaR$=4Ww+p%ow>S6e=C!Ms?UwnX zZ`4y{ZSbYMcgphfRo^A}+uHSa+gj{8wng!R>M`d<#XarqejsDY=a&A>7WsSUvFe5E zZxt>cfBRtJ_S*;D^|w0<*~aqy3OA73y=!GX&*O=&dw%{}(_h%Tbp82XFC_T;7!Bo^ zl#^{#+>TUKNXR{&c+B&A!3wDZwhNdh-{ljUe1}g?ZI^6~Pl2_H;k~yL4!xM>*KXpr zQ|3$lbe=L<r~fS{6|P69e=WUyb*i)K*V4<X$C?)x_q1!JB|n<*LgI2;ySicSl8<X& z@4C4Ew$TOKI40>kd_sF;XHKiLxN`dKgT>2lD|X7|wTtZ9Eqh7g<*vLh`sZv)N`wD@ zIKzBBV)>U+<4dLcn$qKxlWZ*7j#qH-bbkyuUEb5aYGu-|Nyj*^moBc)eZH@H56ioq zvMN?P&&{lJGC%kBLGqg0ik*ge>|5{cki8`FZdcxy_bhYEON0N%T(G<zvHWXkvFfqr zhgm)B$G1xGH@-HM6EaV-vANUp(c|$BkW(jq>8;+wa&DKb%DEk~HZcX(KX$w*^LRAr zwqk4kUB1kof*A9I{EYXCwg~)@d60WNBD<Z1?`Va=o34)!erO$Ye&Es5e&nJAKY#r3 ziY0{y3-7YnH&z_Cjbm!R%O|w^E}z`K0_z_)9+!C}t-r0<TASByvaiIFW&eTKWeFDV zq_UT-pWWE^yZKvyWl#_M@dpz8js8hCDs7+$0<ED{y<WO_e!#qf{^<uiw>KZr+}_-N zI*(nxR<>Mn-TZ)W#nwwM+<!aa7{m1Dx??|2h%Tu+_VY&R-GbV?J-r_jB*Y&d{5W@U z)@3=S`^PIhY&t)FxL~N(XX3Yeo%COkFV^2HR$AQU6S{k6`H{mB*KM8bS^plX?NDjk zAsZy|s>~yK#%V`;_qPwr5+a^TWiMPm`=y~Ak8zTX#j~Ro96p^NCqxK5ewgUm<1UpR zbG^6j(ym*!aZK5F_=K|W^2xRBTqpf^N$KL<ttw_aWrJpb%)6!iY=08B^^2lc4U>!C zlovIgEGX>M^m~^)YqI*rYX?iC>aU!%Otaj1phA86jCW7umRarWZ|?heS@MnBpSY9x zZ56Lqb+P4&?VaOvc3)}lx2F+zGU6M*_I{iCW6Q;Ta%pC_t{-o4`>kfU@!~$sGx=Nc zrMRVDpAN0Mo)O=c+xzY5k6jn{F~ytS3QwwETx(l%Gb3I;TI%iWBiG!1uR65$;=at7 zyfy1R9waTUmDD>>vpQvC{)<$#=Sq<+WoGwSKJlKpUYXH;X8!c7sz0HhpZ3psRc}4t zHlUzYZG+^;IG)*3507qKC>X~y-~5pGBjXwW-%S1RC_?1D$_9gbo=I_gCb#eW=x6ur zh_$Mr^*zm2$&Y@SK3#vb=WknUzhaeUwubKmmnZy7i(4K>&kEUg@aYM8m2^+uF!#9l z>CC^_S8qJV7wRWmc|9SvHZ!;O_qJb~u7|(;A@=O9PD^yQo!+PX`cE+%jm5$ugWEI3 zzQx7r>fMZ}m0jl+=QbtzeRy3+Tx?`ibX)esd(UicO`EpAzuPP#`X=A&s2Rdm>{mUn z=AT%7(D(Gg&3syWq`#?YW!(z7_F&gu&bJF@S=>Dov;I|f(?z|w^;h=Xzqo1RKAGFn zZ?!k9y|_;(TKetlMDNA5wK;iP)}NXs{dV@Dd2YX#6)xGhPs{$ts*C%0+NIx~E?jYO z9}~OuTkXOH7x!t|XKY#DU)%f5Hz|K{ZSaj78TU>0Wvp3$ioeYK*7U^iCHpS#JEmLj z{De2|*203*@|Q2o`Ll4hYh~Kbx%cnbI6SGFbbx6)^Wj4$tW6g2ajxn5m=GcR_~1s( zW6lr4o-ig}aekE6)84+?P|j}M(F&D9+hfYD+J<tOce+!m1o@}F*wOC1mhbyr_Q32z z%@X{5?S^tp{Yf?|a>pwwe&`)LeEhqioTp9aM~{WcXF8T%JXyPx`%IDf!ewR8CHUL) z-Zgua6kAtByej*!@yy!^M~b#Pb7|k<%Usj5r&;aMgvxTS>(ACtnKC7OdT6-$l$ZB? zemvT`;cUUh4VHQm+YVG7o@SALLvEj8j(j?=b*DFL^x6{6n(P}q>2b~{yCZkU{a3pz z8l12r>c-;GOli}fywSd25AprVe=NKsk&DMXK0EGHkcY>E1eZh$kw6PRcahL`cact8 z!J~&Sc079gx?|FjjUAH?ee9TYjB~TF%yu8~tvlxQXz(mo;yV7aL#e=Wk;j+XyCF7T zIyLO7+^48ixlR$Oa+_jOwI{E{>A|+j0@KLdv#nR2ys5jWprluWDORb=<$1@Whkj2Y z?5tdCCcTgd45|>isonejtg&L@k&=m=HR6F1a_%C1?t-ol5?v-%2n5>P>DRD(=Q>5@ z-7Lnc8`)f1md33r`%GFzzRh-$V&~fI^LM?7EH~e+G>J4W8E0R|gbqy)9s^eX!@dWP zcU+H}VxrBVB~)Tqdu!op&5{Ei%2$lOn8=)xaGS|=JR?{@BAH7l+4taK^<@u|_XuXq z<lDOa<z>EFpM}h75(}p-p8qi5oWVsA3$>X|2U3D3%t+#rTQ;kyKXX|?=gZb?5AM)h zgTo;uo%vtZ$9#XE=<R&T|M0u*Igy{b6iv4rd^_#f$6E`hOFFRg7#&;4<lkefCBY_D z9a6w!#@cvvnZm|vA~tJ`S><2%>V>}0D>bUUwQ#d$$${l<0pZ=}<1VikJIC>}{SW6H z{(BZO6D7}Wty#Rpb>bSa{GfCT-&e2p`MhFs_l$j{w6glgv@5kSYF|~>O{n4u_q6TJ zzG6^N<Ggcq^^MY?^c{|`WXwHdugYFYWIY$O>4>D)w}P%owK9vJsO(#oQmVZ?rPMzE zXVFRS$VlDg-=oCT8sdEI`F%O|&d4*!Y3yy&-FR|?ZH`%ve6-VN%gudu27Nd9%6WD( zZ`&uvwSlMnaKze$w-2!gc)ut+EOsODhLAPy?ZbM9ziqUZN!U>J&D`eJ!-;~9>}xpL zBl`9wmTxUOXOz=-vrX6F_y*NyQrp@q+ukPcOAJqP%#h`ncBZv$LD(7BhkC5sY5dNC z;!L~tf6F>~I?+{k`t(Dxp{t)JAAZ*`^I>23f@lkats#1bIekmpbT^#YpgK)@Tf1o6 z+vHS>7YP&p*vU+YQr>U;hA%=i&vEU9)x~A6ea>F|+q>bc!L<#R$0W8L$T&PrBK?Nk zG3jmnoNaTDENPOxB4f-O9jld}az5sD&RN6zA`;I;zXh1OF$wd|PTrKr-D8x~xw7qT z;;h8qGxBbDp3z`gaCtpT+H9u7bIdoTt7@wlC@fkrJI&?c3&B7ZHg}Ouf2FQ=MZu%T zFLX>gvY}(rp${FCj&W=jmTC48-}<k=M}sF=iR<{a4y6sMi#&4rG~~=&MdYQGy@aHd zytwAOPcgAGwpP4wxg^l^%yPlj#9Eh!5#oU?*W5%pO$Co0zSHq2xzxooDb&R?G1bL0 zx%DPzXWX*xRf*HwMcPsYU3Eco^`0&hBV+<?bh<R`#N4K+h|OTEdXdXz_iKieP_3_G zYu&O5ZvV|UZGUuaVRRu=aJ+g->BGJAe06y&8#9-zTg3%gIIrvxn`E)FTEgvBmfoZ) zA!iTUR(}uM-h)>RRxIr;3O6nlSZU0oP}=uziJrj(t2L_*XhbFnsaDVMIQh!W;iPBJ z@+Tt40*j=Q<ImsAo4Uqm>(}+qr@!)9mUcrXUTWI`yTj9NWZ#hcCb6wwzo=h<DLQuh zjJ%31hjR|UYq<N6;k1EyPw293r#D!ZNo_lIg(o^`cVhL8v>SHY+L(Eawk~aRx7IOy z!*@f5Z-Wf?;fU0%`I2VG#SV+zNVy>-&3pSW+u?5?FKo~*)ct-%b3^E|vbzEy%gU7R zEL8}OPSbehbfD+@=9y7`firJqJob2NaDIdJgR~uwMI!``X$9ZTzag`oC;Gv*MDII> zIsE0kw~y~FxZt>K+l>zy?XGQi8NVOicIt|p2vgqLbzgt7-ieBT9nL%Z@x(-K8?&6w z_dK@`e{XyHxHB<)Ve&(}3s%K%&zsoXO6*HqUG=v&;6UmRlb)+v@9&%tzV+JmI`P9| zFS2e3-Q~G`ICHVBJUj1hu68cvdsfEc*CgfMZn(C=`awZO%V|UHo~toGPHnL4lh~&9 zo;UhY_Tg^@XExNzn7{FwWAH|6j*bs&?)HP4&H=@b9d>jdyc3bEQI)qw?@VvcqGxXQ zk3HUQIJLq0flq~k)&`@Vt9LB2Z^+!2*ml73@HC738*+LQ+xolPVq3i*`mq$Jwm!Vb zcba!M^FH3&S?gl>Zp()~I`vwAijC|Q@yTEFJ=v!oT@v-zwczV--qg3zd&U36<>os7 z{LTBSV5%r*Oyy}m$*MhT|EnB#5|(&zh^IMbM)C%3?wUu3gzm=PI2<7U&G1a?WQli& zG<ymjnz-%Dl@IQl*EcuR&-hfUq}%QdTG6o)32V4lFS^zm+WY#D*5$&7Dr&n5xW3;n zdSIewxh}Xdu5VkY;g_|Gb3-L#%eLrVyj^H!`=u~IzE;+xvyN|;Q$4$H@`2mG=3cE_ z^-tKw?85qG`#vsXHJno){NFa-aMi&M^RMx{TsgU=_N1orY(Lp-c=t&`mHD#Sdy-Rm z%3rF@%qo)DD}CMf-e#k=-zAe{Of9F}^L?QvA0c_zd6(zHSu#%V)k-J#ujzSccy~#N zZ=KWoXD`+EZ!>D!TQYfGR*^w(N%!xZj)&f#xGp_U6WAGCkoE1hz+uKswzWyWCR(#T zf4YFzP)6zY<@8jAoxue`e77ffwVn9(MN`t@oyeSt%4SCjrsVj~@BjD2Z(m-1Kd+&T z^6k%|)q79QowRH3W3wX#O*#52Z@u<@H|5gXxmy(5f>WwBug2*YE#H#&ehaVR9L3w- ztH1r)BRS<<<f1UIzv(%gbI#io7^b#y=d<)PDHSorPujI=vd7%V3@f>16iYjsm%Mu@ zu=4kg4}4423+G)4ws3!yS@W6CkVi&*<>6I2Pjs&Kc-fzuV0An*Nc>0F6;;29yV@r& zdH0xQrF!9<E5R0SuQF?Vd7BULWl4lQDwvYxmdfM4B2A?%==!Yo*eP$4%~jPmwoeMa z;e9eQr~2_$p(m+-djj`cJa`p-RO_&*)6*S(E11mhamo9wKKR=@Kgj5?uGh02z9*T= zyHfwIzxF=J=&-F<Sh$`lw~fZMulsZAJWlfTYs`FXsLEXvuJgM7?=M?bW1EF3eSP`D zn;e!WMeaYl&REsBWMN9*x+yvr)<#@=?<4JWlIOMN%*VlAVn5bIT+4s<YtAG|vjC&x zZeC(HLUdklH_u&`(xyA*%*LscShtCu{xyF|-tv?-*(ql>_Dy0f0||7$Tb9zMuQ4;3 zUzPh!t+iG3*DE*OS7?PRhxGkz(JEN*q9Eq{_vR^UHtBdhv+y~|WZj)A`-RCXZHCiH znPAPxgs6>cp8qcKN}J($QpQ*_G9e7a&+$r|;e1l2STiyq4#eNH$hG<P&wX8!EW?5} z9q3AmJpcXalrtN8CRt7k+H_zNh<|j-nGGG2EX9I09cTjae@<ER%vyDI_Jm2Se4?kT z_Q@|v37s0SX_nO#oe!xXGwr;>R3%kc|MWY_6fbgj?$@V(O&1!S(Vunm*Q0qZE10;u z*V!+8{`=h`jW7Ah3F4~7Z<eG?^#z%fzdWTacgmV;xn5!su~&+1RmDZW2A)50wB^*s zmH^giVk@hx^pr!|p0=FY*c8ATCbqIlYn@U^+trp+8`}a{uZgX!lJZjvX`AetyiEO} zp_8aZ+>%$CKSUR<(wPxp*(bE>K&Miu|Nh{XQw6gEEYAt7I&f1d)PHY2$JB>13ybEc zhct2@Gd_5zd?Rma)vPzwhy5h;tTm2@vWvd>w5<1)=9e40*3a{tEAzXkq%5HEUD&GY zv-Q^;zxw(dXywv9N7i`}E9YI8T^soF=id_>*L$_e{$4fbhS%3U?;kGUv6Y?jI66C| z%63QU(yG`UZx--`%S?GZtC=fid(hszJ0$^a-h8JDk2|uyi&_~M{?1b4a4q}OiWdu3 z@zps0pH<j*Eo@c)na{<sX6j!am%OPu{xT^3vY7k*Yx^Z~3lnFi@$G&5?c!UR!fl6} z%H)p!cu}^?qW@@f*}jgC7vIX1%XzcBjp_b)@oh}`uM-RAzCBlwa^ak3x^>)zb8{u+ z@1BcY-Y>sp^LfdB`7On#Zu%KzJg@8N&wD=avbyM&;scWYS{cu`Y@S<k;oMu0>b2Wt zr`J3)+VisP;@X%J+0OK|qlw|`E7HPhp5~|vpPLF&v)yn0nKc$Kden`z&sp3)d8VuH zT$$14MawU%8*6_9x$m6S<CAB))`3MggGBfBe7yL!<}paezJ3t%`InPty7r!{FxvcR z&gQvi)>KG5UVOXq!LBF9)6er=->X#oHKst;GbQb)S@?RFw6Hnl+a}Kxot{)vVtqG8 zF<tMO`?|jLbx&98O+T}A&*jnuXQuwyx_auF);~wyE{cBn#46yK(Y?oI7uU)Z%W4!0 zmX~jxw6m>xM~u<*4|&_W^PaY7Pdmd}bNKC|=$$!#mOA8qSh!!*+hj_(TEW2+VZN)h zew%-__gS8CwK_K|>*_!2WE+XHJuBl=ohz@bTy>u>^zHw&AN<B#6H=Y-zdFPdeb1y# zt*7{4eqV9ojTA|Fv6lT89VNwXGo?8G@s631xKh_*T7P}y9PYzK(Jem5_aAbU47<hj z#PQGSm>G#%buH%g*Za=lJ{%R@5_5e2IY-HBx0ys7|IFWIa9An2KuP}p^Floy=^Q~W z+4}IumQ9|LyCmvoh%7Sd*uR;3b=7}e?*b$F`r{VjZR*>8EbaSW&7S%qmHPtsBl%Z{ zc$9MvR{s3aetf3^&*t6@%OBgPci+GKu|9dR<BxRNDGwK=#_IUo^EQ;@xgRBVJmFQ` zHs?0&X*Z07zprXGirIW5bjG&p$u<(Z_PVl*``p?%?L@QX?Yl+$jDokdHyeGa>F!%? zkhp)dOWch0dvzzWU*6g;YxHI7k!ds6{FRxqaKGxOLm{#Pj}IDL5Q;GfWWT9+IKew- zX6CQXW+R@BM?z;TG1pCwo3VJYuDFYV>+ze4hbvA`eZxM{zaXHkbJ~tSHBTld*+{5G zH+?RB68vUD;wsf`DFQ!D*i$8vJGE!_*SPhtANKJs@Ra?jW1TW1w^LWt#qPMH<g=(p zUQd<hi$6YSa9=3K%YEMgK1s2tByaYK{^bE}fzx(e`gy|rScO4N8mHb`<^PBHB+J~B zf;sC}Z)*E>YFE|Y+QZLI@bCstJ?NIVnJv_E2Dh<Kabn+2JspGNt*U9eH?&9g)fMKH z1T^yUX?@(;T=nCOV{Of=1)gilFNj>T%#g}n8&bd9__Cv9T130ikAr=MdTXv3ia(Qh zdWdOpY(!EIceTXp7vjfD*hOc&S|AiGbLFrH`&Yx0j+1A+SfJ@saKYr*{-yVOqZh0_ zb?@?hpA}D@@Cq5+sZjnCzLs^u0Z#5ji#$b{<2o)6vbiT(WGTvRyX;t8<I3DvY%<|T z8=Ks@O9zfiN~sjiV$+<n$WcnY_kH)P>|16m&)07K_g#eZPQ`IQ$#wgM_Z?6UQC(|a zkTCV*E(3RSaj_S#4hhA^+;C18|90_Y>*N<N4r$sH@_I|%w>~!I@vP+Zb<euESHC*l zYALsS!vSUSX*-@Cl9SuDp`TrE&XND;Z$y{gp7<nkp1<+s#qU<#i@j=mcXL=;N{X9X zN`Z`V@tJj17g|pQEnl*F&m<$Gd0#@0oPh%=WBYf{XGs5y)DF}6TVE|-TwI*o{`;1y zd&Sd9$$LtsXdZSx-XF=SA7gZ|*!`BAoJENIciF6lYCh8=vF%b1S337z{B8cy??=6f z`Clb7pVL{7*5=>bw=eXz(6ztoPB?FPQvT@hR6l>t+ugn_x4V2rqPu;0g1da>+Qetq z^k|r|N<`*x87{jal9;nm^Vp6<tDQD(G*G-3?4x7$u{334XHwC|&cvvVo&G*uzIJIM zvzgB5n6=Ib&FM1OeM2NU?qO)+jnEka@3WtY&;H@H{zHb`H}R^PH`-rVZbZL$Sp3_` zzh!RgJPGT%>3PvnHomG~e)=}HCU`jBzjkEz62Vrvrira`U4gA~Z5LbR_>;M8?bt-C zn0R!)v`7TJ=)AE1g7D(_i%S<s#7yCN-~LOiila@eN+V6YN+M0P%I1vDmk)X?E(j-7 zEmS|U#mT>AW$QeN;4VGZ!%G&WR6IA{cEQ<T=ZgS2HL=Jax3--SN~oErdSr)^cT1w{ zG>OCYllPfLZY%lo)BcsT^~e8F(?7i2F4p<rZ~o=$?WUdKFVE*l9b}K5B=SB-=&<|j zCkub4i=A}mpDnUrxi8nn(`v3SawjK!+30ar^~-io7G@pQt7p6mH-{?UNb;VvXufaK zjl9V=YqomYUAwu2;dO3M2d|mtA-CBg$(Pe#);TE^UR#yR9++LJ`0v`94@PIM@_K4M z%v_h{Ep%}6{TY`ROJrCI9aiXml(@n5qv4T7EETCI9Xs5`R1YP03q9`ie0X}E(7b4o zCh=vD1id)rbA=A7dsm6*>vF{>Mv3sR*X`;z7gIeD-7WNJu50Iqdy9_z_#nM=?o{jJ z{{;-+GyHy9Wf`gb;`i;6<hbizFOtMLe?<f@eUWojqAJ>yfA5-E{qw?f9r#y^E!rL0 zec|k?qc5^9SETPaA9ibxxy=`8?}eT3KJ>+^?8x<<`e;FDvPHtxA6$o4{oIjrmC0s9 zmg2kUtsLLiMD^@uTN`;~PoLenUg1q{1;<wf+HA_&v-g%s9jknVY18kp-beF7lXv`% z+;wzH<|9MXdoGjDeiX_}mF`lT`QTapk^2>!PpZx-e8sYSuGLY^<>v}6t*o|qc%@dR z{1s!L<yV3Bxm7Kl`%7QeeEk>g{xRrIf$I5igU_)iKVLn~wA^pwg_XuSMX%(hS(M6q z&#h`m^|L)vv|KK+HMoD{vCus{*JF>K4p-HVJ^5Mmq*nMRxpg~l_4TjTvpgU=UFK1T zcVEFd)#Db~HlLUE$qMalxq8g_d`Z*R{i5~ZCss{2xRa|O@z5-wr$k!9Z6~js+iqUF zV>@^mkL}`B?E7~tv%}!rT&JFo=O!(Sc<$5iol*D>Thqck=A$ax8xuF(evsI8`$6)m z+X4x*ZVM#sx-F2{c3U8M+2$pZ*Q|vf+&!qXy)j|iXAU_ti&A;%xm69mezr%_mdhos z3+^}cnQN=I>w;Ir!gI}9QU{Aln<B1OsAq|De!Jz`Wm`5eaeM5S4X5>f-N+PYezT!l zaog>q9Jk*j^&Gu+X>ml_U&Z{p(QlOwitYB;cvH_PXH&PF*)3Oj={HFYU&{=SSnZZb zTpRo3@Q$rtB&J2xpNM{wwfls7e)g)Gq~xnLXEtXsK8xNez`ZuA#WhU#7}Mhby)Ax^ z(q4u=X0e;fxve%W>+{T*Lv_pjHk?=)e5T+PkMZ1H?U8<WkLN6xOXv&cH@v>mea7=E z$!E$%^^ZzjKVSJf_Q4fSF{{$9mws~-HwHf!y}9zU<gVc7#wE+orN8i-d;Y@Qs-CIq z9;M!R_{%c?!Rk3y2eZOI$(8L&k>4Ft)NohN@`%H9nZ$nYzKz%G(tICX+!B0jqs?Vb zKPh!>(Y-CqdCUjHwl^lV-#)P6ZtjN-XLCPnJetd~;dCy?#@o3Mt{=>O5Pm4~l8E{o z&JT78^KUzBxSzY=#!EAUIc3r|F@@4KcZ#K%?vzVA*%U}C*_22p*%VDPIb4{|w8y&Q zjhTQ=q1?g4hRfeQUJ=Y+aAak>#hWY2GDWWp`Bu%oebP*I`jN6H!Mi;^yxIOTIFfB+ z%Vxf*4_4VGc0Dhf_^>th%ZE#PzbaD2nfGk#R=juPC`bO=q@LYj8+W!8mrZ=IXX~FM zY`;v~y$X)aGdRk+`$WM-y{a8~;<a}+bTj7NIx4XJO;XG4GQ(qY?=R#3m9}UHze?P+ z9bcpuI}}`0>0p1aKZCVSwr+A=%NnhYX@xJ1nV-F^pH`=9*6wYQ_=M$n@0>?7CK}6_ z%1(<97EZIsKO}c<=7#>wYBCRcINL394+-+kD(IO!U-N5d!bkgyf{F933Oz##Ckp@E z6m?VB^5vnZtw&`_4TD1~xg!2V+dA)gD{J^<^7dVa+ls{BzTGxa_>NIg7qi>Bf>R|C zUCwG}3NE+U#+W6_hx=F@2o>flJlmoiWByRWoag%b$Upi!9q#g6U%#{Jub$+(_~NI( z&YH&T4-vhd-?yvz%Cg7yla^E}%dEcFx+;U^vR$xM->R@f3cEcFj?L0K8nXJB!O>Nf zGjguh_-x8zREydwAiO53<ztxcF^k#9W*^O1?PhQ)EMrk)$;NJ$xocMj^=!%FQC>5v z{bAVI;|8n65>|wA8y;EZDv@(FspPND&*IB7)$C-CZFp8L^<_g;>m%0XTdlrq_)-4S zoKsk1pQnb<-P{Fo-~RaJZD~@Izj-g=kleM`5l@n)a#lyIlntEGF1ez8p_J(T@3RvY z$Q{cLshOPL*jN>@BJiW^UR}0ViJRSyZh!A4xuX4|l<57{(n$;CmSu(1B<J-tR?S!) z_;K#3rEISfTiuRsSD!AqqW$Uq$?BRX57#G|osXU$sUY#k`lsj2RRK==-A)%SFADgw zL4)V6ZdZGr*irZJZlMRB?@n%9CA4VsQ*%MP$U5Q2FVl}5S}2j?*Ry{8{F%a!xnDmQ zYkkz}nplw;XtQUNhFx6r6oz~qua50vs)ww*g&yzSSs=kSi@ROF(|(5V<Lrn1&yLve z7+m+4|9jS{p)O6)C4RHO!v4sPi`L(_)IEK>aMzoSksF`>U2(zrgT;5N=8M6HW+w?B zY)%qBdOJz@@b09^?ca~8$@_QtGTMpFb}IS5=Yq&W_l=rv=g)Vq<2riykj}?%cUFJM z*pvBP&n)nZrkUXvU9-v;;W>}qF1{hMAbO+bk@iPLT^F`mER~4mTF-U(@}b2a`<4_x zyjU^+)5;C0RTW_`K7QPDLHL2|LiHo3o%~zETjxovFMiMda^5l_J&{O`bK)|dd*n;j zTyQSfa>2Q9$%VrOJ1#ta7_jj8@q<qO4U=2v35avs3hIegCB^9N5)pacHR<8s3sar= z4_<ZRKl;~+|L|U?{`TFia`NR|wv2h=RZe%bzPRj&e9>9B_(hPx99^%ra=Yu?wk+4X z_?|xWnRxX0OC|4yVAp8^>|Hv7ej<@cGXL+^=Y6c_>b_x{IsNbKgqYp+nIHWh-OshU z^4G`uV}0VM=ayG4tkPAho%d(!EgsWtN001znZMMkCq!W7VGY)cRy_d%s}EPOR+dUE zSJ=;&EGlv(v8U;fRnI@0*r4fw#mT>q@y+;9`N_TY|E-m=v-e;2)AhbFg`GwEb3>9d z-v{Bi|COJfmjz#FDV?ugUu@AW9rbr^(%NG-YfgST5xIJqRpFOdEu|YP73vPiM$TNm zg7db$|MfOWPrhAe9c*)^Zqn}CX(29r=JZjK&&7uE{m+y337tP`Cw9{C{M$)u?zrkq zTbpEFw|&Z17Pb0YnOn1b|2cH<{Y;AZTl+~pFDxTO=Sz52NKH!Ju32k-bhA~LoDhHc z>ix_c(;}7zeq8x)rPkLY@;Wn?scHY4bwEV4%k|%<^OwIDv8<`v8z*ve+WE_Om+hJI zhjCk@m~TqSGFRbBiIj^+uK#b3GWj^&q;2MAO}*=BvyT{@*6D1L&zt5lk<ZXusk`~? zq!SMrW*;$l{Q1fI>gh|>&P<hxxK;3M#figj&L3?no&6+t&676a{RxZwecmZ0J~A(x znsD7bNxFBn{q|owR|aP*cJO=I{#(r0zGG$b$HYaq)nt<X%O4fm-Zhy`$*ZJ2Qo-V* z`^K>AtwMa!B2E9E9nsh}o3$-A?cm|O%@30MBRdK%=p3;~5t7hfotUP0<br)ywL#Z` z`U`Kph50Xj^|oF3Wc`%ArZS2@ty7!#T{#`Vq1v-ugQfYb`@$I+ViIaIS@|cQZR*!d zQ#=&1Ss=N|^Fu}AS2J$Ks+lbF|1a7d$#L<fO2;(YV>$voW>b?CPJLgz@Ecd_dU0QI z?>`eK1wLDB&||_a=Qe{?{_t6*_1$TW*IhRYBz8o07+zE9u%B_|xQ@UV)2U%9Uaxys zZcz%1iapaA_{Er;=kM93cE+@W#~n5cEL<Mhaq+N%LEv^aNj*K`((HAf0;SpWA{A!j zYX~b&xo~~ahi%z@JxPs`2@x4$HabS!cFShBG8{hZ)FGIrc*tY3z~bc~9UYMh5*b>P zm*m-Q)L`*H+Zo7Xz|F&crm1~z+QH*~n*|<DjO-{pt<vFn#CWsAhdU*08!f&Yi?u#j zV`<nWp4RwqY2=53i#k6nGR2hU7;zi=NxQiiw#+mBB=DS<voeXR>Y$Fm8N(?Z0$viS zfgH<b3NPM~A||0Xo0UKOOjG~rG{pm^n+1}lMRpim);TgGQRv8<oK=wuGm^9>r<9qU zTqNLQrtW5VTgRZsj9c#53|9H%vrY|#X^KZGHVY&+MRpioRB8DmG5d^DM{iq{)-sLX zJvK+on8mYul->Lat`sE1$Mj51YfPAGsM?k6cCO&g3EMTMMe^P~HV1-~`8S?9p{!&6 zNkW?^hG*95-Z=@q3l%0QeqI-;up`~4Gil>Q<2A-b{I7fO9A{JJ-*EGUbB^IBj_6*S zW3tTR(p=wr=R9a!sNfXnRC|WW(LUKrgui#r!>JRiD{`OMt&^^7kam+>)IQPuMd}m7 zs{e+uyvuF&_ZJ#PdrF5i)z0sB->;L!$sTKTkkS2?$Z=V%!-nmz9<FfyT6koko5h=e zpIX<dyj*(Re#aJd2$w~!36|fP($qe`Tm4{e5vTbatAj`GTTfbE@xaRJaP9Or59fJ* zE4;4CzT@#E=R0L51@(44kuZN-v}ty#zL?>${{MTohD@GVw=P(H#&fTENprl@BwkPY z$#X#Ux5V2?%soXXYuKJnQa)35((u`h)QzXD?yCyxY?!X)-Bz;voO@aLClT&gqr*Pa z-z0AIeztg@_p|2x-p`5|rk`2Pq&M?C$D2(N%5yiFvu+Hs%6;!R>1z;w^-A^C%jT_H z96YB`cJ9M9-row&ss6TjKZ!Y};G|;S&L<pqW7NeK|9+pF-1g#HwPi@ty>ClgC-N7a zWNDVo4E|EMf~Qt?Rr@-=tM2vfQi%=Dy@nU6yh3~|+jgWhExcx>@kA&t^7V!boN>|X zO++vEC9Yd+yr=N*t;538-#lLF&G+GqYJ0`INy>W)P8!DDN;N!Ux9xP&gqqirIPcx$ zwv|-*eN#Wm$m89{>ANIU?(gn9_u-&w^^X^mYHNy4GFt84B(|vAyRYEp)QTSOYbTdk ze96l;7IMFr8hTV?^W}wYHxfgS_8xo7H#K4Q*B;x#mDhKr>|-fi;=A$cLZdZhE9A_q zL*!@6WVL+dGd*UtT5Fr~Hj9uZ<7<yRwyF8;PH776+oE~SDx{5<@9JT<_EX|>uT^Po z(_d$Kg(F-xt4BL5R42<ns#tZ}HuW{DZv3`;7r^-~ireZY>;31GIK?b4EwgwQAlYXX z!tXA#s{b&b=>f%dsYeT(dkYRMJa!{L^r%izo3rYSJl#_%e3pk)g?$Q^_!^#FXyjA6 zLT;Hwi2P*PtQJo`(_<&@cb6|;m9_hS#?5^jcLo<b*S_BW=&<}}=XlqgoZFk$l`7x+ zfAPoT_#@XPKA!vYIDXb;`To^+jB@h+L@(d9%kS+h>H2pnavk!27Dp=1NDb6Tixz3K zv`>4kKjn>xRDIs%kMeu$WPTo8CnQt4V$a(@6Xw;e-u85I&dpuE!N2)>b8nUY5VifM zFfZ%kk@?o!@_M`Xi~B$Eh;m-pB3^$%;b5_Bkj-Vq!@<0txPH5|vwSb{D2#9C;Wzd0 zu2|yS#BR0Z#<x~B$$8lmK6b9>`<WBoE|Onz;zL+-9OwHIj}LL}BJw3CJ_I(`an3LC zxbU-IYRQX5&TZ?gzFhEax7+pF;6UZy7mDXH4IVu1<l~gfzOM10G@{%{=HkNk!&YAk z!`m72UKkuH<!w`qxvY3FRW>N*qT=CDUbj7UuO|4rm3S0-wDb6zNKF3_(#~?e#G^2{ zooD+#5BZg+5_WdK_{aW{XMW4%a|>rz&HQ)Fx_zCM%8NzLUFWP)ek>`osMoHnSm-P- zUn22fFQ4<C%L?xc?!B7upqEcG?)8L+Q~7N7Tv^!PZ^d)L+qzt6(RQ{<^=t0!EVZQ` z7ya9L{+7N-Y~ZWqxsur6XvJd~(Yz;FW|4E#LaQg;^|D4h*B7=6T79_~+|GC|v-OPA zor?<ZbLPLEU@jmVWOG$9zr^axhlqANxt9h9oMqc87C7E(ynkI$UZB*YFua{dyyV5h zZG5$NE+sb1w&E#tZr;PZf1Ptvw$+jytDM`kt-cgQw%h5woWO5l#k0|Cwc;LT`-RR; zkFB0C_wxPx<<wpixzKsvtP6_qE-xmmmo+)T-pXvHa$}KmSFKgbjn&Tl)g>>IxAQT- zxs=#3SLzA#Sw2~zoa>77V_r^3T+L@Y=Zd2Ij#7ySeSFS${xus_Ouf!FeO~~Z{zk0> zvZYJ3_x~+;-~ENJr0w?g?K!t^Y~%ax+Z4fUW5CVAf0pTJ;N}AbkGe}T6}WvLtuEgF zFCmO0FG{3KTCDZqFZm;rA52o(r+jJI%7q*G)c1Q_7u<B^&W%kA7l!_}lKG<)8+PiI z{@JxwCTgEDxB8rZz1sK{tAMuloWDx3rCal!Y445yQMA<0=HTDrxhHB?Z`<)}>W^g+ zaru{*?eo2Pg!lM=m4BzUoIMbH@REIJc&$vImCw)1({=5(N8KvV$hy96Bje=%OP-q+ zcY4<zS{Xd2BxP&p?QgwnH(sB}|IXv{#|wdKp0<@=rv7y}6wrSBoeIai9g`JGD_;3E z>HogtdBKY3&tzlHHp4z4;Wn$DGWCavQ*J*<UUFL?q2=u+vk%`c#2gA-?pJVRWw1=y zE1vc_yV{%m?jC1cE|>6s{-tB<UhZMp@ZSIBvc_efu2rAW+NSleCsxHG-8MtpPS^fv zKxO*#fXepg0hP<22iV3GudrKp%fCba#~Y=?sk>i1oD}<|@S+~ujs$V%IorDh&)qsI zv3zZkaQw}iu1$H@J_+lGmzS?#v6azs^>06Q;q=0)7jFY<zigZ@;2OXC#D(*ERWI_z zYk4+wGw!-^RG|4yQp>?I!(#&3nkMFdx)xrW|L;<V`Zl>GrNMvWe>Qx1x4Oh>!K=5o zy7ivSef#|FEpwBtxkn13&)wSky!K4>ZHWu(YFBUj%G>+(M}qJF>Cf8YZ#`Q4_Rg%^ z<p<QGzw4`+CZ%2fnZ3Mm!RhPMc$Tr<OXHH+^H9V>W0$yvM3snz%@>`5A1^{5a9r4Z zKyZ<Nqq5U|CikAYcTUS+y!UIG_TgGseh~Y?w@mCu=Q6P$zRT3!Ud_rU|D9WgQBK6d z$wsHZr6S}($HyCYMI`cePEJs@(Jc_LDV9HSxOn@)<J{X74|s1^Jfgi_@lf`5#beUf zE7giW?A~@W|CPdy&r?%lCs}&!h)q%45uUPeM|{e|@NFj!t@obT8b4Esd%u~hSY2tC z&Yxc*kv~MWH0ryyvvz*4OPP`Taz-SFoXAqAkNZv>`mpfCqld{8j~-vH<lS)2HC-er zY@+I+J|*v-x2(D?iP4Tqd#rCpr6}GAN?CX#CMEGkNXo|z8&5n+j-04^;FpqjN2%*H zj_ht7!)qc__P1YU?W|B;qqRw3jn1ZkH5!`?bo4h>+z3wjxN-Lhp@i^>sz=T#dAIPo zPLp`db?W}{A5AWQgw#YLIn=}=HPl2SC6<Xr+W2U1`Y|Ieh0|d138AF4iK>TgD0%nX zWPK{1;OD61$XVR2!}7UHN91$24i7h1k#tgGqw=9eOzu5LuVwnq?)(3MPiN(tC0bVT z2U5?km{Rard%9o2;g!KL<*#_IpRtM;QjzCBcy|5f)@94KXWeED&#_<rbpHJff9I&# z@6Y*dsr~=YuD8#1e*QeVep70ipBQV*A=cd<1^-s(Fs|uVom0GmC0$1AXk`1Tg5TcR z%QW(Cy0$fcyZo`>@;`5R^EXKiugeUNnC_N%xGJ`%@TktV?3{l)TQbWgChUq`G9yu3 zGOaFhrO%_+NwT-Mp5}RU+gzl$zT_p(=S{~COk#_wo3c7}`7ge=udTM}KYVn(Z0dui zz}z3vKes=Z)jC+Xd6wMw7H3t9nWh4sqT8#Z%CbWB-!Y_Smo2_?;njPKY|jPj<{U`y zW=f4zF?jKMOGqmF`<p)uXSr}a-zPTz;+9uW<mWyT(yN{O?Rwf|i*u>B3tyc&E}7xI zXic{YTS~Od%BR<V@ts|3z4iar+`Sbt8y4!Wv*MM#{qW}Wmq!IS)wf@H!v1UBU&Xa^ zyJp#JDK@R1vRtXl{g+<K_7^H%1-BhE^`tZoCo_NjaLM6k)<p+q9)k-4%%xg!Hw*)s z4zhU}9&wOtlUmW9$o49EM<bg=;sQmtS5x%We@kl|%wS$Bk-UH>nfJ<J3+AVvy_tCp z4mvpdT+xiL^EC=+I?3i`c+^31m&A(p@T#|uS1-TLCiS4Vv8`ft@JEiNjY50O1G@Ox zPJOuOU|VApAV2qO@ni0u>1_EO>vm1|f4^H&!?B!s>5Ie#Jhr@7ob{Q%UcBwV{KfEs zKyB%%<%j?BOi7s17_`PPplvJLtHimDzasJ%Fq%nZbo5&Bdf!M{z%rY6N>X>DQI2WA zz9O>#`P;lE2l$wuX8X)_Q+;C;&~%s0YvXYT$!}6C+H2WfCGT%!vq)H=DE49s|CGx- zQ_j!inc_c<XUh3WJXa3uFn=|??eJ6UoP+b3ze<0ZoLi@{AJx8j*im#IPy6((ZrXB5 zds7y$i1SW))YND+=laSS7o;@~Mlvt8NM69Ry!2H2^k6ox4fh>1pGj&Qj+y%@F`=(f z=!{uFS0vjh{mp)r<+g_%D!&>AbY-%gG6-G1Ct5ahLCp=LfG$tAQwA3uY<&y@?9NDK zG!(K)C9UzR+-`Nu;pdhE4w`O~8iz%gzizncP@7|PfkB$r<Oti`PkE(#8->;w2Xt*^ zJGH@W`JUg=xeHi6^G-=x+Gw=qg68{W)1);H8Zj@8$XdYT{ck~;`qOr<=c)a>JojwW zeU`n~|L4X-D{oG&6`f}rzT?7*j@#$Eb+`^Dbvtc5vdBQ^uTt$Z{pBW|(qY}3k28jL zCQS5Q6p=AmLM$a;BvfUiU})Weg2-<iiGHhX5_i~CYZ<7A%Nxcl6fO8Ed3frruO4zn zF;i``R_ZM-uS*u;C|lvQt>Rvu7{|6ur>dT{X^jcqaTzO~Wc}-RYfNa=O4%8eA@*bH z<(4lJ!DpD>tJV92uS<2@GxgeqFW$FJL{^vHIVHB;%v(0EUU#2F{^pL=mxao?Y)f~{ zerq0LJ9Y7u#|htd&34Q^&?tY&YS}8KmsK)FCwVRAOg}2;yNM+~cAkgNDe>UYJmpn| zNi%1>{V+xMYHpvO?5rr$t%ok>{MJ~${Ly6n;&%V-a*u+v?9Y`=FDf#9>mGH>$^U43 zm&U%ccW!Lkm-;%aRJG^tJuRU#zwFAdb>3LDF1h$O-&?8MW?Qm;PZ!$uHy}ar#y{s< zh9{;yo0j|k)}|KOu-=5_zK?I-OTGGo<<^9y%WBqr7m4KfmX`1DHSJXP%wV3SVU^m& zlVX?5_)+`ME9~2*OWj+4N<Tebq<iy=@4jhM%BO2>O?haZb?ax;rZDg5RjS#W{L90S z@cEwf3)v*P{nzZ0;!{hy-mVSZHedaBvUaZh`Lg3-8j<V6yHXGJ|6DWuYlzJM<b4sZ zJ|8vm%bbzAbJn*A-Q1NC$NtQCr?4#PQtHQd(@slfb*{A+ne}SJ)Aky(CFlCD_X!=- zHdS6P-1FP6Hsa2T@~p_~U%np`QoHit(cXQxL$BZ1^>Vt<vTF~NW-NOay|d)A_s>VV zuUA&An6kfw_osLHjwQ?H?k{oQvSq1v`Iaeu-9a078SjnB<k;!^GWGtFV3|mf%e_L= z>L%xToDMP)eb_GYnO`SJtLjxo&TL7s`o*bQci+Y>N?*0>;$FY=A+eJ*o4!^pd-ZAN ztp({`7OQ67`r*6JT4ZtVX}_ZS4)^=dUN_}Ee5@!}H(UB~aCmL-@olnFv!l(oifn$$ zer$@$`TNn^^d8pn>NQoH?$CI5?LpFx;O5hZ-%7>U<?TG>=u=&3d8cHlijAk`nw1A# zH|$~)Ke|dPhDSTQpfVz``SkHm`JdlzfAdImSE%#i3aRhMw*P!K|5bhVe`Eg@jNUy* zPo&zVXaAbX|Ghr*$fNwv%>Fko-}oRtkv+y-Zh_Hn%ZHOV*VU~yUAyYc>uSz$E*X~T z`>)O_eUx#((cef<(tm@_wwxdLWp9_SID9mJ>$TIi_S>^=&!}7ZsA(fh%fisxRdfDd zyRxnIlzY>&`IX{*GoLA&nE&f7|GX&M>igPjzppHheJPrHXT8_STI0RX1-1UCJ118M zGU{nQuDf|**+$>0C!3Fb@@@K(_{FyB`*h1oCg&vdH_g!b<l<%Vb`qzbWbuSkp0XB^ z4-|H!>FiJ8toD-UZ#mMv?0xyNO^>@W<knWae(`zk$`?ngVpQ(jUe(3h5qE!PR%+#K z2HX9{u_B7o!av)t@%&uY`S{qu7ID^mVc)Z!Tkj{WDRA<V|M>pf+?TUBnqMSc{A&GJ zaYxL`%kooJ7F|`cQZF%_pQQA^HN5Mb-`oe2HzuDh={oK=_hEDJ_Ye10{;qg_g?Ufu zE5&;jUpdytRuyyl_q;W`%qeGG+U4#y_u<0e?**4u{{Hde3Uf`-D@7~o^Y%{S$?Ns< zu6_Qt`Ocjkb-wrdA`^3LlJ<M&{9jwLf@O8jS*1|#8}BD^e%qPSwO4OuV!v{_$ZA=w z!&2?97VZX*v#?pb32>GvS|P}1bwz@G&C!c0+x*p~+<QaRW;~drd2Dw|TcO*|PnoMW z-hY+sR%tSColdHDmqd^I{}ugCCly=_-@4awoL;ZEEpYGYwNEr|Rv*3cM{ec@lPgcd z1$XbioYvdrx<;w2cKO+^9oqZ5BC>rvV_n~@IOw`zFPr$$ZBj8j(b)x+H-ef^A5ROn zT(Y{ucij}N)g6Yva$<`Gd)6IvHC)9ee)xn`jGWt*2cHaM-uLWWy{qfS3iHr~>8m>u zf2r)&@m}4r@R!W2>P?|X*d8-#t?u}$H#6aGdDP=or%v(|r8hP|*k-pxh0jpw;k1qO zo`egUf4!;l-D7o!bXEQgsUupCcG*4lx_YW7dx70b;c2h59^ERB`hR)CyV@`2V)fSw zzZx&oS*!F*O53AUwD4-gKF<m3l&W4A|2Q*oS>e%&iQ20>PV3Fo|I%YQAzaX%TZTov z=jrq{N^LKcn<i9ToMRqWo+(;*uHx?I&?AwnwZa6`d$t<Iy3V<_{KCqJIM+Fw)O5oH zPk%{W9q=^ti09*#oSLz&a}45y6FtHNkN1{FotSF1*F(M|*n3l#MVj5wPdXFByIlR| zCaelxKI739Nj2+I{>gK8^>_N&9$;B6m$WCi-{9QR&!I<nl6RjiX?p25*FSoW)!~rk z?-IL$zZ>3M$v)%#73Y}i;gXZ&9?shLRQt;(t)w+O3#Wz)CinC%w<*_6+~TbIB0WcJ zWz->u={^PrRD-{ipX6CAW4T!3Wq_ocMF{_4*;W0Ce5MBi+NF{@oO=y!EIcNWqT81u zTIg_p>55Y<?6F2i6{nvmxS<gqCg}cU?($D-CC^+47o0lVYR1a-N>Y;?I}$thb!D7b zue9y;YL15!!Uemhewp0PeyUusTKnuut*P=)-Y<RQ8df^-<GfS*LLz<T-kjWY-T9{X z8J(-vs&}XUHaIkKAM=H?6LxP3ITsu5{BG5H_7cM<>-6UD_P_aBplj)@-RJH<QExgF zxc<(G$ZvPUb?e@L>oC0$l<GJ0)~nf3X4}s%>+zn#Xz^Td^Rc6+9;u|S;MtVF@8o^k zwL6}Cy=PLq?JDd2Ls2;_+*um~&#XUs%wWCP?Dm~oZ`7Pwc~mT+Om~~W=B$mbGk(r} zEwv>3tmL^ZTHR;a?_Wt!-Vxv3`zTJ=tZnz!8#Z@V9)0$>Om`c@_N<MqJ0iPv4`nai ze=g^xa!h`#(Lw8{VcuHd;U_OoU8rHa<ouMgVas+|&P-V6>St_zURBt}^to+x{QdA} zoipd?Zg_85+O+$WkKKCp)2ri7E%uq!n(*X%)&HpZyDytPtA3oB(*5M(ed*t4?r8no zo7f>Z@0C&ImG8&6BV*6@{jmH0COFH3ZQ<s&M3t*jQqkN0Ow}*dpS9lAOd!@YeZ$Jy z)UCGOk+YWe6@{F8RPc1>geyTmjsD9#ZHrj;`AYlD(-zSa__m%-a<zI=T|2=y)o!U= zj^3?Tvq~n|mj3gf^YfX%?!SZy($VVIEwod3wmey6vr#@Fc=F8J`eLzD!l#6O?M!Lf z_pHhPsnER{(Lt*|#~oQ2yr=vXkNlin?frgt-NmoJ474%$o|roG;X?0kAI_-$u6Q?z zc~99%#kd_$IP_zSdaS<{WeRb({%t#%8mfNd`4!D?R;6us+bVN^T3YN0oEN>?>uKfN z*MEX{PSV>oIsDY*X{n~>>+kyTZa(4_KXIp7;NIfgfIR(@zj5*zqWv>&ml=P#%$syO za@OrK^Ab_f{ughmHTaJ|<(c~K-=4(0**ml@>)Wk=m3gkLt}o2#c~lO|e4foFXWc$- z6O(qHAI10TVW{rPpA}Kvx(6ml<tWNsPwM_~!eIN_TbFj`=0C3td$!Gc|Js9Y7uJeN zyMB+#;jzuySotEd`>gx=s2s*$SCY657l=i-IJW&<xMAt#;|KL-E?8Z}tT1(T@$K%m zd!Z7WzN?-&nO=OGRm}429hK=m9}XGJ&suTM`qr{Hf@wu&+wZ=+!dWJf79F~`=J$#d zP8+;;?w=U7Warzw&yi`xw{1`Pns}}&DSOTn?EY}&x!muw^ixXncE69V&AUAzZ^In3 zGr7UKvv13p#&_o!Sf!m?V6xpd(az0ME4^^_&!*64+s^Ykue?zCiv3LLoU^(7w^9wa z+b+4IGC9R=wGAlN?|kb3#rhtTGr6s6&gJ$;6$Q?_Ew_2)$vfY6SaLu06qx^HM@myE zIJ)Oql{TgO&3&{pIKATG727=}uk7M>`t>L6^-jC-V3KW4$w|9wyPh!2jxFl&)w4Y0 zK3(Q<=iZoENA7%^nwS@Ll~d26v`gA=?!%cY9jEP{GQDkQZlu=v+<1v++oqNae7Iw< zJ@>@<Tyv>s+YU{de>-o%&$SgF%Ut*VFcO%jx%=VDLz53$rEcG=^)Y2Ke}wIC$Nz;@ z`>R(f-u?3V<oSA^_-#{EE>`aSVR+w^J8s_*)jeCXSk|wRI-0%u*oR}QDl4+D*6i7w z#rQ6At3dhG-EuRhUAg$QY+^!d?2?EyamlcouKcUtF6uWeGd$q6TjEi}N}fynhu#Lt zJ)E><O^|Kqy*<L~otDXK9-92ed&*p^ZI83p&%bSQ-Mnm7zRtsq-f9&~Ow{$B-(R@u z`0DvwI=nBUPMP2Ad*ti;aedmyNmozJf1`D9)%;JBWg^eUZHNkdp&0S_(-Qq~UF|Od zYyPdgWc&Bj@+-O4Cgxk;T`}4z^VVhUo#@)lXBP;sDSajKdyduNe_6JxUiH2_@-^`* zt7oXnjxWwn->h2n>D7zBPW%V=I`JR9>%@O}?knl4Ny~HXoY_~u|8>)crM=5nq`ljh z$9<FkzNfw~XK!?u)jDXn`;`9K!gjBML+h?hFSxW&C}-1ZUez__D_Ep`wrW-j{@AwC zEH$6${-&-+%2UfGK4=Slvb^f9o>0u@u0Jm8Z@D&UU(H*m6*k@Cd%a0W_|v~nPA}71 zyJx|l1s9wzF8vU}Gq-+?PoRqbalW52x$6$PZdl4De)O7D49{(!%KY&7d6uc&&z<<= z9m0=WpZqMpzbpIWfhocZOEYw9ZS$}9PhC3omDc`W`)^<ApT_ISWbJ#`F>-yK{ey*n zHIk&ChUcu=_iT++-NVvP6TQ_ca?U^2fAqL2*(cf8RbArs70qLorEMSm?j8<U{w}#G znBU;y%Jvygt|<GIy)s<(=ZQvKm-@O#OI3YJRsA`aSwfckv;}{^aC+tM9}mvdH}w12 z9#LN|w{Y|4ABMYjbWMM_QoAzDDLnjB&w|<7mHdZeHuXQ$vpm2tT_$OXcb~y2)#DPe zbJeqozG;MipU-nRaQC&fN0az%>x@e57P))=I&RWBZ`r5E%wf*6PW*mV6?pAvcwg23 zlo#7iRfj3P-1g%0<b}+B-@AX!>Whw>sA_zt&TsC5{VyMc?rqtb$9&Lcdt=hR+Xprr z$o;V4Lhgr+Cn~3jFwe0%?6CY@;)LMuhBsESOFX*be5UM`;4_P_5}R{ar|6cfn|<uq zs>buzR?Sv)bLO1RB~!C5;=uxo;0Fm7p$|S3>^ku9@v`43n(KD)@`T@E<DY()O<w$N z8-w_rHi74N+c=)zX_H9LZTr?+Q*=E0s894(O=*t2-N6fXB+vS<dS7<3_3`Mbt=`!e zzjnD+eSIB!cx9-HM4Hg%-9gT8l@78jo*`GfBvwVjeY0zX>l(X*-R3IVLd{xcrty<= zELKU)T4lX#y@#31%qO4Lz4V?GS~%HV<_YUbtCk}lSO3y|ldiWn*;?lGKJMVZhuM-Y zZ~fHH{VZU*pTX^w!DotI@fe@2TK$G!e9h8K#aFw2TxK-RvG@O9aM0@Z`OHtBcW#@% zynaW*-nY-6+Q)2ATWwnzS<}C=<!af)gwU|9JV~70GFk_n+PyX&SZH)+dwN&W(%6)U zL~&c4?cH|MZXRXuew)-$S!Q@hXtzZ2#z>w251U?=P1KivqjWT6_X&g6WxZP?U-504 zUVr-h+;nN)P0p1Y{wHrMkN()fHsAKe<OsVz6TWSo<y!aWf9<xw>BXAmq4)kyxVQR> z&(Ggl`I~QBKh1sB_ewtXMpUi`+uTI%zt_e7>y#`kih31tXzKdVW9jno%j;+CIpy^t z=#s)wfmM!nsu$|tJ)Tk~({<H;MGyZ|`;}*oOPv)@SbI!qO|Znn=ht^GF}hb)e<FQC z2Txz1kw*oac?M_Ik0mJ+KJesSsbMtB)H(D_{t|x;*R<NOp7T%l86`BGj$c;3u4qS# zT>MN6$8zQ6FVddy#P;5C7FYg$@#YlYF8_Eb1Lye3w-4J0e>1$^!hFUs(azEQA;)GP zi(_ZjFVR*s(ElVUr99)`)DYcMYg#g`RA#Jl?h>?0nX$&1e{#u-<VDkzyEvtiCDt4A zKQ?)myyh5F&&7z2i&BrXD|(Mg%sgE(yYIii-s2)WS58+v_+<U%egW?Z2})Nrk4Efd z)(r0QtXjpx{KPG~P43t03-0!^Z7+T|7gZ<;y-i*qSCt*|Me2I}r0j4n?;V+kYS#Um zJde%U-}#gro79SaRyL`GeT|<CZ#g92x$w!MQtnws+^Nq7w;h<@7%UM;+U+K}o;#X% zN`lY2)83h!v$q5#FS=qTeQCjrWlwuMFP?eub;C7>S|7tD0tuTMkKI_Y^ufo^Ggi!P zS3mc7MVZ_@i~i&`lZxVNea}1Dau@9Jl-9_<!Y1|L?sqHRk4GGmWiA#y`<%V~vcpfy z`nB`s=%4%9Qg&{i#q-H+CK_^?J3sui^bgq0;b+@p9w7gi$K*f+Gw;U(1zR|nudHjo zEOC76AGSRz-y}8W3ueA#`*WvEdJoUxEpu4^+-Z|u(Z7&QDxssX?MAjmRLj3xJ6ZqS zVaqakl3o~X-92IcQAgdo6XqXPWd2%k!=d($(Guwg%iNUX_Az@|sqXl=H)^R;;AJ-H zGa}b{IgRfa2k_tB$|n4gr`-4gLoBa}i#T(yp~vaSGsV{;KOeJ6pTA|!QJZvmUK59S z=Us|+HS2palG3b$WL1wUn0l?YT9nCmX;rgCHT&x1^)o*8T?<Zqp??1F=?llBZG#!_ zy)ZbEEqiRnl6jh<#qHr)t^!+HI8~X|B=S9)A1#gA6vFd0m^Y69Ja3%*c^;Dk!OXlL z4>=_JT<lY0`}KWR_>`L(XT2*udjhQE9la|SmhEFI-d@$ZQljR4#&O}pFJqZgE0&w( zYPDVd&9=V3olWY&#>P*2k>24v%O_u%=5&<7@~VU6JL#YgAC5TGo-tS=kg%+=ZAR(> z!+F^+yA~GgEXzyEc(rt?!mgWguR<Iz2-#(DcHb+Xpvc*FknL4sN8=~MBM!_w#uo$* z^O_uU*G*sKEW5DMwcYBH1M`;)t2Vr_s?IVIi=7f?vr%K-@hCNyeyb?!IWGd2ORs3Z zddsw{@}rLN1%_^3lOtMN7cbf~|L)pv9g9ly0)F3IQn>HpImaz$Pe$Dq+528)>-VL9 zO3Ys!PpbGonax(`!sb`W%lVjdt|xZnTJ?MkJ8LH#`^jyqx7ZYZ`>g3Y1_v{~&N9BJ zx;~_PcBfwD<fsmxl9RJ}uN)U+=G}1B!Fi3LjP4<cuSNzJ1N$$0(r&xB^_Hc2_TJ80 zmg<LJTR2OJyxtPiv9M&#!Pkj18h_15Tflft`V#L(P`F<U5-_cr9hSAoEC13t%azOD zcjhg-u}0SK$`#Psz}1bvZX_<KsmxxWSSFd#v+&l*&eRuWZ=W3T*x_hxsphwCeVF=@ z0E=+zIT9znWv@N_xc&A*SwEh9>#GN&1G?VbdU#~T_R|ws4%_GoCtaDHyueOODx<-b zO)9Cg@mR$AxpN}K)?F`BJ9fzS)=AaZ`}M9g{G1bEoxbtP?WMB*I{Ut5X|gMI@<)mC z?5v!h#@D)Yb(xJ}$-PT`>SD^jx2h;jJYxN}!q8m)!kLW*_U1Drr)4eGaa+;NCiQ5} zt^M6uH371mF1mJ0V)-v>-@SUyH>Pi1lx4@AZ^7=ehKe?;`Pdc-%3L#k@UVlgR_1DA zL$cMA^i}d2PWiUphVNE9f6}RX-j-R_V*R#UM{kRKgW#9|{YLewr$@66RxJvjm$#s% zD-(<r&q?%&JzN}>YI8As{?$*~dOua)KADtV=Nrq$;ZtyQ0^8A4UGYau=PwoMtox9| z{MGQd1M?Zv3j*Oh?%fyM*Y?fZaVC80pRRkw^KM;Y(M_CNcJ5@{Z8m2qk?^fC9e$<z zrX^oosb>&yZ>5yR`w(7}BXWl?D%o6JxA{fZ_ROE6v2W#=RcEX|H<eZQ;lwZ@PyRdZ zvI4dnnYV|Zo_(Wn_OiL{FQ!eGSkb?fO)6n#W7~~%i>Q|EQJx*LJKAqqcJJNxH>#Ka zh~@X7=W$HJU#^{td~-(jLXqOP2Yga1`tPzyEtsu%SD>Bep2S_#^$~1(*Ru*Y@yM*3 z>#lJwxkr(=>+r3QM_zrH8J3sSQJuBGu1_L}qu`2zvyAZtiS<_>diqp{t+=~8WBc)~ z_q&DbRzCHf^PZ7;>5GMZtcx_~2e|WIoYcM|I%tt1|CNRPlYgCOo^vU&<FZxH#dzP~ zE!U=I-SuB)HNPWCw0KtND$|*lz6AM)oXH4VeJ#c5vhUWenWt61F6xNA^7mD*{>JEC z{_Q;7B`+TK%v;ufbeHh~A=$QwRfi>(Uo|<(cR=&&Ep=%I@e=K$My7#=JEPV)^J|y9 zNZ!lGyyl8x{IAyr$Bw><Ok<2T|GPAFZ-vp~+U3{gzB+RE{Ji+3=c8w&)IFV+QqOy4 ziSd{7_uiSGl>4>w2}Ank>qS0B>rd-hI_Xzm>$KQ<&u{L7$JbvLm#6J*v5U9l73tob zey@kE%kF0O1-H2mlfRj(^jhiLJ(v9P;{6q7o`P43Y!;uzAAY^AXM4=S{Z|sB!HK|z zlOc5r7c;nu|2;L4@nZD;l9)qF9`BoAo0nn|u%|$-;o;8U?;p;r{9W<t3bReoD@8VI zuLz&>Z5Cf8(q*3Ovo$X4yWf5C_EGsataE%Gw^`~H8ZFQ%h)FWsTKz_Y(eR}7yE6;h zHT~`$FIz5`&>qac@$yRd8&9t!zbSi>lymp-70z!~ToyA!<`u^*N~yA3{lp~TOi7HA zq43@3XOs>LA6FGAzQ*r&_jvVkxd(HD`8VF5xu1i5PF2t6J~yRjCbwnwi*J6iy)RB< zroMbp%;A)0HY(Lx_i`4@c(=nPY0}?gfi6kAYHw6>$@N*3%Dd04YDo68JyN(_?qN%C zf8nKt-8-LXF83?ARmeK&_LtRn*}5eAv=yGM;GScd5b@%QtxnOa8ll%$e%4%D`FZ8q z;QkF~RvwRdcj4%@M_lfVYO{MjzcKdQSZjLHE>z@qL~U$QL*vca+te(NoLVna)Drrw zcyW@N<^8(6M{0IQW2&DnNV=Tg`<UV6Ua8}ZlX?%7<}n|XsaDtL5!pO9a><N@`P@N; zwb!iu4<2S){w{fOF#m>=E8B0pxuVQ-D$d>XmEksvI{iG2+|nrpMZ%dA7c(49`981K zXuiz}1H0c+TO#!nA5ZsVK5CZEr*X_uZ{ZEyyHCz6Y(MLF_qf<{xrEih{2R}%bieWL zO7fc@mu__(6}|Iv5~rAjm%GS+z2g^MU*>Q89{(usQB5_wYMyppLF&1~a?{@=ulMHL za9*{2#`9BAErH+m1>ae8C*MCN<oC{RM_kv=PuBms<P!f@`IwNGC)Zt(blX+;HnGL~ zo8j%L6%CPkmPdT1%Ovi2eZ#QrX6nXwa}xbybgY-}PTBXaTvcw-cJF7GQ-8CHdmn55 zRyIlJ$F^IyJ0sTnZcdNY*<N+?vszem`<qJP?v*RQ9XT46)wS11&3om#Z_VAM7b3!6 z@hHb^YJaGA^SHrunS>SIe1$hc^#rHwej;J}_POpgd-u2d#ZJ#x{1)UJX21Kbs9NN0 znJwWG8@EVi*cWfyH1qALIqjBuH;>0mmr0oC-FM+|XrAG-+x5EFbhzI<owUY+@5X7@ z95McF)4OjdzRNp5`-XO0#`)+o=W{Mje|cP0D00v5uf?+0GgoiBG5>VfueP}MO|7RF zZ@TVg8d+0Wu*Z3+#N55At5?2_+OC&4|LchuwHvP|X@1+0(sozx=3%|*Z<3dL^KCe+ z+J0l+>>Hs^PVK%td#=?%t>u0jFRe6Qqp?&!|5@q0?QU8|H(x&A^~Ch))1~X9YaV_| z%@5YsHk)>Tc3jl2`MUp1jl<%?pKq<cVD6^qvR%H{spaS=>zbF*Z}&{qHB4+;`l-89 zH0F?zvigkFCnDNCa}t+Mtd2;1Viz`hljp``Et1z}ZD=o5dy~AElf5GEkm9>p1ue>I zGLQdFzm#3Z^jWEXfnk$%yw~?-r`EKHTd7p6bnXhbN~u`u%%5NK;_=#P%3bAB$rbAj z`Q=TXJzjK-N#=S)hp<$4K~S=x-^shV#p`z8RsG)iX!ew;>y%&S`Ja%@@)NuJ*0bHK z@YJl5OLyOuoMf?%F*=$z{Y=3f)oP1plWJv3PcruHNU@7Nb<gnp>8bC&O$b)EcyZ<D zfwxyAzg_;)`fE?zOWDcX)$L2(Ka2L=)AS%t^RGa|QB|#jZ{Pe@2;)C`wQ2FztlRrG znobiaKQ{YFd*i$5T)sB`N7cG2kG4PdK9DrIJ@BZS{Nhd%E-9az8avv(nrEM!-rMxM ztM_SOLH@V)5T9dh(|CE$s_K--9zEi`VbO)d&zV}~+Cp39_*Zk=+FcW=Vp?-{pHK3a zE8YI<Q|=!(w351=wD<CbRV}=E%m>}l)uKKw%nEL7PKa!5PV#PSPRwpRe0UpEd;i;a zlb7CjXlC%HMEXa{joc3#Z|8DsIG)R~@p^8@hV!`{8*gT2Ji07Wc{;{iz(!~1sa>7d zyWCm%nvS#bb*Z!RwJm4m<3G<OV>fTN|FlP~w-0={l>6bst=tbEkL7ZFxR%Rt@p!GT z@|SYyB%Xq8^PjcF8{V0DM87xU#jC5A`hWHpR9;&DOTS2V#ZKFJnVoLBAJ3Y6ocmw+ zs9KZvx`(!=Qww&oi65LQ6(e#ztH3a3{lTXX-FWrn&9e(wcZ4=~uW!sMaIFYv?p{Bk zOJCsV-bYI^IK{II1Z7qnbS>P#CVqIKRE!+o^#`8{m#~F5EH>TYB(wUU=)(%$brQe3 z^#u-}e6%Ejb9q*Q;2b@>1?@+Vs>!E!`7)jpo9#45+sxG>KBud2;R>Efp@(({ZR~zf ztNAxLO)s2NK5L_3&6=ZbA6JS=JEsRVpFMmvD(LgYt<$bB?OCO|RbYD7M%Nt?-M#S_ zrPhfa{V65%xc0`*s2rB`?2UnQRvtZ8uuE)qd+^p9HFMS+6?;&jyG>wv_D0toLEXB? zI;GZ$9^NV?^!V=0uTeQHhqG4f?n%nfHEUDcdc%fi-O*>s0lM25?q+RleG%TR>vTUV z$FS=9h2W|eq0UKhoVM8;1$ovSb-TD%O#1MQs2n-5D@mUX_lre0?A&_82{eeGSf;y8 zVsqAxFuSyC6K2F8>WRu>xtzT*&}a40V+LErX18l@y;0+{?x<KoknT1C;p~mBGXlDG zkNuEZ7ury0sv<VUV4Ik<<MgN;5!<YdhIiI36z4Bo&KBMhZMq}rPD$>GwEFHhZYy28 z|2x<G*x90a_N6_in)(0fV*kTGS!SF&zGXEFi}3Bg!QKC_T-X=8JZSkeRUMAif(IYI zm>>P;eq_iYNy{gP_say$ne4nzYoas1_>&9AT`hSEJo$bWXt&oyOg$_SzBo%xv(H0m z{;rtG&P}h2JPMupB=b%!Y>zH_@pzjI+YPOD#jq2JEmMmmlBZ5_Z(AJn<lzjFpB8$q zjD8-09g~AZ9!?QCY9YJuXuaR!s*NW%Sn+dQbNRpaX#GS7&eNh!8+;W-Zi^n;;H)TD zrgh}FUMtIuwG#xYMT8R9J0-n2J)h<1tv#*r5C3vK?9Occ(7M@4;+*yo=kFH&HD3Ze z8s>3vUi4NJtkPC-n{QDr#Pj-7`qRW(xgR$surr!HbL{Y~6i6(VJ90y5e$wQK1H7D{ zS`rFA=<ELTR8!saRn+A|aOp?CKv$l-p-&dh?)tIF)0J`83JsTZQP&?!o@pO*x39Mo zX`XWb<FAj7KV{b|ybpEx=;z9__sSNVGocgvEw#7|qFoi!R%#sb5j{F%VT^oRBiBa* z2SvMI+A0qAtt~HBPvEE(IkbG+!TsBQJfE`VoyNagg`Bm?Qw6_VmT;}_HRS2g{u><2 z$-J~9At2_Z-0O<D(z@*|$xkLEt&uV6ne5E}`00h?r?ZYnAAfx<){>`i{jKzCfhCha z9T7JSdXl(8<fnw1D`T5?V25CkNa7NaqY|OHze>L@3f~u>yOc@5X2rHkEK>^H6<OAc zIDL##<jEI#^l+_H&7NS7hVNXQ9~I|2cC}X?_@KdWV{?vK-v5)rfy*`=A9eVZY|b}J z=s%fb+IcU#YU?qzmV~ISoKrdH|618`T}?EwCrt3LhG?b4N+o&akR#g7T~0P@zIk&l znz8E1+{7*?8=o+a^`%^#27!u-Y8onsDq4?7h=;sNspXVkox5P(gW#==S3ZB*E$*dw zu-0-(g+e<I|I-VHl`X$~jNoIonRr;h|Ea+tU(244d#v8CS^gp>_tDoq?)8e5mHGdI z_%!2ADjv?Z{PMw#uXfMW!wm1A7#yj#?D^ox_w$AF(}@ear?`h5Qa^c`<J<|wqji=k z77Fche5V%nI~Pej*dWtpq0}zecPg<bIY`d7Wa_6EQww4~Rk5f)neb?djM1D)&iu=t zUN~-N$x{%<=R9X}(Zl3vA^(}~Oxhx_eW}JFS<S9O^FQ^`f3}-s-MsTByY}?AJ<AXL z{7}E;hdAr!sDACMg0>IuR5^MoRyr+f=~nY8IN749*ZzO+(fX+clV8l%`zfWeV})}U zx7Cx)f&ce!%b0k`ZQq4mLZ=LmUX8iakR2o^b(vB8dg|mKi`VX)@n~jApww$ay-Al& zcxBXVz5aB9KBuAMD|snt1H)B3U%vLPy`{!JPbK<R!X}w*heb4V{)YRkjr-dsw9Hd* zes9?8<v*flaj)7hQg2nfP$o!cl5^Y9q8EvsGQT3U+8L*vOzdDRl33U+bL@rI(}_uK zDxdbPm@OkzGTqtEdzy3GyrLHir%y3B?4$X~AgrtA%(O)e#Y;4f#AtRI_;)3p>DS6# zP_x$S#ag8%JFP`8Rx7pDX??n2|EoS~LC>v{oVyEaSMT5Qv~)#rNT#`@=-ZDmTW!s@ zYClg+Fg<DXNZ464kS9!V{;HK7=dXtxY4;cXcyL!#?d*T|Zu}{Jy)3@q+Io@5-9qO) z1P^BJFFJbbqg15_A1B`_#iNXtDL3@n<-VO<*uS?(;=vM`whHBT!MM|jJ>fxentq;2 z>nG|zo$#PlCdg*Gb6a}Ri-&X6TkYnZ(vm;;)Zj?6WzPo>zT}vxpH6IW7y9|)hXdbF z9cMnxcPAAO$69_VaObPNGtJp<&WXg1*+mi$+hmUI(0h9EFsJ8}#g9!bpDcD%XcZBE zI^kiHOqGRxyWP1{i4Da?5=q&mW!KIfFVysB?DGulSR5qsutVgi#hd^2y8o)Bw!XQr zaO2M0Sm$K+d+o{IAMB(5WczuxIy<JGySpw<$ImT9{UMV;&l)~<PL0Il^FOyFEO*i< z(`-rH?qu^OkRxA!i*tj&qT)Agl|yN*M=X9kzvCIub80?IzEb-q`$ub^Y;e-((`b3P z!pTM^m}7qx7iWQ!qN1Oc$|23xBNlV*IomG&octj7h0j0tJ(9Y?97lCp4bFshv|Dn0 zGz?c{e5R>#q^8v+V!;Fn?$7EE6Mg=vR47MJ`7fBC-Yx31(M6HRTl7)lGN&4yaE}I2 zF3ycc^Bv`_SD!9rWXd^dU6FjYnd9~+g=1wu4NgA!kWlJ4O`wVSs%i4fDH~#4Stf@Z zQE!^pByn!liNlhjKP}cSVptw>Wc9Kxr8xnCJ&)u5)%>V(JE@tUe0<9Nk8Wilu6w4g z>`0v5Wg`<bvA<c1tH8l^&;EeGmRhZ@jp3H^ZMCO<et5Rc{ikex{_fBtyX`~`--JwT zm(}{TG1j$a;qpZS=R-vv&g@FE2|aiGaNN_HiqqAL{`8seJ+8H=Vu{ke7vU4z*|k0u z#=A1UTd8p*R&}}EH;r7?19`12H<nJ|uoe|cb`OaBW3v7I1)<gdVkB8y@9Zkw_9*i2 z^HVZrf~T@qn$}ONk89t$bBEmPe=(Ngg59l`e$83RDE%)cGTyX%`I<>3=Ffa`H)x7) zKfLo*ztyM2T{ez;pDbmz72N(r@6S%Dk1H?Fzr3@h!)u35dyTAS`=0L;oZGUCUOe0- z^U1SYhHZypyW+c(i7o6!5|10ExOeTIbfUWL@J%iM7MAuW6CN#)F_M|!%>NrwK5Yo+ zbIzG|m}B=-gJbJ*7EkMlE-GIlQ@umi)h^F7uwizP$fHFft`_>PhI*;a%>A#9{>e&` z6L@pto#@g9E!|v-I~E9ZedbEEST4ZtF7oJcj}z0JFprL4uFirWMMJ-o<dm5KIp?E) zh}x|aXiDZ<Xt7G5?JC#D4FQUFVj3z3YFb-nET6zpEGm@j_fO@=iplJ9+nzP{>sAUR z_{+8In8Yrq_ndLPV3FIbBX<%!uQ4xc@o(6aXQX^*itb$FBe7bGB9<t%wQ7CZ;NWWa zY;}kKj}VchNnJ{30t0()-n>@cRk3Z#=Z9aDr+u=Hb4**+k<`{Dv268;!zQ9XXRKPp z5F8?sw5hAYHZZW~?x~+2j6&B*=m)ia5DN8Z*vZAY(bLoNkWuTAh*!&Ri!Q11&MPZh zz4j1CT4qmD(=my(kl%ZD2`%#$Jh&z6yGGv0+zHN2UyD38`teC#JGHRgwCF|hL>aaS z)%F@8y{An{t3(WaCMxkyUV7rViYAvqV3*UGDT_E}FVQ%5ENihy`7E!9iR>(=SFbf; zROLDO?2D$N#2SGvN3KMP6$1QsMISBR@5J;a*rQ|L)kJ53uGF70pIHOtDk3Ja+lf7Q zY}i~WkW}$cL$K|sW6#2(bF*CDU)>p%eER!7gN?UZPAzHKr==vZPN^$VD@kIN62EiE zljIFuOguq>9gSXomA?Zx4p+2RNGunSPZkwQ=y7uD3G-+P<mxmuy1hs7(X^QPul6jQ z_n>r}y~-k?Wns(xA5FU>V!337PCL)!Cl?NLSbi~d<YVrcepuk~6N5t$mOX}Ur<7gu zJ)hYA%CJ<CP;D1cemdb{?N(-i?N8>NTG+2%B=KOQOk0IYyP({u#2)sm)8fuw@V3&4 zKX`Zgo|>;^p?p78Liv8y1n~WA3E=zL6TtU#N&uhpoe76Iu0J(6W~$jQSLIdtWc8-% zNz>P#*mY9NHQX}gg;Kkm)#-)({zXBIcBc|M_=EInzD!t`+rStklGG;RIz!LZaM@qW zyRX!DMi-rb^kv$dmddrqcfATxh_2h){aJI#_9ypd861=rUREKyeDyLB^Ui&lwF?}Z zc&(P)SmE5}dy{F>l>C!>yPnQ?l&Y6^fLoJ)YIM>0RAKq#<?cm3h0a+od%sp_r!Fb- zeiyrKo9}{%%ze}AuQvGKEVfqixju95=IYv}t&ZZBIqm#GOl$vl_1|PO5#0V{SDJZj z=ML5DYkzqcdav6rBg8YoxvR10gn<X&&j;%5cJD%s74uHsoqAZH{E5M#T+5!q$Ww+# zwLPEIUi7t8*`e1ia{bAKhpS|&b||Tyx!|nDbuVP;33q)>t_#s!PG2T2;;3D!;kJLn zCALk`Mc+5yoyj9;6CilhS=8`O(8TuRj=SbMK8Y^!Z}QqbG5_S+wcC!rnRe%L)}))d zJJYomRjgBL6W99mA;{J4-U^Kai?hD2y)!8~`0mGy@IzUeN;9V4T`UyHx&G;d?*c_0 zAH(=0@10!O{=MkM<7Sypa>0DgHIoi==sz)VoBpceUSHBul}}PPO?OXKak{tc$%I9_ zWj=YSx9_=jQgMA*(TUe{Wj=MOw+p(RNbC^}l9LScsC=^cvDeAd?#DxmJT^x0NnSg# zuzhRMi{!a7Y!UkHie@JgTY8Hml6OvV=RdvF=F`Q(%}<^#ek^9W<c3yzjjMjU+_uvT z`(=wH5|+!f-B5jc$lYF(>q2~&6VHT29QsRVNj;uA{oW+ySGqfw#_f`h3=B$~89uYP zdpBo|q}VftgNrs8$*44#^S27D3FJ5$)oQRNxTF0m*T;<kii~DjE&9o#LW!H5l5$SY zXF2+4PpkRFwOoofmI-vd<x0G<P=McB^ilG3C#E-H9v!w^og2ax4b`6dHzj)BJ9PVk zK&t>xC`bHrjh4h6PCwSfD%!besT|N~{gB%3q|_7W(X;XA<PRH6@*no*%yHs4rrolB zuE-&SKt(w=?IXuiT3Kc+njjGTS@O$99eyUA^UVU<pA-(Avgz39W#>~_6mhrDlViSQ z*zrR~nh!U1ajaX>ku<eSV%^FUhf76&?pUa_Z$dy|N3_<X$%>*!E!NNBpMOP5?cT9% zq3rjKlq}XLbt!8lS*%jxKOXYr@s2L0IRSwkleM}Eyj%_a!qxY3KKfkvwC0Fx!PD}j z8$p6cYeWro0w%V%YJJ-1;mRnsTH}b2sB6TkMG~i{eEzs0(o+83r)k>zAN%IFcKx{H z<jV6o<VoVzu9`K0fel-=xHkHoT-mSdb(d>HsAA2obrU$mMT8zN3XlAwvi-@j)~SvL z_1<|^5i<{aZGV!M(r>J`h0nOS&^9ep{n}04oi{DLnV+Tf`>GZ03Yj(Ac<aGEF?aYr zueM)xZ_@2KYxySk-V!P^v{bus{F2@Zndt^5(l<^wBt*ys^qgRBn^^f^VYFSK{N2wA z4(Dw+E^6~DeL2@GQCnoW{KkCa4{?k0<pjQ*X72h|dB8w{--hQ5vwZU>g#!<4I1E+z zm3YoJOKe{;r?`!Ir}0MvQ}NFa)y3H>X8x}2I2ifY=MhJ9QDM)$$T>L=KfY7{U0c~N zXuX+d+GC-#=Zpu}*esYalby%-(}BZZY(5z3@-y+AZWajM^x21De$LaRHrJgq%4>Jt zINlT^lTfF}XQ(gkK4W%w@|l%K_HWZJ)q413|4GAxoiRRzHsZ!Ir;p0@d2Rf0SjN4` zQ2ua{;n9ULX9^6&t8b_seUvZo=KRq;Z=WS~?cF&e(O>V|hUl)N*T0rOO^VYmGCbNB zb7q6Bc(sMX(MSBN?w&l#vc1^w=-QYw1;*mlI~0#TO8#)Y*zn-i7@tB@apO6sj>_qI zZ~W2ldufbMfuVSC%!#8s&x<#<7YFIs#nzdL3(q-uRAhOv;o(~`ZxS!=UwYU*?|q?9 znD*=F|Djh7wccDmX~ucZf4aGDCwE`6k^cO-At6pK;LKU(Hp|KfiQ9Nw?#`XcUXwSM zUBviPLt>R&#f)iB|2&_T>*{9yJgLcd=Zy0##fFF5V$NKz4bu6vLr+{-=iE_|>>|U% zS(;*RGeh;B2~UeTvq4Gxv+F*Q?r)oQ#D#Uv9u@H}GIYLQKRr3_MUc*|^J`*!42;D; z3!Oi@=RmQce!iyI+w?3wwHcGTHPxOc?b~<ysGOSD#teJmBE$7UJ7*-t=%q=_?zZij zm~^qu?RipD;LaIIDSBxV6T5BC{QO>`|3p7m+G^{ur#aa!EoUSCzRxTbsb3QkvOl!a zVS^FBM%WX^!=G$EY<P43^2tREC*_%9R|zz!ay?|8?eycqG6B0CPdirJ;}&r;h*uQ( zEON*oTv1N#X~&8m^8a=HIqWoA9_@9KxTksK@NF4E-$%Vp9C6w$kES|F#AzKld{;)W z_wi0Aj(M6bk5)QK%+ok>__2)O*(Vd7IOMci9yK~iywg5%c(05g@3U5?A1PMlx-N?) zR|&K!a(y(gaCf;ZxkR9;k!zvE0)e)FTpus!yC0G7`!VJ8<{zKSYDM^6^IHwR1a-8} zvsC)m5zXH!P!i7JYTjy4657$OSF~7!cWJSlK+H+EMMeuE{_QVn(0BQK;P9XSs<LW7 zcjs`69CA-;4bTbVIGog45iv<wX}`Cq(*_^KJ=#GWhrL=WX3SPzl$amFA)hSjv@ueV zCtBoDVs>9g+g@Kq7GV)5!wAJaTG~erUyu>xt)Ah;(Wc#^Zz+1{`W&v023GDahvgOv zG;QR1m^{r%f=#ofe1WBs)Hk12fiqzoM`c<K)&zC5^MZt`S_RgGa?HQ3(NezPCbPcg z#}9VyE{E%u3p8!#dKkOeNkUHZ$l-1oLEhgxohq)c5x8fn-BNy*>*EK5>OOTvn}^3M z9WHq1ZChl-e};MAqVvrBf1e&oT9o)bn8Pu?HQ-AihjV^w#Se}748pv-)6XeOeak-T z{LxLZCTi86Q-^oS2&x)-E3z1iI2pz&@>~{ql$h1m(Prza$TC^f$uLln=dtLc#E`y@ zw!6-Xd-jKOyniox=y!GNhkqbPzFQ;E<i9gWl()LWrqA%=hH8x?&fjGOd3Vot;@G9t zvZ&ih!b<zd;pH)Wu804v5U_h5#4*2Ev*qDNryp~)L7rSJ5cgW-kn}dEis=gl?rkVm z$-Ke!kT+U!Poj2<c}{CZg}S*{;LBqtnYXMcPX64G<RfR$bB;Og;S;CtE{Ek-3N#6F zEtFU%(3Z&cFgc`8g*RG}rCHR;&_j`jS@cn&1IXF&iY$LcoGykd^3;kvT3FuKVf1dP zK$G;R){icl^8+~!XSP;YOjTZ#I6s8rAZKfUOgP73!`2FmS;~tN<pVhmCbkCTgmWBr zY_0h5U$XkO!Oc>Z)1Oz*J@#hRtKbW+>vt@xT9sZ^?mS5`seIKv?~XIlUnk|cJZp_Q zk(%~w?-@9#aW9&X+xXYLE_3evi8|h!4wT(sXYR7t$loH;^jEPpDc&RThIEk58%~W! zNAAf6ag?=+bj?+4eOTbJ@xzV!NgYXbA|f1eY^+`Ej*SoN0v^29*stLABQHQ<hp>o7 z9y@DWwBx};miraBzPkk|?2r@DSjWoRw%YOFA<_K`r@j^iDC`gs(a>XKZR2)4c&Ku} z!mm^P|0G*qXl?(sTl8XZ9yb@y=BB3B&N|1E$&;Iy4nA4nV5q8L;KR#RlkjhPYqih5 z^G`!N{c??hnVLMa91H__e=JzbCU$J|q0jPl4`T01ELUVnHfc%P()Ph~cUy$SN|i;g zWfv;4{5EMxTHj{y=Ar<%^;DOVi`FJB3s$#9yjY~NDEgnz)s_d7+X8B?2-uws7rI!z z=ej^wxzwQ#Va_^x!h|j^%n6iRxqXY4U8sl>55H-lj!l5j#p5=Y1e%UZIem0-{vqS) ztYZ@_bWzdvx<He-l+(u`=O2H(ohu@>J74U*m%(u`ns?!j^@=?2O<T5WsS3v4b?1G! zHr!dmE}LV%xps6%dGGQccOn};@RS5IH@(YpxaiFLqsoC-=SxuP>D_8qqMbSPR`Ffh z?N$=uEYX+I(Yn}6sqg=cHUXLI0(Rn;1==P{B|V%nspH*;Eo}mGE(+M4zar39EOqF3 zo~mH%3szo5izSL8(@k0)Zfg6W*_pLR#I+!lmt);Z#-pXC2|E_DiRG<ou=o|7<xmjK ztMP6H<KbA-2bbMM1s0#OHdT;VYc=yohOry3#IaS4t%6z#ZL=J+91H?@HP}`#I@g<S zxZuxv<j3W3UXHd^j7MWk6J{)C6H8m&AW@aJlJTIA>4F&x*?2BrJ#ffERABKr6VnAV zR<ZE}Upa6nMfAh63t0!$H)kazHicH)I{Dwa(fp`->>l-<LO0^}On=wPxlL5w@npMj zgtQ39H5S&ctB#F{p#d8<7-`&y5EPNo;pftu#@eb<#nvhk$JW=9lzqTKxWbW{>EP!D z4j*+iK6v^EZ1|v}aic;;M8<}TOHYoiRYi`aRpcFe(YXyK6N;xD*z3UKR$#92flph* zU=AmjSRN~@w0Uz=v$W&EqhA+HII?xYghN*y>gxJ-Orcz)PX6;(A!XgTp=n2|FZ2 zbYeKT%+9g1vOjNX>dtEn%SjGac$1K<@FvMwVa}0X4i>GYYYG<caV{;5wrvxwk5p-j zT=Vy@x%NM!xywRdTHU*EK9#9vQY2r%9!+)$J8`C$$7Zpt>)!t5IWvp-DaNkZPZ<tR ztvT@NoADBv2ew(34u*SV5+njSDt<>^-zTCF5ziN(qsJ~Wt)j8&(c9&_r#FZzyolrr z_>%Q(=>+~Qrbb`%4D$VhxjZYXrQ-MkdNkN2+_pUzozB1I>5+iivx{&3xworIvx>1R z?<s>bfByBj1-jE6<}-aRvt2QvW&7)cPZ^G0C~8RDv^`t@!ZX|KqQ!sX!~aC)rY19; z-uzRyNwihBYig_Rz1gDMbk^wQ+>8jmQM6&rhNnrf-=an9k8Z!E^}2HZuWcJ;lq#Nc z2k!aaDq;7-NzCq-lbPL5CqBF1PI__XpO}Rfu3C2O*sBtz-LsgP4!&F9uu)yZ;0-UA z*f&;I>EF#w&CHGmk1kBJJ2R!VW^Z1Gey50f>cvBHktGGo{@xGy(<<;<IO0ak9kqy% zThDxVY&jcUka_#irAym-Q<vu29htH%c1^~=%%l9TKlS%-y=qc*|6#`IG^y9OjCyDM zK6+lOZb{3Ye24q`b#Wh)9gmvIS$?{vUl;gMm{;uL@9B#^i+V0vyVX*n)?IT~kL#Dl zr7voX&Mw*eUdMI%#tyq3B6I!QS8n-kyYz*T*hTfJi#~I%)qPuA4pwWq^hJ$VmS^?- z&@R1X!P+uStN9_jF5TNcebMO%m-&%h=a#qjZTX%H)|x(Lk^1c)Og4MI>w@{qr!QK5 zYlrHd?`I+0+Q5trE_?j6L@I+f9`p)unHzOyb42!O-m<#F^ISTM{54&lbEj6`=k~go zs<X&n&-Hn?&CY}CG{BDBA~LsJp{O}F0_=<=O{@5fle}etU^j9ueNnSg#r(!mur<f0 zFZz76?@q$>DIh1xM|Yi@u3gj|8wJ+rqiGf2d4jhr60Gs!(ib%o70quv1#5H{mDY(r z<o$bIc+LhPkwAB`?>h6eCP=?I^=O`Ggo}J&m*1@!cM`&<f{hJ3W04;i^yKQ%9`67b z*|?spttWGHeGON!xLzqdx}v64_ibq|m=}3;h2QKu$F7Mk_6l%$8{YG3+sU`twO}d5 zqbp9D?aa;9aGScI!)k$q=~cDut9y^G5E2PwuRWMv($rNhclS))@<+2<9in#`U2oE@ zTdLgO$@}PWL}lxPX)_jzGS#en#4R{S-(B_Rk!L?e^*8=}dPE~{is4!JhzH?&IJ!>@ zO01h^c-lSU5r}t6P$xz^SzA7FTKq?)GkWeD3itNBt!dd=ceH!M2AP8gpB5cB+FGR0 z+5OakYx<^|Z*N&&?JdfG&n*;_fBw4P(gddMM_bm(oJ&txIz#1`i@yHqS$_>V-?1i% ztxBv@NL#3Ot>ddh;F2AW&I=w+t-o+cwf@2}*ZK<w=YG4t`bU{&#EM_f8lJr^nq5C# zFYo8#oj)_8|6aUPvqkq`hNsO3ckgG5b3cK}FYewC_t{5j$>;6`ld;9FN9SL^+U0t- z<&oM!myfa*A5WAY_c7~LJ{uqMXTiFqmcF-F-3~P~TlV_)s_fUBUdGJwH`YoG`(J8y z-Eq(T_|qI|A^$c#>Q-2tnUS^T>=m=8!t)|Etx``;$jm(T$;DuGP{}E;J1Xmf*8Vtk zgfpye>7DwC>INrs6eN<(0(?xRCERB7iit_^Hzm!SXslye#LeA%=a`amxxwKRu|DRX zRF+9ra!uYpNh-j1+s;kP)Mg*Z*;yzmaZpBg@r>1}JfF9mIaH&&d85N=8K0|0;@w+x zI*(4ZU-x6*5tqyHi&RW!vP{e3IJoK5{E$C$yHqa3w{x()5IE`}n<TNsS&Z%VgkHs2 zdxCYY3$%$!B_%FxyAiQekuNN}quW$!(h(0{&xP}6nW%nv=WVK3@q6i}idhx9wigte z{>5hs9IcJjX{)wMdDzEyvtr#z>!Z@Vi4|)V#o{tMdZ$Z0deGXoqhgJsr_H4aB64*< z7k9n)RJq%+@YJi**Gi6^SRWE-wajqMCv#DI{-%=WCF^!=+PG}fQlq(N%~A~?X=jFL zSL~U;n18zaR;F|OUCLVZp&x@)^G~L|dUYxC%UY4m4;vP*JK8<R`+D+}ntz3_c&{xk z?dUHlG7oj&)VX5RlpN(&7;{>}FJorw<fydAQ{D+^9D5~j*g|%bL0o%|&jm$x;nEXF zRb;)AHt{VraLp5y>E!>@wKIl^`AOoH<D6#KXIUIAQ%=7Tt354V=)C#K%d5_8onrj` zr?;^BjU~4#JA-qKi`rsWo!Kg4RK6^z>8r2r+0dz1<JAko_&LwLR6JVwQzd)dxz1BU z`MJBY7O<E<smz_Jw!!h8!l@Y#wsL;<yU`+fZ`Ov^a<!btf5U%0UUVbLBCBmJTUO%i z##=Y?7Vwoxtmuwqn{`C<u;9`S!O=3lNv(z#S~%HGsxReNzi|2lXO)ztE5CC3i@Yad zyCin@u3L6^u|&=x9{K$y3EcC2ZX9D5E;G2YC12dx$D-3tZI09X^-F~J?~HvO<DKfA z&3E_7%CO?vTBkFo(!)>Zb}r7@6?Vb$>Z%Tx1v^i5ED<Q2XQZUgImbU+;NZzET4!q} zamttmG`Vx#3eY^|UXtf6vQsyx$u6|Y>*9F_$zRedS{Xx&);?WXZuBiQB#Tq{OK_55 z{wJ=K2}U28)Fg5ai8%YrnBQ^gv5>{xh}Og?EwAb+jY2*q0bSu;>(+ZnzLQ$fTFsXA zcw(bfg^oW5SBOvH>JL7K49|9kPd>aQ^y$?n1;WdAtbSqXHRE9?XLLo@A+vciH#Fb= z<g>hC^G>mSOCrKmzv|r6S-R4B8{2Ks4Z)kbR901-kqzCy?nk6V*tHO|ZqL0t?#(@L zPJ{d4I<3rFp-n0V%9orCj4wGGYF|2RV6Amp<o6;S9`;2#VtsCrIWpawEG*<w3pXm9 znsj7~hBw#cr<bj6uUxg&%zo+IVAZWg{m<N2upB$}cvru0k8x4g$9PSnh^sqQ=bj9` zmi|iWvgr(k`0Zz+H)Wo0>Wue1eI;l4t64hsE#H1Fp0PUX-JOf;R_<f_Y_ehB%3s+& z6RjEeyEb>s*ZLgVdc3f1`{Y$3mij?<Q3(q#9oW8VmQ;4p%WpGQYRPR3)teW&>XBgd z(MK~E>&z>gdedj!u9XWH8a3_|<ZJpV$k$aV$XCa7>hk?X&HP=9d8Q<6Z46pt6wvlG zORH<f^#@9+yj3QX4<s?GMkFl|@#dY97&&#*9+tKK<pS@Q#>+iah!A}EKy`8XhmB41 zog&trPZgeD|MK*@&V-GPL3{oO`AfFdtjyUKcX<8!HxrIYg`PjW+~TV5%~x)AEWFc? z+sms<EPUe2JM);2H?O^=yVhp)S*sQ-HrZ%<YW7*LO0j1jV^aNG<kxoI+xY4AmIujC zvgh6Od$ddTePC%v`LcMg@WosjjW46z44hAEq-9AS*3sQ;5Pdr5%ta&i;;m<n+UR;G z?VGlAgF|>qP0dBu#o!$78s%o_dRpS%f5+oGC6|vqejTq{e8Kf}OwF}-`knq!(+(ZC z&*<t&Y|1OwX}rEmMe?9@>?AR@S6U~IsmK-?M7GE9TwSQL>{S5Q<&gBH4zfQyA}1fX zZ9l`}p!HM1Ybh1=2BBA@KYn%3jxElv)$7$<mA7q~+1-_!C0f+9Gu5uoIhQ8<;{&^` z>WhW1j&n^}w7k*i%cSWNbFP)Idvzyf=IMtXQ(slQw*D+5ANI9gM)a9$yNSj0`4X}r zzTVzDmaR1Gy?1KYs`ol-Ym-!W$A_l8{8dug)?fSL^U@@C*IEC69f=E-`y<w5yXs|R z>@K6|t5-C`=Vu;^x_V_}?5uvlJ-2?<t!!oa`(?tS{aHC@oY$)+HLSY#YPR&Pt5wFg za#z(<?+CDs4!wGq_v_Ce(Iy{XcYicreJ%RVg(;^^wwY{8dA&1z@~WmC>i3z<R(b6| zD4p<G__kZlieHXL%MVR+TN9qTZsW?<_d7SOniu}YA@t=`UY6!FY+VP_n4QZ*<uBj3 z78BXLd6n?Gl`FOCZ#akEbrFu=a{YPbb}iTcx2Al~TDp4Gr9DFH*RAzl(9J1aGi`nI z6vLzH!f8KN9KCn4%HirP+cU2}Hk++0k9fazM)^t3J;sSm+tqwN9&3?|JKfJJTCh;? z{zWOS^h4f1kNF<F5YsIF>F;HxC#Ub{aL4bF4cWS-N%?lj)MKAd)kl5gZa%2}&pH0z z=B{{YpQC$a{{>B-@gkJl{Y%KcJ8C|xzg#Lk?%myZ>(r{8Wm4`TwZZo;#>_n&7`lJn zam$UbDmCKIe=cldck?TZpZWLE+k@r%uLn2rZmsg#c+^3%?21;^>NKB#uf=B@>+<%j zS}gLNV~<>7%+!+q^MmaoC0DbtB!@Hy74QCYwBh2adz%^dZY%K#tz~6C|Hh;AQBA_L zx3w*+%0DOki)dS2`A~8VOW*2SZk@t&42rrYyPYdIbwYPe*w?#f-*WlZFUY!(ou{tG zv{c#RbLy1#g!(1zk7AazKdf1D{1EF?LDP_DuNSX>^~zyl)!K)PjOv1GQ_U`4lH5D> z<K|GyeGKQ{FPy$<X94^Cx}+IKg?xMa7A*g?<wUUEYtw+Pd!KT3{PuqQIVtPKxv*^? zIu9p>>NNf+3TW35JGFhqrxV%-POnN9xl+xL?zr_)iuUw>qM>n>e?QImyjt}6#_Q+J zuRgo<R(QuMsmN1oP1&mqcUMGy-z6t>=Yn(B>{b7iukW1bU--(ncg9jJ-NwDl`<6yk zah*y&cjf38ucDHzTK;oB@kvRCKCfL@yw2j8&w8`Mrp#4GqFtrez3f?ewaaGvvpvV# zmakCeax;v)y6n^E->OANyQQs_PI?yUG#;6hu}G@Zx>~rTBVi9;V9#a6wvARPi9LKb zBebWtJN&dRef^|K=gu3igq3#;xOx(1P7BnzZqz0km6o`4+RX^n?P_(0J{=3#k-gu` zZe{UItMy5)tm~$9xBFjSb+q^4RV$Ybi=wI=Hn`S=sWqlbIc<oUIp_PG^R|_#^W9m# zmv%gA<TJFnqR4Gtdg55JY|#go_86P13svU54B$Gy`pQ$6jd8(EJlQ5k4h8XUG<0^B zxpV2sfup&+o{#$4mK2109y#-&wM}5p6@jj9sY3-p&N_1@9Z%@*_!%VXcY1R3v_HDf z{#;eHi|i^8nA2PEp);tZ*?;mAO^<^IpO=V-TwEQMoo%#r>%Df<0;NNa1)7H(3nS-! zuj+f0u{-KrV9lI_-Wt=@M|ba6)qC=EeOm0q>ynP#2d`>yAKj|KeYo`MMKRqylf56f zwKP8nY-vsk*?RM!LC`$DC$jhM9uZ{oGPvWQ*(RxRIACXazF>J-mT~XQ80$4tpS#J4 zmCrSBk$Y$}WA&ND4K^lKK6eZcDY*K-i=E+@uz*jHC*bWl=Nd(w_zW$BrteX1A01Ci z*k#RZ^^Zz>JawAoi$%6<;;~zGI`g8YIhDsw4E%E4bus6c3r0=zqTDWepOz?l%{MnH zLixYU$^$tvSB=<rZ#i?cP}loW%e18hk#$0b=Ouo<<m>+!=Q&;F@!g4fp4_#iqA82} z`7D2|k@#)<<k40>)sJrNK^3dl%qiKmaHnkEiS7GXh2^iEEj#4<bETl4ck(G&VYP~c zLn6C<XFS}w>5OIGx`W24S1$dXxBQgW$9LatCe9E3I-#8N`$n~!hgv7QOU3Ls^fjRF z*wOaAr4OyHGQ8X^SrWgwJ<Lw><&BQ&Rj0J>YVT69-+3u$&*{m&;h$9RRfR5(+jF)! zI@IXsZJX_1CiHLnwDs{cznRC%W@_1m#Y~rfo%!-gz|71wd1s#;S-LDcjoDHD=dG>x z9WLI^NqCWJ7V#p}?8b{kGm97Nw3^#a<;;z^RdU(6X<e1a#ic>Jq;6kYDJcD<wAB0I zzO&q++8i-A;&kF~YFHg<ee1i*?A7iKe?9Aj&K>8pld_br4DH=flK6XVP2%nEtEcyV zTAF=7KW>|p#$msohswN{r{zr3&)GU<eboL*^;@q!irrebl8t5l{z>c7xE}0R>^{3{ z^U8|U>b0+GKE&U=w`kS=PgCFezB=+beB1U_TF1*%t7lg|U)23c?8A+9ucpqvU3vMC z?5iVt*{8Z3+pg8Ut0J<h+vxtxXV2L9%(XtNCMbTZ%FU^}@;b!#T=O2+M>94Zv$-`X z?_BD?BhyvIm2FwXm25?xE8FrsSF#m5r?pyfa`q$lYPZTQN3)+U5B=->AnK=Cs;TJu zsq;gwxd`XWw*LFmanqsTM$Q6@6f*-E6KNfp0N$gi&dWA3f73p#7(I1$?y0clM^pdS zo+{FbyLLZ&0ZTQ{lt+td-uquDS;^5mXZnJPpP5XG;_BSab^GtLeDyf)<w^V9IhuE7 z+*t1PQ(CyXv_pIb-^a>DHR>k)d#>$@y&C0hu6FIz_p{vgMPHQO2e{?simd+3QYNKw zP>=cPOtXMC+f{lt)AR1n`W9!fBXa6%CAAwVheV`(XCyXrMn~iyGP^c&L-X8KyFR_U z*0KCR{%S4D>xP#j+M`dEcyEu+)4HsCFYl&y{L^#6a-VnUExT^_ZdF_Fqqoy)SIwO8 zzU;K<)yyZm?-oUxZq~k+w^QZ6QnbVSveSX3NB+E>#y#~>sB`6v|2pq)mEB+aV_N(} zTMw&@M;D#A<kOwF<U^ghdW{v29(+0B(W4L#&%}5K!TgqZ@e_+Go+`0jWAha$cfKeQ z)*`}f?A+D6b76_h8BR?-wbm&rajlm;7pCX#bebh4HB0LDs#l+0pVYduLVNo;CG9)2 z#ZGT?FSTFuBag%UddS1V*qv`hzv|>)OgcB|fH1RaMb-k5^E^}Z=ki`T)V-@{GjDLA ziT=`N-aSegJ2-`Tj1#*Ss-5|;?OKB_kKxg6hBsPdd5jXpnO~pU8_Xzve^zl^J4fG3 zfun`8Nfry8#r$4P=nb|y;_oe6RJd&2o)AsNdoK#ZSdXlCJ-3qa;Kf~^Y(?xn9xQAV z_>#R`P2{g>OJYM?gv1I(Gq$b4X|ul9yjq;NV+EU--pa<_(^(H5bV=>lv5L(zCb(H- zowj2z)8wlS2}?G;Ir=((-j!9H@8e8b9!+dBu(>9{eb>}-!$tqOnO*H$y$*bE<JH*{ z#w@-5%7KI4rUf6Jcqdh?W7D)*&0GG_^d5IU+v=#f68C?5Bs=wf-!)tD?V-K-vE3DC zV?KsI+kSiM{M?Wa+hV-4Mcbr=6?Y{2v^)`hd3&ABX^mO0x^7CWYiEgmeaJaAR`b8| zt(Ow{E4p&JufG(1JTD~W_VLd*<x+iG9!xQucxYpPp7=XG=~q#EUUK(c&k{JuDZ9vG zr8Cd+k`sqAWj7Uiw9Cx7s3?BDRHL)lYRVzq`=^sWdF*LkxUK7O)xL~l>YA$_+<Wh3 ze{I_IM~)$rzv)TzPLv9|c;NiJjb1bMr?h{+v{NIYn0J>(vgo}(9~@^cwE4pKZ1cef zzOUo2OY*YhpJD6Te(C2#^N!d0@0Z*ZPhS#qt$0aeOu_m!>?^*#Ug#9Le|@k>Px^z@ zzmA;TskY&-ma#rb{TnE`I^f#mDUzS2e$8oCtT()wqwpigEMQO2RMV5wORtwbtSs34 zeOZ4@ajIEw-m0`I`u!|@zwY&mG}WA2-gMOOO`n`l%p@^Mre}M~n@Xowol{bNvG7#( zJk<?Oq3)F)8)Eo1r@c}<oMgLYgA0G|o3N{$?3z_s{gW0>pBDLIZK~O?jG4`eQO}Z^ z&E|WqxxPu{+Di%FgtgNI*IYE}x*BzCgV$-DHB)|f|B;RnvRLHI(q7u}Xab+1%oRm$ z_L39F>ST*H__xQrnR;yl>l0n4-4Ys(;h77cFXr?yO>D|k^D(^JBAGU0L+ebnoaAW_ zcWCUA)HrN?|LuB%trrdpTzB(lF1>KtA@+;e1(jb?8C=03TRwCYFjezRNmzV`_36qT z9UHybkN&+ex5{IKGr#7x*NTVDY`1LiDc6aso|g_ekFT-ONXI0AyL-vQt+l?5&*jtZ zEi!)+e|~dh)UVEL&qZ8jCzlww$W0TQboAp#kKCX4rqBOy#!RGsw$UQJQ_dISS8?6% zUmoVwyz>3_VC^pxl6J}&>Ew#*trE(~czfjg?)y0k771nnGA7aze4dhD?ncKiYG>*G zIw5JIoRQ9CqtK?GkL_~IK17*IYxK?H<vD(<vwX{Q-bWWz3L7hZbAQg-yxefjx7fCC zD$@R!6i*&JzTwHE;s~##M2nvy)2^`<J&b)ePjpM?>RYc5Pii$xJbCQLh9?O{5k-co zI;w`cI!g@}>vXQK>7LD&eM&WKLIty~d}`cc3%O|(k?pG7?T07zhCW?%&RdVKHP%yx z$6Hgzqx-$U6qS#a>UJyr*o$7*-ud0K#L#A%2|K^)*`v&!-jDVzSz4e!MRL8@`qrLB ze)?XsTB9eWJzloNa))AOjiUUeJ^6dRqz;ODChyS6G}H5()x37nv!qZD;rkNNl3FZk zT#0QdTQ<a8lF^xLBJQoK+o?Kf+M!1i)a-um3b@1})?$>=$)h)^N942W*+Vv-n+?M+ z$()(EMJ3HUifgme%#E&T+uC~`b#JIv?M>`o^6~1FC6+f-Gxf^6qPVP`X8ovnW9F$G zA$w|zveDi^qoACLCfu`C&mLShL2aMFZpAIa;crs1cAnSi5P7}ygxEFDS<PD~O*<mx z>HV<GLs-6TuI#P+Nz=dhcorMRUJ8<sy>x{Ah`QITUd~C+lIAQ~YG8K5w!1(3JoinP zz_lE=C9buqa%Uf&)O|HkvokbNvvumh*sA+N@o~~Cj25aI#2W?aOg3rDJg9i=_naR> zC)1O6Jt^K0@kw=~$EBbMflEiuK69Mpb}{ynPRV2w_E=TjPCZ56FT0JMD#hZj&C|-{ zncw7l{`Z_8Iw#W~H$N%<5O*nN&(tj{_dKGw);rJoA@JPTbMr^POEEn>vg=>WbN7hi zI_x~_MOXTEZZnDX+V4~)HQBtSocTRB8#r9b`7+&v{jbVd*LY9wMg2>bUa-D$YOmh! ztkMe_yWZHDCiXtu@?>*?-=&<G2`22~s%MX?dU`+Fv}9?)8mk$Z>rdYkGV0R%y=#f# zok=F#+f~mVll3hA;BYC%X37?obskY%(ay7eEc%h=`PkX@#e+QyA8klkGDFg8`jPUZ z$GvB@c1}u5-alntck`raM-~R8O;R%UcXl&e#=~XG|8efez)5MzJxeSl)H6MOR&l<w zP%XQon<6q_tzPg{&kwDi*`ANJr9F#3dS0sN4;Pk@J@RC;dxTPjc$a38xk=9A83Hk! z9dRFPOFcFjSjtS~pPzX0;EfGW7R7I{nIW<57;ClSLe&o%Gh)@+*4_(BX}dTnEiq`r zPXoi8uaj!{s=Q`(zne7eNV=xJW(`MXlGKN=OC0k&rH%@FK3?vau|MgAv}WcW^Qk7{ z$||~@$_r~d)C?>`^cL;g?rqnmIqCc%x0C6~%a>U0P|wtR=M^PnuBzKAeK2@>rT28b zgNFT`{%Z4iUB!|gdwO~=nk{19x=zuTCtu!~RikY?|D?2sdzV;NsAtyP;m<V7m(f@4 zec-y`v_KS7xvKKfTF>MidYNK*9#Zi~yk<2=PnvdQZa~@|#aERlG}>0MPfB|{ammgK zrA$wosar(mwU)i%l^1rps?ye#IVml1)smYtlrr_yJfnn!ou%Zp4D_!^RvvceKj~rU zcS+)y*Q{2<NomPjmRL%tW_tEa*&@Q$vPC36+E%r9!Sp2``9dy9{PLL9x^Gh2;`vJ~ zU&!xKP|>sJ;qqo_b~beF%;VzYeY8YQsPCkKiB6=1*t8rTes8|M*2(@!-Vt7j#TyKx zIOqNEopkKE`9U_VEiBW#-S&x0GuiiIvdO+zlTD<vRn8vF@+{uyb!k$BW~SyEj?AQn zD78$MVrTZ}x^d~=Ze2eQ1|Aeze2vL-^M-&+KNbagoj=IqS!@`5DQJf1r6YgR`j!a# zaLRU=AKs}Ysrk%P>Tr$c<_!*)a&)Gcuy?DRJ(}d{oz%Hx=?0T2neU%i2pe^6e!OXk zVay~GZgJIK{WO)c2P-|jALe)nhkx+%mO8jHVA<Z($9yL}3WF|5_<77~wOpvCJgxV* z>iq89Nz)E}o1k{=*4#;M8@w-RlzB@Xmh;@aA^cKK&Qufj->PSiGJ1MHO7IXC|JCOq zb?{<<W3}@q(UTs9HWoiO9$EEq!;{Sg9+za^Ox_}LuGM5?#cZ|AnlDpL*qNK}?p0m) zvXsB<;-bV}{+mY@#&UnVxM-&j-|;O5wmLg2Wcp?tIlMq+*3rEij#TeYIQ>~ILA~j< z?8Sh*_GF_yT;4T;;?r{WaC+BpickB&q^z^lK&fKFqSiTF&%8}07$2<qe#`xSLeipJ z=i8HS-4L86lf&(;XV$t@rL1+S$Tt?%!kGyU2EvcD4u06+VyL5I$iw3;_O5;M_K!w7 zmM^6GUOe)>G4;sm4O0$%UNGg!&U%??9BORQyUca>h;0kx;d|!f!`0rR%I$twP*#S+ zTkl)zQk8FQOGV1qXE&5zeVDr8V@Kw_2@h0L3{Oi-P0Qio_O6MOnigZjt3Ln8=M7U1 zb$>G7X4I?uNPq6ywQKyghnD7*efXJe`}BE7Us$)gke!sq!S?mu{BK)U?D*LIUCrl% z-}G(Ye}34`mi2I^US^;Qr_4DCgM%l1UPcD9`aM`P@$<d|Cp7(}Ee~rdZ!b7-B3H(! zh@HK6j(NGVf71Sm%QsvP*&`C}dr?!qzIRUlQ8&NBGbbebq<6LoyX8IJHPL!U;uF0* zsY;>kJvObkmpw||k<@igXh-rBmh#>?kLFD@zGGg*&EIq9n6q+u!PyhBHb$RR<fJRP z{C$pQKis6bYwCeuW%Y`*CnD#2=R9nk7+sP1#4Jv7XY+NpbL~aJA8+hPImD+oYeP4; z+MFYm!q1AI`n*)vG0I!*c|E+$FClYFXM*Rm?V|g83_fnH<Pkr3MnbPk(lCbSa`Fxx zpYDg73{BfkADkkgCq6lO$D|n|ho>Go@`7togv?wKc~7+?1%f^O4;M*@A3kcJ-FEuW z4GBHz)5$wz)^t29+Gy0K-5F{aqq(MUokE-IMbD2LGkkf(58jf{>nb&j;d!0BLno)> z;iip-ZKn@zlF-|?ulu1_QZY|BSM(z76Ne7HSn?xdn`v8jLNt%~(QguZZL)?jVr9n) zZr%_*{4}AQXL`5o#n!B3$1Nuh{>hl!U3qbt$}_jwK{F<6?!DrknDwbnOej_H$DMUw zzU(+Q>A-3a{)4AI_>cbe;CH_6Gv)Z9!zW5|Y&yPJ>=1uhSZIFfaQeK7a(2IS!~;b| z<}aG`Q2G945B~X`ZdE^WOfDV%pm8ZVF(IV%<HtOoCC3lG@aXRjoH$R#&8;d(La;Q< zpr*&F#O@ex|1DAZhi$G^8f|V>5^XM3Iy`+}Zb*o{Ec~GK=|(J1hmU&azlrliez}IW zw(<wwe7HFB{)*U-8`t<RX<t;nq<vxdlH*S2J^Fj)Cd#qjRkqdJ<tn<c_j_P%e{*@D zn0WqT9nU?To0fdo^~b7d!P0#z^@4S6cCxh9$Yv@@{MnjT@qMPy3(><z-4EKk?bfI| z=Fz>-oo9OMJHr^WT}KNnUq~H(`Y5<3+2>I*PdJzSKZ!_3k>*94kGgO9e$o0#@~zzx zdR^NMV|Zd0MT;LkkTJQH@uHga-^IRszg%a>eCah)y{LX`iqre`|Mxu#PYaF?Wm^CE z21i_N>@UB$2d5oq_+??&^lxL0$ls)&62FdpimZ@&ZISb(bLzb2xQ#V>RmVQ5UR0i@ z-}>&W;i}$8sdElLP0!eFvHR)sjO!70cBhVgGThU7`Iki8k&TfRa>vsad(YWaY@pJ2 z_UHqPZ7OU>H!d|WXj?mP{jYx#hmWm(-GA|F!1?pH>NeK=S=0M;@sBy3kCP<?v~BmX zbUuAtd_TgDy*c^k50DdnbV!{(e*B70PuAiWV(QgNF}<6k4L2S8^l_u<w0`~2M_cUL z-WBGERUO%Q^M&N`W7bbB%*6LTzVX9D`uH@b`}Wh+j(&X^b^iJ1s_n1hT_a=mm__ZG z{Vjiy4vYT(h^T-SI``iG7N02B^!!+CrTM4%Zjm}R#_v4WNDA!`c&TU+Kd<+d4W~@E znV?LsS>T)=Geen9vq%e>+)afVx1Kym*mCk{V@9yh^~JuLcY4=|b`?+L{22EtZcFEb zx-FfL9Jh2nOx$wv(Bh2Ay~i)AvHLIb)qCeMd(sZsT(5^=DQgYp^!jwD78u`hDNw%U zQmA?BNP+IHl!sYcP9B?@F}eBlMKy8p#lD_7{boxyC~peWnbYZW!Yd(qOJ_p%md>Q` zEuD$wTTULDmod3_??pBC--~?p%G{<c?Y%rvj(xe3t=>2Hs!0}tFFhYtR32LPv16qZ zQ+M)D4za%<%SHEhep59to;NYU%|`j?mkPJG%#S@{%Z?e}njv)lMM9Cy;_jOtdpvVG z(|r<)*GMgikkEFtv&!pASGgf^-eaTUyorgmHj7(JKlYeiJ7#PZA$0yllCMp&(CWvM z!4aaX*(Ak|cunYEqWxHsGwg_QkWPPkN`%<?jKoZv#l06l_VBqKG2SI1dVa={6BWlq z+K#Pq<o=wjdcytg!;d{IhaX959y?-e)YF^3#XxV~#bZAz+}MR5Nyhs0gx)iIcJ!0w z4C&L)ll*kzg(fHc44U!v_$6!C+SA{)-nVz0uZyqJO`l*`b4ii=ed!6e>-HHFnyYt3 z=*7JX5YjKvXnnpvTuwsJ{%FmjRF?j&J&*E!Zr!VR?1Pu|`9rC>Hqy%f7bNeozqm<+ zEqlff(=>Tq<riPuFIoRN)Wg4LR<pqVeR~#Ia(vW2^I1x*F0j&Z^0FVPPgmYwZT$G} zp`uyOG>+)&>SsvCzsi)1FUXkL>m2ngVgIz970XgRZLV(;5zCtKW8-HzUF93gRyw{H zpJ%;QP;*+A<oxd$Gh2P5(vmk%v%Ik=Rc~9CWPDtfWPE7Wj2{p0hv+^ouJv75xu4Hw zZLf!+|LGqk9;bC;t{X|4Z#{D`SGV|M$mvNHOHwsut{Hs1e6%>qtsw5ShF+HBVO8D7 zwF{@+j98M&*O$FZ@Z;g*!co(XDCEx4oO9)f@cvGlwW%!WCU?XBg>SjlA(Fne=i!`b zkrwMy&Aw&+iu<U2y8Bjzr_AL|B6`^~er$ajdiG$o{`DP;dp!z$PfM)Jp4loLb?m%~ zZgGM4=}9}*CO$HsRlc<+VfwVdHP?;Wc%#x17f-u+WBtmQkJ{(X-m0j1yDn8T=c>WS zef_ynZUqbexHcPjC#*M9I$EZid}Cp%SXtK0-sV|Ng7!MG%X^<EZJ(yP(f#m|kFufX zO^&YC`u1`{>tCys#|?az7Au_f_+ADGwU=tND!*c0oTOLdd75L_>fe6vefw><^gNuk zt7wn8{kJQ}HW#`|>$l#URgvU(JN&fHm&-=df481F$e>$n7;t*hi)D#PS~f1HIoejK zYc#2T2nhB}(z5ZHt#P<Oce8=l>71TxM(oL3bUJ_Es#*5I;qZ}??-Qp9+JyF-H>rMb zl%Du#*)r44m+x$KH-Ctly{y+f>e+(sWeG>7eA{dm$0cv-BXeKyYggoz`<k+wHioy$ z%(<W_e!R5fyl9C=>*807zhyn|w3@YIPP5gKf}r*vG4Aa$cdjam^OtJ09)ESGdUcca ztBj;a{~g+O_FPevUSD$JAh&E$VSM|f9m}0HV*-^8SMOhHm-e1lRl4+|MBa-Dz1dbz z9<1WqX|cfBQ|IDB5wX?9?|t_!U%j|<=Jy)eO$OoZIcF{^vTrUuaa2dvD`|~X=gW^G zvPm=6IE$&hoY328^(3K*Z>Pk1XV0F?3q{gaO`PHP{+goQ>}!hqg0$+h^*Sn?uPAbh zm!3G5YbrGP9`CE14$JqoUo9T`R!)DpC~(bX#kQ?hDT%#&HzU?M^M$>f&^^^^$`LME zuf(77Uhy|iXswf0FL;){xbeZ8>r45MYx8o(bQcK3^cMuY=`Z*&P3Yl<0^>u8kHcCH zAAHNv-fb<|r}ECtB4|gtUP)K+GnEXc@5(YP{7Nz+{K_&s{Yo-oa_$y6Hk}0)7P1cu zHX0wAcw`@kJ6HAD)^i2-=CF7t__s7Cl(#e|g|{>(R<|5Jw2h;^S5}aZJz80&#;3nP zrO@Ee#6yb33!`@=_#N_kP|(u+z@w%4QASJi!-$r{hdy$&_X-R0v8yY~==sg$K6A`< z^TC6vn-3mM-K^NDo#xCHetQ4;K*=LNCLfrQA$;(~r}wM3EMrkSS|I4t_wZ5hW(mDE zLBklaWk(Bc&X7C&G{KE$diPAj7|%0Z54{r0N>~GXy8OEO-HMZTa6CI!5O}8hVbTow z!>NgmJkxty4P*G)juq^b5Ia2e$Oj3%eKuX|6xt?U^!zw6+mlE9;3WyYu2jPqp3})Y zbk=}cUq)@+{_~P|#H{Ii=#>=66V8<lYJFXKvE+xwcC)tbgjgQ&qrW8d+IS6P#LPgg zFOkDf6N-7Jck6;$UpZS&9^8>39Ixy?d(sSnT(87O*X>vRh_n94cz9J#s&jO)GyD5` z;kN7lw>)a+3Yh%T!O(|amuKz&%A@_MGrX@aUw!mN=Ak|B%@Xew&)Co^__aQqkw3iH zJ$vm9pM>DWYlL!g{(vT=vzQi#8XeSdl@gH_(K=k!nw2=$Y3s(Yg;o)317g<%uJG&$ zU7^{-x$<UKppdeN)<KU}FGIhDMm*nFOMd(s_VMy@MXsp{E1aJ8x-T^130on?rWqp5 zEV`<hk?X2!edJlS4NeP%)`YAOSuLV<Sg18Cai`PPjXn#lBGv@N>U>=tbK0cq)7)hN zoXfOAx;}DEP3&^oY7n{b){G?qvOXaz^wdsh>EGAonwqfMY3Yq+0Y9697DnAz6Y%p; z;6ke#D+6NRWa*T}UggzY6ltDP*&Z?XoQawGhTT`zdrn9Quid!%%i(1#+g39k-1bX8 ztj3(9B8l@$cEC%PztT%uZtbagJLS6q!@<5^^F#k=u*|w0`s~upl3XTf-YM7J{(jfm z7rW@{RmnPy<tn`oRxJsPnP}2>`K$f9A9azRzwWO#X-UZc>#z0C&-I5;R67S>=B33q zmMil7Ha&8Pk#}QZfU}Iubpi3?CMunar6wH`WIg%)dcJAPgSl-1d#($#nSZ^%{ztUE zpuD2|1%*x)W@)eIex5!LTq;X+SF(tUY8_Q=Jyj5Sj`661C3}s{1Xu3#Cojy-om$jf zyy?ZGJvwTIL1Mu>1Tt#2-Ylv9SonV1F`4(bN;(oY^9ANyQnXvnt6*sS_;%91X%64n zc$Ho(a~7#B?O5o);JK#4zQr>e=dD^He1@aMM%A|QW0r$~AFsx-Rg8xvp6=6Jrd`^W z={0wK<dj{#nqGGgrYwDzG%3hGX;F}WqEn{VtLv{XPu-ep`sYtn&LNiWFFU4w|HJJ& zBf;^nxTyVv0%zw^XQuUAdLFnXEa2Y2lJ`pSpswwb9gEv}u5bOhuwrE@kN%c3hl+JK zfAl#mV{_F=+<%Ks=k=&*hfJ?l-nkZYetG`((vAn~_yX@-R&4uimGZEW?`FjcXFj=? z6S|KF35y)puE-5tCit0oGLO9&^GO@#r88D9t5J@f?698AR`o^h0ufu@DGS{X>uc2s zEvTuBcr{fxA~ydK%j%vvNv#u&*G#D>-YqkK_Q$7{TP40tm#{n-q`W*L?FrB6)VTQh z%a&TrRSvD}?Dm?Qdq`w6(_V+VLz-&$?=7so;@sq4<?%6+Uvd}Au{~*q+rmt@nswd$ zHp}7iH^ru{RxTU;+JAhqGdTE3fd7NkF?P!!Zhg7NUDKW!m+GE9y7Ri+N6&o=JT~l( zEqoRtCVXQ}0H>K|NLMe{)bqwq<_5l*<k~iOQ$}LD&aDXjZoX}&7j@@unsFp7(kIc| z;ydp>+xk|of^D%MlY0GqAFT3H+p%bprrzq5Ht#OmpgF-Sc%F-{YUSp-dd#);)q^cg zrG?H5)e2)5e)_mP_TwJ`t^8`4CECThS08P;y}F&{x5$R%T>_t%$$h%%u=0hGujIxH zCrmm;KTcz7^(k;r4So}TlIQoKBC~_xCoMu}9s2d;m(DrwGNm`qRjfb0NmsQN{boEV zwj?`p&a^i^H}pNqp54?p6WPtNF#PwmL)T4P&Y$#(jp+E`7u;SkeWhprqiJmhcdiL= zmzx|p=F407!N)n~&eaJj_p&{><V95Mi*l|A+~dyTIGV<rI74)WrJqshy*M$g(x#V7 zvyB;T8!NLM4B}@B9ramy&cJzP^o&JUa(#kd@h#IT72iCyN~m~B6<0BHub#89Z_@5n zD^(k}Te*C2>x`Q9BkI{!KEXX%KYWj#KYgP5@~(=<qVY<m+pj&pl*DrPTELvr78{vQ ziN)2Ke#;N^^~&-dt<yQO*>vv4VDZQ=<zM3;FEA@w$2z@aW`3?-YF&2bkMA~*P6$UR zbhCJ;OibFSW2D2iWlv3J)#={pSq>lkcs1s&W{j_4^;5WKSR8t$OvplHO`lQb>W7B~ z)iRZYWUjfW{Ok}m71Zp@P`XregmYF0kGrX0q}`(@4o|DHvkTdt%>Gqjt0J+yT_pMI zgv1WHD2c`GW^UOF%DZ|mIX6wL@-TGbmu!2zur;wNBRRwQqtnKQpM5pWO9a<UH?d>o z@7Xy|ZC&8{(333LAx1~Drk>fLb>V@n<@}vfvm7=!@oG$4&3M@8<qU~wY_k?!xV7ri zR^OW?(Z0)^nU4F+c(8*rc#c70+vFg(z0wCcV}hBRR%baBy7Efsv9k&Dn{=|TF4HXC zcO&qXNc9w}!}l(EzB4u17&z5FFx`9==Rq0Xg)>$w@_aTua!7}Fqv86E7W-H`D@@n$ z36@+{?8>t`av`=|r$&!=vtr8PcCGhu#|?v>InpvXj+XEyMl4Vi6U*x8bzP<WsAYPb zzpT=WrOqOIOFI_!^F_W`?QHgI>O7tgx0LOd@7Z1X-OIMeUv0<wNt$viQrg;=ev6y- zdNZd@&?}bysa8jYm!A6&@<P(?_u7-4r7^R%bsh~7mAmp$PkGJt`n!k3D|Y(GzPtW+ z*Q4~PJJY<cKg`mN?`hMK>{<1=T{3L7!LGjP>r|>=-dX)vSGmWgX#2Z#QG2e5ZB`o@ zM2%PP-MW9(kClC0Qw{sNpBA0C*kaiA_v!pR$!Kvs?TwSx9FkqR=19<{kg)AbH+@Qe z?;+=r>RJ)mJNZ;s$0jNLsZ%HHEy?q&RBzq1OLMB|$EjE4R<5bJqP<!;C{i#kOjzXi z>FHOG?CR>WKi{wPcT=lx)4!YhSN`D6H*S2mG`C4`X>QlVrMYc^OLMswd*3!YHf5V^ zk8bX6iSS!~Ni~_)t(-@70(dk|nKX7T&AoSb+BQwOt@?S!d$e++D<W@g`?zS!+Xt1I zw~ubVR3_x_eOuEeGyVkA;RBD>MKJYui@AN+wZ-@1@+XNeVsCA`uzE}G;`Gehj{TRm zb-!EsR%O@JZNU}6XPR0aU2i^04#=GSpxBUG?CA1KW`~bo+SbayG*>#``!?UbsoP@r z=)XI4>_O5^>le{?N(`6mn0e&FqcpC=o9?gq;k{9FUhd3WAzT7J*}uY$#)~p>uKCc) zG3~dL$mtqIyY-)1b#y+q=IDHDmC^as8l&^2byCCw?xhC%=NQ`Em@1eb__;>0Y3DwH zqXF?9;j8qItiCG#Nc1)L$5mfjE1v#x+EZ1#P-NFM<6{Pkdn&A}FGuhRmV7kqGTbL{ zI3xbVA&vMG$2{Us9OQ^UakL;_qcd?|09WlP$;}3vdn#T_KjIeT`PQnz_S5OlmXEDE zJRe$fzI<=3u>RZ{^F{mpontqO4jg<_bl~WmB8AS-rw&}&v+E*1x_)pxx$4)lto&y) zh37ww_{+PvalPOfwyvpZ%<<D}9*5TmT$Fs}s#he)*Yu2o-SsyIyYsi}qW1ijN1CUt z)m?k@*r81eRZlrx_%*vLeuggRpWhSZn*J+Ya{d_aRweOowMT#Jf2&K$kN0<evG{Rb z*;eo0W)FX%|CvkFTmP%9($cVUQ9ZnS>wk+&&L8Amt2F){_TWEUFYt2X1+kYKFN(Y@ zJfLK&m$5{hQ|P6qqF|^fkL=<}4`qMumwc)C!(7Q$<bTzY_J{JywqpNWmmEK4-~Ywp zN4rOV_kGu@Nk7sj&J(GV3l$Z!a#cOtzHYu-7014gF9Cm4E*<{x*rUHS-lZz%kI|*a z3#=|ZeiR<)nz6We%F%=K7wPEzo2%h1^gnNkYHR)b?V|gyL~WX<*=TydqfBtk-(&Z~ z|7e|>dSIJ{Src<%jtKkX8xnj+H%3~>98Y`fAv3%AZ()w!x1$?XH=6h9w%QuL>Pm|3 zIr8JfHtW9Lgm4+@qvtHl+GGoJ#I_yZcr!xo__Kr<nc3YN3v)bsI+MK<OL}%CMaU}~ z+WqV8N>-MTJnm+w)YqF>Av3!*u`tK1?bt?338CZ9k_u#^g_<AT2znvCc%4p8$3zKv zh2KXu3V!KJUi?Dz_^}JdeP^BaTbS+J(34zjVBUB3XoJMk?Bryg>CL`|F?wdl3sg6% zwrRJnz5m1UXt}fA$E|DPSEq>YpZFxJQ2FirRVhvP|800wt$6eIudC;$SUFCe((`@l zYPYF5jyB11Q;sUC7Ay|r<jCv#Eyy+DKrpZ3j<t#+{iZDsC$>dYtWY$Q%jjtSE%oTp zyf&4>aOa>Mi}hr>vR`S`sO(**$Wm?6k~F`~;LUXbZg!I+#~gVJ3p|`-WUft6>C5oo za=+SoxWHSsl|S~lNy~#BZ2@ntLO0;G-LP1z$agNIqkFT|q$8HRo)7ozD$ubBbbpw9 zl$BR;#|qdcya<bhie_cm9nIQOj~=c1<&e84h?!mg>Vc!&rXG)WN-g=YCh$%<SHmam z%|b6e@-nNvIQP+ii$&xA!!4Zu%7vHxnD0B|VZCW0_y2Cr+dux-Cm!J2CDDK8Xnpn} z&p+jAa}L$#YlL>?Evx;^_o?0>vFZQC7R`U^hZ{ft+w|JJZrOS1!~fG3i2bu?-um&s z)QaZ+#~ot-+-IA0<bUb{%|G)mm3}l572mu3wD`HdY)zT>1-6@gZDUDuwcto|x6pXz zVj*$N-9m?_r{Km6@rMNl_J<xOIkqTwwhH!%h|T1lo3zT3vrbN@uRuVjvmijHx4=Nh zIDJZD*Uf~)O*a#gC*6FIu;}K4q^6q_j__<&Y@K=kN9(}@>KE;eikjsAT?&}+sO`1+ zS+=JAhSD1UF6AWr$liSLQ2b0@vwi7i7C-jgeDFv*%~|OGteX=K*&9wXIm+L|`9b*c z`7>-y_U6(W{|@CO{IE`zlho?DbEv%c&av{IJB&Sdj^3B56uNKrNo1eu-6LO$4YU57 z=3qa#UpF?G&r;}z(J7}7p;KBH8J#+m;2Np(r*_IwH{U5o9j#71T4Z)=l1uCq)z&h> zr~V)FT~!X9pW-v6^+A82Sl9Z+Iy}6BJ5xPeEp$|rWz6nyv@@$8dU&X>K;`3a4tK8l zA9+W&8~KLjn$Em+%V+iv(?_p!Jd`TlO`m0Ry?cYp2esdOyYi<mRr`40eXyr;#Wc~^ ztDCMJGrp$q>H4%hm+Nz8<Z63FuUop6>u%Bgbw9Ejj~Zui+MN8=#L~a{(a)Vu6P8a{ zy=Ikoe&k8bl%{=_8_zuYbp2&Xu~qaPf2P_i4GYTsY(5-u3})Kvb(_Vud*>-}AO89Y z>vtE`M+Z*iuVVeA@tR-w&e=te@?Cc9+;q&?hKGxVe-o&K<iA4m`6h2aAx^vLbJnIl zSC3Tr@xqqnnCrg7{7ZA2z8_T*^;K&u|EF;9cGA7*PsfYw1=My-U*FjCUQ_5}X8*>Y zQXHpPmZwD4%z3oMOU+`k{_&n2H#F?78f?2NuO{)FySu-jm%oKm?Z=@<yS=iR6Pupy z+i?2lCWjdbnT9&+vWo091=MD|;O@@9uglrODYmuh>a3IT&!4olIyNS3j2G}+!^6e$ zx+}9Qd;XmdH^s#Sca?u==dAj9Xi>&*wnHp`YZ3#O&98acY4rBh<3&F9zjkO`zGtVm z@8MN-foJMftFODKaM`zcZ3#`z@4hboV~NSOZ54kT{ZE^e2^{bFt82gZM`DA?wvrWV z@_oCHRXXr<^1V_#s%V>Hv8G+DZ%fDXEK!5{SI@poc`>$i^(7O`R?4VWywW$~TKm zr|M3f=_Zr=(eLl3d6{dDZ7krua{Ynl4z^d>vy9JX-c#P6}s_j;%N?%E8_5Z#BN zzYS+>_`dnJ|G^f|omuwm-7{HMZS!6&_9<*-yU5)y6Bh24i+XWUO5>5ymsQ_fH!v|x zdC=DwG{-ogjhij&VbF#w&y88tTiI4ks|dZl*))WCPuBg`>;)lPw>O1)cZF8(J}TYP zUG?MpboVG`&R=y^l{-RhR_X~KKh}Efz&<bg9X3lYRmv@UqRzy4_q*{a=C$`E&TcfH z>$xQ~HLB?A%rqOFtv{Fq><?C`bpNTDeB{^q!p*KW-{eGAf7lWdkh)dvGUNFur=2HW zpRI_~I>@x*<(-Ixoh7z$Q>-Q$h<!=*-YCKxvLJlv<aG_R3hcyBZTxXoEpEHWv4H(i zt>#OOw<v6Xp4_k`@XJ(_wt17%7Vchh^T!nKY(6`WS>4|!O*^vs&yr<pQZLJXFxxXZ zMohd()!*x@y;8*LMf^$<%H1N1RVPlry&~anb&{wX+w1$mu7AJtKVKB_Ke_p<ztdNH zn~48*IxjXP{1sp6;N1LGziv{YYQ%q)`2WsLU+0IfHn518;&SEx+XP#I!2jv0y$|Ot ziQJ)|X(s0}t2uqrvqx=9R0~Zb{x5QW)xh}B=kqW58$mN9JFS(I)VA!m2tCEk@;hpO z@W-=@9@OSk=6fjK5DqxPl9}$IctbGYh)QO)hvE&ffFmxM*&d2FgaVGFWEOiU-gsiq zVL1P`gb0V(kH;1B&(0PO;&{d;GV#p12~Gvt3p$kN%LQ?yv4|9gm@{`-v`H(rKB#gK z6n>kn*!m#LK`?&bPN5(Uz2BnGY84EY2*mJcJn~rHBGPn{(N*T<#R*OYb_+VJ?8JjO z&asIEN~Jq-#b00%3A9sp<~rEfpmgeag@>YrSiq5_lv)qP8?pgMzW4IAi8Nhibe&Q> zozJm#!R+{rE|%XpG;12A16(ZmIW$@RGIwb_xBj;`deNNsY$Ac@zB_Rp{M(>(>ZhZJ zVueJ2i={o6CQCm^k-B2*gG>j(r#03~1Z=*~Z&I?>Z(=&=y<frTvzCUyo^RYr+WAdP z@e#jSCr&JPY}7yVw`s+@i;j)`MRkrL-`W>AY&cb4l6&(N%d%%pTnD#Ia5A)AVsJ)7 z?<n{C^%8+GVq$TzhBgArB*Zw3d361P_s+l8HJwGpz~vGLo41r}zGt$8N~Rc_*Q{Q< zwXJ~{r9Hf+tEY+STl`3Eiaa{AJo4MIi8sCGCiFRpKR=bS)X%_wVRv!Tz99981s7bK zV^&<@6w@rZXd|v&+N8=AYjSO=*W84ePU5`99YN2xuDsxCEW7FoXWPlEN?pY}ywoHV zmp|(5SDtEhP@`4v*NTf=u~$w>EIs!-(b9U+XX)jWIFFs)<WbY)r8Yz3^dctpl_^cm zn{=us#cItwFO(7)a!+om&xY`6u2<g%pJe%6+}h_p=N<1Ia{~<l9<6T*_oUN=UdVF@ z^Jw_7%-%EQbN6Q5qbGOzuQ~MW(UUvNyH5UG_UMVtitxnbLPr^vt)Vvp=46U_@*b@6 zTpXdE$&;;m_K=e2=8aL8WY$bF5!Y7L?G!!gt>$|6wg2l^#=2ME7Ffol_+HJ_(bp^L zS~Md-pIiN``W5fPQQYqZRC<#(E-_p)(S*DC(9-)spgmuiN16*>RyS;yxx*{(z-`~~ z`ylg)^_SkUN;@S^Ei=C%;I(>-^}#hFU$x$FrY+^DaK0gHqogEtNY8We4b4m*Yn8Ky z$~-r3^aZWdFxlrfX^YA_c4;O~la}s-MIzbzP1d!Z4m4?5{cuU8^A4eF70x?!GDW7V z^gi6NB+^1$?{AW`g{0o!Am<86JBEt_ej?#Ve~Q;_+iLQ$Iq!7GGlSg~5B;1L{R-dG z)xN@HO1Uj}*L4=va}TdP5?#yiW!jC!Q(jgHFYwD<$?|M#*YeOUbJXqPXXZZ?_&INx zul@Y@Gf&ls|GGSJZL6`$hqg(~N3&TQ#iz*V^yU2fKKX-P#d0U{#g`ub`D?g8Zoa7V zD?w3#<j)r$83@+6pER6$COUBAFWa5lG_~WtnJlQ-xmo9vX}eC)m&vYe`&<pDXRguq zi#}d9!Nu<1X{DnQktq__7Z&W8_hR-bz7S@+S1TEhCYUBjEMgODTh-W`D9g2cD*GQ- zix}r0cRZan+_E?hui$Il_GIECPuqRYi3{|(Hh1xERH*n~Vz}^deCVIazshR%-urW1 zv_9;9Uw}{EHx>5Zx9hh*ivId&S<=C?;vtX1Hpf5e)+>y@Iaz9!l(DYw&24UXCT>Z) zBes3k&S{CQ+9zu+tXaGA{Tct<i~lt^;+Zlzj-D}4JbEQ9ljC3x@4^|%ZHA7wvQ||x zit>GHvG8=(I(Sm%eaEM)AM<{;-7(kxcgcAsOV|`<7Hx0O)QpdzIh<>Rir4OWb=Ngs zIV^pt!NIIT&wV0#_ub=le=&QiM@-h1Nm#k%h%VQ~iRGGdRXb(4%k`hNdHmbf*74bJ zij?Njnd)xSZ9X1j-6wxW{JLeclzx<s&eWX1yhn?FF?r@be6=HBla2NaIj?C?CeIb} zYN$BrH!Wo18<oOVqxH}Bh@1QKaIpw8G5IFc1Srh7cqsfHYvXiY|0lB|x_^r_y>@I> zFE(Bxa7Sxu=8wqstx`@K)?JxUaed=H8HI?ruF3NAy@fMkbf&sY?D+Ijd6}H!R@*A? zu)vJHQTtZ>aEy4`-TmrRRgTDErwLo#`-7~t&WUzaTFT#Y+_!F5>nA6dxLsah6Q@7l znGk2Qb;5Mtg?j`hPCuVCakBVl)4FXT^XEAfs409{WhE=Z;WssF&&q(u@4dFp4ClDV zCOYrT><LaEc9lH)Jzw(XngGsiQ$p_tD@TiJ9o!b=oZ*>JZRxP#uys|S#62T}#@t7S z(>6J5u+=V#6#G%5vgE@~508ZwB0&;-?IPUnPF=l=6^|a=IN{Nw3J=dmE*_o_Q$8qY zl(FdU`QYCw(sWs|^^uQ9qJ^~2jKuVS4I8vIZrqR+k$J<(rT2}kRplFNtH`%%<xEe3 z^IZFL_&izkXSq&)F<oefekRLzmEK3|mKffdXu=(PyWLd!uFBbilA7zf{U=R3;_m7F z(D}y&jd|_4d)V%KOC7ZKTwI}@$&-I*cS42OG#wjWZ?n91RrdbFle*m#H9OlAHCx&5 z-C4;TFPw6;WEHc1pJb%J*Ta~&RbC03D&C9Te>By^)8^w*bJxH9nPTZ1Z#+vV+5G&Y zo$2*Am3t1&jhYf{abupp%Mo*vALm@tXaAq&8Y=qU=-i*MW{z{O1ddwDCRzNC-q#Ye zFlW|zha<&G#{Vw9QJ5k5@WJH`9PEeJ)c+|xdVWiv+WVFJ?z={C$hk#o$hkyHy!-#| zxv2fOeThL&8f$v~vNNkmBp=%I%Xh~4pZ^>Cw=wE`QnPC-eYic#sUXl<qc5A|@YDOB zmacc%Q=_tjF}r^GQ<o2=Rer4T>!164$lU+<ZfPNJVB~rAr|i~jqD{$)t&coB9x8`= zY%I`N@?oOZk`H;dOFq=yT_3XbnN@PSyPcKz)K_IwdwVnMPQ43S!SY)~Oa6q2*5SI= ztcMQn_dZU&^5=1Hw^h4oQ|lzRjSiP2o_Wt|t(=sWoaT_7^=3l$!G(S`S#noa9qqnp z{ZTT~^PAlCB@)m3<AWoEC;KMEE#Kf~5Pzv+pK5R7!X=RrDw#I-Cp}B>RF9Xv)}f>S z_6Ym)Nr%LXPBSsZpV8&#V$o*mO!=|F#%jrjV4EcYJ-nJckDa<&KPnzQw&B4!%`i6B zwou1|hh8m6NOlS+FtE|sAt5L-NkUR2NJ3QLN79n|;|&f57wW4D6dMB-TN6q=7D@;Q zNgQhv;ePDY)%)?_++ZFqE}rJ*rdCDAgU2o`c#segP+(}Ip<<|{vE+j71mlk?cUu~k zCQJSP!#X{0)iJUC%6F$6e%7~$U614KtW7iRx0bHRd3)seRsOkGTh9Nq>V6pID0E|? zbIrT;&LZ=zyU#_m-g<R;tL~0f-KRc8wCm(tR+Nr5J$~%@I;le&yq$Gw-srUXu%3MW zZ<*SnUh7#MWp<BreO=hMn;tpp$LslMa@&%Ec;8vVFFvW8DpoAgyOVa0&FrPXK{we& zFTSa#N@wV8Ys}uYg2%t~#344>O&jBiuZZ-Uv?T6qi>O$??$k3U=^g7jGafHgOIpZ# z=YmVg2M6bvoNE(Qc4fUXZcU$CyLey4sb;I1FMlq0hDq9atvbDT`Vx_tcY9X^<i<=0 zDSZ5MiPKVxg#nU$S|Qw<MOO7*oTQZ(^F4TlNUf-rvwUmT!tG95FPg5Y@?Ym0zCz@( zh}Pkd)~v)Xr>%yOL3`tSH6U|%O-@@40vFzzp|bLkW4k2RRDVkmt;0F3S&4m4TMfg5 zjy4N*i)tMeYCW|fWMNdqvVdHk2_YX-e8pS6F8D4CDhXe~V=J<%bspDMH+@f0{^~E` zD_G_qPFD=qWYgNi(=4*8^&r>PV*;L{{tUdKD_9PTXdNwRJ!RmuFiK)&KyJ^ZkdG3l z6<fUuA{Ks{I>RZ|VpV{yOz;Y`KJQg87W-+2G+pMJ`l!b#)navk?wsHiX6L+Dz1XRz z9nz%DHT6-0Q|gUH0lI4fR+#0r-k$lr<;AKE*Q>oswmL11SR5dEO)G@^waBX8yOXr4 zcG_}HO<3==^v3dlpHblpqa+pwRK|KdRrs}UHrLb#ZB9#ftP7A_ry0T>F1o5$dy-bw zk<(mLA53yux?@Fvq@G3y_j%D(z5aDy`*o+5-n-iIR<=IkW9)vdx*apu9W?v@%jpHL zuj$NNJnGTb|JFQmcYU;bM&wi5#GU(^=S4+iFEG27x$?Wi-bSGuqkyinY*~q`8*klM zwseu~TeE;BTQ;wa*Bx}<m<LF+ZwcA5Mw!ja;JkyTnxw}3vl1(MS4L?adlJd!WpLX; z^O=Ok;TYzp#Y)Uu4dcC!O6)t~z$qrJG5@xN#{9<;8uMREtmu6jrFHC&H`}T31~#vc zryL~bNv>!;&X)Cf;<PTyL&40d6?qFp^m(Q{+}9XYk-5MuE_>yS;2MK~CUZ8g!ZQw% zebOshh1s$mFPYY5dBT!e)gpg^h&a!b^%A^S4h1rAEsS*E`~1LpDUE}Y%u6kD7w|0S zxpJtQdFw|%@1q%CFF0_@NoX9^Vor_7Tp(5^v7%QrO6%CBnQUGLhaEJRNoyQ7Vcu$R z(;-*pT9DzTw?+X?bJ@H$-g1!KCcUCnmn|#VxqW`vp8!|a?Hk?rb>CcemS!&vm~*rJ z%Y{Qswp%s^*ziZR)a;tE|MsQZ_4X?l2z?1&Au?Y?)1W3~h1jmrKexNO7TvnibXRtN z^vAVH7PHSSjs9?@{<7Beb=j{kbbOt3ttVBsKIG%xMQV1J!XkrXb>$wvx$eoy!3Jqz zRXm!nAl|T}_HX1$;hLZ)C##pN=uYClx7aoPs^Jf-5MBwt)s3yeSqYD4Nm*2^VAI>S zYV+(Pai$&%tJOn018PFvHGL{wV5I7`VfW<xj}MtSb*>3CX-YX6MmkHVWpd0n%IfHi zlzNoV*S2HEYDG_(>k~x$R&ci`wM!YS31sG;ef7XGL(_tS5Z)M>z-AS`)s9^3Q`R;; zZ2t7;Ve`76=ZDt@m466Zxw~S`mB|%ruLQqXZ_%LkG2)nu>*rh{{*<0C`V(sv8rOk# z?>cLQWpK!UFg<cei+AJ3C}){97X`$#O;tKer6wH;Dt#Eh6TsZ2mzD5vbA?VEo7t~b z$rdjbv*}f>bQH3^qR=`oF(CHlolCQP7q9>SkcBa8mC%g~fkK>Szub>Cop>I?H8o+H z)6yAh11i;*2I!s%U19d@q~zioD&0KADHHvVq+C2E5?NvpDi-TA`KZdW(@9*$OYWqv z-hb>sLHNWxk??sJjAPgz=^EP}vQ1yND`-!)a^vfV*P;|y?wS;8=w&_nuyjUSgvI@f z<^7vHq-JGZs+qdfnI*iW<IyHQ!#Niexz$58?E7Dd>g|v@ADthm@<O@$kIXdJHt&bO z&U~~fnL77>*T(NXn;v@zYuCSv^w7R5>6yGl($m;z^<CTQbKdiRZjK05*{Bn;a5Y!T zb`v4fH)>n&rcBQ1Gnw_yE=o{NVbAw_)eQwK4i)(vhpHPG94iFw2{V`*#n1e1w67!F ze%HbB_tPf|#=EthOkdTfo<Ftbj(YR@^17`vqoWw-a@$;5c-UEX)!BV~w(nkFcs`F! znoH-x!oyCoyL7HDJT4^rOXu9-XS2?oIk|kv&Sj0RKTj@?zCLT!v#4cL>t1Qpribeq zEYCiZ=$5(AH7uc*PxjiY3#oJYZ1-JRc)m)OE$2ek6Qkf{xoXp8RV$A%^=0Zj*>OzC zFGJ_ql4C-CPb050%{F<qLG}KQFPHhlz4Vg=tzxb%Jgq8QRkPfAzOfb0j(}YgPcAqe zwsxA_ZPRC)os${Ow|;WU%g}kW>sZpdOr3|XqE+Q{DmHK1`19?u>(W>LFOMocy?p8X zpC_}Y&ON?#e)oy$`R-=2#rGFoy;_rISy`1i?*`xZ%7n{?{Pkg*I8Ky!&t5-m9q;Yd z!&@(LE_GSqd@27v=dp)f2Y1{tobs&jdP0q!v={e|kX`e#%=NB6y;c!3z5l~bMfrul z=ILD779=Kk{YmkWJCpi7cIa|lm3(*7DK+9uSk>fu?KJJZm-QujP8&OC%GpcwoUe4w zWb{A!ZMEWq#ye7`n@+g@u$j`&QK8a3;rOPG9UAkMpLN!_9J)Mzn$6M5&GQzfuHS9+ zW_kVo%m0JoU+)Y0Ju6CodHtfDKb+Q1z8$|}Yvyn6{$5@Etk>CGU;cRB=58;3DK6Wk zAJeyW{|Ad(Q~m1K|9JHF&36kuk$&#Y!uk5sX6!4taq-`w)b!un{js|Gn}qYTH5IHs ze)}e_xA}H_#hFuaS1fN<?mNYGBF^GXe*MEwrHAcbeAzD+zh`aUMrK_->&t!9lr|an zX4XG6`lX(^dXxCkFxk^NwT5$#Z*tls&UgHF{Ej(!^$UY8e^Rmze?N_BQ*rI&RD<e; z)Aqg9xA9ATc_wbp+`kg1UOp;3S~5>}T7=K@r{Bc&n!8&?F8_=?-Tmgs>L{)getS0k zZH=<pvj4-3>02$TKbC!qHoTv{ZR3plijkc+x!WJU)xVNE`KnU&!f(@b)vOEGPh;97 z{5`+^q0xfBt?u{V9F0DDrf&AsX?qKH-1v9s>F#gha`(6IIdRT-`_hItHq$ptrRc}- zZQuXFVn(VN$n?vuyStBz8doogNHW~qemj1LOji9uuFT4bb1UM#8E<xO-?qOX^5VZk z$(7S?zH$9{M7{pgd8@yH*I%96njgOZS5#<ja&BpI>DSj=ujXI5_W4t+X~EMw*;~J! z+Eej8zU)`Js@m_+Psj3NXYV%I8+L!KcVnY`1hWj=P392wP3#pmRrjSH6u<skfBU7r z7n78<)TNGBZO7cc_GkI#J~z+KuK4%v|HYT_(T8@ea&>(E>-wen7iz!PzyC75>dETR zv!SI+Rqd+3|9|r(Kd11mtKC%R*V3=H2F>5|yT1Haxv0}^?z)(&w{e+oVm{Bh#j>iS zN^bA(^;UmBhXvogu+%%W_N?~ZS-V13>Tdh=vixA>k9YguebFy6%>9}B^N_{;y}#4v z{<Dz3av|u@-}3)^F3*3GGX0jG?zelpvu4lQtb28Lbk(a_x6D^dznU#A{p!xDW9QbJ znd}R_I-~CJBfpoX+PqnLFD~ue_04qa<J?nqrE_jyJ8|sR{F2qW+h#|<|2Nz3e^AGo zcTv~x?DYQCthVZ5&Hp^l<wA?^ty!gAuvs~g&)iCAnOLSQ=jR<^RpHZGO4nruOkC9& zewI;7SnGk;%TR6371@5qB{u_lpY2)~E1ezx_Rr;{Q~G;<i_iV%!|y$vi#I=YWt?8T z$ir!~|E-I9{CeWiyel^jm^A;-EG`bM`g7&d@6~s`%g;<H|G(+-{MQR(SJmCx`YOGY zH#BR)+!)Oxi9t&Rrgt8jaO~D9sZvEQpA{mH4E6<eoVM8cajmjj=Huh1Y!~laxN_gZ zg{!$Fl-Wya_pXY&>#3Dc^76qAxeL1WuI_tRm(5fyoEsW<yk<f=pR--S?WaXy4_@S( zyeRN#*E?SNqR^?`PQ6s3;FbALsg)&JTfa!GH=NHY#XV!i#tEKM-80r~oUu~=S)|kZ z{hIP?X3bhXYq#mvI}WB>&u+bXHtUqc%-xCIfotdP*UfQwSEkc8)ki0HqHXcs1rj&c z+Aauxxh44Wl4qi(qWgnxM?9E)|HQF}dk*f1F_7rb=RNj((Lt7)bOXiTJZed|^Sz$! zn6=F0_tmXyeQ)JW%W6)XmUTFBS{8HCG`rpPpU+$W&D>qHHM+L6_<7r}Yg%tNX14D& zd%NkYdHsc#@3+6M+B*HD;MRpd&&rqmy6@F_Yi{i%XFl6cCze*rtyHa&`}t>vzTJaV zxz;@=7I!?a6#48dr)qP0tNYuNMUHum%PXIJQ0b46dp_~_TANQh=eRS5f6{o8CFdG* zdhv|cl~W3ough&+d4{zz%W#rA-~HzkPcOCkv}3NjUHK=C2YGU>cTO+v_+2UTS)pG@ z?%A!!#RlR}#3QD=^Bw+lBDF%Uvgd^I{E3w!23q}2ZqEac%&_T_obAr(T>O^PVhT4G zSI>FncFxKr(|9YN7;5&{s67unFvW&z<}7!?zn?UoUAFOhF)!Qy#KV|?hz0I)mS4^& zPmim7a?v`x>c~x*&X<2ZEj?d+^*qV4E^YOySIeq?{aKcxI{VPAW7n_lTCr{8I>)yg zen+i2+%G@9XHsQ!!q0rKYjbAl71;mHUhlSE>~GlnPZwTYJ$C(7tz2~N0pZpBm04c} z&##H&-5oZ6!&71JD%r3bmsVf0zZSN~s&3BJ-96i@`Z>ei8()0ItQJ{&B4u^|jHIuI z$!qKqXX)<M)6RVvI{AJ{^v5i<If-BOjMv^vo_6*3nQgxsEW_lDj=WO-b?egOjjKvp zr(CyNoon@gdp2KW@@2v7T&rirv;BTtGFrCt%XXPH>wZ<uF1wL%Sx-9mR`O)u-#Ob} zHt3qk8r@%}yzF+#8J^jGGcr%EJf1$S`Rb<ZX};EHwq;JYG<$1!eOc|Zn<WQKX7fcR zTo&ZcwMv`ltFE){$(8hmrwLoXL?>P5^Ul4MI@5P`=hbDk>!RKGw&v_fyPVaTe_1d* z$LiT8U-g(@N9J5^Xq;BI^6B&PX`8MFeOctYyC(axoOsTy=X-qFYmzT3KF^8LmCLny z*5j)#v+apV$^_NrS)6;W2W^Yud%rnz`udz($@_hO*W_MiWY4jBvcT6}X4A_Vwq|n+ zj!e4Tad6rkH`czWQ++ahH@BQFoc+xr@v@zIuGNDnzU_0iz3dP-ll^>YnQ+~fCnjkf zs>=%-{r?|ZB)jYO7H|I9-(F;1w%eOy^<d}Hn}r_ow=ZVROuuaSH^*w>|NAqFXBnKE zba}~v^l6)f+ZoN?emZdJ&5_5;e1F&EUuN8%WA$X4ult>iFK6sFn_KXH@}%_RmUlyL z$N0a$RQ~T$!=KreTTQ=yIiLG&wY1dhpKm5ldcIxrO;q?-FXzQt#Rt^u@4Vzc?VEh| z{HvFr^G@Er=X&pxUzBaLoo3~~sFJ&{vg>bc-4=E7;QT+6{idAwV*9bG?HS+6d)jN) zd)>ddDm_9^f9<B|+GXv}SKYXENpSv@J?^V2rmxx+E-kftNmfc+==P`SSJa>KuFek# z1vQ}pO{+@ud}F1p%uTN>clSMebz!!1aPg_@&yG!dwric1^wHB{33HEH)y&K;o2k3u z?lRf38(U8M&Hi>H^|IaWT&skczU^l=zwG#FCcF8-GGVc(qF0;l6>Ye6RCiNm`%$yE zn~p5Aopx)>`Iy;!Kkh7Zo_4$B2-obs$jr-}t92~D-bk>Fc>5^q_LjrqW^aqEziVvt zsebe4#G=}=n<WSGX7k-lzARXsYn3+NSH0%zM9bxN(z=m>yLbGZw&|)~Uc2vZnGKo! z&1P?lPb_2RyIpcZaCV<X)=94eYToudH*+=$X6u-xtv;%j^Lxn?-jvkcD<hxZa97>D zaaQ=M?92TRO|FD57xp~7di^Fx?iGJCi*svzbA2zLzj7q>{Hp$cD=y1VVJ&%iYsout z&egwN4(Vlk319ohxp>vGWw%Pc#r+OE*%JEcr?Tl+iJn<yJZ`-6*dA}Zln~->CQ)k0 z>lW+L+<8N3b{kWz_kDAHL%zQ}$CmCtSW%N^U}wvtmayR9inZ$wuHcP+xjg@t&-7{j zm7)?!U-_KZ-b<bFX13M#nx34Bm&XOY{`yBVxlO5aS*!Els$Ndz_LPg4)n5Pnw|LUk zQ0ep2dS`o2@6FZvE8=2nZl@Kw?^@})`o&+1{e5G1Z~2qn=({vK#_~jB^2_ICUd_8q z&G!U_#(xfbKI_@_%bTxnUid{=PIckV4PTeapVZ<!{qEbkm&eQZ>HG~z$aML=;((R& zQ*U;*Yf@QXenn?~-E6gV{^ICli}+vj*UB6GS!8ls)v)*K`VF@VYLat{lS7~XnzBJ_ z{_#i0Lr-0ba9MNa`mPh76SY4{X8gS4yZy`J?z6tJ+1c4#XI98O`B0g8J(qvg%GIk^ z<-PlprhEDmuMXRi|5LYaDE^zRqx<(vXthu6+ic#mb5~#CvRhr<s4CkP%zcFK=uVwi z0dtNYx-@a|>Fn4|`(;C;<Kq{Ezx0SmlBtsJ@s@A?_~Liq$z=wnFGVi;xAXnA*SfiH z>j9?2tgk-2ah|*Dj_``(wT-`Q1TqddH@4XbWgJOvJZ2-9ap*GtR<_+W%glXqMc%z) zUGeuIKT~O?UO>M%)7MJP3k~wDQlC}^Z0>l+)GMiQp(XN{=(iUO+gFAD$!WAYbCLUl z<ch-$j#fO9YYulPTJ=b-I^2>d<+Fd%uXSv)&-Tw=U;FFvi~D<*2e)@KmHrG{AScf9 zsyJ=|<9!a3CvzN>&vBVN>v0hF+rQlOM(VtK30JfIU$U-<s}5SAr_S=KFlK?>Z&s-d z&I=T+S*135FEHHAI%|V_{)Xc*dk?O<{_ZMwz}~X?aSi8X_TFbXb1m_Nj;!06D~V^A zWz}M;-uqkqU2OCt?4x?qzJC!SeC#O?ivq;t+EX4DM~E@HrwA5>hzZ7@RC=~UN64=x zW>c%D>#xw3D!;mzO))x;wo7G8U0Q##=4;%$j_p#HmHp~tHfiZT`eJ;W_iJfZXQkVO ze9Nn$zP<TZi@!a-#CP#a)GzU`Tsiu$)m&eFD7|?ydc~~D|J@C;RbDriwzt^s^1ksr zm~-{V_!aYS9pespdyjRM*;}U2>e9wly1N{%o{L#vc${U{h2Q(9d}~;C{noyw&!6^A zI)7(+$D_JX-L0!D0yUqA&I{*S`K;Yb(bT4Teah5Gp`hUCpxMi(Hpe~me7Yb@E}U=G z!%x+!{_b8)S(~4Kv8h>~ayEM1X8&2AAMBlEnqTSgdilkj|Km>1+n};6d-|$nzgC&= z_#SssxYa2B_MHQ<&Z0)qOTSr^_q4AT$lD+O$@8bs?U37dLatw!Q@elZy5i~Q&fYOv zvM9QC+tgqCOy<7ae>F7L``hxP`WI_I7amn;@0{8kqZZ2dX-16YiS$#)RjW6Bxqt2H z{#)<v*PMSMlP59v^w;lRW{<Mu?_60?w6^VhcIxphAEwQ{arM&ckdn+#(OE@DlVrp8 zg&QT6znx^;n`K$x_c9@FmCUngd}o$w$zFOsb?MU6uR}}EzMQsi-Gz|ze?A%Ao)dh2 z3je8)<gc@{=B)qgxhid1c+kpQU!s;|xmCv9n)3Rp@!!3Ze1o2aRIW<CZoKx6$x7Yo zYp-8Res!Fg`EJ>}ZQ=2`0mp5s_ouL4*m^oT^yPIY{h9zHn`QBDGXH)$C>gmzb(YQ* zEnl&$g=*aMlQ(f#XmOj$pHO;K@UlEt>hk-mA{I*w+k>Twcdjt(e{b@v*fW{&x`|j( zY_g!a*M7#?b+<QvwGNNX>h>>Pwl91WuRdqSugG7qr>5yMFTI~r{r-OGukd3#uIc|= zap2_pzwvYbtu@^6gxgW8>{7LAyt^;^{%h@yrCYDQy05qO>iY6^tMk^qzO{DU%4wZT zyC*KoTfai+a!LLVhXZ`s_8O7`w+b3%mCA2u7ERy$-uq7Sc8T|!-JdX4FU{JuX3B=+ zT%5mkrWYJA;%r|tvEYap=kc7W1&6j>dbqUXYCz!Rw7U78mNj1;Pksnm#-&qt|A~y4 z<lNIvi$6ysl-Pal)l0bdyG?D!8}qH_9%oPfWSJ1ecz;&NiIiYdCAFC$XIv(G&G=Av zvf=8Th|v2}?1Vxde`&cCxz<JGd;DYFYl(A??&{eZ(QhdB+W1xyGh1Yi$NTSI&#nmF z{<C@A)-RfOGtJDemHU1U{vT!QUl&?5dtc<;n7?VI(^suy{WWc!@~s`t_7iu15#M<{ zUFo}|!l#BtCH|M8y8`p~e*87vZ{^zUG5f!Je^bmgzrEwq^R(RUH!B)<`3S5y?$Y?n zM=0aKlS{|j*O~{#@7*R>UGidccst|o5{XY!`INuCHh31j*NaoxF6Z~XfV<MI+okX8 z6+F(ropxR+=w$T@!*+M6;>thURgYRm7T*8+ebNSzmCoP)n4Q1E_icO0uWHw8u4{F# z9@|$d@$S-<J3D{%&QJZ3@cYTVI}zb)K5g88+PFPXDp<R`cjdpxV_l{Hyps8Tn?5Ug z^*(BL^k=VM={8qpm7n@;`NUwkh-v6`(=fw#(=ESnC4XKq%dj%U`M=Go)DXG7r%u>Z z^$J#gu{!*Dh4JA}Fa9TH$n8BiA%5GpB_gHOs<~?4>qCXUFT3?lL?Wgtqf3VE?<Ujk zwJQ!}^;)jEG$Tqe!$+6jWZ5+~DefOJ$%>~<#0;I24ZTgKZLqj+GQIKVhA+l0QpFJ~ z45zb7eV(!2aDJr}_l(sWCs;~#&se*0Mx>PYjG6hfjyKfI2!F8Xn9Q}mTIXY~Wt~ax zJyx^tLd1cr-qtmjB93_V9$j-G;?SoW`L929?(^(F@cgH9ZF{OzapWq){+CjpBiC$f zaFybYT(Pl(RjPaD`i(7>KbNugoHN(eFfgyrSa3|ecjuXFGmh)@{ycLf;s8%?tIoxU zBZ9q0Wv)dWT3B=0>DtrTVqz9E>`h8678p)nZ~APbeSKHQ)}OZ((tPDqYbH9cUvW}7 z{F*l3@1o^C_4_JTACuXZaVB;1F&neYGwF+uF_~rPr0hMW<d&_oZ2mE!U(aSg+hi`k z%x$loRPl?ohSTGuKEGILIA2bR`^CDA6Z}oZJ|?eQzGso!-sw`_6%+SQ$V#22@7G(k z{d4=O!1wIEJ8dq{I3C>l)8=Btf#lv+n@bT#oO_SjT!=XIx8`!qzBA^&y;a{ofz+-$ z7V|FS%;TNMYL;a_=a{i-;{;79a2MB8%6rC)_Y)X*e@e`{GJApH)=$@GoVMt#?72GQ zyhJbSm+KKHG<scou0)*S=vC!8Z?2n?Zs*fmb=c&YK}fQmv&l0<i~6n(*-DYkw*5le zo(7aQI#xb0FepEjW%^3CR{gw8-`>gAS5h7JnQZktuRMSG|9rlcmiqBwCl1<lSxj-y zdUSGei}L#|?>w?zbw&5bZ$D~Mrfa8eF~OZL{`thy|7<?pnCfn4{aGWyU9L6f^x}@S zl_H;iuD|{2-i^@QO*dk=F8P1S(PHP5uD|;$TEI0RA+*n8%^AVzqLz;~+PK$jd*X56 z?x)GSb2QlHUY~B1+H}hCz^)>JP1|H#)@ZUD#!qQ(xth9Q;en6evga|i3%{Ro&Gzq- z6Rk3ZKg`@?^qzGbFSmSDtm)3AH+}w{rzw^nEJFEe{H8ZI7(Z2b^14W%aGlJNnqWSm zbNfH@TbE|BZvDDP=WI~&+4DPsq&|e{>et<^3cr5MWdEnl>q32fZT^?OW>!DCDt`T{ z_3PJWd;RY@&%Y_4c$v<XBbwZ%)u)_%7jEL%so&ipC*P%%cC&r$`{z?SzWA3Ee3iYq zBgR;w|25m=%{LR6!X$i7cr|xMq#78mzP+w2>&l4@KMr4OU#^+4qJHb=?7LE{H>EG> zw#%u#AF4UE_xh`>?(p!bH+Ifz&E3CrS;^`5Z}l^(Wv2a;3%fh}=)}$bx7(jxwx1PM zvHRUyrpdSDc(xj~pZve~>q#eRZ3FjqzQ-jmjQrcP<kvdSXSL#qSaEoQt5r|Ln!__x zt$ZR@ZM~G*b<Ad2me1M+CSnG$$%@7%Vur!VS=-ldY`JMU&F){+GQ;-s#l5HHxc~jw zE>-d)q`&0lI_G{lt1mBC9&U)U;(59DaEF~$&&$<^Tk4{;W2a@GNp3w>6PBfuF!h*| z-Q|cQpSL|<wpQm^>oK9YX{y&f^}`$grRttsAQkw3+t+#7?W}Hp%I8}3h^#oR(OA_Z zwj$~emr24J2j^#8CXZ$~B=>QfJZ!m_u+`?u!s8CIzj!Vs9!QXF<GGl4BtZ5U&*g<t z>z*f8DbKyK@bJnS>&?+G7dgoGab0;j$-!2S`^xh!2j+ELCQoKLD6iu-dDiA2ocD^i z%yO-Bzp>R9%hiV)imiBly0r^FFO?{EZ0Ec`&H4G;FZX`MwexL1b$jMPrg*L^iF+Nc zUJ6?vC(Zh5v*!ZF*{o8VTo+{ND_oe7%jA1wo%xNY+xTqXy}0mv9pBYH*LKDD7XfR} zl}c<5Yv+9Z$zbpHu-6w7)A!B2xwq6|0UtZ-t0IpDa_y|Iid_~knxA%m+|%YGo^gby z@z@!Wj6-Wa{ao;a?~0x+x7_a17n|MN8LLYrHu<*;#=kU3+s`MwPp|#m?U#E^cklV6 zSu!K|-Z>tz8EOITsZ6CmV-{qwYX!^~W#Wxgz0h%$DfG7cUO~O$(ievQ?RN2h{dw7R zE+{*Ty)sCe$R|AQNn%y@nyU*B@2Z)+DSGjI2iafTSDqR-R`Cd|IPcKN#v_z*BB9ZZ zM=;||K%*MZW$8Dcmh#zNdwn6j{@<Y`+m9948ZDX5r(E^gU|Bz(@ULmk@85p8_F|=T zyWrEeXA&AanM!A91x#Pc^wmN=V17P}RFUHX!TVe$&lcU|m_E_!i^Njr`E#F!E3`5u ze>pK>qg9W@^205hl{4;1O)q&-V0nMZn`=9i1KM{pmF`pz=>N_1wNl~2gyl@V6>0&d zZ(j8l>|EsBzuM}{&8hxnx9+ZgJy9{eRN~`aKH<FS&i~(TNzcEw@bI)xYN;CzxHVeU z=mtzb%=FblDPaC$rd|uh3o|BHmBwy+bs>2+Uv1g+<)*EQ)n86@?5&*f?aXDX5{t>} zGp-qz{|#Htx104<QOE+VNTyKXDGtudI8BmPIwYt43CnKr_Lr^ta%o}I;wQl~zMZkF zO7V!7b^CHT@lg6xgA-NHbY!j0=;a5oan~pYw3{-OMrsH2mok0*8MHv~@PEJMhRXl^ zR<Ap)w3k<|yYxlzI-9kcJdx|%-PW8ryd}Nw?0nm|Gar8zJzJGl-e`44KVCik%F{*% z+d6?2=ZhQN?g(f2@bBHFCtUKvFtFY3`R9{fyKl?b8YSgf@@$@WHMpH`@2Sg^4l?a# zl`4o?AehcNtH95GroX)Gs^6~dhRmM~_TCaNeX+r;{@0tVdc}a!Y>q3bjSg4WDhAB| z%EWs^;X+3*Q}0d93oWu$mt(|UT}bZatDW}BAZ00^a@b3Qv{K8SP46~^wr6#nIs9c; z&1&cN&rjc;SujhU<yC>l0zG!tSA`A>?BcmilIA-ke|vSc^ru_9T>Gi~9U{MEt|gw3 z{QIHf@>7Fzmv*W1U*@sXyFX*ug@=JZB_=txM?TFxwc@l%W0lX<^G`no*iS!x@sr!q z3(q4geK!5-*V8vX`O1EOO@ZIS!*@Pqsx)UkR0){Am+7m;3h~!Q?(JE7&V-w;{lDaY z<gXW2wF$uq>9?O(N6$Rm_erSR`N8B*Wy=C$g=AN?yt?qXk*{{&<-`NAvTb*+EsVPN z%HUZWpYXcvwMsigcja7<dbcq$*>1Lpn1N5SqW;!mmpvCE&J_2m+MM3Fg+KQ4jKf!B z9&a*C33*qPdN*qcU##1U3&}h9YO7utB&^_bZhK*nw1F>~?WI9tg5KoJ{(Wn<#^hcw zd1f4GZI&?Un3GzTPEymcq+^*ni9V4_?|zYOVFE=-T>GgqR>=~Jh;7;$PVIA<N^dF# z^uMjzsK;LN!f?TxdFL0LKkz>?EMr<}yXMp7r&gR!X{_?mvJd|##0w&&c&{xyKcOh1 zW}9(HyWQbaiG|TNe(iRTPbU`6-W1nvCw(fh@a~SqIWj);&x!unvZg-OU-0L~oU{`W zjl54^dMrGgA-k*R`oiOtva1RM+8M8xNECUu3;LHxZ1!yD+<ofa9-oD$Yt}xMdwlvH zkMGR$EWNBgS0YZ-yp<8`HWk|(l+2l3q_cN@S@xO4_;rQ7FQ-NZ)rE^y{|b@awdT^o z<5{w+{w;Pk{WZP!kR*@fVsOWP=Gwz8l{;5YxR(;2sr%hxnc@7$Qrs3xH%_>h`Z)TO z(ywftwE4$`(x#~%I{i!d`G5D94S_AJ$EL51?J`N|a!}Try7qFH$wRNmHltUYo!S)_ zf6Y4DbN29-(>0UVXJ1Qy_w@Yy*ayd%dMmUpw8($D>b3rauk5Po@OH!UQ;EN9wj9{` zf1zXh=BK<T5*l-#W}eMBkl5HJBb0HZu2C&V=X~PFo9~xf@w`}fc*0Aoo|}sfx2&z4 zaqn~bCr*#`4_?+VZPMPM6#w@P*E;cx6a7!aH#|N0HlR_h=S=*JFT2iN)|TGj(5}c` zDzVw8opb*5!;e1SIQ=v?x6<X;k{tGsC5-;8QlESl80xdk`miZy(diYlDo+as)D&4o zw!gY|E%8W+?6ET!7D`2ZGI+~q{fRTfN4Q|epP*Ee2OSR1eOxBbS{#J^UWI;mvDSHh zofXfE^@lqetwORBWYu`|+K)b;cl)&Pg<l$*_WY9My`gep!da%?n`#$Y-d2g)=)Jg* zK9P@E?6pDCOul5h>xqXx+4xjDod2&MesK-^m;dXncz^gTP-JG2G7eb4+5B&H7;C?C z{bI-YaaAb}`Lb?bE++cK%c_0RZa?~bvi>RdgO5I+eE#(AqNhKfbbK<~YBOI(^TLEc zrd|o%fUUnTzFzvR&5B22(cuXTt$HMv9d3D8IpbS{{3lM2^v3j`EGe50tlfVx^FVH6 z+a1A-Be9KYceLL#9$ns@{Ph%@%_U{$ycY(~mhlPi({DfO-scYrp(j6<*f2`!d|0yQ zmt<&gv%}RZ3Ku%$S!R8RSwH=Jtt^|(g~Suivd3bsCmwoib7mg%GvNu}8r*-fq}V1D zRI;DTIPjc_H&X9HhbWV8L}cB@?(;VBFQ)QVtaRS`<U-<^<yA8|@19Ql`0~Z!PurFm z%w;{5J?mmaDiiNbg$px!nS5_72|t)Tm9IAJwZQ{!S+_M86VF7+s;xPFcniDD3H1er zz6Z|LeBIn_>%BnnF{_lZ;{s0MuUZv3*A|`^lV!`fns~%a_E^sK#6x{W5jFd2_S-OO zhH%aZjQiWec19%Qghk`3*cA@K&t8Onn6boptI(B&QJk*~l2-90FMDZ_SS7d2_QCHO zrcH7iEaLyJVb>ANI1$u%Y>jxvp`K5_xBf7xT^FanRs2HYff`vioePO)oMhE>eyv|> z{r*u}!MFQQW*(mNDQel813t1=I+quoes1+8Vx9BWm)8;xc*(Y{xs-S$OZHgJ!ow}5 zQQEQ3vd$#WJ67{7+b8#w$+B-LQr!_tHqJOI<sC68IrDtXYQy%WJCCInUlx$H;<>u; zbb@SE&(($JEo9kxt|y*gk#+OAl6Xc%R?X*H)Jr3uWVywr&y2m2vqD#GoFFLGEwRpU zYuWV~Q9Gw^eDtld>zK^4X{LI~tbQ*pJY2#T>-X})<1Ku(=Ux~*Si|Rh?xn$_4SdPx zUK>1Yy5==KQ|j}Kb%yf|rMQ2%B`dm{h!ut;8y+{AR$y~{)AwVh&k78$?|3sWa=mjq zuT{y-70&&>R$p$eIozOY#dC9&v+3m50cW3Bg&6O=<~9Aj$+JSwWV`96Vg;_ris7bW zg}%v#)~3@wq)KYr6+|pIY>!RtJsr{5Y*jL2sq=L8|Gv#~(xoppd$u#qE|u8i+AbJf zDzVwOo%8np=zUZ5c5j_l{pR9~^KrebZ!Sli2$$-<v3}!>^`_Gb6pn97Puyv>{QH@6 zF^@M@KWpHV<$HDE=>$GozSkF?cko@^=GCrPTq0o@-fsB0WQIX}_R`aOy_IjS%{b52 z%lhVO#0k4z*P5jpXZ)4&{;{h^RQ{sQg&BtrZ92BqW<lgi=k`#mlE}5r{rV*@HoLVm zW|vBA@@p52e-)tHRXSsXd-l@PO1+gj*Jhj->Sfir8gas?*Y(V`h%-gKs%uVc%(=e6 zuswR`vDEKpl4Y&#Tv>QJShmXM^1}1{Ry-B!4o?ub>Zw?Hc!qrGj1QSNch_i^>|DF` z&f~sgHSe-?9?U!D^e$WHQRA^Bxh$QBfs)#GS6;=1J%41pRxb7Z^KCYltfH?y;<1`@ zdEseut1lMoo#!vM;`tHQt|(qA@o_F+vffLBhnubyP3M;S3@iE<Zk#Y#s@r1e#u=BT zye%dtzqBoOP38+P?mhi(rgv)fbsq4V7E#%%n5zrVo654qTu(f~E9*Ardg7VyRz5pI zw;lIec-nOBQ@QJ=&x)Os8HG*6iX5%ok8GFf-nn99%llIi9}OSm_FCT2%#Jz6_2%Nj z!*Q~^-dtXIJlyKb&Gm;H)|W~YIkXG1mr4|Sv~#v^4cm3+>Wt&Iy+7|<k2t{G+iG(q z;)rtZQJISohu+C}zn>W^Rs3RRc1+p%Iae1-oh@16W-MEE=gPwK7dFi{Ze~1OB4HHM zE~s20VI0)Xx%kwt3a;3`EZy+MGml-#SY~KCE7PYpO)419ow{)v|FdaVEvxsPoU!Rx z>Y4)~vQ|Eq7oIMWtvYjI;dvifwlkL!J^W?eY&3V@lI*Emb+{!x>U8X}EZy%C%MIs$ zl;W0Hv~fbGRQHS}8)ux9@}4m%`R4B`aMJq>N_xx6^~`VDUUqNSt1W$T(ZAj9Z>hwG znS9P{FAS0vK$<HXt`$uek2-A|mv!d3doOFvs;T0aVv_}JO~gJ&XzMS$UZ4H9_0zep zXJ7u$l8#@yO3!VD@4xqFuRVP8KDs{FV*_j7jV*^0XNOe>L*}xobF31!`?kN?^0GtL zOm_46Wy1S5J-PC<=V`+B19h{@e%x9X%XeeT@wnOFEYmMD^5<GTY4ml!v;F0aYO}co z*Cz${U!K;ym#IAG*28VSyYFnu?0=to>-jQY_B$J1PIzr5TX=R^^153kheW;oYW*Vl zzH!HF%WU^Hd;4jwZ*|O;%=!7bRu7td+vjY5*^#dk$!U?fQBhsT?9q;+$7D9&Xj!~J z|L^6*UA4QHZCm#$omDNgr*Ouf-`DJ$QhPKFmKRMpeCL#--e)cL$}{U_o@`!F6ma0s zDM!U_Ep}I(buuExyNd!2{pbomn(B45>B<>{bwv{n-#F!{m#oEJ>9a!S$>t?R0SCUF za#Xyn#qOH3Rz_s=(xQMv&$_~oCTAUOx^idJ%AyH}kDYSVlh$IdT(e&0$>-Fk3mQtN zHaoe6^L0f;TWX~FK3&kFuN5acKU0)DX!GS)ryTiKYq9TKvqt90#zjRF&VM@P$T&Zo zk1I0FQX?tzXbhXrdI@2p#YsL#&U6_XZq_=c;`68WwfugOq*q)y|K^^xuKBwAn!Tp_ zFQ(gX82O^#GU`RYVU&x%!CZ6g7Bkbe8_Z5&x0#i~ZZaqB+rqB0W_#ML)cIQ(Orvu* zu&*$@DEDB~Nx26b&&fU5d|ytW;G~?ur@6KYj~3Y~Jd3V&IK-O0?CQL;Q4O;i&)sI0 z*|(8>$DGaV6?3+-|CqCpon_88_Le!D*j?sqVLviw1G|dM_Ox5+{aYDKSIcZ>w~*P& zzC&gsdxgw4_8&5v*jZ$@u(!x;V0Wp>JsW%=Z#DnT)USfpYwgn7ud3(#zq|kC7yaIS z7Slx}t~6@2AKd?Y``mwvf<hV%WjTXazwc|=+4I_C#_=D0EkAu;ctjjH($~`J^U5RQ z$dkU7qdo6DA`Yd??g-O!whEXrtMXU5%9(}n?uTN2m8qObc5pvbv#dl#Cn44SkW*NR zicV6c`=KPe_Z|_4Hu1%1_b-&y@HrdnZ7uX{!xH60y>4rvXB$^2C)#ma3yE!5pq!{! zYb7LRxL-NZ@UxZBG=uHT*H5KKuyX}(zCWk0#q!KMj~S=e^tDu;dE+tTd{1A?%D;Z@ zhn$v`s^}zTv2T(#*uxyCsB9%5YPg9x&~UMpz*K_|<<tH~+`Y|vcNTZdkKCma?VtB= z&2j5CWc3T>E3yc*JhAlmdo8v~o0Tz>&R;&|$ar3hz4cFkrN*Pmrwe9?Pi+>83)`cm zcRxhO<LngsFutN6Tapf4)%m%j$WzW%Yx<MRn~MS*)}L}z+^fy*S`)wXk)gOYyJ}7N z$5j<`R!2;jC9EIHSF|I>^2AfGrwaY6r#9ED3+3b55of9K#O>*Vj@eV2ubkUe6mV#5 zSNPHAIY*nWyeZ#ZG~w{vQ;vG&+U%8gR?9po-d_}Op!t-eBEL4ftIetzryGn#lb!lP zdpa%R4Aq{c9G%f}dH>&TzxuSUOpoU~cJJTpzGC&S-TU8sdA_ZK>AH5SMa)`}M@DbH zi#pl-3g!CvGG0^V!DcT-MP{v57oIgDLdGkCJPtj$fBW<MqTNo<uK1WOIaGPY#%xPa z$F0|)TpuOkG>@daES=CWajKJ(TPRm&MWCj>;dkv;6`K_o{@dn-YPg(@<kxPsuvsOt zC`@pw)0I=}f;ytEhjIn3-sPp3<r}W)vi5~m>ybOFMHYn>i++0lxwh5m%9}6AO9i&} zt-i4E%9$&BgF2!PhjImK@9<L0`WvR{vi6=<tIL;_21P7))=aoP;k2ld(z=k2j-6qO zN)L~{|F`3^e41cs={xOEQ`6GY*lcO($}gYoUwvU$-Ltbp{@<Rj`tn*a*LVKwUG{9% zvpio`xf6#T?$e2Rck<BVbviZgrgW|A<vq)LmA5o=ZQk^*W4B)2I+uUVY}uo*oiA2x zo8HU2@<*Kb*_k^cwPt0#&Ia9^RzGQ9<MnUH6{1~oXZfmr`@8Gwdi80YUyO72>zz!@ z+Euo4Rb8{~em&31;O)!Sow{Pa=jgjlAC&Sdnx&VTX4P%oRb2Z#ZTZS?>M`Oiv%4<a z=l@-ks`YA@S=j!Q-?r`FHFMMavUMw0KYevR`oBm{>|g1trTJH`6+eCcf6Zli(ZIvm zb5~!syY%Io_R;$?Z@+FkY5Aq@_j#+o8{cs8n0dD=roA+}qGQ`ObLshl%{&r`(-f7@ z8YNAMJl11&y2bF=o1I?_t@`)5J->YZ1=BC-*c0h);)VxaB(X`&ou%_{@|#Qj(fy_} z)9c<;%~8y}d3?S8fAz&BJCdg9g`d6jcvj@E81vH&(rJ=Kmvyd|-P1|Vo0)p3GC3{w zn6&Y0!-Fqs@}y7m>_{k4ye}1d#C37^&vP$?^g4UXFXe?k=!>+v^Vff^yw8=?#&ogQ zADWNuve_QdFD~}l_(kq!-iY*#it$l7N2<DeZzgQyls@`fXLFXGS^CY#`yzkY7@Tfs zPm}y~LPuF{cIp}7%{~=blU_P(IXR`JMCDe_sdzJyw;Lnc_lmvVbUw*;-=>K9f5oIf zY(3g0v*AWZvzT=8i6mjZ*}^Y39o4bjHf!m5v&}p=@}?<TpD{{W9(nAI(dm}0n|$hx z_a6}4Tw<a1Tz@iaTEv>eKHa4=Q#Z<O*1eYAca-hS)*BNl#iR}ICnc*z<s5P<R*LPL zx%4>G<}Wi-rZG-FYn0Lz>E>g4dPZj2OoO{Bmp5ikjI`1*d#W!zJ1lz5VR5n7n~o*f z@|`q}O}qN*a?(|<D@j+St|VR6x{`F&>PnI@+eu@GkLTHR4AXBWuZ;YqV|=>d{8^)v zosn*93{KCOnl^KT*R+@V6IR<!pVRVa{WAWEpRa#<{W4iMZ0&X3u(j^GVQW2fuca?K z%I34_#)Ok%(uPNql9xs095N|Zy87>m4mO<pYu3_R^Jk@4nfaP~w@WVjlbU>a?*EK! zS*-aRvm&!LX2oW0%u3AKn3bBfF)K4`V^(g~M#JkmVQc;VFE*U0XM8sDTSbyx(A&tf z=-BAtHl54yMj_J1n?rsZS=P_gx#0aSduHj2+>Ls*I@gl799`AA^609mE03;Py7K6% ztt*eNI=b?x+M8+CK3P}AuFXl^+HC4Qe|nnahsBX?HCgKdT*^21yiA<NY3pkwJNw&= zrMITf{4~AS*Ig%U?E~E~U2olMk9QpXHD|+(hRI^mMW>RK{i1WuNOt>LWcw|-b!gVo zTL)(?y>(#L(p&pyExomG*3w&hXQiGA+Z<9I9=UA4MC5}xkybUz&-Eu~G3IZ?<$b}; zI$^qgx?yYm&UThWCQi#@&z_dWoINe;f7Z0D-&xbLzGqD{<USMhHWuW_u-Pz2&epw_ z*nM=@n`z%mW`6zg&wlpW^Sa%<J90NFmg|^3T6gr=oy|8|e*eEY!((f!Y5L9NwUNKp z7@uy?KWmh-H_|P~;Pi~%w3!<`r@hoK7OPNDSNt6tD0k}T>6FIlVy{0eKDw*s*Y&j5 z7w;z3Rz>7E_;>TZOx-BBSJ!M=|53Fsf3uU7V&!ITe)I8$PVKxIsRx2L^Hioy6Er_- z^lWFO+8%?GB{{Fsy_~Gf=9+%($jPzF+sLP`bM5Jpqg8V@Ma;h}CS7nW$@yD!&JoM* z-k-;kgwM_1{N~fv$SN5F@A=JXk_9JpocU&_9ueH!W0^IL^LWb4e@t18+-WaAtevyp zM{Gyp#w`1cje5mTf7@)9`>b;<ecw?woeei8w2Db@Jdu<v7M*iQ=;t<{wWnQ+r`hf^ z_MY#ZCRuP($9dh1)FYvrdv>Nx<Gc<E&1thHP3ZGI?ep{Wv1O)WuQyyvioF)O=J<56 z*PHJpF`Gr?oN(*zy^*rfaJH^lVz=c)z4^139xvMbB{FduqxM;&l)gx}HAbgrTuqz# zp;hN{{48CAYdW%alRr;C$)v1vEpf@wT|Jv3`Y(#THa?cb{3|-=ghh9+ME*uYVI8x? zMV1rwn9p2FUJ&_<$LMs!!8A#uBRa}$vr^9(Z1$1JoAmOA$w?hqwb`HqIs3^@oy~mx zPhz9fV&$UO92f5XTA8(x@w|@N6ZY=jioA`6`Z{J0m;U(|niacj#^yJMS9NNa%}hN| zvYBUQ;xs|yvqovtBGt}JOZ`)3W%gG?U2!Vk{8N8bC$s*FUL$o~C+zMTvDNRwx<j|G zKDz4n)ugMu*&AQ=ZH*{B9kpgx`qQs2S+TojFLmeN{N-ilG)Dfj;`&Rr|2)xQmnM1n zx{mO#nJHFgv1Xwfva|h8lqaiQWxAJimFr&8)lFBDuI{>$boJ1cB<8;8HBqyo)<n&Y zS`#%VYE9JKS;8x~ZAe>Lwjph$*@m>0-`1x|7G2Z1`tGXE)n`|AuHFls_EKMcb??kb zt2<Lb;koxowdrQQ`O#}m7j=J)NZe?rt!tJr^=R9gZ8tjfb<EOwkE*Si`u^sXT{ZdV zHXYa9{AFkEG{*8XMo-p7y4^88J>z%U%nt`Z<>y>Y&X+g7EY+;Pd1K4nB-yfvHK+Z$ zzurjQXt!I}ETR8s+ncR7I%>tFKTkcX=3}mYDRpmTRgQu8{N6Ol4QF(m&1R<_5!>8z zGiw^>?SGrU%>4LeatW)O)ZD`wJ1<-joslz*FZj%*)K!sHKE~ej1=A!A4(m9l%}PC@ zv$<zx?ljKgo}0yzxg~PRJ?GNbTx9Jr-ZkN)o4Db@7fEVTv4>Qez1tV=bbD@ae!`X< zfmvVVdxGBnJ`?md6(9cBtXZx1xp(GQ(3%bIUcH2g7h84Ac6F$_iEqC0LddUkeqQ0_ z^<pA3l+)BE^A-PZHc1mtZA=wgea#s!o^5bqj>q)Mw3mkWb!ydSr5<qF%oCY1O|bi{ zQCerDnvUto5{0Ut?|UyjJYJuFBHm2ot8uEiboa05HK)_XUK<`rs%4AHIl%2b*O@K4 zuU8^zqoK2|S>g=K(|Yq~Ep;#7{N-ihG)CLAMoXqgx_vP_J;N?-=7sQSFQ<ej?1;4L znE;A(Zk=lnmmJ+SXH!J~WwF=A$C8-OMdh5Z?C$+>EGhY1bj~5xVx?HOSxb*=Z2lsd zJB=~<j8V#(NVgv2(=$G%%``Zua(Uy+=*=ZNGET*tncdwI(f(fd+S6%AtL|)zm~Sm6 zU2r|g`CVkrk=pLw%7l%a{AW8$exB2@)tkBWeClQ%i^OS);b)B=&5Ar0V}80tdXvxo zsDOm+kydNK8T_`+wZz>=cfHvV(LY!0_2$z_%-5oFPRMol-pJl)_*=*9p?0xS>^>9k z{;z2-Hy_ktHk*}tLTz);jhtzQ(PxYjS9>l?zFfDt<j1Wk`*U)3sjd$&dTnqxDK;%? z&2gRXuQPKuG8XHYrK~&Zc4qU989&8lZ*YifSa$7cgXL*C_Oq9sPl#lbF*`j$IZd+g zicWIh%+y1Lk;~$BH8uqJIela07CQ+l%0tCoZ@85dyKh58zp2>k&DWBcuSMpZm@X#0 z@m^B0Swzkuw_>GOvDr(H3vK=qnKg~^@>!!N#hZH~@}?PTpD{{Y3UVXI=8_kwpz!0} z6w!WA?6uL6B-^&AHRlbwc_s2TD%$FrB`rC6tY_1WmW$6^c6~AP?zc;OdHK2y^RJny z9`&1hew@)sW}A_E=s>-`ZMRqYL8j!MbBSwWGG1}{7<;#;roAk>r(>%(W9j+rX_5sO zb)5GZpYHHZlPtceBfL&x^K+wfFKmuU&ppqwn0rP>iQ?oQy`)VSkNOzyYFWuPozFSE z_uRu-ikUYRO3v%ZzMH-DH1p;!6&cg)`p+0W*cjPnV|cn_d79+sGdjX`25IM??z&ic z$G~d-Y&Y=_doQ-uB$o(o@6~(OcTx3@Xsp$4(TcnhKK3I^QvKe3JaZ|rKXTU_Q}6!T zw3nZ!MzXyzKRsdnS)-)Mk;i0APPaH8d$Tjg%&K44?fK@bFPOGT#-1o!+<hal#L&7| zFLC<8rOD?K7Z+P3c|@5#n`7$TUYz!_$Tj9hdeGXcBjrU#E5&TnR$jA7lQcS{bG7TZ z&ef*lI#;Wn+t1qcyy(h|$o^!v=f!tkF!@Qup731UZIN1HxV%^IVb6<8`2nj+`)+)D zlf9%zbn-OIt>+BA+h3-=G&-zfn>K6dd7aHXGjgXX7N0RnS{HfjjPdD~pU2*;EbY69 zj$L^St+t*6;~gbuA`?pZxOqKKADg3IpE`xl|LmowGb5}1BuukAf7a+hYh>FVlhYmY zXM=Q^&loM-ZCI^0Uuy30yZenS=U2Ol7u<j0BqtGj#CdUdWl9NWzw7im<4q3y8*6V~ zcYdk)ZO$1{iHvD{&1Wv9ZiuY%G4q~Zn<n{TYGm6R^V1#c&l){zh*Xm?NjtxE`o+pG zW>)j<+{7<jf8q2?GS;Pjare(NFND}6VyvFY7+JL+Zd;O?d`@z6iADA_z2|2xJ(k}5 z#WH^yqxc!4C()aGc4SO5ygxJbkZSU`m_CWQ#{(CCwoEHwJl?DKq~)UP9Ftu$_PR|k zh$?w$F2@~XX4S5pbjc_@p*7NKjfwa4(6pBuZ|T(TGdSH~nkKpFnvU|dnW<-#Hv2^6 zpP6?$bn)jOmtNF}Nyi=#TFf1pRU&x0NAFqjV(*B&f|oNkAABKWCN*hVujTGDmlC%} z?s{YB-Ov4KlhS0n#b=EYdLr9;Oiy<drb!y#(-Btdc-;`PE5|79TJq+LKXVLsHLP_L z-*onc(l+VXGklA^Z)ooNGP{RWtt<EZzH=v43^QkH8{F2BeKuq1>6p!5W~5KE`+U|Y zp)s;e$K-T}YntTd8#=<%Ksn{+3!7yUbI*q?=AMyOqIkJiFRAU~(H^5+Es<=~YgzBV zkeMb4a-#l`<wi40Dl(_(+0R;feEGBDUnS<J8|2a?FJ0DAu9}(ZlfT)gLZ!~nYO3CR zY2()ykH4sqlRnK;kyN7S->dg%=Eb9b5=uDFyH2lj-slj&k@x0xlb7mhy2Y$*GnXDN z*jyr+I89FZ?4|T&m?c#5x0qkjbC0LHJvTn^f{9Hk_JqRXZi$={L+2j7#1)`C!@0QF zA`_HnYO<&CiJ!gn)Oz!m9Vyf7rk^!>&=uJhV|uzHJ593qu8we?#OCKkr(W3jNzXkm zxtQA`yF~GMkKQBc#od<qC7kUp)9XHOIN-au_(z;i)HAL%hTiR4(_U^mr*n1PVV&A* zvr`YSZRWX|F->sx8Kbn#k!m@HY3H9#yI6V0%xeBxH}MUJUO0tG#U9aG+#Q))!Wj=r zfjZ_^?YV8B6d0cNa>IR{*nMW+{mf}Ei!bOfS9RUrcQ(a?e{;`^ylIB>XQdwMe{}z= z=hD+<$!WG_5_8Y{E#|(FTB5kSS1+mm;?XyzyIN}NzxrEE+^IKrx5>XP8&@q`w(-Ez z`LC}$47xr4jP^p-a~?My&T5Hm`|qS5bvEb_r>!2>mFLloY%u~ECn_6PF-9#ge9khf zz|1{+)vVQAbN)vx=M%Tn%P(@{j+wsUFfZrsJ5x3s|1R`xr)r`pgQvw4V@`LT2?b{y zIMsQCZoT`oR^W}8gid0+qEMNnR$_mm(6^WR4;uu9_#`zSb_5FbN$NgqF?6~eYA@Qf zmnm8Gje*}Gy}PP!K7LVV{B1M2-~hi6U!~r|4soHrO3g%5k-8<@?>@I)c&o0_U-iug z7mZuxFBM&@zf9TSug}T;Wm<uUJg57YsRcgvoa#K{>#7f^Dq3i42sp&ot@375#34Cv zl{cG14l$lqk@>W<MR}UHMOtHva2QuE|4tp2cGfV@8;M(6V)sqha9oP>_nN5%2dp^T z&rB#d!m`j*@HvZAap(fh>vnm6S1)pniax*LljqyDk94d;7G%Bn?HakaYm0+Cr?rjV zeAn(9OQ#2HIi1j0#Ulh+IwP=RmKlrG#rOrU*n~2i?#uWZM=n_IAY1jsXyQdC|Kgb^ zHb@1ky!kY>#n#LtA~!-s=EKq!=WCu8No!k@uX#l1-aLI>R5EOG!-X=_?sa0P0ye+U z;@pk2?Dn}(Sozcz<!N3PX`L;?V%)jke}rW0p5-denYtpfWMNCJn8%IeeJ!=mJS`H| zwKzZXut?h0lAPvgk+|$a*?jrd1k-N4$r}!9a_*ipWy5h!&fhZA3l11^w#!T{I3mb- zTxMFqA;pDn8TCO5<)&;n%*eS~cX^BLH}4zii(8n#d0M2bZ@D_n`;g&o)j1oyGpbK; zD@L4MWUcyUgY%&*d9B3$T%m6_wH`L;3h~|4dD!9Wl&v(6+vFL0qnb_j@7s4=SEXIN zxAd}O@$I;f1zGx0RuNl*wu(>Pa5$55_Z*?Fu<F3ZRi^tKu7YK?0=9~auDI3B74p`V zb=9{;mb21=*2>(wzS8;q_tUfITx2?5ubR*<ukz+oz#+NkOE%T=d00GH(BjPJY4K=7 zOLCuw#lsaB%C=ewHC@%I@;StJT=h+n(;+!^)i=dnhZvWu$`rXB5<K5hvO4lhwx-oX z>4k5z_G?U8n0E2AlVq0IUWLT1GpBFZ#jdhuTZ2&8Ze`BZz0EDk>pUWK52~)Y_Q2_O zZ57ACEU~?MiS5!tWji$!`+bGJMXDukt(sJDqKMOd&4hw8UYzP{gl@@Qh~)^|{346f zx<)Z^x~b5&2<^oAr9xq=(>UALOfNXX#(6wvO2MI^g>Mz-a~;eQyQ`Dft}9e_Q!CN5 z+v`U9?iS`U4~vxLEy`t{5o;A%+}!^B(4Kp2k4VO$?)a%b>(1U3E6x9T=i(+;Tg3oV zrD^`t*G6CYD^&Kw>yX~>mPN6BoL3&NaH#F$FnO@S;cAZ3g^p&XUQ2}wE$fR-&Zaa@ zW-5L0FRya4anu7(Jz>eP>I%-?Hj_3S7v=nIGoj!>Eob|k$puGZIgj6&Sa2wJ;ak1= zT)E+sU+XU9G2L0GpJ;l*>&D}@mfCgR77u2%IIr`vNZQ+yEaq*IIPpSRKPbiR)SI&5 zFcatQGt)O5x8eMKW@^C!8P4`I(+ZC0a2`K1rQi_H!nbyy6t~lF`i8?CoV$IdZa6N& z`P*k&!2uP{cAqH)M_4$I_e?K1WC2Q=EeWPO`=)L<EWx?EXWEA28l1m-rW717;cS01 zvEWD^=kYg_3J&=#e5-iAHNkY}y9pZ(mvQdSnY`h680YVti3JDJINNh36&!KnJf0&U zCHb-0A$cCB$-|fWr_`>OJm2+19JDVpeMR>3bz85N=5Kg%xc=Tt|Jxpq{kgBM55HQT zw`$evKT);0yr1p=T=x5Kv0U2Pd~aaFyn_~J{%+XnsH?WtuhCMdY{uV*^5wsrdBrRK zXm?uM2<<%0JhA#rZTus<VApk4dAFmMx9mPMDeJvq@FBb1b^-+lL^Q3Q7{8n%$gCn` z9B_!!_Wt?U{#(0ly*g)Fy49!t|NOFF>Pwfser=zfwJz)3wIe-$9Al+lT?x(kCmH|m z5omi#P_WIS182UwJ~Z$a)7$)6v@ZDTI;nRx0rx)7`82Qmck`!JOLyJ)oF~~c>qv2C zd6(_`Wi7IPH6izyyLKGd(y#i?AoPh|xXZgj_a}Dcu5tzI-}WvLdberI+qEZz-fWEP z`c|p&u;Hc<-%Qnq9W#Z(f+w~JFRP!kTQ1l3{lDW+ZO>=EujK#4zV+*#(w+03Z~3;z zUB26CZFK6xPb%>blg?NFDy%$Te7gGH=9sHNv2QBvC3+5jzBBVq()nt|#P;bs<pPed z?i1O0*lgnJwF^$zZtJ*{zWD^RndjAzY6Z^fH<LG<kK<&2GqK=A9_Q-0%UY7lyetyk z|5a`Ix~F&Nyyx4#@8SK~e5>l-t(f!0(bf09#js}!@-0t!xXB)5`R-qVmFGX7s=n9L ze`@u#S4rn*=Na%_E;`&C{-!cm^^Jk5`7_bN#*0ej5~@YhCn|lPp;0tnREa-AFZ6Qi zrWRW@&l~A2EzD{j7AbpLl#h8@q^)TYKK5k2d-VC=e-?k5_k8R3J*i;pXT_ZV994a9 zQoqZ?4H05`#-By?zU^t<Iq&)O?|WExg2VrrbkE^EcV_-cIzL-Gv3(xc<9*$C9!gK# zJx6%w@yLn4=Ll9F$Xq!~uUq9!q3a>L>8dgXo`)3gds;kN)RMe!YQdq_KVLImS1vEI zJ)i%+QvEY1%=JN>x0TO}W!Jb`#7u5I{k5jG+xC6hy63D<ZQqBj%UY@TZO?7C#|7%; z%NE@D{Lbsf!?<<qB881>mC7Z4bRLQQ)p_UfoqUyd#V$`6)9aK&E<fEDQr*uk6!zMN zlig-g!HHx}_Zr=YGu{gM-I%r?6zN~`PgTcVxcAxZx!7yR==0HYUspP2pO^mGs3GHa z;!tWuUZcE7^}P*u_>^_tS2`DmdY5i|-fJphdi&Wq5wYrfC7jl0ek|Anazm?9d4x*Q z^rK4OBb18f?^EKhn0UK0HO5ym=&fy<=Z*AjEzHk6EK=6BC_nSGNZZ#UECvqy>Up<s zx4pG}-+t$wS<dUq)kU`YId3cdi=%IdJ^bJzrq};jQuo`Q_xJVRR|Zy|ub#0wYE`%G z`^JM7ch1k%)cUrkTBvNt?CM>CM_8+#SGi5J{vx!~+kay97lEDr<rCR!X6@b}S-w;G z>b=L?T58!mT3Kq;9!~ft)b~RD;f(2*G=+aXsfxRD?Q`9kuX|SPeeQc!{>rt_Vb7}K zu9)3^*0xP-;WWoh0k0R-Sa;dJ&$+pCwzu4kwLe;OWTyRJ^tD=i?Xrd5*LR%@{dzUa z^7glqO-Ds{-!<a2*7@uD@@f82*0w#$h3yBG$|Y5b`WuzPZJnR2%H9Ktl!YPH{h#Y~ z6Xpj7DKaXn${0Bv5<ILbW9)N?)A|0%*wx$ez8{W%zAp57+x9H!Y^i&z^e?=Zom~84 zw)V!qg;Da&g)io6pVxdTzPCs;zw*kp;6r@2Mc0>q4ZLkMadn+a(R5X%?-4ph^Ietr zBQ!!UFWuc@Yd3Yn`Tm{nE`3_@c4>=qoQK7uoh`|~yqEj@u&G~r>(|kyKU=k4ihu6) z%Y9U&y3PL0tZW0m;-aTJRsSZHt$U=)f9ROUjl{HfzqZ(M%vLCB|EN?hsb18-QR(|k z)lUs?cdowpNg<~#q`Lp5(6^at4;xkr@y%3v*l|**Z>Gw_md>BqSFh=xdv=U_`;z!M zhF0yD>to}RelK1T%=WmzD12V{C4IjqMz=rBc(tQNw(oE0&##%aK3}Jvkp0$qCw2V^ zTfQgj>R%_XvQ4TvkhgM{p1bOsLYG5!?W!^b9)}d!Rb>ht4jIOKTO`*1i`x3Nd+pEc z&`bK;B<CLPi|KsJ-&H2nb9h}$Wu52#A9mp%-)OowrVEu-%-U_|8uOs<glt~-ou|`I z*zObDdER#-`<)4^UVd^pB-gL{=Cj8kMt)V9PY#C!zpKg=`yb*g|9pMb+W46B@vA4* z6`p+|v+kK!PJK`D(F7U2=cl;6ejQyJb98gM0PDWV8x9}6^HuW^lWm<|Vf#C!@}D73 z<m{gv>sI<+sadr3;yK>SlI1_cR_#5`%K3ZF<bne$w)yXx{$PTgP~QvPhcn`Y{9gS0 zd}nLc{fy_&{w!D$|0kh@?|hMQ@Neg7(rk;%ZtwhbXj0uri|IF~u^aTOy!l{IUK=HU z;Eu>nsd$%nMz+`WqvQ|x2=6=}GLhZq<kG!Q8P71U{3iEZ^-Zz=A;xl5nIiW?g4<PP zioFkU-haM6G<yGxKcDY_62Ro1bBRgomT$FZnwemb^>w<ozSc|e$3-)9D{YLz4)G;} zQrX872@|b(gm#{Gm{`ptu=9Mn68{VR(95Y?T5NxL-AG^3!tCZ@k+P#jxy{oeZAFW4 z+Y^utFQ)GgQ~moW=iR@&a=zcivKy9d+va`!`cJL$<g%4nHETC(Wz9b!`>pFv>Urz` zJ1*PnJnRiUyY<aER`am^HzR^?pIKKPWgm9+Q1)z*yFdM2pD!p`TbylWel5)W`n4-d z`%|;Hd;VO|kae56bl0`Cmxjl5YLC_3_+_cD`kJeEt9{~e>;Ee*+h;h>eSQDMwW)94 zZ?W}URUFE>b?*H&xodKBQlhJkjV~_vasI}wc_Oa$x5^T?Px-tqHY#dg%oD!ZxAZgS z-oCPSWp-|@?_uejoc#i&rt9}yTe{F~s^ME_UMrsK3vW%Vy>ZWh_5PZ*dK(rlx#;?A z!@fTzn!%4R>6^YV_&NRO;@EvxyuL&%H=Ms!iaTQQ#tHf+Vuo?n`Da*qSL)u=zb&VE zed{*{Q=@D9!=f(-#GjrLzwMvs^0K*cKUU?P<^8Ht`9Hqo*YYM^v4DgvSFL&K;`L%< z&)$-1+hYG#Z*zX>y3D%02Lfi7NvKV|d*{lPrTf0EWjd^TEwSb3uAVIs{efbyjZY*o zAM^N`wK4zNRfDjsqE}aa1H+H+`2K&><@wvQOy8KAnqLbm)#NMn<-IF)wZ?4UlRtA` zTbq}M9pAdVB<tkWbJ6XYVymwiscu`hDz2CDRbBq7RoeHOymhZV{Goq4|I?Ne*Rx*V z{l9;sardN0d)`%8s6Rg<X?^Z~XTSWTIY&C*9`Q@sz3<lR!WS<ci?3X^eU&%itBHTq z3BkHkv(qO}5LoTtk#T<_gJ%PS?i;5CvJ)6KzTbT#+;f3w(dt#ZSHHgYciG=XhyVV4 zzx~?v`{nt*p_<q4HOD5`U;Vb;chB;@F-tcsjFG&1IaurRkB!win;4H)2dyg(iKy}~ zUb{7tYx|VFO5r!ui-do><~?jUkt-vzv)MU$GVjLcZP8qb;oTNC+X@fw59r%|zE9fg zYSGdz<>ZUs4(|$CDfTh0sCeInE$;6mv=dsBeRoXK52(Jlv1Cu?zNDDlzviE8I@GyL zFYNT&qh96VTdy5e^|f84W@~lxN(r;}oJkuxFDKVu{PtN&?ym6qTl0$cP3C+5V@BQQ zbH~ozvR$?~+b4X-l4)rR4{b`Dp}(zP=Sg=&NqVx}?&DM3!VA@IuH1b*<dE@-tc29! zixOIEPB{s$e!oWl*)P`DGO=ewj~x+zne*5vylBg%?AnOp^xF5lnLFNeSNuNrEBW%& zXsy7TTg(4#dK<44q&wrS=&Xf5Qch3(EE{|E4)4!9y*o;tufJVqxQAc1<@vE|%?r1L zSM<!!=b3L}waU8KR%ER~h4YWPE3b4yDpngFV3GRauz*XRYYLx3V^oBGfV4ErtAxc4 zu{AmY{PQ`k99L^(yD>+0L#JtE_~ip)jaoHY0m9L&S&6e9a&yF2G;{Zg-xYfGXo7=n zj`)iHy-d6X59*DXw|ywv#x3@i|0b7Ct2dKrVc-HWKCUar>Kd(Xs0WBwv%Gq^!J$@0 zG@~K;Cgbgh*|HmQA5?5(ihkJP;5$crMeAv%ts9*e@Rf00IjYxKbwf8m{yB@(gPgJh zeAiOh-$+<YUV9+WLN`EoIZM{VB@VfB1XeWnv%E?=mmB#iah*f$8R3kE>3OGlZXC6~ z$+(*>?p)XdtvyNs!k<~Q5*@c0^1n|j7nFaWn%MCD_%-9Z5@p-Pt-PP+ab=6$3$rgt zUi#;_LE|f(DS5|MZ#NZvm6`K)%WM5Cy(t<Gm(>@>{1sSp?D>_}!v9yly_T3#Ri&Ah zwLHvgsn%cfaINWw)v}jMulxSL{C53`b#td|5T8}s&Q^E-+wHJ@i7&3XYB~s(zr5SM zG-=tl-RvQ^|Nmiq=gEBi*Z<9L@6Yyr;&u1#+oOFG7i-^rE2<?i|H6*+2j;o^MgHvS zN_@JwdX;SK)Ds`?HCAmoB;&nxmKx8W=-c+(e0P7on{~W)&)U8}Gh$Xo=uUsJMz8!L z*N&ZgW!yM~+3T)<lb)Nr^~&9;r~9>@YfqinD&)#GIjZWilf>M9_TH1s`*VL!J!b9x zF*3Y-UXrSm=`x-TQ<bXvGW8{9{F=T?%jdUuY-aJ>|J<><F)!9H=ZU<&u1~1)@&$dq z_b!`V{HFi9nVM6y$Y6$z-uLUwe~&C)efYpXyUyUIi{bnmg6|w?-?U&uoS09}1Xgb8 z)Pu*=H$6z0d?Q-e-PJF8&xzbOqL!|DO640vpUB-4-r4`%RqjFazN(<W=Tnm^l00r4 zpDe`pVM@cxnu@4HT<5)S9LeE~u2|Twt8&Xf<MuAa`}Zd5i+e48A(8da(LE^McI)*D ziHbc_yAK`Ly|vJJT`Rk6g|1D`o_mK5AFTbe{HRTg&9y~*{KZifKPr~Bx;Lo*lJX2X ztbE7wv#e{)mGJ%IlQUdzNj>^K!*!3gPx;mh&eA@27+jwpkJ?#bapBa_;|s&|82Ppr zEPU|SLtDJ{@!A-lnro+y9_kF!GxOVCR9LWVYIpbMwJ|m^IZq!w4$_{^5FNE6VA+RE zZSmHrYhyHY^PVO>TpOlmc5O>h;fFG9aq;ZvofQ$+!}s$B6=!ly<=JzvKALxHNBOUa zKf-rK`p#eb5a;@KK3Dti$dVgxEOtdnc_xOIOx9Ynr$gDsFQX`Zo%?U;@<5|?I}7+< zEKJ}%Uh(0^8`r?tl@dQ6RqU^G_-P+hE&H?Yl<rZPZ#<U|OXu;mi+nZg+2zM`x$MXJ zDx0cJ^K(Od>z>~6m?O1pUeUg&y!TpdEX#jON4mbbZo6vtt-v6?a~UH1>84%%{H9(F z{V7LHHpDH~$hn}QW|k$wEuSsI)oRk!%x}`weOBt|VX@vvkAr)K5>~IdV7Iq7{_KbQ z55KOw>vY#{O<t0_{qLI>*RKp(cGPEeW%{xlU+&X=>k`E6rzP9|(aw6i@7+5wIj-5i zUe_<msF+fmd`nv-SX^Xjb@QY-{M*|C>XHpEA8yNua@}KhV%r>#<-2Ydn~QzCmGJlc zOjFZck$2~<E}PmFVRT~GJ(Xkf%~5v_IVT2k^9!%v_F=<@4Xy3HH+5}f&g4IQ_-Lx* zv?XShA^9&)K7Q)B&F|QgRXYuLzWXM}te<JxS{ZfrNX*)_#J;f29~Xrce`pFT-k309 zsmYcgt3xbrc7`b2`(gcIvrPZV=eKzGm}?}omb1_P+-qgF!RZOtY1h1@nJ090M0fTs zcYXJObK>rbkSB6-f;;=$UF8y{neeLJn|LR4bDhT%uE|TYbk<DX(7RP=8H4K~y=xvf z4$l_)w$bYlV;GmLyyx}Ov|D@c2-iF3mR4Ona^rZ{zGHXyNVFTC|D+QVaqaq!{2L8y z`$Se85@#~~xYI#5Mr=jzXQt8uz1xPu-kUERnjM*u>?LM3V@|8yw$z2~XCq%ESBtUj znAE7s%+Hsmu=GK5%^cNP`}Z=LZggKDR>pnhm|mmRjhSzoI-hU4aA<R6#^aefB^wO6 zW8Xa9aHo)a+tRR{eT%I=O`G@4i*@~1Q~6~_G*`WTBW1elj@<l;mC|Y|{qJ6K{Abv+ zWBtz=IwEJda%XQ9mg-j7SZ4S5YRHlKLYfbvZd~8-bIuCeyCo)%mxdS#czx`Bne;O4 z%wyd!>*9xxSyxTk&lw%$UZpf^;SNhv{e=-P78Wq*e=~Itzx(j})NJ|SdnOv%T*ujF zTi;(IVX<PehThdH>5o>pZ7g(I{KH~RAk!Q1T>`eJ-S>K*t(v@KgR}a~8!IR4yt(9Q zR`yzjy}D#lcd*sT!{$~ek8iiqJWwvxdFc83(n*I*=cYW`<+sV;%KC{pca~0;xwCe1 zOwV;sxpx;m?cQDWWK_#gxqaaJtiqdI!lg@nd@gy4sl8UQQZAX)ywd9AQIok(9@fo$ zlDx~0%fMOLl1=V{Czo;Qq)ztINv-WAlX};i7{9mJF^fwhNwY>GMd9<~^|gIvXC0QU z`{kY%e_6!n<21Lz4N(Dy)=5UJ3=|3Di0u$zKL0|cb$RKe&gCVOTGdO1S`S#AJp9;7 z^FZ>f#F-K6G+l1L`fKI+P;hR_qr|x>iPQWxeVpJ|^kIQtkzufUWrc(K&lw9RGx=OU zGr2W&mea%kPrTJNbuN0UiM>|g?k<_s8(uo8xpmgQ+YzfYU8;{V`6{yKXK`H&GmH;D zaa3CB=;7B=M~|PDQa!Mld1kKCpDWL_na_StJ<NXM^q<GGf`bF29trJdH`Lu~eD%r( zE<o{78sj0~OCBP$%#Z_ZdbIpgeW6><KTD*T&+4i@-k{YvNwG5WXdpz_M-yBm+} zOa8jTVChNiu5!-O>v0x)ex>&=`7qVb=;KzuO&@ywHho;{SM*`7U(v_CexC~7p1-M? z`|H=`(v>MTOPyC%*iV^Mu02osLME4frm67fp!2aQaS;XuPtPB=(ztWid`)xb$HUeU zJJKuIrN1u=>VGCK|7_W|DObF?i`iy<o-yy(=U@8E%-WwV_f%gJwEIctrQg41z5g0s zdcHj=>DBQ`m0=k{uhg8j#^{t=Fvdxo2)KSAr!lBXFF<%TOV-0x4!JRcE1LCLUp?Y( ztojkNz)p|b<iJv$uRJj#D-NYInHI(^5Ie_t<yd5+m4$ME_;S`)52rZP&JoFI5RQB$ zy+a|O)pO--c|%jfzy)IOgje)(GL;srcZj{C9KipW_0{9NMz$YX)?Eh<1Y9!@*rE4h z)(*7*?)%(Vj+HZ&epu@eTcaGnAJ2W|_`k+yyJZA*MGnn2O<c1jR6puRi2kh`i^OGf zu665~y>#WD|H4&X{`FA?>8(nttFyl@I#jhc<B{^-jEBy9GafVVees}n?~6yadtW?^ z-TUIP>|Tk4xnWCK%9`UsEY^wp%3SUi>wD!Y?fzv^^WmzCM-}(Jc(@?$OQC~4+l^(S zFAZ)j7Z?5Z%2l}f%c9o1%x53Q$F7-D{IoBc=Z%zG=#7*0AFqDs+<*Po=8%V_jk7A8 z7l_>x(MYvsD&63-KyMoNmBU<(zalg*Fs$A*CFuE8rqBxS1!`&BR}LL!+WN7>LDohq zK)j#x%HhXMUq7}uFx$*rI^~_6YC!99)~rX8jZqb03(V#TuV{YG`YLIfgY6!L04_yV zsRX}im)LB=7jS+4HT%DkV4%GBCW8Zy>b?oDx>oPBB_!@r*OsKXYu#MyU$_c?{<^4@ zwd&$Ar@b#8gzkNjG%@bW1~>g*718=^5vxTr@2<Vv&Go(`X%FMWyH^&gO^jGMDIw84 z>-6vB@Wc|sa4j+K#jCGeeaqad9ivzFtZ2$qiHJFS-UPIBc~APTBz56{SEJUNQ(*~@ zw(4y8P$hP&!jM~b&&*c6X=w}FQzKs_SBkMkOl&m2uy0!HmbIx1J53`q9=_GtveArN zcFk!g@%Jf;tWw*r-q-3$*x0UjX$?<sg+{DJ3fsF=PNyasFZH#VktmjyHlvr_=*+<$ zo?=7W!!~#3C)%yMe=4#;@twPPT1}f~&g0aVn{v(?a4j(IdGw5Dae?Jw4X<+sTz&a# z>rEAt9<u(Nq5SLUGH<u($;oY+InP~va~_;HRMnSLb7W#Ozh%)!Mt8Y;&rck$mSL@! zuDFZC+G5d#c4gt+7K<KqDN9#d6m6KUEdJZ#(*}Fn_Ng@o52W{+=fC!O=+ZXRqB1?W z^y2B876sXt)xzX%#_e0UI_tp#2T?xGD@PI=qb!sHq}^FxJ@ESM7PVL}ZlAKZ?Vcj{ z$Fl1UxJ(V~c}2wT1lB*bU}L+tXL05YMZ*o9%B^Q*X5Kg?_;-fIrj1jT*>77EZJevj zZ*B2u<K)E*yKhv8OfyoKdgLyn`R3t?BXNB?ZyujGR@WyZ^Wel$$37dGM<<Ra_A$vM zJ~rIgV;Q)kWQuZYvdm12a}!(7TWl(9Qf61TEc$r$El=f)^6Fj7#p04`w{L6`WY?A~ z+}I_^zgqI+M=@o_YfHqpb+%@T&sw@&GBDzFM`vkIL`A!x^n0U64{Y6H_MGo%=a=~S zQBj#u&YS<xq1BnwKb+6*(Xctx(b+D!(V$yUn&0rz14p-*Gbu+7ySUYyNl-cP<%>d& zj@Ryhq(XaTuFDdJhEoN(gC#Z^&J<*yEK&H8L3zp-Lnlso<tcBLvOi2HymfTpnSQ}j zza$p+^b4N)Cb7_`U+~l?i9*BKf~Vd|EIiXEc<R-q=7NnXZyyD99p_kD-ZLZOTu1BW zo*Nd2JDNB5?65fA(f+yT$BqLY4c#vtD=Ku~K9byVq~lPkn@`QTj#hn%jUQE&ORgC` zdZeW+w=e0)aZNX-n#po4{nsz+?Q6`J4E)h2D16^I>7kUe**fD#2^l%FcI@aD<S#dV zlx(BS_%5@iR!xcVo`<=p#18XVjer>kIy!$#Y&4iCD7`!KEZ;TbM@h`ea%M)49w)mo z)fBlu=1)~z`Sc81+^*P1T>AI#RV>*vQ@X-eOeAhPt8o5_rdIRRgU4bb3pV(O#pq0D z<=0L*c-$+JV}sWmLE-fstcPybY;w@ts1p#S!#zdnG}F@Kn;bMZ=>*Ku;hv&(nrW%| zCV>aFg>E`$#JMjd{_W7wu+iaGOFP-r+MaUoSo5X_3CnaYbZT(RrJZQ%=iDUlpsvs@ zM@NAB!by8yF_C4bnmRQ%B_wXrxiLe9n@{ajQ}^$bgNI8ZKYU!F6TqQ<uA{j%iIGcL zZaQnLddk5glQ$(i)QsHlaf^;cg_~H6jW)O3yveNc=TAE|+<ertqaswt!SE#arUf4s z>CBj+%q=#pE4MmArAWTpRW9M=+urJBrg8tH&ujKBD){wn+3w7zKjgH<{;st2J^%dF z&YGP)#w)LA#=kmpGbHiT%RM`pp9gI)z8-uo{NvFb&wSS02;L<a-<Q2>rlUqp(_^nw zYv;T-E3lh-STJbEgjXJ|Rz-$a)q2-1o^)81@9@Idytb<o7hB&xF7d;LTXf$EC*k<C zg{|6=8IQBXg8F7P?K~H_xGOY9{&<3gaQyvyBF93kd3gS6vWmR>6?|F8rGD=0J3qc; z_DWQ2taNf-V$iNETx_|?pkG;9zwpU})V`QKhbFfFl=&HPAh4nJ@ukOsGY%bdcCMK^ zRe8Ou%*=>06I*v%Zu(gHdG2Nb%O6e3;_*dK9&)+ctt%3Fu=-f~rzDq?O49tU%JG|v zMA~Z(PwdRM-1I@#-E80U69-NEVq%`3I4m%`lKb+FTbl~)mHCz#KT2X#mXk|pF-ve` z%JJ3D5uSee?!w4h)AU>>Z<#A7Y%Q^I!(>6}-4cZxW($f}OMKigT~PkF1m^~OTMq8W zm-R%_WD{H-Dk!V*874h6P&TtmJ#sM6Ek-8!$l*e_nwn!C4Z<%SZ|qQi>ooDAr<;hM zVbUWLWwCPxNso1u`OX<WdSs(4r)Ti!v63?5wWaJ2AKcr*&1$^f(CMat@)R~hr=R@F zX48zEB>9!6yfSdI<Wrt<$G|Dlw@N@yZAq-gzlgIOss=p)&kk`c)#(XXcc`OTwI`tL z5XVy0o&dW;9I8q$9V>R|zkMY6qd~BhU()cSpt9IC<D_I}Wj-^bM~{--Y-$d5^!xU( z+?XTR(%+dWe*b`&n@Hc1a)bLvntK9P9qH(e?6IhrAt>H&@aSQnTaC>zkA~xu`(L!G z99U8^otfEx2{$X3zfsa7O*b8zvmL$Z5``ahl=bE%A35yfR&ypz<pB5NS&Qx+D`sJP zC$#g>(_{a&4UY2qNUC#9xqGsyGjmhI!@9@~hOuHYXC|<oDo;Il`17U*$*Xl(Zm6B> z==_+(XcfQxbkiZeNP~^RVmfaou}bewJ$O(qvS4Gln9ZBXtn$B86%Q~Ly6N0e7F%%m z*8&|48KLY=h3ikq`UqI6epdQ!5ctGSt<&bflN|TQGVf+T%P|(plDzP+(D!8Q+m%wx z_wUVH%5|Uf%8~C(TMKku4=*wN)Aw}w%KL>gOyqpG`g<n3@4V9ddgYlF)4b%oS>Fa) zRm@RtJs;%T^727vh+C*PyMK{{-?5b?H?t&{OQ)2m#-9_-J2KO4vT4$zoX1&L1X9;e zdGzqkj;eWcADQq!51#50ZzP*_=Sgl|N2i&|MD07Z?ti<MS^TY$o^GY8ku#Z9I6C#< zq2-$rlDl;*emIE5<V<3<DoZ_h{P-q;gyhF<?ym~<d&JXQ%(n0*`EFUbb~<a9QOdzX z?VA#kH|qrWDRNJVI>D4GvB{ycO($TV;yI4oj7L2!H`^nEXSAGPdaAU^L9<imhJ_OM zlm#c4o@#D#c-f^Ba7~GO%AO8mC+W>UvKRG6KG|Z}edn;=#yWXxSGffDlGAGg6c$f6 zYr1!?=-;w?6P2rZ*BGX>Dpm9*C2e)vxZxDX{kNyIE*=x!w`1E6{=-%pI+FJe9$EBI zR%g$@_78{sq}qdaEYJOa_k)~B)|L+j8`rk7r~cW`EuX0`B2~1CKe&E<xacyT#j|GE z^kgModVkpU=8~Z8J@=AWr-y_EEG!5VI1{i#fg?;~PWVIfW0#!r?=Vi{U1QRASu~7i z_3Q|{HF=3MKlbO^>y=M+`&Kr+EB0#8&g~uN*(_fl{CKcF>$m1cz17=SE5~Q-Kl<YB zt2Y_}9Um`T;D`*Gv2?PJ&8&$bdk-BinRMu})yX5m&dM4LoJ;TZ#f0Z?oxX^dOJ^Tn z{Jx}+hqFI$s~Nt1^`<8wKYZgY>AK#^5AM13!k)2gerj!pR=S18K3h>>wR))>^K$l! z*TjNng)x6_b2DLX-RZ2Hp`F=q@78o7-Z`B15|bZtrN0ioJ7cqf+7j+I&fbUWmnE}e zU2RUPUeze|4_h_8Dl6lN|6*_7poJ!@wB2(bzT9xw-qU$jl8v>=qx7$wD?SE2_pq6K zH1yErimO)?ZO*I?E4<48$ton|>lq!s)pZFONBnQqNj&DtEoDnHSY%-9dnjMSV9^H; zkGc@$hk1@4KPAixSTjd0<l^@pjTmnGslpSbKmVLpvvb?D7XcQ_14Yi6PYXMFp!G<A z#l;=}mfotll=S+A)a6dglQmbC7R*}K=KcTu<sWK3C#~8in^$HWIe)wESYiIcDcSlD zE-9>EQ6;RV`dWS2s*4N7E2Te{&OTwi<PhK8>3^JFo4mR3^~3C{IoEy_&;07%`gf=K z&IwC@eA<7Y<Io$Eg{!~WD07|X?fubyt5xT!-v5d|4V(0iRlB;CTNkF}*vg)K`hU;H zRF#m2-7S57HTy+Y9GZIU)PlwDvXV4vM5U(gu{5$jb>LXg;eZ<xB-Fyx+FJXw-1gl_ zOept19B^Z{gj$&z+f%-c58dAGkq#G-;(D*r)>+z_n3#LE<5pyO@Zo@n*%E4EDs8Pl zCk6%tTIaiqy0E!^?@WC3`N)QXooOy_Z30&-WIaE5#NcB{QbdK7(W%0#(-(0m9X@jO z$cBQ2UmkDU-`g8!)qei^mKC37Zq-_JDqW?mb*K5lx9uA*MsLyRyDhbH>JP2Cy;_|- zt;U^+k4lmvEJBSJ1<H0Vvo{jqQ*Z06?MzH`x>AtxMT|GA!v9V|%}u{!rpKngbxE3W zW2%H$-}Rmq54UHFy6~>|<Pqi;K78oXj)xaIr0qmknjcrTlesD($<;1&_{hN{8wwVr zx$wq!9ut-13Kwp6I(lS-fn}D3(W5r|Ug<vfJ^Jem<vw;DG1#bXsPkr>WZ>cR*R?vN z;^s)GO;c%W{aSeVWXJcrU&?dsB_^N#dhbr?x#Zv*Cue8BuE>n2&(YBF=HWVX=&Pvo zmLP+rVOp<FJWed$xbQ<nQoxNV5@Pqbtc9fay1L5V6k54;>EF~VQMsA%o;=*)i<e1z z|K2@I%+z*wnCq1<M~)a6>Kf|!^v>$LUg>)A-B!z>?C`%)A<1u7)z6nulk01X-2G~E zmfMvNUym$!yCp38%7>#a8#mZw?RdFLzdQEriiepSvokb&e0hW?3mrbRy>R-Q6%YNh zL|u6I&yi4z^W@<^f0VbK%~z_o;<B%O#_!jsZv5XZTL1NbfHyOX2m=EH2ZLT*YSdEO zsea!X7#Nl@GBEHla55y8rex*^6y+!DW#%Opg@&*)Fk9-JNY9<%>wnmQr|tb8uKR(j zEiyNkg`aZK&(V4s;~J|WnAx<cM4`?$FL36Mip5VJ*nG?0ZMwM7Ieg`cU32+k-~L+Q z{&vwO>(}?sStaaxDC1`(k$Seh>)7tMVXgme&M|$!CgHJ5;l<DDYZ`U#J6fDKub0ce zRaMVFqlj@%;zWa)4}AX5*GrH;lQ3r!f7n<3De9YiCb3-RJiN1CbjRm!JDfDUC+VDG zJ@ruJs)O(HoT>ZL;`L@YzxBzn<*obuZ`GZN8`eD7bmo+kj)c;mKg)Kt>ZDqRJI-R# z`nyC;TH4-KcGgK(<E#h!?_4X5`N3RT{cv;0i8%>p(r>30hR=tE6$3b|oR&mp-w<P9 z0AXH~uqsMPga?(zR{g&TWeKI*7_5HZFbp^#bn%sj1=rC)5v?$utG(*+;ej2I31tUa zb&nceV-I$j$#8R>+{XV-TVi*8zR@z@;j2iT!`99+h1+*sy8f)*wK`<4Ntozmk1*3C zr?l$2Ie0jjKQXZ}D+%+ZD>kVvQdr_EBA6dATe-l7vrtSsB5bqt|AjS29`oj1IdVuP zqAxkBuR`FdT>jQq_EU;$+CuxCERRor6O$&m-)ZN6x!?&czCKe6eFC?wYzj1BDeP~} zE9kznQbb3B&#KSjkK2YT71J#j%P<RuRq{?dzv)!^o{Uw~Zg1)G3AOrT9JMT7b8_a? z>lSNge&>9Du{`prT3~Y$XI{zas11g9UtB+!a!<LVRQ>R_Ub)<JdnG-k*T(g4o^2R@ zV4+t9-|9I|4-+jcbb^apTzT6rHz_f*y)ZrH%zxO@(>SNHr$}o4eu?>sQ=9$tSMO;% zr!qVA-_Iifo;#;rub8-Z>hyhI?yQ~Tl4!Q_JompeZT-E=9evV;diF;?6>O2OGM*Ot zuTo-)a+`eRJgX;_ujb4=mK^c){^Rp`PxaMQwdFsqU%Tg6_?oB6%q<7Yw|%;JxFDeJ zu-5+$>A#287|xuw^!cV!p3}EaNmlrzaeRHMRE>M(56L~{+Whe*TWc0h788}!mOHa) z#+I4|lLUCOwbc`6>~ZY%|NPl>waphT6PLyB^PVm{7k1(1w0@p|^v9Ff%&*B#IkV!Z z)_H#Cwi8E|EV*~;<GH0h7q9F#apt^tSSvk|acxCfjr1Qk%espujp<Jx|D1Bd;AdDs zP=Lq!o2QN!RtLpISuM_Z)gop4lW%oRlZ5bwGe1uBJTtnxtNESrYk|2Rr+?!9rxJ3A zDe7a5$ebx>46|kam1&>9nf6xw-5Q<LFWRXAxnHK9@_E)<Iq&U__07{(KATdKHRIQv zH$rEUc70@fZJT!K*&W%bqWvd1R|FqYRofW7xVbBS>#6KL8BGt@wAiRFIR99aapsIE zB|frEDSDUvR$M%%F)P990o&1YJ$+A8w#NmtBpUfV4|!%7e!<d`vGh&GV%2vEmrP1c zW|i%Gt|2aeRAoie@t&kxOL?*mi547O70+(@tXk4pD1ZNSLy?F7HSA_Co-QDhSd*%` z_{Ni^XXXopAK>ymo0MkA>S<wmnCDtY;)ja4&(t1HU7X1yoZP3Os<zO7wubx;$H@zi z=|oKb(7*pmveA1Z-olFG%pWAw-V40enN-j(zMoJ0W0R*=u%BAbbO9N6S-Xa*rJ<Lz z$~NCFlxa(idb?%vv`H7tm5&~&T3;1&)x__>>kVg?><_z~wd~{P^^&~1=R8l0P<>#& zI`(|loM5MuyE8*iuL|3|roqs~y#MOiIRD3Y^gqNr-BhjHUR-~+LiYN>@ci5FmKA!n z%)Wa1YT(?DbElV<UH-u)*JH}Ht#Hn<%sq|bt{*<nn73Ir%(VBl_-BrD2OfJiDG6rp zIo`wcdG__2voq%~JzOt&X4)N{!xp}em+eo}>Z*<ZcWUz7(>{;4_pQFM?Bj>^lD?<k zZHw(KU-|N8<(10Sv3;wj9hN*{6TN=fN0v8NvzGS;g*1Q9DSNwBX5Rl<2lMvEe$9Jo zqW^e@&hBfk4SH{%Ul6z8qgyd&yT=Wl{Sz|wmz%y$mHPi+z2xj!Y&F|&My<YnHT&YH zBaQdBt+{N|d;G_A!z=Uir_1HvHt1dKc(`f(*2g)^3O{<@R1tD4{uAaFuYas^#<Ao3 z(>ATi`j#i_^5IgdgzV+dNv5yOx|b>czO(oGZIgQk9$zxioGR&BnDOd!wB`K625U~6 z+&lP~H*ca}xz{hJMSXvdt&eR>41a8}$Sk%@&zR+YWo-ERW2!&87DaR?b#f&AZFPB? zef#C<05+M8Hy&B9&kMV3!Bl@XqI&ze!w>epPMo)_@KU_-_p({-ncDk4>y=se?!S@x z!>Fz5%LA*Av3GZ!%ACV;JN1U6ck%lYm8ycqKg$wlw`I;b$|CRoLitlvxn<Ag9o^6W zY%7c^GrgA?sAJeFEt9_L@4i2ci!{nj<o3&KHmq28c?ZvCnYdrJ%QX1j8nV{P1s<>a zw)*3@qIuIZYxb|4vX%Y1&%bk`CbH-I&S}hUapzyhysv2X*>%VA{~h4%x*ooEcl5H4 zELqPI@4Pk1I}mUDbF+Rj$K%^emv0Wf-0XeycC@ws<qF37vsd1qzMrvpE`Rw1cZ2sa zY5aPO`z~+uIc<`6pxMhw-dl26Y2@+3ZI2GFntgZG*KKPro17~$-8_BsoMp|STW94) zPs_Y<R3jrva_J)P_~{+N))CWKQx`w0+_<D8V%=+_hatSvj-_(;EjK$W_;lAgVZWOR zQwzG-PA_?ywD7>(SqC?LFM8$Xa(wod6)`#@8FQKr-cnUtFDNrJxhKjpdRt=bvD9x> zHd$Ra3nm;-*-+t=An|9Xm+|5c6E2-mmD%O8Coo3K_q^*eEycXrrFK`Pb%O&cIwEE% ziX|Ft?@@kHbjb18j8lK#_TKy;RIk0f&En(IndUQ!GG&^Sy*q*}BW@i{@)8$p2|N^- zA<ceT@7PMkQ$0>W*-t|R`=?bOw$}N&;+S=-Pw279XO#H=Z=ZR$Lgera1*1^T1Md6s z=Ij#unDKJbr<sbU+t(jZw3sJ*PN+F_Rt7Jh#;f(g=L4Q>%ijG_<#Xt)jNY@MTE#05 z&fssCWILTPK_&RhWi|HM-m{|~>mQTxe86^;zh7D4?4xIkYARx$1*$mnEpMnWS!|>n z(KO%8e@$?OY13B!;Nu$_yqpaF#9XvEX5;&#YyFk?mx`I(Wp+jRS)6FkU~4U4TQe)K zpu5a2@w;$*_S&hZEv`+fFgdbF$d2hiNA0Y4%T2Q`S~RJ69rCYPDtGr*ieRB;vrnkQ z9F;SwpEnzJIWKm*@4hc+e-qOsi`f=?9_zb)n)*xlF=vE}nyg$yX7^3k+1iUkRJv4^ z%vz;YF8XXLU~*7h7$jG?<2WbF(HY6@_V-?Dyx!~WW4*h<%gOMWMUz^{5!Q;`E9G1T zKWaAnSnpmSy}Dt?*Nhook3C_3G?go?stRPy?vuOLm^hWM6@PN*a%nE_yxFgJ`4#8u zh1BmpqRcTlnD0Y*(jTL)W%<Ra3XgnFDcW7kpZ%1T$3P)&#~Br!ymh&=>|$nxXFii{ zs+#}7ebJJ$u1Yh*SDukFSt!p}nzbk*e_hn(>~y34`+jGIRC4v+Y9;16nTK1{rR`kl zRIz2rL4mlLAC?&9*VHVnV=3?STyxln^{m{#`GNXLCVK+}kKDR)=^<my+Bs5>m|w^R zi?rJbvMv;Qmza2MmY2=;n^Ak$9M+W7Ik!v8rN)&@F#cmq+TWugOA17nUw$c)+-D^3 zS5zfG@#1^s{zI-C5)xz5taaJ;cj#?o(U&YZqNX+H)xljimc{nHe#7RuS2E2x;^tK= zp4V%_W?8egPh73KMX1rEC0ISe$Y_I$_>q9fpDiD{Sl(<3{GiejuXLxC^#CVJ<Bt>F z1>)D1vm866-gZn=WDUQ(rQ`u;mc)q@6aoyr4~Kn7)YR6z&m!;7!XC4=@wENBTfO~; zrFYHNM)}Ep)X;0(ePrRW<!zx-^V6$#7BI^(USL0Vy!gP^18uvf9os5eGk?9S>e0sI zDiLwNcFFwd`8CPM-FVN38Q)!JRnK0WJ^4e$(SXRGJ3fdj>ou{iK5TL>&0MPgyw38x zJ!kTk{OH{*tr&M~r?TBW*RrfO4Ux$nKdc%T2#PmM>DxEm@Q+*a%9ieg=|0}V|2|5j z8J}Kxr)+QU#r!MQe=JU<zIv##tT$Be$n3I}N0hHw9{amLDE^d#F{k;*X;p&rQ?i<p z=H>}3u5r+?Nm;(^UBM)I9R|B5{_bU`)RRPx{!;Pn?^rG2;5J+OtQuc^qPMyX%jRua z(aq9-{Wi9&|FO-?_eD9Ar|`c({b`AE_Qz_HI`;>wJiL}Jcf9RKAxo@RD&GOmxY^cc zl&(E@xANp)9<?E42jl!q1;xCj1)}lxbDrd;9df+q`tRE0GpRqjzpuGFp)&Djw?MgI z!}1B4AN7P9mRmejP?ve4`|Y7h|6Q?vkF3ugwh!9DB^a+5%+L|9v@huhYrsRcmL00a zwR_8)mo;oxlVNFXZ%|i0x2RS8LnK?1_kv>v4?4I%NR=f%WT|-;sxEdv^XhzuONZ?p z{`@+#+V7T0=f2)1b)7j3Lir!JUK7lJ#{0@!r`V@s_YaV4h~h`F`;ogpE;2j&Vbabd zpN(e>rH|?<-+QF{b?GG@{mXv7f?WJR`CF$m9NHCgEHmZa^HW=mJ!j3!UaZ#r)-1X; zM#S%7LhPAaT2BINn$#|u_9<xY^7>I6d%YvrHsbEn8-16Jc5L+Q2)2q4YYjG!h~kl6 z(-OZT*uzUg*)u?~b%NxgnKRX7g@rs4Dk2iL=}vyhEbMTwvw35LhFG`rqX`}z&5<*n zO)xwq=<MR6A)&Z9`Po&)lJ+8o8+S|AUUJi}G3;Rf@?f#l|H*|eRScn1tIpbd(2`ik zuTZtUD)0H-bJ2&|I?eVjIlr4N{EFrV<FX4PwVS7zJ_-AGe)Ba(sasAaC03tbUM}f) z#J+673X|0AEwA)N-?bg}GEgxzZ@c|y+xzn#|L%N9t8q<8QCwi&H1%KPYq^(mPq0m# zrL-pE%+5#^y=NCst1p|tAN1RPYs%A^+HdxLoHR2mWBPP;UbWWP7Tvd7ABRj@zAV=> z`0v3vtHZuaPpdoa!F6_>vCs?lt2&a!Q|Etl?Cf%$sWxlt)UwJvKaZm?7MkqtyWd$9 z`0m^#p$msy#p4bw>kyK4d@?P!+hv+N)7Gq+E|d4Qtvtw;bbZ~~2T9j|S)J4MmJV-E z4RNa!efg`FUuAV^NL1*=r7kVbPx7BA$2zIK3Deq|b3f{G(}c%OuOHZ&F{^|etzcRd z%za?7tN96r+X21Hk}hj(Zcsn{k1>1O>wkR*CeOcNvePH|Q^6s(3#(brpWq4>o+Q8g zbfV0qP3u2;33pX>cb}Nb{=Qf(QeQ7O?pVsfq)B%~CSF;@Saf&!35Dsqs#Y(Nd8b;H z89K{;(FW}U8h`hGwO&>5TG-}&dg;Lq&FSZbTUSnza=4PQwfE$S^V7XA2woT0`sQ+L z#>zkO<s}an2AFP{e~j()+u$#&xb{RmH2;;6wyrd1^+U4_UO~mnxbN)Huy&cZCGDMF z>Ax?DHg6pCp55vBsIhy))Lm!T1x_wgsxdy9<;CbAwEB+t6Hnn)_w8BypTA++EN6FH z;@IKk3o0ao13IOo+1gszA5GsMQ?_;Ak&Hj{rWd|(TifIGZt5GJx97vFpDy58X|=|3 z>%0>!Hp2DSCfsJ>QPO)L=n=>D!CZL9mXe1z#r1fK541Mld1-W)@%I})%N*@xJCCVp zOtEpjbNCZG%OR#qYm|H}mWX5=J?&J%>A-g}Gj5J$@y=Pk4b#`%H0;-R_sU<B9{K41 z!wHkaa!T*2EWA9W?YPhCo%5f&^8TyoT*CjGo&S~;>nY3A$qFn6#sSvK7T)Q9AHTaJ z9<z6Ar(l|y(8;B1oM)ewcq}|IQR;eS=5529bMH<mZk`&hz4Sx=g!w-Oyk@`q6eHTY zLSpLUJAslfLwB7nuwp#Fxv4jJ;$cOV)MU09-<|i|F_d&oRkE;cy<TFq>}Q2s+gdsK z*GU~wrLUv*Fc@iD|G61ZzNstHc}BAIxvVAY{<2P<SFrECQ17}m4D<6RI&vM{8*Om0 zdL|32?JW_tFRxyh-@3x8HS4cTq;STROr>_e4a?f=LQQJ41gz$iPb^|?bbUF)ZDVlq z_ERiA=C2FF5?LOaRdD46MObz;|0~s={6NWO(F{h%Pn#yYhkbWXI9lAWBA!DslHsxs z^TyC;F8e1KMKYxZ$H}<)F7rAswcWWxEad(rnaHb=A`f{*MGtt~eN~clVr#$EyH~r} zD_RUzXg%3|bnmM?m4D8!C9XR!WVL_9Z|k^aRZPC~mQ$(L4ceDx>^w00=}ocNt3Qh6 z8=NjR>D2Bv%htC&ZkRabieu<m+gqO==QZvUeP({{*?#eh4cULgOt;=xyx%OL<gEEN zuLo+v8zoz__FBJGvG}}j-hr*hc@G=#zv^0iq<!ltLvD|`HYN>e+`BX;Kb~s6pg1&e z9hXnT`9~#j``UMP&-Z6x&zLcHS7V5L9e3t*nS(O9Uq3nabJa!7t&Z9%9sH(4MNjDR zS>MD{zM|$AJMEP&?^^%KXi9=8tJ?IJ%P%jx*5u52lxvk$$^A3xujOZMR^hgmyC0Cc zH0#-e#Z6w#Md!?~^r)^eV7$2N{^fP&VybWIY?-BS`rg7d_m|xZy{Oq1m#ulIVG)DV zzZyY@7YlzV-3n`5Szx$P{Gva9aZ_S}xa7(OEBRC|K0mkMWgx@K6ye;Ht1pE9)(WdE zDAW`8uICUrFvF(r%$Z%2)OY8r7@lG>*sQa2xA>Qp1=ccGZ|vLm@+jZ(clTeeV-&r4 zVdd$MM#e0wUKa^iD^!{+xwKuTCj3%s=DpahvL8FX^8EC?Ds3}yV~|1XffuhGci(;G zoauOGU(wRcqGLU0n@UQvyfln6JViS)!Z&oT^}Mf=5%!;ZOYkQf$&+sly;Hn}T?8+- z&I;Hxxgt-#Bl}v@o-1>99V$6-;Q3hxyVo<UmQ3W7Zh1Z7aoGQcGkYrerq7o>&N;nk z;>8Eg9pzhIYy8Lw*=uh0zSOS#zLm%fjzb$Qwp+(6xc6vQ@9YZYNA~|&X65X;8y~(f z#dVg7o*F~N^lUAafAaBG*Z$tW7jGR<<q)7L!N;|1N7MbtpX+Q{n|9@Jo?0&4vBU1p z>9%<P$F8efb|eT{e~VM>-Xdbrp!CXxYr5-!Imvpf?k_kVIiYQbP2WL-l@DqbPCC{X zANu}8ub*V9n6&4*8nKxL9y0@k(jPl}%3gaOW8eRF8GG;wOI6X<te^>h&Mn#6X}v<T zb@ED^i?cEvV*iNDJKLQ#v((8_gZqm7f0rp{U(Rqmkaf^w<cRFpee{Bu=&4u7xtE^$ z{AFrIY5T3hBPu$R4z@UKRI&<=2woxJr70&l+vcRX%0b5T|K4)<7=M{cX~-K~lvLWk zHqPW=$03y^D-*0avRTg?I;`lAUSpD@_}XCqvXFZ@z5cgCZnNL|u2{0-)ule&=M&t+ z&#H@Ui~IC-c_8b%WVuaSuU2qRm)myV#nm!-M?%rBS$!MXu4J)KFirVsVji#XEQMRx z;C+`;Ze?nD<RYUFP7F>{ZT!D^UkY%Oz8|d7^x5JU->Ni&^D9p6KJn4_h3fn$%RG)$ zE|x1xz8c=o>Fl_WFY-s;r1HmskJXXcHw$F$YF-ofvHhz2cdEgWT@P~)9NZ$7@^1c| z{R~Xz$<MBD@jo`>aQ4F8M^7tk>G#txOmw(-f+4dhR&qn>)cOyxOs|d|O%}_4{4c@r z`WdARMO#m2b8Xl7r4zqw$oWtouzZPu$J%vvqMGLQ=eUjDsAw42HRe?cEE8C$|3JRk zSAlny_UGLhx26j@-#oH*{Q*zjrN;x_IyinizUbu2rxzw|%zk9{QTsxFP|1$6<EpAt z?l5PHd%09d*667_mLJ^{r=fJ+FvIcFu6_GbIPy+Q+RPDYW}CUIqhjXmJ#&-|vJ?2E z6_3On%YVlkt$2lN>f?<`f!`7;Q|83IaM<h^q4DBue7%i>aC3w4PS2Cfd;eSKt;>is zWB;pnP|Mf!_4W>d+WG?-0-_y7G0l&kgzj*Cy7{iK@hacwFCD2>q0QeFssxxbOg*2r zpIvoue^b4|Ou;@?$A3Mudc%$;`%TVTevsGbIrqx216yBBYYV$({_*VejV^&ROy=s& zoHFgE!fL7a^B;7CyWTXBoOEc(-m2+&i~7~iYPc-Bk?`Vw;t~0J({mb=Bz!x|I#1i@ ziCq1Dd}@lPWY-MdBa6;)^2gseJ@cWF4!?J}MWf2y3+G>)Ol+|@AU1jV-R}|C^}-YH zO?>l__uZ>G)1zwndS6Ve|8PZS@xe9K8+P(DUP=y!jfF$z4Nl*Q{$<Y0!0?cVfk6m) zEIhs_B~dS{I6n_QApUk*e*R@Ekz@BiYxZfyW_lF=Gktw+-|bjIg<RHKab>D4I_8$S zwldZ?{30EHzq|3$ZFA4M1*^3y9OJiSoqKO%dHi|jTz&rowN}YGQ@4ExIyY5s##65{ zwhv4Du6{HQU1u7vvv&8Mzs!aIm$*;T@O%)jw_}f830EY;a;F0~nAwhH1gtmUPni8w zxF(Ei`OmJCJjFX6%nUO)B6|LU&7w<|cdnU)dL4+qk`|o%TsMAW%t5UWmmGQ6*DZ?s zCGv0GjuQ_xtloY7ZM)-3d2)7v>2dYuynKPL50fmux-Rwos<`<@sQDf?izW-XC4U_+ zeSZ@;xptw?UV~!C9M7~_Uv_D}@bc0Vzu<kcC;CTvm}hk3Y8|g;mUGJ|FFQ0-i%YFo zf6?o}3o^X%9;Z}<vk&u5m?Lc_>`|O{GiHUq$ks5a6Yfh_u8i3gXTG3H^`-i<*HR~@ z1_~Wos&%0y+;h7_XZMFkH$S|&s!^hPe&+@$&Rbf~eWE_`YHr=d<$pKyYt(9;0^6Ar z#Fs88l-Mz`eYUCkN6$H5`aC!PH#mPvC8d02$a9?!p?9Jm?{dHY#r$2}4fBVGuirHE zUUb6Fr>%3Z?3IX6vCn?IcXD=EKkJn`oU+-auV(w#QeNMgKN*z6u4tv{zWr48QM!Ef z!SJQ^20h0+LPAm;&ICPf5{n5sY`^$3$4ujwTnn4T^Il|%FkZ|ES$fyx%%n_vUeo#3 z=R`!-uT7gN&Z;_5Z)&OV5zFZu@7hBT@v*&Mvgyi{86Pc=|FNBL)kwTV|10ChB|kP6 zeHPTvEln*-zI*smUe*cIT|!|I->>%d&CRTAWMAj}`3ke=>>V<`e0j-lFO-zO_;mE# zg_81&KhM5YPoK|intUkNATRfPVJnl{6UmtqPS<{z!u{v9;g37P_RDs=eqZG`t#18a z!<%fo!^=ZNjjx=GOPH%08Ru_u*GBMPaq*2CmtOZ&UkiVFcim;NJc<3XzdV1t<UZ=J zz4apQ>isKrOA}_=SJcgIT(qG2eayPYyyC8oBDQDlznyL$cI)7~tAG2$F8$N^e}C<l z8-Zf1g})AH*(a(_zyEgIV_y4O^Z(^WqKx04G4XrV9Et8L)4%(*-1_zOn{WP3p1mG5 zzd4@Sd&^3Uf#F;&BLnK(Q+Y}nBCEyTp0s+`y4w5k!Ui`c?4N1O8T$O`npJyOpZhM) zE6E`~(czZ(#Jj7*ufGvU=Jj;mR&(&r{m1wJ|D0d{?|amr(^28K+5cYoR`%3BIz1=* zed%+p@LPxMUToef`?q#`>*^P~&)L12z5Bw{Szd4VJ<syi@?l!PY+sz-m-?ueZFW<> zT%PqRt0%K4VZ$~h8Pg}?HwAWZ3ryL$WV+5=oeR&L4#;Wnwx~~kze3~V`V-1~zZzDY zO|JfP`Skfi`)&)=&RkPpymhrtq3Kk^pHHS=*ni?OTQ$qGtekn*&YxcXqxeZ%&L>-D z<D(494lJ`~@l0eqIJb`H@3m!XthK@{ohBcZF5l#uyteQ~|7Mpb4GS2RbNE=2yXP!+ zFIck6^n$H}&IQxQtDHYIr!AR(f`9(xx+bNPuwRO836(FGe>&YT^W}1hLr<5@SKydA zw@#`4jDNR)?ICrJL(e+o6**?9*7YgG%==;47BKVCa}KAcUGtSWrt8-ADaP#k!Pyoe z`Pe;z>3NU+K>@AoKP=8C_Izaj6gyQrIpX=ckOj|Hd4;(C^*AdUIRDg|+6(W`7Ct=} z(=W0vUuD%}g9GLo^@}(0r`!%XoO;0J>a_AzJDkrfI;8zd^$qLG(!~b<lK)+)f4Q%( z)Kq2vwh37;w=b)Dzse-B*gR&5TK3`9>DQM(_1fnu&n)}m+2+qZ%4|n>bSzfdamnGp zNmFK>q}wOA9$5SRa(3VaR)-@TudmE1I4b(pP<55lanJg~Cv&3p<EN~0`+eua+o{?9 z>jaIIvKH<Pa2MTHQrvi6Wu2>3Y1&(k1mCL`AwPV0{MQCHdP!!y%~uqByY=5{Im^&1 zUtSuiUlI$i+3oQ7`Q&QWw`Pxy7i`tt%)32t-is@<3NIcHcNMTJm{<Gj-ipPFPUctJ zqjPy2W^Z<%^7PuFI!C=0CyB*%-RUpH=HHGzx3)c3XP=$*?qh3uyT5K`(^=%&{r1BC zX*Rb%v*v!c&fTwmN@sh`8vk~un7tn@+x}Kpy>+pl7prZ4ZuO?;YnsbS=bJASe~^D} zt#ZSqr)>*FV{$9=YIi((?sK&KSrBhR;8&BdCAUAUlz+TgKqT+n+J($HFDgF0aI*H8 zx>(lo?}>|znR5@vScV?VIefNzql#uzU4xc$q~WCxo&VY#O<q2~-Ko2F-riXYF78Nv z#Id7!mPJi8gV<e<Me?<`!{W~_t(kFY$0w%QpPqO-ncPc|yHJ{JmK|dn&*k`g>!hCU z<2LMRN+nFs0uv`}T%ISeL|A^e=>scog^<Zh%S%si{p#4Zp4<EzS97m+$1XQvHuWEF zPQRZy$GTL!V|}ys@PkEhQ)e8vov>}j1HmK0@}W!4F4z4&O-AzC^OG+g=0Cjl>(PUd zHoZexWfCbfc5gYCsJiMCXXoYX&wkeWo>(ONOpt+L<|NtT=`0;TwStUS$vbxW=AD~1 z<EF;@8}&ACHcZL8zv6R;Xx-;6{2y=Lw|T3v>Ap>F?MDH>4`=^A%VaP7`z+JmVaJL3 zfFu8&Wx8Md`z%vl*=3>r*~?<3L5%;HzRr~LkIl8c{9(~K<!OI&Yn2{`wCTmNe5`Z6 z_cbum;gv_+?kf&DB|eKkEEW?CVR^D*(Hr%b8~00E*Q&Xm3b3woSt0m^)0#_&(bYk= zZi-4%SCh>j4X+kaIqPtV;wh?5JnPxR1?xoAn7SM6zG(Qih{%<<1kT~mvMG|b`z`L+ z>i%wn^tuJo|B4LHSDlmD@yN-Q`^ZwYnLl~gT5;yaJ$sszI^Q#8@>lCw7Uj>?4^2LO zv`}^OXQOAKpW-vto@!n5T>SV9!9;Q2jGk@xC#x=)-Qm@FMDDh%*}N|sSd|2JvG+3< z7Kp5JSZ`K&q`uXwIX(V)KA&4|jM8hzQk%Qg4}NaAAo1c^cXpA|2FK%!3oi;v>Sb+Q zIH@DDuwajXy6aq~oN4|`50+W1)3~4$STRMfz)nIYae?HT*d1!SHP#+vZ(qW$8Bn=) z^{O>>7y9<E*!}H%l?dN#W9L&FL$cd#ck1-c_c$>3aWeP9B@6a0oyV?oe45{<`Pn_( z!PX}nR!C>_s4{!#o@KRESFJf0vdmCa{kmd`Wr~c+AqhLbl$MqECr{<cKVI6GZSm#r zo}K)1cE%IxR(}#)RcG^=hwrN-f1A0;)?;m(_%tfZr%O5a9JEUIc3f(C%5bTyi`4TO zV#yvZvWBZlEtnc7KQs_x_}=ICdgkFtdCq-dwgm@IRUhs@<>adJxa}X?(Lc|nmc4dg zAoK3(Vy<JX`sp=pz5k!N&ECv*hQ}^qnPz9B>CGRH>JOb#*L>ocqWdqhrbJ}%lZPss z)@qfXIy8UBjhps-wyI&eRqH-!RxF7Rjytu?C{?0U?5(De{ynq()5<utau><3X^+&c z+r;>m^YpQu?iTkS^y{uWHlap%g)5`?iiG>#KZRNM=50R}_TAx^;dkzLMhANKTi6Ns z7I>fAvw6$S=eNvxYG<$=`mp8W<#|6ooqD_Z?cbY$od%x_q?^`BoD@}Y7JVQ3PI{Wy zff(P&UH|TLNbKN!Qpx_@|AexJ?6hgsFH<|$tk>567iFp`$I<(QF{z|-u4{+|zt5tq zSKTWn+HCPZe=ki_zrfmb+diGnU%9^=?;n$MU44K1&&H_Q)1BEtomaRnORy|_G*dYK zk&pWqhA*x+y;+i-PCMIQemy<rTa27hC(~aucejkl7dIwVTu}VIQ~z!IJl#EE%{uKp zJ6*RNpOm8A6E{u9Gs%C;;?SFgiT|WsWurnf`2Q)rKYBB#&}9CPk6WKit8?<27qz|Z zUmZ();(@(?TizsUp6Hf&-BJ8tk*8z-X<Om<zdnZX=q+_wcRk%!qWQ)Jp$qG$)m``R zu9$J>zI|piuMUTD|Ba*j4)SzMO598OTKsv*!^1{G&;Op^Rj3)pXLDI0YF@fw$cFV2 zf_rRd&Eq!qI#BPrKv4hs`R7}0UhA)@ld+XwRA-)9xXen#=DL^%yN{G)wR~3oj(9Ee zd8YO;)zLxzKV0%Y=Cyr%@^!6N*)`v_`rkg;-S*aJmo9wRnpX4wU|8wzCl+?==M-0n zY<lup+MRn}&!qRdDnaj$efqJ6Ln~~NP>uPGs}g7S-3nNH&ga*j*t<>)=dH4G4{u0Y z_<xQ2l&8{eA8H;Soi@Yy6Zftb;a4pE=7lzP9yPBE&m6rp!G6v0>X*N5;vT-0`yzei zaq~ZG+qlKDJevER-*5P~<Nf8u6*UunTzmY${A+aJm%GmkzgTY+c=OTXkL<yJOC80w zf2f%9@n*~;zW!v>1)S24_kP(c=k6`c?&#Jpu9myn&g0I*j}@1`SKEj+)ynm&#CH@{ z*hKYIcmA}g(>Ru_c;Z#z=BLG<zf{T0{JH1cC*$9DZ!0W+zL81s$K3KGM<&lW|2ksg ziPy#TVX<=k{EMeeD|g|p>%X%4{L^P2|M57x@tw(?*v&cNQFtYbn9KB?-Hd!^lSO_? z?)|^zpx^oS%}klEBh-{VAOD<sCU#!Gx_$fe=@Q?n?AVyX3k?r`UReES>Y1E*-#>k> z+PB@Y=sbUI-`Z_Tc47VZy=z{7omeio<oSo6PXA@)__G-&_0HY(M}+&yh4h}{4<*|3 zRe4uOh`i^Ik`ugkos0jSrF7c4BKE$QcJXzK#n%h}-pjvo<Bv53t%vfJeA^x}+V6Ot zX`pR0*>>8>fRCpXf1JMmpf^yX)AZUEJEz~QuP5ZbdAl@!gVX!(7yrCm%x@j?U1Zh9 z)y(G=cpkO8zW$kd=ZyMU2gZBiakI}Z4|Z`hyl|kZVzJJLoGogLW=KT*x@)n(V}Cc# ziXGce_VE1O_%zt<O|iPtw6Kr`(pnE47;hic-@;uxzt?W@ttu-wmJh~L<Rqhcw@L3m zar|$tOXZLKz3$FDIg2j~vESxs@0xOq$?CCn_*K8finE`lRfHTlbjK;l<i!ECqfBL- zg?a0k9tK=p9pTYgS|us^(5PRP<F=LYtsC{~T|M2}{xN%;Rgb$l@?3cmtm)--=*5(W zcZ9W{Ub9OVJjMS}&!A7RZ@EZiz5f5JAN}ro-q|swu$UovnS@nCfTxYD!y2`1d<k~G zb9&R4`99A6tYa9MQIm3NQC61m-HRq$7k<;2Wjt~9ovwM&^DpPV(GX$$%d_<;OKJOO z)dPns#nQKx>31tRJw72Uoh?(O65_dYtDEY^d3m4D_oN;%4cTzC;QagEtGR(c`UMyD z3jB=CvCUNO;^<YD-aq}Dz|XlAB72-vKT7`(y)k!&;Prq;p}Ol4vmWXle`xq<)Bf43 z=iYhXc5eEN*THRi-YRd7EK{&5QwkL{wBE=!bzhg#bUp4{t+Our{p!=Y>rrcNw|C-^ z-}l{KtIm<V)9W|;ZQQzvU&?%TaeWuOCL9@>^K$aK?%I~yTyN{zO!xM0;8#kh-F=Z? zCFX7Q!e-|)iRqHZtYz)p4^8b~&KIb7sd$^#ibel#^;Qe5<oRuL;^+z6nS4$n*;fwa zWgk%e9jEz_r$FG%sh$6serE3Y@6<lIKz=(fd+%nuKeonopWh@2dg<*s6}j(FwN&2v z3E8P%X5Uq_oxN2#)Na{@EzGZ+uQ1hLe&72`;I{(Hw(Zi%TvMagbYHDudideXf@eQs z#Ahd77u%R~I<|7B-s<<qYn%4fG4H&QG|z{9cb>gz)(0i^=aZr;|1)hby0_n<PU_zN z3lCNH9M6?j-f6rb^xQ|$dzTHWTVu56KfgKs^ZWZ-bedQmmEB3J6qkCvqV2Z(g#T&1 zP77D;@V~GrN5MW+dh^2OYuC~i9_;=%-#hE+U+z1nDnY)g0Qu@e-Bj5R-=l8sb6P#; zvG$(jiQl+(M%nr2HFRWdyZS!&?aw)1ex8+A2%E0B@1S;()z@HC`vdw0*2g!S*<VNy zV2OVq<)s(SKIKhZ!~*v~ks=G}_WKN{V;*fUGn{@v>;JlaZCmF@CH3U&3HLbMcW}b3 zm9KA>y-tf<;hZ0|F|4V~|9+zW_nk9#y4&a8lTO!|k-t}uf8V9A@Be+aTC?`TFR?bS z?{>4R`16<ge%ljh^mpH#>Y)0+tyQ;5_y1FkTK9Ov&q*48?s5Fd@34D2@pyhiUaaH) zM`Cs>Cw7<4u?}{9aee+Q1?!6&@7K!b<pypR-!37X^)2=7Ri}i#>(WKbUm0?=#0%UP zXq<HU;uF<lKBr1fOW)heyYxp$?01`d`RxmNrIbyYQu!Zr*n}4|bjmna8lDtPOt$f? zW4LNpcYNEN<EbBCRN43Y?ys2aW|Wq%=yCF;E>Go+J*{fu{o6liByXs{99?zqSNWZ% z39GML=)3Rz#$^0)+0-o>pFU0$5-UGg{ov*N%4hSOqH^BpK3$r8Cuq}|&03vrYTji1 zp04>LHQ;zEuS0~-zeSd2CrqtG8uYVz=2~0do*$;=Z1!}GS<cHJe=XfKg}g4ioMg3g z|EZTIbKIw;bb9R!_UL;ruN8`Qa*1|St=rQ-k7KzNtD{Ay659&F#S*?*7r6?j%4+*> zWDxIPfBj8Q(WfkimRUD6m9L!im0YcrrWaM+IrY~D-(tSn7cP2QM>IbOsBc?&*fNCs zbd=)vfT`=d-|n)@;ZlAPo4rn8xrpOUqcgr!c0F#lGuyMjc4yrb+p297GCSt#=Il~R z=Uyse{<G-5?v!7T+wzPY+~R|e?pf~SdSy*vX6H;(qguhu$5!aaU6Uwv?|ypQmOr<t z^x}Dg%#KN>TCswgj;Xu4&zahC{sZsTgOj+F7vG<2?l{|8Ppl)v<>)1^T}erqhOvSh zx6J)k(O_<vfBli}<B+Xg7dv{qJ##otTygDa2<)0%Q#rLKuy)IozEAe1#&O0rUW<~m z6mtU&w@wq_RV_<h;jr9>?}d?`<Qk7hd*npw6=v;xbSLm5)7&nJ%0~alBYPaQj{0xA zQP~(=uCnvyo2I9yBwil7`a=Dci}PB=Pum1fg`4|dww%fq)^#N~(}3fN_O0X_^F22d z`lkNb=eowA?0!<1?DqVN(Ps^Ri|u_^vGwxA<>w`@g$Ia89;ukyn${Q1`21H_LyYqu zE|2TsEN^x_ia4-mpHfC*YsI?rlFT|Hb%ByMFAMHtj$Y8&bwYHaW~Yzf>|G~>1HC3r zEll6n5m<Z0N3J1UQ2R3br&5;_SDbD=O3KpJlq#}|-~2=@oK;$@r}?I%0N100I)m0W ziy6@#;WB5|F4g6mcIVNmgu`138{AEPWUzN%IT!o;-zm@R{f249_fPkq{rsKd(#K!6 zVx7m;yy`y7owmLvwoK)oo8{VcZrS#GlQ*tZO@FlNx|O;2URIX6V>6dmwLX$H7T&hJ z{FkA{n}hwn87c<1m&-h~*)msRQgNuu#EDy#<U02kemnB!@aMjhu1<QFb<HDO(u(w0 zR#xgHo;aSw>1)U5opI05Lbm?5+@p7fOP;+8s>-^j_w@PWH$t69u3Mz9+~d5cZ?&)6 zvTvJ=KbBmuG52QI=8)07wCT%9)_uO*?i+8-Zl1sA)STAYYYNliHhp7K5ANGqb^f#N z$(uXo1ST6@(Rj$Pn9a>OK3Pid->mzICKG2?OZXev$-Aj-ieX&0P^tBd`jjW<|1IYF z#@(^Wy`jM~P=w>f8UaqhXZ?1E%tDqKNNIK5XezW_eM*vB+vcWnVyj1>%$g06x`7*< z%tDS{FFDGv$u!M2JZaJMH}Z<7<k*?iTqi0l2o~mM+P2W>MnI&=gIF7jeCfwkA|_fz zOL#+WE>2>ezUagahZSm8W*>M(wR!}0dC2Q)JxXd*tu{HMyI7s=S(wla`NaMcjv>yb zzkc||KlbkOe_(Rr)uy7lUUl>L*E_xx)c2m{`g8u`r}YzgLp6IC=P1Q35NdxVvgMD^ zqBn&K2|*&9OjfH^#aMEjQ@Iu|ROAueS5TRpaAe}#pZ?u19{#>;6%}FklsA0hyxRr- z-iLX2w8igu$J6WBkR>s{x?gfnp4QHqGa@(G%LIQ*pO8yY?zR#1DT=ABUaND}XPLJC zQeFM4z5C6~B;)F;*Ux-gaQODk<TW;Xr|rKUw|&>T$qNq)=RTd|Td_8-W&4bM6Zc=Y z(z;=CdIi_7&JSNsO8=~WJ9Ub$+}$4E*3M6MOU*xtMjyX_E&90Y%iD3@;W@J-r=?x~ znz^{-=(d?>Q$to(9yS)|%4L43`sCc>Q%fG7<2e{7-D@6tqyH#B|FT2X%OCZsb`)1X zov{CURE>9PtkL{W#s5yP;aGIp>wfn9GnN-0T)cU^k2~4;#Qp4zPkOTc`kmg{I%(^} z#b5S*%UrD|y6twAjr3E=>E@TdN2wLBDb1Esm0sPu>H94$#qK}nenrloro%3o`hM%_ z9o*KUhwc^s?RD;-=J+d)TQ!BR>h|eH>;3hr*T))dw~O}Q#`m@?Or|<l!tmFN`|a^^ z4>=!g6X$(ouk-Gp>=)Y-hMxT)Q=L^y)Ac6mcGhRli9hs0NB`jjjc%qHzmG|GSg&Ee zur?v3b>>cu)r`^}GV3=cX*gXo&{Xx$xo}~6%`Ou;F7GbJN!n>SvqSRI)~9c=;M#Eg zrS>-4X@Lp<x5!0!`D@<37gN7XR?Kyhx0|$I{K^k|JXimBF)Hfc^2`6$tRpqrR}J*e z?fNt=M<l{XZ|D8xn<k%Hd*ao-=QA>VG$ieomvoo-X_h9XPd<3MVxM7s%f{u#?h$;i zpT7>&E6J|gzxho|-TW`9bDYgQO_w)n)*i8Z5cO;8mS2kx6hE1g{Kx2Ys*yp$mIErE zCjY8eGC%ynHLZb-$8zU_NmIKoK7Bm@U6ja`q}W@hru^qe9c_-hp?0c_fq|iifdOr_ zIk&7BKH6+-a)tBbm#<%nubXbU!0E4Z>hu}!>mSeR=&@bmnpw%ZRF&(eE7#AZstRFV zS$;{X(-$)ObWdcA>5i|PmsjLhS5%hO<>Z&-S;RJdVPAi8$L98R3;UA2BGU@%0+;q> zyQUR#o=DixbtP#5E0?O|N{8ZZ<IA6SFa&rrGKnxFcCcjptJ2A0VqhrdU|?Wp;AH>- zMg|6khDH!8CAmPaA~z>AgcGun1+<w3-2~^qhcad}GB8YIW?&FNF<}N117b%^d~r!> zl3p3uC<~nv=^%`5)GpRu*-S<T22~aY24NJVvRE*U%1D7Y3}g}rqnj3%@TqDy0|Ubj z283xKPc=01vLTyRkXV$M3->0-BoIb7tx$XVzgW=5A4UcSNvPW(rZKT2o0b`nQCaL? zP@-3qT9A`iiPyh{PgLZ@I2ageRS_<P1pa&!GgA|baw_#IQj+nSHt);oykrRmhB@q@ zNe<+we9w>MLW1VloXTDz8OFd+>chyukK)rd4P<kQGZG6@@w;egjHV(Z1H%C(bpKtg zLoz8oDL=6&g`m5Nrark{sLsd`q0Y#_gW@iO1|-w;D)R6;Dm}X5&AKZL3~R1}awjC1 zAQ5?GIU^#y>ZO8ahBz6RL5Tr`5eWjapJ~~J1#%bo85uyB7sWZ-YM7ABDM(4e;U0)N zI!n|{N~IVXKp54WT|LZ5<`kDC;x#9osc^|MBL)T#=0kB$@^Tg=b1IT>rXz@hUU@(G zZppyF0K%y8Z+8pXpp>)<oL+-Wb4!FSR2F1lVBiO1<e*-QVp4KWHcpcuZaVs?|7g}O zMg|Z@4Wut9CKVAdrzA5<U7?ME0fbTgHfbswQXu8!<>VFP2yTeq)<jPBxE##L@UNQ< zEtkBIW=Aq9CAAD{5~%P5VMIcLn1s5C5E4p|Qs84g2a-vUErnQ1Vn`r4q3p1O7z9b# zvW3V7f%n^CH3#A#)YS<Pb07}V>_9RHX{`bt!%(MQkqw*KjbxY}cp?^$IjEH?vN`OF zkj(*Cu_)%SvVj6Yf<c1eBO3$5#zh<qdJL{68A*}h+HR3yd6pTWAt{l*W?q#6{)Oog YnMO`Q>1AG3;n_KvDaDbMMf&Ns0PIi);Q#;t literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..002534fcea83ad72406beffd792e40bd399a9dda GIT binary patch literal 109 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcB$9g5d-Bex%1_p))LJS*(dpKJq4_b2AU6lk0Es$sUAm77zRQ2C~dxxoNAfX4^ K3>$RLvH$>PTOyGF literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt new file mode 100644 index 0000000..521c916 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt @@ -0,0 +1,3159 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:49:24 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- -------- ------------------------------ ---------- +TIMING-18 Warning Missing input or output delay 11 +TIMING-20 Warning Non-clocked latch 10 +LATCH-1 Advisory Existing latches in the design 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (50) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (10) +5. checking no_input_delay (10) +6. checking no_output_delay (5) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (50) +------------------------- + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[4]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[4]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (10) +------------------------------------------------- + There are 10 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (10) +------------------------------- + There are 10 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (5) +------------------------------- + There are 5 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.140 0.000 0 1164 0.133 0.000 0 1164 3.000 0.000 0 589 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +CLK100MHZ {0.000 5.000} 10.000 100.000 + clk_out1_clk_wiz_0 {0.000 5.000} 10.000 100.000 + clk_out3_clk_wiz_0 {0.000 41.667} 83.333 12.000 + clk_out4_clk_wiz_0 {0.000 10.000} 20.000 50.000 + clkfbout_clk_wiz_0 {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +CLK100MHZ 3.000 0.000 0 1 + clk_out1_clk_wiz_0 0.140 0.000 0 939 0.133 0.000 0 939 4.500 0.000 0 461 + clk_out3_clk_wiz_0 81.178 0.000 0 2 + clk_out4_clk_wiz_0 14.686 0.000 0 225 0.151 0.000 0 225 9.500 0.000 0 122 + clkfbout_clk_wiz_0 7.845 0.000 0 3 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: CLK100MHZ + To Clock: CLK100MHZ + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 3.000ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: CLK100MHZ +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { CLK100MHZ } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out1_clk_wiz_0 + To Clock: clk_out1_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 0.140ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.133ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.140ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.839ns (logic 4.265ns (43.347%) route 5.574ns (56.653%)) + Logic Levels: 12 (CARRY4=4 LUT4=3 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: 0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 8.408 - 10.000 ) + Source Clock Delay (SCD): -1.071ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.748 -1.071 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y116 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y116 FDCE (Prop_fdce_C_Q) 0.456 -0.615 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.492 0.877 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X159Y120 LUT6 (Prop_lut6_I2_O) 0.124 1.001 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0/O + net (fo=1, routed) 0.000 1.001 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0_n_0 + SLICE_X159Y120 MUXF7 (Prop_muxf7_I0_O) 0.212 1.213 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0/O + net (fo=1, routed) 0.000 1.213 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0_n_0 + SLICE_X159Y120 MUXF8 (Prop_muxf8_I1_O) 0.094 1.307 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_5__0/O + net (fo=8, routed) 0.681 1.988 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[7] + SLICE_X156Y121 LUT5 (Prop_lut5_I0_O) 0.341 2.329 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0/O + net (fo=2, routed) 0.730 3.059 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0_n_0 + SLICE_X155Y116 LUT4 (Prop_lut4_I3_O) 0.332 3.391 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0/O + net (fo=1, routed) 0.000 3.391 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0_n_0 + SLICE_X155Y116 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.792 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/CO[3] + net (fo=1, routed) 0.000 3.792 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_0 + SLICE_X155Y117 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.014 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[0] + net (fo=3, routed) 0.731 4.745 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_7 + SLICE_X155Y119 LUT4 (Prop_lut4_I3_O) 0.299 5.044 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0/O + net (fo=1, routed) 0.000 5.044 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.624 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[2] + net (fo=2, routed) 0.723 6.348 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X156Y119 CARRY4 (Prop_carry4_DI[1]_O[3]) + 0.774 7.122 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[3] + net (fo=3, routed) 0.625 7.747 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X157Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.053 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0/O + net (fo=3, routed) 0.592 8.644 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0_n_0 + SLICE_X157Y120 LUT4 (Prop_lut4_I1_O) 0.124 8.768 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_1__0/O + net (fo=1, routed) 0.000 8.768 rightFir/firUnit_1/operativeUnit_1/p_0_in[7] + SLICE_X157Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.700 8.408 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/C + clock pessimism 0.554 8.961 + clock uncertainty -0.084 8.878 + SLICE_X157Y120 FDCE (Setup_fdce_C_D) 0.031 8.909 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7] + ------------------------------------------------------------------- + required time 8.909 + arrival time -8.768 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.287ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.693ns (logic 4.265ns (44.000%) route 5.428ns (56.000%)) + Logic Levels: 12 (CARRY4=4 LUT3=1 LUT4=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: 0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 8.408 - 10.000 ) + Source Clock Delay (SCD): -1.071ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.748 -1.071 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y116 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y116 FDCE (Prop_fdce_C_Q) 0.456 -0.615 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.492 0.877 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X159Y120 LUT6 (Prop_lut6_I2_O) 0.124 1.001 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0/O + net (fo=1, routed) 0.000 1.001 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0_n_0 + SLICE_X159Y120 MUXF7 (Prop_muxf7_I0_O) 0.212 1.213 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0/O + net (fo=1, routed) 0.000 1.213 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0_n_0 + SLICE_X159Y120 MUXF8 (Prop_muxf8_I1_O) 0.094 1.307 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_5__0/O + net (fo=8, routed) 0.681 1.988 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[7] + SLICE_X156Y121 LUT5 (Prop_lut5_I0_O) 0.341 2.329 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0/O + net (fo=2, routed) 0.730 3.059 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0_n_0 + SLICE_X155Y116 LUT4 (Prop_lut4_I3_O) 0.332 3.391 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0/O + net (fo=1, routed) 0.000 3.391 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0_n_0 + SLICE_X155Y116 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.792 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/CO[3] + net (fo=1, routed) 0.000 3.792 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_0 + SLICE_X155Y117 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.014 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[0] + net (fo=3, routed) 0.731 4.745 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_7 + SLICE_X155Y119 LUT4 (Prop_lut4_I3_O) 0.299 5.044 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0/O + net (fo=1, routed) 0.000 5.044 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.624 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[2] + net (fo=2, routed) 0.723 6.348 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X156Y119 CARRY4 (Prop_carry4_DI[1]_O[3]) + 0.774 7.122 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[3] + net (fo=3, routed) 0.625 7.747 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X157Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.053 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0/O + net (fo=3, routed) 0.446 8.498 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0_n_0 + SLICE_X157Y119 LUT3 (Prop_lut3_I0_O) 0.124 8.622 r rightFir/firUnit_1/operativeUnit_1/SR_Y[6]_i_1__0/O + net (fo=1, routed) 0.000 8.622 rightFir/firUnit_1/operativeUnit_1/p_0_in[6] + SLICE_X157Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.700 8.408 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/C + clock pessimism 0.554 8.961 + clock uncertainty -0.084 8.878 + SLICE_X157Y119 FDCE (Setup_fdce_C_D) 0.032 8.910 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6] + ------------------------------------------------------------------- + required time 8.910 + arrival time -8.622 + ------------------------------------------------------------------- + slack 0.287 + +Slack (MET) : 0.292ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.630ns (logic 4.675ns (48.547%) route 4.955ns (51.453%)) + Logic Levels: 15 (CARRY4=6 LUT2=2 LUT3=1 LUT4=1 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.027ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.747 -1.072 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y117 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.115 0.499 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X146Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.623 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28/O + net (fo=1, routed) 0.000 0.623 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28_n_0 + SLICE_X146Y117 MUXF7 (Prop_muxf7_I0_O) 0.241 0.864 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18/O + net (fo=1, routed) 0.000 0.864 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18_n_0 + SLICE_X146Y117 MUXF8 (Prop_muxf8_I0_O) 0.098 0.962 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_11/O + net (fo=8, routed) 0.750 1.711 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[3] + SLICE_X147Y118 LUT5 (Prop_lut5_I0_O) 0.314 2.025 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10/O + net (fo=4, routed) 0.439 2.464 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10_n_0 + SLICE_X147Y119 LUT3 (Prop_lut3_I2_O) 0.332 2.796 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1/O + net (fo=1, routed) 0.190 2.986 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1_n_0 + SLICE_X146Y119 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 3.382 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry/CO[3] + net (fo=1, routed) 0.000 3.382 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_n_0 + SLICE_X146Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 3.601 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/O[0] + net (fo=2, routed) 0.639 4.240 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_7 + SLICE_X147Y120 LUT2 (Prop_lut2_I0_O) 0.295 4.535 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3/O + net (fo=1, routed) 0.000 4.535 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.550 5.085 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry/CO[3] + net (fo=1, routed) 0.000 5.085 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_n_0 + SLICE_X147Y121 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.307 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[0] + net (fo=2, routed) 0.477 5.784 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[7] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.299 6.083 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1/O + net (fo=1, routed) 0.000 6.083 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.376 6.459 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0/CO[3] + net (fo=1, routed) 0.000 6.459 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_n_0 + SLICE_X148Y121 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 6.782 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[1] + net (fo=5, routed) 0.696 7.478 leftFir/firUnit_1/operativeUnit_1/L[9] + SLICE_X151Y120 LUT6 (Prop_lut6_I1_O) 0.306 7.784 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2/O + net (fo=3, routed) 0.650 8.434 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2_n_0 + SLICE_X149Y120 LUT4 (Prop_lut4_I1_O) 0.124 8.558 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_1/O + net (fo=1, routed) 0.000 8.558 leftFir/firUnit_1/operativeUnit_1/p_0_in[7] + SLICE_X149Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/C + clock pessimism 0.569 8.901 + clock uncertainty -0.084 8.818 + SLICE_X149Y120 FDCE (Setup_fdce_C_D) 0.032 8.850 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7] + ------------------------------------------------------------------- + required time 8.850 + arrival time -8.558 + ------------------------------------------------------------------- + slack 0.292 + +Slack (MET) : 0.308ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.669ns (logic 4.265ns (44.109%) route 5.404ns (55.891%)) + Logic Levels: 12 (CARRY4=4 LUT2=1 LUT4=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: 0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 8.408 - 10.000 ) + Source Clock Delay (SCD): -1.071ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.748 -1.071 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y116 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y116 FDCE (Prop_fdce_C_Q) 0.456 -0.615 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.492 0.877 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X159Y120 LUT6 (Prop_lut6_I2_O) 0.124 1.001 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0/O + net (fo=1, routed) 0.000 1.001 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0_n_0 + SLICE_X159Y120 MUXF7 (Prop_muxf7_I0_O) 0.212 1.213 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0/O + net (fo=1, routed) 0.000 1.213 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0_n_0 + SLICE_X159Y120 MUXF8 (Prop_muxf8_I1_O) 0.094 1.307 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_5__0/O + net (fo=8, routed) 0.681 1.988 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[7] + SLICE_X156Y121 LUT5 (Prop_lut5_I0_O) 0.341 2.329 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0/O + net (fo=2, routed) 0.730 3.059 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0_n_0 + SLICE_X155Y116 LUT4 (Prop_lut4_I3_O) 0.332 3.391 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0/O + net (fo=1, routed) 0.000 3.391 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_5__0_n_0 + SLICE_X155Y116 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.792 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/CO[3] + net (fo=1, routed) 0.000 3.792 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_0 + SLICE_X155Y117 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.014 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[0] + net (fo=3, routed) 0.731 4.745 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_7 + SLICE_X155Y119 LUT4 (Prop_lut4_I3_O) 0.299 5.044 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0/O + net (fo=1, routed) 0.000 5.044 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7__0_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.624 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[2] + net (fo=2, routed) 0.723 6.348 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X156Y119 CARRY4 (Prop_carry4_DI[1]_O[3]) + 0.774 7.122 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[3] + net (fo=3, routed) 0.625 7.747 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X157Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.053 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0/O + net (fo=3, routed) 0.422 8.474 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2__0_n_0 + SLICE_X157Y120 LUT2 (Prop_lut2_I0_O) 0.124 8.598 r rightFir/firUnit_1/operativeUnit_1/SR_Y[5]_i_1__0/O + net (fo=1, routed) 0.000 8.598 rightFir/firUnit_1/operativeUnit_1/p_0_in[5] + SLICE_X157Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.700 8.408 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/C + clock pessimism 0.554 8.961 + clock uncertainty -0.084 8.878 + SLICE_X157Y120 FDCE (Setup_fdce_C_D) 0.029 8.907 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5] + ------------------------------------------------------------------- + required time 8.907 + arrival time -8.598 + ------------------------------------------------------------------- + slack 0.308 + +Slack (MET) : 0.495ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.425ns (logic 4.675ns (49.602%) route 4.750ns (50.398%)) + Logic Levels: 15 (CARRY4=6 LUT2=2 LUT3=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.027ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.747 -1.072 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y117 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.115 0.499 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X146Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.623 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28/O + net (fo=1, routed) 0.000 0.623 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28_n_0 + SLICE_X146Y117 MUXF7 (Prop_muxf7_I0_O) 0.241 0.864 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18/O + net (fo=1, routed) 0.000 0.864 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18_n_0 + SLICE_X146Y117 MUXF8 (Prop_muxf8_I0_O) 0.098 0.962 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_11/O + net (fo=8, routed) 0.750 1.711 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[3] + SLICE_X147Y118 LUT5 (Prop_lut5_I0_O) 0.314 2.025 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10/O + net (fo=4, routed) 0.439 2.464 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10_n_0 + SLICE_X147Y119 LUT3 (Prop_lut3_I2_O) 0.332 2.796 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1/O + net (fo=1, routed) 0.190 2.986 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1_n_0 + SLICE_X146Y119 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 3.382 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry/CO[3] + net (fo=1, routed) 0.000 3.382 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_n_0 + SLICE_X146Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 3.601 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/O[0] + net (fo=2, routed) 0.639 4.240 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_7 + SLICE_X147Y120 LUT2 (Prop_lut2_I0_O) 0.295 4.535 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3/O + net (fo=1, routed) 0.000 4.535 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.550 5.085 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry/CO[3] + net (fo=1, routed) 0.000 5.085 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_n_0 + SLICE_X147Y121 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.307 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[0] + net (fo=2, routed) 0.477 5.784 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[7] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.299 6.083 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1/O + net (fo=1, routed) 0.000 6.083 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.376 6.459 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0/CO[3] + net (fo=1, routed) 0.000 6.459 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_n_0 + SLICE_X148Y121 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 6.782 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[1] + net (fo=5, routed) 0.696 7.478 leftFir/firUnit_1/operativeUnit_1/L[9] + SLICE_X151Y120 LUT6 (Prop_lut6_I1_O) 0.306 7.784 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2/O + net (fo=3, routed) 0.445 8.229 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2_n_0 + SLICE_X149Y120 LUT3 (Prop_lut3_I0_O) 0.124 8.353 r leftFir/firUnit_1/operativeUnit_1/SR_Y[6]_i_1/O + net (fo=1, routed) 0.000 8.353 leftFir/firUnit_1/operativeUnit_1/p_0_in[6] + SLICE_X149Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/C + clock pessimism 0.569 8.901 + clock uncertainty -0.084 8.818 + SLICE_X149Y120 FDCE (Setup_fdce_C_D) 0.031 8.849 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6] + ------------------------------------------------------------------- + required time 8.849 + arrival time -8.353 + ------------------------------------------------------------------- + slack 0.495 + +Slack (MET) : 0.665ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.255ns (logic 4.675ns (50.513%) route 4.580ns (49.487%)) + Logic Levels: 15 (CARRY4=6 LUT2=3 LUT3=1 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.027ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.747 -1.072 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y117 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.115 0.499 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X146Y117 LUT6 (Prop_lut6_I2_O) 0.124 0.623 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28/O + net (fo=1, routed) 0.000 0.623 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_28_n_0 + SLICE_X146Y117 MUXF7 (Prop_muxf7_I0_O) 0.241 0.864 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18/O + net (fo=1, routed) 0.000 0.864 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_18_n_0 + SLICE_X146Y117 MUXF8 (Prop_muxf8_I0_O) 0.098 0.962 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_11/O + net (fo=8, routed) 0.750 1.711 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[3] + SLICE_X147Y118 LUT5 (Prop_lut5_I0_O) 0.314 2.025 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10/O + net (fo=4, routed) 0.439 2.464 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_10_n_0 + SLICE_X147Y119 LUT3 (Prop_lut3_I2_O) 0.332 2.796 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1/O + net (fo=1, routed) 0.190 2.986 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_i_1_n_0 + SLICE_X146Y119 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 3.382 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry/CO[3] + net (fo=1, routed) 0.000 3.382 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry_n_0 + SLICE_X146Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 3.601 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/O[0] + net (fo=2, routed) 0.639 4.240 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_7 + SLICE_X147Y120 LUT2 (Prop_lut2_I0_O) 0.295 4.535 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3/O + net (fo=1, routed) 0.000 4.535 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_i_3_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.550 5.085 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry/CO[3] + net (fo=1, routed) 0.000 5.085 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry_n_0 + SLICE_X147Y121 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.307 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/O[0] + net (fo=2, routed) 0.477 5.784 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[7] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.299 6.083 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1/O + net (fo=1, routed) 0.000 6.083 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_i_1_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.376 6.459 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0/CO[3] + net (fo=1, routed) 0.000 6.459 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__0_n_0 + SLICE_X148Y121 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 6.782 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/O[1] + net (fo=5, routed) 0.696 7.478 leftFir/firUnit_1/operativeUnit_1/L[9] + SLICE_X151Y120 LUT6 (Prop_lut6_I1_O) 0.306 7.784 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2/O + net (fo=3, routed) 0.275 8.059 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_2_n_0 + SLICE_X151Y120 LUT2 (Prop_lut2_I0_O) 0.124 8.183 r leftFir/firUnit_1/operativeUnit_1/SR_Y[5]_i_1/O + net (fo=1, routed) 0.000 8.183 leftFir/firUnit_1/operativeUnit_1/p_0_in[5] + SLICE_X151Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X151Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/C + clock pessimism 0.569 8.901 + clock uncertainty -0.084 8.818 + SLICE_X151Y120 FDCE (Setup_fdce_C_D) 0.031 8.849 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5] + ------------------------------------------------------------------- + required time 8.849 + arrival time -8.183 + ------------------------------------------------------------------- + slack 0.665 + +Slack (MET) : 0.684ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.231ns (logic 4.197ns (45.466%) route 5.034ns (54.534%)) + Logic Levels: 14 (CARRY4=6 LUT2=2 LUT3=1 LUT4=1 LUT5=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.030ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.670ns = ( 8.330 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.747 -1.072 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y117 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.300 0.684 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X145Y124 LUT6 (Prop_lut6_I2_O) 0.124 0.808 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_22/O + net (fo=1, routed) 0.000 0.808 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_22_n_0 + SLICE_X145Y124 MUXF7 (Prop_muxf7_I0_O) 0.212 1.020 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_15/O + net (fo=1, routed) 0.000 1.020 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_15_n_0 + SLICE_X145Y124 MUXF8 (Prop_muxf8_I1_O) 0.094 1.114 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_9/O + net (fo=8, routed) 0.569 1.683 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[5] + SLICE_X146Y123 LUT5 (Prop_lut5_I0_O) 0.316 1.999 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_10/O + net (fo=2, routed) 0.751 2.750 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_10_n_0 + SLICE_X147Y118 LUT3 (Prop_lut3_I1_O) 0.124 2.874 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_1/O + net (fo=2, routed) 0.656 3.531 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_1_n_0 + SLICE_X146Y120 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 3.927 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/CO[3] + net (fo=1, routed) 0.000 3.927 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_0 + SLICE_X146Y121 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 4.146 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[0] + net (fo=3, routed) 0.666 4.811 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_7 + SLICE_X147Y121 LUT4 (Prop_lut4_I3_O) 0.295 5.106 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7/O + net (fo=1, routed) 0.000 5.106 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7_n_0 + SLICE_X147Y121 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.550 5.656 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/CO[3] + net (fo=1, routed) 0.000 5.656 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_n_0 + SLICE_X147Y122 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.878 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__1/O[0] + net (fo=2, routed) 0.477 6.356 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[11] + SLICE_X148Y121 LUT2 (Prop_lut2_I0_O) 0.299 6.655 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1/O + net (fo=1, routed) 0.000 6.655 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1_n_0 + SLICE_X148Y121 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.376 7.031 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/CO[3] + net (fo=1, routed) 0.000 7.031 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_n_0 + SLICE_X148Y122 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 7.250 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__2/O[0] + net (fo=4, routed) 0.615 7.864 leftFir/firUnit_1/operativeUnit_1/L[12] + SLICE_X149Y122 LUT2 (Prop_lut2_I0_O) 0.295 8.159 r leftFir/firUnit_1/operativeUnit_1/SR_sum[12]_i_1/O + net (fo=1, routed) 0.000 8.159 leftFir/firUnit_1/operativeUnit_1/p_1_in[12] + SLICE_X149Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.622 8.330 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/C + clock pessimism 0.569 8.898 + clock uncertainty -0.084 8.815 + SLICE_X149Y122 FDCE (Setup_fdce_C_D) 0.029 8.844 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[12] + ------------------------------------------------------------------- + required time 8.844 + arrival time -8.159 + ------------------------------------------------------------------- + slack 0.684 + +Slack (MET) : 0.711ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.315ns (logic 4.113ns (44.156%) route 5.202ns (55.844%)) + Logic Levels: 13 (CARRY4=5 LUT2=2 LUT3=1 LUT4=1 LUT5=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: 0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 8.408 - 10.000 ) + Source Clock Delay (SCD): -1.071ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.748 -1.071 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y116 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y116 FDCE (Prop_fdce_C_Q) 0.456 -0.615 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.492 0.877 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X159Y120 LUT6 (Prop_lut6_I2_O) 0.124 1.001 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0/O + net (fo=1, routed) 0.000 1.001 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0_n_0 + SLICE_X159Y120 MUXF7 (Prop_muxf7_I0_O) 0.212 1.213 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0/O + net (fo=1, routed) 0.000 1.213 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0_n_0 + SLICE_X159Y120 MUXF8 (Prop_muxf8_I1_O) 0.094 1.307 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_5__0/O + net (fo=8, routed) 0.681 1.988 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[7] + SLICE_X156Y121 LUT5 (Prop_lut5_I0_O) 0.341 2.329 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0/O + net (fo=2, routed) 0.533 2.862 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0_n_0 + SLICE_X156Y116 LUT3 (Prop_lut3_I2_O) 0.332 3.194 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_2__0/O + net (fo=1, routed) 0.555 3.749 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_2__0_n_0 + SLICE_X155Y117 CARRY4 (Prop_carry4_DI[0]_O[1]) + 0.407 4.156 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[1] + net (fo=3, routed) 0.790 4.946 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_6 + SLICE_X155Y119 LUT4 (Prop_lut4_I0_O) 0.303 5.249 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_5__0/O + net (fo=1, routed) 0.000 5.249 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_5__0_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 5.650 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/CO[3] + net (fo=1, routed) 0.000 5.650 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_n_0 + SLICE_X155Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.872 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__1/O[0] + net (fo=2, routed) 0.661 6.533 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[11] + SLICE_X156Y119 LUT2 (Prop_lut2_I0_O) 0.299 6.832 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1__0/O + net (fo=1, routed) 0.000 6.832 rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1__0_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.233 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/CO[3] + net (fo=1, routed) 0.000 7.233 rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_n_0 + SLICE_X156Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 7.455 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__2/O[0] + net (fo=4, routed) 0.490 7.945 rightFir/firUnit_1/operativeUnit_1/L[12] + SLICE_X158Y120 LUT2 (Prop_lut2_I0_O) 0.299 8.244 r rightFir/firUnit_1/operativeUnit_1/SR_sum[12]_i_1__0/O + net (fo=1, routed) 0.000 8.244 rightFir/firUnit_1/operativeUnit_1/p_1_in[12] + SLICE_X158Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.700 8.408 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X158Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[12]/C + clock pessimism 0.554 8.961 + clock uncertainty -0.084 8.878 + SLICE_X158Y120 FDCE (Setup_fdce_C_D) 0.077 8.955 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[12] + ------------------------------------------------------------------- + required time 8.955 + arrival time -8.244 + ------------------------------------------------------------------- + slack 0.711 + +Slack (MET) : 0.754ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.276ns (logic 4.229ns (45.593%) route 5.047ns (54.407%)) + Logic Levels: 13 (CARRY4=5 LUT2=2 LUT3=1 LUT4=1 LUT5=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: 0.032ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.592ns = ( 8.408 - 10.000 ) + Source Clock Delay (SCD): -1.071ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.748 -1.071 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y116 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X153Y116 FDCE (Prop_fdce_C_Q) 0.456 -0.615 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.492 0.877 rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X159Y120 LUT6 (Prop_lut6_I2_O) 0.124 1.001 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0/O + net (fo=1, routed) 0.000 1.001 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_14__0_n_0 + SLICE_X159Y120 MUXF7 (Prop_muxf7_I0_O) 0.212 1.213 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0/O + net (fo=1, routed) 0.000 1.213 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_9__0_n_0 + SLICE_X159Y120 MUXF8 (Prop_muxf8_I1_O) 0.094 1.307 f rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_5__0/O + net (fo=8, routed) 0.681 1.988 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[7] + SLICE_X156Y121 LUT5 (Prop_lut5_I0_O) 0.341 2.329 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0/O + net (fo=2, routed) 0.533 2.862 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_20__0_n_0 + SLICE_X156Y116 LUT3 (Prop_lut3_I2_O) 0.332 3.194 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_2__0/O + net (fo=1, routed) 0.555 3.749 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_i_2__0_n_0 + SLICE_X155Y117 CARRY4 (Prop_carry4_DI[0]_O[1]) + 0.407 4.156 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[1] + net (fo=3, routed) 0.790 4.946 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_6 + SLICE_X155Y119 LUT4 (Prop_lut4_I0_O) 0.303 5.249 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_5__0/O + net (fo=1, routed) 0.000 5.249 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_5__0_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 5.650 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/CO[3] + net (fo=1, routed) 0.000 5.650 rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_n_0 + SLICE_X155Y120 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.872 r rightFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__1/O[0] + net (fo=2, routed) 0.661 6.533 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[11] + SLICE_X156Y119 LUT2 (Prop_lut2_I0_O) 0.299 6.832 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1__0/O + net (fo=1, routed) 0.000 6.832 rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1__0_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 7.233 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/CO[3] + net (fo=1, routed) 0.000 7.233 rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_n_0 + SLICE_X156Y120 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 7.567 r rightFir/firUnit_1/operativeUnit_1/SC_addResult_carry__2/O[1] + net (fo=3, routed) 0.335 7.902 rightFir/firUnit_1/operativeUnit_1/L[13] + SLICE_X158Y120 LUT2 (Prop_lut2_I0_O) 0.303 8.205 r rightFir/firUnit_1/operativeUnit_1/SR_sum[13]_i_1__0/O + net (fo=1, routed) 0.000 8.205 rightFir/firUnit_1/operativeUnit_1/p_1_in[13] + SLICE_X158Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.700 8.408 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X158Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/C + clock pessimism 0.554 8.961 + clock uncertainty -0.084 8.878 + SLICE_X158Y120 FDCE (Setup_fdce_C_D) 0.081 8.959 rightFir/firUnit_1/operativeUnit_1/SR_sum_reg[13] + ------------------------------------------------------------------- + required time 8.959 + arrival time -8.205 + ------------------------------------------------------------------- + slack 0.754 + +Slack (MET) : 0.826ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.092ns (logic 4.312ns (47.429%) route 4.780ns (52.571%)) + Logic Levels: 14 (CARRY4=6 LUT2=2 LUT3=1 LUT4=1 LUT5=1 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.030ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.670ns = ( 8.330 - 10.000 ) + Source Clock Delay (SCD): -1.072ns + Clock Pessimism Removal (CPR): 0.569ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.747 -1.072 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X149Y117 FDCE (Prop_fdce_C_Q) 0.456 -0.616 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=78, routed) 1.300 0.684 leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1] + SLICE_X145Y124 LUT6 (Prop_lut6_I2_O) 0.124 0.808 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_22/O + net (fo=1, routed) 0.000 0.808 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_22_n_0 + SLICE_X145Y124 MUXF7 (Prop_muxf7_I0_O) 0.212 1.020 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_15/O + net (fo=1, routed) 0.000 1.020 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_15_n_0 + SLICE_X145Y124 MUXF8 (Prop_muxf8_I1_O) 0.094 1.114 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__29_carry__0_i_9/O + net (fo=8, routed) 0.569 1.683 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]__119[5] + SLICE_X146Y123 LUT5 (Prop_lut5_I0_O) 0.316 1.999 f leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_10/O + net (fo=2, routed) 0.751 2.750 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_10_n_0 + SLICE_X147Y118 LUT3 (Prop_lut3_I1_O) 0.124 2.874 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_1/O + net (fo=2, routed) 0.656 3.531 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_i_1_n_0 + SLICE_X146Y120 CARRY4 (Prop_carry4_DI[3]_CO[3]) + 0.396 3.927 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0/CO[3] + net (fo=1, routed) 0.000 3.927 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__0_n_0 + SLICE_X146Y121 CARRY4 (Prop_carry4_CI_O[0]) + 0.219 4.146 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1/O[0] + net (fo=3, routed) 0.666 4.811 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__0_carry__1_n_7 + SLICE_X147Y121 LUT4 (Prop_lut4_I3_O) 0.295 5.106 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7/O + net (fo=1, routed) 0.000 5.106 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_i_7_n_0 + SLICE_X147Y121 CARRY4 (Prop_carry4_S[1]_CO[3]) + 0.550 5.656 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0/CO[3] + net (fo=1, routed) 0.000 5.656 leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__0_n_0 + SLICE_X147Y122 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.878 r leftFir/firUnit_1/operativeUnit_1/SC_MultResult__57_carry__1/O[0] + net (fo=2, routed) 0.477 6.356 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[11] + SLICE_X148Y121 LUT2 (Prop_lut2_I0_O) 0.299 6.655 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1/O + net (fo=1, routed) 0.000 6.655 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_i_1_n_0 + SLICE_X148Y121 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.376 7.031 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1/CO[3] + net (fo=1, routed) 0.000 7.031 leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__1_n_0 + SLICE_X148Y122 CARRY4 (Prop_carry4_CI_O[1]) + 0.323 7.354 r leftFir/firUnit_1/operativeUnit_1/SC_addResult_carry__2/O[1] + net (fo=3, routed) 0.360 7.714 leftFir/firUnit_1/operativeUnit_1/L[13] + SLICE_X149Y122 LUT2 (Prop_lut2_I0_O) 0.306 8.020 r leftFir/firUnit_1/operativeUnit_1/SR_sum[13]_i_1/O + net (fo=1, routed) 0.000 8.020 leftFir/firUnit_1/operativeUnit_1/p_1_in[13] + SLICE_X149Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.622 8.330 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X149Y122 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[13]/C + clock pessimism 0.569 8.898 + clock uncertainty -0.084 8.815 + SLICE_X149Y122 FDCE (Setup_fdce_C_D) 0.031 8.846 leftFir/firUnit_1/operativeUnit_1/SR_sum_reg[13] + ------------------------------------------------------------------- + required time 8.846 + arrival time -8.020 + ------------------------------------------------------------------- + slack 0.826 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.133ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.209ns (logic 0.141ns (67.454%) route 0.068ns (32.546%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.926ns + Source Clock Delay (SCD): -0.683ns + Clock Pessimism Removal (CPR): -0.243ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.617 -0.683 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y113 FDCE (Prop_fdce_C_Q) 0.141 -0.542 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/Q + net (fo=2, routed) 0.068 -0.474 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7]_7[2] + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.888 -0.926 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/C + clock pessimism 0.243 -0.683 + SLICE_X155Y113 FDCE (Hold_fdce_C_D) 0.076 -0.607 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2] + ------------------------------------------------------------------- + required time 0.607 + arrival time -0.474 + ------------------------------------------------------------------- + slack 0.133 + +Slack (MET) : 0.138ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.209ns (logic 0.141ns (67.454%) route 0.068ns (32.546%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.926ns + Source Clock Delay (SCD): -0.683ns + Clock Pessimism Removal (CPR): -0.243ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.617 -0.683 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X155Y113 FDCE (Prop_fdce_C_Q) 0.141 -0.542 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][2]/Q + net (fo=2, routed) 0.068 -0.474 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11]_11[2] + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.888 -0.926 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X155Y113 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][2]/C + clock pessimism 0.243 -0.683 + SLICE_X155Y113 FDCE (Hold_fdce_C_D) 0.071 -0.612 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][2] + ------------------------------------------------------------------- + required time 0.612 + arrival time -0.474 + ------------------------------------------------------------------- + slack 0.138 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/Data_Out_int_reg[13]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[14]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.273ns (logic 0.186ns (68.106%) route 0.087ns (31.894%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.910ns + Source Clock Delay (SCD): -0.666ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.634 -0.666 audio_inout/clk_out1 + SLICE_X159Y124 FDRE r audio_inout/Data_Out_int_reg[13]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y124 FDRE (Prop_fdre_C_Q) 0.141 -0.525 r audio_inout/Data_Out_int_reg[13]/Q + net (fo=1, routed) 0.087 -0.438 audio_inout/Data_Out_int_reg_n_0_[13] + SLICE_X158Y124 LUT6 (Prop_lut6_I4_O) 0.045 -0.393 r audio_inout/Data_Out_int[14]_i_1/O + net (fo=1, routed) 0.000 -0.393 audio_inout/Data_Out_int[14]_i_1_n_0 + SLICE_X158Y124 FDRE r audio_inout/Data_Out_int_reg[14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.904 -0.910 audio_inout/clk_out1 + SLICE_X158Y124 FDRE r audio_inout/Data_Out_int_reg[14]/C + clock pessimism 0.257 -0.653 + SLICE_X158Y124 FDRE (Hold_fdre_C_D) 0.120 -0.533 audio_inout/Data_Out_int_reg[14] + ------------------------------------------------------------------- + required time 0.533 + arrival time -0.393 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/D_R_O_int_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[8]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.245ns (logic 0.186ns (75.768%) route 0.059ns (24.232%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X161Y124 FDRE r audio_inout/D_R_O_int_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y124 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_R_O_int_reg[1]/Q + net (fo=1, routed) 0.059 -0.464 audio_inout/in_audioR[1] + SLICE_X160Y124 LUT6 (Prop_lut6_I2_O) 0.045 -0.419 r audio_inout/Data_Out_int[8]_i_1/O + net (fo=1, routed) 0.000 -0.419 audio_inout/Data_Out_int[8]_i_1_n_0 + SLICE_X160Y124 FDRE r audio_inout/Data_Out_int_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 audio_inout/clk_out1 + SLICE_X160Y124 FDRE r audio_inout/Data_Out_int_reg[8]/C + clock pessimism 0.257 -0.652 + SLICE_X160Y124 FDRE (Hold_fdre_C_D) 0.092 -0.560 audio_inout/Data_Out_int_reg[8] + ------------------------------------------------------------------- + required time 0.560 + arrival time -0.419 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/D_R_O_int_reg[4]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[11]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.244ns (logic 0.186ns (76.078%) route 0.058ns (23.922%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X161Y124 FDRE r audio_inout/D_R_O_int_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y124 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_R_O_int_reg[4]/Q + net (fo=1, routed) 0.058 -0.465 audio_inout/in_audioR[4] + SLICE_X160Y124 LUT6 (Prop_lut6_I2_O) 0.045 -0.420 r audio_inout/Data_Out_int[11]_i_1/O + net (fo=1, routed) 0.000 -0.420 audio_inout/Data_Out_int[11]_i_1_n_0 + SLICE_X160Y124 FDRE r audio_inout/Data_Out_int_reg[11]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 audio_inout/clk_out1 + SLICE_X160Y124 FDRE r audio_inout/Data_Out_int_reg[11]/C + clock pessimism 0.257 -0.652 + SLICE_X160Y124 FDRE (Hold_fdre_C_D) 0.091 -0.561 audio_inout/Data_Out_int_reg[11] + ------------------------------------------------------------------- + required time 0.561 + arrival time -0.420 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.151ns (arrival time - required time) + Source: dbuttons/IV_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: dbuttons/out_reg[2]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.284ns (logic 0.186ns (65.445%) route 0.098ns (34.555%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 dbuttons/clk_out1 + SLICE_X163Y125 FDRE r dbuttons/IV_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y125 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r dbuttons/IV_reg[2]/Q + net (fo=3, routed) 0.098 -0.426 dbuttons/p_0_in2_in + SLICE_X162Y125 LUT5 (Prop_lut5_I3_O) 0.045 -0.381 r dbuttons/out[2]_i_1/O + net (fo=1, routed) 0.000 -0.381 dbuttons/out[2]_i_1_n_0 + SLICE_X162Y125 FDRE r dbuttons/out_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 dbuttons/clk_out1 + SLICE_X162Y125 FDRE r dbuttons/out_reg[2]/C + clock pessimism 0.257 -0.652 + SLICE_X162Y125 FDRE (Hold_fdre_C_D) 0.120 -0.532 dbuttons/out_reg[2] + ------------------------------------------------------------------- + required time 0.532 + arrival time -0.381 + ------------------------------------------------------------------- + slack 0.151 + +Slack (MET) : 0.166ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][3]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.226ns (logic 0.164ns (72.470%) route 0.062ns (27.530%)) + Logic Levels: 0 + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.926ns + Source Clock Delay (SCD): -0.683ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.617 -0.683 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X152Y114 FDCE (Prop_fdce_C_Q) 0.164 -0.519 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][3]/Q + net (fo=2, routed) 0.062 -0.456 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2]_2[3] + SLICE_X153Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.888 -0.926 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3]/C + clock pessimism 0.256 -0.670 + SLICE_X153Y114 FDCE (Hold_fdce_C_D) 0.047 -0.623 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][3] + ------------------------------------------------------------------- + required time 0.623 + arrival time -0.456 + ------------------------------------------------------------------- + slack 0.166 + +Slack (MET) : 0.167ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[11]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[18]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.296ns (logic 0.186ns (62.827%) route 0.110ns (37.173%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.037ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.907ns + Source Clock Delay (SCD): -0.664ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.636 -0.664 audio_inout/clk_out1 + SLICE_X160Y123 FDRE r audio_inout/D_L_O_int_reg[11]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y123 FDRE (Prop_fdre_C_Q) 0.141 -0.523 r audio_inout/D_L_O_int_reg[11]/Q + net (fo=1, routed) 0.110 -0.413 audio_inout/in_audioL[11] + SLICE_X159Y122 LUT6 (Prop_lut6_I1_O) 0.045 -0.368 r audio_inout/Data_Out_int[18]_i_1/O + net (fo=1, routed) 0.000 -0.368 audio_inout/Data_Out_int[18]_i_1_n_0 + SLICE_X159Y122 FDRE r audio_inout/Data_Out_int_reg[18]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.907 -0.907 audio_inout/clk_out1 + SLICE_X159Y122 FDRE r audio_inout/Data_Out_int_reg[18]/C + clock pessimism 0.280 -0.627 + SLICE_X159Y122 FDRE (Hold_fdre_C_D) 0.092 -0.535 audio_inout/Data_Out_int_reg[18] + ------------------------------------------------------------------- + required time 0.535 + arrival time -0.368 + ------------------------------------------------------------------- + slack 0.167 + +Slack (MET) : 0.168ns (arrival time - required time) + Source: audio_inout/Data_In_int_reg[7]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_In_int_reg[8]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.259ns (logic 0.141ns (54.488%) route 0.118ns (45.512%)) + Logic Levels: 0 + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.662ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.638 -0.662 audio_inout/clk_out1 + SLICE_X160Y121 FDRE r audio_inout/Data_In_int_reg[7]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y121 FDRE (Prop_fdre_C_Q) 0.141 -0.521 r audio_inout/Data_In_int_reg[7]/Q + net (fo=1, routed) 0.118 -0.403 audio_inout/Data_In_int_reg_n_0_[7] + SLICE_X160Y122 FDRE r audio_inout/Data_In_int_reg[8]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.908 -0.906 audio_inout/clk_out1 + SLICE_X160Y122 FDRE r audio_inout/Data_In_int_reg[8]/C + clock pessimism 0.257 -0.649 + SLICE_X160Y122 FDRE (Hold_fdre_C_D) 0.078 -0.571 audio_inout/Data_In_int_reg[8] + ------------------------------------------------------------------- + required time 0.571 + arrival time -0.403 + ------------------------------------------------------------------- + slack 0.168 + +Slack (MET) : 0.171ns (arrival time - required time) + Source: audio_inout/Data_In_int_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_In_int_reg[4]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.255ns (logic 0.141ns (55.331%) route 0.114ns (44.669%)) + Logic Levels: 0 + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.662ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.638 -0.662 audio_inout/clk_out1 + SLICE_X160Y121 FDRE r audio_inout/Data_In_int_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y121 FDRE (Prop_fdre_C_Q) 0.141 -0.521 r audio_inout/Data_In_int_reg[3]/Q + net (fo=1, routed) 0.114 -0.407 audio_inout/Data_In_int_reg_n_0_[3] + SLICE_X160Y122 FDRE r audio_inout/Data_In_int_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.908 -0.906 audio_inout/clk_out1 + SLICE_X160Y122 FDRE r audio_inout/Data_In_int_reg[4]/C + clock pessimism 0.257 -0.649 + SLICE_X160Y122 FDRE (Hold_fdre_C_D) 0.071 -0.578 audio_inout/Data_In_int_reg[4] + ------------------------------------------------------------------- + required time 0.578 + arrival time -0.407 + ------------------------------------------------------------------- + slack 0.171 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out1_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT0 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y1 clk_1/inst/clkout1_buf/I +Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y125 lrclkD1_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y128 lrclkD2_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X154Y128 lrclkcnt_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X154Y128 lrclkcnt_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X155Y128 lrclkcnt_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X155Y128 lrclkcnt_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X153Y128 pulse48kHz_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X156Y125 audio_inout/BCLK_int_reg/C +Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y125 lrclkD1_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y125 lrclkD1_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y128 lrclkD2_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y128 lrclkD2_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X155Y128 lrclkcnt_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X155Y128 lrclkcnt_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y125 lrclkD1_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y125 lrclkD1_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y128 lrclkD2_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X156Y128 lrclkD2_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X154Y128 lrclkcnt_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X155Y128 lrclkcnt_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X155Y128 lrclkcnt_reg[2]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out3_clk_wiz_0 + To Clock: clk_out3_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 81.178ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out3_clk_wiz_0 +Waveform(ns): { 0.000 41.667 } +Period(ns): 83.333 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT2 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 83.333 81.178 BUFGCTRL_X0Y0 clk_1/inst/clkout3_buf/I +Min Period n/a MMCME2_ADV/CLKOUT2 n/a 1.249 83.333 82.084 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 +Max Period n/a MMCME2_ADV/CLKOUT2 n/a 213.360 83.333 130.027 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out4_clk_wiz_0 + To Clock: clk_out4_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 14.686ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.151ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 9.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 14.686ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.987ns (logic 0.952ns (19.089%) route 4.035ns (80.911%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.028ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.562 3.996 initialize_audio/twi_controller_n_8 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/clk_out4 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[0]/C + clock pessimism 0.568 18.981 + clock uncertainty -0.094 18.887 + SLICE_X159Y113 FDRE (Setup_fdre_C_CE) -0.205 18.682 initialize_audio/initA_reg[0] + ------------------------------------------------------------------- + required time 18.682 + arrival time -3.996 + ------------------------------------------------------------------- + slack 14.686 + +Slack (MET) : 14.686ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[1]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.987ns (logic 0.952ns (19.089%) route 4.035ns (80.911%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.028ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.562 3.996 initialize_audio/twi_controller_n_8 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/clk_out4 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[1]/C + clock pessimism 0.568 18.981 + clock uncertainty -0.094 18.887 + SLICE_X159Y113 FDRE (Setup_fdre_C_CE) -0.205 18.682 initialize_audio/initA_reg[1] + ------------------------------------------------------------------- + required time 18.682 + arrival time -3.996 + ------------------------------------------------------------------- + slack 14.686 + +Slack (MET) : 14.686ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.987ns (logic 0.952ns (19.089%) route 4.035ns (80.911%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.028ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.562 3.996 initialize_audio/twi_controller_n_8 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/clk_out4 + SLICE_X159Y113 FDRE r initialize_audio/initA_reg[3]/C + clock pessimism 0.568 18.981 + clock uncertainty -0.094 18.887 + SLICE_X159Y113 FDRE (Setup_fdre_C_CE) -0.205 18.682 initialize_audio/initA_reg[3] + ------------------------------------------------------------------- + required time 18.682 + arrival time -3.996 + ------------------------------------------------------------------- + slack 14.686 + +Slack (MET) : 14.687ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.989ns (logic 0.952ns (19.081%) route 4.037ns (80.919%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.583ns = ( 18.417 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.564 3.998 initialize_audio/twi_controller_n_8 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.709 18.417 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[2]/C + clock pessimism 0.568 18.984 + clock uncertainty -0.094 18.890 + SLICE_X159Y110 FDRE (Setup_fdre_C_CE) -0.205 18.685 initialize_audio/initA_reg[2] + ------------------------------------------------------------------- + required time 18.685 + arrival time -3.998 + ------------------------------------------------------------------- + slack 14.687 + +Slack (MET) : 14.687ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[4]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.989ns (logic 0.952ns (19.081%) route 4.037ns (80.919%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.583ns = ( 18.417 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.564 3.998 initialize_audio/twi_controller_n_8 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[4]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.709 18.417 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[4]/C + clock pessimism 0.568 18.984 + clock uncertainty -0.094 18.890 + SLICE_X159Y110 FDRE (Setup_fdre_C_CE) -0.205 18.685 initialize_audio/initA_reg[4] + ------------------------------------------------------------------- + required time 18.685 + arrival time -3.998 + ------------------------------------------------------------------- + slack 14.687 + +Slack (MET) : 14.687ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[5]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.989ns (logic 0.952ns (19.081%) route 4.037ns (80.919%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.583ns = ( 18.417 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.564 3.998 initialize_audio/twi_controller_n_8 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[5]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.709 18.417 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[5]/C + clock pessimism 0.568 18.984 + clock uncertainty -0.094 18.890 + SLICE_X159Y110 FDRE (Setup_fdre_C_CE) -0.205 18.685 initialize_audio/initA_reg[5] + ------------------------------------------------------------------- + required time 18.685 + arrival time -3.998 + ------------------------------------------------------------------- + slack 14.687 + +Slack (MET) : 14.687ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[14]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[6]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.989ns (logic 0.952ns (19.081%) route 4.037ns (80.919%)) + Logic Levels: 4 (LUT4=2 LUT5=2) + Clock Path Skew: -0.025ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.583ns = ( 18.417 - 20.000 ) + Source Clock Delay (SCD): -0.991ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.828 -0.991 initialize_audio/clk_out4 + SLICE_X157Y110 FDSE r initialize_audio/delaycnt_reg[14]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y110 FDSE (Prop_fdse_C_Q) 0.456 -0.535 f initialize_audio/delaycnt_reg[14]/Q + net (fo=3, routed) 0.830 0.295 initialize_audio/delaycnt_reg_n_0_[14] + SLICE_X156Y109 LUT4 (Prop_lut4_I1_O) 0.124 0.419 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.086 initialize_audio/initA[6]_i_15_n_0 + SLICE_X156Y109 LUT5 (Prop_lut5_I4_O) 0.124 1.210 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.953 2.163 initialize_audio/initA[6]_i_11_n_0 + SLICE_X156Y112 LUT4 (Prop_lut4_I3_O) 0.124 2.287 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 1.023 3.310 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y112 LUT5 (Prop_lut5_I1_O) 0.124 3.434 r initialize_audio/twi_controller/initA[6]_i_2/O + net (fo=7, routed) 0.564 3.998 initialize_audio/twi_controller_n_8 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[6]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.709 18.417 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initA_reg[6]/C + clock pessimism 0.568 18.984 + clock uncertainty -0.094 18.890 + SLICE_X159Y110 FDRE (Setup_fdre_C_CE) -0.205 18.685 initialize_audio/initA_reg[6] + ------------------------------------------------------------------- + required time 18.685 + arrival time -3.998 + ------------------------------------------------------------------- + slack 14.687 + +Slack (MET) : 15.160ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[4]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.523ns (logic 1.014ns (22.420%) route 3.509ns (77.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[4]/Q + net (fo=3, routed) 0.738 0.257 initialize_audio/twi_controller/sclCnt[4] + SLICE_X162Y119 LUT6 (Prop_lut6_I4_O) 0.124 0.381 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.506 0.887 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X163Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.011 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 0.764 1.775 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X162Y116 LUT6 (Prop_lut6_I3_O) 0.124 1.899 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.960 2.859 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y115 LUT2 (Prop_lut2_I1_O) 0.124 2.983 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.541 3.524 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[2] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.524 + ------------------------------------------------------------------- + slack 15.160 + +Slack (MET) : 15.160ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[4]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.523ns (logic 1.014ns (22.420%) route 3.509ns (77.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[4]/Q + net (fo=3, routed) 0.738 0.257 initialize_audio/twi_controller/sclCnt[4] + SLICE_X162Y119 LUT6 (Prop_lut6_I4_O) 0.124 0.381 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.506 0.887 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X163Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.011 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 0.764 1.775 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X162Y116 LUT6 (Prop_lut6_I3_O) 0.124 1.899 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.960 2.859 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y115 LUT2 (Prop_lut2_I1_O) 0.124 2.983 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.541 3.524 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[3] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.524 + ------------------------------------------------------------------- + slack 15.160 + +Slack (MET) : 15.160ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[4]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[4]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.523ns (logic 1.014ns (22.420%) route 3.509ns (77.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[4]/Q + net (fo=3, routed) 0.738 0.257 initialize_audio/twi_controller/sclCnt[4] + SLICE_X162Y119 LUT6 (Prop_lut6_I4_O) 0.124 0.381 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.506 0.887 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X163Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.011 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 0.764 1.775 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X162Y116 LUT6 (Prop_lut6_I3_O) 0.124 1.899 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.960 2.859 initialize_audio/twi_controller/dataByte0 + SLICE_X160Y115 LUT2 (Prop_lut2_I1_O) 0.124 2.983 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.541 3.524 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[4] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.524 + ------------------------------------------------------------------- + slack 15.160 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.151ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[5]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.285ns (logic 0.186ns (65.373%) route 0.099ns (34.627%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y119 FDSE (Prop_fdse_C_Q) 0.141 -0.519 r initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.099 -0.420 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y119 LUT6 (Prop_lut6_I2_O) 0.045 -0.375 r initialize_audio/twi_controller/sclCnt[5]_i_1/O + net (fo=1, routed) 0.000 -0.375 initialize_audio/twi_controller/sclCnt01_in[5] + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDRE r initialize_audio/twi_controller/sclCnt_reg[5]/C + clock pessimism 0.256 -0.647 + SLICE_X162Y119 FDRE (Hold_fdre_C_D) 0.121 -0.526 initialize_audio/twi_controller/sclCnt_reg[5] + ------------------------------------------------------------------- + required time 0.526 + arrival time -0.375 + ------------------------------------------------------------------- + slack 0.151 + +Slack (MET) : 0.179ns (arrival time - required time) + Source: initialize_audio/twi_controller/dataByte_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[2]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.283ns (logic 0.186ns (65.755%) route 0.097ns (34.245%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.898ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.255ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/twi_controller/clk_out4 + SLICE_X161Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y114 FDRE (Prop_fdre_C_Q) 0.141 -0.515 r initialize_audio/twi_controller/dataByte_reg[1]/Q + net (fo=1, routed) 0.097 -0.418 initialize_audio/twi_controller/dataByte[1] + SLICE_X160Y114 LUT4 (Prop_lut4_I3_O) 0.045 -0.373 r initialize_audio/twi_controller/dataByte[2]_i_1/O + net (fo=1, routed) 0.000 -0.373 initialize_audio/twi_controller/p_1_in[2] + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.916 -0.898 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/C + clock pessimism 0.255 -0.643 + SLICE_X160Y114 FDRE (Hold_fdre_C_D) 0.091 -0.552 initialize_audio/twi_controller/dataByte_reg[2] + ------------------------------------------------------------------- + required time 0.552 + arrival time -0.373 + ------------------------------------------------------------------- + slack 0.179 + +Slack (MET) : 0.196ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[1]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[3]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.329ns (logic 0.186ns (56.600%) route 0.143ns (43.400%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y119 FDSE (Prop_fdse_C_Q) 0.141 -0.519 r initialize_audio/twi_controller/sclCnt_reg[1]/Q + net (fo=6, routed) 0.143 -0.376 initialize_audio/twi_controller/sclCnt[1] + SLICE_X162Y119 LUT4 (Prop_lut4_I3_O) 0.045 -0.331 r initialize_audio/twi_controller/sclCnt[3]_i_1/O + net (fo=1, routed) 0.000 -0.331 initialize_audio/twi_controller/sclCnt01_in[3] + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[3]/C + clock pessimism 0.256 -0.647 + SLICE_X162Y119 FDSE (Hold_fdse_C_D) 0.120 -0.527 initialize_audio/twi_controller/sclCnt_reg[3] + ------------------------------------------------------------------- + required time 0.527 + arrival time -0.331 + ------------------------------------------------------------------- + slack 0.196 + +Slack (MET) : 0.199ns (arrival time - required time) + Source: initialize_audio/twi_controller/sclCnt_reg[1]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/sclCnt_reg[4]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.333ns (logic 0.186ns (55.919%) route 0.147ns (44.081%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.903ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.256ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.640 -0.660 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y119 FDSE (Prop_fdse_C_Q) 0.141 -0.519 r initialize_audio/twi_controller/sclCnt_reg[1]/Q + net (fo=6, routed) 0.147 -0.372 initialize_audio/twi_controller/sclCnt[1] + SLICE_X162Y119 LUT5 (Prop_lut5_I1_O) 0.045 -0.327 r initialize_audio/twi_controller/sclCnt[4]_i_1/O + net (fo=1, routed) 0.000 -0.327 initialize_audio/twi_controller/sclCnt[4]_i_1_n_0 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.911 -0.903 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[4]/C + clock pessimism 0.256 -0.647 + SLICE_X162Y119 FDSE (Hold_fdse_C_D) 0.121 -0.526 initialize_audio/twi_controller/sclCnt_reg[4] + ------------------------------------------------------------------- + required time 0.526 + arrival time -0.327 + ------------------------------------------------------------------- + slack 0.199 + +Slack (MET) : 0.203ns (arrival time - required time) + Source: initialize_audio/initWord_reg[16]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[0]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.311ns (logic 0.186ns (59.758%) route 0.125ns (40.242%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.897ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/initWord_reg[16]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y113 FDRE (Prop_fdre_C_Q) 0.141 -0.515 r initialize_audio/initWord_reg[16]/Q + net (fo=2, routed) 0.125 -0.390 initialize_audio/data1[0] + SLICE_X160Y112 LUT6 (Prop_lut6_I0_O) 0.045 -0.345 r initialize_audio/data_i[0]_i_1/O + net (fo=1, routed) 0.000 -0.345 initialize_audio/data_i[0]_i_1_n_0 + SLICE_X160Y112 FDRE r initialize_audio/data_i_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.917 -0.897 initialize_audio/clk_out4 + SLICE_X160Y112 FDRE r initialize_audio/data_i_reg[0]/C + clock pessimism 0.257 -0.640 + SLICE_X160Y112 FDRE (Hold_fdre_C_D) 0.092 -0.548 initialize_audio/data_i_reg[0] + ------------------------------------------------------------------- + required time 0.548 + arrival time -0.345 + ------------------------------------------------------------------- + slack 0.203 + +Slack (MET) : 0.217ns (arrival time - required time) + Source: initialize_audio/initWord_reg[12]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[4]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.324ns (logic 0.186ns (57.377%) route 0.138ns (42.623%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.016ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X161Y110 FDRE r initialize_audio/initWord_reg[12]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y110 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initWord_reg[12]/Q + net (fo=1, routed) 0.138 -0.375 initialize_audio/data2[4] + SLICE_X161Y111 LUT6 (Prop_lut6_I3_O) 0.045 -0.330 r initialize_audio/data_i[4]_i_1/O + net (fo=1, routed) 0.000 -0.330 initialize_audio/data_i[4]_i_1_n_0 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[4]/C + clock pessimism 0.257 -0.638 + SLICE_X161Y111 FDRE (Hold_fdre_C_D) 0.091 -0.547 initialize_audio/data_i_reg[4] + ------------------------------------------------------------------- + required time 0.547 + arrival time -0.330 + ------------------------------------------------------------------- + slack 0.217 + +Slack (MET) : 0.227ns (arrival time - required time) + Source: initialize_audio/twi_controller/busFreeCnt_reg[4]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busFreeCnt_reg[4]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.319ns (logic 0.186ns (58.245%) route 0.133ns (41.755%)) + Logic Levels: 1 (LUT5=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.899ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.243ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y115 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y115 FDSE (Prop_fdse_C_Q) 0.141 -0.515 r initialize_audio/twi_controller/busFreeCnt_reg[4]/Q + net (fo=3, routed) 0.133 -0.381 initialize_audio/twi_controller/sel0[4] + SLICE_X163Y115 LUT5 (Prop_lut5_I0_O) 0.045 -0.336 r initialize_audio/twi_controller/busFreeCnt[4]_i_1/O + net (fo=1, routed) 0.000 -0.336 initialize_audio/twi_controller/busFreeCnt00_in[4] + SLICE_X163Y115 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.915 -0.899 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y115 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[4]/C + clock pessimism 0.243 -0.656 + SLICE_X163Y115 FDSE (Hold_fdse_C_D) 0.092 -0.564 initialize_audio/twi_controller/busFreeCnt_reg[4] + ------------------------------------------------------------------- + required time 0.564 + arrival time -0.336 + ------------------------------------------------------------------- + slack 0.227 + +Slack (MET) : 0.230ns (arrival time - required time) + Source: initialize_audio/initWord_reg[23]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[7]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.335ns (logic 0.186ns (55.501%) route 0.149ns (44.499%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X160Y111 FDRE r initialize_audio/initWord_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y111 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initWord_reg[23]/Q + net (fo=2, routed) 0.149 -0.364 initialize_audio/data1[7] + SLICE_X161Y111 LUT6 (Prop_lut6_I5_O) 0.045 -0.319 r initialize_audio/data_i[7]_i_1/O + net (fo=1, routed) 0.000 -0.319 initialize_audio/data_i[7]_i_1_n_0 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[7]/C + clock pessimism 0.254 -0.641 + SLICE_X161Y111 FDRE (Hold_fdre_C_D) 0.092 -0.549 initialize_audio/data_i_reg[7] + ------------------------------------------------------------------- + required time 0.549 + arrival time -0.319 + ------------------------------------------------------------------- + slack 0.230 + +Slack (MET) : 0.234ns (arrival time - required time) + Source: initialize_audio/twi_controller/busFreeCnt_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busFreeCnt_reg[5]/D + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.340ns (logic 0.186ns (54.703%) route 0.154ns (45.297%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.014ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.899ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y114 FDRE r initialize_audio/twi_controller/busFreeCnt_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y114 FDRE (Prop_fdre_C_Q) 0.141 -0.515 r initialize_audio/twi_controller/busFreeCnt_reg[1]/Q + net (fo=6, routed) 0.154 -0.361 initialize_audio/twi_controller/sel0[1] + SLICE_X163Y115 LUT6 (Prop_lut6_I4_O) 0.045 -0.316 r initialize_audio/twi_controller/busFreeCnt[5]_i_1/O + net (fo=1, routed) 0.000 -0.316 initialize_audio/twi_controller/busFreeCnt00_in[5] + SLICE_X163Y115 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.915 -0.899 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y115 FDSE r initialize_audio/twi_controller/busFreeCnt_reg[5]/C + clock pessimism 0.257 -0.642 + SLICE_X163Y115 FDSE (Hold_fdse_C_D) 0.092 -0.550 initialize_audio/twi_controller/busFreeCnt_reg[5] + ------------------------------------------------------------------- + required time 0.550 + arrival time -0.316 + ------------------------------------------------------------------- + slack 0.234 + +Slack (MET) : 0.235ns (arrival time - required time) + Source: initialize_audio/state_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.344ns (logic 0.186ns (53.994%) route 0.158ns (46.006%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.017ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.655ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.645 -0.655 initialize_audio/clk_out4 + SLICE_X161Y112 FDRE r initialize_audio/state_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y112 FDRE (Prop_fdre_C_Q) 0.141 -0.514 f initialize_audio/state_reg[3]/Q + net (fo=15, routed) 0.158 -0.355 initialize_audio/state_reg_n_0_[3] + SLICE_X161Y111 LUT6 (Prop_lut6_I1_O) 0.045 -0.310 r initialize_audio/data_i[1]_i_1/O + net (fo=1, routed) 0.000 -0.310 initialize_audio/data_i[1]_i_1_n_0 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X161Y111 FDRE r initialize_audio/data_i_reg[1]/C + clock pessimism 0.257 -0.638 + SLICE_X161Y111 FDRE (Hold_fdre_C_D) 0.092 -0.546 initialize_audio/data_i_reg[1] + ------------------------------------------------------------------- + required time 0.546 + arrival time -0.310 + ------------------------------------------------------------------- + slack 0.235 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out4_clk_wiz_0 +Waveform(ns): { 0.000 10.000 } +Period(ns): 20.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT3 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 20.000 17.845 BUFGCTRL_X0Y2 clk_1/inst/clkout4_buf/I +Min Period n/a MMCME2_ADV/CLKOUT3 n/a 1.249 20.000 18.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y112 initialize_audio/data_i_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y111 initialize_audio/data_i_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y112 initialize_audio/data_i_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y112 initialize_audio/data_i_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y111 initialize_audio/data_i_reg[4]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y112 initialize_audio/data_i_reg[5]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y113 initialize_audio/data_i_reg[6]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X161Y111 initialize_audio/data_i_reg[7]/C +Max Period n/a MMCME2_ADV/CLKOUT3 n/a 213.360 20.000 193.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[2]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[3]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[3]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[4]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[4]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[3]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y112 initialize_audio/data_i_reg[3]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[4]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X161Y111 initialize_audio/data_i_reg[4]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clkfbout_clk_wiz_0 + To Clock: clkfbout_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 7.845ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clkfbout_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKFBOUT } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y3 clk_1/inst/clkf_buf/I +Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT + + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..a4473f15bd6b0d7ac1fb4417a02cb03f9e4e1a19 GIT binary patch literal 284673 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jWnf_70;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2gxy^`N<DlY>A1H%I$ zh7H0!oUM`vEjjG2N`izI$TNJ9@8LYE`tQHJ!&Ehp&;xCT4LWC8?A@Ujn&=q|En$jc z&&|w>&rPgIVlB=|OwP{WDqyTV^~v*NE90q8o`oHZ%o7;d7BOyMEJ`iNFDi*I0sAMu z7~&xX-Q2{A_=3cejA8{t0|ni*%$!t(#L|?^{D7kTWHiO`Mfs&AsVRCz1tki)1xW<e z6(lL>78O(wR0mR{Tb@{y7oVROUzVAllUS0OpSOaMVFM$>4n~Fpj0`6j87?p~++bvU zz{v1{k#PnS;{qne6-*2pm>702F&$uHIKjklfr;S;6XOFW#urQsAD9?_FflYRGjuRB zPGDw~isWL_H56iyf`ygBe;8oYU^QT{V0U=I?!gejn81+1P{2^Z(7?#h!N@RykzocS z!vaQz6^x8vr!c~t!U%Q>;|oScrOV7*@?2~|;J_6Ug+@O#xhOz{xrDjcTvCfO)ANKl z;bF_=!^IMiSX3h9;*wgHnVf2Co@iuXP@<p^keHmEn4W4|oRn^2VWOZAT#%ZYVykPY zpb-${?-J@9;_2_FV5nzgtYBndXrXIhrfX=d$rZxI9+p~EoSB~|<QbM(mY9;SP-bLc zWTI!NpkZdBo0M6ish|)XuHaOfnUkVmYG7_=X=$dApQjL>nxf#FSgBxSq+n=aWo%|; zV5#8i5~2Xo%%#A^;*waBDkK3>RivO`s$ghnWnyV%WCBuQ$|c6d1M!+da0y5yTM#&n zaP48_Vt3BZ%}vZp5gK}FPwN&Fmkk%EvyZo-fq}0_lpw?BuYdplS9SpBO$LTY2Sreh z1Yr#Z0|qMr5DSdK>VzG*T)BjjbF$;}OG^ynL5%Xus(1r@#xNQ%;Wfq>*_ewCMWI(i z93=M(6y5v(UmeLcOen^H)wv+M#st|Iuw#v3p7KO8h8e{eusUU!F=<Kpr6m}~U=14< zOk;!{j27~8@g--ZCTBx(OHe_D5QEYdE-sF|{P^UY{N!w@`k>VG%;J*NB7L94lH?49 zg3P>Ph4Rdj428UW1+Wr@l%mYC)I5cxN`<2Q{1T{OL1vznLQZO0iCbooep+TxXkKPX zyrF(_eqKpYeh!r97VI0JpO>1EUlJc26kkx3TAZ3!0#3d0MXBl02C@2q1L^?7fp&n= zKs&&ApdDZWazFzkC8@9|Gd+XiWH_)+04KzObpkjk4y+TviE&_^07{M;OqkGvOG$`} zFF8N2xFj(TR3zsoXL~RxiE;@Rl;#wt#+PTNlw?4PR1XFvA1;N`Jh0-T#LT?Zl=#fN zlGLKS#GLrlyp)3c%)F9fDV0!U6$$~MayKo%NFg_|A~Ux%S0N=eC$Un4$%9czpNlUq zKRz?BptK|&EGi`#kY7}SD5k+uNE!^e`1A5X6(T~16snH=(vpJG5+p4`Tzt8uIVG6| zIjL}8h;Rv{r{<*=C6=V7Km?0D7?e1<Sab683qVY9F5!a2qLR$S9AwvdFepiIiNGWv zHbLC&!Jx#?#hnAHXX2q|81Pu_mf{iw*HM{y=?buViAx+V1P(`dpmRyVB``vsOA{_f zDEN`gM-5vp3Ah<(VThy!HK@2G;abqc3tIbvd<OO~mkeAfEP%j~2MHf8Sqxd^;Nud3 zOCyD$&?X)%;U_eck!v!eLP$nxQK~{>QL2KeLJ_Q@2WzH#MX#XY;py(7DKMFFaJdas z^bS_Hfy(5;>NZeeJy_iaD#el9Hj9yK3ia{=HJTeR$4y}r8eEP;&JBakamd+W&^Zn{ zKMXp@A!mpwj1opdVMw(ZY6UA~$z_UEZy4aNH&FHI37ASS2qogHpM@N`YzZ5kk(r#K zke8a8qJUJvD}bv$0b2<Mp^J<p)bm1~T&_q~8X7?Ay^@T?5^%Mc2kOv*T6K_yngT`( zOb?b-U4_EAf{~0O*(8OO(o}_#d<9srK|0cU0>KhoLOU3d;sdooBIL|vk7TW(fdaV2 zpa2?T#M%T@C@x4%&P>ZpO@X`JUMP$!2+0t_W+auCD3lhb7Aa&T7Aqtwq$TDQrz(I3 zFCoqcg;t54K#&BN&@3k0O(r2*E=we9P0<_;Y1}|sp;%mPDHOovgQVR6pLSv#EZ`%Q z&6Q4yiQs{dL<Pu5E+oPfQc}}EZF<m%US^3xevv{!QGP*UdSVHvl~<BclwX>jp^#Z3 zkS@V06pj?FxhU;xAuBF36qn#^p274%tS{CRFq2>q%EHs=6!PYBM==`SDuo)MfS&yG zQj<Xg<|X+Gi4Y|U#rdU0$*Fn*?h*__?&z&pA!9Cm6bq8`bCWXjz+=gYISSyGu0m#> zLP<udLJD{^R!=}*f<Y(=fAd$!fy)NPSd4@YZ8Jl20JtxNlx_rUBp8I^2sEOFthvll zEJ4agFynEgO968U2B9<@t#BVM4-{k3f&|p(!4@zIuml5Xl!JXN;32^vrN+e|#303# zSFFVB>rth_Y{1|o3@!tqGX)BeNd~7*77j)s0WOx{ocwYj=E&4yDG&h?aZ4;NL5N5x zbIG{oB_`#hD)@pDqJndNQC@11f+KYL1fo)&O9HAWAhozSGdHt1S0N}hH@_?~2cp23 zO9n$mAt<#tKc^HllOQDQmzr3VT3n(48j;S=Q}D}ANtIILQh=K33F^cuxPZF03NEQ> ziKRIu3eKQ$NFgS_d?^JkDX6*t&`@ECg1&-tPHJM2f@4u~F<6B(m#9l-G1wPwIiO)5 zh*=OxF)jhm^t}9{R0U6ce}w?hObb|(9+w7W_*20(u_&if!6&gaFF8XYu|&Zszo;m` z9F%XtgP*AobF{fsAQB28nYpRpD#16g0%DVbYejNu0m!SxkN}b668B9lN>2r;1sQ}c zuf`?j3F`do2Kxko0;M1|uNa(Bgm|3u^NLf8$`VU5%Tkq`xTK+ubk5I9%S<mV0;__~ zYoI3ukz}wUSiuBI3qfBPxt=nD(+vZB&|DKdR?fh{U<hMa!WfP)h9``X3YsX(U@V03 zYe5X~3{4N`1V)CrjG$Sw1&oX<89@>tycNs>PaXAeo?v9S$_Sc8yTQo#5G(;w@&YuG zbe82OT%eH&Bn-kGObip4VDm%&8D}7|7a*}$AhAC%G5la+uwZmx^<W5Kh+s%yi24-D z)x-v#1V9@v7wTl>;_}VRQwT^c%FIs@s^&d&l+8%A{EW7d>^dnCLnTQLMj=tqoPnW! zW?pfLesWHBT6|Jzn!cwNbDn;pkry^oMHv_r9A!bymt|mB;Gm?+!6>ANWJqpqa&CNL zN?APENM|2!Hz)tl5TR+PZfR%a^$Qn+I>uw=Y6Bw8p}xbWqB^V%!(l2Mj6w?dJ?QC2 zb$3aD-IZ7Yi@Y{QUN*Ob#*o;Xy8oK7(g3*&9FYijwKMYSL`RuG-1S*J)nuR|lIxQ^ zIJtrb{*Y$Egt}4Vw-!AU$Z#+UNgzdUa!xjQE)6Lkcw#dcJs%K^4*$>)1EDFXu4yGb zwSkO*q&6x#s{z$nMZDSbq@bBIzM#`UNsxn4h&QJwIVao25HxqC?>vxBFrtwYT2Mow z3_TP?IT(cm!J&|xR|0ZFv_UKlLV|Q>7!D3+7!3|*7}LTT&8TUk6g_Q-fZCzp9=nM} zwnr5xb<iMb^rE_=9^Dmk9E?Iz;FTZonR)r8CHhXzKHi||7uvW-3S68aDuY&x%7Ca+ zk=kX6QWY1oPky;jF>ltqS%#uzXI2}^#usp6Eyln-2P5hgr6k!6>Z8!a?i`T6E3o++ zR(8_P-`OC$%kkPxZGYE6;=7y|&2Ah8Hr4$Ow;wIsaoIm`{s-3-1L%K<{R8KJa1}Lx z{)gC4W&dkcbFp}2re_Eh^P(j{EbTUGdmhPhwA_cya@zSEcTrEPB9ADa!!4(_&+DOa zK2U|87Re46zz~2GJD@>ADpt3ogaE_=0~i93f@c6j0O9~DhXB`FR;0zVLPaR!T`A~8 zOv)ULLh?vmeT<<hPd`IQTT~OtRP>HMF9(AVV!^Hy$WBBHI0b!_h8A`z<v`jysl5Ff zeukp3ww9q1QI<g}0OEa!u&ozl8>}@=tZm>JC*C%#TxhwL%1f4Y;1o`lb!3GliN52y zzziQtLRx2yIY^}uvd&oa@0U%+kda#KgH*=IgSCh*43fd3YgR3Df(+KKS*7Mgc+Aj0 zG{gwYAXS9h2B>quW3|Ne>Wm;ciF(e$(%CGT%WVP)CGg1OfH;fmnKC>|k=DFpMyaCb zOwf?pYUtoQ_9!*M9;HYIi!v}QfRDc`I1&}5##o~Ck>En8bBK;oWArGcfwKxt7ek!| z9(V*tX=Yv~Xq9DVRcbtVY(qaKu_Q4*6EvoQbp&n@I160ggC|iC8DJ1N3tR~gK4)PY zu^R-TWrAfOaS%AmbZ|P$Y;ZcuoCeOqQWd4l^9N0Wtu~S+x+<c2ajMkE2x%C$G4d9g zDuc>VZ6nCYCvoK{q-jXK5{q&Nf!kNKaZmv$gvvmZ;oz}hf*}Mh(g#xrK^#QG5F#}V zfoqq+6haUO4WbYNS9F6Zgdh&0VF;0$%fNN+U<x6KgQy%rTK$aBZth?ys;S_j!4yW2 zvJpHOK)u?7qA-HEXfTBlq;woiVFYo}U<xBh`8b%u2;!o_6h@E|axjGv#6?sNBOwb8 z&{|D)0WMk4c_#{tpaU5!7#tX2D={;e3qa?2%wl9%#K^D;=}-}=^GsYq0icbt3gMuQ zvRGHOGFnY!;*x<M@PS4B2t3`xNh~)r53&(P0ldc;y6!uJp@0!|cnV_&BX<WQR|g~G zBu35<PhU?zcU?mZ1l!195+l2hV~DeduHh_3_VC1_yv)3GWEO{GN?B%ceo^HjMp@s? z;$qMuX7Dm}(AEazmGFxg1^x2#birprq^2l<7pyO0lyj}fECz3V01KoRqb~eE2RgF{ zWx4<{bAYr)8GP)8u!AA&@DHRl%1|j!m{bM>mgUKy17sF3GGJYo3_8*V*Ro_roU4)< zW-u|Ju0dvkEIsC8WMJT85W;fih!hqv^8AQ<9rkK=g6ptXvxC=R|Nr0bfMp#vGj<;{ zO<;nY^#jV`V9dCJiE$GXcTr|>s)DWph}wn1`@qEYgNaL82y}*%kTVCPkUf@-k@*Fn z?c<qcsn7#Kf`j6VGcwakV8=axM=;G}qe1na6h($ufDLicVz6RxV(?;!V#sm?O>}`* zvVs`kQ(?f~a4^s_Ffhm~R?r16YR03YbR$og9e53VE92WRY5QLA685QJaxR!$%J@?_ z*M2RSy_Ip*X}$e>!R(_T@%?AP?5kk%E|`1@Cf|a|uVC^om}~_viSGrIQ<*@k?B_Ds zD^}Vq1&gc&lUu>$UM9aJ0lTA2r$V}I&w@p+g2}sJ@+p{n0a_!^*ucyPK9h-I1~bC~ zW`-5a3>%mkb}%y>U}iYM%y5C3@dh))17?O7%nTox8GbM`Hn1>surN$uVVJ?fuz-bO z1q;Il7RDVc3<p>kPO;=@6lH>ohSZewRNa!I%=GlsqSO?H<kXxT1veLGSIEAh)D(rJ zN^HTZPz_2!@Ssmi%*k;ug~mexcy+$5Av9iUH80WEx?3zPpqla#3zq!*3Y*|3Y=V<m z1vOlpT@-W`g2AM7K#=APR>lRa3@ca}cCa#BWd&^+xWUTsf|cPbnEe+_wz7f5d%@&X zFu90rCo>15&|F3?igE-bTLlX71^ak9yT(Ttnwmx$8X8OS1{CEN#HXbsr^Y+S2P!an zv4G+)f+2&Uim|AHk+GK%l)@%3GR|OR1fR$SJsAvqEEvNBMh5VP4hGQX3TF;xO0s>t zd9+ci0)v-OUTTSgMq0kDk&Xi7;4@8-a~c>KdKp3KoUmIuC=4rT>46?r3JmCh13st> zU$87<YvAT!5{gt{@KVtL*Ol0^11K{nfU*+Uqp)xXB?Q8L4d6nZfEVKO^mmGnFpM-b zGErdgVgLmlX(onniE%JPYBa<~nE1>*Lj?veE?C?cfSn4C6XM(%#U;zZC?p9gd=aK* z<{8FkCY7e8>wDsMH#m?Xr@`S!d&T(8_D41wn&3gU#(T#5gA%-_Q>YtqF!^1pup=Ds zX<P~%%tA7#9s&gu;)E{b#B7L}n4cy-B*LClE@GB32=V*+I{UgB#XGu$fnwhXHSP(A z;WS1r@Q^Q(e=ydAfc9EoSR^F}E<r$wQ;onoot%BVJ^c*hoqfDP8Wb44xDakb4riTq zr2~Y+Ih{+9gIP!x$z32OT0M<aYG4UyftT#|ge{8U!afIW2$_Qx;&lpjb9W92@`;Zy zhy-N@q`XBqd`t0~?vG|VG?jv~1}v3=Y)1~gFMqGt5cX6#mp%uxkTwyGF<7W7FnEc; za=D4AC1$d1xG76SXi{1RL+UGMs+7Usxj2|d%U}kfaQx*Y)-t#O<v33I6u`?T-yx!4 zq`UxLdHyRA_9RhO0238}15o-NaSEkR>8mI&LPU8HiMM?8L@OU*wHr}^OZB2RbK={9 zDS8iDCKC~qloq{^<}Y=M-X<0f79mFk1}}a{>$d=QkN~JE12-Q*9b3Zn*bGK4M-C<- zTOukltfLBGKcQD9QoJ7dIVrHtj|-?R2<!ZSo%VR?Y+J%kgEzIndf=^Lye(FH1qLtP zqSV6DOi;JEBr`V^9v+~f1(>CbD_{pPqj$IL6d1gC5{rs5%Mx=y`~DI7e@^(ckFb7n z9Br<^-~~DB8tz!o*aSh#cCayBU}N~e#-IWj9&+Je6mlRpA`K0}Lp#n=l$l}#HidQr zM6>6~&~1RIGJ@A`bbyF%<57cefM_phfM^~g7iC!jlCfwvLe$O3<;uY<<VZozk2f@= z))-MIrNIR)LD1_IvIdD7M+b=*J}@!}jSdnippSTt4ib$H5|u!PpoZTd5ygctq|TyF zSLMg(C=s|29vvkDUABXm-xwVwA~^X+g)#ckQ6ltPE6`U<5IsOo+$a&nWiO-wOr5f~ znM%V%Ga0#@IGBX&$nIl+J%!$;Cp1n}lrfS1<3ykl27*H`LW5+S2sA`N(6R7wqD;s* zks1f1kP>)@BlPqp7tlUO|I!lJ2~N?5pw*vJM5r@~)klo=aA^31hM3tJYEolSko%Qx z*rFh(R1U0TJ}JL5?AJ2B{2OAkm9eK#3%)2Q<#z_zi-OY2`R(R1&EMUOby3jCGCRAY zOnu3l2GOFRAo?x}qONtcAM;@(aZ%7gRt`p?21YI-5<loHdZZ%F60|e~I>DTlQiQbb zC&(2vunSu)LfpEad@fB6W+7F4*)%>c-XI>7R|%|#0Hs%0A;Exi<U4~)or6(G8JC68 zh9<G`nem4Do+e0swgCAVHfaR$AmJ(dMgpM=x5^*gCMiDfnuwgz60>+u6UZtPP?>`q zyl(<6h^RF3xHLGJg;WUn78Jw;LKi+Ufp5K%P&P3M3RIe+dl@qBg`9%G0gTVGMQo?J zMi)noj(g?XVp+2@I_@<(?nUcyuLLd$4rU<{<ieHcPS#vwB_gKci7HM(jaa1O6kI=( zRh%9g9l;tM!NO9Oj*eiBj$rANF?&GVIwwj>(XgO&YL%j(O^!&VD6GZL;^snPqx1<g zp(RV8Za3kMN;Q`X2a^!w5_X&e7$&jkZiWvwfqQ1qMM)4ZfEO6`ANoo}zk!_fJ$M!+ zfrd6<J|<^T(nY?L^jnk!8XF<#*d1()kVQ!zkijM;4n`q4<d%R-d{DeUVx%cn-&qQL zw+D(E^Z_XgnvF9BCsf16nRff}V;yI@y%RCc#B6{VXS%(U{Bb6e+l0rNbe0v5jx$kb zuiM}oXIc#!XR6^MoIQ{h4w->>A5m?HDTzylgIP!dIpbyKL5{u)iZ(>qGKQt`14^B+ z(g63UQab)|k7x_%ppp@yxHdB&XHcnukqd7z0<#HeObKEU%9xT7C1XmtT<RRmct+45 zex=%wQWky>gE#$x%1taGOM2yfd~`$!+yxq4Nj18X%F!hZdBAyeyB&DbAm}11GWtTq zZMUPOFQisV%!pDt5mhnLoIIo|CTle5;OJ-)xF{W64>h_T3Vs;E=&m`k*P_yXH0l0i zVn&mQY>y%rqOg`e>Fv?SR2odG;!@^d5|T&m17WO&u|OJ2g7z6;8{BY=B{gL2q5oJC zX!ruwAtPrj>F)Y#^czb8jeihyEPO1<pQy1U&`2dQi<S&&HkhOzRRtSNa-BfG!6b7c z29sv?=8q004cEb>^+XLOnZ)W3&|s1Yp}{0j>Vy>sxCfI6E>SY1)@TypB}!1MXgHii zaETJc2~-<TB5F<@RCbOIC?QT-0v${=dVUil(t%}^A0INhL}_%1(qLYqM5O^GP;(Wj zC<V{$kTsw*x;%+-bU+Eq4BhDRq|xO`gL8QjaRW-kHb|*6qD0r_Nrog3DVfIYqW_Q* zXb=O|EhA@0>Alf)`VA?8MnMQV7CxkON)UXcwgd;GkVr~WX-P?bUa`Js7<kqkd``9` z2cwWETqeJ?1SW;H;mwpv8{RfGPlSyf?Nr9T;f)pxk!-I?5!~=*sd#d9>}a@-9ld7Z zU=%9i!j%z_M~sXk4UJ5xw)`lTOPqsQNEn{Q3gQjoGxLn%GxLylTp42?lnP3Yu#C=t zb3a=&mlOx1kQm(f{L+$WBk1^$F;ctR2;?bJx3HCPVH6KA!;wdXz-A*B7@5X<8p9VD znIf+tAa2uIB9}A=vyeCzFHvm}C=SzO@Ny4JppiatvukuA5xBE5x{zpeA<^hUA_>sG zFvMso?H3Zoa*1*<3kkxDP>}r~TM3@dP28YQEY5lqxsw8}N68xM*)+O#2wZxOt{oa( zJ2bj>sJKKwIVT%be1Wf0AY=6<achUjE;NZ7=fTtFL@qVKjcKw<&FfTJER@G3&cTG# zV?hiGpf`;N<z_R`umY^#M9yeV$ely<8_fZYOAvJI4mM`UW;1GBkY*Gc4cakI*#&9F zU_%f?L{zyTZQJg2*w9czzC6}FYLE-kRR4j_LZa3MX+qvwc5|8fEwG=3H21x_-BG3t zgZe=<G&Fian!%vEAPthOkcN>=jUo*VP2j^wxGzWpr7&0#fP0*$6LcvV1s9|l#l{;& z8>1{x!g4_xD4i2_3#B(iKuZrqZDMK+3M)vZ=ZtiU6cJ|tjb4xjnH3%#Xc--7869Y$ z`#{T(y&w%zUm<loAoUelW$@?)X^=8_bhu`8xMp;?hF-%pG$?wBJNlYB&0plAm;B~0 z;S1709b3XpdP*-y12^f>8}#tuA#mphdKM9+eGfj1NVM63{)>G;LkqC*AZK`}Z0Ae* z4G)3FCI~tfe(-fLWd9iW!e5lFe(^rYXAv2K4kIGs;9t<G8d?li3{DJQ3{g})_4@hb z>9CQWuou`D0i84hokrx-D{i}$vFe>B{M74{X5^nnWX-Q_H<!u1sUOd&*IY>t2hm6m zb&sLG#FC>?l!@o2GwND5_)a6*2--ka3%QULe+EGs$N}$#Mci}`-U|!8=^k>f8stD| zVsE-n=91-L7Lr7^9(Ej&PqZPy^N2v{6ILSN9xWocg$!~?kG`iNQke}pITU{I8^lxK z0T{ejQ4-!l2DJ)l!H_A~Cd7gvvv^NK_<|ub(iaR7+(HI%0@YRz5w&6$RC;0wT+){k zofut81fC2Z9pM@s;Tj#`qWcIJC1(<?-$u-tL>a_X$VlBKNQDd;sY0%S!Ic&M8v{o7 zkd2O1VY@D1bPw6+9<sr?hwP(R2DOg3wuse7Dn%iUaI#8K!h6U-eQd(L6rzr}Mn0AZ z;%4};61Xb{9ae%=1mIz%0XdckG>!rDF*(CZe4cygH>?C22qEZL_#U!P0^mJlDjbYh zjwAQXgCBBj4jNY?s0!3Cf{!XuW!=!P+7{So(gJxQtm}sCzNW+PP)pB7j3(KAO(**f zwQFZUqe-B{+?O(eZbw=RCbxpgy-c9%uZ}YL7=IicO&Tg&${0wzL+uU|2cu9O7r_*7 zj(Q*w=rlM(__`o)8Aicy60Xyj5&04{>=7Srj<WOz%QkvYQiYWXxCfRp340fm!4U%= z;Kn*=REhL~kCUSVAEN^wX`|PB`MMg#j}CkcsezA!mdZr5+KDJkL2W9u!qkwgHv56m zEmh#cbaai*=o+2TH9GWKqeIEyaa9B{BOgSRp{U~?6f{U5QE7u!C6^Kh6WVoApsSz| zZT4v7Q4ffV35|M`?VC^k#WbM)HmuV?&Zx(=h;#HC^#FC)2|AYKQ4jEDDuR7L(4EKB z9rci`Y=Vt?B)$@++o*@cJ3TxH=|Dz3&d9$U9rYOLqaLW&#)Hc+`j2{`Zg|2n>H$is zurh(7Q4dfCM{B5$j(RY7apk3!C}^bR+Zt$s*7bnL=Rt#|gu{GvxyR^okI_+&kvZxC zYEz*VrlX@CloY0;qaLH99;2fkBWlzG?c8<HtRs01QaX-$AYW$=?lBk<8ucj3m`MLo z4^V#_)_^5v)MH!h1^SJ8fI9319Sa}zU{?pP^|0e$6tXJHOwTBB%Pi7Q%Pb1b%PfgE z)Xy(SElMoOEK7y*gM;FWQWH}gQ&Ngji;KZWXo7banQ$-)84#yG60FM{`LZf~4QI!o zphy#269u2p5EENt5M^p>2%^kvjTC%CBizhw4Z*Yp=v-na*!gzxAVzs+RlI>hQD$+f zgQ0<*fq_9@v4XAwNCtd{6o`SO1gfIcF;~{HmVrURv6b;_C!b?4BdD7)6->?rlS>&N zT{~^RmT|riv+Y*KX8un2o}@?DPJ>sGC^%kayez!h_AcY$3lnUgf_1$GlV2Iv{g<-) z3ud>1SBUh2$*D}%D?vA;zu3=fk8KsnqpA*vqfGPSH#wXItG@~+?}EvvVDbeM!w)8g z24=<%X2uE3j5C-S7ceudU}o6B%(#P@@c=W!31)^1%#1gf86Ge*ykKVdz|8Q2nX!R| zp@W5C0t>?o7KQ~Z3@ca|H?T15U|~4G!f=YvCZVBz`b=<6e)%I7Ay6_vO%JcI34X#R z*u^TVp%D`76=$TNt>EGnXQUZtsBfgHpsxTD2yjI`okm;1IUq=L1}ozNR)!U<JS46% zIj+OOC^UnSi?S?<w4Vul*oql^0Sb6;CUgM`WN#*9>rXG^wThQ^u#yA!*hoE>1qZW` z32~Vnsjz@ty)FzJA27GTJOR1;>pmi8QF|D<yg3+!+=+34bG&b9PDxN|acNFTe7r$? za$-?YWqiD0d}h3%NqoG4z9-TR?cmjh2Be;uyp)m4i-S?fjVjJT-!x@_vS|wA8uzpY zM#f&o?VtY=Q72Af<nrNQ7V@BmJ3&i~kWaJ5GSCJpO$jHaZt4V{B{(V#5M4<0s00NR zc)I}Jy=}`FxjZ=-g<L5NBCu;PW0F6hD8C>+x3nV7Jl@j)y#I|AT(f}&%BmPaPV8lD z7mFk!4^9MS0cMJ`0LY<(Eh<gHQHj1y5h+)K!ijEC33d&>sI-7>Wduj11#(mhHy<D( zTXryVIdL!x*%6cGg5rxaGSf<eQqwbwOHzxX4PxWt4Gk^P*7{*7Sf@tl(WhWFh|i2S zG5|**a&9z>G&BMY=rAC{hj0{9p<qQg2RRPGu0a&6rm)<|;u9Kza(sQ?^mRmJMk*An zaCf5TMl46R9lvZvL|js#U<Ek}oc=wL?iDaKjWjegBejlPLV3XocMWn(f*pg1NfYFY z2uvVptq5F2zWBY8h?t~8u?ll0dPI_4L$)z;xpFWHITBMo;Arg_=m$p|Bi+nHUL3Aw z<Z|O+6mp`-A<q6-Tp}d~t{K5?Gn06bTjRm%6d1kOARR;GsC?jmmWZhAV&rn+U>0(q z#I;n5!xqq4j*LR~6gb9E-`NwXc>_xL=A`Dr<&-BSLw)~f1N5Y1E+vc{fzF=sAVt_? z??-SlePYirJ}({=LPD?(2bLRGUO8D4QIwM3-!L_YbvQu9f;qTaL@!G%NsUGFt3@o% zK`%@#i78Cm#by!_hvZj_nC_%nPHZQ;5JPg5esDDMMQ7mRl;mh!MHQF$M;oE%MoTGC z<lG2yYdlC9_Wby;N`#0kP|Ib`!7OA<YWWpyiLwa=OJgQj&5{V~n?Y@4M&jDY&hd#U zDbNl-xJHSOH`I6WM2#`CNJB%CV{92Cmm>$GkS%ecfW;MPbsyLfsC6IMo$(-b*kkLS ziW?EZRm7#k!7QXfggY?~puti|o@P)W!p=%A3l2tNM};Dz%|SO-8G#E#<bHxVIq@=y zk;|Nek+{?u6pw5-a_I-Q8d3V0A$JN;j;P^SGlz)Mub#`2gIUOwh&TvFaT9t;hb2NP ztHo&)A%@@xLGF8-M;aO-^}RujX~G>hvLXa*H(G=kAq{_-z&hHXUK&c<p19$!GA;uS zW+5FSA_Q__8(OED?Dl&l7g=*7NNqh>9I&{#AdlCA;{pHa7r#e0)PeVa456iEofq~H zk+~_qjNbCvTq5jAg`Cs^nmU2rW|f<poEx8*QWl??S6rg+?Bng}XDGz)>+1~Nv=$#> z7zvtohK}?QS71zIgzPfKXODkqhyjL0QgYy?4#-idMqp<_Tomu@;|<cF!05$=a2s+j z((mHi1B8dC)43Emn1y7K+y!Ey&LR;Q2VYV7oQQyq;gaEC6vDOm%TtKgDb&r~IV8v@ zKEfapX(Wxf@GZq_IvMxtESr6Vh@njy9N0SX^nD^ivzgM_Gvs44Kxegr2E0fwexFmY z1y8||aOw=;;^klv;&k@$HZ(Bs^@tMU^7MBCWk5qC6VzTMWOAFZi6LBK9Lz%CsZwZu z2c`GSJWz@we`W7bS&SxmYBLdui}JEpdFK=&>`9`m>}_CV0JjkEPIwJK!5h%~l|BV; zSKl2XLOBv|(TJ<yB`R>KUg{EeS2_(!-L1=R5)qW-wRDk-TJl@EJ6Skbgd7zZy!exI z@{_X_3Q~)UGjlVGb3t`JcoPC>#SP&C&{=`OOAum2X<l+_QAuKEUP&d~kjuiW_YyXw zi;>HSgGoq_h`vT}P&{ls6ncwaiZ3{|q_iMDEd{({1A6Qcqz3@@(bms@Z3sIC-WUVx zfzQh0Ef(w*7`%9kQVUBni&9e*N-}d(;UNH8Dh0EYoTYF9-aH2h>nF$2put|&;+(|f zY`9}VYpn=cwu8+Na@iW+04;?xLtYAJhO!jS7_tV=#MTJ36pq#_;@*i#!&b!c_0exd zoPg3~ySYrD{E2%-+^<b*M_0sAZHM9Lia5|D_UMYZ(G_u{E8-aOwgg63#9=*-3f_#u zH~uO_g*7;!g{qJ>ILM>&pyTwBM&(CW#Eq_q!`P^SYb_9bfgbW)%jk+Y)Lkxk0uPcK z(N}SR1_((S@*Z6gNA`@`=!&?YNR$cC(G_tKhTugwpdkQ~a^dKTxO~)o(xWTlkgG+| zD$CIoafr>IsMX@=ia6rd93h9==!!VdMm+esJkUxvq;c=j6>;DNSfeZAkXPvuu_A7o z&J!Y*<dL{U(G0Xi5xgqRlkO3Mup7BLLR+F}jJ!nA7&Pet+sI3!6>(-Lt9i+8zmqXf z2$~{6Dks1J0XY>JG9L!<IC#Dr--yg2w$T-Fko%xUSHxi)wl=yVZgfRliD7(FX&N~f zLyfM8V<e*Z9bFLzDSk&+#Gwv5jIM~I$8~(8E8++pZv|TI3R>N*z~ChU+c#=rYKeJL z4b4`>nW5g<M}A9p$ghYqL*Cd34hMoO;_`%;iCC6S&f+pWE8;*)r3fxE6S7la@Zw1< zD#|QN%t2jI_xB4Y{Z_<*)>;vCEPO@WQf=_zaJC$bLY6tHX=I)aXUxGUq)(J~*iuM+ z7x>Znwy^bZW}p>tMv#SY@MUq}6XIySEY4a)3briHYX&dYgW_rmuG+6<bkM)MXDg$- z0pg&znu4oj9~8&nJk@S4)5mFoSPzPuIdiJRQKmb6^G27&4VPtc{3IR}ch8iAQD{0N z7e(0<>6|zd%Sb~*bE>V1tAp$rCo1EUc1#@KiasKiqjxfLd2lcaxe(z1<PrM#cq2>X zQyYvdP|k=m0gX|UJkPj@k;|QfQOKEcw;+uNLY#uKWtpM_;`%{{^e_v#QtU|d5nHlH z3aJ!@h8FtBL%5J|Lu`;DZu!&_>O`TTg+68!5_K}%mJ?+}402N`5)CZ|T_hR~qDVBv z8;KUMfqBd`;VieDAfkoZ4mxRqS;&@%oQUg4IMj<!up9{&^1z;mLbMfhj1r@e0}(Dk zEkt2QZDz(B8lXlTs3~tjYVMl{Jwb^wcOd6Hurm;arztGXK*tN990E7{$O0nrT{q}# zC1xQ<iX4cZ=S(m=o=KY?5aAlwfm4*Y2DRD)1sBODrp$$&+d`2u&?60LL56X>C!)qf znE@m2yf@e(VU)NJJ<hOHb_ac&h=?=TAvfeY26V^`@+oiNYL4V6TR~xz>4Q&hL(J7d zTp=Y6-lq?q_cw`m@r*W(#dpM8^MO-D1Xvp*mjeg0kPW#3MnudJx6Yf=m;+7vBcJXD z3NzAAU0FtHQ5|o9cDNhF9V8s?*6El)M7(u^%5r8Qd$Qv#-T?h<H!LShh0P=8MqaY( zH~8YG%y?sr`i=C+BfEY>aR*vaiF|yH5pw-zguGb5j%zv*kw$j?hU~zB&v7u<pdI06 z0^4~^((2I_poGjwMnZ<W0==*VI|KDdF!1?pV0GA!1Uq|%hlq9@2`x(0b{x#9REs*Y zn<j9V#2cWTg$a&3&;b=B#T{(rFUf@vvNJ%(Tq0F<px84cp|~XOEX*1%GY)1UBN82o zc6=3<0@AbK3laIAq`D2`%sbGrmuUSq3vx~rn*-_)F%s1w!gBr}#1+VeBiIp$#y{@D zk+`ESNvhGX2NKotS0kuZ#7JbT2-7WSM+KXJLkiJbBksH**hz^*rCBU)02g;i84K(P z^kNZz6H>VO01>IXm66MygIUO$Oovh}(&{0%eURr2)T4pHF-CH6xQL=u13DEL`9xHR z8?cmwhOyWyF~@|ZM8sABmlg-JkQ(7o@<Cf>ZHn2C&ekv@qS`El9NtIRM$kDP&_gZt zJ(0I+8j@4o^)YghFlh#}7pZ_V1zU?K;LMN@Pc%br{SkMXWi^*62eXhNN#TH=Ik22$ zsT!?Dn@BK3i3HHZ2I)<5av}kBToAlX7ZC}Ro?uzZrO&}Eq)j*ysC$5A1u1C}ezzA= z=?xABvR3Q<96i5s^!&;+tUK^WSL=?h)}{4o-7+pc4rU=O!UZnT%f`;GBIfwYCJN{D zk&aXYRegq_>1xtXuY5XsdL_8<9bKzCx>gr{mGbE6m4@W*kRa~#O6r%nGQ1av=wy)9 z%0(+^!F>$U3)&q7&#nY50)(yF#C<U*RnD&LWaKjBU=q?LT<L+jywK)8dSicZF4P4r z-h_oBIY(C-z2l(YLS4}6PlAqxFVr2Vqbp5uA6;og*P|=nZ-KATJ<>?OqboPwm`m{J z%HQwSjIPlgE^Bm0FLQ?6{62b_vwkq@L@8{+8`9m<$OE$AK`_Kvpc(SYB{Rr?ENC6- z=w;5C@t|9YQI=GUUgn${nwMD;Z-_U|fr1Tv%pJ>OG~$*tjUHVY;Wm1iGyJSb<mL0G z;KL#jQ^KHP3%vCk??JNU-&zSTSV7bHkh`Uk3s$ge5Ctplb-bgOIgcJ)2`xrRIV=?v zXjCg!M~|)?J-V_IbRjA7a^KOTD{-AL2rv3aFLTCd`ys7EjL(b*-z|+?Esh>tiSI;b zq`*U42@KARB=4FSz08@Bp_g&=GG{TgZ7znfqn9~*k+d$Gth<B37fB<Re&F3|h)%&E zzRY>^o9jfZd?fMcN>iLiSAwUgXc{31yU`+qxZNl;I=a$yz>cm2O_3nx$4o(c1VC4J zkUmW~dUPe^?6lFND;0{+PHi7u3^%$Mj@FCeXmG9Q=w;3f4;UF<K#H}|%bdAnIT(c` zL2D@ycTZ>L8OCQOm8PZZ`y=0O4Lzg?Bopr$@2|k<#p3A{>IRud1MN}jWgNZCxwu3> zH#a#qJ~5>%J~OYlMBmxR+dnkKK#1Sh*V)(ADBjT}40Kz#k(3;`+X7OYY6Mp9?Bng} zXBZFFpup(Gh0uZ=$fL{Q3~9R@js~SJ&5o`##W=bW+}j|%r90%0t~5nEx)Rj&A#j;< z>cqG7zswo5REpptGdxFE{+mR{qbosctq3|6z9MekfE-;3x~BksbS3;MXVjxB>2j6x zmNR0oGb;_J(C^I3n;ZTTJhSrr|LLRa;fBk4xY08!i&Be=iC7IcdS<1wYkY(u=tv<$ zbCi);#0g$zkftSQK?$+z;HY%mq0!(w?r`+X%6LP40t+=zPih@Kvl4YhDq85E?o9_D zV=IL?cy#p4%J_KLa)Z$`D+%N~<bXn78#Q`nWjtz5Lz{~nJ+m^W1fJDK&#cTWB5h4# zUOZ^_PzZK83E2z0Njlfdw=}0DD76@Lbtk-#L_dWMv<?^KG?FHlN6)M*NlnoNHG3OC z3us2qtTY4-m4Qd2b4p8aAImm+W@UsS_}oL1bKK~el_)umxZN<LXI8=wKo~u<66vm4 zygOb-&#YwVWh5?tjh<QAz{uFkIC^F!NsY(RGb<Sx4lpuYU}U(#h_sMo^vp`gf*Kl~ zSy_DaF%b)PNs9!?b?+#9o<Rq9fv3+&$`T}=SqZflX`wE}TEzG~rFYDedS)e+u9zqN zG#}8+En=B2Bp61|tn}i74Kx@ada1<K>7&bZN0;e3x`ctoHXtqLUPix*qsw$@y-b(- z=ckRHSvk5$7rsilcyy62#`0O%Q5X%341~|Yn8wJZ!oet{fV9jRwC)zX>UMOIZkoQQ z5U*3Ho4a#JkWYMsK_vVl1EK=AluL$#QAh$QY?E`cLHD`Sc9Aai%UoKWS&4ePJO!=X zp?+p1^5yc7U?6yA<<`%C>Ayl3w0M)?qE|dCbXPB9rQeyApw*uQ9Xr4)bV1uOajwuc z2Hi0ay<DEwx5`fy5gCXTx)D)Y_JnSgpU^*PbcOEd3SCAaOQKEzM!LKmd>*i2tp4b& z@)+l}psvOny;YvX#hs%obn!0k9KBVZ*o9Q6`OpyUc0%y7SXhUyiV?IFop7IS2_u&~ z2cwWP5v3*GNJL#X2zJWo3f*{Yi_b<^=#H+?wIeyZAuU_hN1pQ<U7?HnY~j%ry2Ms2 zu+%lWLKl6VFxoZA;FZEyE(bNhaXIMdt@7k9_8h%cK7#?gu$s6jYqHnG!;X_gJ4<qO zg)XjxU10%+zIll3?F^$UbVpa{lDrmvbcOEd3f<9L<ssLKB&MW5cX%Zy78PNfQ4YD$ z9WgKhI%A9Qz{uzd-O&}gjJPi>q|pl9zJonfU!e;*)fQ!iuBl<9A<b9lLhVHwt$<jI zSfPu1lN@nJ>5{ramr5t;lD<M0G;@nsp$iFy(G|L*D|8X7^U}B!IGBZGP?!J2gN~2{ z36*D75!^dHdXVnu3f<8ay1_y5SmyN6E;}B*RbGLCg2H$7R{7Ce<>5m%qsQnPj;_#+ z1TUUKJ46@O#~}R>-J!lh7v&6Ha4-zd6}mm|nCQ1c7qt45_!YVdS_}*vj6${?6s!bv zmNMgD6fz=Wu0ANfxHK1>2Mmpnua&peaCQs|iZroBJx13Mv{u&ya>+b=t*)VhZ)k*@ zxh;gYur<`oassve!FvEe3`i%SD6=@#!O%d@z`!7{SV0%O83UUVsESg<O~SCXy4&ip zpQd~2(pCGljAssg-LsX^-Jo;-UhvTeM;T9Dy1M@?BLjni<5kAX!mDlXG9JD#!S*Rw z_AQwF%DC>ol-*x2yOjwvQ`HM5r!u{^(8se@_p)!B!%?O_v4x{+b%)DZUA`Tx3|Cn} zN#F)6!wXi1uVD6HFxkom67L0*Q^DjSwh6`@j6%~HxhTq^LvgL{tkh-puyPFd_+lp` zmj?%<kP8uo559GIMn?LcMu?Fo6VpgTBNH-)!l|)F(8vg7<r3H_h_OIyx5|S?>U$Z9 z8w;e?X}U&Ko7bgM6dIbM4Be6!h16Q+X^JHZ5eKoF$9o!pZ!}>AS7pfir`yFMi5S?X zQY0FhQY{iYsIxxSQs2{%(rmbxk;{#PQOJqHBEb@G6k5c48X}?)dW}3J9||`guq9k@ zwKH-#axe?o65-0A_~MMrw349I^vvRt)S_sE*!XxuL(6E?VR{Q4jKc^#CkYZ!gpwU~ zu+?z!pj+Dw4N$WmXa$%tsU_$_a-$C2DM*tkpySAmj1dJWw%g-DYruOM51v{`MBeKI z)i}&#)HrBvM9+FgnDOTp;6OzDwNoe1P;(w=y{rWy`al6hcrJJWBbO@&qmUyJX&SXM zMhzO2j0biIBKC}7`HsaWG{hLW<Rq^8>jjll%w(+NM|C0*k@t&FgNVq3-4;(lkYQWC zOZuecYRcnI-`PLf80lIZaLzN35(l6430`tw67S*}Z5$g9R;a+}#Rlp2AxC5Lfm1|e z!cImmXAWi|dkTULJqpQQmqzxILU@ug)JMK69vp1&P4SR}RS4%j%8%JK)W@=j*KiOn z;w9#$_@q=VB61(uRUdqDBe?D}*Y`9=YPEpwe<eBo$gcX(oq}BTfn9<qJWb*~jlqo; zP~mBUoa=}?Y?th+56z8K%X(y&o=6VDwxE~f*jr6*9gOS{aH)u%j?fpc8R9KH&z|8S zBH4E_a=CCY3ptQndLp@&YH>(~WxZ(SCn(h$A=)mWRypDFb2+*7A0kXJ3Q({^NGL#w zJ9xL2%bbH*$e6^)i#9YwxgQ%#bJMfn3lUW>N!1<ZlEe7Sc(nbP7LkTVBxg9v*7X{K zU4dLuf*pY<DRHm3Y8RVHL?DsWKEWPHRLfwLoxE$PAB@_l1BVo;xoa7yx64RWZ`U~< zGdwU_mS9Jq7mfHEmh?Dz7t}HVEm5cZ?uL3UI}S!6E21(vMtB*awkJV>MRIva*}7gM z^tL3}4I~tW#BB&G<kIF~7E&i1N<PtsMkvJ}mMd&bqhyJwJ1e-%IT(p5eZiAmAbY`u zji&)p&2AZKXhd?1Ol0IDX`T&kHF7xzwwai6j<^<K8J7VEvycwq2q=g*jL*yiIZ1)R zi}LJIO<GVOrW~<E0_pSaKe;%Vgd!Cfyi_!jbMlk3aZN5Nq^6{&f)7=N^x}v;D}AWk z6Fz$WE5?xP=<3qZ)up4WOKG{f^aU3OGr5JYf+OLHnW0knj;<~pU0pi5y0o}Ne~6y{ zdT!NuBDx#oHgwTe@`HODq&IYTvv9BoIVv!C@k83V1*yfwnYo$8xuCIQ@Tm(Qrx7#7 z?5x1xB?vL1G%q=|s3b8nucQ)g$YtTxdkGiJJ&ar?985w6ggYCc8FR!sL-Zy;!8N9- z6W`MR6j;!rLs%Fvu3%)?fpuG{odSawPhwF~W?5p6LP=(BDm)C;d+4~v6trrQpkv{u z!18E<*O-C_G>S6QGfLbti}cfQpSgp5Yl;-O-%Xr;0;^0-LF-D5Y>hw^^e9+5tuoE! z6osuajd$aBgmeg}g4c!41(Qn|A6+|bzm{>n5VP%8#%6xRD$_^TPLsXLRP&vw{amIO z`+4n`g4dm{1(RFB<X)!DbDJHGGHI4B8(n2OTvnO#lDNurs{se2&<sY%pd)d449oU0 zq-Cb2#*v1GW>UQ1x&O43<kWcQ_&^0lFSI(Sm+@M~OCrY8>$xmAn1xJ8c3Cud(nA<_ z!;LwXMN-{g_YqMK_b_sKb1(|I6XOCLYg@pR4kq#O1}IBSO+h1apfO{TE`eIg$mPYs zDC9;J=OB#_LR^CwAH=rW6totI*wv<!7`c2nn1wv3;ZCYeh;&mY@GQYmi8QPW2|UEq z2q>TkPdqMT<nrWT6mq37h`_GFj7b8EPC-uWWh8FV=|oT#V5T?=fE+s5qS6!`l}Ia3 z2Q(_duE7_TSQno{qLR49ryY!3P8`facEqGPT&qvbQRY*y6s%Jt^ypKtf|j2e8Gxe@ zIX8k<pd!sHgTjY!ZlprNif|5c9D-egC|I$rLIo{U=w<AizK)0rkqQMX+@0vT5zEqm zI}IE}#3hvqRwHm!B5lVo1)Y;@hA3D;0Yx|}mr$o*1-k|<CJoIHF=-s{X#`(Xg|Z^` z#qX6w#3Yr9Rgg2$BNEG!MvWi5L_{QPNii|y1FqH%Xj!TO(rQps(?~<`fdV8obXGx^ z<xu1j|7b%DhoCMVaPb7Kst2jVzADu>=>ic^30qf1iDTpQ;z21LK1FJbxh(vokrxqh zNPdeIv7!l7M1X4}<k@R*L|T%X8_6$Nu{Z}gJA#sxB_cbT!1mT)tZ}@kG@FPxB)?$A zbSDw{5wzhCHj;vSmmB%{5y??#3wg+k#x<bz^Nd2yq$hD?m!Pe5gg6B?M}k%z;aeyE z!2c`}vDpnOKA43ZDRM5=qOldU?vhc+ffCoCu5|>39O-NQS3p;*QsxfS)#4DBkg&ps zxW$fj#CQCWD<UFRJ3i3SB%%~0E$3mZ!~*yE!Oa=8mZ>4h1t@HD4RMi%+a<_lC#YS8 zRCZFj>JhfNhC(M&Ex$EER~?e;9`q6u99*PUe9J+7Ek@${TEwh<1UH5)X;E0VF>*O@ zFbmm`8(dV2w+2Qodk#h+Ycd^zy8ICoUZfY1iz!Pw=<6RLj-Yz!Sj45n!7QXfL^u(% z0P>9FZz8JH8ZK)NMj>+|?1W6if!6$iRzPBuf}ka#BoBN}W#qErU=%VV%X;LZ4{ST4 z*+^;8SI%X~!7QXpgtMr;60!!e9+e1-A<=<tDWoYlFvvP+^Y`ddNQMuL3__zzAxD=& zI=X~`rsH92ef=(uE`_A^Qpj>HeGX<JZ6ZoP(8*As^PdzLyhLErfhMMwn4>EbPv0k^ zU(ihHTq)859mrfMS;g<G(Z!J9;&*g0<mh6^(Z!JTS`0~pQg`dJn?#hlWH)w^OIz|A zyL$*OhXgILB0QW+mF1AVj9jK1OhSf4)P1Nef5du7NV}ildPv<(bBQ?62)>^Uye<?z zuFbFj&+QQQ3JhMnMX80QnMJ87sOuR)%i~}nNzQu6+ULylJ987XzK)<{2g7<uW2~oc z4%~XkPZ=Vx^^k|c=(iq{`;P;j^^o6#+Z~QFy{;WSbu)W(JtU)$6>%l(=z7S|yiCv~ zvqWB74B8MJZ#cRhvWVPZquOvgm6q**7WSc>0XU$G=)kT)9`FUPvqidV9qS>SphaS% z>mlPIYd%KTL&h8GW0Yp2>mf-jut1B5Aj>n6v*YM`$fCrOOk9`eB8~=(&x{8x?L*x& z0Gfyftr8<?Dc$IL$kFwXkXt`N>l~3r0YEG0%uyE<jIM_)%_)IimKu+=axFd+S~nsu z=K+Nu$;)|0*F)ypV#$y2aU({2<3^;d%JRh?m*}f|$co0%^^g=q<LG)wh79<u#prrS zFOr%wqw674z(<Rr4!7eiHb>V(GJ>`a^)eE-czkp{B;wv(Dy)Y@*@FY>{uy9d4`~>S zeFF{;(`q8tCX%!s(imj}BbI$O@0Jo{C+X`UjbjINJtV?<<o-B#R+O0aki>1HA$>h0 zYIKm@G$e0|7&I@2)FT2123ZSoM%P0!f*0hBu7^}8%12$3GkP@S=z7S}^^k`81P_NC zT@M+bnFl(#fD5)z)qvEN{^)v0)UsE3=M*BAmnCs2b1(|YgO&;#>SyK^m+0r_Cg;W{ zrj*5ldCorGo_>Zx{Jy@<zOF{`jxJ&G5r&b-3!ey|N-&L)ONE0`2z+ETE=&AFLkuu% zk&*+Sr37+Osu9>t5a+}@`*?#iC@^|)AsmLh5PkIOaT;GeKE&2T8prC7u7`x34~cwX z8IkKDLmn@s|9VK!@;HJ^425u?56S3G$Muk)^>qXt3tta8V0Vy%dVN|9Rt!!IUJOx# z;12T7WrDEPkk8xccL(|7{9rtHkiYod>2Q?k@5dRVr$Y{x)sUlikQb#E7ZY(d<mesb z&aUwhhLF3o5rc!+PKAUFtP^($E0wOVHX6NyJRW&&+sHB=e9LM)_)<#4(L2a-A2E%5 zR!n?6YQT-&K~BP|$I&~;;md^JYxY139t{mpZm|YUca7db4%!_MjGFaO18wvU@>HT$ zg@RKU`ucw?$99h1K@Pr5d-M)+A{H8>-Wdrhus}o5BrVAqy@R|Y6=_k<=pE#sz60nI zWJ5&XVe}4iEX#^V?;yu_9}H@X0eLlcUOeakG5A@zWG@;Sy@Q;@WvHWfkTWs}jov}d z0J*>jHq?*%0OQd+$Qu|Ldl^UXASbCkN%<Y*$ZJkbK&$$UNLX{~n6Q+HHK(N9L5{k{ z5zCU(pzIw)SV{67<d7wwD4VlQK>MNLOBWz#))8L4K>8izP^*!annG+wv<Pt@y~(j= z4iTYIM(Q2psIfqHqk*ie89`Hyh=rz*pdf3Z>F6EgqYF(n(y(s68eM2Qy3mx?3r)+o z^f;J>v<TnD3R+A@)VZ5yR}pjWW)mZqEeE5JC1HyRE;9uczTgXK(C#Dz*Mj)=Q7vK{ zy@MQ5_>L|!9bIM$A2}FZW=i8_rqnNUX?O=Y>LOE6Svzv?AV*$f3JD2<XKrr&{FnZB zkb@Q-!p3sQIdk)600aHb+yt#!B<NW98q)_13=CWhLPF5yh;clKQJz^9Zy<$5Oc8Vp z1qf?0Ty!W3z3Sp%2w{XcSb~H>*byS);@}Bkgg9g{6oMo`xE4e-Ffw#7GE86u9f7(M ze7iSDbSszzx!(N*Bg0ijv*<-mHy9Zog2h29UVtiBrk`+rBlw<fkW2>?(*!1_8B9zI znEt~6;|eC`4@?X{m>4)2QT%9v#g9m0NPhhM_3!`x$_|DMV1_ZWAHgEZ4xTWnROO#p zjv0(tJo=Dv0wcp*##@R~b_*C8vHJHRBiO_L|BE|fdbkRwhnZ(EF{65zWfK#3QD$+f zg02FH+J(aVz{K@~iA!7<eCfO~2cwXFW?p7VW@1ieRcd@<X-a0keo1*|d~$wXNl|`I zPHK^UadM7xUJ3YS45L_mXDL!OnIfO6%Aw&C8e(Q^sF~#mN@Mw@B}jn|cCLego`Hcu zUa^8McozsB6{VBbOtb^1rB=qKS#0)@;a1f9;+Ght+plGO`8UL7D`V&jjs1JU>E<Zo z5`%Q`eenzoSHU88!Q@jg`4&um1(SclWGgt0^@7Q%OrS`d%hYSpY=`Z>_>*OJc1M|Z z9yw`y7Oeg%n7j)npMuF3p!CStz|7de%s7FWVFok90%nF4%nTct8Fnx;9AIWR!OU=h znehfQ!vki97t9PFm>GUBGd8d=bg(c?U}2cS!mxmaVFe4r1{TI0EDQ%&7*4U|Y7}J_ zXXd3Vq^6{&>XsB`rl+TZ4h>FD&B;-4a|w1;NY2SmMm?|;Ip7tlK`8|u^l6DXISz)f zh$u)c%FIu(HG=YMH7^meFNnJK-C|*JODryV!~!dfkevPso8TvGf|FPUHC&us6m%7W z!K8CQkmd|l#s#blD_9vxyb->cje}9BmywIqykHcok8};ZnQ^3{p(Xqp_+q4M;DcR} z_bB-#2@o;VSIni$!7QXnTz-x=LfPPNih0!oC<()=5ZrSYrCcT)j6w!PT51a3+>dfx zo0$<P5J<f@tdFFykA~WdG|mdK7BS9>d)4lnKno%^v{Y~zaWD(%5f=^yhVhws(Wt8f z$sTE~AUPF+N@T<^D<mW!!>mC_$&QGg{u><k8zJRSaG4G+mcY9-Aw%hqlA3Vh3gF`9 zU=ZST_VG3}F!1$=65{gocLMDyG&C|nO)<op7{VpS!3=5WK=&7dsv$}@ln$Z&{C?Le zh$u?ZxD+^;g=9csgBnbTR+9pQ7rvzhPZJ*!VNWV$drBdx37k+t&Bzsu43L)N1xAJ& zj0}kLS%}<LYE0_3Qe)iPN_E<m4iHY@>0F8&%tEqg;f&Vi!5`29FWHIMyc@$M!@(#d zfoc)ho}|(=eNQ1?r%*R{=a3+u_y_~Uo?T+Yw-m4G{%EE{E4-xAwDfpS$mQT5+mS20 zFMqEP(ZMT$T<}Mz%msNG<W=O$jSP(pFl)Rkdz6U?O47?)P_r4Syam_#kn$F}ng=Ii z{3EN6aa?FL(#qSRGP1gS@*N@yLCOo;mFK?_Vb37iz)#JR_J~s`eM(wIc@ZLthe*6- zA+D0P0X!5k13Vgnvl^p%F`GH@?En<BVzQY;gd^$2tSQRQeF~bjpO`sVgd7zZy!gSr zW`%;(;^NHQ%;H>76$L&~5Y+i6T)THLav5?k3F#85ppZsbOk<HM3UJRziq|7QCnY{D zr3lnLbWvdRVsUeUw9~;(xq0q85#1B`S{AS#&}bRh`FLARc<vtp4Yz;|U|hgR&RD2~ z%7J}^^^@aha|H%3*5aJR<ZO6kfyQeHTDF6Y^#U8i2Q~&Z$k3Q62cwW7p@>UKEJ<{# zEJ+0qjv0am$4J*^gfTp3LZ#uc50@vvhR2GWc(D$TeS47#A09J!qz)e*`}QK0?BTH& z8s>I$nX0!o;Taw?NIEu%hR3KpTs1m8)&UwG>jz!IMn)z=9w0M}G&D4U_7|WfU?6e{ z2<f2oG7>jHRtmWfmDpSj*#eKRlM715u#yG$5Lr2w83&_~5z*EfK?li<k*Wd%P(Yv# zd4T&1cnk0eB*s8A)Mn&iGO)#nVKUqsREQfUBXW!qdJQ=07$w;wWK~?`70Xz{A71xD zQXV)C@b}r?jgFBGwKFeA$H;J;@8%yGLd~Ar=olGjSSlVg0ykvF$bKGDA)@m}PKgU@ zKO>d6;Hn=oN(Sle5MAOv9UUbDm$;*&WT@*8M@PvR8D}u!Ypn=TVLoDXlnn1`8lop7 zj-+JKsGvogjsUmt$tq~S5F951jRFwvAa#Kz^O*>*L_!&EF+v_G1N(u{NSSHeF8Ys@ zfks|nAwbSZS;2>W^cyJy4c!oQ><%_Y$VeHPi^xFrJjsj5Ks&?Whf#tTkx^@uEY@)a zY?O@q4*eF9O%C+1BeaO@6St7v$X!H6<wa}5XAzm<;8{dwh_Z;x40B2yl!Rd=3hogy z(iV|HH_dt?kB@<;N=O|aBXJQK)L!J#F|f7N8yzEK5gC=X>sFAwhzwLBBX!rnApvPc zkhrK}bP*Y7MLUDg=pr(VOJPPAk&P}QD=`^b17x&XL<VX$BbB$Ki^wQlv^zRHHaa{8 z-<~_Vh>YxFc6co!L*1E+yoij%X6*njB17I$3-JMbXbil^2)c+2;vw*Yu>M0|=|40E z8g7C04apfA^X*?rzo9YEcnv|v?qFks42?-a7Lk#<Jq%RGlRPwLg0X<ilu8T8R`{-h z4UK^o{6Y5E(0+d<Yl=6)p|Lg<vC*M1YVGG7d_!Yxpao=P?5`Y3Lt~&M3@cHnH8f@d zT{>on)Kh?s%#d`rAc;d`P<!zVjhV)K8bbE^_=JX-g4X6j=JR_QiCZ{U&1K5LOn5~u zWGQtt#69R|z>~dzjP%hlP@#+^4p=~Yu@RmpV)@wU=-BA!7><+dMn}g+N5@7-#~>$@ zfLhK-<?ZO`*y!jO@&@qHEtZCnMg;Ci#<Q7ybaZTVbPT-5%>?HbOUPgnq)ksmvvvSS z$4rnHj)6Nzght1P<Q7Zj=H>Jo9Rm&7!1}T{hw|W~W3fWu)5p{~7=@HEc13{%!t;w# z!0FA<478~dd?h?c9OalY&}zW=Ot3Noj3F>;9Wiz;W<G2P%-4no>mJJ7<)A&3ZbHpA zTN#n}Q06Wte-EXQQh?oDrun<^9Wi#riD3{8fsO8=tco|F<`H9SSveSm8X38W%q7UX zCPAxVjj6V4GMP)3gIP!tYyLBgHb7YchUJz$P%?!T4Y-H7(zsMP7=;wES`wcbZ2%qD zGC*qMgM10!A&yk>;N22g&xNlrf!TvRjs>;`vBb+P-qQd+j%9`z${}_fD~C&sgIP!k zzelMyaFvPIyYR9TOQ@2*(edKwMn~|ro6&V#qwBaFUBZxyhS80VwBP8Mildq$dczHI zI~#L|t&pA33RPps;#d;*G9De>%Lp!1M;B|2F4h`dtW{j1pPZ9TNm)wVVl9fxQr~67 zY+fX;b&6h)g4+C~w@x1s+^Y!cZ4>UORB|bCFbT=wXum^S)M!P&5qy9N#~EG?S$pWe z{0cOl0rM};F&iN}1qLsk#G<0ivcw#PlFZyxP`d`aW^7XW2Ko&!fd)hfI(7#e6J+@n z2?I=^&9GAV`h?&p1x@FpZa<{f0Mm<~OJM^{M}Bc*9bk%70Sz!Uf(Mx7;t_{*MXHcL zz?7O4M{t0Nqn3AcfNA)yu_9%F3GLi^(AgXYbQxeWiZ($RV8XJ52b4@<MFZIbOppab z`Y3w}LB2F3bx$FI0Vb$D$OBAZYfuK5jA=N)1aSa82be%*CsKt7_AXg{q0s@R(WOwM zOQC$7eO-;>M+ca2on=q6le8%A6mh$$5^<snaRW@CW-D5uYCu+@Iy%4vDO5)Xm_`Sf zMhBRN%m7n@W;PLJDG>uqXe*k?Z=KR{fC*&<6WGOs2ABrq=qu282CQR7&Hz*BkrnhC zU;+(@5Ogg3=qp>u{yuXKMj>O2p+L0z6O&4d-HK9EVRtBk5}Xv78eLGwps2Oa?_R@V z*cjA<5CN=XQ1x1A_G`g+A)+pFs@F;*`=I#hGZ}VsnXDBn@f;NYrHf+_jX_cQNGgH{ z#Z%e7!MD$EDrleIL`E($^8@mtC(x3AQ}~z^c%L)$sCeY1sl*-?U&y7+!7QXsC~p_1 z<`|%jP#I$$f(1&%u(AdB2vsGQ1qY*$2_Y*H#R+tmo4zOVswi+UkUD5JiR2i7*^NAq z1-2S7kY$RzQi_Zne>GfY9L$7|%}0cXfdS}NMS|mn@XJr|o`hOMZklvK>Xv~+1kx=- zPJ~3PkNz;am<l}aKf0J|bTQTFSQp*Lx~P4#B5`Z0$S!k{mk5ww<~|=?YXvTIM~A{j zhr&jO!ss;=M(v{3TA7%oR|8zsej~UV3p6Z1xX;uBy5Wk6@Ub9>P6Bi}4|*dVK7a=9 zIAR`<H6SarK!Y)`Fd%0Dt;u-}{RYrLqd5c}yMv9H)D>DrpcB~$uh255*%rVnQ&+=A z$F9|4-vS6(q4n}_h|N|;YOT=fT$XJ&mnqE%-!+O4wnz-3(Xr7jfCIM$5R^-hN5eq7 z)(qjJVc=z_(9tmD4G6@JhT-1=XpXW%3(FQjP%?!T4Y<d@2y6i~hi(BhMJl?%b04G* zb`e;i1+@oxU<+&wVqnWG-qVzZE3_aEpyvuLP}zwkR7qcCJ-R{*vY23W)M|9pYIM|! z?xR+NX@wT3LPj2z0$0e8sQ}~}n24!>(G^;dLUnXlYIIm?bXbaB!%`HNrBqv?WsZ90 z6u2=?RzXU5g%+r{O}L{%#1=qv<TIwgE`|><f%{_60VYUI0NzbEAOlRG@eG)M$r)hE z&{#~r0VdFZ2tmifw*XSGLJM@3Bv~u8OldX-B`CfQHU<^HANv>-WQCS|LMqxZRFtgH zy1yu&;4Z<a?Xn|y3~F?RR(x`PUP)1YPEKl(KH4xlmebfxs5T}=$_g!l*A#*hF|2IC zJwiqL3N2IUpp^;I@Cs~#nxymC$ylKUwHtXL3v4yYK$Zz516gFQ&@x3CFT}E=la?#A zKxH(R$RPb-_R$qukZ#%NSl8%S*XURm-N(A9y=;J%E3`ljYP2%fgsdLi=n5@JnL9cZ zHaZkGIuu5)p)hI}wX|HJWr{jj25#^}idqskAP`=mMcjE=WUkOMMIJx{`vN|IHkeju zfd*q>{YG*I(EQgfq~8D<Xf%hQW8sIiM?ns0SLR?8lE>JSR9uo+k_ui*N8IWxLz<0@ z-HzV?8yU0OiSPWZInLcSTN#%xpw9VOj7o(BN5<ZID2?EeF)FVH8+>~gH-QdlujL{l zgCH-=0!;=O;T;4^YhYv~c41a7j?={9`4hB^C_dU4G~yzhms+9#K0n74bBiJ<t-{I% z+yh_)mS`D5N4t=39|ZXq=@2cD=fHE`l$_EIwFh}*3v3O_$QI(ZLF%8<4sie|Y!w*1 zgy7+d8LY&OWD#*p0jK~)>Oz6N3#r#3b4W<%-{afXxQOlS=*B|uCYRA+tI=Vr(P1mP z4_i^Zte}a7m_xKOh^dy5muG<rRPqiM9$lU_Iwoa{WfE)U`LA|_TU1GqgbKQ(z)(Lk zued}%H#a#qJ~5>%9?Wz0@%HpH6yo=VANv;{VHgQYso?3u21W+Lt*L2@kXvBzS>hiW zVvJ$S=!JoV1Gkh*hJ#UvisdMsPH3mv@+`E$eNaIPYVVW2d4TZpEaFC-h*+Lwh&;Lk zb}@W(X)rC%0u5-uiV$)}mx>?Gqu=NfXhejdV|TFeL-zkMh~v2eFeNo7u`)Ridb2P1 zz%1-1w1dW_9Kpj-2C@3iuJF^b_%(b&LriRq6hL>)+Zt(RIf2SP@VyBjMtNpcyn#Yd zW^t;6k%69pfk9reg02Ec2AdM7iqgnw^Brm#7#29TGP0LWb?gP7hBXyT&IOZ889jtf z+plGumhg1XRz}4owfpyi#gBr-!KY*`aJ<SGvhslKUB<%~CfGg&%f1DZUl}_)jqLt{ z*{w{VZeuT)oXVv9Gs|%<Q&y;;{Zg>VS}?g4Ozvg!*j8+Rlxc!|qr+LS$W<_T7fe0{ zlP{PUelRgKFf(>AGfrS;oWabvfSF+hGs6aE#vROz2bdX7Ff&|WX1u}7@PL`&1vA43 zW`-Zkj14Rd9V`qJSQuuoFf3qUSi!=$frViQ3&Q~xhEpuL8bz7KnR)37sVV8Hx+O)K z>FKFOsVNG{sW~|cpd0QLl5_H@c5*twQ?jT((iEJNU;c<i2s1^z!Y23$n_w5KtcFHN zuveUsg0_N-SDcY%oT0vvrh>i#NFcx!^{PN^1?PYu%^9qW3s@Oeu(Ir6Ww^=;N(47p z8D6k5d<C=rg2`4kka#bcoC+ovu|4L+cU2%pZbBN4GBuAhG(?=_4jx*E?h}N~7l1}= zdKs@(yd<JEQ^2Lg!7QW(%9f~Cbs}YG(5Oj#v?1y-O;`@i@@oqr;)F+BBT^tII7XX+ z2c%5(J&{JFOwA$<4J;9x20{KKT+QR!G>B>s(ufqq8pMc{NxUawM9KtoiAEJ8$m(9k z?VtY=arp<XdjnA%018_IBT`y>wWt)VhWegJ%i19RrA4qB>f;Gk(|AwB5;o`|W7G~- zLn4Cp>c3(lf;AP=5C_E>O8x)|KvSy;${hrtP&I_N_8}>TaAK{4bSglm;<g4YPz{Yx z(kkK#0%%$-0*^`)msU%-^f;J>v@q;PI~Wrr@0tgyzLC?c3Fatj^V=pO0=I<An1fMB zA7m<uud&z)4QnIRg26J<5IL+tJ|-O2y^LH&9E?JGBw33b_F!8PVQ-3DPCyeh$R)&< z6S-XK9L!j*RYnbk_`G-v<Ulva40OZ${6qvguAysCa3HnJ5JT6dApeqg7vAXZV#r9# z5E{Dfys(FeM3ly*z`-me18QzT2SGs0%sj(*5DjUq<DX}-yf&8zdr~3gBFIpvJtPgb zAkDGhoxK`>@fN>}Zx6saU%035(zz5ln1y7K{10NH^?C3I^oq*oL<DpU-a!#hAzr6Y zH+ScdAfNaM1H@n!vEfVgA(v&dj}XxUqj>0=h4U2=VV8sBf>DfSJkszrq!|yH4}`Vb zL6ru$`ocdSc!YvO?-n=`PICcVyc`TdoX$Sph6V<{9#KMEp8igtF-$`v&>B$iC?+K0 zAsuSMCWdf{aWD&kJ7>^b3`)hBd7x1zF4$bGfhMSl4c=J?>Ms#Cb*L<<lsvVWh(ttr ziK@JF3K8}U)8XrY-mmm2PP_W<5E06eWEH1G%?(h!EG6!y0gB7gfb3gD1RSnuK-AVL zRT`%&SU6aO92FS6_`zde3I(af#hJO8#kufu2sDI8xEyj;VDJ)z7*U#+oLW?pn3-2n z2{$BU<$=9~4XNT%<X{q#1$h&tD+CgNwaU?bEyW+4T2fjNpOyk10(W**VDy3y;)4s) z*4KgX;bh#640vx9tOs8B;%zb6D=>KR7Nr)JW`c$hQEnLp4Yk5NOwJhis>Aj0tc+Vf zIgU10VDMrs&PhzphQ|(Qtd^i-cd)V3W(*uO6Eivn&cHA_1`cWvp*8Cjs=@Oe(8&yi zw8Weo2SXUIAhjqnKgAX{8B(ixi-l!$3>-9*0m|BtVYksS@X;~w(v-}6@Bkxp0MT%C z3_JzV(Hb2CXL!KK@B%VOH#!EMnXivJ=!~3mj8G<ZKx4v4^AE#r3>@4tLvL_HhHFS% zzCAhy4#{4lW8fIew@1goN5{ZROyZMD)AY%>19@}|T!Fz$MFTSOf~Efi>OFziJAvCf zh!N}2F>oSQAQyAVaxe->f`Sz>WDlC(%S<XwOV{^Do)m!YjRVQVdqPep@^lJygLK3| zlN!B@qhsLM)`?Sj3HRt2IN4?C5E}yrwN9zhI2~eR;K-}MA<I%m$G}0wEC~bmgKi8Q zbR5Cx82IQIcqO*w{G(&wD7z{~*MX0&1E0Z&Z+VaqG0W>m$G}I&z;`e*9AIR)z{qfe zadZs41ZB;|=omO;#R<4&MwM>H=ot9u7&!PGBg{<;qhsKsW8kA>;0&OJd!y^XL1o0~ zI&cbi){L$LXJjPMNut8Gn$dOOsEuaOOc7`SqXL7M2y9D-p^*V*g-@+@;GotiRT`&5 zYz*8O=_HiVF>s+_u@2mb_Gh7Jt$?3}qFs&sER<jCPuZ_!<T`r>?JSgE>rat=7E1FU z!e^l*8IGQXLbbD3M%RIZH^IQ#(vXuSM$baQa~c!;%oXG_4!}pQAkH`#JqrcfXelwv z>qpN*A@zir(X&tzb26(^;}gMq7w`>6qa2b1UXhImYtXtY@Mdzn+xUj<StvVm1&G*f zO86`kaLWv>n_)1z4&00UZTq9^z(?1CqaEWtdKL<3<YaUmIO$h<j-G`AnOGb>3kCIh zxzRE3(J^px_SDd69r(Pn)Hw?U)H<a~<8+9Pfg7QZfg23cb>N_(R)WWi2-ztxc=03_ z6=jws<|v??rQ-9Pj%T5O#%c*VmS$(6fDR3(@jCF)G4Rpzz(>ym$Gd!Z^gM9BU>{Fs z*Z2rS&`Hh)mZM|fjCe;7N5{ay!?fUY+8{G2qvwHRF8UZf4;*wuC~;@8Q|&x(YK?(| zTV_=0W{j=_hYZ(@j)9Mkfsc-XQ}GJ6(X&uS&q5g;10Nj&XMi2^GkO-vU_T3mT4Ug# z)+tpQr$cNE++=hN9C_z5mDYg|>=?M|=sIxl4Va_nfy1`bAl;`ldKSv)Stw|O(}?As zpmpwqmwOU3SZj)M&hO|ta7O9`>*zXgQWNXwI`GkT;CY#-yG&76!xM2QCbh=E!7VeY zbTdZBz(>cxLFXJ8V$PC{o`o`c7Ru;ZD5GbgkiWTRbPRlS419D9ytqU^IVYQvJvBpY z9XP0UN|nax5E}zG9UTK7c4OdXqhsKsW8f(YNtL7LfuoOpU|c9adLH=bdEf+&x*I(Y zJTJ2Ze)(s7UOedZ1|j$fb(n)NqjzGCo(GO^(0S<HiAk+7aB$0vD&36HG4Rnb@X;}F zUuR!eqxjKv;G^rnkqTAwNJB$V6J_))l++RhP#H0L9{A{a;8Jn{MfnBsxw*-?sYdaM zDP{4_KHi>wh9C-biKYUh7nd*A;&gN!_()j?4r-kum7}2J5TWHLi5IpHu`zJ7(J}A= z9|O0OU|`^26f)*u6ase-@m(iXoSfsFR}x>8njQ_>x*%o7!6;+|+CGj?Q%Yh<qElr_ zDp(un)E~5yQjBbk6nsKM%xsM`vz)N39R}Tn?O<e}XJBBESFE6mZD<v&1gfHR`K}2L zwG0dk99tRXE3uyzzW)N~v~a=F$$Pdk=4`0nzn77Lfq~&D<NgcepBDbHzsPYeQ&y;; z{ZjB4_*yWz6-@4BI(Vwl{wUMC{sf1!V3E;P;#gvOxUUl5!q34d)XT_4MqWc2EjKfY zG&Hn?UR<7*Qk)v^93QBF<>c^Xvss8ZN4A(tmxEbIlTa>(Wc_H9SOo?z_z<Kq<`AU& zYa1dgE#)%dU=%VS(o(b7_{?|{eNPia9SI2pQkUiSkrei@BQcQ97Kd1iI9J6i-qQqf zYdoj~16^kf+r&rQc?s2ArX0*dh9reUJlZ(05oRQW_An9=3AJ1{9E?I1ge-Rp_Ki<3 zO00}8E=ep&jWz~FhN-@%0a9cbgHj|SGKf3Bc^V^^H3y@RIeGRYM+(?_M5GwSdm6wa z#fbDsX<_8D<zN=FB+FsY*b#=0(qmaGDc0*uMD&z%kyO1#n}Z?-^#B)eay270VkR(h znQ|}^PL;5V9cD9flz_@8Gene_#d{jVqr{B#C?T?tg1HFPc!n2JCYbr-&f!v8MS!6` z^2z3A29bt_ru2yblwBGSixClE6z^#Wj{q|AL@k#&2eXhdp)3K507#NBgk}bKR9Ij} zg;qHi5m8Z1T3$djHIWJ_P*j+Zb^Q4oE)FK4NCgHj6^-Pa{N!w0O;Cl@l=M_h<kSsn zR>F=YWLUw-fc@s$04`n*1|d#oA8$hg17D9QAudmUr}zlNNJAr#gBeISF@#HugIP!j z)W$N@&&-1?DvQs|GgM$0J^uUwBf|^G97Y<K0)+!qqs!h$m%Y<^*?S3>4hOT42BESS zl-WV+MhV_xcaP~M5k+nVIo%Xzr1BQj1h9mZx3C+H;0;53=Qu86d&<SZOm2C*z;XDL zx1%fKM_0s;u84;;UO*)qxwlLZw<4a}#q7;B#2l1fMOt4A-m*n1XvuHb?q=a&5pq;u z@ZyKGYYS3~i!*aGi*rFO&IU$?UPjP(CgB3rS%JYz5Mo4WUUF(tNn&PRNhREnkd+7a z5;mj<G&sXVcn})a$AAU}dO!@))6_vj(XcRJ#Mu+TeVV#)XW{|E`l)o13}`Hypk+JQ zxG%6Vd|+ds?+gOy?%dHC1O|rD83b5^cLbd?GdhC+>$;E5AV7vNMrRO4XAp3WD~`?} zAcp!zXAr<={i7a2qYrCKL&xipkIx#NL5K!7HPQPQkP$5s*JX{)AV6xX(Zl0M504++ zG(h)F1JoYc8l6EHA~Uw5GYF$I2%|FyqcaGpMewFRc-S1&vZYGHcIeL_7$faL0QY%J zMrRP<GdJ+{OL*_mGgn~nVlB=|OwNXD9LzHapsfp|GYF$I2qW^8p3xbE(HR7W8_2uP zMrRO4XAnkb5Maw4aIexHok19#K_GRzE4Zmim5$cv48rIP0_aE)EZfOO_aKb!K^WbG zfH;-_sk|NCgFxw?uhAKV(HVr%8HCXp1oRmMP|FsnpdHD35Rh-P9i2fC8jw8*4;UC2 zxER1Yp3{=@OG^-I!lgjFpwYw>LGv0QtjX~C>)-$Xg&hnb3}pvPkT3{4LPUfeJRuBa zhYW^7kOT<Vf`|r2h7LxC35=k*k(J;Rgg~NO!7Ru|?-Ps+R~ct>{C2p($oLQ}4pIT$ ze9Zh4&Tj;t2n3SpU}BoU#4>}4WdYNF7+_q%#PETM;Rh1~8v_Fa2de;s1cNJx#VF*M zlbTlImRY2qmRS^<mst{TsGpplS5lOp1Lc8^?#Rze&B!l_4-Se?D=jH43I-kG0iFXi zh}Czeib00dGRTNp1{qV!Ad^^q_t9V{HbspFJ1O~ZG_{iwTBC&wDWNr5wu5U!y!9Vy z+3p6ZQk{x2(=#ZmQ>kMTD7{n1Bv3g+9g{%iJ9SJN4SR6<B%!r78up}y*l4;ZHN-~C z98yCJRL@eUL>wVu&qdAds|&TduhcQfC{~|31{qV!Ad^^q7wRNW1FD&1NHvo{;Y}Ui zfdZU5CV@g6JYWD$=p3L%C4&%)Q;46l0EEqxm>i#&k{n+Q+Ik6-FDfp{V~5By7boYy znJI~IX1OtfX@X#yBA8|fra9ON;GrUJh_UR6$?-|aIoU|ANJ)gcf(N36BQZHXrzklm J8*UDYO90b|{iOf^ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..e0f40c6c174f0ea76d7c35ef167314210bebbd6e GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zYA=;4+t&VO5jIQ{IODSi84E3<rc<_6jqsV)AGeF_{0ru2+(Qfq~(!BtwOX`%)<o zd#yYJ!ve>@@(c}q&PNq?^gnPos|pgItH#i<&H1Ssh}~-hBJUb8Fj%@QH3qTQS}-s) zIQ+F>U|?`MYRSOh;W){P!zt9w-8m%4=d2wALxcTXdj<vt$ERTOtqVxgURRJvs~d>j s>kT6BdV`Ev>H}hb^5Jz432-%vclL1f^K<oC8we8p8wfJ%Xb^}E014Yn<NyEw literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt new file mode 100644 index 0000000..c0b562f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt @@ -0,0 +1,227 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:46:19 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 587 | 0 | 800 | 133800 | 0.44 | +| LUT as Logic | 587 | 0 | 800 | 133800 | 0.44 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 589 | 0 | 1600 | 267600 | 0.22 | +| Register as Flip Flop | 579 | 0 | 1600 | 267600 | 0.22 | +| Register as Latch | 10 | 0 | 1600 | 267600 | <0.01 | +| F7 Muxes | 33 | 0 | 400 | 66900 | 0.05 | +| F8 Muxes | 16 | 0 | 200 | 33450 | 0.05 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 328 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 238 | 0 | 200 | 33450 | 0.71 | +| SLICEL | 149 | 0 | | | | +| SLICEM | 89 | 0 | | | | +| LUT as Logic | 587 | 0 | 800 | 133800 | 0.44 | +| using O5 output only | 1 | | | | | +| using O6 output only | 522 | | | | | +| using O5 and O6 | 64 | | | | | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 589 | 0 | 1600 | 267600 | 0.22 | +| Register driven from within the Slice | 247 | | | | | +| Register driven from outside the Slice | 342 | | | | | +| LUT in front of the register is unused | 256 | | | | | +| LUT in front of the register is used | 86 | | | | | +| Unique Control Sets | 31 | | 200 | 33450 | 0.09 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 20 | 20 | 0 | 285 | 7.02 | +| IOB Master Pads | 8 | | | | | +| IOB Slave Pads | 10 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 4 | 0 | 0 | 32 | 12.50 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++------------+------+---------------------+ +| Ref Name | Used | Functional Category | ++------------+------+---------------------+ +| FDCE | 318 | Flop & Latch | +| LUT6 | 254 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 115 | LUT | +| LUT5 | 102 | LUT | +| LUT4 | 71 | LUT | +| LUT3 | 68 | LUT | +| LUT1 | 41 | LUT | +| CARRY4 | 38 | CarryLogic | +| MUXF7 | 33 | MuxFx | +| FDSE | 20 | Flop & Latch | +| MUXF8 | 16 | MuxFx | +| IBUF | 11 | IO | +| LDCE | 10 | Flop & Latch | +| OBUF | 9 | IO | +| BUFG | 4 | Clock | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| MMCME2_ADV | 1 | Clock | ++------------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + diff --git a/proj/AudioProc.runs/impl_1/clockInfo.txt b/proj/AudioProc.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..5545e22 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Wed Mar 5 11:45:36 2025 +| Host : fl-tp-br-634 +| Design : design_1 +| Device : xc7a200t-sbg484-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..f8fd450 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -0,0 +1,207 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1741170802"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="audioProc.bgn"/> + <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="audioProc.ltx"/> + <File Type="RBD_FILE" Name="audioProc.rbd"/> + <File Type="NPI_FILE" Name="audioProc.npi"/> + <File Type="RNPI_FILE" Name="audioProc.rnpi"/> + <File Type="CFI_FILE" Name="audioProc.cfi"/> + <File Type="RCFI_FILE" Name="audioProc.rcfi"/> + <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/> + <File Type="RDI-RDI" Name="audioProc.vdi"/> + <File Type="PDI-FILE" Name="audioProc.pdi"/> + <File Type="BITSTR-MMI" Name="audioProc.mmi"/> + <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> + <File Type="BITSTR-NKY" Name="audioProc.nky"/> + <File Type="BITSTR-RBT" Name="audioProc.rbt"/> + <File Type="BITSTR-MSK" Name="audioProc.msk"/> + <File Type="BG-BIN" Name="audioProc.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="operativeUnit_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="operativeUnit_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="operativeUnit_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="operativeUnit_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="operativeUnit_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="operativeUnit_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="audioProc.drc"/> + <File Type="ROUTE-RQS-PB" Name="audioProc_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> + <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/> + <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/> + <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/> + <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/> + <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/> + <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/> + <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/> + <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> + <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> + <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> + <File Type="PHYSOPT-TIMING" Name="audioProc_timing_summary_physopted.rpt"/> + <File Type="PHYSOPT-DRC" Name="audioProc_drc_physopted.rpt"/> + <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="audioProc_timing_summary_postplace_pwropted.rpt"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/> + <File Type="PLACE-RQA-PB" Name="audioProc_rqa_placed.pb"/> + <File Type="PLACE-TIMING" Name="audioProc_timing_summary_placed.rpt"/> + <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> + <File Type="PLACE-SIMILARITY" Name="audioProc_incremental_reuse_placed.rpt"/> + <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> + <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="audioProc_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/> + <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/> + <File Type="PWROPT-TIMING" Name="audioProc_timing_summary_pwropted.rpt"/> + <File Type="PWROPT-DRC" Name="audioProc_drc_pwropted.rpt"/> + <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/> + <File Type="OPT-RQA-PB" Name="audioProc_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="audioProc.hwdef"/> + <File Type="OPT-METHODOLOGY-DRC" Name="audioProc_methodology_drc_opted.rpt"/> + <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/> + <File Type="OPT-DCP" Name="audioProc_opt.dcp"/> + <File Type="OPT-TIMING" Name="audioProc_timing_summary_opted.rpt"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="INIT-TIMING" Name="audioProc_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/> +</GenRun> diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt new file mode 100644 index 0000000..2498e46 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..ec535bf5bd45d4af46d23174bc7b86e42c8c6144 GIT binary patch literal 4597 zcmd;j$;eftS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Ts^fdPVTXa-^%npqg=85@`yadNR6 zS(@rum>3wbbFms37#o;@7{&(1hNe+$hUU8FMn)M71w0iz6Bro;n7Hh?q=Qn^ic*U+ zGV{_EJOdPpQVa5nGfVP|GE<AW1X!h*jf_pS*pu^%QqxoOqSy>Ab&ZToG8hV2D_9{W zEN0?b!048knUk8LP?E2Zlb@KPP+FW?gko5wg1Tz4y0wC8F_&kWLP<tuu|i5_QEGAt zC;*Ey@=J446!Jit^U_m`6p~UEGV>HF^Gk~qax#lc6!Oy`CL+5{M<G2QWM4@}D#)hb z)RL0SymXMUi8=Y{3W<3s3Pq{8`DLjJnI(E$0&G$&hQ@{#aGw}ia+&LA<maXq>t`7m z=ceYRrtAB<x;Xp$>6aAfre)@o6s78>mXxMsCgzps!j*trV5Gn$=bV_QUZRkenU|sf zazJrGYI0^;W@?H;L1IaUUKBehn2by;G8hWjD%c?5)XB)D<ddJ6lA59rmRXjVk`Hn= z$UJapLjp(-6yhv~#>PenhdOZC>6aE4fgP)#npa$sWT@}!>Z%`+nUk4Up<f0z%gDgU zM9)wkVz54xmy%eLsGnH?agDK|F><V>aPc_j=jJBnrC5O?MSw+$$<$bj6JlCPa*mNP zmtjt3UUqy+YH?<Io`P;ket|+_X-Z~(Kv90Of^I=#QHerDvU#GBfk8=eQo4zSiLPN3 ztBI~5*dCS&7KpDyxs+WXCV-+mH7&6;2NX}Bm@6(yE>10hS<h@@Y_7#wkdv6_n30;8 zV#LkGS)5;5l$=@|Zy3d9XryamY@WeT05>>-OAVL7$@zK3B}J%ao1mEuRRcF0l%(Ni zyK?b^VhWTa!2VP%24w_8Q*$l0l+?1!<Wx}h#PB?uk)f`M0ZJ%GbEyO*7J>b!kdmKT ztdN&qqL7hTma5?F>gcPGlbKYMSX8M8GTqY546o_zMuxhUMn<48fM>n6j9j`wsfj5d zheG2iIU_YWyC6R^uLPXq6w>mG6p~YOa=<)L>S8uBH`QW?7Ai);T!G+(MOrQ>E=tzV zEYMHR$&N42tco{4u=G-r3qa)!NXjsZ)lk>O6cn;>7k?iVg{usglwWEIxM(TPF9N5> z<ow)%oYaz3Jq06PE^bgUYhYzypl4u&&gJ6bFfy>T02j9GT&xCq1_t1w*3i<z0?aV7 zw6L(iR@8=Y={n{m=2TXJqD!?{Av7<uI5SrvH77MUHLs)?992cB1v!byU;$8MF&Ua` zvFAaYYQ)0DWN8q^YGkQvh>{8-xQw7ig%l;`6{qDF<tCP7=I1HE{FDMJO;w8(oC87? zic^#G^HRViJCl(G!f<9TMu^!)ph62CUm;v_u#hTGELMP2Nhu2DnI##ZDiM+~j4TZi zDT;%O4N?jjad5Fg3L<biFt<c5e!RIf1By}$5{p1#0rem_0CMuvGm{na3raF`GpoSf z0EGjyv56(z3XpN8<_5^d?P3IHv|^BH5iZUOZkai$3el>?v0yWi(m#t7vxSL;7B?if zl$K=X6dOfzg;O;T#3$t^7NzJ_q$CS48u4*)f%AfXW?pfL0H+0G6q}KOt_8SifR{Ii z8M$=bGV?NvGg4C&0uqae^oRK%@CPU*!u_#@l4uB}c2Pt^1gv1>QgzACOI0X_G$Ry1 zi3pSg^U@U{HAP}(UP&>ydS)^<)nbFl8PUkiY+OvHCIU<rj1G)Zti~3)#-_-LeKsSP zluK$+W*I28r>Ewn7A2OXrYI!m<R@o?Gd80UJsrqmY@rKkQo_rOr-P!5=i}l@Pc4au zgbJeZY+z+%3TiMzN_Zo1<Jri<&{WUD$O6=OHZwBOvor=5<c5~UhL)hp%*fK%2y2PH zmn!*|Mu`Yl$%B$(=Q47MVJ&dD1X!e)4b3f4i<lU$2r`RGq+F!$ms(L-9G01qnji0* zSX`1?1Su<_Wuqaurhu0z%NV)j@t2KIr=peW^l>VvvV=P|kV`Y56kLX@7As`tm8BMy zq!wuuYl0fPs>P6=Q9)*&MzJQOAhER2V$LrpF#^@SU?Pgm*ihHd7-T3sH+>uwxe0%Z z3ED9QwU`ij3(`r2=PlH>lChx)EN2;GYb(uT<Wj`ms0l93%}p$-wBmxaJq!(z+8&Wy z;R*_l3MKg^i8-K7qmcrr)=kVyPAyg_Pc2GSD1kMQQd9J}6cjxDokHCdZ0(>74Ns>~ zHys6#V2Gxb0+MbnP@BWh&=9#8uH$l7EmrW%OUp0T0kws~6N~adJy0;mxhS&)+~J3b zf;*(D#R{%PMfpX=3aNR?`K5U!sYM`%fI44B7Ra417A_`3V^ESX1Q7;cB8tUC*97DO zczW~Zl2R>3YULG|CMTyB7pIlx<Wzz(1(T5_vZ1_O+(<n-uyLSr8*be5L6Oq<xp<LW yiCl1-m?ILGsU?UFPh4DF9EOI57J6ooCOD|%#-G0UxVT*NQWSzxlk<yG1Q-Fv#t-`d literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..4bb6bfdf34401525e2db914f817037b49b21c11c GIT binary patch literal 16150 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^7RM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=dNSqV&MX(W7Ht(W#sB&49Uz*RnREbv{FbeC{?gkFfgzJArl2_ zh18tHg5uN^grKp4o`P>`Zhldvf`+e?rj<fLYGSs6t%8w-p{bsQk%fY_LV99m9!P_p zfq{X7wL)4^YN|p(MrCnka$=5xt%9MYv7s?cyezY*q!cV{WNB<<f*jAGM8~rfmxOa- zaz?5`NM<f5*?A%Y2V3O3a;duH=cOtXr<Q=zP=t%KLJ34CQtAN*E0eLQ78^t^iq+Uc z*Vq&}^1`^7REq^z;913%%i1+B1>^!;&H*P@g&GCtjMU`p;?i6z1tSxq6hi|OO9L)s zzi-76T~ipjCgO@N13g1?Y%yk_XK08s(u_^?%*>4tk!EP2XJG=0cx<r-QU?~t6KnoN z$C?P2kWYSkX0n2R0Vss4z=;`Ke0g*6a0O(5@`!3NI0;KJ8yXm*rehW^CPM{q`Iwhk zl9`y3iDDcm%fSogcp{8r<6<$?GgL5A;!<!1WjFWKywoDF0~H+eQWRXkg}y>?YDsAU z)Ws-P#GoY%XD&yG2SA1rGLVSmu?0u+n9RsE0eA8+FvOlb3~(h6V?$$0JyUZ~CMJ}p zEi5fevE*qBOG`84be%|S*c&Mrsc<QQ16aWsQKW-IKNwUj`lXiSWEO)eJy79}68dpy zq3_D&3=4fDNSxs{lK$augd=-WKm0w=f<~W97aBAQo+ue-I0r%s5s8q6i^)jANRvw) zR5unWgchVEmZXAHAGD5fNi0cJaL&)kNlgYdYOs|8#FSfXTr5VQf`*Ta2iYcU#^H=R zkO9b=X%=^epe&BjA~4W1u%KH44kaS)z+q~nU?jmMMto!iq2&xqE^|oEFw!%EwJXr# zoOa<%YCTT<f+7(uI6S!ApuqtOj8r0W#BdHBS0Yj&3m22I0;u&Llv<Kll%9&Us%m27 ziU`RsNz74j&PdG6D^>`}S8z&I2q{X;D+V?2Q&SYeQ;SkTT~Gx_KNkhR(%hufB8B`k z6eXE?#U;fG&PAz-C8;S2i4gVRUV(+Nkrs1)K?$fAV*n<i*o_T!4K0j8O>KDZAeqZD zpfo2Z71SY9$jmECEh<SZDpn{;EiTOgH<2^*6cY0wGR2wsc_0U<7Aq8F=7IZ4%;x5n zaBGY})|f!7F*O6#{%~soxikYx!M!EbVu)cSsYM#anxI~fYOz92eqsv9OpRhqNaNem z0&XhQ@oXT+8(SbZ!Y#SPVId50e{yP04%`S6gu@J?Sd9&JO;Gx(PH4$olS>_v%#9Ub zhN7fnL(7zuBy)3%G?dmldCf5+9Hprd{hDJYT=E3GiRj%RH{+7I^dP~cTC9)^O?Dt= zYEDiuXdFYKC^a{~3=tj00<2QZMrP)a=;Y$!fY}O4E8wU#iULKgu?0%(Y-QwXX7tQc zD1bKpbwGXeJV?V&AwLP!y)FhNcLjL2Ej0x+)KHe12Try5NF5731z113G*1CBa0BVL z>!ze8m8Qq%<ip2lz@6-r)RM%^96be)>rD*}u)5w5lF|)~Kuu7jlulHa#YDkKj7tRE zzD>+4Q79<NFGx%WcQddx0BDkZ{LpfMDVH%MYE2-GWHfi7=7uyQGlLXka}#Rh2GGa> zmbQhF3EkQj4qV#Uq5_APvFD6@igE^y!~oAF2H0|m6LFQ1se%zZ7i(~NYAV()t36se zP~%d8qytk0upYEfFiA}|F|{zILc|;6=#?6o(=Fl+xn%J95qnH0P!!X!sDMYUA-1UX zBQ9#q6pVzq1f4?N+(9K<W-gw=4{x++HsUgXM6;O!hJM;bxf%9>2xI!ye70N~#QGO| z^mj0Fxk5q?RPmwLf%$0)<r$gD;6dfYqEv9$Vy_wXK$VNJr75Ix!4{41Bm%A|Aw__h z8OrEx1aaxaT){||OFB3sGp$4+C^bE^xFod*+vpppDuWM~&0yq82@dj62ujUOECAKC z;MO6yr5GIKQw(jp6+w-IcrrO3(uM@9LP+JODZn@i$&mJLNj|7f2N?tk2U8O>NH{Qa zF+zNc@I17hHA88*hN2~B8!jtIq?jw<@h0sOHja*)G5tn(+_{WM_c`_?UPe&~hd-qu z#VeMEUkGvO*+Ricl1n@wzqmvppeR2%wYV5G_Ks&{Fc2-dSa6v^l8c1`4pV5CRB*I+ zjB!n{P`{7w%%w+~udyeSB8rj;?g+%6L_CR0B9;n9d|W(knR$4p1hR-QjE#%M5;Rh$ z%cTu94wTrSGXbD6eMr#<9w`LL1ZN}`q!wdq$ETyELO(7aNGb%GPlDN~X*0<p$;>o4 z&4LO|G854B9G14Yu_fJ_WU<7?h>?Pk0+(D^YEfodB`DaTxgXSd$pa0|mSvVyVv7Wl z=Bb?X^WcU-=I)?IqD(4p#4#%~fsw11<XIWIg>)2JYINkXhowd%1^nSky95ZDfgIQb z=!O<7x?I}OU{Qc(QE)$<h#=UEBUwyh<m$(rEWnenSb~6l-C=z$DS!9^7tr`*UV5rR zaA|ICVo@a*_NqXiOUf1(z@bHqi;-lRenze;-GKr)T-(LO^@XuUK>?CT6tIID1qEei z@E{p%)Jy>(6a*bJg9yRqJX2HPBV7eWsn|xgiWL-UxCSaTba4d78#b<MY&8mqQB-UJ zQiCRFpaAkail7mu5Q;n|#}E`8H42~#ptuBm^a`e&2%E7u2BsSa4bs6?qW~Q*!J&u< zn?XLnp&N%V%x26kEs_QzYzCQwq=N`1NC()@xI2}YF$mIxW;PZfG!^JvkPeW|xSFtF z^|bTzV9Rjil%oM?b(}euDRli3=E5Azl}m<LTbx6+wj-%M7?59%edGkCJ($R49-f(# zqfnfkS)h=dlb@We02<uSO9wCG0}B*G<{t`Di!$?56l_3aA$i5%$uDL@LlZ5wg7PBJ z>>YSU+W<85W1?$lj573tI}R}&No*XFH=ktyS{{a_#bQ9e9<3MAi_iGEc)`s$1?Qa9 z#60*aE|mDgGFA%B9w=Ig4b`F9JqRE=NJY7XVVy7kq_ooFWN-r+TSw_5BUh1XF=)vO z@~TtSVw6!~)nXi7Ah0^<dNeQ_yd)86fhj@|u}%@RZjeWc*~9{|uvvyn3g+J8e8_6z z<b2TT>XKAF@Wef64J>FSENBuRnGG9>0nMivfEKxe7>1w~a3F>eXw4gVEw(v$-7-%F z4`f|5mcb`za)LPnDcFcjROGDd1+C}9%%?a)8|GQW5>c?1VQc-6f*l+JFmXi4gTn)P z^4Nn*EGQMU<{8vohpvVPEpPxWSYWa+hOef@SnM9fYG|%&0m`EAdDc2EchzDA(0bou z@Z@lKVi9QJIfUa}lvx5^a|{y&Pfe>9Lsw%fq~;~(m*$nE7Nw@>ffkT68Cf7NLkBJ3 zFa`}m8G;DNaC8)liLMFC@VFP36lekfns8DSic6D01CeQ^IXR$Sk`$AXC9<KYy9Pj} zfl71uVEfZSku&(Xcn~w>R!Dil&<K$i3=KhSSn@F9<YG5AGS)LUF#=@*a9RK{z_E`l zH!NY~5_hdgO)dq`)Wh}zz|sM$shP2{ffi>$PGX*8MrvY;Q4&`IVj;YOZdzteszPFE zN@hN2<QKF!K0d#oBsE2^sGvkaw;&0tTtSk8Zc#x6R=J{riYN|4BV8jC3nP^EC6gHm z?F6BR(=hj!*l|gE1}HcumL!6fEo2reloo*IVnFK@L32thhDOFlTI|XBMXBkjc~R_! zmY`KXD9bA--vlv-iAy;o1GIMmv{0xRv@8g;a{)Btm6@jijw4lQbH>!d3^^ZMVB|{G z&&bbBE!NL6GR{rSOHJ4Jb#-y}_tP&a0L5-eQL1igNoh)EVqS?ZTnT7Xg}x&!+VzS` z^NRH|a|?3f4fSy(1_4GX`dDPa7{zL&YiNd&ct|fD5Q!XI)}WLQPX<frfN&&o>0n?6 zDiNSHr74JQY-nk!XKHC-#KFa8YNlskWDY75K!t+=hyf}bjIb3Bp9h6UWx1r&A?yBA z;|mgt5_57=bK)UhFQ$#ZJ-F1uL6sJ0zZhggN(yM1aY?>HQf6LaQKdp+QF2CRSt=-l zGaH$i!S|-H8C&R@SQsF$i~Bk#!cdA!0_3Aq1@Lr;i<5#gw5GIDFyiInh9(vRJp)5@ zE*BREJo&J5v4UG2phSa`dJM6po|l8d=Ym}P;YIl+sR{u(iOH!hPM99%;^Kh$A0@Mp z=G!-e!nfjFqEO$K<`k!<_#~DjXMp{T=1D4e*p^ESl%znd#|Rf!H-(~na2o>Lgkv@` zG}PjT?8z)G$;>H^Vly((H8MnNvMFOTKp_XT8!0hIAuqKARB5qDF&i0JVlmACRExr! zY+hX0O)D-)P0mC$&CmdgX@;O&3pZ_WbdAKgL?E#llwVqs8eEcJl$f51mVk{+P;;y~ zzBFuZ3Cg;l@*ZoB9b{=3)*l1!;zKFv4M3hGCJhtt@F0t37s#Gtn14|UW@FT7hPL{l z^*UNnMZniz21QXN$t4cT{;3K98I{E;Nw{hxV>1+w8(M&(9#@TIZUIZ*2H0w(EsR_W zpmwrqF=VTEMq;r-Qfg|R0<3+J0vYo&GctsCe|fmLl0myf3-UAbN{kY@;%U`f&Mzp@ kOGz$>VmCC`H8i#W6_xP*H6It3YhH>%P-=31QHlT~0AMwG)c^nh literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..be853b6d09954685b4ea90d3a51fd347b34b62d5 GIT binary patch literal 25152 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{CQ+<L#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}o`N+ssq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#{OH(~lOA8}*E>;6Q0|NsP!_dOg(j3GvvNSNT1edtx;LOES!2>D% z61kXEiv?KVrJM(s8zc%r;Yn0jD%2=AXQU=)7nkN*DHs}ASXic}BpGud=irTuTr6DR z6oHx;CNOgKGNOk#*mqV226_gD3dlhZ6IZ|<0Wkk7z@q^i3JTT==rI8f6qq<7GQdHE z?CO!3APR}iZbk}5CR|3I{tDn!0;=Nm6`XVOle3WpoJuouQXm3F3NGNL0=B&8%BAX( zpO>mooLT}dgCbm<6-qL5K?NUD=>w^dj7_!JAaYTx#umE9rpP5(9$In^<qC!+XCnpD z-HMvF&5R6<jgt~hC{Ekh6Skg(88y<jxe=DMZDDC)f}FM^iH&7r1tS?QDU@i0l~2C; zDXBTwVlxaa5^TAwVUb|0fZHh4z)m$wPBt+zLJjP7I0}+pMy@Vg1&J}XQpAWFA#G-X zJ){kgLpqGukTy{;lH?M1hE=wZyi~03o0wdbUyLo7gVBQ7ipv5P%q9vr%o#3WYz}IJ z!kY;GXdz+7WdaQeSkZ&p%ouv1V2(AVp@xDl5h;y@i^)jANQg_oJtsdYF$WSnpt2iV z0`nolKsGKGBT&nWpNrQmCqKWaASV&r|G{RSCt5TaaOpv!31k9_Zqx`$OioTSNJ%n7 zDR;>!3QTBL6qp-ejUWq@j!Xiv;c28`q|BulT9A@hlBy5_sRxr%(?I>RV4om`fW(pv z1^)t24;s`4M`<m`qJ^;wmlG_EjT8u)h!XBbh6brgNvXzZLp|K%i4AvS1tTRcg@F9x z65W8J{N&VPaNjC3PXQ%yVoTaFXu<Bx<p>LQV}b?_&rlB`Hq=eP{Xz5sNx?b4C>2{! zrxRf=2N#=>o(ZU2(%@2qq<UyJa)M-|09eN?xH7LKBeggaYYm%%7OUP|p0HR28BUzR zD6wmpW}Ix2oMM66KO&<nGX%Br5dBhU=g5>A%^D-@m5nh<l^aWZEEp*m$#KbGhPZ-f z9;E((4JM!zWaV6LZuzC)K_dm9&=5agh2o6Ff>h9OQc_WBVzv&bYwi;oqL7(aT#}fV zoLUT$N-jzTm6=EyK!aG!rl!VP9H7oPD7HbvAO=RPT+D_>W>M_MMxbE~lupDXMy^OF zkR1v+r6qZ};4v3SkHbm<)JsV&$}9o*>Q#$%6iUkT6;K88OA>PwKvH?B<scK%(i9-h zf((GMN->+78KOIfnTyc?;xG^k>MRoz<i5=jMy@zlNPq++78mP*s({Sg%&Jt7xeBVq zdJ14i!?-%2frF&XJP1FvBC{CWwNfnxjod2a=9gheK!VEL4ApIhMl4)Rrlz2Ay1dkq zVuk!7P<#|a9LvhZ>=POSRl&x^;u9KzA_MV=p)tzXq&JtQU%o;{W_pG~T4G*)X^8^Z z;52Y_XXYt@1}Y)r)Q}#ZnJL0WQLM&Bx@IWRmCB{!UjT|9q>yk1rvq@nfMP+l7;F-= zks+Gr!08|_wFKfDE-nsOYKmerHqtdR2G!s2vDu@HT#5K20K-}xg_P8s)Dn!K#vcbJ zhDbgJIn5A67#Q(#ap$F$fMOsyH75rm#>T~hp9A)Zxj9OZWpTOr<trp7=A~qU+ZZ4d zioxmJB{)E#C^bE^xFoemAs-T~;GsDMT+zd9WNv~KKcH|kF*QR@xC0k%MvyR~TDWC$ zxnK=9P&oyfAPLUMOe;|cf`wm6zCu7|L26EBUMfmh3V_Q1<WK__Lxx76;nYEp+^8LF zaa@+91RHX)(*uQ-nK4p%2`N%c3_!I7JghQsL`;!FaF7r22^wUXv56&GayBzZnW7oE z<V@{iAd|}#YrGUGI0ZTSk``=6mgZ=|W@<D@N&#vI8}8CGl%!w-*S99d=;3BzG8%56 zb`8#IXQYK&K9@I2HbrVJfhwJp%Dlwf%w+vy&>VX*IM?RqDP-oAq!y(mCZ~cLR|-X` z1v#0?;1C6sai&Jd1uCe@wlo1X@8RX?@G0Xy4$o;lBO~y%o`t2c8MbLXCoWyC;L_aO z#G*>jj4+nY7qrO?P7<IMBd{4j<gsffE?rv^02g8&5!n<mE=H1NHZgL|VbmSG0EdUp zF>&o+s!@RT%)o)GKn$o+P;g91Nlj63PR+?FR#2!>PzXwe^wAI^&?tkc()R;($3RM5 z^7Bd*Lh?(KGr&4MOHzx#RznPOh0b0pDAaHb-VoKr5u~Shxwi1uD1iE$3eNetNtxi) zHAIAI4YC>oaKIpmn3|%CKqb*7&~zGtwLynlKyqB*s44+18-SWX1cl#i3LtZ_nt~>Q z#>MKku+*YT1rJbP+YQp!#%eH<ARXNX>TJS0OGr+@$)uy(@O0&{J8$6JhTT2LqI7f{ zxaUAhq|(uC;O6-tb(;&c6&DO?#erOzpQqpnZ^ikgf(91gtvGNogot9al0ZR$s%1zB zct{gr8$lNSI7KgF;l`m$p!v!Y>}lk(cj#4T<TQq&(54|Ax$F_s5Jn1E8h7xKb=1iR zqa^cG^Hg(FN+<LTu}-9#Q)Ti2G_L_(v4ylU3)IR*ZczrJ1&9Tg89YD~uudeQhJ$fx zlBtnV62%jnhGy6%lr5+d4xlwcSi%7`LI_{?;Dr_rhFtp4aKH=&lvR4eB>;$A@?Z>J z^5BwMl9)+g$pew=qKrXPi!xkNphfqYB?{oRx(d$uIi<OI3b+^S;9M64G7h&<sA)IR z%+S!>*dU4GJUqZ@H=2kEL^duKV?D_9AuM?Ei%Xy(te^(!69hT>Vx78;K+78TT(*#` z0WuJuVJM+(WSDGWo@QZ4@hUV!(3~p9bS-sC3hYZyj6rjU@RA~w*w8jsFp}UBb1X_t zR0u9lEI{eWVw;T*LJMb0E^}Bo8!KQp1|@_I6AhA6Of5|*FN?8;uq9Q>Vq!v=m<e%k zdNxroQs9z<&kKPXdf>@6Xzw1}amN<YI9mb6dM1czCalJxhIm?<k)ers3dPH*u%~JI zg?Iq5Y1&l5NSI5|EwQ+SU~=|FOU@=-MzG{;s(@hvYPhBv8l)wrCR$KjGFxJ4RTvsj zB_(5Dv1$UE=7yIQM3>BF3ZR7@!MTY!ISRqx#Tmp@v3N>mGX;Exp@z1(QA&!XkpabR zStCPip-q+b!C<4YwBbNg@$k?NBsLYBD;SAz2?eF<CKiLX@PR5ng@VjHthJvXS~51} zGKM8%a|KKzP(s$o(8Ac<EG3!ZB}zu1raXF`XGqhKMXB?ME|D!1s9qvlkWwNWC0VAK z8KowhQ(AHibchpOB3n|WM7AVQA{!Z|8e628ry5WkuBO<^2&yc$GX`x>z$_zBx5eV@ z=NT)Ym$+zId6)!1DiMVw3m21#f{_-N259{*WMLs_VLM8d4_<cVoRgZEryE*;t&tT@ zghgyzETGk&Mp9f7V5^|L2}12Rs_k)#A;OIuTx=$Kpe=kxvRu+&SHgE`p#%uFFbLyv z#G?ak$0lleGfPfQO-oBl!7-OZOkKyt#Q}CXsD~}U#pe|2=C0tGSDab|+Dweix&B0N zUKQaI!W=7u8i_Kl5=TS`LpHK1b17mPi55gq8$j!o;I)1pmn(SC0LKR3jLPEp{DKnb zZhicFe3^|c3=k`CKnvbXqChkH=0+eJ;WPS$TpFsypf$75h0w6s;TnZ-zhGO{Vuc!o z5GVt*LcrJ<dBrR@7pH4+NoH<hNotA_6Bh$$AF!^0o|%COm}RJEYHSR))XWfN89_8! zJH(000n!dJ#6D_?ycZZHWf@wSnj4rVCZl#*w&2(fHkpxY0<P^~26{%=+SdjKdWJMD z&_Qc@;L$&yiR&&SIB93XlD0x-N@`w7W?Cj>ttet`CTO*X9yAN2rYL}PfsQB8Q3!&r z>3|9<xD;iUr4~Wtg0nLV3Q|)PQcA%qrs0`iKPbNxybRbmKQApOGr6P~t9ob}aY;=t zN=yOwNU<u*gYK$M1#R`uOIJus%*;szA3*_Hw#Q^*jJEk4X@xT=og#6gSWV1yQCE!y zprsviE>qXM6wsDXEIAIeV;v>I7+Dxunj4uLrl8dQ<RutmYz<jZf}zUz4XzddN`k@J zpof)}pkx6}B$@ens6hcQm66BL$=GuM-WY(<pr^{FRiXlcm{tJ1`Y=*3QsGiUtH_|~ znow0eiIFP<yG~fC2`Mx66x{NQz{}NhLF1B%$;qWfpzQ~s#prqI3P@*ZC=@^s<0#Do zt;NbODv5_E0%a6tGeZL{R`4+mphZ0fdd5bkQJ`ZQ%u!bYCZXjBPcC;zX$naMM&OM` zNG%^MzC}qGhL+|@i6&_#sD&yS3Bwdy``E;UDkB!4?m1@r*chb`Jp`Q`f)<z7T$b>- zgv2A3X64X}Jz_>qi5#0Y0nG$RaEYO1OmJ(y6l-H1=h!sJDD1`z&k*(}qCE@l)j%f7 zz^#QMQ2PRM0tvPTEHQ(G;7$l=ZVPmTKtNGuUWo#{6$#p)o}OBat5JW8l}jBIL%LwM zfctUSw1Q6*VwGYtwm@!a^ssVuvT`ZJlL0`hx!}tYYGAXd&iQ#zw<^>q1ZRLwPeKw> zsNt$naLFu6O$PZ!p$5GFBR@|eII}7hY8F;8t{Nl<BC}z_SjAv2^vf?Q$v|0W0kX@m z!Vs$%Wp)MUm(tBH1=qyl60Cjz`41U`{7RX>6v9(!Zx^^GH!}ncvBNuKILE+E^g#Iq zcU~Eu<&zIuWoXQ02&)W@A?+EY(r9=F0g=aqnCL-{331EJLq5Gh0Z%RXahNWE2On@{ zWMOG+f^7l(AZrp5(+<MjyoWRh5mg~_{{>}86E$y`Sels_S(sBikweSoJuv~}f>u0e zb7{gx0>Ed{fzPW$^}1oQfrV+R5o+&$2&RcTE_c;p1<$;+{9^Ei_wd9b(E4`>#~HQ{ z9VQA+3#!G?Qy~>n^OEyRK?_JzQ}jT``7s$;ARoNQ!o_561{#Nf?vDd+JZCY{H8DgU zC-C8tQY{8;B`<*NV=gXD1|5u%R+^Jj2{9DqR7&)NMnUF*sy_J8*V92!90_pof&1Ig z5mqbs(Rzkf2F8e^^-L^5Y}nCqkj^>cXgwqRMNkJLmnQ6JOvqWApkM?Y(ps#bq*|<` zkeR1YkXV$MlarbQ8U|oBH8VCg0XJmx95YfAQ$XjdK{UoE=jW9a<>$l~r<N4!z(x=< z^Wn_Wl1%s+iX4VUx<)1z#wdI4Be}HE+>)M}2i+zDJ7g4gPANFCfL#L_z=OJmnTydl z3T8M;8tGu<5_hdgO@<6D!cO}H4H<&A>VZu%K=zj#mkSnuDd?7^7A55urz+^CW#*(R zB$lRR=7Y{*LGgV&INnn9iV8|#jxj><=^jR|WsF#iw*sA{gL1YbY$dTC)_GF{13e>Z zENQi{1g)0E9KtZLz%fg*ki^i8=Zb}T7bz8Bgdvg~QV=TW79=4BG!{h#N!Wuqo3tp` z<Wh$^GBY2;ZJGH<PQq>-ctaT61UzwR0h&-nAEY!e(6cb4!f=#@1!&bImbf&)5tklZ zYT=+#8g!yE<Q%7z#F9kNNhL{{d7#aCiABj7nPsV<{LgG;W(Ggnkj>aa*Te#Jk{rC# z@O4m>oKjp8ARnbdR{Xd)fi`7<s|G6t(D@YbJY`^r&gJ6bz)_M~SeSvzRwD~b0|RW0 zxi^Et=Ym}P;YIl+sgNFtixZlMO)OA6Y>2Pwu&{t`-?XqaAG{tG=Msf_xHP9YHN_{f zBsl}@ZP3xvq#Z*`$iuc=VxUw7n%#+TadlHDf=p9^Ya(VNLqjcY$bm4R0;)KQ&B#F4 z2z-PwyjkJJrHsu0g`E6!@KHVBSu{|4h1tl!5{qdDpm|GzrWF^YCTAw*fVNbFPLyGh zVm2}~z+##qDC5FS8yr0_F)k5EY=Wi$Q-e$LixSgQ(bBMiIZ7HfFvZjSArz&9Bh$ju zFznP)=fva;khiIyh6h<RLr&XOfcck~iyKik8=*$C5#DGvgp|!VimESzqHLDr5(j1f zRPeHblq6i0k{N3HHZjEOacJ}2!V>Ei`|XTe3LzPwv(6#+A!H;LD<q|+<|#lM*5FPT zs}!@Dks)kvy%7%=S2F0V?1KEvyb_}nt|a}8{M^)H{VXHn+|<0(bbVh}7iWJz{gMJu z6S$-(RX4SyG$k`JuS6HFq@XB2OWzUJHr6XD%`4W=%q_@?H`GV8n4yj2l;naac0+Sr bLt_h2gB{+K;N#)~9b^@hnw(#hBESd$1e(o6 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf new file mode 100644 index 0000000..6f2ae15 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -0,0 +1,32 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76365f305f31345c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3232:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3138323632323965633632633463303461346332323733633338643861663266:506172656e742050412070726f6a656374204944:00 +eof:2281526384 diff --git a/proj/AudioProc.runs/impl_1/route_design.pb b/proj/AudioProc.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..830105aa514b5edd24622ab1bfac4e10506e006d GIT binary patch literal 17121 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMw2L3BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zVQNZJQi@rUDHpO~8yLA*xWKMLbx=PeR}W)IW^Ss2MzN-qLUKW=f~|sqft7)gm5HT- zwL)r6VnK0g3S7|0OhHe<H#IlEs8T`0*Gbb#p&&IeTftVr*u>CC&)C3J!CE0bF*8rW zR>8=?K+nR$T)|o)ttd5Bp&+BOI5RmhN5NLX(8A2v7$#npSyWOA7B;dlGc`kYbP#bN zYNTK!&Ls*;2&qL1o_U!inTa`>Rp0~%O%^EO8cu|%Y+NixdWH%{Qd|;lnH35l`33no z`RSDk&WOZ^&FB!cD6{6WghUz0FkA)=#n>~#9($(9u@^vWI2$P#33CYs6s0PF<50ml zCp9szv;bQG`=SM~36~KpfQ=L|Oc;tGYluB$K_v~mx+SKh0jFSN1tWeg-q3=S#FA8n zkj&i7ymV}#inF9K(lb^-(Tx(KMurB-rsf7oX_S^Url3*-qolFKS<;vp>KR#p0t!~r zm{{srn88aFl#<5O+yGmMnpzqor`1X>InTV3)S}$fluSfeDFm11<|Y<ZDyV|00cJxp z6D?M7Jz!+PWmcmQ?iXxppl57hq@Ylv5CY~H7#M&!;U2-Zx(0fNCgva+5XaC=&(zSc zhARqGahMo_iaB@%?T?nI&A3dUi5ik2&<iz`NH9z_NwrKgHb|u`5{#`3K;eWQ3C4!F zBf&z?%p6n>z#_raT+hhV1Qyt+kzfX|29S$;Q%eKnNbn}2z+mBGGFAY!4c&9{lM-{l z0fZw}d!PlYK9?>eSdA4>H4oSDB&L{P;bJlYH%OrMCcXeAvf?y>R-7;s{ZdO{rYZy^ z78heHfpAuwAj5DOgc@&2X(>qtiAhNm=L1lhrdd7!wW+|(A=G?;(&Wck9Gk#eH}LR8 zY4{DF5b!6iBrsJl65$dG$}G?=El_aGOHl|)1sBiQQdl4nMzV3Sn1T|o2$vAFgzzj$ zEdn<r6%4Q$N<p<@V1m8c@Y8oxPyp2-As)fDFb>vg!w;=|GvzXdly4wEV7ebAw-{L% z8yKgUTbNLqTMR9*bP`NVs8eg0gX(xfxrOM6H3Fv=jDRtucEp;Rf};<;JphYK1xg~; z2v&6=5~d+)#2P1ASXi2;m{S_D#@LEMQ)B8xtSP*$hEfcgqZETaXzAOS%MhBr6)>~> za1DeAB8n{*E+#VtBUvtKm(-lZO3>JvLU49!xq^Q|NoFpdjxaIp12!%eGf<Jp&&3Nd z9o#t!#o9T;S#N<%K+!#1V=Ih^*aC;Bk%EyVm$-9IesVT(aTSb~8LYT0Aeq5T&qx7> zIm0!SL(#&*hRX^X77CD51{!U}$N|GOG`xsNb1Ym;=HQ`#fc)YT1&{ok6a}};3Tzc0 zk!6B8v`l~*r2wjru$f0e3(3&f1ZzcVpl4`k4wmr%^}iuqZmcaNoCOld`6y0DO&ms+ z#zv_|CKeRep`dCGy(?i#rN$9ab*MX9R?*|qfn*hP1(c*PT>Vevkb(txNC7hXn3kCb z8a__NmYQ)6DOiAeWT^@;&BHZ3i5!u)1dqsvr50tTRe~l~z`e2*g}l@fti6An17Ma4 zSj`xUp$lqGV|HawTfD?{ds(@d4Gq8p<}RrP`Nf%_$uCIa!y2?W8_|Xa3K#~UgsWk4 znz?CWn(-hn1&Ik)Vp1(ATn!bB#JEJj1!F)_esXGYF*sy#j4$F$wT6a}!WGSo;TpQc z%=3Uk7t;R*hc39JEy6P+QOYIbT3nKun^=;X0#$}I7!RIBHZ{jG7*ArGfz{Yl*VG(& z5_b<H*DS`63}{KN0O~;Hr7Pr><|d^U6)Pkbr79#Pg62c>^Atdn-{69+NFf#OR;Y%= zyu_T!;>=<_1-JYng+ztqe9%&cl2mZ#EHOE`v<NiI096cHRFR*SrlU{*on9}^Q-IE- zLsY~UL*^mC)91{FMlhd)ClicOXZvx^kQo|5QYA{*57#sqNkpR<lqQYA{bo>_)CGr& zf@@i7UWo!U=i<otIQt)l#^4~vVH8SOr<o<CnVOlTP~5}>O}NmkiAhZ1>cAxj4!R-* zXJ{f%Q3x(g29=&^r8zm3pv=l>gtUMn3Y6<mXTV(0nyK1cnyz^%pq2y3Xb=rr12Rkl z(1S}XC>50RLH>lUn1ZatVwGaDFov)Hz*rC!#cF7-YXO?agij^bak;A&D|qIm<rnLK zR<ML87J(KgK{(DunI+&A7%)+AX{1^VUGk%lnwOkk3YrB?P0<6bw_!4}Kw79{#KOg7 zX$o5XVhAD(z(f>_iLMFC>Ixq&Db-?ld6%M4jFJ>sq?n9QmK>q4Ed!Z{G79*dk*i3x z7=49`YB9>NvuZJpaY?W`=maI04Q_%V%}pW%5hIbHWimWc%qA8n3&X%gJ#-12l>%rn z8fck}v6Zm}jBR9PY6fB(o0x&;MnTJyj3Be3M(kXyh8Cu#Mj(cfg{ip_cm<m|c&!&t z1rKC3*>XlMao38}Wble6P#A(1<tf0@0;{Q+v9W;`XF*P4o?}L8Vv12JS2DDoNGVEI z&`rzCNmWQJP07p$m0zG$Sn*&-r|1<Glql#HBw?2<NK()(DyYCNSyWIF#bIcqYh+?! zgt8iJ8Y7`)gLHBo=4wPcE=kV-1?R+)#GL$eh0J1w(gM(8HP9jmJpooJ7DFRrBQ5si z{G!zK)VwHmLrYyl3uBZOXp}Fyo5#eZ3~ELcr52awloW#&lz|q?ff^8*c?#f|QZ0tm z=cX2B$l2@?BUhS!Mt*K;v3{13ac*i}YP!C!tBbS0pMFUJD2_{tQgu^HN>efu^GbB# zN(zedv-BNdk*`-&npdo!nOl$(Z>WzeNeD1X(a9zY#wb=JT|+aJ6y!x(Nx{R#g-GmC z80ExO67$X^My`HFsDZhuB^miCkQH8_5Jt{LNOJgd6b^-$xeJFvoH^|X!JIZI{eqb< zUAa_U^7B#^ic?F#z19d9XIKLpX;CLQQ!p8uYOz5Yzfr8l7P`ium1Xc+&YepIwkFmW zDe9mLTT#}=nj^1`Wiv9?H8e)4Fpn{D8KLFVAjo1?WRtOFTQdWcitH65R}rnUEzT5E zBETp$0Jd5%MzI;`8k!n`mhZr0C5W{AEW#y(nf);H^>-5U^%h31jj((TNkFjPlY(w; zVnuvGVo64^f}sIMb&0ANcg=}i9cDJit`1bu>Xs)K<;Cac#g}E~=Rj5$V6S7TmSGaO z#39T0AZq{v5{nXZi>;s)5R;`LEUy`<bEzr>7o?`9D5Mu9rleXa=o;!kCsjf!3sS8V zax?Sda}z7TnbH!}@PkKx7MC-g)z*;EP$-2gMZ~+@n%U44p7}wIOp_=!V+&nFRNJO7 za%sZK07x=|wU>$&lvInA6f*M^3KEMFb8=F1K$SkY@nnMBcyi#fgJ_ITDlLvL&Q2}Y zf%UpG^OB1o`wnvAi&9IAQ{gh;_EdavNn%N9F)YrEQEIhFE^Rb-rKje>7LlNpP~cWJ z*k#7ZE@S3mG=>`v3S;=f&rT9EgFBZi)Q=cm!btxZDv+`O%r&S@z;rGrPee#5fELFq zWELx=WEO*0+3P9z<treWssIj31yJV%)Et923=}uaCPv8nO`_OLbPWy6K)nEX;+jUH z$3wV+Am&3n0gW*XKO;vcmPRs)bU_mKP`E&%(|Q@Xx?lwqEafR+DH6~dA~3ZOA7N|L zqpQFylh9S*Y;z310%JRg(NxM+3{61=`Q@oa7)b{#iaRbK($H}`m@>==fhYr~Gf<4; z=xZ$_*a93N*J8Huyb&9s!cvn<@{4qHK*Q*c$t9U(nI)B=k+p)vba17orw1<om`n|| zSi#{C#cFJ<Yl>3f1#lU2xxqFr!OB%a27|^f7~zJp7#r)Nm|0K5F%r<2q9IKNNx+TL zct|*5bbiRM7m`6sP~uBVGGRMrFcLb33Rr3`Dk#BTA}(a)%5qE01Z}h`$p=k|rhv!F z{fiQlb5a#bK%*4tMfs%#kez6GiMfy+fO-lc8JWeP8l)(-ur#v>x=#zTxTPpHJu^SA z7(8MX2Hi1~UX+=l$0fif#cXM5ro{%?OlTy;CEyVp6z}98>gVDZ6d51l9}vZEWT<Ot z3~sKnRj@$@TU@!65RS}*?HWo|KrtO;xVbUhaIir}hUTE%7;u9=4~h{gSuSa4LnAdF z*6@jklwie(;VJ_wLvzp&m4Shkfq|8w0f=pEYGk5kW@%}}!Nq23re|Pe4jQB~v@kU> z05Oa#OwB-xU(I#VMytLK3V%y+NrV?=mZT~KXCxM+x;Vi{p{*2*c)7SC9yKt~GcZKw za&d9Mz0S_XYM^Ie0QN9ppL=krf$Rj0*FxL1DTyVCphitnW*%t2NMccPMrK(mxN&1- zW(I3sgB!9Y7AU=@*MlMy1-baci}FiS6#{Y+lT%%s&;rlI(ujwP3m%q6D9Hvb?2Ij8 zsm2i780VWo;a_nsQK)}QbBa?_d=g8NGr+zEZGi>n4FhoAfO(lX4`a<Hwp?Pc#1i4+ z>ZSmy>@)My!F3<Ak)fd$H)LBis0=QSVly((H8Mo0ue`XFu^FI{lb;SA%?GWjfSP7t ziN!Pn(9kBl3xUhD;)2xV%)}h<8V#svh6Y$nGX$kxxM?2;MQn<3i9li#G+UG!T#{du zn4XH3gbgfE^FL&y9#-^`mWJOC3SYz1uwQCPPG)h5f^%YW2FTmUX&9{t#8c)G$hU(m zrd=Q>2f)0H=1nv%`M&-#C}LWYOB_@Jq$&htR2HWs;i}@yP?NX`cw!1y6=!ULx0v3} z$fW=p7ga5W9N3VNSgeqgnwqBoYh-|0UaV5gW=4iuuoD}2xVVx*+t3U0GxJJ}Qn-?6 qH698oLQ_-pQj!az*bU8f4UH{81txs3fRBsI6}%ZJIlm}HfDr(uGL$3$ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js new file mode 100644 index 0000000..52db31e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log new file mode 100644 index 0000000..c22e5df --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.log @@ -0,0 +1,772 @@ + +*** Running vivado + with args -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Mar 5 11:42:20 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:16 . Memory (MB): peak = 1680.305 ; gain = 295.840 ; free physical = 20306 ; free virtual = 30315 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2098.305 ; gain = 0.000 ; free physical = 19880 ; free virtual = 29888 +INFO: [Netlist 29-17] Analyzing 90 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc:54] +INFO: [Timing 38-2] Deriving generated clocks [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc:54] +get_clocks: Time (s): cpu = 00:00:25 ; elapsed = 00:00:26 . Memory (MB): peak = 2815.828 ; gain = 624.930 ; free physical = 19319 ; free virtual = 29328 +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2815.828 ; gain = 0.000 ; free physical = 19314 ; free virtual = 29323 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +13 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:47 ; elapsed = 00:00:59 . Memory (MB): peak = 2815.828 ; gain = 1118.680 ; free physical = 19314 ; free virtual = 29323 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 2815.828 ; gain = 0.000 ; free physical = 19313 ; free virtual = 29324 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2834.672 ; gain = 18.844 ; free physical = 19312 ; free virtual = 29324 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 1 Initialization | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 2 Timer Update And Timing Data Collection | Checksum: 242d10490 + +Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-1566] Pulled 2 inverters resulting in an inversion of 4 pins +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 19ddb778f + +Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.21 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Retarget | Checksum: 19ddb778f +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 2 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 1f260d374 + +Time (s): cpu = 00:00:00.28 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Constant propagation | Checksum: 1f260d374 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Sweep | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +BUFG optimization | Checksum: 1f4ec4585 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.37 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Shift Register Optimization | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 1f4ec4585 + +Time (s): cpu = 00:00:00.38 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Post Processing Netlist | Checksum: 1f4ec4585 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Phase 9 Finalization | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.4 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 2 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.4 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +Ending Netlist Obfuscation Task | Checksum: 1b8b65cf8 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3139.570 ; gain = 0.000 ; free physical = 18985 ; free virtual = 28996 +INFO: [Common 17-83] Releasing license: Implementation +33 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 3139.570 ; gain = 323.742 ; free physical = 18985 ; free virtual = 28996 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 56.027 ; free physical = 18980 ; free virtual = 28992 +generate_parallel_reports: Time (s): cpu = 00:00:06 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 56.027 ; free physical = 18980 ; free virtual = 28992 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18980 ; free virtual = 28991 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Wrote Device Cache: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18979 ; free virtual = 28991 +Write Physdb Complete: Time (s): cpu = 00:00:00.36 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18978 ; free virtual = 28990 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 12889edb3 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18997 ; free virtual = 29009 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 162133ba4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18972 ; free virtual = 28984 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18968 ; free virtual = 28980 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18968 ; free virtual = 28979 +Phase 1 Placer Initialization | Checksum: 1e6cc4224 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18967 ; free virtual = 28979 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1accf0db6 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 210ebbe3f + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 210ebbe3f + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18970 ; free virtual = 28982 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 1f3c4cd83 + +Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18927 ; free virtual = 28939 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 126 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 55 nets or LUTs. Breaked 0 LUT, combined 55 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1401] No candidate cells found for Shift Register optimization. +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18922 ; free virtual = 28936 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 55 | 55 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 55 | 55 | 0 | 9 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 12b7e7e75 + +Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18922 ; free virtual = 28936 +Phase 2.4 Global Placement Core | Checksum: 13eb522bf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 +Phase 2 Global Placement | Checksum: 13eb522bf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1a611730b + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28933 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21c87f814 + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:08 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1a0cd595f + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:09 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 1ff2147d6 + +Time (s): cpu = 00:00:17 ; elapsed = 00:00:09 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 1e10faea8 + +Time (s): cpu = 00:00:19 ; elapsed = 00:00:10 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18928 ; free virtual = 28942 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 172dd9205 + +Time (s): cpu = 00:00:21 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 218376dc3 + +Time (s): cpu = 00:00:22 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28934 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 2b9f62ec7 + +Time (s): cpu = 00:00:22 ; elapsed = 00:00:12 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28934 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: 21e38d7e0 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28947 +Phase 3 Detail Placement | Checksum: 21e38d7e0 + +Time (s): cpu = 00:00:25 ; elapsed = 00:00:15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28947 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 26ceefffd + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-0.604 | TNS=-1.533 | +Phase 1 Physical Synthesis Initialization | Checksum: 185705ac6 + +Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 28297271d + +Time (s): cpu = 00:00:00.32 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 +Phase 4.1.1.1 BUFG Insertion | Checksum: 26ceefffd + +Time (s): cpu = 00:00:27 ; elapsed = 00:00:16 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18933 ; free virtual = 28946 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.325. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18921 ; free virtual = 28935 +Phase 4.1 Post Commit Optimization | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Phase 4.3 Placer Reporting | Checksum: 197ba4f46 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 149642873 + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +Ending Placer Task | Checksum: 11c085e2d + +Time (s): cpu = 00:00:55 ; elapsed = 00:00:44 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +76 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:01:03 ; elapsed = 00:00:49 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18920 ; free virtual = 28934 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18894 ; free virtual = 28908 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18886 ; free virtual = 28900 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18886 ; free virtual = 28900 +Wrote PlaceDB: Time (s): cpu = 00:00:00.48 ; elapsed = 00:00:00.19 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18882 ; free virtual = 28897 +Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18881 ; free virtual = 28897 +Write Physdb Complete: Time (s): cpu = 00:00:00.67 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3195.598 ; gain = 0.000 ; free physical = 18880 ; free virtual = 28896 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 5783f640 ConstDB: 0 ShapeSum: 2c697c89 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 391e473f | NumContArr: 2f4b9a3c | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18633 ; free virtual = 28656 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18632 ; free virtual = 28655 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 1edbbd6b5 + +Time (s): cpu = 00:02:49 ; elapsed = 00:02:26 . Memory (MB): peak = 3412.305 ; gain = 200.887 ; free physical = 18631 ; free virtual = 28654 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 210c570bf + +Time (s): cpu = 00:02:55 ; elapsed = 00:02:29 . Memory (MB): peak = 3461.281 ; gain = 249.863 ; free physical = 18570 ; free virtual = 28593 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.382 | TNS=0.000 | WHS=-0.147 | THS=-16.511| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.00024294 % + Global Horizontal Routing Utilization = 0.000297422 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 1009 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 999 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 7 + +Phase 2 Router Initialization | Checksum: 1e4e9a30e + +Time (s): cpu = 00:03:00 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18567 ; free virtual = 28590 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 1e4e9a30e + +Time (s): cpu = 00:03:00 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18567 ; free virtual = 28590 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 1bfdb0abb + +Time (s): cpu = 00:03:02 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18569 ; free virtual = 28592 +Phase 4 Initial Routing | Checksum: 1bfdb0abb + +Time (s): cpu = 00:03:02 ; elapsed = 00:02:31 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18569 ; free virtual = 28592 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 316 + Number of Nodes with overlaps = 154 + Number of Nodes with overlaps = 94 + Number of Nodes with overlaps = 55 + Number of Nodes with overlaps = 48 + Number of Nodes with overlaps = 18 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.042 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 28303d784 + +Time (s): cpu = 00:03:18 ; elapsed = 00:02:44 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18571 ; free virtual = 28592 + +Phase 5.2 Global Iteration 1 + Number of Nodes with overlaps = 198 + Number of Nodes with overlaps = 113 + Number of Nodes with overlaps = 73 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 21 + Number of Nodes with overlaps = 10 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.055 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.2 Global Iteration 1 | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 5 Rip-up And Reroute | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 6 Delay and Skew Optimization | Checksum: 23b8897d7 + +Time (s): cpu = 00:03:32 ; elapsed = 00:02:53 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.134 | TNS=0.000 | WHS=0.117 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 +Phase 7 Post Hold Fix | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0803118 % + Global Horizontal Routing Utilization = 0.101454 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18553 ; free virtual = 28572 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 22932e248 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.134 | TNS=0.000 | WHS=0.117 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 1cf75af38 + +Time (s): cpu = 00:03:33 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +Total Elapsed time in route_design: 174 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: f6bf564d + +Time (s): cpu = 00:03:34 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: f6bf564d + +Time (s): cpu = 00:03:34 ; elapsed = 00:02:54 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +95 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:03:38 ; elapsed = 00:02:56 . Memory (MB): peak = 3468.672 ; gain = 257.254 ; free physical = 18552 ; free virtual = 28572 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 4 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +115 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +generate_parallel_reports: Time (s): cpu = 00:00:17 ; elapsed = 00:00:10 . Memory (MB): peak = 3524.699 ; gain = 56.027 ; free physical = 18540 ; free virtual = 28560 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18540 ; free virtual = 28560 +INFO: [Timing 38-480] Writing timing data to binary archive. +Wrote PlaceDB: Time (s): cpu = 00:00:00.49 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28560 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18539 ; free virtual = 28561 +Write Physdb Complete: Time (s): cpu = 00:00:00.68 ; elapsed = 00:00:00.48 . Memory (MB): peak = 3524.699 ; gain = 0.000 ; free physical = 18538 ; free virtual = 28561 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 4 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2/O, cell leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0/O, cell rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]_i_2__0. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 4 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +126 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:59 ; elapsed = 00:00:47 . Memory (MB): peak = 3839.211 ; gain = 314.512 ; free physical = 18177 ; free virtual = 28216 +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:50:17 2025... diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh new file mode 100755 index 0000000..5a38285 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou new file mode 100644 index 0000000..0e22440 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:42:20 2025 +# Process ID: 181267 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.015 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32408 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..7f5643612cd7504c4cf8ea363eebb0e4ece389ac GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!sGPfbzqO)OGSFjX)#v@$iYGBj5(GB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$>?0Z2 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/write_bitstream.pb b/proj/AudioProc.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..c860ff58537ad7c56993f0b861d4aa3c848d069e GIT binary patch literal 4347 zcmd-|<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZGT_oHFUl-QjZexfDK05W zP0Ur$P0KGzPE|-OP07p;D9TUPOUf)!&`rwBi%-kUNsVGP(KR$Q&R{5DsbHDF$RNPP z)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mhkd~TQQd*R%psrf1u8^3Q zqMu)+kdj)KnG6vExs2J^z(R{HIX^cyKhH>ji_bH+ASX39HLoPGBr`wHh>MG(BH29A z$iSc^ip|hm*Vx1y*%1X?2JZPKq}j<T#cXV7K$4x784Lxi6|4}Cr*Y{7mFDGvJna(X ztdLl&kf=~ll$xBMmy!u`GbkuP{z=SBfrdVdp|Odj7H2_DVxD70YGR6!AQwMc_(!oD z8tH<RA^XmbOVTqy!8x%cF(*G=A+uPav;bsyN@7W>o&c*9i=mORkrsP$eo<<AYF-q( zp{1^&g)xd-?YLx+-CCYmlA)kltWc6sl$w}Q%q74o#bjin#hg-<Y{bmPXcEP0WUOlh z3Sqc!cd~IcvH4~e7lZuk?B?zi77U6>1!sRhH&6HYFn^yAM|W2Rm(=3S^gM-tqWpr? zqLR$iVk-r|)Xb8M)FOqFj8p}v#=QI@bd6>CIVFkdsR{)Uos}SO7N?ddWafc2CYKf! zrRJ5yr-04ZQ&4cu&r8cpFD(Km4~3+}yle%S5yh!FsmUb@8W77g6>>|9OB9k)6+p(7 z<ST?ZJNql-7b&>=x#(c?0-AD=vy=0Sia<stqgV>HPY2}2{Gt?)7xNWTQcF^cax?Q% z!Or&7_eVIZw4flrs05rLK!z4%<`sjqg57SQr=Z|j0`_!idU|ScNoooxii-<UlQYvQ zL1q=FDnJ9W6dVU2Bh+0}GD{R(AUO#U=IRP*rFqHVGzbko_fSuems2u9-pHv0Sqsu1 z;o__S%GL@9Bh&J8a`MYTkz8DvSCUv^#l-~*xOjNHKtffaEHS4v)leZCHDY496qL&| zQj1by@|ndjS0e>GhLNag2yC<w0i%sTVFmI7G!{S!rz|rC5^SjP1PNd+uAtO3NF0H* zL5m+$m8He0MGEPqnJJKBD>uI=RUtDkEx#xioXqr8iv`%Um|cRLjl{SZ1mvUyT!Nfy z0y6Uy0&)_;C4mKF6bB@LbPY2Y3fL;xAZ5h`R<2Ks?unpSP0q<r&Q?gyNKMWL<&6?h zKFkD_9qCBYd8s7|#rdU0$*Cy{NtFtT3d#AoNtt<IS0v^r6lCTps21xeB&X)&D5w_e zDTHKX7J~vLFTX?~JwHE10g_J?3W^ewOF+des1Pd8%*j#6$;?g#C4k(5#N-l%g480g z@A8sU^%UIlixh%=Je^$?ic-@vi%U|Aigm!LHMJrUR2u6jlomshwX>@N$O$F+3d#9- zB}Mr;paiOrlb@IZN;vsx3Mq*tiAbT9!o{GJz@?j$npWbLS)`wqSrnR=SrTuk57iFk z1qa2am6nti1(zh2qzbT0k*2|DDkB$z(ga2>S3>r<1^dS5=cQ)km&Bu38DEr|9&HjE zpBZnY?=QeCMID2TCW746!^q`K1$PN>N>RfYBW-Z{RRyQtjLPE7<is4*BDKajBeOuk zC$%g!2b8D-T!Nf+4NXBcKRi)C8rg|Djf+7kiA%32Gd+W(R867|qq(5uGlP-Klb}sR zr0e*218~x&o?%8aLGGKv$mLEY_X%)HQOhW*B=Zn1QBeCXEi*5(I3qPh0or)t0=K*@ z4NQ?6bYfg01`4i4Mfsqj(^w%qu?W;YEC#pgj14VO+GLqrP60WoiN&c3MaUHs$gZMP za7#f0LdT~RC2N8UVvGt2<_I$+M?fqyGB7}HtcG)G!Ww{REl!w!V2wdNh?(Z523p*Z zTBNijGp88RE@U?{&^0nLH$pbro=eOJTJnR6eQ<-KSWiz6)T(DOG%`0tF$e5ALqjuD z)CR67%!uO5l2l0bfiS@ss|ldyDZCeg<cOmDQcNR^uo_{8><D`<v4Eod<Wxu#H@^VX zenR-e*bJKyriLcSM%Zu(I~S!Uf;&P<nI*Z21&DAkvBc&C6Hqe&?hA7+F=YLaE*aDa zMsqDrcsDJI#l%1tB>?=mB*Kd_VKzf_f|>@9P-HSRMA8lFcj)P(bn&8CO$>AmQ5-{= ziFsH|bmNkD$}CAw%~NpB2Q?@_&7t7ZWKfW&mFDDBLX)1E0Y-8*v@k(Qa~@n`L8+k3 z18Q$Tdzz3wE~^xig)#0<T@<UKxvmAM8iV(2>bcxiixoWc((;RSK#eh2rUi4HL17B2 z9ATp19)oHzG?Oc&<|XHs=9Q!trKW%rJd=?HatE80i`me~43u}kgaL?U5XEAmiyH6# zTvDpVpf+AXPAVu+ije{Zl#Q5-ERjvc(rpA82?`;2QhLS6Ris)Rl9`*Tpi!)8rI1`u zs$dK9p0z@1PGUiEY6^s_r{J5Kn_pC^pyBJJX{At*nwSlduvSP<%*=za(~4446$)T2 z1*kz_!LrPvlG4N+utF{Y9w}xM3q+?{m`f0?xUo_I_2mr=tPBjSOf3;?6LS#T*uvOS z&&bfwh?9%m*w94J)X>O?or~4b!qD6t#4xfjGBg7Z0GJzryvtL;18HFMadElkr6>fY LCg&HW2rvQwnzuhT literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc new file mode 100644 index 0000000..992fc16 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc @@ -0,0 +1,51 @@ +set_property SRC_FILE_INFO {cfile:/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc rfile:../../../../src/constraints/NexysVideo_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ] +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7] +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata] +set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk] +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata] +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk] +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk] +set_property src_info {type:XDC file:1 line:202 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl] +set_property src_info {type:XDC file:1 line:203 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda] diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..a10f451 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="j23meneg" Host="fl-tp-br-634" Pid="179134" HostCore="4" HostMemory="32752444"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/audioProc.dcp b/proj/AudioProc.runs/synth_1/audioProc.dcp new file mode 100644 index 0000000000000000000000000000000000000000..0da2ae933681638584a9df89b417e7e9ea627637 GIT binary patch literal 145899 zcmWIWW@Zs#U|`^2aEVQg+OhD!-+PP<4C<^54D1Y?3@OP4dKI}jp&_gc%#B(n()UjB z&Y$fd(t7>tdWnr$;))J2_DZ(}*WU=)!}4RIsMO&d^TdoZBv$-=-~D)_(sY%it8Z<e z*Azc*Nc&V`ld`~V%Mq3NT$?mF{Wsrpxxe^Hxnse(1Fu$Tb}`7z5w;RlNxb&k=;E|# zxkuhzx_E|R$A^YxhsrZ8B>LG8{f(@TyH)ll>rvJYwy4(4A_dY4mJy3ZEIzP^u%1|a zy#3%}6Sh7<M%SQU;yv;e$AbDTl3TN92nEb94P=XF|GQf^?fwqYpkuYiQ#eFDlb(J{ zufMW?@=q_0O<juwVsrDGBhDv8K6;W=a@N<x{BA`m+rq5k%_SF=f<7>=3G%7&syJ~# z^-h|{T8WJ|Yj3@s^(t?1+|fOA{fgT9uJi7Abf85@`l`m`;(dhyPm8B0b43PU>D^@T zhi8-Q!uIG($I5fOxvxBEJwMxVjkHxhLpq1c@<%aCB_p<(_aDw!$@%}DhF;`W(bvx= zPc}KU{y5+7O*T{Hrv%R4V(`Y_@VCipw@+6cO3nK2vWZSO)O_R5+W(RwdLj370*@5& zb6@G*P<Z=e@;%*bscXg7y_aIQ?|Ayk(Yo(*OWA4mwp)FdMasPYbJ&{K@e7)Bd<^%r znzq60-pdF6*ZB^Kn@4T={8TgjH$Ug(-`mv-uD$-tikcRj{~pSi&B(wojhTT#fPs@C zu{0$!KcFZ-Ilj20G)b=voG2Q!PNdgPI-7UfK%nJ)RhQ+qhAiuU-raM8eqUJNmnh*G z_(f>V$x@#dHP%U+9&gw8lol*~>8M<{damNm3k4mmx##@$zGKeYS39>}vj14}pTMY@ z-=+B7cna2=nyoyTe0bj7iBA_uO*{6)^O)wlrxSY;I^9+09G%qfwc&q!fLGNmZGJnG zhds?x*QU)0xv_Yop2MaKPc$^LHw1s2yx`jAcKg{I(&c*(M$HvD_2x$7hN~*~Hf()= zBeK1{)b4GRaiyEHox!5b;%j`i2-j^6zP0br?Q5L-a>Ru_PQ5wdBbO57sjB`m`}2Zb z^X5dYd~+-E<ICTd*N675n7!uon(j5{O~qEvH(z}5asPhPIsD7o?3bnoEq1E$7d|uT z%;Y=QF6gL7E{{x)d>;8YT)#xb)6`>+OiSHq?n#eS#SSmkS-B)PuWR<vt!09C_gw>) zWjb3uTID`lPPcl?i;KnX^5Jj1Uape;8N74e6a(GFutR%VZnJFT?Yz|RYlgGc8AI7; z3;2qs7@0lgifvf3=%dx$cRWw0{H~RM{BHW?JHMye{N3HvyXTMdReRJJ-No7~o5{$) zpvuC)AdDqOGg2Tq9TKVW{)Y@ij^6*S`EIdVUSh|JH=OK&j{=%zNW@QDxAsCn^rf;B z`}V%=`QsUN@78DQ?{j_^JeDZhnJE&zj%UgD^1nN7zbt#$T&PwSZXjsUsQBEVoQ=15 z)yi8zlUzQ2Trj(^*;ZnbuFwpj8AfYVdR|ORTy>tcFidla)Qq0vk9Ag==Ek4AofIOr zzJKx_pUZXnl47o6t|1a>QVynxMaxv!mMJ&P3}Mqdu#Z7bOrMpff%67?%Krp8ro{p$ zoFClf{`AQ5ZOJnK`EjeZ2*xd_XZlp?@SgR{?1p>%FSf_c4Sv*>nrm|;^mnKBcj55& z>UoU(7iVmr{ZqhEv8CW=@6TKEnTkp~wmg2Oe;`@mMZyR7kmsLvehT2$zkOJ>ef8G* zB_FyZyL{JbWCVvR?Dsn_CAl~vRJvO_FihrQY2?YdXV))zBGUQh#HQm<*(MepzB4zI z`{<_|rBW%}w;wUi(K&1)5qeo?)r(1-+bhKWGNDG1^NRHbe;FAVelVg(Q9)u+VlKQe z21OC$4@UNX5jhoMh8C_)3Ls!&WCWrV3{wqQau*v~a%cYRcr^Xfrt2aBa|-W?J^5mE z@JZpD!WF-^X0F(`%|(1+@6`JDjUq))774T-Ja;dwbRDmU`571UV=Sv#F8lxBU$pPt zMejow^(OqBt7vg_dyo8$z49jEN6VL6TzmV?iEVy{)FpAY&L@ZB53S1+Xf^!kHOb}G zY3maLv8&o=YwuBz_bp!eE@*A7(NocK>(e|le(o!kGv&KiedWUTB-XVB+mAopl=I|D zaQ`-wDW#j49(f&K^Rrm=<43QIe~0TPzYglw>Dqqj_m(zGYt?&aU3GI*SVfOqi*tCr zl=DdL{<9oQKHidFnBAeWtWC+WgyG~?8*jcJn{9u*&X;+Aa^n|X>y@EDI};CW6nT5E zr>i#ilC9#wgQ1Npb>>ucu0QnV>eblkC)Y~k+f^Si-mUDj=0cW2M5o{S%llW$$g!zz zVC&VNbuaU(yO?Idg;!?;4{GXs{KfI(!Y}D_QT=xnr~k8?{wbKFq2WM&S+4tuX>GqP zZO>FnGuj-mQ)9WQpp?K`Exy6vSl-)8rrzyk5*vilw@7Fxd5L(n{&^<Yeoyc73<j<# zCX)mtPc3WnQeAF0N40Y1+-|N8wcH=eA9l6g?!9+n>Y<$zA5^Av+co|x*41i}_L;yC zCCxD3>yqO1H!DrFruLyGn=aW2XW0!H7!r3fFz_SiY`x-)#DY|KQN1>nvnKTD)$?~I zOrJeHw}R1jU2p7_sHuCVPiR?nbpq=Oha9H0*Yte_13ph(#JXnd+v{!)td1#@U1J19 z#RVJ%SR5a%+woxEgShEox3jXd*T#OC{eR}n-}hvdDo<Ab5jpqs{7mEYb8E`aDgIew zq5gbvb<%yyt;s>hpTtk;pI1>=`TFMLb8{o^8RXsCVSLPYZbeDuU(4rl21_5W*}QzJ zy4Iu6r=^qCrW#vstvPjMrSS4UHIG`7`A?obdGcr5FZ<^+Y`#YS3BS)gcd~KHCl}u< zy|-6&K2&;C|Lb9&KR5rk{TueXtl6?K&nLFp`E<v7^S(U!TNZ0>obMFfa-}y*zW&>e zzLS^4H!j+Erf;@Y-JO=sqx;0QGcs&l#i#$;x$%(TS?AmCCgvu6YpmBEc`x2=bUtV0 z{PeD9>1ZjbXz#xsYc@n)UUlk%k-dGG)Q4Z^jqdkPm9pv-Re5@5erjFF$(LzsW6tRJ zOntFza=^r<H8)N?OH_XK`}fg}N!mv}X2wstlKSQNpGy&*5?Y^AyLRr<dG<X#>6!`i zQIR8EYeVYy>xAVf^vb;8?|2&?e?2Px`h^<_cSJ>aeKa>M`z)XOukO@^;8!8N3zKI{ zMYZ=z)@4oHUw<Y&=Z3`5fHUFs+sw5cez70v@;Uk8eJ^W4!kQBobS~bv_t<$TV!cUU zhTb>+KGB2|GhV-!Uv#RMv&Z9qz*1wD8r85VT7i$IA7AA7y?xrR_C&rJaVGq_ANQ~7 z3cj&^rsQGMq<_~9W1Mf-TkNS!VfLQ*zxM`1tkt5GnoAd)vA3}d4!OKI>Xo$jU*#`7 z#x-Z`jb;e4X?@<GS!0oNhF@Cv?APR1Zqt6cAN887^LyEi|6(?b2ZN)s*?zbmPq}oi z&E@lj%YHZS+pC3%&GMAGf8O3qjGNQkRPzTzeL&6v?Q^QXe~WJ1lyd%-uHA+H<G<Pq z!{!IPa^|`<H9M(p>8sZnSAFNneoc;Yd-pv2XcF%#9iHjGns0h9zEv8zGX8AM>W53? z7qi`*X5KHW{5OhiO9K<vAH|I}tUbB6?*A`a!*gWDx4_c1$x_iheSiNvPBQG>-nZs= z-QvdIzmKn$OjXNgRZFY?-=ZRP{X}`v(O2ioLeh8s``4MM68CI=i=gzqIQu<$UtS!W z_%>_KsXx1({4!}f_GjV2Bp$2a^K&!ht&)6RpAh`odnvy|G?Hy{QNw+S$&3lBrvC8F zdekTJx7IAlr@lU7hjP|Le*SV7MdgHVnJZ;~=1By~T1v`V>TXqL|Dl}B9K6vX>eu2V z*Td42POm;9FWbFs-ZiULl_pu!ew^L-;={FFY@sLKXeLO%()n$BIw@}YPu+~FkZSMb z;J+s(M=m*&&zo2!FWH>ye70?4X-@n^`><Tg8TU`EQ~LjVR^!B_|M;)?7+up6pYm(@ zF)q<m>wp*Y#ZJp#yi@l|^YGQgkB1|+EY-|>{W4+lwtxMpiOyH@yIrR!-P=+p@~tMg ze!uOT%GN&;b$MQ2|LibWWK-|<<4d;8-GB%E{ZD&kte34^d0_s5D=z{s&6m(lTD7;- z=X6Ka)s@D{tzS!Zb!{A!-Nb*SAN}N)o43+_?E!o1Y35mPR{Cybt!rPF#r5a^)hiQf z{;O}ib8Xth)_+SP>i(YC7@>RVzozzaLF<x~;=40y>Wg&3WjDC~vowrf`0-Wn<F&5; zb}}yB^WXTiO2|o((;=E^t5UN>jeBRFV$rtHPngm)Kbno}+;$$3KZlIAt_}R(6wxv> z(Dk1_@46E4pZk-R?dlT!Z<R3R(f`dpPNDyK!`H8q3Vre4Y})#hw}kcwe_&Ofw<7eb z_aE-y2-8=WO=nB=)J^|k926016}-9m@r#g+^%Dc$n!et3^xx50$&oMPm6BSk82eYP z&JSe2t<zY!YA55roYJosgB|^|UB!M)?}~8IUpB+uUFG?ef*f!5U&XDr_Mg3#9T@GD z{Cmd3SLYKCpWN@WBKE>OSLNBS{g17@XH#NdKd<BK^|MP3wEvpkeS^`frX{QLeH81z z8o}4s7d8C*$5hHFy=vE;JT;#y@ft~$&R5?il+4`kam4FYl)rTB{Yw@;_fK&BIlAhV z=2vAY??2jGHeboOvhCjkhWgG9-VD1`pWhu9R~<E6rE2>2(OX~NOYy5>mb%-<-MtWG zeIZ^gX;Yp{@^4M$+wNPh&$U_<T3NARzfI@1sE}Xbt4?_Z@7}qQ=Sw)poGFRyqCdks zBmUjEsNSFV?_frM%k$&P|C&N?+v|S$bExJ1&98^l_nn#k`02+_R*L4FJ3UTBI@PH? ziFG<B@JU#)UUR<4r{hK2C)h8U(_x=|&~}ARt-brR0KdvU)fQD7?<ZM@F1Ktrq!1y& z!rg3Obm$a^w(^>u4MuJY(?zQsk^)z*zkM>EOXyWNU)^Pv1$j#@HQ3mzKP=C!h}-$v z;OD}Ce^v1s+wUr+G~4j?%~p6XUZnc}d)I{9tu-D?cAVa!^hVX++CYDmohr+Jz9`9A zT`Su9zwO?(@S*S%iJ#_*{RbQ7sP;EW+D~8nB%`unPt1wKPa`bNmYy`a9W>27Bmcd0 zqJR$1p%W4hW3+jiS{*kO=%ui^osMZ=9nZykO#cV(3hfz(H|b6JoVudu<;J?_k7xWk zyhOi$3jc>I^`R%qBG=3O+BTtdQ`9=~PnnAR(|R@iba^dx+CQx+{|rGg?VQ>?hbpdf zG;>&=*?dT$r@Yso<IcZ}LCb?34&8edw@lr|QvF@I9oO@oY0<5Fd`=iuHrBX2*gw~4 zqV6ZzWmB%Ror>AH_IBkvKdbX+ifs>1F8Q$gd9~}S-w_^P_fO(8v~2!!?(T*cCXved zVnq!#eka^gPq7xQo1pqBPtkvR=#8!O#EU9d+5U`A^Dpl{aH7I<_THw9eIL6Q-Pr7- zx^b_@dGVFYxVL7%X?l68e?6zAaPgZ`MfSwW0r&1Q$g4g1Iio(D<EOP^`;v(GJJPQ= zZ*B<<%-nM0i|hZOnpa1d-cNf!Iox>Fq4!lxeib1nf(!O-Ia%uTPT-TBqP=*Q^IV<& z3E3OxYwe$US~)o8!j)|CCEGQsA1?bM_U_)gKbP)qSbmf@X}<Ncz!TBS)q0!++-_*F z95nj7#z{bjxhd5_LUQqj`Jo(#IHX$@BGk@nu^co~h+u1(Xvp<>4NS4%Y5|th4GEG0 zZpSowB3c!CHUx4srG8oqG2+wOc{QuqTP6lpwYkrBD&BE^|CB`uAHU2zF#qP36Rp3# zX=iu+uz45NtbH!o$?T-6MNyqf`)b|4jQcvmPN=t7l_~AWo2xndd*!_q4@IS3evDh{ zH}!aYNbfsAi;L?-ie^qoKOO6oC-!QNrOUslc}va&_{wWpKQYu?`|R!2tXIoYX7Rr( z{~2f_z`gMQ8OHOAUp>0T%bz^|&)x}BKkc^AbxZcH@$?8X-?wwelcQG(3$@HHDSvy( zmONqBQ(M{HmajG|JhHEU&8HC*&pvl^{eOM?NBZ|yn7IF47pYw?rs1m8)l@3D^{M8j z?2FRXHJ$G}m0N%9wV3rQ@|~xlLy>*Lg^7!tu6(mTDZOsZ+dU?srO(~-*;g&!U$r~* zulj<<tfw;nW`%ose%jg+u_&fR<UFTl-P4WJmEAseD?}DP&sMO_Yd#s{wtn}^ogKHX zG;GR|Tdd#MWAIbwM2(s~^Gjn(`Kw$zq)xldjM-Z3?;^J8!0hY$<tMuS{v@!D^^|kq z)rof&C>J}QyDwa_<n_!2%CR{U-<>FSbl-K2MfPP%NcoeW3zTDhUiH;Tl`MIEZh`V# zeHH6Z{uAy@T(??bf}i`ZR;!}O70TE1tB&@aC{_5{Q6p2b<a5@7UCP=HuljV@S6UaT zp6D>v&HB3Kz}*QEJ8XnziG6l*%kh%hXlJk}o7dy**{hz)Wo&LGx&Bgx{{C(o3M%7H zbewiBE<S52|KnK4QH8C7+Z(UU+abAR-t6LS?=%eD?(BWVoy{`2%42)u73Wh+=FMKc z(DD4)vkH|S*80pV+e;WvD7kH4)S2Y=zNt+}ZF`f>RVD@1$vPM2pUhsMc<f2dgw&X~ zNfVeQ_6k37$km^9qn~w~!{L8DMxM7kCa@b+s-IwvZ1A=3I9cr=cjk%Ggm!~U<`d2{ zYrfrb|8;V`!A?%8Q(M_4v>WcsJ2qSJXK1eEh4qEmYaYJ#S;zj9S8Zp0`{p-X6Wk4U z{koOP`YMg9NZF)jvS;2~jofp$^Ie{7mx%4Y)|WPg{hNead6Uhwz_Kd}YR?<jBz&4R z`^q`-^iN?K9tB%x+wW3|4>LNIBD&bTQRd8(n2Z%^jV~3lx1=g$OU`92;+TB9Jip^a z{^kQl85VsfuPXGXH|~B`D=<;r;OE85_5CN_Z#ZC;VKMPyx5K<68nfe$KWX;Y-0M7Z zkF4Ix^iQ!F84t4RcYfS2_*Hdpe=LhUN0#`>(Cp*<*UtU9z_jo8uIq2he%!hA{Eglx z^9faq`x%1tAN+QB75n61X%^F`TQ94)R@}QT&$ROTxxWfuZ&k`KxyNVkZo0SZ8^hJ# zzoHc?-<BAj%3l82cY^Bg7jpLI59$PNsff4iGXFgD`<s7TxR%_LTF1I_ce$v?Ueh%U zE4R0$DwKYo)_mvrKbD7|{#^LC#bArQE@#h+UA6{`K5skFajv`ISf>1WhLyc9SXO>t z{!-z4CQHldzY_$zo!oNf=9Rx%cUEDm`ZHBqL-h|X*WVlvo;#go-itX`C#y&7*rSkg z<k5wKoSN^M)-uu#S<2TMugr_!4w5dk^?2JEs_?bo4oguyDAnnt91&Gi-ll5%@xi$V z59ZAKa-?aAx|o`+q5Vrvu^ZC`bz<T~jFLQ0beuieT%25Fajp4^+a0z`GIJER3R*kN zY8HNC*V|A~nRudO=|anccdyUeq5rZ|Z^EsPScR{zDnyo;C1rp5a_`#ZmuC+>J*ucY zt+nUI9_tyxptK#q9dy3)tHM^n_4@TE&vo8zd}Vo%sfzs;L(qGN@T6rgWM3@wkK1VO zEp|bC(`tnU<qPiSzwvwTq~=_G_S1z4pF+dRpG<yo_S4fRXFru6JiF<0Y)tA_^Pf(u z_Wmp@*!(6X^6td)N#>_lZ{&Zm_Dj`-D($_BJCw=|SFTq)eSL5Kwo7`~4qTsbZuy0R zmv&XBK5}2ZJSo6`J<r!EZ?D+an%}(qeUk0p+6$N0r8mzj`>XgO^ZIW`|J`;T-@f=e z`dfcrFzemR`Him>e=B?3z0B|U%K5SKgk8&HS1i+ysb@STzHZ(ArYGFt*H<fiZGA0x z;@G!`TzrBW;ZwB2zi`KFRNB+meDijxyFs<}d#`i%)}Fk1`|A@o>lf0KH&6F`+hsXp z;+w8@t0!+Re{CPPdEE_>GxyG^*5Aube;+mH;mb4k{yHUBg=R>`O};bhJ>Rxx=X4KV zyL0vDb@g2LX-!vTBYA?#pKwk1eTQ2i=AQi)@fca7q>r-aqm{)PuDG3HUfDZ?H%Qu$ zd&#^A@y07|F+7lD5P6~_+sW;Yki^BUR+&e5J(a`Q+)84FB`)q%GFbF^)x4gBho2Y~ zmDlk~UYz;m$l4F9<C3f$vy``~w?BTDV6khOn(fA|%O55@{M4wZ9M;wovEylJO+=;F z?RC;|r@}*vmG>>@d9i%zJNdVEZEMtJ<K|ykV*SL!-*iH<)DFEX2j*_LxMtph>2qIC z-n&Ql=IN~`<NW-0Ri*i_OM6(v^|dH8gL6Gs&x@FuC10;L3eMGLQr<O9z4r!Fko3ki z?%~cmYW`0Uyx!guF~Q`~rzL{LlibeuNfmk~xIFJ|6pUS6ZPe6ynx8|m&^g26-p!3_ z_j|tYzoxGl5GuO!)6{j(KTj3RdeJ?V@vl-{XO@kAujB#$#w@m)&nNCokt|5An8$wG z@4D#8+~?<>{Hsj-<o3hNFY|4U*oD7ojC<=h8SSn2<NtPk-On4EUtgY|z0A&Qn%ejC zsR~~gR?2>h`ulgItnZ7(DZ&>@_|7srOTT#);D1x;ZgAMz>MH+D%dID?A7##-x5@UY z^~85CcRg70V$Q@jFVCd-moJ?4?xm~4SI>h?yOvLHxMDk(HR$`7%#h31n{PUN)jqmZ z;j8vhF_Sg?XRRNsF5x@d?9~3ucj3vppVMS3mL9RW{pSGN4kur|i>GU!KDe;2sCY%= z_GkKLyd`xtr-RcTp9<w&Vw`KK>S$V!k#XyuP}YN|uHSFS<@|i4d)Z-soY{w|0nFRm zq$>WOI^fEm^tX_?a&OK8n=1!q1u%bZ+WYtH@20)7!5htaWyG=)a#u8}9#q)>Gtz+V zhDX)q1L4lRcf_Is@^iP^M(q63DelW$@#(cMb3C`{2E8i>jyp2PzHW9r=3JF8^`mM< z<85cXUkVuy&Z;wuyH?*kVD7}bhTrr<<R<ofw#_RxOy`x6%Sv!w(U^Nu`p_!x`^LN? zUyD@b1*1-u*PNWV<h)|uf*sR&WhAo_l2@?D8pj{q*0c7}$DMx|*gh5OaN2$L`Z`m+ zy7F1A_LD8X@z+$gAFkDUn>xRb>uYB5ipK28zh67+zc=Fj@;;T>y<O^tkkr&Cx8ioH zNqmdYm$p#5av*jgTbfGshk^%-HpQ70<g931t0=zK->e{i!xy$Mn;IP#vTbXXs!-gy z?LKFf!G`I)Au7@V6-VN~9{A?ZUHtc@m#Dj-ZG59l?2{xubt{SEqOq1OoThCb79JDO zFEQZb-X#&&W7;-7rT5{>8wY>z-kf?kc~kep(3@+!pQncNy+5-~Ms7|lkN$bRHuVhg z!_iy1AO5^~^n=ygTbJg_+*=*XGf}fwF=?q`%L<vE<j{38O<{U%FQ!I|Z`2N7C*8vw zy)LF)!YMW{mZdMol4XC4rOUw>%a+42mMwc@cmg->eO1<68azEYU9U}H)@`;Xmvu6S zvh>=1%!(GjXbLjGIbW}hVP^Dp>-kDr&yzUL@03`Squ16jw_9=Av!uH>j&HOPe|;>i z@7+#`Lp6HW?p7##cz#Ne=|vI;>#m6MzYYZ#raDi#F!gm>ZsDB^Q(x!hDabre;?UeB zk<^_h-J!Fq=x%~cS&88h`MbL~Ty_<8c<d_T@ZBYmG$k*VMLU)!(0jYyq3L>U7R#c= z8GXa~Ci;f2lb&C+=JHX2FC~U9_IJ$$*h-&%Shmhkr9Ok_rkq~e7JhN(W$oRHf=3Qo zC?7dGf&bC9w``A71jFB*%e&?9t3$ipMo8S*sk2+r)H&JX=7nDq7C%gwv*uyaiCYDR zOY(O}1R38kn{eUbDaCNt<P(V(i#(zV4O7<dkhl~f)AKnp#`4Lk9TG+{cXn}bX7eme z(rv%7p}BCvjiUm(Wv`WT-kefYd6UGUvb$7J@|uLwu_!*pB=*M<8@m-V-duD2a^t9g zWZ7$_d2f<91hRP=lVimvCW$+rxN%g#y3CMeqHGWI5xuqzo4OTm`y`)mx-1cNGKR-9 zo$pv@#yT0JOEEj&eNlXW=IEqq7a`{r=Z{X<_iWq6UOT^x;@3)>&rWr2iAe5{$+m3i zlI=-8rq}jhW4B`0n^(>)H;xK~m%Ua>S!dXC$+Ydk#^yqSyHlMv+&L=tuD9^Souj)} zo_5UcRh+T*t76sL1es%HPX*4GJr%f7X2`NOmS<sJczltZg2<Yu0>4WQ_?UJ}DDBCM zZE20wshp;2$dVAd#=4f{&#t#hZEsE~&Ull=p}M<t_d$zuZ%&n7)^nd1yEDaJAi>P; zhV<hLo4OT`y-DIQ+byx^oL<|9&E1N8Z*Mg<Z0S}sdYi-%xcisDYKWZ|v&EeyZXXpm zUuMX%EtW?x@48*fu2`Ol*}aPL&dD5R782=6JBm*1C@_4Iwxe|7l7~rmueye{bt~2% zK04vV!&k4D=`AnYQ9AMQ!z7NXY@UM!y^6oyCUG=dOB_EOYsqpfmd7!FyPnfiy|z0V zy^86lex&C2Y+f2;xx{y8(TVv*hELpfN*Jw*sqHwN&GWIcS5e`8QpXu<iS`$<mPcO2 zS{`{A%X6`MyWXLXQqD8tPAlrYPmozq{#0OPxnawjySq4!@0Mu#q1U!z&oP0u*Ch^p z<~vqcCGLFS-rDXHd$rqF?CDmV_CD$4*X|yXUPacU2Q9>p9-T1dQPK&&Lc=G^cI1|{ zD;6F-I^p1>SI%19-HOYP9u-(#YM8QfN3KH2dc&44TV;}d@Eto?6V4Y{8_xHzR^0i; zy|vv9`@0pVJ0_nfyihvv%7cVCcORTmJbQ4e^S);7_87KsK1qRfGMhL}+H{z8+qW>W zKmNlM&ezGdPR58Y#xg~CN6`t#f~Nvgu1hHWkK$Wc$NqT6{%*x>@2|OvHFTE-Pyfsm zv&K4FV0!t+p9lYVC!MsMd1QjigIhg0OybT_jopfz2d=ieFo)}9%75bA60<3<p-`={ zTXE@uqZ6VZyz-v++mq)eTVCuE*&Rh5`UQq6N;@Qsgkoy%UU@3RD(<|gsarAo;L!>D z9wePeE-+Lv*&$&h9W#64iUo!$1{pk(+=@PieLU)ZnLM1K$JEXDq};p4^X%t5?dCnK zy^8Z4Upp~5bA0|2<KA{z(4@_TwO3JJv88ffrM%xMh0_knC+sdrT;g?_@%;HtBM-R? z5|g+j9_us-Jo|afzmexTO9szQrd@KAn4M-kZ~xiB_cfbGlcA^ZjD1VxKJCMTHNA?P z*5^8CfVdkqHJcCYIhM!&#-+usrLykI#D^8Tj|sG2mtcCYc;+*AtYphY)3y)0jtThl zC(et1a-yIl#&>Z?@2q0G>1F(jp57I$tSc-pa9LjSl8x!&Bmw!03$tTm+qYQGSeNUl zv3s}DdHuJ1eJ?6@=P3wGRkT~=d{fG~BRaVwv)$nQ`}ZdbTB4FWVzQ?zgvcJUv43`= z;6Y?EhsW!00*!o$^WvYKQkb`9<66eH14SI4|1hrOIdnwocA8<Ic)P)Qd5LF?AMYD~ zEmrzh-T(Q%vFwc3=TD^id^k7h^lRJx@I<@yy-f2qw%YV}RTg;PeEjz&e`?O%14^=< zi|T$<FLSk8(zE|U?UL{%$wx#2eeyc*aU}n3>ywO)=W{$Fp%H)m5qs^YUamVjD-TS0 zu(@+l*URuF??ppa%&^(Qvh2i^2Wd`6XFXiaJ#~iAo!k{yraXAuwIoT&_1EgIU(&fh zL>A9TEQnuhboPU4KidqUJ4~4i0(-NS-lm5<mh=2QTPVh)(}y$9uB0JTBwWtwLdN0k z46-Rl3K|zT`!3$`@S@@}mT(8FD=Uu4PTZH|RnWPlD7b01x@_95gX#>mSGP33XZtlP zu$Q0v*RGY#`uxAzF1Go{S?!6jmiw+|b;w}Hg9{U%R)rL9UYM_?w%;SZ_=QI7q3_ba zrU@QC+SX^r<L7PVmi<_pRW>{1@tft2%UIe?LT3o=Q3<$nqfMJ#wdd-YkXdep9~WpH ze!$wuHruMgYC%?T`%J0eCU=HarmAOn40-H2U)*-%xvy6ev-6#%@$u}o{Z21fl-pjb z)E<vGaHg~CWA~TEoO4q|41&`|9(XxAm&*Qowy0_`uYB~C1c^I$Rs<UEbp0je?z@cd zUB1Z~gB?3A794(X%}cq*@=VS38O_#ub91&B8ef|7;F`x`AK5gw*+O?7uDH447;BH8 zVbYnJ^9DQC1$GM_p4$_}+k9H0*N`VK#^jp8j;xE{dzos4FY%w+y1L-u^59;HxUv@} zxqS9lFYurKn(%P;M8E5$KMLFa9iQ^xY16G!U!x9OX8XG$#=m|~e8BFsABrt?Ij<fp zZ8?_NHb3YEPjJ(AF{ym74?CQNd77`w&&rUH2`E{X#1$g@WaWj(u1>L+D#BK`4Nf>M z<U3n1i|g<D#0lcg_J%x@;xi_#Y~~UAwNf)NZIh;>9Ov9*36=R5cD6Z+asNGI;IV$8 zW}D-i#%0r9r?w?1_+I95w|ij|++?8k_l`k_`K6z3Jp9sMx{Kb*)}&ryeflQ*-<~D$ z)3W0qpKYsK>iuJ5TisgkAFb{G&UZZI?5JD3^`o@lUooGJbuNqi5-n_uFSfQlZs(uH zrx&zleo98$(+?8P#s{B@zE+pnb)u-^$-?fnem2Y(Rm0Tfx-zEe9u5{dyG=$;=VegA zqKNkP%syS`3+>OEPU~MwOxks3n&JoV&pF&@V)IT5yjl8lThC6`Z9E5KqB1O7=4VWt znv%CMv;S~FNo(%hovL9%3t6JL&S_se$QZ?TPXAhBepKF8g$}pyDBTLNWun{Uno>%p zUOjo|>NO)4p%+rCC-2NY_*Crm0p_(^QqRPump-~UKkTN_vvu3QepumeF5y{sZn?B> zdwWe(uBFZO%f8bNJKNsPv9!5(S$EoDX6w6I(Z_>r*KU-Ndy=_!>XFUXchf9wc3ieP z{V;NF-gX(eE17$*HWirUGO+Jg*x+1#!>Hv$rn1EsF~`bVDXM~Ei>wbG{Cp;No34V4 zcl3e8IAsCv^2WnHZa=1Nlj>vMv*qlICmVS0=5AH!@VM>EEwgW->@_2n%olRnC-3;3 zRy6R44O4e&FIm4;>Y(ec^V1Z+cz?fb#M1tfF}kfl!8YTxfXkBhoPGhjCGENW0wqh_ zbNU4qEq7n5()4-DX)mQE{{4}jEPr1X-ewA7eZhI#Y7*xQ&(n&bjb+h%Cwab1S=(O1 zUUh4lqGHoL&R^{BZZ4>QFmLlT#VhXTqfbwG;-Js+m-&0r1^WX1$kl>HOQz>?b9h~n zyUlcH+Ltcf<aeRbZ2~HL(@K>*JlEegYO#62TX19Phrh1*P1-H-|2{^w+}o5|VYBGI zWZ(R#m$|1Oew=b|<0OxVOUiR4g;u_>Ex4F8_ms<ia~buJi}E>=myW!sJ*{}dTmFWe z;@YNv8Ef4Z%>T#2XXo58{~r(EU*{x-+6>Djd>7oqxF>PH&=2EwI`k!cHS58IUpKBL zzsuit	o^JatZ(<NO;&D#;h@d&K+>FYsThGRgeK{4n)P)-Q~s`8gH7=x1{WxxBbP z&Dn|V?{P1s)aHNLmMrgI6c>EZbISkH`c!~rsh@wb%(^AqyvG||XXRNgVY$F_TG7DA zShszGc5I5JO2);b4-Aq#CNA>kIo=s%a=OT4>Y|%rLY!eGE1ym%b1z<_BJ?g}oo@0w zqv*CH4ztov&)6*!6S&b&Z^_TL#~~h338yFMcyi}T7I&}lQz^Za6n*TD=r$hD1zV0x zGu(dVl?#)$?&fU<+wWX+7Sf5$JUt^i(Nbmq#n5Tax1?ipEmfXeG!5ep`t~9!`q&+> z=(gwLyZGir_5RTjJUzFnF4gjwnEd|-t4_Oi*4cD@oo!Osl=S<x`QC_sJ3hWm@0tHa zSnPnd1ow(thJQK4KTkcdL{leljeN<JLmwwAoL}#y6VE(N{_u>oO?7qM$$#xlxBld1 ze#WCG{`=+j6A=@&<joUneqZ5yysWobz~07T1)Gfh3yr)(7I^~a)9y4~Yq;)u%^)#m z!9o}NsH4ApXIkYN-R=<=*I1JzJ)zTY^#i?v3g;!S4~mMv77yNaaF@{g#FE8NKe#!q z<~^R@STcF#asASg%~zYg+wD3!?V)L3-qzF;^Ou-D@aif}Q(C)KrpW%K+2O)z25UE` zo>;!*cCMt6apqoKXFikM4Kha3FZr}j-f@d=%SpMFa(aTgZ}}~wlpmMUPAl5a*%rYU zxw&Ne(+T0;(QCz?ZM$jo<k_XW+j@4s-Ns{icgx|T6FSSQb=qZ0Z)IAhoDQ0P_0XNY z)12GX@1|Qm`FrW_G-ov}+k~wO%V)`LkujS4Qc?Tlox|IBB+q~8&~=W}v(2*H({@>} zK*HC?|5EY;uCCH&2DW^B#hmYNd}*2ZWWTM0|16_(pO@dAcKElPEi0cf_xs;pwmkfC zcEY@ksb_4nqmNsw*ruQUaLfJt?Wz-}mW$_bpD150uG{WYRCV%JXL(iC%~wb6KUiS< zpup8F&Twy%>PK_^7oi0f%RYQJePLSgcMq4~NBJ3BA76I*Q#!$SzQ}xm-r4nKOY83Z z*gUsBQ#J77+x=UNE}TAj($eMceBqDs@%x@mPO9_R^ZWAI`6ADMRqt86DE{?~JBDkM z?=0zy_sfwlzt_@N%;r18BJ&RGV|D-48S?gdr>1b<x-Gm(zV?Nzl&W6-`x!OoDlfB@ zZkhe4@7s<ui#PXo%FT<qbL*1b*EfB}%eVU2tWJKlr8C%XeTH>y!E7NvkC<PVv&uJ| zS-yW+deYV}FO%2n{mOk;AAEd@V|tm7<my#hkF(D$es@Ik@v_oCHH#ibzrO80*W5GG zEcEZXDsva@iL51i>x;H5{4T|${iwigD^tppX(By6X5ZqbZ)J;`y6Ip*n8)W$3v~h+ zQ(a3c!=@ZvxMs$)bk|6xQ(hU4VN*h#A~kh&e&lW0_x+Sp^T}k*%s@x6XROux?RC$! z>6O*>tUJ-R|4WPR;_l6h*07yoyP$eAVA_hNO^qhn+NUZs-@0$!yr`#kn|<so(bPA_ zi!5trOD3i|FL=!`Yc}uUM{oW!zueUH@Zzp}+M9#7E#5on`gg}K^7hhUh2s3n&gGOC z26CsiUucaCJT^_%>+<G>YbJf~UVouyjmBf%#dFfqoM+xU$+x)MXs*~VhhJKMFP{$) zEPtQ<C30EUmdpG7{-25vjQ+y=YkR!B?Y{S2SFXM)h<f_{Wt{O2H|>e;7hdhVo4tn3 z_RSti8BhOjzTrh&4;dc+eK7lr%l(qpw~rqG)3`O4H#X<`_7^L2UObX4FtL3*Ut+DV z|MeZ5!aZ7x)fD>|ZvFT1;=$Tg`u$6zjWYH)@hyrrULikSbjvY$F<Z^OzwY|}?<=$0 z^7u=EV_VgaJ$@IIS$!`GU%tFKyuQga!1K0o$l>5^U1cd1&aGN@``Iopo@v!l9p<}x zQ-V-@de)1LIx8L}u3mcFIHXm#-P)pM-izA{MRVehEz7_BF6Yj*iZ6YOmv36weYeiW zcJ4|&i*EH7oL_S8&k=pooaX$OZ|@cJ#fPM|tY5oS*<D_FuFtA7?nU(Tpfo1!Rg1-q zZ}1AeeYQZtOFun_KY!ZSFJ8yGD%P4@nCIi(yFYZ{>WSM#medLs%}rUBES!7Rh5h`I z#4WnQvUjFGEGfS)GiQ^|jf2V=&dd1tzMXh~X|AO7(Y@9)&C(8Q#7QY<2CLmmQ}120 zds8C6e(%LCmd#70?(olkIgjTG%k9^iCHZVwP14W4XQZ=bHBH{wy2~i!@V?BYzl}l; z&y{lip1y!>w$|<n9oq>DrQTin{_^0yvj;ygp5^|^{>A4Q_tf8IT6ZTtT&ocwzWm}Y zo>11wzuHTx&0>zG&Gmczdzp61v^u?C@=^0z^)^N3mZXY>Yz(zuw0hHmuJ^8Qw<t-U zd-U^P@-8dsT@_9}i?cT^?Eb#O_{FW97mosE{IxeP>^{GfbBl@et_m6TOPiXS7cnpM zlW4CGF3$<BKK^9oWwwv@_jJ!Mx!JlZ=aA%c-`Qp7o~&#>=09&*#(blXYc8+8^(6Ak zwClN%zO!v+$GzXTW|wc-1?jufdGjX!_s%bi7t5b)zp#9l{_32`lV6nIoz9y%*?wvG z-sZ*3-}Sn+mrl++KV3xa))UJwpQek1Z8=%|a_YO;YjP*sFTTD@KiF&eh1>IXE%KRF zk@ntAFLn2%;LPZI7hV+Zy*5#%*0T5ADQiuuCD*mqNPe=pHLE;n%eL!WJ-nZuZ?W8L z7joirrgz+Yzim&ZN2=ya?qBdfP1Wy!c_;Ua&ucUuAN}e5OX+fZV(!)TFZ3m~AH6=Z z{^fc}?MJq6{=azaBl=R~UH*%FN$p3OAEJNlwpVg?4Gp-W`C@X9>BohI3m4R<tn!q% zzsy=YYopq??>vt0)7NySfA?{ePg&FX-c+3PSMsu1B^_Ur9P3X-2>XBVX8CKG?E7YU zWRu;Q2;twE+ZOcy+4W+AUG}8uTv0F0zdU5{o)x6~oTEzB$fhc2{Zxzl=0)oklq=7S zG>(W<%kWQ$_;SQfJ25%ysg2|Mv<#oAdtxqR&y)^Ue$HKGTWou^sBwY0(dEPOe~K0^ zFjwxqC|tEx{i|Y(p3s-wIzDrvc1B#d9T^a!yk6joUt8CY=rBFOFLCo_ru_P$JAGB} z2LERk`uB@wF0fCrn3?^dfA{|T%k7r#t30iJ)lhJy|L1i#-Y)ivSr>4-YS}cNY2hn- zErNAJKV_8~q$KvNdTQDv_ja=7%KQzVq8$0t56+R=8FWE;;^a$z)C!Js_U-h$@Hlcq z%7z%#jPSOuAIy<)`Y$%}><ZD$7x?1B#wGO0&Cx$?fr4H*_pQ6%uQJz%ot({LJ9TEC zSLNmf%Z)EjoUomvYT0|MFLu?d7d-#HuG#+V6Dh~@-(uVC1g>*c=^4v%R?c1^Zgg32 z`fHZGH_B!IeA3Mq{}PmDB~-L`!Svr-(wxP6E0*3-c+uxme$=b7eL=g??(Z+wW-MOt z+vxJ*<!{v-zkfezd(L|Mp5P12Gj}cb$`kvNFn^26diIYkca&c2uawMMIklYgmrby) z^OG>g_>>i@fx6o{U%k0((AvL2t8)9Um(RYs2L6bfIpH?z-mB`aiQQUH`ASpIH7#n{ z;~qP6^8yXM@>T2i+kB0^lkNJ@bmEFhu}$l`QXDTQRIXpJdh^1SQTNne%;K3Ik?M3$ zKcjA0l-5(`Cb5)-;ZqBLE?AHnc|m0T1b)t_dAz<?KP5Vbr#T1r*0@~gI#d4p;HN;x z*GAWawaYno*%sS6KS^%7_B}J2TmBs9&m9YRBLhNI=L>8x<nCfSt<IWzs%cTy&gctk zLCIjg&=xiBuB@k*o35ofUKIG*v*7lo4Gu!4pCTQve>=Hk!!pHBmX6w+6DG~_JkPyL z@3U!;ZoEKA@cdn3-^yBR0xz763=o;F&$&yL+s^CA)6-3PC)$>^+);jUEGJ{tl=U1@ zb6I_-ihc@p^xnL{GgoarXVg?y-%hTdyB56u`0v{D=~b>L%&%Pe#@{u~^59>=u1Wb^ zvqD$svF*)Qcq*lKKWOs3^8wy5|NPGW*q7<~adu6#?<JqDN1j?|HT`0@zF7S@_~*I> zvG>dux7WVB&wuSFqw}pN)lGV@t}jkex~EpJpW!AoNi_Epe^c5w9ZC5+YWKxWcE^i7 zdnoX;ae=DjJ^8C^fAlx$oek)YimewiQFqqOO8pyo;SR`(_9ng40o}JM?SxG9gLMmk ziaSnEPrM$eek`6<_e|TesGl1bxJF)>vSMnzaEYs!$;#|A_gQt%UAUd)TC?a{c3aex z<^LC6D_Rz{>gn>V=_f<Hd;ct7&~1EWk>9uTEU}YTPV@SyU#gS3YN^-1=(qJVvsO<0 zFIbYeEGp}1J*#j39Er7_-lyaG7Z?8AxuE*<uNZs9{Q@QNaq&u(E7ePuyMKQoE5Fvu zyED0E&xOTvYu`Jz&UqIYKEG;0)w=T^UcB40%Wlb@Nb?gj<#OLomRu>mtlQ?+#OseG z=dH+`EMEQP{vA10?W=nq2=)KFx;bUhHs5ofUfA1uhpmb}nbU9gI?`xip4IfKFYo86 zK3?TsY_m(H_qTWI>^ZM5&M{F5?brMK;*p8U>f29j`qP#w&diuRz1qZ__v9)w%lnJH zFHZ>h7xUm^YNp4ki!m=Q?x}NkJN;dErOf^li%(aJh1f;Cx%g)u>(#9vx%$Pv>a1`p z>U8I>5)1K*e|7QDJl3h|(<`^^NOv?fomO4qdcG-3Wc`;6&+|=L8DSM$wme_xsqsJN z=|yo{|F12tzF(EAo!7SH)elp-(q$KSz52OTE_O!Sl2^aH`j>q_Tz>zDXLarO*yUnA zi8fUt;imT1E90)pTGd_hzhayDX{PXUpP3epxuFO7V<xS(v6{H(@7eP;+594>UfW6) zd6ewGBLD4LpIgaGUb#@do@o!%w=G>@UR83YA>-qf&)Go-uF9!S(WpBS)Aeh%jntZp zuSCA2^=Fw_S+MRsx;U%%+L8s^t3s?bs*X&rIwN=GZFAw|Y1uZvj(B_TlS<zmvwE6i zUeu>ho%-4C^Or4;Kc07O<<DvJY~J*rzJ1ln|GeCZ@RMJ&*ggH{y?<2H?7sQ(WcT{V zpZNO!O`P}lLwZbJ$ZprFU%mk$x%*$9{4CzECwT3GFHcH+sy18c?OnV${(Yd_v7C=D z7JZo#zR9qBrLdXp^97qji&o##xO9E~gxgm-C(qmCH*r_=+^Ux+o$IIGS$FR5mpAR7 zS3lwFPkXZL`I#Mi+-0w2a)qCq`zmhYyr(m!g>E{%xPF=C8qUh~?#7pc`z&KL-+z9Q z|8gg5-kK@jSD%iq`V_I5^Jjy5y}$pw_{OKruT;GJ<t{JqvRkq)?&a6%@%~Q_zgpwz zANRb=X3@H>FK;#<Ts5VC)$4$DcC{abeS^)u$I8i;7nC#<pLn@{=a(6?gj(-=J!k(J zdg{3CuM^e^Qk(6COqX`5+gSb6zW8@{HTRL!f1dqvpZ9IC(JQb1vg3IB-3L<+ztim3 zx)Ru0e^-UI_SWLXkz2G_YmO~;{1vj=XXC5L{#6lMj9kB1_V=Z3uwt#bxA@1tbq>eE zem1$+f4Ni?-db~UvHenY>FN5FU()^W3pB|;n{{d8blYELKd1kja=hiv;(a$=qI^S7 zhTHt=etswW&V0_3;wrz36(4^J?XR2s&VS;XDeEi0++MWe{w>4YM>Dw3&DHq)%e6RV zos(Nnj-1(|eTIs^MO{8$@maHG%Dkr8x^u*P-J;yJil&Gxy?Dq|HvNkK9Fxw{DJvHJ z+BI$3<yA{QEdD!7DoQGKO6N7V$R!;6Hi>E_J-K067`dpk<%>z6X0d6YYOOENw4bw9 zq-DGH+HPIx_DphBm|KYA9sO?6CE;EN|1$@mZ2DWJs9GN=!^mJE!^pscvU#8)559AN zfz#pJex%JNMg|531_}xa3g*d122*(0w>(<@oB6F!_S=Qq&YS#*YuFI<MdGRU)3~aq zf4mx|hGf>3GL~Ns>XtjOm22UzuYX=OWTsu*6RNcJ&id{hvD_Dv)6e98DNzj&;#BR< ze`5ahj8XOMC>eK!r+d<S<!pl=cg|ImFxB#Xw|HCs55_kazjz&U2rrgyI+eBOqKl(; zdUwv}sJ6*MJ6Pg<%3i8#m-~iX=3mU2Da$4@C;vgzZ&6jNpMRDc%dDJO7!w(<_1eWN zyY_x)ss0Y3+|=&8lI@P0+2d|iaPON^mheK8b$?)lT3|!jbA!(t(;s}=U~wV9lFQ@z zOMT}V^JeF6Xz}(iy?FD`jm(e_dv}K{{hq*aFg)*Jo80fk%FU~iT6>rluM0HWo3j4S zGnrSB6@9Pft#ZuxQNHYe;9?bx?JNtQPl}p({YdURJ-(xt(paVD{499cbY}0|sb6aR zH+&I37?m9EvT5$zwtJ6ltrKo8k68El>~V`v+YWsV-FG~TqquZq$V2_T7BYH#tw+V4 zd1_AEIknhHHg4yorYC1|b{(2`!TsIV{f*bI-OHM?eM#u^`vS>w_s(6uk`=;Mp*ZD= z|D2NR%<A^vKHs}2U8Ukux9v{kODEA^=eM^k6HI&l&_8Y0>%HH*x8!XSS@ijAeb@E3 zHM?)O<!{@_q-5i}PdRd<Lq)js?fd6`cwIihTU9NkVaz*2SYtv^YK!QNZ(?5<Gh9C; zIv4DAYS*>RIJw{cC+CN@hI!NUzXt22<%+Y++I2?copqV^hv-nvkn2~xHB(nPd??V$ zp7d+q`^5c=yB|xm-+J`dZ&^y^|L$iyKZchJW%Cy4eqF>IvFdEl&Z7&bEmYek%&zKq z**-h3WaSSZ??<1RZF<Ujv-*^MO7?tGKKV4~PtVR>UD^Saj`uubQtsW~vq_`HJbbgv zw_Dep7b&Y;uv+$|)PTn!_xPlf<tz9ljB1ON&K{A>NZ#G<<XxM8Zv7tnEsqbHg-Gl< zQYO;7qBF3HO=EGkXZ-^1m-3SW@~<}Sb+=9Zm~y&h(S_d2+M6SHT)QM8`rrpwg5|bp zwNGCB6#JvDq_m!?s#2!&=CA*gW(Yhh3`!Mvs_E63qiWOC_++!%&y^QKeptUxJ`wAm ze|m}3<JPb{7RQUZ-u3>QQyHb*qqNkpaJTA~Zue=OdT-)GoLse>H!V1IH7EMpt<d=^ zUOHC2is=&j|00oh%m0=pL6^^$|2IyV#MyGoVagqaopt^_-U1vkwF<Wd9!@+YUR0C! zy!ifk_ca}xcANEl3@cGj_WbwUvtxon{5*v>^}OviA}hlsp73YNaD1-6eTu2-S6Quf zVU}^jlIM%BITYse&zrwtEB~^CEEB`RR6i@pp3K=>+^c!)Ti~I<Qy)^U9^iJaeZSq^ zPdiXdT$VR%zvHf>xgM@BJq2aUJ+5%iQ{VEcETO>N;G)g^G@jO~k4KDd-HLgZ?(yNr zrgN^B%2{0`ZEA#P<~QfYJ5KR_U>92&!Ysalf6jldQa*{tJezp)eBC2cL{)e41<NFe z3(mM1D>HTPsZ3rzj=86U_S#$#wALvP`PCu6Xs*!(p30ZSt1P^4U);*kyruQZhsPm{ zr&hiBX6tzJVb4^KZ+rX>Cj>Lyb8q`0cKfKRRz<g))r8FRidC{}ZZL<O(Riz*UTG}h z_2h5GqeQmd?H8E(ruRN;zUw{R;JCNByHmp1L(O*L-@arzT6VwFo6K#p{NL9Z%N#!_ zn?9I*(#~<Y;%t-s(l^fD=k-%MZa??Z(^FGjWzW6H+5hf`)!aQ-o-Pw<ZECifQ7WYD z&GhQ*%0pYOU1s)?RrK{(J~PmN(tYz>5&yz?#ZO!(zNao+5p(aTwzB=C70(mc?q~e3 zTzgmEZNl3pW%IM$#5`W?a+~H6+tXxVu4$s8rQxXZG560hwqC|lagB$6x+$q-e|TDS z`NWKu7QbTxe}D15^<<UzooB^MUv6wY;df@;^<P5T7Mmn@&CYOiG=J9TD?jO8?1Z(o zPfj(yyXvyW)kehQg|F)5O)kpZopY1)7^k;9U3&Ct!}0vKcZ%Y7uDfX$YxahzS?T9Z zQxN3LUi>&>>&bF{HjR~U#DaE4$z6G4l<RTtduH#%<IFnW{+02Hzm<+{4LH>n(p$?l zq49V@eBsNL+a|_*{G-MBXxoMU+#c?w{k!a@RB!vUes}+inRiahoxZTwOK^gEwf83e zJ$YBsr>kDwr?I#-t~>GmF;k)2ogqI~+%NPgJMp&T^M$$3|1I3JIo2TP;QejNkG}oC zpLlk8;5JF^s2}=oE=ug)6aPTwMNWq8pB=`t8j72}^Q#UkUNw5WS=iJ=W$F&)OS7#C zw+iuJxPQlI$v?T4E%!G45Sj4zi<$UA3-&w9=E&$|##Z|N{g%o7gke)4%hNMy|5A?{ zaIR3XVs3e7o^Uqwb)UWC1*<>vh1-?aT@EiwoOQM5=0f8&3KMtF-k;z%Pnyf`RLqf0 zf@dqGSGmr6_cghG_iA-jvqvxQh?}zfc$~-}BCu@6`%im2R4f}O1tfWJt=r4>B72Xz zTSKkOqNcJdS#q-WMYn@)WN-MLT4K_!I<YG(I%{>;y6;=}WwF>M6!=byl)0c6_+>@1 zZN*V@6VU@YyL|LK+%M@!T6nOYU*&!*=iTyFzM!6u*?aarU4F#v!ymTSr?alOroVhw zVJn@n<>1cczZ`=-JG56t-2T<w7+Jq9<X8&((bI=cbga_$zG`tTXr}Ots~jAW@l1&u zCyHJdpFQ2=Ygk$Dh1#W`_8<RnO={uOVu8SqKe_Xysz2#`s2885uO7HE-~RHBw({*y zJou+;J!qM6?d<O7T$78I?~Ly3QC_>{UEsMNuZ5Lb4FhjKo);yorD^qL<Fcll+4aX~ zw%!s>xU;%i$n&wx)YH$mzmrvens=9Hg{78`XgvS7#EV^)3G!Fuwl^H*{in1+F6P1h zdCe)e_f`K4j55<-cU<ae)YVm7RR=a?-*B2_-Xf{$&v)wK<EtXVp6gZR7<?DMRl60y z=h~K*SFzLEEU<jet9jF6T>Z~qQmB=S-5n4v{r|J`Yd@aUbzA;CP+lADwD9Y>yT%=R z&VJjbFL7sM(Jhl>jqep|mi^Y-=FM#1S9GzN>CB=E@$0-+;gY+&1eIJ?WSl*;Ke{~R z-`|JYw{=aJcizg))x5P@W9|EnQ!7)icmx@B#3)?~?~>njZ_cg!mscD+^|(3CcK-DI zV90Rm-LE&jbv6dZ-YVS6JVzeY%3e5@cgp7EV;6=S6Vimb4#}>5?^S<q+KV|xUNbyr zr62s<J#nHi%SE<L?F)_F%TMR3&(OJ_BU^0nW2<wJ`r_nw?at2ws~ysFA1ftYQSKCb zW<8<(Nps&*)eF99^A=8T>1tblvQ@i8$z^SHJp1mOHyEF<tN8wTLA-#|mFjmhddv=~ zDSfl;F1M&K|9vC!SjVhtjlB~dz5Hu#%A&ac^IT^C<+Hj!`X0Gj%8+dR?A_`mF_)*k zzjdQGk#X~Bv(EBI3mlU?cn^wwx_f9Y%g!fJuOyTiSosx<oeJKB{4!WtI?3}r+a1ko z0wupcNnHPQaQm`j@)@gtSv#h0eRh8BgO`_G)7BI<<q2P2{9%dBcBU5x=4{w)KF9iO z^@QAIH6lh8y;4t?-6+e+-`;Zb*Fk=P>Y}7oySMK6J*lm~zWSU{sm~?D_!f)%xt{_i z#%3$OWh*p$!lAm=d0I}<;yH^C?>TVjtRVmIhmY+qEU3!=v^?gQX7smSp`Cm86)*FR z-y{1{>V59^BaT_IOR|}MtujiKdav-fddCLG%!`qtCk|aPE)ML--4SrV!y`bq;pZLa zSg)ex6&a@<O*wky%W;|Kp}wBV^V|${RcDGCNU0euKEb?SKWx(+y+cAtCwL@P0(Pjb zWnoh?3eG>6?LNb&I%;*vrM^QCKOGLvna6X}F7oBBElYTt&%7=Acp~J)*S`M~)t&E3 z7>jH$zr;6xr@3h7$9qlp4u3fFIj7k7M(G9J?vE@A#%;52@04r|>v;W%Gt+R(_uOY2 zX4QRP?Gts#c+$&7%~A_A1Nk{D4*Px%T(!7fX=<`r;B?tfdf^s!-EXqr)s_T>+O=%3 z(TQ@CUGnSVzdQPYeirj?oqD*r@%HfpEsdK6ug(1u8RDK=IM2~1*fR8dj=7X^pJmX5 zYUz$;2B&L_R6^B~H=H{nYJAC{>tQ8}%BHPchvUkaSDgI8ZFx+h*UtI|>rAB?+uHZ@ zmXsG+sg$gm-&c0wzlqM)$m>hXHvRGM{S#VJbb-a@?y1^mH)Z!++_>&#!gM9sW$zF1 z&#crcTlGcQFz3ky(<7%Qgq>Vkk~D7z@53LP51!wt{vw0nrca5hw!6TwEzYK|kNHL9 zIkL*lR*B2b-u}e#M$dG;6;F4hv7Y9aXVdHY$IbL0R^)=mwp$wv_@3s)J0EVBky|EU zm5{h*U$Wlu`@EeV;SApYougeHA2uAzaJ`dcdCKwGtIsa1Gxo-@{Mev8E!)C{uRSbm z?_QOkTMB)|tXh~_eeca!YTwkn{bxIS;EjZvhvF-*pIo?RLuJYLKRHc@BbP2+78c9$ z&(*d}=<4b%{kjM5T=;(Vu<;9R6AKHE=uW;vmtWn^o?~}ZK_GE$^f}&HY^k>z4*9%0 zbUQ>+CsTHv_1nZPrsu^{z6q>O-ps3hHud*EPs8$ge>NWtaQxYR?&$U9iW)X-8`#;G z2cHt%T$cZ9Uw7Kq1ka}I+gHyle*dcBs@)S=9)HiMZ-+CF1#P<~yePM}W9n%~Kjrq{ z>*k+gi2FZPZKlcR?#FDmPA$Ln;Pm#(LFFzt{CvHqx2;i3s;ir`m&NEnO_+<i0{71S zZnqArJipUznLC+x{v^c!#W`Vn-dxMxwvSW%X^L{IU&|o_^?Qx87Ab}uTWGa^ujs2o z?mvyn^LdXnHvinTtTx5=hs%wW{YB;X1Ijp0d)7_9xJ=tGCurehgPZyy6_%SH9arn9 ze4%ysVV%aU>9yKS&nJ5LXP#Q3*E5%W{c@S#FO_GDIqVS?*0GVlcFwh{y|1_=L}AbL z_Z+d^GIpM>3{yOIt6bzv)>_lYqGc8B!s0gh%uc7@d~$AYzD;wzs4z{O$>!>u`o&9G z*l)YO(S2}HgJmA8y2y&XVsGmbb3{~GT;A2h)!&;jPf7o6A)^;-<K9iXf{vej#Q(>h zQK-JWim!0<;wP4!&iT<y>-hg|+;_u!n_xj_0{8Ub2q{$-4^=T;;ggMq3Jgq(R(-K@ z>#V8Y)l=u}_Dhb@@O9p!v@1#Z5qmZA<V}?Wh3};tW60_CzA+;re#X+{ZO7cEb+g+U zrR-nwySHQVia)vEUL<~Aet!QxQNJJF)uDD1S@PnKI8>&XSbTjoe>U^o&2gW~fBwv# zmHzJBZQTWT+BVMAS$V%nq`uJFKVol8tDUOe)p$EAiRDSz-->r${3V*`D_CQFBa?IO z3C2krO%4CcY9sUoO4hnAON=(k{nB=Me!cF*g9T@MSya-ZcRk3Q6=@v0U|Y-n>-#>e zp1?3?MNFNi;=i@2$6d6}Hi_TZ?YMK-<9d-#bq^=WOkug9^+!-4;dZj}*-o`{3mz5U z*JD^Rec|Vc5)GF`t2yp*?aUCqFroSH&IHddntf53ZMC^VxBoxd{(|X4m;0KVy_XkU zU0mO$;1{=<`S|}R*{QN?j1Hadb}nDBtu(@Z%{<GPO84@o>hI^-2X5<suNTGJ=yl`w ztl+ez?=p_rc*b12@9oaL_lGKjR#Nwu+nND2uR4FsyutiOC-}|pnG3%=zsQ~V`0=a+ z%P<#f6Q;|_oPOQ=j_z9a<*r}H1I7Z?hBXmOeYq7&xc2Gp+pMtbd8hHKH6C91t!H_Z zUKi@kXwlZa*#7geUaF?vz7+dYsr~T}d>KC--kiSa+mE}E5{}QS9_y7JIREe9S*C^G zMfcqEF)Z897;W%%y7OU{>Zp$CtFCv8C`B&Q+A*0mNI27G;f@=PS(Dvb{x7b37xsMK zg2H3WPjz(4->kl6q9GL%_~(Xpt?b^FTw!~51>X<1npwL3xpe=Gs(pUEsmBlc?>}~X zj;!U><Z~qq+KXma@azaY%%+k(b5Z&U%>(~u{hRrB!@iH=wg+A1g^bpFdN}#V-YL<4 z_xk3En|}^m{q|Hbs9Qs+&7!5a_qs{v?D|_i53GtsS>L)hOi8)NJ8R>yCYg&B*ZFu) z#k(hLS-OJh-nmI4<qyBCJX;Y^wo%yY^mmU9t0o)}6K9^gxb;!!O!0-g?k$WiRa^PW z-F2E@cjMiMllrS$O`Nt=vzC5en5$}V(CNnH&9j&AL{0d>p3i%}_29|PrVC?Sy*Hk} zyS1<8sl0lV+mHV5*X7?U$gXL75Un^{{b!HP!;kmd<XflPFrQFlzy8ClwnL$$blMWZ zAG>3%da9mIexvKc`MGq)qS`C=_AD#o4+M&=S!>cYhr{-OW5=eK3$^Y_aI_ohUH-T# z<9&q+JI~<-2hX@<*}v9~s=OS%r~KC~^@HXwl<rjg`txPksS|f6hG?cW-!Ny0?b~?b z{nYbpPKOnr&-L$^<FZx8;Pg&iO<#ZR;Mx}34}5|Pa`O%eC7t?o?P42q>dW&VgA~7* zvcC$p6}W!0-<!ewz_iu}B?}n&CQV**kTu69=iVI6=H2P$XP@hyxq0n<XYO0$gLBgE z-S}W=&Awt5(^LORF4{}xTd8r$eEnL!D#v$``kh``MfqdN_YOI(wd|a_aGC1Dl~anh zE7`UFH=V%qZ{s)Z`(ihxCh*v;c~^4n%AAw!1!v?dY7X(PH8@qxZEB(*#hE|ne`WM5 zBP%778)Z{JKD*S`CL}9#ccX0rgWvn!$JdWrd$`338N9I67eD{qy+Se8_l@UDr+fO0 zCttI@J+e~DW{0%TQf0+NgSNL<H7D^+;Zu7lvfTLhl)YS9A}O`$cDdcmd7Jkru=9$i zPCXoXxGg}j%Uo_r)A^YNtlA0Jl9isCeY_#K=u!ylyilI(4{kky-e>qu_Lw{l`jq4T z|5vhmhlAGBro0z{XB@viO`Sf+!gd~mc8-kN{*t;*O^(UGjuo`*TOlx`;MDwA?<RR( zu@Id1|JQt8jzxE8m!8yJ@#x$pC9RwH8_Yk{)^0yyzABN~g@Myjxa{4EuY#d3jsN{= zT#)on`a+2;cUM$K**g*DyiKzvcqUi>X`eQ`Z^o}vv(`AC{4{SHN1U)sxf{d5bpl7M zHdcN)!O%D_JK$+bY1x9MXY{TdS+;sljSrKPO6eWLTP6F1FIvj`rKPOB?NTh18GfSY z#~i=w5AQC1Ztk`;=hS0;=BsrdssqDT7sq&Y)&4iOVL9#HtI^|-n*HeYq9?DOrl0?m zd`BScTj<9{Wn1sZ9q5j^;v{g(|9pdI;4@|8#_ZI$Wh*ZI>d|`lZK>(^h&K%l2fnU; z;J<9*qFGPpdIkp1WdFx^{?7ZnACrBev+jSNIc4wb`AfgwVgLU1&eiyzb!Y9PK6xL0 z=-#}-$NAN&Z_{noHoWK*PTuF^bIMM1lE)tVGv6;OZ;idUcvVp5jX#&JZxG#duzJRG zjd#BV^n)i(xFYiF&S}RNAJ6hf8BgJ|v;8lZvhz@TT98V0qt?vQJfVQL852LP*nXsE z(Y2}fOX?c0+sv3C>HgSF@!-b|DM#YBF-Pd#h%%qFea=ay+nM65Nv9reeO3H#_B7ix z|HfBK+nqy7rhfL=Kkff&hK=8kB;7rE=V(QO;kwfQFW=s|q3d*^?f3Vz`lq)gVp#X- zwVFJfK2KXsQGfm&wFwqm`p+DQD%f4IOP#^yDChdGedUbIQ)_f3Xa4W`;BapJVvFfB zr(3W_XZ`kwaf#E|81{#$?LwvLpU&N}AL@AGCM7WOes@y7e5m@yiEV14yN|DZv+Imk zaLsMo8pRi%7T7oS9^9mD*H!hpHeK+=B)tdTLa*oV)SkqZXwd60ZDUXC6~i63&C_*$ z$t6Ad(OG?X8>8RjlaaYXiu=E6iiNpsHnotwEN57{OJzsSa<LoCyw<))LKVImUe;>& zWmhxJ3e4GhIMwh;Z~}9U27|18&kP^k_lH^?T};(YS}$mAYiB;V$BFksvb&OmUsCbA zr~c9EIj<)uEo>}Wq?k1&bGGZ^|GSo@&()jRef;rGDY45FKSeITq9^RV<7VH=YjfTI zcO;j#xO`(xpJMV^|L6s?Ge<j^k3R9upBPzdn)i5P%psBeslSAUr<~t>*~h-Q!(RQY zCCmBeM<g=;Og$@kpzYkP=KLjj3p$w0TQ#ryi0|!~@cNnS`|oL=qRzzbtLM*hn<6Cq zi}#{Sx7s5qwwssOk6yX4*msh+%94_&bw*-+T9z{H6K=AV&;R|=W6`c6x95>em8Gf8 zT^nPRuP^%d^+)NqR}*CFxo=Mj41c5;owmSd>y!8U5=9S2JiQ<NdF|?Vj|3B67^&OH zmb09Z4f@j(d_LgRlF31*LZ6jt1}vFqAV1rni}SpecP5LCu6}=8TlNY0y?5sO#98n3 z2$VNxYSLuB<`U1bD13iQPPCWqQ$bG2+}&wR-*5amdF;7}ZbE?Aowp0`|7I!6_!*K= zA-3_%D$}2Q1v}Iea)i$P-g_WCj_=xI1LL4wOvc6rx$oIaKYe|);*_Lw@18gp_75*D z%sabRs-0Q&=WqP}FQ&88v_zw<RSKqxoqD-Eb^WE!3~%pO)_-@95zh60F41y1D!TI1 z`R$yUYyO<lDKOr?|JKry-0G5Nmfy+`7Dq=5&XeJNU+~38RbZ}n&|Meq@3r6N*gWz| z)|;BAafx5)mg<_d4-0ojd8zpatkhU4BKY+-v!jJs%drLVM-@vK-nyO7yzu%S+f7lE zW8Qq+FUr_^)ck7Hp>xF%`=z2*99r2CaqXtxefNFYlVs<YOKlckJj>X@q{p<oF~my# zbm}*I@1ugzk4_nwhHWdlSz|9=V0R*`Om5mNqYcg0Qn~kkXIMN}T`2llq*7~|fmcfU zu8aIGax*rsnWLx8`k%kIw=eHlZ*VuenTg`V+>bjxERi@Ez`8cSkul)di5Id$9^n)9 zUVr{{Ech9h-9JXJSKLoD7AU-HTI9nl%*`_En|II>m-5g$!?(fQQ{{ejU3Pr+>Di*( zBH#3<-bG%jZuaVVGw=C`@PE`PPL|d^uxHj8D-MA>T;W1oJccXfB>qKsR>U#eUe)+{ zH}dM9!&kBd0;O(zGP7FopK<B4pM`(6HN4R}sHHq1cflD$7W1?i!;5BlAJe7<*YCaK z!n*R$i%zK@wPFiB6p~NO*1nOyUB~lGWciJY?-COK=N%|<7g}lWwb(-GQ&rBR!{0@Z ztkbbBZ<;na`iJqJX@OrRZOiziYIR_HV8`*)lLyXtHSAVf({FY-jOT*IDmz>6ZS^1b zZ<gH3!^~QE)6#L<-}f1MH;&5e*SPjD@xoo6aP_Qj@kjXUS<HhRk4%f1mM6+RAy_Kt zs`p{54Uc!I%u1_q7Th@HUSQQjV}Y20Z8^>?^1chUA2M^|nevp`!D!YI3#UJ+E2sCf zzJ2^??)OB!=HFZIHswFHlVnfp`g-%=@y<)uWl~wMo~kOj&6Iet%2j0jgOkPC$tuoT zPklZIKTMvoK|erg-Fw9|!alL<lvm95o+syc>+W00i}hWr>dnMcetb=^J9g`uVzVE2 zyr01hA9<rPCnKS^J12-~eRe&3mwQ=A@2OJ{b*?mTN)5Qj_<H@B;@pFQCRdvqcW$_o zr7^$5J8eCSfMtT{{_8xaPg-=QZi#=VW`8_mQbmIcKaW;S>l1F}jK<{HfM0(<P71y9 zM(T0#&t>yu?}^GNDO^&Ddj9Rc_cr5Q44+FT7@qo2l9q7#e#&L3SXZSWqt&~^Z^t)3 z(@H#W<&Va-mdoqCzP26@IIeD}xptPvzheuxnjNvyR<%yQdv3;`Z+HHO)UotU|2v~U z<c7J}q#7Gn_TQ?7<=O`WW~~x%m-;<zzn1Pdu15z>x~yiHp~!y5AamA{)i%d#3q;aW ze2)s=f6r4W%_h<9e0$!PeZ^C?5851lDtC$Xx$EbB*|yyM#U2m*o}9T*;pQ7vE;F^O zjJfM><)WQkSCZym=hHRUSG|ztt#;|f-<7u-TiF-tFY@NOs{i7LwbsS625th?{(lAL zYO1=iac6~9pKT7<c6a6<g$Auh$$vLzw)dYkShH<W!SD2Q_8Nx{am?NISoq>o0f`W~ zwvZz{|L=Z|_lOL-dDQpI3})9R<2Ii7D!K9;eHoi4!kTj*<g<QTDPZ)3FVtc4zr|g# zO7@zpFP)k1tVpffE|U67^{jQ)H>(i4`{F5ae|LCKys_A1eZ}j;uYD}<2CWg9e2C>~ z%{te%txpc89Xb;)ev5VL!Czd=R@Zp;IfO|^^;qxwe$89V)P7A**!q8uR-WNqa@P86 zqpi^9lVzguHtTBQPm1K6=iPm{CsEQ;@7t>Ln^`Q|)9)sxtZ%V4O6~eG;s2(KDbEW2 zWZ2tmxmaNTbj4Tw;5Mr_UU`#?wrK@cd6g#EcPw4BI`pSTx0l!K`-v=koSQY&0u;Bs zxN@><mE4Pi^Ul3Ex%cnE1<^NE0xx`#-5=bl7dKa_Sf?OhF^8S5l2C;B!+#OZGbf$S zJ)E=d@qxLalg}-7VOaU`jlMl+NL&2QTAkwQIlH~3PH1q}9=|ew+9%QN{0pxy>uup$ zA#^h6_^V33_I0IFB^vg(8Q4$sEUf7Kw)j%@f;HBcmhVr=5ZJY?tixD9B-njbx|yfo z3+6>P;?7(s7ZMFz5&yisQRrx=Tv&cW?0J)OtlM(DUohvh+VtQ5yq1sed)|??29{<2 z{$IShPy2?(qBo1?_H0?PcgfoYWls;Cy!iITr9J+;?S8%8C{)%Te0sj-mahFy@_zcK zs_rpWbV$^2yX&mp=@ls`^eVJ<@0329Q`4@06Eiw%J@2%EQkr$b4Bk|2`!9yam+{1_ zI98Xa%}>8pu%biM=-itapWjV&3Ui$5#I{~9yK!-4Lh4ep_nQnhH<)wl?0j0K-Fvrf zRs8QGf7f--XkEH2z16SgvdB-rzf<Q-VLN+pTBY6Q6V=g5YCWCh%fzqGJ#OpvaP95) z*^iA@q+j~Jpv{;oKf?T>%~sD@X~*|8uaLELt`?72_HEzej>ik`*Nd&pkzU=SzWMZ< z;#AH}=UKRxg!%m}%5bt^e(BRyW1pxh_A}@CpEm_2=M^G_c75k*EPeUi&E?hn%~8^i zAM-qpKiF(0l)Sjtx37U$ZvBeBjMyh}s+vi=oF#a*Gp6TeohnxEQ~BMqsPofjH`C-p z7ZfL}Hg@0fz7=M$=Z<XujW@iGG3PBmr)PDW&XfQ1XBy){{WkfSxK(TW1b(-^J8^39 z3-c=ebhTZTA@_x|BfT%Z_|5L8<MMIh^3-!uEE|41bc+7-R-ER3_1NuO$Ir~oT$8+f zop8B;^-D#+^*25T7}i+7lKFM>>FLacs$1s%N|ah$8u;N&C*zyfDSWP~@9TH)oS!#e zJ!jUfqRlfEJui1ub)T7E_F=!f{njvkqwJsY@2ZUcsmyM8@Sicya$+OL2LD^XPQLeQ zw^WT>v}xznw7thzzZk}=uQ*lDm!TfhdY0dJb>U~8$Xok$y!P|k{=3Ln8pg&Pf8@{K z2^WLA1r+zx%-rzl^0z0vjhcO1kMiD4RbSyMf4uMQ-q;Km!#=AMx3*h4Z|FWPA<65W zxon<Id$DX|TW04EfwPWRB=S$ZSr!|5?MgwI<<)%wYwZ(G98dPw*=ejlKlA@Qefz^_ zZ}0Ldzth+@H7fG+uBl;(AvRYSO4FX5mtD2Xt)X&q)*MC7JAQkMwk(`qnEhj|PPjb7 z4pYN3j4Vcr_P;RD;L};XU8vRhreKHv#OYr4x*}|TKJTP>wyb5EevP|#UwhWA8Rr+x zf62T2^MNhp#TNtG4((d_de?`zD~ImPb6uXO-DI<D^`^GvqB1)kyZhf)+Q<;_qWas_ zy*{@VHtw=WFAnp~+Wt6IZFZaP4{N0ZzAGN82tL=Uwp83u_98Q)`OIg@{Tq|-b)Kty zs{6Wh6|Z-RVX^NH*4QB49g`DQpUKGSuDYY~w0;S9`O~#6Z9H-=Ji@leABXu$@QZ%w z{+_L&-7WTXiHh^vcBhQk?&dF(zGyLho4L4r#`dy%iBopxU%7No^q`OXcA?z|b1%LS z(2VYSdc$|szlX-ZubTC1+U}fwGsB9R_m0{2PTwP6&;NUK#&cfYv}m>~Ti2HbU90=~ z_;TJa=Twi-5a%AoD+Zg)uKlWi@~1w;VQ=UMrh9b>hj>={2gW|x6~AnATG@*Bxdp$U zP59(&UdGQYXz^iRmBiEM$;ZF_xiVSwQp9G**@bs&H||L<I(BJVC%4M6nu0wVJE~ST zXE{H5^ErJ{__~VspKaPD%s)B(nRImdrUl`<?oU<THYJbo`sBxko4jVNTbOxi%bL70 znedY<TV6cpPqfdxwCv33&-Z2>T{piir}ta4aCSW7!>O}3ddEKzkM3jW=Dnd%d%G;c zLTtv`;(V*6THfU~-O?5R@BAtGaJlhW(2dmD9t(oz_@*;+E!r43RZYMvVdDRy=jE*} z_hyAKv!={x4Lg`*q35K3>(eX4^fjN)z0Qy2y2!Tfh136nbMquFz1uGIT+E_m%htnj zjR8Lbt1ndhd|+CiynXqaQ?(j3Wm}|NWWv7X<i@Mb{cz#~=j2~=k7)!fjH#G;Nb{9K zb54Tyn|>}m4UdEKWWTS@Uy=4Fr}MaL`&}1_wny$V{^wrr$>rHQp`yp<F<<z`(sjoy z_h`NN@4c=4a^8KphW~R<el2J?{wu3dXwy=I7L(U1FFw}Fm?ZnUyy93InB%$C?9%M^ z<+1abQVRYuvslmls=DUY4@*z0(B*IEc|Fy+p?cI_KH~ki*@t2t{xw}KFps(U<F1*j zwlGIce%aVy@c&uKUa8sE$L%##=WGyfoa5p9-bgw<JMwqXij_zEGiNov{wc%oE9b+* zPk(ZQ+xGfNG2hoat|qhR_1;IvntS?qLu=Q{|8SJQZL?bZLdeJKHZ_k|yeXQ0Bx~)8 zEx$B9JtBP#5+~e?Nqyg1Y;{b&drgs=^T9XsCSG>RcGWsvRIIkjGTo|o{@YKCNw&r_ zO&!iQ>|4)xYmvR}9XmO}<D2iNC4SlRCG2xX^u5X7C8Ca|FmgRw%=pULrbV#(+rs@z zu9k2diB7m%lC622U8=DA?&0hT%Sp=LSaeoh^-S_@7u9E3zvR7KcEFB3hgD3M9QJ8{ zv*2ta=PX9C_YKZIey{Z2mu-Kw`OEH^72Oj`1TE(*Wj*f1z`FSH$}@+GI76zXwO>qo z=y@+~p=iOR)q&@?rxax;@udHMap#ft!%6c_Nq%H`vA{e0L)q=SoU^`oEjoKaBUw@7 zs(hIzuj#3~MKfb8cfZ!_UU!S<%m1lIBdYHyd42e$BIBu-dDrlW)g{N2XU%`3m*kcf zIow}!+JEteuwO|}s;vUQ{^x!<;d9o<t{IVCvzce=_-ZOOp4{JH`rG?^yT(-K6Q3<Z z^NyYp=VxDXzi!HG#+G-HGuwQQv;57w9pw;u?FLK0XX%qHsiHh>47JklUeDe3<Y4yB zEiS2dSY0P(ExxzsxUbTp>-`)KAuitM=eoDMh~7CAc&@-}pTqR4?GeX6rwf{Ion6a+ zYqOF-ZQG-`b76`<F3x*s&nD>W9&7q-)e|#;3!H2Z&;Jw@(y=fpeLwx3TfC0HC-d6$ z=>IwKd0XG)wN0~XHTJrqwSCk3)c6aYPuXX;?YYR8vwh`+jHpD8trK(#H?XW(6C}7T z(4k<h`pIRc>#w%oInLct&R5{N<+cd(-p&T)bqYIPzbN-fzHj!yPCG?8Ym3OOpNi3q zB}#FkJ3gKN!(-&jA1=6^eUsz&qc)~@<Nnw<@>p~Qx!E*77Y_1k)64ch>Nr#O)3x;_ zA)H<H44N(qg$MskoqgLy-%|dyQG$X@`=TXWR!zYXg<n3kt=#-qpyI)F&e<Q>Tm$ub z`M0=ye`GQHgk=Tij~Q0a_b=c7OI)<F(DCE~mA4xjf2`UbvR-w&>dC#=_BhOV#1P0n z>Bqu44pjw~#FXPT6E5iVPF!+nP2_|*=jY#kV#+0yz2ZrKeEpKFq)W@p&hNPO{aCuk z88zp3%M>+(gdQ*_u38ty;E-t5p3tl;w`$oZlg(RR$*M|qwLT2c{D1OH$|>GG1*HYu zf_r*i3M*Kt8(*Gy>w~-Y<MPL;XG21t-j=?Vvg50_y7SS92}x@{)kGJ~xqK&N&eiR_ zil0JE5A-k!CYh#0^Kxu%ow!=`O68v%2NPq3u&5uqS<6aaER0IuyPD;??2C|+zcXdF z-PFHjsN%i6{L=AmtyQ&rzHD1A<{ag`)UvLM(^T`Qrz(qa&-~clD)(P}_h#NHn98xa z<vr8n14mDCe~{Z#;3{;oc~jlIcQ4kY_pf)9|8luy<{nGC_p#@X+IE}YQ@8nSG>6Ng z*;4B5CFj8MrAA%LVnfViJC|ig#hb?)==z=7A78hbXCr&_qxY+BDtj?k=oU`hx^?o) zJ$rK{wSP~LJzOx0{k~#tM=ATXhpT1Nw_FxBQ+<2)r0Db}-J|Z&{fqBUnyYsIIJ42Y zYXXO_M|}<B_#ZXPn^BJ4^4La=#@!xUJ-+RlSaE1U)5qyf4OgGHu`HdPy64SLR-b@d zetD^dRXUqlE}pr#fUl_{J<sd2=dxyAwF_H5GUhr?YLSu9uMK<b{bgCnG_eoY()wKD zP91RFkt=Jr*yNq|N|}2?yC?nfsy%2YyHqRScYWrLyrWjHH>o`d`tk41qIY6tsw<l1 zx+d)4x4HHI>y3M+8R>7kzWsc{Z@zq*YqZ$o#Ste~tb20)ro!y#$040DH(r%;Y29}| z|8B9^>0_Zn$I6|iJ(o)~o^5_%Vt9zQ`+?`{YEIwvd++1tYMqz-ri$nEa=}NXm8bY~ zm@>CLE!cW{zwo^K2em&f>AJtC_?MMC(=x}r)+3hPXEF}!ibqZS#cZ|TO@i&4Q}5kd z$C~G9?J!R{xU1==cx_Iy<cD8-F2_ASuyLwV^5N|lE^NyzH*R>?8hx{UK8v}>|Fc(^ zv}B6jv!AnBeZ*OO=G=g52Wo<nu3x*dG5%JH+O=8k&AV6*&+fSv{(gce&&oUZoxfk0 zJaJ}6(B4$}L(he+9!^boRVvc`?G)!#_9Nx@{<|C5wDhmq@3wF26O}-NuiGc8=WU+p z_c_FQ(yJ{~RMJ;DR%~V1tG^)7+hoUrXAUf%D|t;+MA&wg&finivSgWJtzmva#BS^F zhxp8Yw0?*(yl$w~5$Px$ro?pl-LcEpm-{YmO?}>}vdw>HJJ0I8&AU&Sq+F7H{aN?t zg?$%uZyjMi8{v8B`^~$I-#<ohNkyBAJ$Jln-h8%-b92#=<Da(K?)p*k>{rD?-em$C zC$zlz!SYv}i|Ndy3Y&b>pB?tRyVF*$sQi?$J=Q=Y;+AG_{{FcuW@nT;KC@@mn|NW` z^G0TOg(K%umppE*d9y@Zifd`^(fH3Dr_R<~2x9EHTl_p#({fdI;kOj=Pkuj_@>qBz zEDKTkzr%Oqqm1h&aq5mIloy(FtriGAd29LYOHXIGh)QI+Z1~M3>9y;v-d2B>cMI6V zR!Ek2giqpnsAu${D_AJwLoCPq85xg0CHg+}lial0eoEt>{6ns5-$q__wp;DEcJuR3 zYI?a(xi&0e4$;?6fB9l#eSzUx4KLYK*>}<}XK;rl#dPR4Kb89SJj8g??G%rtyH2j- z*)Pd6e|5*&OFuT&?|5BTRQzk!1g;-~(U)IU)zq*4eRA#MsfMhFlb^Ovu-LS5vDCD0 zKa0OvF1oiqc|o+)itCGG`)cApI|iPwt1pNOU}f>$=ac7mKx<moDjwVNeP@gJi(5GR zYW~g+*!QV}SA9m;_4sDBXOmi&J&NXIVs}yMYN`tJ^hgmAn8LB-_w>B-Gghn<7JYdc zR=Z91m6YYV51)jWZOPPV)i%4U{Gcz0#d5L40?md{F&P<=r<%Ju<HaAFtqnRWQTmKs z#rodi<ew9=KZ^cae9ukQcS()=Z^LaF_QlVp&3hmeQC}3d+U85&b5A)@F`Js6GwqBT zy?gt*Yx<WltmF#0wEv!g-tPXXa|4Ah`f|KnZ<)7P-e8|vjsB0~2#pgh%G)KUS5>xe z?_A!+d&EsdbfVib^*%@Q4Xxk3j+l1)zhCTVby0F^mCa^d*Vz-z89gJcSq^Pr-*0*U z_tF;&rZ!lo-m~pv{Zw{8A?b#d>bix6Gs>h7MCu6HPwp*cye`asHSW0D!gG%nx-wqy z+E8>b-1*ajTAP@hYJ-w3KQ3HSEq&wkDr8w+Z<2>?@`N{!9;p6nT6wB!lIQKpTkQ;S zE}|CJS3_-!mMK1*>C8F((5e5KxzARpz0tjT`boBZ;Pd)#Z|WkW&R%|_E3xQl>{pJ~ zFU=qQqM1q#@-6vq_K=lt$Jc}5bw;&}ntxnp_QcuR`A&Y)C9C<YNc%STUVW9z-eqOo z99P`BRA%NcUbLR!Tiq)!o*BaPF8@5UHsi&5ktJ`<cK=;}S$5A6FE#0Bi>Ilsddr&3 z#giOV#=-S{@^Mci7N-kaZi}2+nwx#`=#?egE~jOw|2ZzMcK=R|<Clh$*S>dNc<U&6 zZhC>In^53}^(G0QbeC9vdS)&yy+?S8;K`#8{L=#N&U_ggD?2B`C3cn9-`_{3Rd0FQ zysIeK!M1$i#K<|^zP~d34@~dVmS1r);p;^m7cNO|y&mqrQwnae>tEk=@!VE!j>Qp4 zUHc=$rMlGTX@_y?t~gi~FO{;eP2!5m%^s6?n_e>Q_1;rxYQn<xI8;z>@7y&ia^5>N z^v?-YS9eQ(N!i_B`}xF<rn0~1rm4RQnaCAVe^iE3s>D6wq}OugG@BPS2ajA*jl9l! zJaJOdf=q+@ZNcw6q*@o&GyQIpQ%<iB(UPq5ndOweZ)vZsSNF8|9_M>z?sAWLYCQt( zmFU0vyXVPbf3x(X?^kg7WZif><?4lwONz<cCsplJIrBra_g}Y%@s8<d;`QDa_I~{4 z)yK5OJ^bPZ|8Jq2PVCY7Tm2y1(&})RpIFM2GYmhba!FV`D4h|fR~ohI@pdidH+msG zI<J@g+pP7`{(jjXj?Cg)3+0~N*Gl<m^8dW-lk2&ES4i)6PJMLdN9kno>G!4IeJg&r zKjO{8X~GH3ZapPd8(&Dr?%8eD{>oV@QuIi{R^w%p8oQhL-spO?aml&4WLWsRK33M* z`uu@~#-<%rlV;xRu3uE^w!&;vmcitQ5@%nQp6|6eH)*bk>-RNMzm03Von~Kt*q9gh z=}w2>?yF0;-D2B&%XzuH;BHG<m;KD&W;J%T&-~I9-T(XJ^ZHx;e(Cf1L?evXN*<Fx zdO5QHe{awYG2`9YWy?5yrEctgKA|Q1ew@|;r>vsszaP~b@7s}lFtPu3te%#)_Y%2s zf8XV9v9ovZ?iD?9udlCSZOHNCnrZtxl@*=UeI_5+>u^(gjq9QFEV{16e>ivCVZ7@d zuuFf-fxA;<Z4Wk`bf4gzQS7~XQJiSf_Nb(@Qu<;()lBZbCpFK$ich;QzgD_G#m?OB z=a+u_eaeQdRaQqVmqoNsQ=9x~)}?6<`YV1)g`_QB<utwhP1Bm*v%z=d6+WCaoc+*F za@~&9s-#bqZta)E4*G{l*>f%WuvgDyxnh01QT*P9Y4dhSmr80KXR6@7{Jf{>#ImJQ zZv3U$3!cCJ@YQkKUIw<9FT1wJoM^bIKI{3f?=|`+>dBQxf-bI`qEg;Gou@j<h@)+Z zdP=2=qsX=W>OG~Nod>?CF#XX_sgn8f|L2D_yFW&IF1zf{!0as}(R;tH?B;*BMMstA z8$SPkRw`y<m<vxmud;`j{>MF5IyOZ|_bOdH)Y+DGyo5KiAR|pj<m)f}n1;}m5}$2i z*B;%#uGA=WXz|n7#7HTr^*1HC7jN0Zp10)k>7B_6CPww21idFb{@wiKh(USXD~7_2 zr_+wNbcX+7u=%?*HQnCt)iOy3_u}^&QInZD8;)@Co=_+#|7pG?!y<Rvu65bApDzoC z?BW-G{=x83+x)r{8V=m+E3<pP3!GI`u=;cG-o196*Bj1NuNUcJEMfomNoDo^DuYf< zmCKui*=I`|%hs|7yJ<(RjnCzov)JALck$BLIbUiX$eL6}*1s~H``-C+(%ieP>@zRC z<@@kg+-b@GDIUlFH7pj(+<Q5?fnlkW@8RMwmTO!hw@zQ!{!%>3nlbm~T>nE`Ib2dc z-C+7|;`yNUg4Cz*8KLK1ZH?^Ba%KMUQsdv9hKE5W##*5l7Hx@CpE&=?6eZS_MGc?& z6QBJ0B4Y72eNN96!BBtJy(g7<UDxWbP;&dVc=fAoZ{+@!esk1{-@E$Bj!z!5q8Vy= zBwtU``far(^r_jNz3M-i&$%y%H!albIhfuc@p3MM&Ch9TRx%klcek^|c0@Yz#hK)- z|G#^Y<!wH`)M#IpMcn*Wy(_uTM*Y8@9eroJcb+8IeH&LHz2Lud!t-<->WgnW_FTMu zFwWxJ`S>6I9`514=bZ7&aiX+y!k=qFi$7gD<uGx@8}9xh2dn=A%BD%Ck{^HllnPwM z_}NuDMIhx%c4h3ssy91WU##C~bm-Q;1#SEPi@nMBoUFT4V#e7C9tE}c4m5VYy0Ybr z{h61?tva-8nVBbDDLfe6<|%BWwYA#0==$Z&+tsJv)N)w=@LQZ=?wj5_ADypk|8^$x z%f%@@x1a8|GH6SXW5`&2aa}=K;_u!(>7=z)Rw?HnFwT6b%WSUNKIxtV$6+h~leeXX zbS~eM{`<GWN%7db*gF1;-=g=mZC>$=W%XjW^P0PCdsq1~WnDj>abI&G`$1OLGrHX( z9AC;~3{yUrTiB#7oV3bXNjqfwiixZ8G+YFoUd^tY=DqdD?$4*X4ri;c-_gf7<F$gF zl>EeugFf-k{<ZeiM8BIOGIiSS^p_zg+Kz8I&hcb*Ud;U<ea=Z=e!sZqcC}b?;ps&# zMvLtQpHJf{els^bdQSa@r890lt@KKss`|<_M=05g{a3bO^V759YOdX#+!n2JXD5Ex zv3>87s!rvNF~ueGgp9XD9}R3jE_L?9@>MIF{fp-5ZGQA(>&9B%$oI$Bf02Az@_8}u zdhuf0$(*uc9TuDu+HZ#FOIU{RWsAodq=&Pm@-d%2AFwiK?;%-(e6yzuHm&)};Taiu z<IRq|DNZl%ay?~|c+I16v2sb?5~s$p>#V{5SG?l9)_nfg#mvSvD!fw-rZ}8QbdsD@ zp<fus)^hprYQH51mu%ZUp)P&TkMOlO%2|(PH#fds(fVSM^`BYR=Y?kdNV+C5E%Wll zoB7B0*<D}beu=w8LVMl!z{zJ{X~{%bu6-eH^~69d&1lg<Y5ocKZQicpViD`p>(Ws^ z-eR`m*g=MiTKBCsTgTPuo2T5@x_35OS31>e-!7H|%%Aq|+t*pQJ~gy(zhA?-#a(v- z`Z}+x7c|*f&A4-VCVNMysjY^iFEfilbm_UpkJ=sBcMDt2VTw_j89nPu8-Ih;QE7SB z^QCipIU<WZpZvSnx-Tv98<X@jB^in94pP+{IWAnBW72$#A!3t>%JHe)r=t{h*DTq7 z{Ogo;JWuKm)@8pho|~R1Hf?v==Ce-yIal-KQd0hGJ=XI6+~wS+NWVqr%TN6D^f_d; zJ;XTS+wSvWFQr-q(%Vi<IUuqw<Ki!&IUQT{0!w>VYhBp3NhL<a$FStY$$7Ci-(0EB zF6w)_KFIvv!~BU;&bsO3=JT#_JlKD(DOdTyn|V>z^7*Sf$_yF$J~P|=5;NwVe<QKv z*4p67E6>&6(A@lCR*dqkTP$*?J|!gftDl-7uqQy}zf)oY=V5{8KlU{Rq;8ZhUohG4 z&2rI<evaD1&t<MZIkJrNr+Unk6xUN~lTV%LaCw}@)${QEwk7vecV;c|%bl`(v*j|M zqN?oT1Bn(N(jE$5o^?g<`7L(6-AOuz^(!s^oecfXDq$j?8_wq>aN6ZY^ZdsV=G*vU ze8s&rcM4if*e`s#|EXokl!w*o!jgy7k2Uu_KlVIgXJ_$=jwS1UbLTs}6XAPZc11z< zYyAo3qf=Xjm&v6nont#wr{jO>`J~29gO(@zH2x$v`hGLLrMGdSqUtHm2_GV#oqndl zZd!V!M8;HozU*8-$r`l_x41Lr{>d`eGP&F4^;qrBW?{xj?pqw6y-+!}sLnlEsOI*= z)JV?Aq_a0gzxI{Q{Cc_YoqqSMJwF*AJ6Q;C(U5x>u0LVTgwE{gM%K%AX4+g)_>e2V zWBmz@*k3s@2bOH+Njwy>(0}^^hKw|k&bF0YYd<gZX#e3}o8<QNS~~Z{09B?p?-)*q zyQ%NCvnzTszw3iS{fGZI+$+nL%~$&EwSKbKsn0=-*VVag9;gJw#>9RPxN}$dvR>Q9 zw-cBf<2JJ@-}!xsJ8XsQ^S3+xOzc<^{AZouo2M&(tjmiRmN-?=Jjdq$7O5-qB(7hX zv621%{#RUPua2rMez7ol(vE_Qo<i~Iha9=T)-ABxSLUw8z`$O=sPB@wmFIzurL|{z z6jr?sQ1}_yd--Vm_PKNaK00)h!JoBNKQQI|LKCBTtG--Kdh_lws}#rfk3a5*CGI}L zcK+u~3IFPhcWuQ7?T&R<x*6{Fm=NzGc8KG5hEB&e5iS1;txxwN=H586QAfK|#8@P@ z=h26j*&lef&wH{h?ZLdVn2VRT{M``y)-o>fYg7W?naNv>!@BL0pV`jf?&mq2o^1Bd zg3&BO^kui<x?j^ZBh>B%6!aA~6<(9z+7$mecj`1@`DtQ-?|Eg!Y+LwmF>_e7`74Kb zoj((J=jZD0eeSyzB);u+%TxPYaBD(QOV`hxteJTlzb{1Ho9({rlXqBVTMnyY!%v;> zPS$MmVi}5NZQ+&sbY5lEk!8VanOGaVbY`tMI^SGeSj0PE?~hI2{v6ld)9Cl(m%g97 z&%@-i&sR4%eOtQP_QRBr`#$qZqij6B*3A5y^6J#v$k>H@%M12hT7LONTdm83y)Epg zzuTWOne4ikx$VkdE05iMS2^B4(GGgC)UHplMYaEg%<jtP&(bsg-kp@Hwtkm?y#ROr z()7;91=_sdEb8wB6dWkeS>*InY`^Q#6_$#tOj4&ZC>@Wge__uQv1!ffGkNj<8b3}8 zHH?3>tYWX`_jbpH4>b2rd3H(Fb-UmBIW`Yg?_l||$*NAA<;$l)*}DH)lYXrCtK(y; z-dZbYdG*eo%gr@2b_#C@iJj|q;f<DOrbdCu)E5dhbz6Sh{bSeSUh8roVu|ZB!R(7u z&(1xe;=5gL;e5T-M|))C?sS!V>`!M~!M&s^>6zA&uw{oAXz^Qnq%_?tKe=P=bbiZJ z!+E0268FR<!k$kEddgC*d2K~p>%8l(vXizP|9X1j#kz+(KL;6Hi+(-hq{*iP!E1fu zj#fza@db4+@z!(leJcL`>m!yw*M+|6hJN{V{N(1ONxWJtlAJp4{M`QLTH7yHs(H&T z{YdB3@2K6rM+DC1*Df{4Y1yzM^7bzQOEHE&zXR90DCxI5Ej;b{XT#f#YKeFBIj_yi zpYi*@hag|LplsH&7NZz3@0dg>u9R+TpXP}TVs2m0S^rI6{`qUzmEH5yr#Xs0n(d{w zBE7oTbJ82>o9CTucck=AV(QY}dE@rR{gWrQy}9#&<;>r8p7(V(*)yc+A1ObyYFYof zuH|8yT--Z)ADf;#%QSn}otAZxSGVQgJMRB%XNFY4D?{b72Pdwz=-%FbM)=mDEtk5c zpSUaA`mVyK>F$G_#=nazxn8d;nWoy|pp~|vK<D7A3zh#08n)`~+27o`Y5U=g;l)>u z)XrjepXG2?VaGhxmlH(KX$rJT?Z2_(t%IG<6z!Lq=XG^H91DCDdMd7Wir9_x<ApD! z4!FjKPi&d!dsOLH%!mGssiyJQE?u0_oK>p6`<z_wxj)x0cRc3HHGdJfWX`AEc0bOp z=wHCc)U_(>#XZrqw8FsC#y6fNtjquW!Na`i-?n)Z6%<9=ms==$?W|rPpmBO-n5FOe z9A?ki(cwM&t!+GyMc(<eKU~H9l-ZG+Vo8&A;yEUA`n|ax?h)4<J^e-K!GbGqzW>^K z(eLhb1wT6;d0lOR<5RaD+Ea8);P_U5)vbN1$~uk#Mx1-UojK(GC%XRm1M}CydZHRd zb~kE%uxKrE(8=Ru%4N`Q)wZ(P_+Sysnfhyn|7}?qla{H;K2_SJ?Wno(cgh!z>f*Cn zEh|6BXq4VO`r2pn_jC2{+!rn=Q!4!5(R}&Bf6v@QQp@AboP`z%&H4K)e*Y$+>q?VP z@LcoL7Vn5U|FTv0s*-u!_dw-SyY|XYG-gj-zgaMd@6HRWGnz)J4Ta|%4|6iV)qZno zc|p6@U$=-UPxUQ+e)sY=-sDtYETO`_sfednK0m7G{~OWY9=F?08ChPHI_Mgkx8(S( zZnhvx0U6i1e2-1HZS^>^Z$V|%ECxx3XZ!zUmYQZHl<RDJxlpA<m~F*p_O!>239r(Q z*-B{Z<ewGGzrf$yFY)B~!&fzvWEN`A+*kZE$I4IQt*_>EXP>FpTHC&UZkc}IfX}7W zX(nE0R(%l32ycBZ7yWqUnkhddRs<}ac`HQerq}-Eof~XUGF)4oY%Hj7L+ym(<AB#~ zQb%8ORBzL%u=CqHdtZDDbG+56MclVJk8gTqdGe2@zwYbhEo#@pcO{6tn6vklJfG9y ziZ50NO}klMS|7U6_oTeT{dmrtOtZ_pLbj2s&T{{rCfO4zWhSt1S|<0pkl8LLkMr%A zb;)q6=0v9S6s?V)*D#wtv$<04@oR(q%!R$Y|6hD9z3_4Me+xC+i=77h8z%<Iaw&Ht zrLSvVpMLZMKUdPGQ}WX-XVxpXZ%Vshax^}Qb8SVS#cQUL*TNHYH$QVfb$$7<%@cZ} zZ`ogv65yY1y{PM0y~$3Q?|*izo5%h(_sp%;LQH2Hw=EKmaeA!f-oCm<>XNR`3Leh? zH;fKGFPOg|hWFy6X;-3Uyknkx;FupEl2)$p?ftE{2Ts0O>A5mX{Oidn65B5*g#B^N zGrd1cdNJ!B|KbZ>z8#MbW(Ayo6hB+_Fxy5Zx&Jp7*u>SAf4|1%BbTS9Z9G*z{J+&a z#=f3&&nE8LX}Uu5o0ZbD`(j&)+W8hpJxV+uy1irK>WxY~m%ln4Q2r48;d9ZK%XgJa zLNYp5x3t{4l+)$2g8A{Bi*kV?tx8Xfm+Q~t_gL7juzjI?$mQtrh>EzSGeWC0_J95R z$MC=%AH(S`|JUE``gY{%v*vR76K(zTFTCWPYP_d^v)J2nOY$F=*chH$dHBNBkI#5N z1~2T+-0ICzx;*7tf8nQF#q5`+9&fm~o1;?ex5=siNuP`UverE_3``>0eu-boZJ+jJ z0XsA2M$4y@Oy>#tXh^&>xYB0dZ<DlOLY3C7q_EG)b0Y7&D)G6rthQ|m@27cg>{r+- z@|$dAu1{&(cV$z;4A}!Gzs=5jx2#e>Zt79Xg!kog0r5*#JBeJ`5O>YYU$gGOi!j~D z^*%Gttn6Cu!ty`J;L$7f7tfz>XuLWhw19hEjc4(}pffsGWzAgYKGt0E#dyWKDeF5* zUSB*fveBw-j*YPG(~i9>=AV?lH1%b}%FI)*Zr*aWIq|5|y*eQ=bJx|~2@LZkb|)*Z z{&4$E<OB&u!%SyJC7*2@8n_m(e>u;vsn%q}EKSD+QO{B@+sn>d(jHsN*7Zu9Id+Pf z`^HJP75Bb#^qJgxsN$TNNJw(v`P9uZ>C<api^|owoIYBheD3?^;`Y_Wok3wKtA6Nx z_;QTt{JvfLmj+ee|Ke9P)4n4#{lK@yY4@Yf)D~XZo1&7I{Puv}A%-6}JS64{e|nr0 z<+$l(mebKy49DHge7(Fgk}GA;AM>c39Xwa^Si-Uo?|k<Q?DkPQlV>+`xw6wj!C%f& zi_8n`H)rquv}8}|-et$%#U}E%zYy%K*Y95WL+p3@DI@;xJQ=}NtgO$DO<-DB@vnOQ z2F^~`_&0BS(~oYPmA9`Y<;|;-FTqt7j4d9A+B=-eG~ZkMELvN0>iNq_dn2F6>t;TG zdhc-BUi+uE4_?g9dTF>Lb^BhUgcutm4`q#mRehI#^%oZL+-Cl$*kAv<kNv%rU~tCU zDa*gUuaR2Vwu)tO<eY_Oxg3g$x4%rYP-i_SS@l46p~ga!o!R!AGj>kQa^yc1p0e<b z#3jFL+ckSnM{Z8~rXkE<G~*qY#AhAJ-lp@(j;*dq+o}cruAlAG#Fc#}Rbz*K=UkV7 zDQ4n9^X|%?zUU<}mvy)N)Cd-_hcQ{-3?}7Pr@g!D;NzxW&peB*?cslA`7|GS;}f?- zzUIhx1~PogSvy58EcMI(|Jlc!U$^(|Ta>+2e@}(iiFwm!InTc0AAM+Bx6|3mR(+GK z4??o}+uSX*?<_d`;^oR}8Sj_d7G7%fE4`3h+VMgl^zzbeS2G+ptj*)RD5<Q*k+!+% z{<pcu)xMfM7Tz%PM!?#*owpe8zX?;`YZ+6RypBaWLpjnXYVkQGn*`%0P1l|aEm!<J zTi)OM)ZW=%mgm?6T;i@AZ4dmoi9JHLY4y%ERz1xVwy2$%pL?cws$<CUu)2%ce0zRB z@;q_Z?9JSw)w%iCG6c($cl_EmFZL(L#pd__?bn^Slu|G~ZvDc&r(#X}ug`g<uyo<e zGR7NsS|YD-Z`hsTxc{gqe}}2j(Wwe*%5vvVtu+rmSyo$Y_EU%@w9&gyy)gaT%^E$o z{StzQbw6DAnXr3{^h=F>hqe^|eyI7r<?RO7h=)J_dUy2B{P!fvIy$cDCcBuTxWI40 zyLpQa3hp_#JaU8Iq^WESGd>@g+HRO@+THITHCZ&7-}dPi_wOQE=VJ73_pjFMe!^9= z_v2yJ8UNN!zF0kB`|B?=buYD?SKHqyb?U^&bq_A)aa@>Ir~Y8>&MR+N_-))LZmp}| z`RV5C0LQPX3_EJ4o#={beEfFX@|t?@s0n-9WHe@I=I>Va?XtJD={wMGaDU0)c&~%= z&0lja6>4qP;;d=8EOP5}XL;H@hO+GvqTcyhJP8*qYxxgmwyhGWsk<~qKawe7d!}hk z@`TlH4sUtB?`qq%M)GBgz5)NQAOD^$7UUGZvfr?MqCd;w@aK{5BEBkgs^&PJdiG|` zgo8J<1aEUB#7=8>68NF8>gbHWy=$jOcyP)|<ykF1D)}Uvbx*|6DgM$gBo>*>?lq7; zZ1|@56VsKeXO?#A`#ztw=FqD0t2aBoU+{9gzWZw5+?A4iZ^EDaSbjWH@XPa=>sNTc zu%18ty;o{af6_{qsZT;TPrWsLQOA<5=eg!KM|x#=r%lkX;otT`syH#c+;Ykf5tCZ^ zt$G>n*K6;cdX{ak%l{^2{=(471%>}gE!Tc9th~`_q?9MJGw^rYHo3}xXVdDQ%-wl! zx6_Z=6?-PGnxvXhCy>~GM(nAf!~bdQDQkK?9V$DDFU)wH`QCkJcFHAdMgRXU8|!~O zmb|j_qejzD{q+-XO_(zGw#ABm8^c@i$DB6E8zi0Cx@IrW=^Hov-BVjH>o(lIJ#F5K zNpTALx_62M>W#yn6;8hpz_7#O%tgjZu@ni(P}AriTa>fR`aM5>>s!B#g@b!puKAwk z9VZVL#-_yXmpInLJl8boXOgCHhL*Tp%!i-b3fZ_rW@%pUdQ=ws;~)3K3u?{l-$xa^ zJb9E;r2cx=Uf-<R^%V|JS8k5oUBIudy+?;LUw&_e%etL&ly<pj9bGv0Alv8tT8F-I zmWrHrK7K7WZev_qzG=jLsX5o5Xe5XIzu*%0<CeD7(QwXh8S}a9f~pF){OR8=u#o#@ z*_pDJXLIwu{^#w_&ffKAawOM}Z$}CfRvJ7x^Wx`;IgwkQL@0W^o_SD8LHGInrZ}-X zbL&=oj|yB?V<wl=uGMhn{JsO+>=(;ls$WdhxPLfd=_>>MTNNw6FfQ{BRadjlcKoWP zw$Jipt$xwt`NAr9E?-!{bcUlQBy0Zc7jaA4zRz^N!KRernK|u8d(!QHVv~#Rg+@w= zJd`W{HzR$8!rA5d&l(rrf1S^{p`Kf^;cvsD((vyWUX;qspR9h(b0+6KsWZ{vCz*Vj z{w1^0McemQ>2|I5;ve^a<(R*k8xUGM;j5^TbV2CFO&eTYl8*^qiaT~dux}>cNBfM3 zcLxl9FJQh|rPZZ2Qy@CO=hXBY9lxtvgO97e|H)x2EVFlo__IH8w=S>e;aN2&gm<PP zdyhieWmdcEhm+p2@-AGm=eFC16=$-)?ajFJ`02t}%|Jt+`!6O1{pW3e(j--q`Z759 zL_w$Kop%Q&>D#le4L^A|-acG)s&2_Yo|@#z-3fkc+1mHW%8Fd}Ui#<sJyR92mOlm| zvo5u*TKlK`?u?}?G(YHTZuj9m;PuK<_w4e}vm5!Y?iHO|^841;W5P_aYi0BtZ-3b7 z8S=+w+qaw2@4f!y2@3{Ym>GI7yfk%ZcImZkug}f){jlXj+=H0iMprC<=#&&EeY%&r zP<7hJYJb-3{|kH`q%KWfUBCFi%Eo_=x8{AY%E-&tuT6;3vv|4mb;_LA!b=vVPG83S zoBN;U#rJ`wIuks#7Wi2#<u$s++i192ZjTl}PrjexFTcIVc1Xz0EZec*<?TcN4*qcd z^)%~k)gdRLBTYrwMSQ#F{x6G7{*|O^Kk<;+#kIdrK3KQ%>4O~2km-}3uxy><@gR0$ zo?&PFzFj9XlJbhL2hEDv^Y6Z&UdsJUy<gXQoCW!=g;}fgJ-&7Jh}D&q%qhBs=Iazv zcdUFN%=bOS)pYaY88!D03nmxt5G)S;p`R3b!<}<>gWr-XaXg$pDf=S2HdQ}iFJ7zv z`Av9V!Kazw>m7MLPC8#dzF=EKrHXg)U%wqf99fgndA)8k-pRE(`LUJfR`CABcU)|L z)@~^Yo4Y#Hv_+n~aM^STnYk*AY;{}w#O_^_nOUix_Nn-_-6Ns*9><ao-qow_lw}LL zBW0WrsDI+6S)jcCjb(+^&i5;R7Q}S0nVgupI78%V{PggAiC(uem7n5L)Vy}YJzjq{ zVPe>}?_E=OZ*KlR;i=4w_)C%B&+v#XSP-AzvF1?a619N!2i)Fkv%ag|m&d6yD}hbp z?CLzJ$!W(W{N}q@9#~~R=}nlTvDfyR4U8-J7<HpObvz#4kY~AJsgbhhgpA&Dd9}R0 z>8~of7JJY5q<x6DIJi)YCrwXW_RGR2zcpI4w1nSy+_si*-nrx3imzWMwdL1r7i^DZ zbw0gFmBs8!KFhMMJ0A`&Vc*6X{z{l_^TF#63^`MR%)?w=Hpo_8`q3!I)wO9_>D$$7 ze+9nS@x*uDpC1o)=zif?{5+kVQ+0**s`G{imd@3Cq+qscMG5!1@5i{WpZ43iI3wZa z0>?e)OD?&tJD{}3@TSwvZ>9TIv~#^`GGG*!n)#_gcH-f*PrDKY7P&<%xIL-s4YOa| zzS|LtFE2Z>dXp6I*2pgx;%hFKR^FbuxK%gDXGw7E@!$&+X9k$A{(3ATe(GD_vWAD| zQZrw;Ic+mMv#rc||KBxxw*NRHn<-sg<k-;Tc{s1~F4K&aYuD;NZF(8^RAW8k)Y%^Q zgRI#tUQC@?_~Ff-tW}O06E1V5S{F9Ay;XlJ_t<*NN1Hdd<7ys+yLQR1(SAO4)4}Oy zydRyk+2?a{Q-6~uOZ6YQ6}C3J%x0U{tZoh!soid?cJlEr-6bn{%(_;qEVET8d^Euz zC8Rs@s~Wp8&(~LMmlKRi9_dT%US4oyk<HHUf-}0W_s-8#yU;s7Z|^P5_wz10a#?p3 zas)jNVrTr-rR{s8wR`@B6<fA$<NEt3=TV`j{ic_S;W3LM&1!eOsZMLsONe~x*Rv?R z^47^~k|%r2g;$(-*JZQ&v%1WM-J+U8G3}v`b3=dczG)FD*7l~lQ!eiMA?x%XqSEHv z%ci<-Uv`#f$<kjMeT*w2I$kKQF)bE;zNqB&ev$3doUCnRKHWM{ztz2meNopD#*i<2 z<C>ncUt;_pXsyL^ZB_HkK;M{~=k@MePV;>IxgxFNiC=j3$H+>(S&S}^wPr0eXuFbr z;@?cOrig&W5$m3;W%aE4-{q<AW?X)Clef<6p91Vc9;y7RT;5#$_f!A+=?M=*r$(ID zdui8_=5}Lac-7|GBQq;@*zXe%wbZha`jzFCqV3PVEkye3k>y-b*9!04b5wMhw1LBY z*Nmy{D<-Z`&v&nRtsb9MJ2(4H#M&B*sUI~szE9e2z1Qfo>YA1Y<@>_y#o@xX*Yk_} z`TyOSdb)Ta)5?}L1ySzhsekUfUp{^6*6Z>DM($&k-_^GiUpG-XQ{_72Y+LVyS;e)J zJSCp+a=q<1-ts42>VCiG`j|BdiQalK_ZNh(So&z@oA&Em1zusRi>-S;e+&B(Dcjt% zzbeglc6-v3Ztnl)THjUvOC7Ope-nSJWZs_ltd3{)?o`PaPPa0h6spY_v5e!cO1vY- zrPdJLg?$cJV$6SjIn*NA;q>ZOZ?juq+Pp)-e}C@zK0)z_&@HAPZ)KN1J30T&?yQB& z*XSFi#LhSpHe==kT}J<ZYops<?cg<kFpcwP@7-B`k!y`Ettgn{W9~5_SE>E--uF4V zFOJ!5@?+U{v1#+UXsPLkrSAra2D`g$-}86JjkE8sv7M28G~s%}ze6k+^<!mz_l2p) zXwKX%6w>~{<2nCI-}RX*)Oaio<TV|+^Lfd?#-Mqs-YTC&TY~0Y<ovVcxk%WH6GsK5 z9%W{AyKkRhH{WE}{9Tt7xY^!U>N<o>f4Jhs@$QTNOdt76=*BEQ-SjEld*!5wj_0^) z=1*GOCzQ9fR@>ppuIsvuk3uYxjHhTlzS5h!?`on+&&9ZHZ(bY|UmR>XE9cINg>^qS zZ_f)fJy&Ksg<Zb7&t%H<%SjG*j$PTk>fx=Y$-FApclUD~;xU_7DE#%j`PXM&@e!|{ z7{1&yC-?R(`Sn5jjU>+-6h%#)G;7m~DleA%FDBMa4QdOs?`<e7)GhvYIQr+tK2h1N z@;@)k>oV`Wk;ioBn|{*4HA!-lg%+%Ov~Wqlst@XC*;W}P%-^Bp9++UYjPFeE(O{Ky zQK>2CjCO1go<8008&@Fz|MCVNpX58;&gSdeqnsukwR`P;SZ$B$p=aijdJ&7Bf6>Zm zH$2c2^U!04@QsFtGd6ycU1aj)`tJ27dc3b~X`5;z*Zgsta&X*tf6qvjUHo%gtr|SG zo_ra}Y1732xxB$$pl#y!P0=g<c!_^J$izMQ^392?6-w^>=9!t_=3SoAv_JXpwSM`T zChlPiCrVlulxDkaN$vXQoN|@r+ba20%B<pVY`<%Gw|f*DNME`9V%LPdJtudq`MTwQ zN8KUOJNjR|T@rl`9(Ln9y1(J=Bzwz%@6Y1Xzg{bIyzJsGRJGZ)eAXqur>_kdyMKg5 z_UwK(@3Cmu&dU})>`p5*CPoy-_BRN<a`xW5=un)Z_`>h=&aXUwbtzAt$3COHQ|Vio zqWxdI`t$U7zdXNSV1nJGFfF%fDTm{Qp0%%hvMD`9eEXHwgp=Q^Qn)#%CO>(ncC~Hp z*8Ekk#qZ5!y?*Z7zvjT>ntdhAMk?Ij%vBC#?Q$vRsek(A!JUow=UiJJxUgl`tP^SH z)_SS4S)5uFs68iVYwFFI6yb{ZlN>MI+u_+|;qohcCZpM+H;imwq$db(GvPH1+@=4Y zNtmf_U1EhTv-zhkIc;_E>gyRFo!)O|y=QdvD$kSn#fH-+d}W=psmUTy`2LFo!@ao& zoK~`ZkhfkTd!+7_%k@7>;u(v4U(E6<@#%hP$$4t}I(gNzavr_;>ler_G(Vo1$#eSE z$uldj<t!`e|B#<qem5cKji1Q>NluLWs;qh&+#baKZZp%`AoPAqdgsP6)j#3-Z*RT% zmLrsU`azuDqZe~(4&M2vpcb(=I$6)XxKG95*)!KG^J3l<Z+OP)bfVOmKbL8Hb}ifY z@@K~*w>)J~dtle|UVh3fVT*?!wEK?#-*Y768Sf$?FT-WiZJGm4=>54`q-xN}w85)7 zqUG$ex{ZI6XLmh5QBiXH(f7K095NGXxZ=eRRQGBo=XtMcFBj2Rb7kA#UvD=a?h}ue zPEl3an;qvnVc*smU!mLgdiK7Hf8l3%q0T?H{%ZA+UFjW?`Ymjax7}?GHVK|T<KFX? z#|rPSNs^F0@z}#itS&Ix<es?w?UQE?nfv?LrVE-czAQIa>}*oyCeMsHHhWGp@|oFK zx=4F>N|b8w7QFA@%2OKL&!4GT6c~}UNGSG)!$~pa=E#=|6=vM_;yt$bT#=5U%pA>| zUdeN9*8E<0%r!`0YKZ!btV>seRI-l!ooCQl7Li!v&vEse*3~_)4c_X_u__U5KDAw! zeIeK3n`?{p1hZ`GUhF+}d+~+7`N99*@}FsP46H7C{LLn7T95LA6cHvRzejGr`j-C9 zxi~xPq4W)=DI4DH(OxS2^q6hTJHO1}rLrMMZ#%EM;P>>+gbx$dyMs5YJqulAW+xJN zmLc<B<lNhL_!=_{!uKux^Zx4>?i}S-mxYs0NeF)1TWRE;+<)J3jp!7%bj@jQM$_Z( zUjFI)+CRTQv3pnBsk<x-6Pt|{Tm5WysMj=Yofq-;&2=MBjj&}Fubb9al^O8~o~oMu z&EoiKKmDvJtowt`wCy!B@`{|I8+)|$c;%bKHB06HADx+<Vm|XtwUN>;lQ=G8Hv8OP z6^E|<vb7ifw?p#xbl+5yq(<H|C*#&GEI8Y#dFHM`yZH9c8xF<k-50Ofwk^Tj_MWWS z`6JsWotdZT|H(sBKwmbdj={v~)~%*@`}vOE-NJAzV3UGt2`78OgRQ^Qe)cgcatJ+0 zzLQ|OFu}aEds6-KTHiL$9wBja2aj`tH!eQj^)&zJznV9q(;xg<e*MJF`y4v4|EHNN z<bQf{$$7iadcM1^+uA?bWCUNa;+S4|p+8vW^D~ocv)Xkz70TN7G6jj)N*XObVVG<` zaqD+3mkS)r+RvI@vAlb=;K)Y3v<Nk}zK+M1$2jlE-x9f{dv4zG?$vFk({&$kFY$cu z()r|4%61XAJ1=gC@yJg;_PP6mp78(2I$O#Ycb?l?XK$MCeZb;%O4E<ilg@cnw;h{o zrtv?u__W@a&{ej*hs}ILxh3AW=c<OOm+#HJow11Xh3#)8_4r_?4~$|zJZ4O4WZr!9 z#M->>|4)uqg&noAxmm`0j?XmwyFdRwQ-S&y4&n}y{YQOsC8Uc^`j|S-z8f#KW{0U* z=f|jo*2|Y>i7?iNsr~j?xA{v)NlQh>AFeH>>IGpFWteYfZ(3_`lyPN2_+ssSX%qLX zX`T6O=ey)iEA<1KZ_I8_XF524rLksg(BkF%$3@gz`{hnr6!~)-X#Wt(E?V=bmT6B# zO;+$@=B1IjcfUWIXX#b3L+9P&>hGG1KW+_>lF4G7b4{jF%H4Qw$^Vs1lebxC?<<M? z(BWAjE0;0vyH$bBCdS6%6UK{vpILw5Dr08aY^hJa-XDMVrCj*-V%7V}!dox0o;cwr zt@r=pm1eIb&JV7-Qy0aQ?FxD(b1unuAMXm2^P5fWO3M|G6j)A)cwceo#ssyGiRa92 zA5Gzt@Ma5Zm|<>z>YnK}Z*KvA*EkQ21f6feMgke-La|(WJB5~RIc47)`pE8&zGHe> z%=WzjD&^h%@uxq05<dQ`c8*P@^RZ8@nHHWeFKs?y#%%YfkZ+dclnGsjz63S6ZQXaG z{rv-$tfvh8haHZcoWzxz%o>;!bmjAlBkHoVPF!7_8qMsVuIsYhBlY7dxA;A_^LOrP zd0e@Bo2cj0uX7?kzFKxeVcHp^%FM+ZEbf0YR9P_XR$0^!ksp~`3iHIhJ*HOH@tU@J z$Ul43lrvQ=;pwLLA5OhFwcGsp<vTvvC!aqrukyL{ll$EnyUfhv?0@gGx(oc<c*^$s zraOmMKQjF@L-do&)}?bxCM@=8Tx`FZopbN)cVgB;FC(@dTf5_!$+lN&jN$I*t52ls zTxQeQGv(UMj9me(-GNqNf{LdMts)m)eEl_G;rml(N|m-$Y4fY?zH?3P;O>);B0jYw zPq@2v+SJHnd<){||J`23tMlxb<KMUkA$+fV&;55i(O$L8Vq5*8t4DKHm1P2C!d}h2 z($cHiwN&byz`|%dO<}clYdNGA*M2RtI`Ha@dduqAoqjqCnI<2J4gLMpW1`~I4Oe2f z?3(n3HFb5%v(=k_%-~8jv{1SERJGy<%jDRr;+w>tCc9i%T)kr6#qHNxZp-KBC$1}w zdw*7$?MdCK*~giVM{HKkvfl9KorBpXi=cf$pW2xEW>mQ?%x+0u(x#D<x_jG|KkKGw z2Jdq?R6A|CjEhG}U$nCd=cyZsKeDbnx6Li_&r)At(xdQwuVK#PrEeMqPS~H9sJz!- z)%)Q~i<<{ej?Tw<=UW907BPR($ai^Jy??LW-nBDRbT@t8XKbP@qx<lB_Sd6NH;3%l zW&Vcs#Iw!?OA7PF7~AD878+C(_Hf+|D30XeVKtsmukG0!o&Ps$yN9fXz~tSvZ*8s= zUQVf*b^L}YljiNNKuhzDzMR_c46AQ#H_vnXcwN3x@740(Dy2H}P33k;J}sBqwBg{R z+YZu&>WMbOeV01r?{4C<aq^fbcl3~e*pZp9w`TumpRut=GWEOjwPiid+}%=ZUR~~1 z+^{yI|MA<W_ZOdeUt%4&kSEIXO@q=U^^|LEkK?PZ?mjLWW}q`^Sy{X(Z<^gCX&;UB z5QSIE_Z61R3wZH8wK(SZkKKkFqx1?FXwA21n;`l^!fiw3@ypw8O5gY-+qsgvCpK+0 zZ{duU9#8g6`eJ+he|L_E^7|9pYK{l<Ga9=1CntY?`0T)!y)L@C!7H9wgyjCOm%p=T z#;NEtx27&<Iu>_)*LU4hiOS)tlom`kJSnzj@nheT?jOorjpq%-lFII{{qHf|L6ueR zaCoJ)cWc1TnU<gXI1VjVDmYM8>>4_4)3;9k$CAxDIqw@38NWRK8|#xbX_@Toi)GbZ zK{v0Q4X-x{pPpa!`rCuJn@M)zSCUMW8CI`4`Qn?%bD<@3l9$g=dno-lW2)>QZEcq% z?hQw#zb<y3^XxBc%rb`;nll+VuXVLuTa~4(!BX&W`q9HzqD2-gOb^oN4=cIRy<|ho zWd1p9;hMd@J2u>0y)nSPC%_@iBSrVUl<=N~6BaV4TAdM=+ImU2Gbu_ZXZ9ueA3r`P zeO>*qy;Q|q@YdeDZd1-`>VD}zU$Ik+iy>*Ug7YDcuN_h|B0qENmzonT;&@|4@M^9T z+kfZHpSNp~hhLxABeO>fzjZwOWaGciYsvKgFNOO>wrlNS`ODUS`s3{1{ioK~D<3K5 z4(@sUsOCjRobtxqYR6VHu?qZhT&DF`_SznqfEQ*v<0go)tZlydE=AF2=JY({`YtK{ zxm8MQ=H<AT7im4*?Y8x<ebD3Amo9o;KQ`^tqxNa`=5L?x+;!4x+JzlEzwKpUJLBUu z{n<TrKGW$EE(iEBh3pqPB}iN<^S{NO^qFyC_MJ&L{x{$6K9N7i%j3+(*G(CA57n;9 zAN>8`@q#H+=1liIAUuhKDPDKyRo%(W4t0MVFZ;}^V-DEj-`oDLV@vhleW`pe3pMy0 zls5-2y&*A0FY5H7U0cs4<v)x1sOjHz*e+RjR^s(Df}4Ym&nui}E0#Dpz$NS3u77^w z9Lc8tcUjyvWS3If%<0i8;HLlf<NQ|v=UuLb@F?s*b(_a~=_}hs>sHJvjp-~?-m)#A zLFj+}hivulJ{~;s&t80akhbNKh4RnEhb!x1I6fa0W4?Lw-vQMp{0A-=2g#V9?dZR{ ze~KgPwuZH0vlOr7obah!^YGvW`x(17I+--6w=iTsi}E>VzvADE6Q6`*x!q6jK3%N$ z@QlHUZ8r)Yy*B=yTQlut(AqoBj8j{A`9gV>d3i4C_)B@sxZ1TPYu?eI|8-l{S~jq# zua>nuS{1>fdiX%?om<O8dnU=|y1m&o-CiU3XpbPrH1!PCBu;*lH=T0zxhIoLT^HTw zNMHHHKEUq5cUjxpztwwQoSfk?$@S4amjKPTK}p>GuXntk5vpLQd%;iQ{*6yDD~?BI zUYnM2!{CzYO-+?VmD;GLP!3(yo~C=BPO)V~ujf*~zGhB?nG8c*vUI6|*w!cA`7)n# zrH>U)4tnhQc4~|6&WfuRQf^%CkF4+JZudNF^oBD%U2?gn+q|c$U-*JwKGrp@7E)?b zboRJnpK~vyw1rpYMv1ZGM9YI!(Q*Ix`Dyc)E-S9hFB1+}cEVAq^!Jo?<x@mD(mEer z+&%YvR8YA<kI2e}&32#K{;bw^IVI?#urlf6C7I8vUwzx3)g(>+{fuYZ5A*joH#|3b z(D$`1@(Pn(^ybn<^Lsn@Dm|SMm$&n@=C$0@TdHKk7_O>rv;X7SRO!0d+P_A8(QCiQ zk^GZamYv<1<o!bBd)cy-<y&Vz?7q1+$hUUi-OtzC81x%TCY)1sRa;v!ci)n9nY6W~ z#fz*Ky*1PGN;thS=)_zlCt;@S%U|T#c(au4e+t}Py({>g{vH0S>@jH`DhfyTKc7`T zUp(fxnb(Y6QkHWwYEGs-&r&I@G4!lGR?@NgRgOq#?zx+@E*4k@26WH2xb-T1e~Y_w z;N!5*I&bwSt;jayZsdw|%{$s&D7G+5sQeP2$G&g-x38^9c^na>`r5N-!J89C5<9<M z2`!e{VQ)Oe&bxbpOxLffi;mYOUhl}%+@r83ee;6K^<OhGpT0acZ_6L<Sw794-V46n zm-=*M`|l0+qLp6nap_C6(b!P5vPt)>z3jf0<?8Ns@*3hTe|XttdQbU3y3(7#@u%tJ zy~8zbzXFZ_D~Vq`AfqU{QGzYw{mV-Mi&k)?HM$%0JmmF!HBHj%$(iVK>uWl{p2ma( zI=8pnF8;v4>cd{L;t;3t<M<ELO9F-OvZbyGm@g8UceP{!)2j<{IyY8sHC=al?<w_X z*`X_U3QykPUn}TzSlxy5cGbUSYzvQu>$3m-6tVxs-L%d1J@Hjf9tqx^Bei3#$hrTG zACv24Z#H|Z{oGoybAr_Y!zjC_dJ7M%3QM|}d7fwA;nY97WP^=jtB)7N?8{85U8Lu} zSG=lsU&*Voyr&5oaWnOoe3~X=eQvUO(%dV*{w{uRdvMY5==}cN@Y&}sChgi+`F?Zi z$?fa9f|@oiXXa-*rX9;|{b>KC;KS`d^bVF5M4V3UpTNtX^6_3w(#a%ye=%kMhUk=) zQzskV5sW|hDsYi%zc^=r`t)A;<mV!#iJIr*6{Z*)nrPmb+7rVN-J^0skbU#!WxfvA zE7;AC?5tDJRXDYv;inI8;v0vKRf~R1*gWH;Y;+}Ob<pJQ(=r@?f3My1B(Ur)XZRwP zqkq4AW!bs%bn@%ScN+@rY%L0E+)6aoYp2C*yt^gf--Zu6SHD%*aILP~DlV}8e&-(P zLiwN-KhEqc4v^fnQR7XZv;C63#*Ra;zVy$yZ5|y^(6(lhj^e?T+Urs47A|mJ!E);< zU!qxJ>P6RcMfK$$GYeJzI{HhOPdfNKC48$0ho42heCR5r6DM}h;Hf^;BQ^7e;o)Zo zZ}dD)`DA4}WzU4W?yIl;-X1u)jp;!ii=_NVp%;f%zA)G(ek<(qTaA?qZC)CF>@4P; zV{yVoW_n0z=Zg(5(<V90ebs$*LiuZ@WsjWG7oAk=U*kG)df4ZF(+^Kfj8l);JQV#9 zyLZAi7q2r`+9&npCog%kWs~56hiBfozA)vFmat`JoUtiud)#OKNi%IW$eizq-87N& zpYY09mdv+@RvOuxGN*aDCQg`8Uf22S^Z(wNt27r?u2p;<6=&5gYI9>w<U+qh#}`xU z+z(V7-DvRYuPPhY*-($5V+C!D)g5a2p@+AwDPyqw^+#LMPx|@3o2d;;7i->MaO}tI zrfsK_gBO0%N!xeym&UJ8>-?AZE|<5ASeWhIw%+gB`B>MbQtka=rtW!H8(xJ(ROeXF zD?Ik)XUwP6`_tb#A6m++`|oB`vEh<S=?esxw93qDm33a1rT(a+{O{Yz8`jNTzt2cf zV%Loek$(<Wg!LZSmzuD)`)lUxnY%5*?d>OKGfi~V%bxr}_m<K8%MyHl=f@}Ov3xt` z_(&zX@=p7%`Z_!1t~;qe=1kdp$+Fq}(cbP$7EF5>m>0TiXzskm^jY_}<A$kRf#I(& z_!nzdoZhJWH=So!cj@j8w}pJxS?@T<JZJlFN704%kL{On$xA(QrT*{@|6rBbPxN^6 zUv9bJdHssxqV-Q#b1Gi4X^&yQ!4xB1-QX#Hdq;*=?S7#@zb7|qa~cHScgRpVruA>u z2E`jpIp-@pZz+7A`I0v$<9w=qqXN@>hbv0eZ=65NHHX-pNPNKB+_}%9q-e?Z+c!hz zy6L@*ox8SGZTAC->$lRmzRtXoZFT+F#Qwznu`6EZH2hU%|5+73`MFPfli&lJ$7jU^ z7I{hBTC$~eOSIC19+pU}{kJsRmF8!KZ}Vlp=rH?h{QZLHl}#cdL8TTGV+ubnm$yim z!l?Iums`#RW1ihT$4Zudj}=igIyU`}|HF;!aej@}De~SY);^tD>@51`K$P{GT%FK{ zhQBqT7Z>E5VtFdsktgyds?GHEN!6e!U-zAPo&K@>OWUI3Z&O#PyVv#!=6&$E68l&8 z>7>iG7C#>K@~*g+cK5dEW8dueA078z)VsExci9BFqSYLKo*ZfPNyyx?T;_bG%vHag zvi8Nhnm&BXn{@BR1o<YxGe1wf(VrN-w%O|RnLkc*Oy8S7Oj&(@!MSO2hb5yP9;h|` zS9&{CeM_%atF}X!S5SEC#)Ev5CasK_)8eSO`SSY96Moo=c1eUgM=g1|KG@gzed6Jn z6K#I*eJ|UR;P)-+!^x)O5!$nDw>SQ^TVPz465v!ECbv&E(%|5=hu(XqG9C;IR#(^i zag<%(JM&rsyKRLP$Br+T7gwxiSG78MW$CjiFJfEf@6?c~(mutrN@?z)&eb#Jmi9Xo zDHuMFVzX}NY&m8dn%ri#+{0a6=||UMqnFobsLb_NxX{(N>n&@b&DJR^nLqLzYu8T~ zlo57)aA>yvO3{w6&rXVSjDGD1zbm&Obqn+7)c1|IM1(9@p70*^*?sNtzo3u%(wRCB zndCTUFlRb>nHn#w7On{0ka1toK6!=F@?`nF3f(D*Pfq5geX(9R@A=Ezz}VF%K8F1* zbqY(^{UXQJ;EDL@oqIWsZ<?@Ia@B30kIxqE*vPjb(C?3}%^R8K{es)3Ih82P{_vM= zkAsQVr()iln~ipQByMgpI~+F4R`{crZ6O=WnU{MP=)Eqex*@CNlC<V!#JdfL7QN62 zT>I2#eS9@@$3dsSw&SuKCxq3X+${9ix;}YER_l86Gw&s`mMyG4p>k@=%2%roS6tQk ze!Ot4%c5zfv&5L@zFZaIXcGR-QPw#8QKi$AbsIADlWmV%->egV+QWFdR7h;bo$NpD zg%9}|($|C^x7p^Z%XTsPxY#T{Beo@WN_$d!86CEKUfCcXHi0F2-ErAtdmi;z&g<^{ zvomt4&1x%q%aX~v7`$trS^FGw*r)%|^ru(iiak9lZ7RIeYh!<i7&&dLX|ed^aD4UI z&mQkrtt(K{KW(%6^-<nuUe6R9fB3wf^UUVS^>=%3y?l7L;b`p9Ook0lPX6b(()&(- z^JD#1CN*0=3#-|NTwe78h2DqU4?df`WUr~$Hs5R8Up5CF^Y&hOPx`xr=_wb^ZpSZ^ z_C@?%9F{oO(eaEm^S0Qf+iO*qD9<cs<xih}PiBv9gn?t$wxDBEX0{6V#jaz?R2Jyc zJ?L~(<3-M<Y?Bk4V^yurHmB|JJk4ueA(!)8f5E(MIdWyDEK$DF$No->Qe7w6{B^3< z`^S?7)}DH6*YG*%<Q<23a}>iZ?(8Xle`8m;iA~xLskdoKe32WgS`2hg*~N3E2ku+Z zkokK4rlR+5a%KGrI{V_oOu4mo?l!FyZPO|}c&B?oEobb^KbI<uE+3TD55LgiclV9? zWwyE(xdu|K`W$nnUSavRDf`+?g%T5ySoMa9T1zi3>RYLQKDl|pTg^P5&$2szE#BS! z;KIjL?;{qck~?!*L}XSNy<Ot|bk%7cdy%9j`6^50h@*R#>6CmwcR5Peam|F>q<P1E zG$n35aoMWld~%{xHA|R5!xQyq7k}N<shhvT=FOMwM*V8r&;FOaqBCO+L&G}8hq?3L zt|>nCGw1d;?rOn@n#;@;^glak@~@ITvuAeLan<f)6Pz>{a|C>+`M$b;r2YR#)myD0 zcX$0XnI+J5`S*f18^h;VT;J5I?KsQbRenj(p4`Rn_W0h>5xBvze{tc}tg3Te9uB8F zqkn#y9CtW;<?PolZS0TrBzo1yiHJvuB_G?#w>;S1M`6=~%<ZOfvleN^{`O-vS=Y00 z>9&6+H99lPEBN-V(X5Q&&5wEg`_&$8p&iP_jtf3aUMa%xOzh*I@(;3$ehKN$mR{dJ zqkp#EswIqjBd=WStjXTOcid~?+THsrCo!;I2-xqX$ab=2;Sq*ermZZ7qF;TvtE^{f zZa=$js~V$Oaj&=6jzt+ItR+PjDIs#wf7UzS>@2r(xv2O<+~elY)2iW}N4B0dI_KW0 z6y)%7<~-|vF4pq8ygGhumgfcR>sy(!lukVUa;{H~W69r?4_$^<4=?_Gc#6x<?|xAA znM2lfXZ;h??^-38TFQGI*Ke6U-{(zGANSJ6cumLcBJVl*RYmQeI{grOTeS4;$K;$n z_k%@4&b~YL_|C}*E_z#>*rRsTTz6WXXfiqC-pTS^?RB%{-KvxKmuEcmn3EG!c6axz zN#C3JauRI2E}nhxWx-A>f%z`$-*$IY?lIJ!))7{>|H$Sp#o#yxkv_{`yN^h&ojhr} z{Z^~#8`J$Sa@~EdB4-z7eX!n_J@B}}D@RVoZ+yXx74=Il`Rsgq@MP<u@E2Eul%6^X z%m3N-_C)-h*kvoPxH(*XvcBz|Q|N2<@cd}~joNYVPfz*FAM@cx>derb>ui@l=exM^ zHr(tKX0WSFw-vNDUd&f3@Izqg#QAPd{+w?*qw<`~jVr(9h47Y_Y@g3PJRq6yb>3+g zzNeCRo9tF>XfoNpl_T}3jrKP`=>VqZ6XF9^n>SVF6nsdHI~+Ra&+i`VxhDei*T1^( zbyoYE%4rL}eZ2AVcbm9I6@%C{?H30e{~aml<Z2At?iF`la{i0|N<l%lzMU;snLNd> z?uf_p#UifnboRWIYrS!0&su|@Z+%@IcO_igx4!A#@~^@lYxJ%jV`7(nQzf^ObN(8a z*)J9TzFBl{mz2KhsWp!$G&i6BuIH~I66VhJa>>E_k<RU_*n8?9)MnZ)(=Yj`<G%XD z@-&5Q9CZt)2wPg$U)Zj%HnHm9->wXP<wZ-^hdV0pbvY<;o~(>XfAt{3IjGatRpsT8 zO&gZx-Y~D2A@s9><;B%GKeJ}$WQn<bnew#xX6S#ti@sIeadkUdL{`nqo5cD5^@a7n zmSk|L9kyJ^W#_QU_*}Mjukx|whRMgggSI_AZv4#k=8L5(eWox@RLp(paO`f0NZo#| z%O?#rZX5o*8u__@<?@+}&F{T&y}IvuyWP5V)+fL3QkyRqaf!{-(ElUPmY`XAU!Nr( zT){5J$=`5DBQYUn39G}iIY&L`^zbsYuU<YS)+8zMzG!`lO50NTQ<iUU{yNq0!*;8J zoz(N#zLNr5uNizk95U<q+RYV~kGp5=GJEK$+!DFLvw^Snm~l{cn(9L<)mU!BM~5{H zu18NYO-x?@%G`K=yoqn)d1<y7mhdIoIxQF1Uy6uP5Oc16E$~_(YF6!kj_((J10F4U zt6OwcRr|=9yhBF~%CrjvpKxsm%Q3ik^e}Tk?zLc6rQ1av0SxSUP75yzCx2fzxA4XZ z9|OM0Pp%$5{`gz__MUCKFB?VnJ2ED`Oy6^V_S7~{ZrzWE`54Z`&Aj?)VqMMrVC(hA za;I<FvMaauoRh^CWueEf4V`Bz{PMhfeDmp~|7Z8k<=b#{--cyQhgY0PdX@dWlWk(P zXrkb(qP>Pq7wWZdOpC0&+-UANr}DDpy5lltw=ci!s`XaTVv5lH^<wtgp3Slf%My+Y za2>b#cjBtoQ@3xOU46T>q)(VRXqF%7iV4#`uy=pHZ?FBA`bWZUJ6N61t>QhE^L)aA zt<1lwawh-TE?BQR>&VO|xeqb*yg5t-fz9U|qNltu`;b|}Yd$qVrdasxn=6T2kI!hI z{d?JdZs|<lHvd`rQVGAJE<eAR^QheD>z>_qYx3EDGq3l$_3YB_itWok*0i50P+KBu zTH|8B^8Q|r!;3#m+-5s9>(oRIHjgSHCC$hS7eBo{sHbexvs2L7MD@JaYZmvlTY4pn znRdMm<_tB?KDppk_@S~la^>53suMO`eS0%s@BV!6HBPfHRji-gc_LkBj@`FN@u)7v zQ_Lz;^Nm`rERx=L@|Em*)%E(R4T>5DVJALkz0p0>sKfWFU+hfy#@@aIVm-E3X1}_! z=)ZXA6rQ;A?pNj--?Wrva?@Os8YJoY`|c6-^Vz~HB5rMXf0V(+WLn#kLg}9ReT53u z%Wk&Fn{J-jp>CwV{dc_i#x>RRDq54KvBn+nU*efwm%$=@-h#o-HEEgKH<Prz@!O*& z?_k+37}u___~-w53O^6cUU-%3ue1qw!1aYpFMb(i?tZmC=2frq#~&>}3yu4tq`rJ9 z4mh^_o<@I;#?zImt8TWR@LSlc{-gP<k~{OgfE!!RY5lA2YG7Y?bmcYynaH)=mm(K_ zQ9F4_wXKNRGmPWsTE+8qHpi!Vb6;^-71@?{(Io8XqyPWYZC*ciZ$4~!d`g6bNR7f% z4Kuxie_D<=hF*B*f9K8;n`yoJ?p~>7PDOjK@;PmAm2}Cu_JO;VNxuEs`eVKf_dnEF z?J)41e)Nw-s_ltF>;K%6hxK3H^6pMrSg>%W#Fna_lKHh&`&|ysX#AIVEA!V6;h#y1 zjcitIJ{0rzkn)k(I~pomGmO2wZ_SI5Kk>=6SSYbc^X;uYbN@_ih_%s(4(gG1-#4c{ z^kn{lx)<fLegC)?`zQq-ykT$JVc^5$GDlwQkA8%gm37Cr<4jA>C7M>vW50WzyMEV4 zv)@lItbZt5S9Sl5vda+#Tb`Ah1+<D~rj%Ya^sIML3Enw*Ph<0~_LO%Om3`5drrIdF z8cXw6d^>8KHLLQaeW=BKNw5C%rHY$pmhNTLY%lE;VJmL`ldR?L_%x=&wDj)lubY}# zr(4-~?%L6PEbQQC6Cc0(-`>>5*qRsdPMF8Nq@TZbA<OKYySKlP{mJplp=riO+mlZN zuC3qyYrE8Lk16iq9=uckzkZ@K-%iNmS<3~U@4MD$ykSgQa$z!a_^&StKhC#nd^_KC zdiwEGnG6rAY+9mB1?sjRW7B@Ywmr&jpN(bMlcTGfX1$EiYBpPXez#Y`4#BXCbvhOM zgZdvyrLC)8DO?!-o3&+TqeW-6L7{B8@#zdvtCJfZ+3q)dns{o@W3~BGt}(Xu>~aC8 z8#=4+HoX66vC8B|huV?_(;`)4|Gdy%@?`g+@7C+?yjIatyz;T#;;}Q+T)$>vlfu8* z!Npkud%pd$Sr~D(j-^id<#}C^yAhe@hDV%FFy`}adUSXxkHTI*me!f3EnhcXIq~_3 zyx7`S{c|j??Q`vz1=u;%x1D^+v~=eSrmPzh%1fmJmap9UGUm!t;i!gv5?aOCv)fF? zOQ*P=n%$#P^2Bad`1xCmw;nB6vtiTpMSV6ocS9auJtTg8mYE`3(zPVDOIi(<&ifYr zF!lN%(6mwP#Ga$a1uysP%m@?@ThOWV+owk}{KTbWafQ2uG?#5zYrB75w^u-C-aWY} zrjVGG0aZ!M7IJ>oeD^6(bFR0GLeN8<sr$=R<+c`HlRCSFMTEJS-6?f#&+hn5A7hhR zuRXi6_P+D3$egy?#Ms#Pul~4NI6U}v^0fQ^yDYo!Tk5Xn>C#)iyC6_O`oh%BH}!u` zn}105eXLbNuVisdM(meuU8?(C1gB*#o6>6AcB!G^*P4xIPdv+(N{gGoa{P$3bAHep zYyGuhp8GW2>r8BT&Uh~NEN7Xx#$k?jEbrm;SrPTm&Y7%T5;NCXVosuwu23)o=b1BY z;@>)?FRgpFtp3vF?@m$wdDADQ9TyW<I3%hPKl2%ftc~^(v#_cN#l)JW%_3@ZeJ1{z zB4oZ_pdhG5bMecD%ROo4_1b4zt1{dgm8ST4I^K@Sn)EmzK)Pn;%r$G2HmBVeE7van zA9h<)rb1p%WT_hm`_=O;DG_o1&NiMoE@^7HbKQhckG@MKf*KW4xlRB2%LI0m9lK@h z)%X6@iNeH9+?5#~dTq+TW~}{r{AKr=){BDadD~+w%*6zsO2{>SDzsTtGhaU`z*tZI zmYBGZ_^L=*pTb$e&r(i!vrhj~^{4mCtdN{D<@*clon{u~SxmBWKYe1|y|S4rjkUJB zu1UFJV`1maamD)#|Mve*zTR6;bKJbJ{z4E>v%KH@vlYiGbf3-I_h^;-qFL)JPaiR7 zIsSgqvWJe`vy!)W-THRYUhP!MyRNK7ReGJ%)d~)NDt9<;`n^UkXzTH)ou^FWtWSs> z`miugFtT4E`L#+))FvG-jawJL?$7DU34Jy({ZyLpDOT%>!riai*B&l$P1zwXV&E>M z`)K92c&~qLZ)b`<t>2umGg9pBgY4Aznm@DlemS5b@_X~D8Bfo?@n66&`-8IkoO3Z8 z57blsy^wBrd|mAqYrKKvz4-l)XZy<K-<sYVonSwu<cQ;~KiBSTvUK^Xu}q7-Ia4mf z^JS6wQ60vEt$&yAUHfCB)1E7`3-!(J?&V#1EpoS7t%4AL?WumXsf>SDtbg2eNLoSR z#P5^mKmW+L`TFbi<(aB)TTdz2s98wu;`t)#x--?O+M)S`S?n|2=J$(ZMYOz*>NXX| z6?QFrto(lG>X%=hr(NH8(|F^n6cPLI`Ei-6wpC|6-T(Awu4ISs=_fKPIhI`6*tSwo zNBPN*jeBOK>-cQ2H?#7X!oFjlPwdpMTh^KC_b)r@bLGv-uWnK{7u|UH0%h}N^Q`-; z$g0vgWlhAdS6`yHv@V#sn$h&~uCkt5n~AfIhNb*6T{kOf*|tf=zY3HazTWY-)_c1w zUf^u+sny>r%qOmBtE(#LFAjWI`|wNNu^oCrXC_>|GR5yx>B0H$CRIh`>LhYB8vmU; zz2hgxQNB~(Y}U#}&b!I;=CJ7TGgF@aOkcY+fAiwf>5}`?C-QoVOx?eu;@DObet|<9 zT&CWeIAckW1wYS0vyd3|enF%5ZDKi{AJmR4omUdR{kwY?OXvIFcMUfzJ;BVx|8`@4 z=w;_)-XEUKf8f1M{<h!dEpxr1Dt=BnHrMv~(tOV_k+>z5Iv)i%-J;L-*k~-4?JD(N zT6*MZ!QVsc*iI$$<fzuyFHn!ZtCjRwc*n%s8P|T!4J`3&PF$>L$W>B$_3U)MKHYtj zPJDa!>uQMXKHmG6g#LWURbH&Q`jfQq{Qn2a|6W+qZToPSj#jGLfd@T@)!4Sn?`v~B zqUbz}t*X$^p)*Z&xsS$t`7eg=Rz=)cmnt|*;?{?4VTPAXqHGq#^l+{I?>x!m<I?+n z+m+78&1cn}pmYV><>6*YDF%n1Gj`^F4%{Uxq1W8A>p-E3^|HtDLblAue3nVFo80lf z{pI<*o!`0@3V!ME2P*5XTX>c0j!$%CrbX~_&Yn4aQAKQ};uklR)b&3qe{|yHBJYRF z&oUBP{oiV~&Yy7iaqr$;db32?CmlGd*Z&~q?EZ4K>b|v)CEtqA&9P|;FI%)(SL4Mw z!(D1YLWi$QG9SA4V_TrPLx=K-iE52E_Rg3Y$FWfMNX;{0f&Cl5UP<O|dg!G6Gcil| z_Xnqmyp3`uCj%v%uSS3BJI5=+`}3phxxi&RPfyWgmQw!ebou)fza`t&9+cU5=>8Mq z|8r7Jzh->d@=k&~XhqL~kXbK&J-(o{KW~D)rH4W20n19>ttx`0ob~@)H<)+tc6oZ| z)^m&X3Y&Jz_a^O-ac?i(xI0np(MQW|_VU{}noj?D;5c<dUwf%q{^X#YyRUCeUl+yh zx_#-J4A$E%<xjSCee+$>wq&)j^YI?XUyf$!r`Bc9Z>iG!GGo)bzQuNezohQBA9NH6 zGhDrC%NFNAKh*-I{)PE3-u-;#pP3Tvt`lL%du-OKDYs{3Ty$B%zxaQum->^N)0^eL zv`-T#((cTi=kz6d$Gvs2zU)WS(=GPr-FVq`L?B>aGW&Z*8}Z5fwRbYlH|(!>`P(mh z?!)4suC430=g(dJXoA7DM;2XbJdJ1bu82npNXLFy{^%jkq*XkH;>`<oYC67S&Mg04 zv%r0?yhW$$#HzU68)kWxZr-ldURWvbbv?+|VEw^n-4$6|v}z(2HE{-6M;$(Y!i&@M z8WX$Btd9}e)gQa}Mt<vKGdcc+wPcp8eD0LX!8vCOOp82cag^UvQMzWTHF5Ji-$OHf z3j|ixHgdN3WIU0$uwY8c<}*nZGn-?)zU?h6k>I`0c<<2s#)ZnS%9PbVCh!HH`RF}i zt<dy;CT`ashrOD6_3Jy4-`5j^nu79l+y!;^r~j@>uzO*8%ltCq7l|OLIe%SM^y&*E zwmiA~=apaEI{SRFy61t8?j7&ue^H$v5M8q1mGl;=$tV4~isDZ2GhS2K`6u9N4u7y% zWmo#F*?}pw0+!GIF8;r5c8*MO`r6YO2QM5yyYO_<omW%0x9v`lSnSz8>GbN)esb!r zZvW*(kKL4SjG6uZ#Oa)gxjVL%FBb@w4qR5V_HFx%#YZ0q`fBVbnyz)JVA({YW4&P) zdaalK`*P@yQM<>3Z?l3#@(q^UkLGG$kth1VWcH5wX;M$hADppW^}EW#a#_bQ!<a35 zes|u!Q?yK2=QisWw~H=^Hry_MXZP;$iSh^Px9o4!ah0?#TY5d(Gu6*{=Yd79KSdQ+ z#@^^CE%{@5$}Nw9-{Hglj3ufQ|BFbS*cIrLv}OC<KJ^1~Ri=Jlr>pU0Rx`ezEA05| z&ZYIA?%t8i6uwn;@8{m7=Nsxg-{zm?b>naBna2L+<-Ru^8!XRXjcr{hw%YJck>g3Z zV`b;RH@#lCaaWZU|MZyYSGO+M=fAO~f8xd?(K;XOPfc7FV7c|p*A!8oBf6>))^+XM z?Qib!*>>M=qjYNh296cqGZN(DY#MksJk5IjwDV-+)K%?@cdR5|+Pte&e6!>RL(>Y0 zlW{^l9N95pOSSEul*!39oK<4sx7=s0dFRy3D=Vy9)|^P6m)GcRQvFKOOY1$i)xne* zzkj`4qPA#ihJ58l-c5cdq$4=R7B7lQn|q^r_G!0uu4{kpWqB6U_h;JPoe!3pK4nx& zvX{P4AQ}BWsY_+C_q})b?%%l6&l2=9{L*^fDMDsN;tSnMeK)LEILLnf^j_wcbjM@a z`?&>f=&JI(-(i0z`P<T^N}mPKB-98_(<#}1U}Zt1Tp0`dYzG6r+oqCUhj{0(y*l*f zt8(+}*ml!@oDTMqNxc8$h2QR1zS$;V*>9II)z5vGqx!5bvAx+m8~h~1Kg;mFdX&Az z{CmXFLbFE?=WK7%uzEg6<({&-<A%0ZYJX%Mw)It3F1l6!@y)g)uFTEq4!bgDZtUK& zztne2_*Pkmu8sE@rab+y^?P?zjjQjGO4m8_qO{o$8C~BhqH;@as*J;yQWMKbiA$pl zigZ0()L)CvefW5<mgiL8TB)YU$sMw*Wfm*8AFdGi`=^rc?8R+6r|3@;m|k%Gr;}>> z7ZdAm?1{+>etR9-%an2Mi+ZG_+MCd&@mkzHYX2AA;kl4(png1Ydt02y$4dq^NoQ_q z##Z`jU0dgOW#YGe29}T7=Qzi2n>XR*yGxUg9(Y#p*I*ATLs`mds{?j^$D6NnOY$mM zckM8X>s0x%c)s<_th?W==lnBgTbXKfv;LJsPRi^=N4qI656u;+SSarEUzbOM>D@Fr zjx=tYy!jmRwq5+sd<va6?%f~675(PzTyOQawoDg%rv8*xR29ovk-oWSW!UM8U8_E5 zZRAw<Nn}WtGCp0jW^&}CtYY1Y?AKZk<ye}Wt|{@`eB0}Jh_TUvN%#2FQoDaMZOdCi zW$vAeI#>3#oPU2^qu5EUKN}P;hCEnV5iqIxO}_Z1-3<#TaZj^a_9B39ZO-Pvh<|!# zIaj*+F-i8f&N}DfZ1p7YQVHjzhxJpZnOMaBI<R14Wb52Jow}KUd*5D;c%j?6<m|z3 zmmW;JQrhj#d`$Rt$!yl$Z<JHl%_;M|qQD+_FSwK8;Oe!ae*AMA?pKx<W-5iPSG8QY zM!@09$qkDmtEbJecG2ExG1>6Q_w`Ip^W<;UpG_7Ne7AM~nT8oXb&~R1A4c4NA=0ZI zK6mltXdQdiH(UM~*#sPXu+q@{lz0D+SH8RdT-~zy+17OtN6K^K=6q;uY&`i=?ODP) z?mv@af9RA+^X)s}sQ0jgea=+7T~3czhHrhby5iIn!95wj%K9!^E%lh+ydvQJjpJ8U zZ&~o<N4zY$V7-LbP9XHDM+S?jY;er>vyO90)pbm-|CpZb-8FAk#c|(l-@8`Ne!E6` z`!&aG!!P%5E{Kp`+L-Efltom%KjQB^r6+|?wXByPpT18i$nWJ_$6o!4MX&3p=xVIx zu$Md^z`Uo?m6fNj{%AybXxvu6M6m-Be~v8nHGkGMD{6Jw$@YM<D{PB5SLVwe-T%N< z^lAE`Umw1!{wQv9+%8?_;pE%Y;5d2X6D_xoD=M~W{}<mRuyN<{qFpO~KeMk1IIuGG z++8lk%m`htg>!NnG}3?V{l#DX>*%RHpI!C(ub*P6?d!i_se5z%N%pOCI)dzMelM$G zjpd&3<l-wWiw?WIl<Y%34rbN6=A8KUG+pm<(7o1<f|c9&o;j^+H}*KJ$h<ZDW2_Q~ z`8U5f&hRT+8+{+l-hJw(NY&P=!q34)GRcik<eC~ErhLkgI%d9^TTE4iZ{xZ-Tm9yo zs93MNZFN9Z<(nVDnH!ZX3rnOduBWe<nDFSnGN;kCiw&>#Dy(Sf-GB4LAwipByYP;u zY3f&k=10Z-4&Cm!Xy)zHoSg5cX|DaM^U~p~ad%$$nad}B70*hrPTS`gZ)IF#YPe!b zT8aBvP8M5>HL_2mIJyo<FVAH<&vePH{(9C~eu*lR#UUO$?#6!OR1P`pbw%^q{U2;I zwHuxYl-)ZRu_WoOu$=a~%2o3Vn(vE$-uHV;%$_LE7SE-N4kjG$Hd!8^=B}E3!tudd z=Ib#A+NJx|_AI==!2IxPCofmIkIzN_JZgXQxc<=@S&u(;y{(I~88R(oUB2vIdD6d7 z=)T>Dc-Q|C+Wz;LRF5rQmUQVfEBB()S3b!wxcfel{OQxKwc7l}6#b)TbcI#~99#al z_M9tkRj_et;hu!qAAj5IQTJ3xD6^cP5NWj~H7G2YPk82{DAiS$$|GD(M;$zJV{h@q z>)|XPKbPz}|M}(%S>B1yOW(TrShJ-2RzAI|z3YI5^`7Q$yQi-+b#(F4?A^6Kf0n|T zr^h#C2Wd!t&@6blE^X>%vDrTFa(X6Rb7C}G*}X2?m-n2fZt9by1MbnwFK?>fmN|dj z;;(+5&yzlNu1@MK(Ei#VCm677T3xkX(qT`=Bi|G+NJN*)ocQv-d19cJ(RQA?)6v&| zC~skTxpk|7Z{{Dx<wsZ4b|-WCh923pj-$M9;zz3!--~^HLkucQbLN$YySxk)yWqEu zWs8ADt@k2Jk%*>66Aq*Z1#$M+`p4BBh?%REfBTujvCOJZ=hiJy;XAoF{in<M(nVhK z4u8AuyWUv-?pLVDub`0Ur@Za%*Phx?ym>O$<Tocx8(mWOp86K9Yv{k`=Q$N|#wzoo z&}lB|PoL{763P+RPEpb_Ypi%_vEa+JkfhmeCjWb<tvw~vw(;7Q<a;M<vzh{W`PVFU z{}*^^%et5uQ!Za$kn^5(|AHRpxAvzv)3#NKS*6FTiAp~|xo7sV6^k8;^kt(QKUN>= zH}1@qyP?w3VWfRt=2O^`O>O5_>GG6+Je^$nIC{B+*ZIkEy)WMF``H{^$ief$Ppsyj ze5lpoJ60BzMg2CjOsCa2M7vMgywoCVN|;7UuAJYyGnrRJ9M-)(pI^V^Dj&c0rFjci z#Ga6;Qc8FwHz)AC^$~#=H7~ohYNz$E%v!y5cUwrLQDMXjX4#_E8&&`ENlm%ZVtM-O zg#*s!{=%nPB^>@9+Fi5Y>a*sYcXMwUd<ih;a=dYVjo8nh7ZUlj^v}O}lzD%($BEjW zBjL|mYkueD8*ST~t8k>Ie%bRqUoF4Bly}{?S7(}hWbV_lTQk!-9w}BYR-f{piThQ} z%9U<kxBR=NxgkYy&F%Qtw}aRAOx@Gjc;fAJ%MGd8vqG0zaXqop(u`+3!ZqpDvp-vW zf8ArguD5-kxmIYHT?Dtyot**iCM3)HR(_bJt<)F5-zPP7Q(#y^)P#=}dO-`UG~B&J zHr9mqHaQy3TX@Mmq3jrg&u?GGO8fnxvA);tirp+`d{)K#xUlul_W9qP<d3p0Ke}SW z?Zu3NvyZ=XKXlFiOon#2gn<3D6+bjj{F~KmuX{`SJE!254ZqE^_Y??AecR;n;(cn| zy!;PuMb@gYx=(A}cB5?3*+(a>&L>Kj|DDkCJ?Z7OlxL+o)ZQ80pTDa~>i?#T0&C}d ztPE(sxVyLQ<vEL6=d}vt-itDcwu<ePKhXF%(m-75X6TtOr8W=qKM1G_8#}+3mkbSZ zx@lH(DE9MV_B1!sISE-8xHj2DEY(!pqbmKHJup~^Lp}8V+jZ`$Jq}6B{v2#mG~)=n z(bOP!cS+F+vjhk6g=-@tlf1q~B(cn%wJv%kb5G}{j$cvt!`IgLb}#zQWW)UH-5txd zonD=r8UKii<?%9~uAlU1*Rva@j#ANHiw|+#JXmw@a{E-He`=EJcQ(#eF~7n5t688q zxN7zEZ))E?TNPQBzTI={tC0QU?<XZL9<!S}<=zv?o^zLrcKkO#qQ>B&y6=?Gz3x-? z?Z=~-m-BzRB)zC-tN#nhTkCh^i81CgEH_>ecqI3_{{LsEIlA8V&VG`4cj5oNw`Z3u z&$?fC;+gI<>;0!Uz7|??dVcL5*DXCF3p3`vk&5@dbIG{sUtRsG?JY^i#Zx#WYl=QH zs4UA_H>-t3E2nzB;B?J|vQ3{8O47OKADvw$vE;L5(3S#)1?w0qURpjl<Kpa@&8B*a zJ;d7fbCv70oTjBaW?qsG%4Ol>^*a@IzUmA+(}rEU?#Hj;*z45mGM#@3`?>a7-6I_# zvlaieA8zGsb-Hf%EPR&q<Job%YHnEpjG_w1MB6|9b~#h_XIo{3?MrDny~h6xx4Pvt z(ruP-KHgf;7p7gjGt=B=|C_m=L~Z!5zxl5taz9IcE<=@BxCw*W(x?5eN|+Z~$;?dr zVY)kA`vcd#;`a2o>62#tpPU@#Bav}Wb_x4E-mjYb&0Ot2)?Bg<xpDK^`5g5%Ru*x$ zQXB5Lzq^0*c~R}|grn1D?W#ZAyf-LbC5J)l>wlHqdAFw>I5sW$tb&rrl2`rf&n=d_ z71{HsQeOU3?~hp#^LO=#DJ5~}g!}9>-KogF{#Lo4!Suv`Qv<EPJrimyyLT{U2V+!m z*y5=#Hh=klDAF$NX}Q6akQZ~7J$5T!x3-+2R=QL1w9omu4_T&ed{LZZDxZ6jHAhHR zJF6y6-|fclB)-{&Q@3eY`AofX?9b_ryE(~S_wRCbKL0C~klz++5c&6ocqX&_ys2uR z9v?pXy7R)*iUlXX9O>QV@K)l5_N$t=Y<Cpo<3xFduXN3lQ8m2$jkV_3fwBiTe`$#G z%$jEz#}{G8ALW=)KmFRnjCbXmccxYGo?%I=*!eM`bH@JdMb&+|oqrqu<R)Hm_Ks!0 zAjoy;n*wv~wH-#+;=EI1ci$1q;e7R{v-?$?+?g+?<?~bfeta-^?{Z?3s+Z8IZ7r-9 zK6mdHxNa4np4`AO!)}7@xlcxS-uqShaJQH;y8fyRT<<dN@H*eM>!uy~qP$Doz45lf zi%*9a{ycX%wEw*8s+*Bhw3qkZZol)Y<fvx;aZj_BYm;;uQgU~gPMgxxp>{M)?`49@ z0_jZ`Km9KLH|NopyDyoyF)eIy=j@tOZ|HK;uD@@G*rfAH!s+4p@1J~kR@*LcCq!eG za<`=>2eV(r1(_YMK9zX?yrb|iUfjQ7uFw(3%);5J2T!c{bGPNK!hA#5rgMz@x`G_< z@kaOy9eTab{=tHWOz+gLUJ=*buFqvL-R_g|bD6_U2kzyYPye58RMY;!%06k5J)4jq zZ`i`aDN80;=?JF&KN)ZG|A}f?&#%o&s#o5eGG9_Q>Dk)SBl9jlN@9>Qi754{<gVw@ z4$1nGySAw7@59u8r>9#scuGuI7ggTB>hSG=55G*5-v0|%_PVl6^_sZmq4ykod*00H ziQHu}Q|gt>yL*+(TiEB8#lFeW-_37ZDR_p@`{J@qk$3$Z>esEy|GI*8&a-su!~D}K z{!Q7@U!-7v&w*v#M%`U6y2PXeb-Z(K*|YBq6_rm9{V0Cs)sOt+Yn`0>WM0X!wobd4 zJ3n~KABzyRPwGmgOJq}*X=(5s5>8%NcY=$DqwelRvFurfF*COAZ<Sx{VD5N&^}0^3 z9rNv^9m^t2rihhtOSQ@zI^wX9sb`jw&>HR=TlXH;v!CwTH6z*VL(_~?=WRBxl#1G? zvghxq8E$`5{fl~;N{_WUXI0(&GUvU^`c;2F`A1Jl^|-Tap8uk9-H9`Ks-_+*mXOYT zZ@xcov+M?iy5LP>r)&3LKNr6L>^^s|7x$Nbb7<Zyl=RwPZqds4^Wl6urq%zl;$}T( zv-`6z-}9h`<caBb_AZ|sR&Vo(`zHSe38r_w6E$WpiT%(iX)APKdwZw*mnPQbtq%E8 zH#Ckcy|%#M>ZH4WSoB+RGH(bjl~vs@eKp_iiaf_=o|);j7JKFg8D^fkZaVoBx12cF z#n`up-b$Ny{b&7dcxWB_a&M+&$K6RBda4S$0_PpHs(HI!hHvFx&NTbr^LY}xf~7Z3 zj9Gk+)je9lsV>Ry?#1lKK{X6V`wy#>e|vA{{`JGJKleNBvlpMay_W6gO`Sh6Yrp@x z%zLD{HMF~DO}zqhY<2rhfn!fzPqg6L819qa(w%q3(EX_OuN8NE*77&LEqdiGu*uCv zrOi3a`iVe&*E5%#j?g!Mc5>cY8k--iB)w?jEUp-}4+dwt+w%9@$<1(>+n1ufEoS<+ z{qryH@?WkcY{ftK;IT9D$E0mlCovQs5KnHD@cp=fL;ao7bp@8KGKWGxi`0IT)O+HW z@@>%(Zm~MaudPqt|JA?x%I!1{f4}FobCsX2h(2>y74|yJ{C%zVIkU5M|6<&O?=$U< z@wu4Y6d1DRQkzBa)YhkO^{d|A`7LRFQ*NK_{_7VyH!Zwt>o-y2T;r8#+!MFy>~+82 zQGUy3T6T{ABDcfcR%c{pbZ#+qvv;g{7SXqUhqGesT7md2)315oo_RZ{>0sDdsf2Y? zxg<@ktBUSbUU_C)*(%3Z(N#bFjPi}%gPyMqersn+@dsPgaUWt}I_Omteb0Hd=XF8B zBXeR5=3FbC+GwDq`Ro20oo2KDIv%@C1=3s<RD})9EDu>r#ntEbpZ1foo3~l{N>IU# zxPxnay!xCwB4$mW^<~Z>rMKJm?RPsp&phhr>ldAjjXHKg+MiRFY*N!IDf~Zi%js>$ z_B$l%h)Rff1R3c?^I1PokYO~@-@2h}qEN*SN9Vlra~!Xp&QzQ9uV81=nIwUV^?SL~ zuF2cAXx-v#`Jb|Bjbg!)eLlu3l8@DVTC38&@yFKHZ+G$U%C|mxz~tR^&87(Usq^=S zC)#b%)=4?`wz11bcmJ;<o?qqntoygxe9D&>*8e;2-#X0&l@q&}*F6gEzTL8@FLztm zD|_qnaerM8*43o{`d-rKu)FWa4JARXbu+m)p4nF=ST4-wnIbS@p7nCo$5J_2cj7PI zoizIl&pi(g?tK?{uC%;5Q6Tg|Z(2_B`^Jf``g4q}O70%`D7}U|t0>bsJ$j4H*7sZ9 z#dqdzYrJ~+TzeEF-_|0VlJ4fy|F2d1lo=TGuirSgfh)f3LcvtkfJ-lvB3cT*9GWk` zmAh{4l0TmDY@A744It$LI9pSM9KjLeUh?s+-;!i={+bT&9?9mv0N;^pc08yO}` zW}BQ+uM$d|xadUo)cKVOubY!*xgM9aUN(K_?q_?B9Nl+T_2B`Lql=X~eOXln%vHS0 zYK)8hn9er++9n%kR;G5jzIxWDGoQY{T;hM~X7)ilwx{fE?QZXRH=gS}xa8)Z#~(gu zK1j*kDtjTf<l*LvDe8V~hI_Bce$<y`7nSb#U-#O{Kx;m?WcIl`3Lk2k>XTXm;}?iM zFG{lLSZuKE;vJ)X7vzL)Zip25#^<J;HItj&K2mHqn;pmd5T|R-S6d#+TAuNb^)*P` zJY{{6_Qgxb_s%bix+EY!H&f|pzng{c)wJK0tOil%_si@F^Lu>G)^OS!%YejbFZ<)? zoZD(&rIWGioQn51wY#=*Y5H&0)q1i#5J}FOeQLgm?&irq*zSc1=G`l1I`wbzF0Dp) z*6i6Dsx^O<B@WHmc)|2Le}H*BOUMl=xr~k}*^l;z?G>(%I9$_stU#%Ff9BpI`@N#4 zA54*Bnkf?TDfCA*^ZTiXY&0!u>c4#!dHVAI#%A^pFQ!bo#qTL)cK3V#u6{;i$*_=M zC%ek3Ul%gh*w#)CyL(~Jub<z#f3_GoUg0g7_<3P{<@fkGJ14FwwJ^4SB>QzY7t73Z zrs^{iFHgMGZMU(m_bT&~^kPvCzIX}Qcd;vUkI6T^xYqG}mq=6FezWqX^n1rDFB{ec zHA>xTOXN9XxiNL2%=zH8$1e%Z&v}%wEb`);`RQ+q|Cg<*Jy_|#s4Fhci{o|Q?+~}* zJ$nPfyg%)@-?(jBcl)=B%d4LV7VL6lW1RouQ_qUd*WyNYhbk>nALi>H>5f@EFZW3K zwPnj~bzU#O9iy{(f8z=f?}yE5TU|N*w(M@p@-zJ7+W3)sjr6q+j*ACc96OGk<x}_k z!L{&!*;TGnzb{P+Yhn1PRbLdeJLB)iIS0bNx7tQ*y7l#9a-pNm*|HWF_K$!2|3@sH zmm)Sjf9{^AIg#x*Bu|w5l@L3&{m>t_4Sf7d9~vg^de`)q;rjjkNpmmWHhTR@!g%xh z)<sAEoVXTT^Jt1rBqPJ}TYnn`cF&QW68~?eocyfEJnORf)){Ne$l&FB&$zI1p=jUU zW5?xZTZjL%{IbS&&!csz+V>89w2=DoZo&7w9X#JA?N*)qflEWO_Ke-3D;G~ntH?ds z{Bq7aA3;HRvHm@3)<>WI%-SY2vH2=n+TW!reC;_+(tNC|g?UzFXjlH9!&^VeVNUvQ zu`JWYvzWd_yb(;EkSy^tJjZ!&%E@Wd*9bIESKTCP6SH)(LZ@kt<cE{5RUf>Q)t<h< zDWmE^`}ULnWM`gy8tqV$q?@g&u5v7nqmaFP{qG-9C;FfMo+6n(Yoe<90sY?!GhBBW zIqX=u(EMn+xaI7U7p&}aA3m3wx5%aLpcm7gvrTI@>s9=}>Sz(e(7u(O=}CXMXrb4> zo6a9zui==#BCdW<9_K6mLz~?vEiqUoyf!9%-jTmtTXXE|x4&n9X5s1ceZk(gA0|RC z9A15@TR%Cx|A=miW)8RK?^6HcKJKhXebVP&sysb^mv-1^WwlqoN)|u3Qk;HpO2qW- zDG#o^UMtcZ6SHDPP{sAl&I|R{g}u4^IP}6CUC)5()`VLhthX9JUM}2I&OPI0&w<(7 z-bc9J6i_mMV0@aV`~D@bvVA7L`<<qQy_$FLhOzRk%_`PX&Ii6d_cby6<`}-R$Zm(l zwaP-*yA$@>M*rE9`6%(l@(F!gj;o$b<j7xA60=|Zx7(B-|Nn@TPi86E%ChqEtLieb z{jdEN>tAcJiv6(g-;MdrW{e6^Dkr$tDRb^yexUam8_UGs)%qf`YlGiv7=6E&WXa6V zUw=|FL(cGK`y%a6cdgk192|8%Ei?!_eWy)e?fHh|{wKQL=q&D=9^bQ9)%W-D_P($S z4<Cx`UNiBkva-jidC~lC0hhX@&IE+t*ZMEj`|Clol5M%uwS}{vn!dEV$>62-++j6; zbH}3=&O<r(IJw@H9gK8hzxVyYl`lF6ujKQe=(L)>VX@k4hmYs>nmg|QdLhPAKFvFD z#ob;(4LQ!rgCf6V`<CuVd$GauZ|=dkifN^m-tqc!vsP}t^>$*urp1j5648u>Z|3VK z9lS8jk@dmVsQ%0KiV+?x61tAzocE)&BbUdlTRcT5Ol;;axzvoAi}@aHo69I2P}D5> z&Gib8wfezNs!SXEE!W8T1$r7ic(tA5OIrG+t|xo%t!_+{KY!Q#T=M!hqc8T;WY+G# zu_juydP(A*x{J~6Yv#FM`zhAut-GG}U1#Ido4gYjD)IhZbLrcmJlpiThWd!ay=(>< z-}u8`1>Kx@wO3JGYF5~;^(Ir>=ASFQaC7e+{kyi+F|8gG3cl?){>uNFT}^v9^Yhbj zQUzawSbDd~yY4-6mrHU%Z%J6D+0AX`1z}p>&K=zQUoI$L)Xj2c&;6>>jAyKT><khs zXS-dIzxh@AeAxOa_niN5yt|~a*=%=X(=G>z7a>{G_Pnps^19*0u_n~$a^$z|-AlBs zj_tX=$Mi(hw|(80t%SEPOezUwGHu|rTi+GWlJTDVgsa~6$M+sC-TLO9m*;^!Q^L1r zy$@quUT#_YI!2w%{jPkA`0Yu%wHACeS>T{LedX75oqhU#ysq!1mbq+`lX`#OIA#*} zg7_@Q=)!*@_pEOV%+jCmX2O&Onc1d+rrY-l35h=P=-u0Kar@#2@|Pt{oIlIWX}>v1 zYhKE^&OCvox}hKYZT6<EoO(E4>g9(M+Pki=ykRE2-k(=pno;N#>sGG?%=eb0pLu%b z;?4szt!*Dmef@jq!IY(zTh8h*Y~wSIwR*q)1c%*(TgM6=VitD$tB2_2u6y!$Lgs;e ztg3b921|6$Z{pg1=Eb9ePX~0>%?g<()h)ftdyX&qlN+b{`fcl$HO}2Kb6;fs*XyUR zc5*(qj*DYnXyCh4ZmFvJ<)C>l?rHd(KlJojL)yINedjHHF1_MXt!DMt=eX1E>oQrN zn9jZz3)}r6a;3V1uJBvIGm8_x{AzYS(PMb~^PH8AwzqiwVjrm#yDj`ai*vH6+>7b= z_Qz~kbm&*<uB7r~($^w4WUF1ea#X#Gr+2l5dCF|I9lj!m)5<5;tUqz=jcP-As+8N( zJI0A(xvMQQ<ONMKoA}jBdyg2GIM>WuQMbJ`<L2MIA6JvZ#LuOfTBO~L3kub!-)8>g z?6jO#%j7E?W~Y|_-M)Ix^B0dENjRtdyvQ7VI!<!;%1dhtue;AV(SPu#rL?vGtWBD4 zALldG>}w0TE+JV}WLM;DmVN7`Tw>kSTCU7idp+s>v*iD|^Jj_XKKUE-bLNWt$V+Fk z^=Hg>mi=(exFFDITbsMV(dCZWCSqJ>CxVkipXYceJbC(QW#K*71wt8V+J1f4OlH2A zqb}fnVa>O;D8@CXT-IIYJN0U9si%AWJ~30T_QKwb`b~Cj2R4g5o%4U@BIc%;kMBgf za!=jYtv@%Z)bh>#UyUXcl{dcHp4fQ3CjaX78@UUg{L`14vRvMWN%^RFmR1C-0ng6M zUHV3E@9E|%pJkkAE5P`1;fn94cZTiAFgd1uUPNG9!;_^oseb~iTDBbyl&f-J%4b=m zcsj`Xn}uNgX->m6y<guq)M@Rt+@sYy`PL^5)km^|X|vXxs$Q->Lq?=r^~K4G>~+qr zdO!ZA{<`8Hs1UcbO*UPy@1xI-2^aGWPkO9kx@q>!rgQPGjh7N?EQ99hHXZ&}md`wc z!>s=61;I9>7Ll8`w#Zv4z2fR^{yk~e%&WULvnmEVyx_WgkHh|mW%aBo_11Dx`BnGA zq*4q+H<dbTTw^WxT&0t%-0g0@c$S7iv{{3X#H%$ypT6F*5&NQ7;rY|@%gz0&(@ob4 zRQqbbb<Uj86r<N%#3y+9s=}H4?uWkV7oSQT-&D*Jyz)(P<0ZeNwl<&U?0Q-u^T&<5 zHvR3}zq+?f9?6PM-nD0$z>7%jn#EQ2lFQHgU({H6{>-LFTTh-ov$Rg}<l>s|eMc&s zI~qc7uGo5Rd$91PNB&o@9`fGr{9OO0_s5gz?EBQGDSh@SeWo3CdEdV<U**%bFV>xy zy>Y|7?OZbHpF-}{8RuDCjF3F~A@0q*u5TPlS>`P_In}I}?%F*^efo@}Dw)EUiwXjb zJm)6GZ}MDvPI<Y=6E#sk{jIVwrf$YYI(Ee~`KRqMU373|Q3cl)-dQY0Rn3#UyBJ@0 z%!~McS5dopTgH+`$KnUiY_ksA?f%gbX8liM;i<4~mx^YXyFJ|WHcgtXOF7D2d6}yG z-wTCJ@jKu0^O^4a=AAcv-P4yGCknjX^9&|<zkd=iwO;a#r{?xay%(q46ZYJ3H{9~~ z$vq3^_;6~~f1a?~V_Ck{gL1d9f^3e`!UFcq@t62|c3$BOd3%3<WZ`o)m$!n7jcjS@ zIh=dWu61tsVA?G{&7dwZuJtpQ{5+Gnzb;KEI(z2vNhU$}9m%rXOS)$n=I#loZtq}C zT6z7Q+VUqeB!nkutZ;j@wq%Cwjoc~MWbT}M8k4Pf|NhUdAD1|;*}{E0`NV9!h$Ae; z_CZ>jZ>^r!-!WnCzyH~;^#6%UPa(}ePYRlDoM;w}{!+0^{xIhRp@}oNyfa&uZBJja zV20&1xANH)6Bd283rfzdSibfD!u!3=no&~&-!g@U$QkVYcyb}@{m%HaG5n{LJR~0n zU+db;t5z=DaQM%J)lECUt;*}z`f#do)~uM%Ob%H_zgD`}Omnns5bhC4khyPmS$f(B z)?Tf&T2{8A<C|Bk`K!ts9Xb2QWV1CZZ!Z*HH^ZQQ@w1>u?&sgMeA{=p@yIjT51oJ6 zuc!L;sE9l4dR;X8dd7w|)+)X~l5^&=-h6S-jaRzp|EFt@pIM)qr)hb1@3LPu3nu=o zH{>cgw{7?Png#QpO8&R?v%EZ0J!XG>SK#sfid5Yxzdjvf`ZJ-{jb-DLFCTRl|H>0@ zHrXG4?{wQV%@x9-N28`}xzwuu(siTIZ9#_ih2=XOwPx;TjxCKfuD(`!JiqeJI=`=< z??><nH7KslTQfJq%~$H7ctBd8Q}R5UOq)xRDP0LsmK>}Xh0p&}dnV9t+_3oHckgeX zuP>OVQ~UR5V^c3DUt8$I=A9f*^K7O*UGw0u+TOM2Th=RGo_LvMp}@D5L2_$-+1~y% zZdSLRJG-(x<qhMkPj|Ds_OLAyFyzWINr~eTIw-K+W$x?fsawzR&waFC==DqMDK&Z_ zb0%=-hQyrg6WP~M@=>*4)wGG*|G4Ltnl9thM>su>1<#yRpSfWci`Q%Kiui(SciYat z-_N`3()t;i75rb<ZjU|XHZ|oukF4f9Yk^z$53Qf@v*5bctrN4n%IuO7J-l8EKdCtO zCg!Y&s=kekx&DS#T(xG8BkS*<>dJ3O=ieM&u&gntu7$<=yIc#u#4?*L|2;b79zQ6F zopMb;DQ$oK^0ntKIUPMI_CPdb#pEM*?xe1F-4I$BZ5+~Qd?;wKMSZQQL`&S?cp)zB zH3qvqKFJt_-+i;^;oRk(hZE}`H>jUvOZfKlHT!{zKW0n(jtB-Fo-KOvY4gm;7l)$_ zo7FRKovGWi;Jj|?mfi}JmszEgzo>2YaAKL^`ZWG(?EjhWLi<aPd_KadGKs6%{`$uC zYmdiIU#;?OXFtc3!UtR5w)Hv%?L8CZ-jniC`RMgWmz{3><FnH`(S3KeUe{^U<1WiZ z_ihLeV{UPryQ-e!<(&xOqHK4Sf}8`}*V-)LE}Ez`UFK};KE1}ZN@d@)>nlA??|RzW z2Hc%=<?Qdm*w~I~tMuL)?Y;Ch^=Ips3x2(mS+4nsT-!PGhlj_xUdN3M=_Rja#5eRR zs5LzIeqh8<;?6Nsu*6_CSNX4MJ$tThr-De$8K#wLx*LvaP55Q_zJJmwLHpxhg-;hW zCdgfX{%65dwYviGRWq%(&A2u5boH9IuG+T$;%-<@pVgquaqr9IM{~t_uD?H_f4tuO z-doSRtWj0!)264bymjS5O$4L6mfp>qAFpivV0G-rryVaIsZX;E`EsrGmXyI%?u!rA z{KLW%|7UVe>9`SeUHd`HGQZ%$GFMw|iG5i&+(b5QN>DxV{EgG3Pd3fgvuo6!By(qr zCTNNzy;DuMTWJ>f$0AELG4R9&soEdheZQ{rq&X#>NYR;gxMGT5THDX!TZ=Xy;=5_G z;m_$Df#B>^ldPHN$~Xg>)jJNSGQRYxt9U89eCD0CrzWL@1}KDno4zmKg)=j#W!<rt zeC*5k7M=Cj@%~HGg|pLNmv7t`7caZn{iETXSNSHhtzERtlM|V4q^U;UTPV`t&wS_1 z+8+y2ZY0Dky3Wqfl~nQdbI7A346XkQJKT!@D|}GlN>o*NpBS=j4|`P6HPb$mbzkRR zjyV44(Sf!tirKPUX$kFDi_Ce-fAb35e0aTi$A@-@W6oL%^JTA#s{UP(>nSti?)D9q z-4%{ocBF4{Jrem^u!hxe)`T$OUzz?bJCr`yDSEQavD%~Y(^vS~{ui7bb=ukH*9u}D zx_QhzxiS2>p!KKT37g{I-u@x+GPgsTtHjvT=ew_Cte=a)Puq{tIfgt;4Qj>TQ=bc3 zDIIO!7ht+yT;O8y-a||8o(XvOVz2bhXFDveSj#N1FSN^9V{UWl!vc4q4^vmPxc(|& z6q#xo^)3Gi3*S5Egap$nzqV=V<>4YrSn6*rsujym&Y!dYfrav~<gfb^x}-YRRI~_p zUSG=DBB}WEVfV4Md?&9u*`0_Ekt#iBzSdewcy>o+qAAZ`lM@@atlqjouFu$+Tk+0> zD{YUoWVC!fc?(tLt`!ctXMXzQnyNIT?&bW_b-zC!>eP46t$!RnVSj*DII~Ptlf8ny zas7#Pa#vm+OWk5~r8eQVxsUOV#YeU>nJO%mbGb7y+^jru`mEaBzLU5=ivO2Xc)a(C zuc%4d1M9erelw33tG1o#+jS&c{27Z+i21B^g@D~1hg_n!hTLD;aXH|^3->IWruy0= zxs7w>|1X?%p{#Cu^iKCHf);;nDTVM^?8~x`*{-;I?~w$7dE1p2ZhaFSYvr%BE`4+C zvo!&dme<~~Ny!%Fa<)|{o~?PW`EA+0>v_JWQ@Kn&UY%%_zA>!IV8g$zUZ31m0jqL! zYU`&me0*3Q_#nLX-r5+WMTahh7`ZaYrL8?;D7#g&ZvVBd=8;W@=7{Q<MQ9gkZ~HBA zeYWk{6esp&PqP|kSRJamcsTQV)nB{UZ%$o{Xw~{F_eCnken!gif{hVXPZs|?cq+$a zc7599qVg;M^kp)xPUMl?EVSKjyUnKg8<SdjeI8WFzP!x1{deCZ*D&5k4!<>BeoHh? z(ek>!R$&3NvV&ZNMa*>z(HZ}3-#!1dR!qLOXroZ{bBkC{hV%BX@(vd{35kTtq$O6V z<tFb+o{?ylzNkJxWnnSHoWczs#R}J3ZhWXZ=U|EFq6-{6JnlRxBGnx^2Yu$=d@(U) zI`hWk{1Q@64!(cj)b64?Nonbn8>$U&tP_NrALgaG@4WWT=yKV@$R9fxcTI>fkGs6; z-T5VJ55MiX8hCl)vjxFUO7hGlDn-X%Wg6vt+rjNRnS;H3Rf5>88JYeUWEmsh34ikz zeX}_r&XaHBET*2>JIvfZDr@ilv1?}9mKPk4d{%m0d=q*1`4Nv9`^|6d<4>EjjjJu{ z{O+9EVhLOOqUcFE?lYCPoMUJI!+&-6HqFHO?|FXqsLFhHT>J46Tj7iF^3ypDUCC$r z`2WgyJX?0)?gQID3tk^N-q29kX0^xt`lep?sl}J7c1m<lX*qmAvN7?i*&0?>GdAWO zYf3L_ZdB0Na^MVy<@@@(%6FO;pPR{Y#h7E=q^@H=7haxH<n4U*KT_fEL!ImCb=OmS zJ}*`Hb(G^|-%;kZX0r}2xu7=BZ}DQj<By(*`mb}6&6#=hJJZ8g`{wQ}ue<zdX^Gg& z43kZNr6yR&JPwcQ)HXi-G0xdaYPVR$XZ^n3?u}}q%W^+#mVR{VGw<_lXJxx9b6n0Z zexsIps_^`Awa;9F{L?G@Io~HgH`%p{t7dtTY;}$C<Pr<ZRNbjMCpuYP)=5mrQC+dN zC||ld)!})}+lena-#mJ^VqP2fgb1t7?0t;>+NT~C>o(h4E?{{+%b`n|Meo_lCx`Yd z;EyZVdiCyQvzJ?3GJT%@TwwgKy8rQwp0YQVO0TbV{k>Vr=DxFj#=@U-%5<e$CcmB+ z-l=R>H^Z9y?VqLbCC>Xx6rH6Q<h*Bm)l=W}K;Va!^X$m&2R5w!Ki$CdgH)8#vpp$C zeVVUk2c}J!qR^8h?dX@)H7VGx;j7}4$-#m*n5<^Io8EaGzwp-!mlu4V?`rn-`0d`_ zs$w6(V9%?vQKa=w-v9lJjf);X{AIo%ZVyN9<j>#pxfUJSb~0t>V_lB6Xr4mz$qW8A zWt>)EFj==cwq0GKEY3NIEAH-}*FRo1IX6yYe^k3p`Bj!tOh}ZuwA)V4r`J1{8lDoa zxbwDgyHbzPy2T%iGUDolbRAy*K5Ka;SJhtl7T<KMXTRf${-{6DZoB(jb<6Vp9d`{= zG`Y<TH=iqv_k8eXrr3R<yPucyR?Rf)oz`*A{Yy>1-LKDUI*M5jJpCtgdxq7y3#S=1 zKa{SQ+W0cnQP13+VO>jq^dY8C8m9uXs@IkrJnMeHax&9>ji3(~gnlo0$YrOpH}+BV zUWRnz(|jABPw4e$s$0F@^YzUWdz50<?2}sdd-227xwGGC3hK{%adMVVUGy!^(=I1B zeEU~COQoyyQJ_#ATmI6H*Al0<zH6)r-<seq_bt#yDoE*-lTn4zQa7PB2kd`0N*T5~ z>W1@Go-E(G^6MPs`5b9t%>NhE^h`Rz8DV!(YtiiDvZ(Ya0W)trule(2?`~a=BR&FN zmqSbT`@WiY{*id(;d5G7?o~N5D&<t`Yn{87v-iirvfS0p0*}vfgkQK&cKhVTf@5>U ztB);NYIQ(2{1EG=4YTE**v~mXXKqW2r?lIR^)|{)yI0+N{Cv{%Fy8$;4lGvmmbx$Q zv_d&lul&Yd7RB`0@6&k{qxSR%P5ASqx%`cLf5C_H8P7x_B<Gk;<(%)jnp1l<W02xb zUf!u;-SyKZAGs7g+s|<QMT`5E3;)f#$Z77@e_CnD#5uofKDDNZ{!pKKUHqjF>w!}x zTrM)l%k}vLR`lx@Rw~AAur#!(xwO~PPk7QAxjn0nG4EsCCU=vm;Gxpbs2_G^v;K!i zyqmv!q2FTjFH4`!ndSYzpVc>4y5#uX%U6ojDr9f|aOr=WZdYniC}Z}}^S{W`*WER> z_dJzycJ=M!Pg^DAX?wA(?Zmu$2mh~+o8j_`<+seQ71u7V-*DsVjL0eGY=K{RyYhBC zac<m}mwO?p<897|>ndCK=c;^LA3EXoE?v#O{<{t{-h1R_bGR+C|GnBy%bWjGr13|l zb$4ga%qwSmJUOV@p=Di2UfALw+cfEWJWn3Ych~&=Y}0S{Ei2FP#IbC;S*x(e=8w(S z-~SlysQU4W6*n2AvaHmLKm7IY)X#x6&fTwA;u8b2(@uu)OptvSBY3!r^>h4#*BhKZ z{<gEZCuzK-vvtz7^_PAdo>o7#Gfq=(lE#H?$JTypZVxa26?#)tbY1hCkLDq4dSdCx zUk??Xcp<d(-tl_RJb8)K55<cQMcoiT`r>$W`9_YFP2#n?Ydd$WS$^NA<=Taa07FK@ z&75)O$J|#|m+d^w;%hdOLHOLSi=B4`LjT>?-+L~I`TWGKJS<-|7=MLnZW5e-=GLn7 zoxyYOxmI6Ydc9oy=2PyzPv?>w?6+6%m^$sZoS}-`_0r;%>-@|XOkfLFieP)v+VSw# z-Zx?F$BK@nJboEdmZYSXu(BddbHVS^uBU$=*;+a0ZGNguM%<nCCc0vKzbgD)u}O2i zzoXV{|KAOA=TFWzKe<|HO81(bn_r#On8_WmZd2A(K_8Yo)hef%W34>f|0=gD`)FOO zUX$lJi@7vs+rgd<W(9#|&36*+{pb%1K6vQGTFsRE%h~t(IdQC;GL5PA{qA*>>>M>d zReRt2Dc#S1-Gz;#+m3nh^Jq(hyT=|rnJZXPw!ZPaey+6hiiyd$)U{t94DJZCXt?(C z^@Zn;CYm+tJk=~y|Ki$|X41NeMeO3^7m`!_eji*|{dehunB^~g{--52<$7C6CHH>N z*&uEaEWcN_I&6bhP@w)yrwxZ5G&^}tZEswq(6z|(j%xysO657Ppud-voMow-5Odjr zyL@?UhjWgJ+qFHnSZhDly5Hhnqgcap=--L_6(xKAcVC<yX)DF=!h6~+HNkJAjMU5D zTrw9YboA_c93kH4wm&;tRW5k@ALA^|w%A`EKd0>~efvApxb2A5q`)b;XVxw<oLAww zSZZ4SH%5m&`*jXS?7Q;4sjT?b_U8xxY&v%~q41Nj_?hYlw-;qrKQOFk+84RLLhO$9 z$+E59FCA*9XZ`dQ*ur+{na&(X`@=uVWwvl}u6E=&HEBWGecid{PNA)BRaH_=*H3FU z+_|!Cq3`ztkCwGPl*ylzzvw25sz>tWU8iTHpKzMok;9RrFfn+WmEmEVTrm}60YmvC z{^1<y-@ep){>$ia|I@xH%xsO=Qr@XglHWafC;YVIRL1H*zZX1|cxEX5HGNJ)3%3#X z8nq^?vgM017u@PFuj#ryVcn|AxZQhn89V)UeUeaN&k++^v_5$KYl+2sCl))Vu2k&v zDt+<m`0ReRt<1;0xs?R$T{2|em$2<DmXDS#Rh=UuH8GI8Lp?{fQJ3?)W}xhwc?P$p z+Rc~|AeHQ`ULl<CTkz@!cQ#+Jt(x(!sEL;x?T#G2oX<Shuyo6dQ!JMl{Er%RNaxJH zr8eiE)v6sXwR3ZV&9g;|I^A0&wU-}@X1^dH{2}x0l<>4YTQXX{+uupP*3ZSJGU2dO z?%7+rnGZBou^eKp)^^Eq&8ZT<^7o`*o8Okg<?0Cn3oSl$-}m9xYMku#(&BG_l4{G} z`=3H%t~~#o*5TUw+WhLXHBm<&u{IXfE_^I|;{1ynuUGDR^rXDxXTl>1{(JiNF>9B8 z{q~9dsb60Fjj(lFW@cYpC$nTl<?e!vbl;Av+a8?wKlS0eJwo#z_^;eG`PHq@N7eeJ zR=vz-KWz8*i{aNKM}z-tn$lTT>mnYW+wSAI=)z6M!zq_%%sl)1z_F9>Lc95YF5Im5 z)AB>y#=L#b3v$xD)c==Rv~0ZjBmb*qR_#rhfX~9=8(9in9#jbM8`y<(w*H;-*mm!N z_lCvu61;Ztbs08t^(RcZ9wp~yU3Q!)T-x5}+NsrL*NjctPv|A{Flus{pF90}+lEPY z8`$-x&RO&-^Y=@6DbLiT1-HV?JGp;w-L|x`JdsuSh4pb~V~V{?`U;~)zrUUb-#Ey6 zr%Q0}n0WNH9`EDFD?gV`nY;ATrq#A`d)r)i?S<z5d+Et>{+G`TldxBJ3(xwstiQ4I z@@^l^VzU+1dF}sZE)o@EZ+x3?bMK67g)Q^5Wy^lcO;UP3Ws|mUnqA$jUzy9YPboY2 z3kJ=4`%0_A#jVq8C$rDBcO7C@b~kv-jun{}-#WMH;4P6~`r>b`pSNGytZLbKI54hu z+w(8{=R_A-H7biNpV^&O!kehU|HOy0zGl(IW3xJC%VwMZbFBGlA^+Y|yX4AMM>$=q zqnoTMwU$mXUdNw%)ja*yu5+w~9NZh2eoslym=MglVV3p*zC7tgjcZt@zSvRxD9^d! zTBm-zc6H~uJG+Zk?aO-Y>UhQUeU(t|*;w^k&Of=T0wXHduBj^#du3?iCXl+XwykZ^ zmeuMkoNsczU7H`T$;7;;@{)(9?1hdiCdN~jmEX!pJ+O{lcdq=oDPq1aGUej;oBpxB z`r>fu&c7TRLvP4jG1*zr_Oj)A)Y-D!zfXBzsvEsrIE}^E=5&xn%d08#U--FSTK_z5 z=ae~XHkriFa%%6H>K?kaasKMSg<HQx@16HW-6Y}0wP!o-f9rY@;Vks$kx++r{b&8w zxsz8tp5dPDU0a(LfB&~}Q{TEr5-wpsBeR;M)tw&J9NTzJjz4Y1jn|KM>b0-vpYB`x zWVQHg$%$K}e?QvUu|~7tRAbZoqq4CaN6Q4JmwvnS@SkN<US7<u--1HoD__RsMkL*n zoN#9Tvc9ip3Ovsq@p{)j_mIgXnV0{1jN(Ls^1rD}WYjKLdVBH1`V%&b3=4fXWq#Kx zHTe;<_u17+8@!n27j3@k>ZKnds<)k8v*h5s2g@oiO4S=Ymh^Q~@AK#1xPSVw^00f8 zJv(=mg>iDO=&z~Nu1nk?8z1EV;pgMi>#mn;ZwNkF@p0m{hDE!QVix5EY*GFvy?s`| znN4R}-zi_cZr0TNbipLgAB@u#<IcTgo3hX_<qoU5Lt6eW!zHF#4$@LvxBXLCb*X*Q zqwaqnZg-fUW_Wc}NqWsYruLM7>mpWpKXZLpsoOaF+fn=4N@=%=qH~^|ZE_Pie?xd@ zbi>5YwQ|#>*WOT1Gd-%Vsj2^xan(xI%Zu*viq<W?H)}%R%H_#l*_zIuyg291#)s4P zMC|S}Z}ZX(w~~-vb!|h~iz9d1ZBB<aK6!FP{!Zb3iPWBkrT%sXkCV@a{yecgvOPjf zH=^Mt_pEZm{iaP1>QBvDB;gz}ugcu_(lI~p`MHZUU#=~`TCgOEiQ&S^)N1w_PA3yu zF0iaVn)!ygvm#x~{NoX(6AyX5Y;%-xYFxtnEK>IT{rtlTlTNL=J$22IwMkdD=Ln@< zwX4|@%X3Uoxu%tE>C8)osoOWi9i0ACH@)XX@}hucRi~BXq*C_WNp?I`^shKv|5KPl zo5vHS4}q1F=J-Bke{_QFQOFcavG*sV-yIdaWT>L+8Z|AT;c4pozWOxbP3DWY^~nhx z*!d^uW6t_#e~#>*UUIlvKRTLY<*mk6u2a{4oSYjjYPOhZDlbc;;_pO{<)6*mul(6` z@s)P2J0trUroOxWkCz<j(G)e#+!L4{TPXCz%>Ciz_@i>Be>L3&O`~q?>Fiorx6ZiK zr_;Rm3-_N)#b2|eFN?Rt8UH$U_Wf%!qu0B-{y#d~DLS`t8e7o00ELMf3NklOe>CS{ zzM58>zQgEZAYcD23)xc(E}UA^P?u_MZ~t4)^5n17pcR)BWq1;<vdo@+xT0v@{?BXn zE$~RHn6dGhQSO;@X)^wY^H=E~U%qw5-S5W_+dSxYUZB$H?qm@lonrB^`ndR8o_UuQ zY?hQcEGz0iuBG#Hl~l!_Ez{C=AJ%)H6JByl#X#ieYtc*dqEEc&U)F0V*;;&`<@9Ym z=cl`x`~nYVGi}KejCs+U`666w>)x<$x%`PLlN&b7So(Mg)0`PUc>3lZ{}@!eJxIUI zHe%(?s&Ln-(Xk&U1n?bIHr3uHnRzL|DfyRVOx~Af-=95<lX{riEq*^qJa5>u;pwU_ z%lOdAD?UCoD9sJ<U@KnZ>Aj%q<Fk;>OD4oIPMAJV`rFqD6)z7o-1AtxO8CGdp{xDR zvVKa<{P1<&t@F(Pr)=66w8F#p$w9uAW$G>~j$gPP&vRwbU8YuD79;uleC}Jqg2H>` zXPx_d`jf?!ho<Y#@iV0xsnt!H?aF`cwSm2ivd58N&w0l}a~7UE({MU1zD;`NI-Wwm z$xb)c`^`Iaz2vO=a+j6wKAvu?PVBSjS<HKW?VKYO$;a)cY^ZJW$o=SY?Z=)a6ArzT zcTr9;VScvItH&{ME}Jf2E5k+Kb-dN<-|x+zT`Sih%3P=~Y+mnr$?A)yh_i7pM|fLx z%()pd>!k9g?l`n+k)G3gTjv8hceS`Tte*U(>!{=ux!OzbmI=&Vy<YiYb7S+Wqbgcw zId*LfcefXM%+7G9EH9heZtc>((|7Fu1YfF%cbvb2Wo2phl3!jaKPAoI?>WoEp#FJj z;DO1jm{N4@o=&}eFlg<@T%AdQUzlf!ghjWX7ui(8{I_!X3AcUkXI)xpq5l1OW!3$N z{B@5@X8T^4?8s%lvr0*O)$7_{KhvhHTlpcRBxWn8y7Jd8M&(DQ6^ps+P73&${cPE{ z9Us2zp11H(=FTlIBA-_8S^Bi~pZ~${hOK(qTVk*4R9q;$Tzhc!%*^*28PA0NaZS0I zy5)f9R?CY|#rm$j{P4?s@1EG5GHbp@w1k_bp5UFlr<DI}Lc>hs86w_M=dYbi3cQp& z<-4r0$t^b42S1kYK6Lb1{ZpO2P0K$pZ}RhI)z9{~O88^!6KJ8}-{_sKY5x89!|EmL zGtE9``=5RPy6xXdqkpm8B^?!CKW}~2erKQLoc)Qvzl$$8v9Rrb>g)L35!#D>#oUgX za{ufX2VcnzkKL}{Qc`BybS&;_`Yhf+K8tTs$9}D1@SLN1PT2WDaqOO-Io+HE{pMb8 zis$jUd8@oCxnRjF8?su4+w($YjQq{es|z#u0%tiL`eB;0EMaq<W{J@=;oAa7TK;u@ zS6W`1;dNl&s?xwklG*m}c8Zl6&Y5ZS;LQ1L=cMN?WMfN`yyv!Xfl1HnD{^Kzrn5E) zx=wo+TIe)WeSc~9?l~II>t4mZj!&K}{v!O^|48n|Ui<AlSNK$!oineliE`1a3EA{Z z<7EG|>b!eVj@%2hCYlFd>7O4w>o%LjERkiB@sI7K5_Y)d%@uG6O1t`L((kySDG6~? zPDw7eJl7tslzgGCyUQj^OH=Cm)^s7?ursUp?y?@4T>P6uS7hqEB{x4kp0zFFr|b3f z>pHEMmnRC;^?Ii4XJqI+JCmpX#nlo~xeM}){}~m&X_oO%Y})f}o#&iA1)K|bAE`gS zc4S6WJ6G(#;0OL6nTvW1r)5i=m$>t7;+(Eum2Mwpf^6(q93va!xK&Cj8KWMX3pu2C zd^CAx@#(I@rrirdWjc@S4Q33`=bccme#GSOR^8W58zPlLdVbB=aL^{NF~rBnP5<TQ zPe1qVe!HjZ*}YBN=jIDa96Gz;aEFMKtl+#`RZ<?4+IL2NQul~{-pLuZ%<|6B)y7+V zW{EzUV-fK7UBrh|6Zb6E^)`L<F;Pt~^W`kJ)eI7SEU(47r<HN(@T%ISedJ+zy|ZFw zlT?e5;>Cow7h>2PT{-SaA3JdH_Ug)G_5Zs6Ee&V=G5eW(UGER!H_I9h-IUYjU+8iF zWwVQ?L$Is(1wl`tsz-0K*UvA#!dP|Y$a^zmM)Mz)$-<m@jAjgLJ=Xmfa<BG|WX^f{ z?%@N|fATMDgLW+S;+dx1Rv4D_>;K}b^4D%QcK6*|A{~9EYud7OztFTxD?E&))*7r{ zz9dTFucza4{i*&u|5QKSmz=$LQR@}0pMN+O3wJ&|Ga>BN{k^veE`M}*`YH89e&CKy zK?Z4uC;M5h#<E2y|1S!8^{dmT)%DhdMf+7ME=roWoCuRDl&WoDV?OVF%;;Z)iWl?e z7gHzbH5~eL?^IT!x@wv0v(~&F4Mpth<D8=TPK4G?@#3>j;6Jj#fa`Bj`xeic!rR{* zOgO&TW$Du+(FMLQHt)QCXYL+@qTE;WOt!vaxt0CD-&D%7_|RmdlP&gQKaa5rnQfoq zEvu}5o2@i<LFnt|<l7B5j(Z9;SogePXX@d&l)rScsK>Wi>up!Qdpcz*r|?uI!Sb5K zg{|N6SVP{P-aT7BRw+{><Mp9?Z2I%3hhDZdUax#a@SvrLoBgefqYIu-4l#?@{N(uK z*yHp5GLxSq{^39VKFwk8)vv`u+q{-Xq{(XEDVu)k&|Rzhnd!R?c2Aw)CLy?E+LPZC zrlop!-twGh@Fn!jxz|4oGvCzRd2qOA^Me-!@22`)%vNXnyN~Ng@iFGRWpPP&m$wJ4 zvRZUVyY43!%M0OF!)tR)qCMrp(tURIv(6XUow4(yq_@R|rS@~yRQ_7b`%S|9<KMkk zpIu$$^-})4xR+ptg-G`Mm?tsInxBf#^_M#F?#j=sz0Z0(JXkCw9^bZQ4G6MoeCWJ) z?&+l+t+h8EEvwvj;M+vsa@%&V?ME1U|2%(tG|Ke5n-j;;9?4C~{VtCbADTSY-IP=r zzlv$)j7T@u``)Fde_q-LZg(s8Dc&-B&fLV_(C2%*ntoOq{5tB@l(1_-GEdk}BQd4f z`*hXnu1wJSwrtU@o4@|n^9DHXH@v>gW#XQrx37H7Qi!{srm=5Nnxl~I={KCyxpq%? zGrxcAdyj7QcZUNK+mA7`o7(@c)7>Q^9LV@0eChX;GvdLu3-Xf0S1A}aX65Xhc3&#V z`2=&&`U^&uf0qi*Y5E&-f}vf&G008M<B|T>Vm_aP#`nJ~?yHG?@U1@Qi}>B!FI!@6 z%w6%-bMeyH#d?yypA=my7}*nejz?@!nNsnBOYuWYewre)+`PB;g5{OmE=4Z959TzM z?C#vExUe>OZO`3|_x#SsOxG2Ck2toXThT@A#q?=T;o==nvRL1_R$W;mY`*{33tp$0 z1tv#lc5JO@FSpv8esJDR7t6jY^PdIi&J?k!-S4IMa`Kd#<C}RLQv2te_cFPf^=T$| z&#Ro0Q?cxA?PZo9xMgDJH68iQqRKI$Z_e!J&y1w~BFsVx&jhUIc5CjgGHQ0$<*_lH z(tKe;p`h+1<rm=}q<%FE?-z-<vORX<mC73mizZJ?Z*wfV(zoe#n1;~5^~SIDR3AUC zPzqgLerN5@KLt`cnd@Ac<;8A>^glNH)TkgA+P+GbsrK!5mzhGJz8jhJ3P~S7G(Gab z4(<ROiN+7U`SA}J|L(2bQ*qT+)HowP<ebPS0ij0=Se?x(Q{3eWW@an$y|9WBdiTTq zfA=BnEa!_&_F;bObnEAZx4blQkYHbUy7uh3Y5Bq|k_(vh-szPxb<CePtDyA3Ufu`A zi!!WA*5~i?Kbp8?C9B-ph}0v|(?ox4n%ZHI)j65R_j1|61r9TpFVk7yvutP3x*ZxP zwzJu8UB8?;^^?{<WgiD)t4Gr&9K6<1bNNTP%FhispG*3`PW?D@Ny5IIeg1ED|0}Xx zBBa-!9duVA^y`5i;&BP`*$Z~u5^X99^;)X4<O{pHRD4-pQRL6XQyoveGym|ZV8<bC z(`26yS-<9LY+f41FK~93b4=<(*53G<^$QoIU)q!uH0@HtrfHR7I;LNm*7dEe^V*gc zvLJ+g*MH4DEXM*W8|=?3PB`ryp7ZwqYoRRxEZpys8vZ(8u)cI(J*M2e(~En<E!FUp z#hTF$fB2^qEa~T${PCLW_2bfQ^J-Ve9Jx5@#B)yDu$h;RZni#faO3R7x6ZC#6{6~6 zXBc$Wq2y(QSi?r^h~-OPechwC@a8FN*1g{XcN;$Z$ag;a)a<^#y$>I^FizTiB&u5U z%G*=gs|(!9eP2HLa)l=`w=<YQcUz(m!#u`s>)sf(Xa+@?*@&LKQ@KoF;rHzkmMI=H z&vf$~)y++<-umwzxAr%`)D~IecoD02XE}TBN4ft`Gpv7Z-Y6wj?bL4U*u?FgesaeB zRXOu!UfV7s8(MRTt?<s~XqnfwFSRC01ZxJ&zEib+@e9c!qj#U~PUTzA<;ggM?fAWx zZ7V+hIX!oYF~d6FFV;uh7uyLwn3Bz?+!1*;q;*x@>Dm&F$0v4wu`4~lZ6oVJ`zse# z2-X@#{yJ3rDzCRv{?*r&@=<m*ix+HL?J#MAF5BGh_BZm11(Tos(g|aB&D|9^vy&z9 z<<+vTl&$SutMY#2y!89mx-sGI{!<AH${(xdWUu?v!ZCRp+Z!&o<TmApDt_4;lAgpb zXk2K;e<9_CZkf!f1=E@LGkp0_Y0~n!OXu0Y(B&-q^EXa<)b>1M*)-9VwRz|Lt1f?- z&mDhp?UV1%U6@o|ngpdIN~0FsxqG(t(((yYjJ2oT=gC{3;Heh-zw?X2weuVP?$q+* zeSb~*-Hc0aj2(>;*H?-2XutC^+N}S+ynW95gSwh|+U8sL?|YkZsAhF7+uZa1=ZodH zbKGxBnk0Q*{O^I+F;C)ewQJgxDgJoy=(g=LW;4|r!LwsF++1t6TWL~p4&M%A$9}2R zsq_E*ofq|hW1DMli<r35vX9P^H_cvI$<$nt<gvfDh?6tC?uNG2`8kFGc6)RtAL^R5 z{*8vSUHrwS<29dzLeGZP_8QJ#wnxSwXNB|a8~mSzY_4)^E!ci+^YV9HSMQya^PXxp zOKfldpD=xnfRHWqPg^)!EaJ_h?)+trex_i@yL;`Lzcsyj3l(Obd@fhx^-gR{4CA%0 z-L|t|wri9&t@h@MI;>ZB`to(1&MO;3F2o1Skj<RND6RZd@>N(kZ>|5=fWHsjVmTV) zax(-U%fBtykZhZ|YR}_~$!}y=Z$G7HC710S@xtWEyW*<X=cN?G#WU}<UirMyQzSuc zn%@=k*yka;Pc3K^oBuZ0SxF@AVcqe=I~Av>u*bKgf8F|hoATQas+)BV>YaF7wdN5| z(w!_GF?V6!eTNsnVV(EN%*AEVXWzu%<?eyMSF(CuapQL}IU@LO!IerI^?lZFbIx0R z(#(rn>#$69zQXi?->sf&1GVQJS|Avk!OEDd!*{H$??I1!(`>#?w+|*BtdQWTyIH+< z^4k6`zxii=9Xo0EY*Q^y)lbPq9!0gYXZy}rb$*}tOZlU+KdSi7m>&JjoWaXI_k814 zsZ@5U$gNAn+xUOXis|gk`PcdVh5LG*1y3{eW7p^AeVD%eLT%Kw6dygt6G`7Wo_u{c z{q_CbFNzKXIp(SS_{3B2U0US%8#$(8^91v$Th2MmiGN$Nr|bXXkHT}TCS3Q7w|H^e zp}nHU?$qS#msLESelQhi&R^xDE$g(Y^B`;MH|IqiKZI(VC)+){t0}_d;p6MGUV71_ zE%gS0-4S1SXMVZ(B_#3nQP%$o3q<$)j+isA*!MB}j=4Eg>=<W!d8GP#ai2&Ue+S>A zr=LVjZxr^jt4(-n8~amaRS4^gi<!%Al=w_y=w8z7my^F}&sRO++w9U`4$OKUq5eee z%bpi9mhEwq^KbmUz51_$oJQ%)<7UpMOW$})`CYP2S$>|mq9^_F(cLTdrcC*^YPs8u zsYQ$$VizYFy!4tXnt$wQOzXeSZ}N`kW=8E=@jB=_=dVX5th1&!Pit5jo9*0lXllFc z{k*H^Oa=RX%-(fNcy|Ny@2Rco+%0o+4WDddHr>G@k<4APF=^_h4QqEdm?-|y;k)cO z_p&W#9D8S8=I(6IBb`2+D-({)WIMQRF_+(0t4AejjH_Qt-Hc-~*mCS!{Ye9fD5YeB zWyhvS*}Gr2c^&q8%7nweckZ=uwD+hj*bwUWpHq9;-L6Po361?*ia#@GW$m?9J>LI; zNkTqX@4t>h+T(cZy*eJ92{9*&4H?#H6z${p-PDrF=N0x}EB}ky?~Mn7b}u-|ztZB( zDn7}!dEWxsSbLVV7vEQy*<<)KIeWGKmttwf_HzyE+q&}%%aje){pprpc7@;S#M{*K zfi4@({=HenW8B*ACGh6neAZ*^MF%&`T+!U0Red2k()G*c@8=9REZ{lmq`G2)zU$r> z)1vfEbYCl4*;%|Yx-;KZ;E&JM#hG!Fa@#6mvU6uvo1A^b)U~oTVCHG{s`(SogkR0M z`J()nhR(6FSruK6Ot<`A{eoqN^W5#T)4nw{H@O^8T;ZQz9KN}<HF8g8fw<Af3rl}A zbg$!$7tAkzZCu9Wd~SF9L~Xt`Zi(-cKQY|M%x{qN<?@xAADg&L<H8%JN1h8TQeAf^ zEdOaeOS?!cQPQ64Zo~vlapMJ9{L>bjEmyDhoY@~g$$!H+rkuTZ`QO|OQZ6Z|`4n+{ zgV2I+55M$ov{#UOaN#lI$q?`5f~^<xDvh5mJ}0_J=%&x4x0$b%wdeB&7aqH9bNzxi z*OErx$s)O5w)3q0V<E1>6)N!AMW)$<RZ8b_@x902ntqiSEPA`{WX8%Jvg_^}O*#HH zW9l-62g>EW`sRP$Uyl{edU+{{aR+;?`>`ph&C#2lasJ#~Whi<}OZ2nzoZ`aFD_YJ= z4$U@l=igT!d|2qORBzsb?ON$=PNG$=?WeOYygVA2Gkwp|=<|~%-U(#Bq3nC^b;-MX zKcX2T_nFrmz4f%5b*9X1l|pW%&&>uu=X0k1u6CBrJKNRxXp7?4ySj}Xep8oCVK#TL ze9ZHj`?IL#LxEXpYNz>^N9d>|e)yy^qxWa{?v9*$A`J57Y@fHrwaeX|b>3#_znt(z zoZ@M-Mf2xsENJ94`*k?yn%=!>Q=aBXmO1m<#c!YW`Tdg*uP27R5vgC5(aO1)eT`;* z`1~3k;r9=;zdn|gJ$yXjTk%#U7hxqvFP|gVtDeMsygTQStkGK)B`-Pi+nabRW<RMk z7C7f^EHUw;Ny6Is0!)py|Fu)=rz9NDxSPpzJ5*fP=ANCSWTh_OQia>%{2_n$ADr2f zBC}5V*!KBb9*6i&PS^OhQf$wLs8g5kOQ=kEby+lQ+Vtcb@2kT9+sJ-$&6S?tsb+9( zgW~JO$1i<!F`B<4T0pO)C;yPmm)tzQ$ybjgdPxZ^kG=Xk+C!@G(!Py9#Mf>xZvIgq zW?1uo=4$bVHR_9*13dR>xAf=EKep*z_Ahmjk9>un6lVvk%qlY1_VH?&)qbRD&#})p zC(Af{XZ=r6I{(sOTgR#Lqu>AMaL8P#anhe1AinDmcfY=!{Z1#}7WpfC{$v<W-Z;O* z@qFL@Ro8gKuFT?l=es8P8T<e632tS~TVK0yl+3I3Tpjsshe{qp`g%vTHJ%(zOHRgz z1|9vkdrvt(^E3si<0htc6MFh9Eh;kQtRA0VX*c=QjLoUJItn$hDPqgqe|jq?KQ_wp zZWo=z^Jn9hPs-D7h%OD_eEf5-YB}G(YW}T0wfTxArqdr8f9cd*BJ3(Fo_1aDjn?|$ z`v2dyxrg7rp_-8<zGvC_>bS^&8B1h~pX*GTxAML01EG~G&PW;+-Pt6Y_R;2B&YfFg zX}iqjIB&T8x%J?ecyH`xj|wM8)9vSeNjR}HT(tVQ>dL*ir`|gzn=EC%dT*g%7~9sO z!+VSF$y{oy_V!)faO%qQ1GaY-FP_J<P)_+|MUZ><^gA_gS+$nEzWw=msmTMYT)Cy* zVgVhi4(xH&bdcm<yhUVPN4`~gbpD0dtm11MrRA6Bmu$&SOcvdF^RY&L*`*BI@H(R# z@BT{W8fSIY@ai)kO+B;xabc^&Z|`$4yI5Ox{oLYkH|SO8qR&Q0UP#^Jaf-<Jvr^~l znTq=gU)^_KN-3>*xAlQk<m^CIrd+?=A}+7>iAzGayz*_}F#n!>dz)*bvfEaZtA|!q zWU9_O>b^jy(C6Cr7Srv!o(n9W>AQDo#N-Q#3cmU+KIV+P^NVLLHuOtT7M{DPc-s>0 zYkBuiN4LJ<dM_f?I%5x`bLgf>eG#emt9DNc?YOIXFofe6&jE%<39>UwrgDiax6f;M zeCs{m<2wQC6Sk*rsR?kouv#G^caN9O_0oR}V`i^j<~mXK#`@W_q^56Qcr8Pxv-Qu{ zJ$jdpGd%5-J;-mlYQ>f2iN~d4^yb{Y`g;E1qfYEHXT3IjOT6-_uHrPK_=MdDwD##< z3DVcd4%1dr5i4vx`yg@gsufNDGQ`5tem+_q^;LS$;j?x#7iI20;rvEVql~TKz{d3x zmmBD@2h8#Ls$`aNZg!NFMv`2CY{Ye8p4bbQOwXR@@6N9|de|xau9NfrpudbQUt2<W z`}WMLie7%}RsPX*iPk2&nOut%I<tQmw8%yE%crqVxfOeiA?a=C(PdFiGN)Z09kbqj zbLLdd7m8}{f1S-)bnbVm3eROfz1Ljv91PQbH-3&2n!ejYh`V93_;PQ<%mVu;(Zy>{ zPu;upLq<o!laTUWjujcgtkviFLiE>dd9D0g$JD9V%Cpr?{Fdltv#+OT2`0Q=+-dDx z_UozE!3Y0-7$jYue7x^j^n?|;ZEJ-j+_IC3#KR+R*F3vAi*fUxV>dQ;?qm2}lErk^ zDx~ky??Y$myByVzvv2fibmpzwp&(tl?aM5!CSks;JwZ&<r_8i8T;r$ycv@Xg=s)SH z+_~XAi3@YBPkwon<aKT7RpU?2VH1zo)xG*Qndwpg5tj6b4?8RNm(AG8;M*DhD85Q6 z=l3&KVef4`d?Nb_lM4&tgP!ppf1aPZt#9Ljqp{adYTW&>$|dYs&e>H@_)dTINOziR ze0|EjhTQWHnU36_srvf#>?=AKZ21y0|N2%eIeGYrju^8*n{0`odPLUUZx@$3YwVmB zvBl5iv-bnR+w488&tLr#4qV8&{D}OUSFhreW_m9xzfqDo)pbkY)fZj14elzP^3qA3 zIqGFcD?iOyc5bSt`@_e7V=_*B+uq{l+@0#PN9NnjysY@0-X1XmrIP&xhSkdrYuXy4 z9;x5kGi#E-qouZsQ#ss?*XKQnuaVx%&t}=vvOipT#T*H>!?lYIE;|=kPyBVKE<$-x z&#&0Y<!<pgwM*qbc5g2D<eg{!Z^~-kAMc+W=~%PHGeqOl)te$mrhWgY{QtE;bnKt6 zH)}!}PY8;By|YFyP4V5PLQBrwKVzR89%ACjDgIUW=-~wK%N5)oHBaoWSpLyMH$AuE z$qAj1LknKDInDByt$P>sX~uT919g9L4lR{Yus;#vkor(B$Ccqsq2F(>%#VCKEy5#K zr-sDo2#T=I^9^O$xWQa#*&XloF>{s0B%=K`cumogVHV~VTp$1XoFVs0O&Pw3T^}Y$ z{mwEexT&#uO{0zshaOk=4Mm;DZ(g~Y7Vo=h;4D4s{~5o3fp0i%SJ-{;klMYD>sa=F z%PXqq0(c@cW}Vo*;IDA3wUXHc&GoPCru}~KWllp{T*KFU?VlI^@p>Q5>UZ(;M!gb0 zZH@jn*KN<)iTyw7no}<<R%zR~ec}VhIEx#ta@BY0)+F|N)cVi9Ql$C$SH<GETWkLa zNavXA7UiGX7T@*wY~U>m(SFXzYQ4hqbHD8TRg${7cK%A16&!#4uPMyp_%K7~qjJ0M zzMCEIJT@HOX2Jb)Z)^JdJ*so=h`;GAUzZxLYqCu9ash{w>AIyiw_Rmh+00q<y6kky zB}ShZ6W@ZW>ceK!{uRr{%-wKlNs3e+Z)U2?3R|6L!TT0%m8yJ^Gx>I0^i>&MqtA2d zQq)(JRu=?6Pc)CZp_a1j#*!O#cLQu#*@c>%*2k2F{*btJ^=L`pf#6l`dyYR5OkMiY zT;coFB{6Bc1493|O;eiuICZtl<E`Dwmiey^&0nkf$VD&t>?!8(6W{ezFE|CsUJ&?T z=aH5CvrlpNk;k4(BTsg`-oLfvg}d+hoMf}b8Lt^rCWJOySSA(BtxQkZ^JstB+tBW< zT;dm^jSKb%dReGMtnIFuA9KUvjOUkSAFC&yUXy%i*L=T(qNDW{eXe&qZM<2;g?blX z+9$bIaiK<;x9_dTT^zm(R@%;!C}Gk3UjJn-qlHRFY)50>wUxckFY{eXyC$g{&9~y< z&RxvQZJBvC+;53oJdrhpU+#J#Q+>K7plCT(kOppT!oQI$-=|R#MDC2H${r6Fr^R zT-sN*ctc={uzah`rVJ;SKed5B7x`rKzgMsA`uy5lxp;y`@hxlp$fim5k!i2quxcHx zIjN#^pa0&^YfN{SM^4$faNoD4E9EyWHDhDdkGwd)Nz|iByX(OQ;f4EO&X6qFm{l%V zR@OD^#}2k!=C`N*+$~!%*}>4~-+R$ZW^xX0wK~g$pLYq{Xxx$fo3Uip76w*hPsuk% z9Q8%~vTGfF{$9%K_Qv4u@<W%iKegto&*iRot<Ac^&x)`1O1N9?$8*ms6K@(-yyxIs zc|XuD#^0sk>4!r<XGfgeT>JR#<0pT9^EQ~uDw_1&w9-;`>|e63{Z*Qm_6#}Qti4P- z+O0H-gdDUNnwzw%&N!jUt~n!T`d#6jEN_oYy3+PH!-Zk5Q_iLDSuGEC2z6xF3I%Tq zy_6qNELB}?VQj4+IbT!DC#1tacis27er~F%bt$`6@14=7nlyb^d*q2yJ+U)dk*B7e z{V=WKjNYE=gs9K08>_C0sL3_SbsI?RKVhnDIwPTA*M8GCPn`u<{$T!9xjEHc;KVWO z*jc|=179x=o%nTox5+mL^;G@(FVhkOgRZQ$W{qTO)PHXDHu-R*TJqc{7vqEFT|O3H z5^r+$KU(%{+a1GyTg4jPytSvBKbaN1%gApB=STIxGbuF_eNEg~r5sOXve4N#@7W=P z1G~Gv-`e`wuHpKWH+gy=euX#axhvc<aMd(9al=Z*i*ae<x=xV?hZU==rt(j2-sxxG z^I2-qeCIo|Cm$y4y|z2&53A>I?FU9Y^RtSsY_e~4I4gPflqu7W=9CY+O-%2+h??`d z?6%;C=C{r(H|{(Vbzig7VN=AL!vR<7I_}5vh+gGy>%H=sxB7Ak>&+=2+qkdjD(_b* zsCuQPeR|Q1)Y;8!-tB4Pb5A~bB(%r<@|u@NXD0p@5H~2^74|1>sl-d3i!(mlY52+6 zWAyUrg94VWJifcPW<QCk3`x-tUAT4IAEmf@p-=j=RJJJmm%VXCA#cj5$NZZ@qy7YS zACk57e0%4ZfKA-zqpa7g|Gqsx$$hH95<Qy-$LtI&G}o1_o6LMa&DZ2jMBijZo2a7& z5j!3w2S<Fkmw%06-6_r~Gg~fY?wGhwhb=F2w)NB04H{t+@9pKC=QOY7uQ5-m`19*) zu1`svuxyKLqrkUND~DxD`JD;8T#L$Q&#NkWxRv?QX8TPlH{Zr=eeSt!dyP_FV!?rj z%1VnQ%NZ6;xVVd*$)?)>sBZG@B9}d9q_($y`gY_8bBF1&IsEhNCEMG@+`s9t@+_Nj zEx1*b?Zh$0$Mr1hw9l0Y#dwP!a&Jy#vOS!gxp125or(VcK1*Ib{^y<HjIxi88)lu5 zJo#@<is=rGjO+-Gj3AS}_gdRp4~l*Iz2W$Wthh~I9yg@UJ+xuF^7>ug>7~~9tgKhm zXe$(Noo`~kY1eaYt-YDY<ThFqz2M?@EUntW61>?gw)Tdyk$zZo(vHUscTCGQZZ-BS z(eK~KA7|Kfs%`pGmUB-gdLNp8YV+z0mv^N%o}SLCb1k|uOJ|1ff3;fi|N5T`7<X~= zK6-lOc+<f}Ek`GMl!wJeDy~UhBkRm?I5?aA(IKym-+v!s58fI7Lp{rgaaCCJKapUk z3eF_)<QR>0E9>Ii(!?1T=gDt&DZBFbm)_0uEgG}f?I*ai*3{gYTcYOPaxU*f=*~ZP z_e{UQEqBO3@@e+X{A=Z56RZ3midHhnf6Ny5o%_Z}gF(ahcX#alO0%WCIp0g8d;@9^ zRrwhl3m3LCR95y<W#Wx3FjqYLolkcA(i3~v|4|UFyYW?K!u!=JFL@qI^W;9fs%IL@ z=~eS4^VJq3pMY@2{~XOFenM4OV}5-M&8oR@**j~C^R@I<Q$MJ!T-m%ds`sw^8ljEf zeb??hDs8YM>NV?!2Ry;Ee=KR2eG?pL8#mif-+n{T^=+#QmjAW>CzASe;oGMh`47rI z{&dSXE^W){Hxa#NBFx8>tn`&nxOe=UAd@i5Z%gZ~pRQ{d^lav-B%YM!&i=XR(%(-L znSHpohCFQk`eWhuh*!7Wy%t=)syXwg?MGi9rNoDF{%q-tozoPW)e^E&vY#GbbLx)R zs?96Axc0@W-8tDOHEShD!f~F)t?wip1rH>@nwKwWGsk&r$5*Mxn(U6AwUuUy^&gcJ zUusEL-b{1({=nq<YpKYbEUmlOvqZ#O{^go~wEe<Zsu};eJjG?v^X<w9xKE!8;g8_> zw=0mhX8%c*rUV@am94Kt7}Y#8CR~_i@cX&G-aLnY^_ICGwuog+E7E_$=^-k*>uieK zazo8NjlG{v9&pg+TlQn#b3dKp#q-zJOn*{;?2hME|5venY%8|#-JjE;Z62q1(m>6I zC%vQ1z}h|GT+D|*YG)E_l{e0w^J<ZFl6A<3Qq7HjW~$8c{CS+eGW_<vUitaYl;7)I zc>8z469cuQ{uyb8JB`1roWOU&(C&1ZNq0n1D93b(vuZ{n6I;@L{8OkeI3?EcPDM*C zm91i?#U@3q$1*dwpIjKYp<=sEpN!(8j|b(0B3$l@CfyhJyTcMPyUBXrRZERzt;;;; zQ>I^uTk%)&xcqL{ZY8;wn&sNl7bP8uH(OcGk?_p$53B6S?$*B#Zz=3CyXa!C@pFQ8 zcKl+q*r2bTyCUAa67#D6uTgP6_h5eayqsk|UO$WHZ+16sJ+93Cx;MOKdXnMiJJk;h zFKAV_d<Z+%U|jI?-KK;koev%K=Kh|;c;v$8gk;6_^9>F+84GTSe%Ivmn&s5iNG(~< zlr+zKe;KBVzurH2x*7-1?~?0knu-Ao?Tw#m7Z%07<2?Un*1oHMk5tJTz2`eox@v9u zo9p4pFZj-F&--^nSz(@QhIzr2#_dxtxc~WntK0N<a>>Q}wr(%krWq_dz@nVwTl^~I z`HURnGxzWR`SSXoK+EwyEoEi<7~isgU5-nfFIE4UC2A8I?)Gc{*43q6uNNsYo(#XV zsiwH1Ge<#QHzjO=xAF5c*93L9&MnPlW6_;k(p>vkHLkJe#pFo&H{1y`J?akqP+97* z%Sj_U_tHV_M;h^8?9zJY%@#;ZsOHJzF8LlC*3ov@Vy*w}hO$ZP?tN!;{``&A&0q02 z?|DAsWWCRxucm%_qRDVewaur+{zSqLmqach=SLD8x+~?h)EG9V@IGntUh?Il@q2sw zT@3rC#fLSg20!%;n04`8#QXGJPxiadShmu)?#-U5uj;P^)=4e)%b4i<<go6&gMV&t z6~E{>aq8w-=II=IAKx4EpIPCM&~8_L@+?c^^64Rxiyu#7F%0{D(6W#Dv1p+D!sAX{ zYj?kO`H(m#mGSWW$6R42_glHGxy{#QKkIzqM}e}L-8mwalE-FoZ(#~PJ@;(ARf??5 z?!MQDZ0cTLFOqoECA*NJ<d5}|H4$efrb*d;Tp)HsYVF?3=MOb~mCwjt&0*_!<DC2d zFeN{E2Z8mRu^Bc?oVxfO*2rD7)Tw*N?<VZG;pm&=LT79HvKk-9pW6TBRC|a*>Ghbv zq$}B+e_WUk<a+w8@v?rjKWfdgU#*Fptr5l7|G8Y`XWFVL{GmQ1%`H3sM75K0#@CeW z++R12FaNT4O@wEufm(Ceo?B;pdp=&V)SvP0kip65&qmqC1_t~^g=%|lTwT)3#rkGZ z`HQs=4|<<5`qJXg?X>8pt4OEv!#{kDXYW{dG|ysxx|_T4iL%YrzdE)ij_EVcD0Y93 zn<u-gFYszgG%LUMg-=sC|71(=WMtOmYrd5$m~`;W=@)Ary^r-+o)*XBA5!?2w_Qi7 zcB546t!pbx?DTB?ANgNYYcsTbxw1uLPVTWcLTrB(BBSE&gxo$X^5wX`Xo!u*b=FN` z=cW|p-%B@oEWh#FzE$T0uZy4bSn*7!`T}R@hTl1i8rAG-HFy4Iil5*)V16-v`rYen z8lrQr6=y_rtBY7GmqdJT<9oaEm8Y!RniD5f3U2JnKgRZR&5iThA8z?#*fN>p)Y=DG zOTC%5mgOzf3-C9&6yM(E_d(96lWSt?SLNrYts;-S+fekIck+Mhl1EQs8(ep1vVNZO z^k}c{(T(q)@OzzHCp@`r^X#YF`Zd>1uicl|wRIKqG{bKJ0argPd{%pq)vc6u*2crO z@6L1b%Uxmq5TmontykT><vss{a}nRSpOSFWe)m+qIzPPnwML=m(&-nxZslsc$(;Ld zVa1Q=Uk*EB7EQc0)u%9Z^Sv#3_b>9)ADs05`{}()mQGcj*l$zL5zMF|Cd77JwPe?U z{THA1zPGD>9mVo&!Ke6VpOoB!{)8G<8SD8SS;KHHa7n29`g4=tYwx~wMdwzriSgS0 zS*|Y<7V<lM^m1clXgLyd_PS#5&I$9&V;$LyKknyE33>WP|HSj+B0;a9EMAi=$0NeF zF9XksXC7D>lz3;(-oJJZrQ8i0F6b|*c1a5=el6r<x@y86E4v2qOg)poZ1bkd9N2rn zT%$|4T5D6H@sGZShC6lP7Evs#dNk(dKk#ik{j|2vWX^G0n@PMEE_}DRe?o0ywhy;5 zzh{rb3E2}1#pkZ*|GD?6=8LN$*=sBG*?UW+Dm8EI44$VjUH9Uen~d7KO_c??UhbRj zJf~>CPh!HdsUb;Qw?~V;eOchWL8GMSa*NfJ>QDQPwq|$LW=|69@cm?7q_=GOnHw)E zW~RKq*ZlvJQOa(=GjCtAf9)!77f#}TpS*4B^l#tFH47I6D$o6q=WzAXg05$x>KqSW zv1*ws@SR%6e`i_3Ppv}MN3(t@K7X_F;ivN-)Xu8Nwk&Cpus%OqeBNitPKiHkd#}~S z6b4_s;_mhRyv3n4PdPY6lr=tz@_t)ga_i#p;^0O1jeAecxux{&S;?`vemYBqVw$>+ z)t+#W;h0l$rf05__A8+~Gew0SRi-6X+^nef3r?GP#wmCOW0GV_@XU|ZawSW})J(U1 z*tVon`naCnZFY;sg$7qtXNBk_YSeJ*J$lYqdMn2C%zeprJryOHHMJKPUYwpkf5Y9P zR}5bz)>_%V@c*U4#_nnHJF#NUhWOb^3y(cgp6Vkk#Xs@bl(lb;a9&uzSaZ62sbW}M zk?Z3gt)muycell!ydtu!|JkH>4fgC}rKe(_ikn~id%Wu8t+#jVPFXEG`JSQT@Xn~T z)`%7xr>(8GPj0_;wD{EB1rbgc*W1LlCLGl&?7cC0(z;+7uLAw*>WTA>{(ewf?(;4@ zb@F!0mxu42W0gyKsjT@yQs}GLxq|%-eo=alOtTht-O0#I@_0FY#>5L9-?f*$b>eWb zTE)2IN2rMRzyBYX%%Ay%@$l9ertc-MEVNi3&@$h6=?>09<89LO_42<(9q|b}S2ew2 z-IsUoQa_xptIM3nbu9dPPx^_V+POQ6Uc_ivr`j!#$h)ocRWvlJEcw>tY2BCFc3t+g zWYDuwTBvaU^{Fd)5zBcvT<wx191nR|8ChI5n78H4>1UTdrx_*)y;a|K(_NfD!@0cw z*EFeFVt-mS`F*FpIit|3Qvd4S%O!mb4d#;%Tv{7&c~O_q`)O52dagJW{&@JJ^xXBI z4=>HJ(wy@lYU1<#zbf{*-LK6l?B)MubzygzTlu^Tr~Mgax4Zs~TY8FV-y_Y`&+nN1 zzpKX^H8HGKzW+LY(>A9@*#`?Ru}44B`nqSGy90N-+T;43TW6i>98R`ApZPX9x$JZf z-`$dw`lTOp6`6OPlgr-X|MIKw)5F!Bf0#b=pUF`3PP%aBz?J*#m6I08d{0euo)GG` zdRK`~N%{k`l)QcMH?4J@uCboxGq?Maf9J$z>8Q;v>I{w>V$IHL7fQ`~xAVsJ)2xRW z_Y2k><j%^?4%+DO@tR(2SYhp-y?wXe`_1!qofva<*Onva{hnr8T(FG1Qn*a<#08<8 z``t%U?)8;u^1PP0z4DNxBGQdthK8nwi3$n|3dSY|2EtpL9CbGPCoa01CB*uy$&BOi z*RN^*);r}BTdmE+J*KU%Y;RJ%l%;rK!(^AWVdVw4CjPtSP_#wtSo5Nr@Aw(gvb-B3 z&+ji&cz-ii{4{G8N4U3DChzm|P4CZa4CM7PlMYW`t~T}WlEuAWo$H?(B)z+=x~gq+ z()n3$dUOir&TQ@ES3RY7eo1pwq{@cH>mNmMPt5(i@Jrz~f&bC9^I0DqI&C)5Nb*g- zL4|^E))}35qTVT++ir`l6niMN^T^#-et%e}OgPSZ%J9sV-LfWrS==){7%Eq^DbF>% zl)q}4i`bK1<_U+rGiQo5z3=3_-p*g}_Vtz^6H|}Ec@HzUtV`yyJiYtMxoZziTJHWT z<x`GUnr84<Eb*vnu&i)mxaf^#8L?GD`-`VnNV(ox{CxZ8q{kxdno?UAE#jWL?ETLu z<yBr?HA)siA?GhFx9NKq>R6dnTKJsrnWN84fm2iety?Yec9S@N#g|(ejW1Fxil$p< zF=t-hm#ogNGxcfD<R^J=r6z29+E}5)Al)`)^QS%gRqea`BUs<Pp6nFP6I!q+$v<2m zf^$Qr7MJL3^Y)utSwDTYJSG<vH&6FlOQhDxrb$b#+IO}vXX*KdX>l&#d(;25+R85{ zrrWT2vfy^rIFU{xms4eZ{VO<>{=IwJD7N6-zLi&>DXp9Fqht3R-TURvc1JCDcrq9q zPM!2cElXn6DyfS#iU}*-W2N*8j4rEKS2#ph&!|t~{IMpW-$L#?!`|-j&AaCw|FL%Y zJ>iE>jo<6-O>KG|r@!XWO;7C;VaG)-F;97|+;rCVRNdo(tXH|8o~Qg@A!4~jbHf~o zFDGQ)%&ct<U16xua`E=mq{B~6+*?<|=+4l3&`@k`_H3_-0ZGR@%5^(3-uVi;|DDYJ zf1!><o89b%)#9v$S3+;yG4<x1TDFGq_=!1-zU$Z=T_vMDvpH?&CKg92p=a~TqP7I@ zS+Mc5>=nK+Llb59R0E6jpqa@mCmFTPH9CG3Pl%Ip|7yM1nJe<rI_bkA9}lZ|$4IKD z2MNkZ+sh@#?6KONf9{^i;cY7leXe~y&2F7j<!KnYZoS=QUIT*y?&VVt-KpFhF>k_y zdd?&cTZ!KVmzQ6T{Kj%dYNLMDk%N0AKbEY~uHHULV1x26w(dFSl_VUUUh#cl{+%o| z<F;SrzY|Y$8_Q$ZCm6kx*Pi2LIaTn9NT1i6liXoFf7vbNUVnEqTUpV)IHN6}?fp-K zlIEC?CXZ4V3g3LSX+EdOR%db68%G@vPoHZ1u2A`(L!-p}BLaK(9(s6gO^JHLw%vQU z-=BT>pzRsYI)faM%JP;2VL=(G6(88Wrp=vjMb7xiyu0CT26K|9#YiNqJ^HRCqifUO z#xC#0-j{Z(cg@)E&A&B8taJXqA}z6Ja`_E!SIJ*<o*E&4sOUw7ezf~)=d{hLYK6Bs z)?T`i^74`(p9hP2G1n8DGm|3zpP3WA&~uU}Ps)`yz3=z7Tz<3f^@gfb2BF)2D<$)C zU5oJ*IRE18p47(c6Qyl*xpIvYPb|%QK6k^$OYWvtKW?NRuGqcPY8hjv59dmS%!I6C z>XmC7TW5)c*}YS~&!W1<?JeK8`)M;j?pvU=OW$wt9tA^_^GwE>(F_b9Bb>N+?bJkf z{?G|Npw2aSPtS@d6}vY{wM%!uUgvIeYW6X{<uf%c{-h{%P5pn@=}c2?RkmUOF>8z2 za>~p#+1Y0VbE4`Fg}VMIj9(Jq8Wpkf?e&cB$BP=8f23zVP2JxAN94H7|A&vKH7nUo z(ovZ9-ukht%ah4%&(_`1t>aW>`0tSap)0G>`NO-+HzKARj(_)mKefy<YWjlRHrx?f z#}0KCT~HP~a6D|O*qy9b3|g|UFV$?&na=6(Hs3xgYOT!z(;5z*ssFa=9Ze0r+rkl% z_kHJa<0<ld;$e)(1;d`OZhP=eWon?z<zv-_caG0K$DJp~ZMWBWS-!)oCq+sx|DK$? zrFU1`shrz$3YpIvwpj1(5i!%vHR`=_@T!Fh|Fr8|h81yl(~c+_STU#EmO1Y9;zCf_ zkC2v63-1@io=v;(Pvl{TMR{4<&M#jVu5B{3IdXQ!qzUH>4{~18yBksX^ZSagwfj}} zF8|88Z?$a1|E>EZ92P1ch`Lxe$$q=E^_giGL=|K9a2`nzyCxU6s?2%GU$dzWjux8D zB~$V%rS=;$bIR)NW%ZfWI>$dcQ0v_e{fwRtL!Miw<*S8%b)VQJsxs%r!BhA4f4RN& z@$#e(tBZc5PyDj8spf#Z;*wQ?3%0-Q+fsCbuV-hseEnLljnPr3%7j)f5z$Egem`Ue z+k?WguoAU7?Oz`#`iZq4FpEo__Vvpw|Ct9n-`aXI%ZFT9*AbIY`f&9-#w$(YXP%~? zK3T2sRM6IOrO(Z2yYu<ePFNc#Ch2N)M+mU2c;&EN>=)nH%~m&_-+wg!&DCY?@fN@L z$li!Zy}zgU!nF0V#_#+1j@3p~nG_}5SiNmu@eYQnx;@FSJbcb=mpf!-ZF9(3bUts- z%#z5Wu%-ODyMJE0+xL0%PT#v5w%@w$#vOS*sbA>5`5zzsaQ5Isa^WhUV_tNh_#<=6 zluc`A)W`G)hSwSYzZpuzraYT4*{yi84(n9^)yXE#m#%Y*{Yjc7y+&|(7ze-P4kI46 zl+AOR^sk(rCQ}eBKVgaOU4Gl|JMSvpl)tk5NK@;q+qnX@dkqgRes%ceA_eD<w^URv z9*LTG%kUuI?}iB{mUk_T)cW~l)&J9Xd40knv;=Q1aXoBXrPLAPb<kV7vfqN0v!Pk) zy!`t)-CD2aZ9FiaH(bYiYRdQNFOQl%SoAe;@r+Lfe;amwGWz<o)4h_X^>DCvqdtd| z63aQ!YsJ0mR{5#9M~d<NHd^#{%h|Wdy0>}E%mYp+-CGse`uuRVPotRhgUaMvAqFp| zr8^iK9Q<oEt<BYcW3tW_=8CC4O|C09)IXa&UrztR!Cea^9l4X%?tjFnSW;%E+mf_q z{gVbym9(}c7aQNqUXfW)a+>j=X;-mZ+Hp&Vq`p%w-#nI_zhovp)ze&|R{QU}U}bie zmY_cMi?{CUKAtO_pqC}qXwFpC@MV(y_KDMTg4-9qTg!FzYk9;H?|z1v@$M5-u1xoG zy*n*E`(uM3-=?T=Q~qtdvn4*;rcGH;x+?f@wzsGnThlqt9mkY*<?U4c?%}#1oonAl zw!D@ekvyktjSQ_&oy|_W`J98@ulqSCZ9W_j^>w<Blz`}@8IqL-PnO;6oLQP7fAH7h z(BtP$YHMod?-o1QmiA_Y+?^Md9%6nPpVRI#TS>jE`rH%qG|BP80ipAmA%*9e^>>^N z=DK(P5~J699_foNs~7dGU`^sO{w3J0^0Tge_P_s|8g_np$@=L34%>Jko_#MI1rG1= ze#7zh#Bv4=qZppdi)NGJwnTX7q=ty7226CzGV*aV?ft?UC-d@<-;%$(8e6MGf43}@ zT6Sqgvb^*1vgN<Cwcai34B_1-dw*Y$?aDmMM-KI@Oh0xlPd_c?GXI+8O(ARcRksdw zZawAI(EilEVQs;=1<R!pstznTxs|(QWp3?S*2he*6WW8_-|QB>!<l2nXCSUL;oyY& zr8n#@ZTza^{#ZqGx05cDwBf5&TRcxT)tYQuG=b@TdGf;|*0)Z~mH*;SYG2Eq7RUR5 zeUa=vJ>}5u4~~jEPu4I8?wIi`bn}w*ynt7>{U6I!*T2pB8S1HcBdnA0>E6ZLy%Tmt zu0IyhllwR`ctt*Y{}k!<M%zn{*qSm=+1BFR$n{o)&1w4k%@Qj5Uk$_)KYfsHk*-bM zcJLgBqhizi3yVvaY1c&*`Udiard^z_zE!G1Y|0JgH<`U2Kb~H2mepY7xE&#Nv^cUS zqk$z`uHwY;`nlTz*5}n;t5gzGZWh_I#^m^mgd@8Hqj!EcVAKt~S@w`~@hN_BuT67( zF8s=?F-$rdvU+B#XxYxSvz;7Y7{~A=Xn3u<85R6t|1POLr`5h5yV;hz$ynpHk?G2V zJDgvs%CBsCv1V7m?wz?eWf@!#uqo~EjB&43<hI`XC22*6w{CA=+J*e=<3eup5@VJ{ zIq7(aDa>w7&s+KBrsRER7jfqWs!3OGtPhZQ&--i5^P8LE&vq^Sojl9(BGY$+9&RPC zDUrYSoN0XeyKI%}`3|9$(}hMSXJm9_>i8^p5%aN2rn2~Nr@dP8p8JU}UQT)+88YVy zb0PEA$A4wET7*oQtUkxgws(6~<gYEwzZ&l6ns97e)VsL8wN!JZs9RT$p`@qek@$N@ z-e$9CY~OHUvO~=Db(hY~l!;K5-Yjmm!OP40yYdNn7sfvOmdNQVd=|Yqa<)#7>Bo`y zxO$roohO3ZzDWcGFG;ot{9kwY)UMcTUo6v0Q%WvwxXy08c1^$A9FC9Me%myzSyC9j z;g5=iVA-OhoD8P#=LB47?|s{Kv0`tty<Sg8!Mjy=oMZgBz8JmDU>EpzLC$9@$HHj` z>K;92$=sNpXZCsBatHsl4^*DA)x0f?>U|g{a?(*kJL}PvKJ9JJm0ZjpDpMwz-f%ph zyLqAL?W-v}wn`mmY~MYztlhLn_?K($|9tfo)4pi#x87+U8@rubw58zu)B5@AlO3LX zT5jfNbL9fF&x6ftu1L>*`tsJ+J@SjpR_p%%#q@!pj5pT#qrQfQ{DnVi#?P{zt1F(B z`gSAvS$F-HKi*;U*CqLS7EZqLHoNW2>3P;&y3Ffcx!&JwQ)T#QwBCk+YsTH3eit>~ z?FwCPWWF%tm_~uv!HzAvtWvmG)Rum~n|5V?2IIby>0f#>zMq|MaA5hKv$<>sd#<y; zR?gY8WS{uUh?~02wmVu%mTKG2@|yBJ@j^-XZRWrUyAAaX?-@%-c3ocC{GCI`ZjZY6 z^w$R8MD`~|?|bw3=)?KKYkq%a>9KP9%-C7*dG7J)eC?6frE@o)FzlbOqGN-|)mWC? zv(oZ;WlE(J<dZ*dzRlh-qndf<q+h9<CQV8yR_VC1JEyEa|3|`wKbdC=B~~1?ZVp~~ zS>nQVYgUtT$Kb1tSMI4x{o_ySusZncYS#Pqm;1S-J92C{v~g~AYL~Y4s&_k^u}Z;v z@sr*|ed>Hi?)QC6@z>t3k?oMUb{6B82TSj8&-4~=>uJcg-lPA-?bx=z|BTKBJ!-H& zB3H@gc1`(v*=dQ151ww`nHaO%*8i4rs>DV~*QzhkZzF@AmPN{%|GKZ*8=b;7i)BN0 z*36ko3MW`~Y_7d1TPCf-yTto!husPH<mr-YRu%Dok~!Z0CA?zSQO&v^%3pHsXn*@< zz!c0Avq`FnKibY>k2!OALSBCH>8m<*((Jr~4=>HWx-;C~M)G0H{XYf;(c-~(eS{VN z>K@mBz<+hW>ZC1tZ&U2%%c!yPsQ;Rw%dh{x(Z|Lih;8Ej6^{chuQNM3`SrZx_VyLK zgI*lEvM2rWOP$S10qmT%Hk+Q-+U(F)sl2uGhP+b#pAXYk>mKw_4v?1F^mwuHrLD_O z$i=+KS!Mt4(xbp0LBSx4TE~eW|CBzRcq6b@Y2M$?xgnj`@84Iw6xVGRsxhT!^YS;d zzVSbC@_Lri;=43l<bCz^T#p|4-j1VPhj#WvpIBLO`F{5zwP$s&yX0?`SvDole{zK< zfoI7f)r`;fcVt|dWIo8RWZLAKykY0%E7Nwb3M-s-{e11orc-P9x)P3@I=oy>`P4b- zsBQCS#LMnHwQ1$5b+fMOrL?MTxn>$rGSPJUv<znNJE{g}{>ZG1IcVf@VR!0kwo_u0 zqMl5j{jQYh13S~ft_Px3%a<JvT9z|gRKDKq^}C}@ok{!BZmfRiDRskV_RP}khBM{U zHhx=Be^SeAvQflk9*Ojd-(rtHemuPI^rrhU42SCLjaAof&x>Av?4W`1b+^vo$<^Ac z|L*Hu7ZbSc);&ApU8g5`+S?ba|B^rN5;2oErCaKA*u4K-0S`B4n#cZIyP8$W`mw*I zY;o~=zeqiPA^r@e3Dv$cX3yx_oo}0yIN_LXjjS|Z07t&gg_NWZ#rGx`Ms8Yj-m7M- zxtJx>rY~1`mOM7U+2VV2!h@1m^8&5s+5WcQ(y&VF?yfgwUitG<Iym;t;naOKEhttf z!Kv_$$9I3DcQe`lO}n;SUXAJF-mg1L=PqCC7tj^<YRfXKGOy|o$L)tMADY<lFp_y^ z=1-+bTGol}vZ3`}K}H$s&oWEHPOi>arE~3V!Jd2HovpmB1B?Eh+bOz{O?Z>2)|rcw zmA?2MuJyXaxNTy<tTaa5*^<xS)pj0tvYcA&W_`BVXXB}SrX~K*Ge6H3NS@>+FEIbS zL8Zr)WtJ^7J9$2_@yRubKDlnk6ym<}lz+0+t*6;_|GH|wmxQmL!?r}{{@mWZzuHe9 z*meBftfiZ__#HQ2X;UAmx@fg*z^PB|S?}|7EdqAPNV8o?nyD72SJq|z^R&qHM-5LZ z^Lu5qc23hgd&j8jp5c<T;QQ@7*OpxMKXY2(Sh<@m_tn(mw->ecwQ?UW?fUwO=jN#= zf*WoBY4dD$*W1Rw#jr}ujP<qnne#12o~>3=o}my~Xu4=snC0_jS|_sRv#RNL%dy*E z)0)4z$edrsAYuo<$(g(1=ccV)n)dE+Wx2EdY{h9It9Ge;Xp(nnl6dmiN@exSD~c1h zhkiSIGHd+|?+@2KKc*<v$Qu63>kRHZy(9Wu_|dTazC8~nnD>~hsCx5|y-&*Iy?^4L z>5I;X+w>?owio~J{JiDdksv#-Io8uJPn^H;ppfpq$zkoklMY)7EY0Gb`{3M*=_zsc zOZ|-&vfoJG(Xx95f4%1aOot?&zJ<5ndxgx7dnj=E*V|6tpi2<}8<PuLGepjYOz7SE zU10M0g*VqsY7_|93;XkKO76pw%$7rOOXsk6dhNaVW%q|yr@}L51_W{4E#yoq>R58O zD5<Y|^W*2~@)3=qo0KaX3zZ8$zv`L7_s8Kqr_+)r4~sWv=sldd{$bOU45pmduG4*s z_$!ufWh!kD-;lmz&aC}&T&DJFUlDduza~{tY7w}l?v#h|&-R_aH`}z7wd~KUm?5%k zW7DPo3cpL5-?zWj>kOUBBhdNDLF7A=Y`bT`rxhK=SKb87k7fGPeM0Hp1&+2-G0{Zb zt&-cLe&*O6WtqG4{?bi;miqd>W?ZRx_hWB$*Dn5XrAOcFRnRuGDKAxSy_~RQC%?1u z=3gwAImP}qe7k#R(%QF+8!xh*7yhn(Z}U?oPvhv_(H)1wU-TsR{k&`7eCd#w<DLcc ze>B}>S{)X!dy3w(EZ6ss?@wx1ZIy}(jJzzp)!@&;o`9X-JaguBtelkb`0Pu2#jmVw zO4HI`)tp`Hp2}G@<${W}O2+-!j!$nZEtp_G<7A;#sKbuy4@y7$_;&lg=kIw_Rb1pQ zKIf>M?;N;KkjE{0vNLCw{?sGfRxN+8pL)}?@%@d*At!$?7Wpgwyq~3N%Tl*&lZ1+o zej8Uh{Ie4%s5+w18nq#Oi+29~*}>0Dtr=u345seddE~nJ@zYz(TeCg$t*b6^+GMP4 zVm<a*@mpR0p~OwmNuKrf%%Y!9AFlnUx&3nfEgs=m#f9!S*350q*u=IjJl{R-m}6Yf zdb{}0u<LRz4c?a*I`TxG$*T(eoq6z|i2(b`nX;1S-I-TMe-O>PAb4h#rmyVNfc1gw zSI_);>bdO9wI78?cQ!v={AT~OC}vatvOjK<>zdhip31rDBG!4?N5fz{^IKj$=E!x| zH{>3lv-QwkyT%vu4)SDfS*<er4WFS;74Jm(0>L9J!BS_%_=H{W-e9<vVj8c0ZdugS z3mtnsm}lO<<GpXszO*&Pt^fEO4DBVZnpM8l@pa)kDR*td!UOBd?w)*Mw#33E%j3(s z^M{3&O`o=WU-63tpXDYT(iSs2ZgBpU^#X%}+n+MnHrZM%m}<G$hsX7`qM`>|`=o8t zYr?pcwY7U|mDjwQq3)N{&2yqj={qOK`x}!q7kQd^xZEo&NMn9kU(~B?+IPdSM=fF6 zl%{Q;#3uAU7LAjLmt&8Ay-#bK{!RNW)||D@jV3w^!cSG^WR@-J++%aY`chHS{CP8U zFFo3!XTOlgDKvcQD~HUvA9wy(off=d?j^OPxHx-z+qVhELKz#R!!vui3+fx|%LL^6 zdD)k2JCwCR&TNy~h1YTXdghhf0tpLKJWQK+w7v>{c5vN0u|w5I%RcPy?oev7|Gzr; zr}^z$D!c4n%<*Fp|NraW8_!Svd?#3TZRDLl{pKpA*BAOseJ`#n)waBCz<Q2xe`rVl zw(VVYvl}h9Z@sy$B2+z;dAZ9myKSa58c&X#e|b;t?7K3RLn}VcvC3`aQ><et`?l`u zo%3Iqmp}Wax24|YkK6spH5PVvRK6dRV&O=$ac+DO-J<?AcKNAAoniUDrnj!tZ}wkV zajw>Gmp~9(p9jN{*_Y>-?cVn<R?FtY_r(V3?-}1n3qLX4?LSGd^Ynx1{Rc%gChT}} z$8tx${f#c$w|e%!gtX09tQ48FJ8({l1=FPc54z?*Gv>Z$ZB+U6AWwEpMai$#X@-1v zlXEs+DsuVtMKj+k?Qv+`RI7uTf33Nb_8-h{nRwsG@Ag}bj2i|1v$uV`=lEg!4uz6m zxAwHobWBO;*m&zId(WZ((}Q_7rVIIawVv+!7<^G`X4bwpH4ELAXB{{tulRpQs<Om{ zljbv*@7qxr?O%L1uh0DD$(1+%NwpRTX(YW9Ty^Zz)kW6}GFTQC)=o=Q{Kq?)@fCMT zhr;1c&d<3&h^j8#zct{NrQW=kCm3S{*CY!*pH=o`pXj~1x#xS=XoXK-%)72tPkiy0 z{v}^O<>;JVznjUK**fO@8}8Jbvi?r`nI}@*{A+br_wC@=GkgB2P@e|=<hphD-iMpL zmCI81G+oWH^m&T3X8F~!#gc(nw>8g=owEL1en53Rm&KKwRj0Qz+J7uLFiFR@Vw)!0 z?a#k74pjczq^|GB*ty~s!`1nxi-L4EeaKnp&+Bif*;BbWI9p)H{j{#0Z$Y_=y~osr zUtWGK_O$EdDcO%_-|sm3`q0$t%>_YWP2rrox0FszRuokAe4yOFqqm@-WQkYq%~|5# zc5XUmqHs#i$Lit9RnKN<YIM)4`a7}5?C-qi`@hGW-`~}pdc&sT??VOV!@t}M&HSBW zWy^cbFFoz$=@2NolYPu3#KtjM<fO`69@m;xK8`8tmVAk>6Igfd(XwS<k4Ez(TwPbM zYv9QkHbJC|S516Qj~$cgaS5N*56$1bz2Tg<b_R3!_cD=EhKo<WAN;dUKIce6WtA=C z^2@?T&Ubl@1$aVsy!(<+z3jn*t%ZCuUK~#4R`q+}n)-2i)k>ywl98WE+Evc{E{HtS zp)+~;m#_9+Vv!%TXZYOT`hDhd6`p4{zr&msFFp0|p}-Am^_e@b_UuVAPz$gO)R51M zELb}wl~J)HwP$&Smdxk4{#VsXSqnZ&>~&aouZny3Z6{6VC_SG3-TC(>X;|<D<Q{q& zw0=Ra?-jo#+x+XdznxMN`#gXBArGbXt6WMVtk*CsKGt_Ky;AG>>tzqBdvYQj^cP9b z_*Y+*Hlt%=pP$BDbB$PsbBk=`-bJrZy|h4?@lRv``+}Zl;r$<3ZN<z#wNKvhf7buC zUv4OEKEN-&q?h-=*E>r5uNJ>t@AIHkEs^Jl@W+om#Zy*JJNC0=&(Tk=63v(I8!|FV z9Xb8~Z(rkk#>TI1dF)jRn@+A<$hh{nd@1j(O$UFsE@tB}S#6?Jd?Rqf!CflOWqp!f z>h?}9ZMgzBZp_Z$=APcRq~+u!2aQY>ljWU$7F!Sf-%y~Ezhteb=;?q}33rz!>F#u} zP}?29JA!xiVUO8yvy?63|4!VbV)=7bg_?{C*U9BvmoM6dxZN?9{IoQDqFU<Ad(#^) zC-h!*2$Sf3!}8w$`vbo2DZ*Ue>^q-5i)oBre0yd=G*>B0ApbJ<fa-bXtA$=#E>hXY z6e{zsWwU*Q{M;yg@hJA!|EgnHqoy7I+<je1LH8P)Lio>(&8u$LoS1WVuG5692}P5e zZ2FG=k||qgTdAd|%#ykIJzv^Bi<O61%l$(fPrg5GX6n1=v=+ld`I#R@E59qO`@?zv zM^YN|N(aufk0t(X&Q@P4QSIVdHTQMi=K9lmFO>FG-VSKo^2WI_t4YDzeclIoxhoNN z%(uK0mp)ZDTrNC;VNPl4le+#zHoY$|zHZ{vzZUOSUtsl&b0)`!*QwEe)+q<u8E*NW z{Jrn)_ajoX&soiBo_geR`mOh@N7GDgEV-AqJ$$|-y6moIMfc2R-VjHFgE}VbKQB^k z__4<_)XVbDyZ??}7aV;(D|anr;$w;BNx17QZJzsim)%;E9d`C-A56Q*6th&Sny={D z^z6{-1vk&NzBGEjq1ag8{Pd!>4HGM5(^j<ci~O8DFRg7$@&TTSE3cf=v$Z{UVfUQp zbuQmdZ(72ocGE8T*ZqvW4WU696Ta-Jndr`R-NGqoPlN7)Ma}uaOT*6O9cJ#jae1N1 z@n6oRMn*Fm`8y?24Fn&2eH8lQ-+fK}tq)?X;#(hBg{Iw?y8Y5zhrNi$C_MgcjN@+0 z7Znm;*R9y$w87E4sqW>DXr8bK`}iLD?frLj=ZDRKe>Jav@5`~?@$kNu!3-aP*$l0L zmz-b7hCdL9ef4DfMy_=iDvobC;Q8q4nwOFZVI_GMk0vZzn#CWy;acS4XI?e$^49(i zw$8G(jg|j>Zo`Jfx$kSg21%ETXB6G^sEqJ=-OyD3gM)pZUa3izf$J&$b%LM64;W5b z%F4fZe&GJLHkY?&^-Dg<DAvkp3+*^6KVd`3pBfkE4VQwRXvZcz%M|bt&1bQbyR&<B zneC+RrLXx8Ys9!6@6h|hmGn_Ec+s_0Ti3~d(wuhVe5(Bm8zooy6?ac*?C9j#eOZ^6 z*TVG3wfl)-kC{`d_Z|P|BvvIod+iZfTUQnqLEhw!MHg2mw4PqAqqAD8Yze<(&;B=I zcM`6M@`e@4zYlkOY90Q(SMlfD)U2=h;;dKG%oc6_)c9l0>OVK1<wx2r=2^6^DzT?h zvCRKDo66<w$24}|s@k!7)2A<j-cw|6{jXb;t6X6wSkAxe|J2UuM%M5DKRe#vw{$6Q z<5|{)=Vw2+OKH~D;F@D)+p+$`7X90$Z(<b=^s=1v`k(i1YT;E*ONrovj~}>L+D`d9 z)9ssyK?avcOT^Sqsz#?xVw;uqzG*ZmN-ro?uROZmgMIq#1@Anh4@Q2!@6yi3W@uWp z!R5@hzH~*G5G&4&%XcjZ^4s+GnT!K>IqUlQH@Tm>FP$;bcz0d*`x8fGODA0Ym|A<V z%D>ufvf_>Yw)a!EvnRjc5BCmEG@AQA+U4fP`|oef2;aEun(NK1$=iE0{g`K`ib;yj zbv`>)D1_<kjxT9apN#^h-EA}D`>-_6>C)y<Mou}-=`2U<RHCA^T5he;I>j{o>i##I zH{Q(DwZ6dk{>zr{{VyzfW;|c5xYIe#+4yC`Wf^nU__9kGD~;}@9=gBuU8MN5h_ngL zm*)NOeC=cHIiq3c6Ztz4AAeM=-tn-yoR=?DTPEUKSexc4rT3lN+4P%UPHA{p9d<5i zd7-YR6@TjKvyDwcZC7VrnC`xJ7qb$BK+xlb;aiq`{xJ1??X1d=7p`w^eAs;>Ii<ln zq40BZXwif^`wQ=*#b;c}o%kX1f^<z_quP0gw?69T*Z-b!t3S)D@62{~LjM2$)NQrv z<9oBk%Ia<`47_MwU8JU-$LG4nDE+|8z+|m&Y!R)Ft~bT4oNhd9WE9-6WrwziWKfX7 zb*qS(zum2Bjw?*-xtRZIj~3q}F7I>aVh*O6bfzj_ZHd}4r+${DPCiF6bN8wo-kpWF zl11}H-~DB`NL*Oh^hxR+f1QxXto60`?U$B)+B!|)_!r*!+n3z&pA;yw)FLnYze+)z z`<*zmkj)c)xmB$c51iClI=MlMLF3lt_N>2~W$dQU^E~!P%*nj8o}b5f`<%}L@wJl6 zk8k&6f5Wxgnl)h7ZHad-HxF&#&R|`;{)p~h_ZRXSJHA|Kej4Mt<77P-zhnS!+{ABY zyYCrIJy#aQx$^bWE1&+iZ+2^HPJhzE7&2A$@O3{0*U6E3bM=kG`13P+)IUBGNJ~|} zeD;}x#Kpg6+B=jh7<7JQupSNg++8~J?zXp6Ry%N+cg(e2_Cbs7ypB#L%de6%^Tk8I z-_(kI=8<vwY5H;<rb8ksOD3|dmJGJ(j;}af&3#LBQaRVk+skGwc%jbxFxDe+(oKP! zjjyws(peVvXBHml-e)Q7Ew!w-QS3`ZOkP&^O25N_v#k~f<n^!JyiV0w@6j<v^O;f7 z9qN-79QgC_wUB+x;__UZ|EHd4K7V3gF(rTUmnm~HRQ}eVT;A>PGUNH%PiNQuzpZ{- zrGfv$^UiqwN+#J`o1Kc6HmpDKetJ$!O@H0>9i9<x5j*b0n_W%&HE(m_%TNK6?6-Li zvv+LXrs#Ulf{{(>@nV+4g6U2loDIq@ZxBw3zx~TG(rLEA<etfu9Dib4&0-ex-a5YP zlY7lGjr;Zuy~34CjEwX3J72`UX?*2qGgD~8KRL5Ck6-8BjSt#AYmS_1?$I;cp%r^C z^Q_gFCt>j_R#^7Ro4-@uFS;{z=`n{#H}^a`5+NP(--nZR_tT3RCsVeZ)N|(V<&BG* zu~Ilt;t0c!ch)<u9%uDyNz1c+r&+&pvFiTI62-3{*UV=9{_K1Gb8q2m&R%=d4|<$m z{_EJ^-}`+IDGM%qbo~9ZRce_D)8amc_LohN_n22ZJ$U7H`AO<O-rR4<+VPCh?Ms}P z$GQDo7LVFie`Iqwx^9Dp>I}a}kAGzBaazqkf1AL;ldI;;7hc?$C8!|GclMPO<Nfnl z#&r!n6Bh5<F>BTe3pM`xZx%mX&9?E!VdJK<54R%koQdlZ*=lrHaHrRrIhzA~QrF*g zYSk|0(ooQoU*Z3|YE9;npG>Sz?oM-)+jufijsN^4afLZEk7Q*YOn!Z*w&=u!n>&^% zeMm_&=P%uVO>{O(T9t7H*P><bSv}qC4GosXahmwDnH3mM?YMN%g~dP9ck2$dcTJ3C z3@xvY==IK;8TsU$-5XY;+aH!s`+WNNq8Wex|D0}IkdyG_sqGY{8;^HLojbkUdjEB~ zm)0-zxEk!dPD||YbiWu;aA?)ivg|k8855^HPSQAhFoduD`sAe!A|KW-?z)#db^GdC z|F35Rl^5+an18@Y<BR&q&5JI!Wrnsd^lY#@@YYhpGkk)HXF<~Q%>}wI+zuyYoV%#Z z*|@yxm7VCW8Vz3)hdiB<GjSoT>4MV&c76z5zTP%<W8+$JTdVDfH7ga?R=U4<dP4WP zsDs}ZmPdAy%Ez95OT0CS$Mf2qC*Oom{b1qv;UuW@SXt(g0n3i;f1IweTIYQ-D=ja1 zUe0?mi&;{{E%+YKx!iyWhO<`+&fo34`^MJo5-iIubH5CHow7UkW0b-(wM;AZZ~Y}! zM(>R*%m2q6Z&@*6*841*OV!s*r?Dw4VUereVk1(ye$mHCp;zxbUie6+&-Wc~w_2#$ zN5zYB%yyj94rTq@x|zSOjAbU*7f}`cz8^(PojSQ>|4KQrZBf~*F?()2mx)ip|G8^J zulcgPEv>0a@;~IGwMF@Tt?RB$zxEbhmtr~EwyetTj-X6-)>F^42jZutc^7CF|E@n$ z%vbx+spLP`gow-v2_3zD)&QTq)q5|n>*jpnG;)2;b)z<_uG_1l_4r@*>c$o&54T<k zJEPVMIscD5)o%ayKcLTS%YB)d^-?kCN`th8Z+@;%b_hD5AIiP3-TTTXE2*@&>B|>b zzi$*xwcLMVQ)}3XTD7{<C2P)VsI62`G2Yks<(H-TRO4GF^Q0F1f8V9DeuA3t%*i$@ zg_Z|}^;|vKr2RMS(d&j=wtAsa&x328+66Vfv_37V#uVpNwy9W8ZckY!*TL}FKhwG1 zPPlz_n<`(r(oL`Lw{7Gm|F)hMa8@j+^W*gc(_3>FoS2|cuC@Gm5_kUT{Q|-@_KIJ> zOiZ!X*#2wk0U?RfWY5TH>y@gP){EPkuPryx{N^iv$TO;<DZuJ~=(9lo^QR{~y*{IT z;gjRF8gt*gjs9x4B4%6FPQi)&JPd40rOJ32we5Gv?wqR>CZ+y2OYz;hGWL=t)koR! zo6k*E^o_bEX0X>d>~N%8@A+eVOo^TccT`$k*1Mi0n*A$gAJ5U(G5)o>uZqty>{JZ= z`QMf|ys&*ityI{D3u|f*1$qBtiHkTL8uRc+(&wcPVr!&ku|~^qHk9uXOy%Eglb{yg zmGJ&xL)(kSONNggKW~uVYMazy(ky-B-H9*#70jGckBn-UEOzj}9z9cMRcc(ujhv;` z_c!^U_h{C9&-?QF>b#~-Ub%VG|7*sGUOxUq{O!NPY--C+sLnUBh}z-#Qb_x6RaW0I zskdCOne85}NOcYOYRC>Wtb1Xtc*xN|BQ`;!-sW1Wl8ajn!!EO}JK_#i+L_#XBOJTn z_QoyM4^HaquBc(NI+Zt}bs}#?lkwt1t!hk%!Zs~m5!Q8a?X9d6+M3_4nCtS^Z94w* zS*BXS9I>RwzaJ#7?+>xe+I)j^OX9XU%GPzMYkWN7h5NKss-iyH?^3-i=)TZMUMRC; zMo$ly<C&S^d(ui{^Vv9;MZT3?cG#Jn<x6j6A`ffAs?c+y7pvYqjGbF(uyOYu<?8*h z3uOu{yP2kaZ(E^e{L;MCIZq^}rzpr<?xxlr;msZ_=hn)m>nx0NXwh1~E9~3btGr6* z{!RB>+_62zPS<*xh|b>o>#vokZO$obEafjtIz3Uvv9o=8BG=L9kHx;sxox}T^2Wp3 zjHbbkXOI2KnijIBr^Tg|z0c&g_4?=!0UM;|rRBT)JRrmubnNuawQWoHdOe(;!y9<& z&%fV1yY|>B*z$zj_0x*qqwV$jME!~E$e-qhwFNO1G6B!I9lll_o?jB;zw$$d#^<@S zjrty|i{*5_*}#2Z!i!rbrA!%e6D6xSs(Me<2OrRUXyf#@!l3Y%tEX@d`+<NBT_Kqt zGiOX@)2~_Z+PbbOW8E+D6?FmJojZbaZ#N(PU!5WI#mrCo=a2n)c^17Z{=Ulkenj|a z?(5$h@64Av^6lXCKR=epCMySSoRJWGpjL}v{T%<wc_$91@K@9<W{zIdSwB^}%juh~ z(39m6dJ=5RimMs-Ot5t2)2f{JEM{@r9Lt>zQ5W8O9-hH9>ECa6m-%Pd-wHLh1^mBO z@t4ic=;TAbfV~TzEUoh9Fp*F1KUO2SM=E@|$nC`uvlPmf#T^h@t}w^u<<zOG7Js+% zE#AgmbM4V1Cz&aG+j1OhthU&m7FfDLYtGI~MTM(>Z9X2jZLVSC+T4C`<Kx$iJp=FM z&-kn6m+j_$=k%V{yj`kVS4)<~>N?djzu-!~o7#R?r87dQSH_}}TkT@AB7c8YoK)wh z9g8Mj+VitC?DiDJ+D)@Rcxv9AI+fRMcSzBVQsX^WT@;vJMrz-3kg$#m)~ZTVTQYSb z>)aa0wSIY-lN=w4SnfD>X>QBJdC#&$V&=K+nh-Z*j?c`?pDt_Y2__^xnQ8ia@9D{Z z!{=-^Yn$=Tv_Ug&lYwM&*DH<pzm9#r{VeOniGxo!9uB*c{P5A4c<y-nKE=*?(<79V z?(VKlZ!ywqH8|2V!65a~`rijO#;#b-JEJB!#5v7tx24|KMStJqXwCZ8@$PF_oYO1G zq9<CK4T?*oKgCXWlHSMZ<>gW<+`h}kkV$>Xlr2`qRtu-yT6VPiONNPg=H{$j4pMbz z3$91l%C!nd2LFhE7Wg_Rabe)iJ52{NUn~&*skp1ap)X)Y^Q+URW`uU!J9XFfzVIU9 z<%WTe@?|$3dB4-DJ+dRmqIS!sb4R=yf4yja&+&Bbp0@WFd^UuAO6ZlJ`Ei4JYJA!U zm4!by8%$F1Hh5|Bch0Q~Q}matn|6%b&5-qTMx>CA{1TnLhq}WyJv*9GWd8k*)thf# z`v0ugOk-zjjCVWZ;}#})*1Fl5Wjb3_>Ipu}FVp`pW))SO)0NP4-QppBKi4y;E;DFj zf|%O;kN00+xYxVPa$WKz-I@C*1v0T2{|Q_F^4M9YFY`3-%B-8!cAb?;D!zW91J76e zf|uVnn0lD8|6AzZtlf9}%Jm(3Z$5i0p0F+EJu9<V$^L?0U%Wzf1HZ<t3t#eE@<NMm z_|6;8b=_KCJ)Oqa>GG>n@{`YGj(@DSzvAPi+;8|uH9ZV^9qlamEPI)ZZkg5n1kL+z z9JZL&JYMO?eTCuHnpk&-pp9Xbn||~0Gw*$P(Lp)nbWY2=FVANFGANt+Izg8IRnRt3 zUf*d9TV5MT{%N^!EupC~(4f1B$1ht(d<p;TpWklrtDNNth%nkQb>s9p{d<SsrOw~+ z<#Ob6tM}b*u^$(Hn|)rmM!M(U?@7DVmx|Tbb1YiU9uYrF@%izo3i~&SPW|;Rl&i70 zZh!Qxt8)7^uFuG-O!uq0%Y3{gL}Y$SciY^iIZ`JMam@+)DjBuaq*~51!(zj(qu-K_ z8#jN5Etwtas_-{UZ;_AiyX-8L1u@sWcu%j~+-R3#?b9)@*&{%58K=qT_cuIto)=gn zxAo8D_v!98tS(xx)+GI#+SR!1p8J#*6{YKvcaC1Y(l6_FzSsPacGpplql}tMWw!5g z-M4v~^QRfo#_Km;YgAwO%>1&7=I-aOBg_Tbn;*GtI?6e%@7*u+Js0vgShk;Ok9%Rp z?P$9+MC_LDp`I>vn}(>=O#iB!f`CbU`gaV!Kb(4JhOob>^K?523)8@qlnQMF1)I9- zGydj_yM!7^&c2+}*J@g#lV%v~rc_?PrLq0RgWMzM=kP6gp0VxG1f?a6HFMl5CQU3@ z)4cPw(_*pbJiq=s8BUwC?9|Fa`OOE;x3KJDHd*~6YgyrHQQfoWmz6p15jvy%{^AoC zwoj9^Ctld~^0Kp~_d3=CjzKjqKKl9Y&0pVgV~gQO#>hPN(D}Vz(nGZ`{CZiyHfy?D z;YlqqUV&WRb9^OHVNz@FMJs10|1`J~=6yDl^`3`ftm;1ZL)AUvpLaZMFu!(f+s_Nz zGqkxjKD#2sq;7a}tKv(e&jP*`jw{bjXO{YM^W`%MQ#rOZV$;1;4%PYIa+n^Xbm;OH zFCp!iSNBvC7P*UmzSMaB(ZqKS=DM$<KO`~PEtuCUUY2ZBd}qq6=6{R4Pj7p($e5kS zF^yxvjkM1;C-j^%W<1|NMf<|>irz2smfM=nH`YH?dZR4sQslW}ZAM9@(3GH)KNNZ< zd?-<0-6ZdO^VVPgk4MXo+?l0m`6A%bf+9YxEIBR)d9k@Grr5Qu+<3$4)ApQ0$2R}X z>?=4}5-~+0rt)=ZBnR`f_**hXr<*qj{GQ3}S{b_ciGuyPIWKhlBC6tBOaJn&Xt8ma zsebl0lWzFT^%`xnzSy5V_k6(}?YHani{>AA@G6OWv(I_fvRz^dDZ)|9__i)|Y_SuV zFA}7d+9jHI{isCT2j!V7cWNDaCd&StUz=@K>F&Auk2D&0dpGQVe%|kzY3z@V3TCC< zm7DLIRoSd>T6BGpdQW`aThV#Vo5Fq=Kfb>AerB)1XQmTZN;b3HWjPr1r;6c-q^RQq zQ-}C3dt0s^xsucOgynli*8MP(IrEPlpQH4mCVb(~%R2-XHvPV<eZ<Fb>dD<z6$?|Z z$!KRy?|rjRqkUUa!|yGjJ0s(3AC&6&_}^UjdV`I*oAF{N%e@v25C3=@Y`-nvv3BN> zkG`wZj96EB6wi8)5F^I3>EoT!e+*~$e`wOtc;<02P0jb+m5X;~r=0NI{Nm%Tr~jC^ z#7chM39bx39zR>n&8|)Oz}b+ZyAzKzoh`a+DaFIE#963+iFJzkQ^8Efqvfdqhu^Mz zt?$Q_xGyhs&ney+de5KC-tqYRWLAbed5u3Esy&CoPJUfKzi;MaGfm~bH#0?_1bA{s zwBKfT$l}*IuHlj)k;Syjr(&w<{n*O>TZ=nHYF#8&*oB`DF^oyy*fQ^Y^4dG)Y>Y~c z{6G1o@z2}&<9@W!&!RfrNy!OGnKoHJ-j+<C$+mA@REjCDdhFES3&X{Wb}BSAojaV( zr&;(trgeE>+CBHPo4=I(UYDQBZe(GgYoB=St=h?JaX)s3oiCsKKi*B_?iMkt(m9T+ z1!ZN#cW7F0|CjE55&6`9v+u-;tS$3h8?@DypIOz$@Fz0(O#kwa-?kf=yk~y!Yv-qW zjuq_RndfiR6yL@nuyd~PbH)ZKHFwjmdDQ{l%q$`dAm9?48nw>mVEB@A3=AO5%fQKy zSelZVA5fH^te2XS78=6Jz}%p9B3)yv{@(=_#{3Lt{xEo*`J-rXpsQ;I?*ui6s}~uy zcB{^JX#S?qvEr4nH)oymZG|N*9!e8uPyW0-Ku3q8Ng?Mpo8DHY?~ETA`CA?|Bp!5Z z-nh(*rMuZP!{)&Om!$_-os$+ttl;2RkT99i-rwk2DeSo^clLY6RHct<lh~FtemT(K z`p9;MhlbGlNrjFR0#>RmV;AOrI!kZz)?e2u&PN2ZrYH*8ayX|vv`mO7IFJ%^tt4@y z9?$7&hnY4TbOe>y4P4};B$Ot4IJ@&nNicYFi`gi#_Org&_}~D;qYoW2n_T&%Bo5?6 z?myqo#?8ljOz~5O!NlXvEsB#ESWIWMwWJD332HPrnK<w+V@y)x_DtO*<I{FzlS-ej z!z3AxDhGuQMwcoV|C|f$Y}~xu3NIK~`Po=`CZ2F^X65IVQkxv=YcYfE*(M!vzP6ca zLR{Iqw5B#E%E>5k^9!`S4hmei@{r36Ee<|4#x4&5whpGWT_<)dV)JiV7_h9fIZ<oc zt5sT}N55=P<K*t?YCgGQLx7HGmQgHUTcQNZbWW$zP_e0{A6Id9o!p=@&1GwYLgP$@ zkm*Ne>FM+(ZCuxtdWm;dXyAsEyZ1cG3_7^M!$;WK(UGH}$Wf3*?#n8ztJbMaO#%%p z$4V=INUc!X!#<_+P)cB|$jKDVHJezbxiocaGqx%*K8`)j!ZqcTBLmmZ6{{x|2JQ$k z&~Z;>>@J<i$;-j05um2g+F9yZ5UO&O<(R4Jj5Xasfhs$8h)75+U_Zjp+O^Us@`ZT7 z4j(ZwuZ0IgLbP7*vXE%eaZzexJZTzfA)sP1i_@!B@u0)u6c3YGw(}}0Bo0`JG^}Kp zd**zK2Ty{U8jIAjq(nWh){iDLRvSe|M5uB0@+9=<Tg+%nbz)j*wcucc#~QBg(u*f* zX8N>E3{3e^@$8UOk)@B=)u#y(EK^_Z($a2fb}Tr!L*@F>Muo;A#f>vI=m;7uW1qr# zXv>5K9;0-vX|Ixe1vFN5o;+y4p(d8yxOCQm2?iopk38Y%=(v08?B2a+c}=hInqJjO ze!qR&GB4BccYS4>H_x0YIDKw#?po83BiG6TcmEIOJ)L{D(V)%4H2m4IvyCo&k1Yh) zKRy0`zfdM6XZymw5X-JbD@@Z?MIPIf8oes>>@%B-k8Svrl+@Bh64Oo}lXjHh&|Ak` zsIZFP>i2?XJ}Vi+<Q~JcXR*^}ufFxy#@{}q_^G_0p!F+_E~T19&jWjvj=%hW{>bCG zuk(5hpT%CaFJ62%RYx%>^y_~JsDHjII=QDZ{OkEMZ&F?>t=hTi)ylO0pPM69J-s|< zuT%LN7d~kYMDyN%|36pS+}ru?nnd!w(7(pU@z$HNT~;OTjIZdAy}I9Wd;XvAht|EC z|MK2CZMD~y;s4}U-F$Dww^(Z0>Q@G<GXF|mePcX1D{e1GOT~|Cs&)$(UPv&~@iwYl zU-jeOk(5Yb*QHfI8jLFMg*K|pS~EkZ?fn%)qg5|ix=t<%@DXb@^3_n&jt@P+^u^%v z5~ZDb(^nhCik?2wC=k)<!N>bdQQu`>$G2~Hzke|7;ArV+$S~;N+kUMic2$?>E)A_$ zpH$e(zt;$^_$imQy?FH*H8ro<Gc<Y~A9Opo)(Q!~584<b-o~=8qpahbc;(dBNe{a{ zS`T~=((h?g->Jf1BUshJ*8Kiu{Lz%XtAqBosqf7b=w}mN_3QutSo346{XHkCprM!h zUmvUZI`jVDy3o-7_Qt~UtNlYSgje2w9XkK^ouE_6OBkyjtlrahRsG4Tkbb9$E&?S7 znyx-?PO-93Sq0MBS$<byy`6lR&$KTI0Sg#DbDnaM>oN6=@Db~CH;fbxUb;!=L4uGJ z3s1xKrAaew7?yR_$rom?4fNH{UNd)Rrih`j`BJHAw`b+u-gaw?tDsU^Ht(yp1$iw| zX2%Qriet9r^tk>lka_aZB-uw$Ikj)fOjYl)v}dkvE_Y(Lt=at|BGOXO*y^fIa!>WL zSz&2uajPPaO_FpnU3*4gRpL%B)3vMK%z2!0?<l)+$cuh~(D}<&&6@q&h1WDFBxF_O zv9gOV)7+O|ytrgmnCq%cU*EHLePaAvj{GlFIM3YZ(*N21?%g-X+iu*LKl6{Ay8_GA z4R=;Mf8W;Q$vyL2>Goq1pFv3|raZgH@cpWPx9$ZhyY*i_x9Z>AnKxIYNf!I<zSyW> z7nt4Sx`&U&yy=Zzknifx7UvHyYB#Lk9(XqH>O!e$zqe~FlA6YyIDy$RfW<OobB}7) zBDcUJcVEdIsg&4V-2d2uPbql$xx#tRE9dRD_I+Nk^4Y0#md{-#a6GaJd}6~_oVU1D zf#Z-y*PX{UeUEMYz6bH1&dn`nUfr(1(WDUOm$S$E`|X>?=BD%Qy?#84{rf*XE!p|l zjdw}ed-8T~-g<1;+xO=r%Bz)(mCf^R|J~lUd#+??Cd+iI$_b)$n*dQ^+kd#28; zESLVVd)BI1E4!W>8*g$;ON+aE_x-E9ckkY<TC{4}GOho6k9_}UZd3lXYn9&I*Cn^^ zZu|eA=f`<azTN-t|NsBzc9z{;8=c&1n0Ds$?p>>{+s~gp<GjyuzPZ`g-pnapd$xBb z7|dU}^5>c7#>U{JdcF7KD`Vr!Z>_H1xw~$y;jwAuTQ^%CtA3gq_dV7%^sn(<S?lNT zu345ZKeu-G;nLSvEW?(c+gWnXa`~F7?d9b!uVn5j@w+?s^}BcPuC2Mh%k=e|)U&g8 zPn})8d;i|GcUP{xd-v|$-n&-2WsBEs-JQGb*fhgu$8w{8Z_8b~_1dj>S*!N_&sk%7 z=2+C>V-lcZD=qEb-Me>UQm<xbt%88K;6TyOGdepvIyyRL&gcaB^}qG=nDX-MwT8ze zj6c6yx9ZjZ^fbGu?Z>2&&&-%!UK+Z--fr^2!{MC`83t3s4I^)@y7TWpV~^Ad7QZ;7 z<5zk*K<yYtVMzmt=0X#{%R5S6Fp4zriwSY^adQc9@Njc*bFi`q@^W&r@NsYpwmUO6 zI%x2*IUOv}PVIQgC?mdnv#IB*<<DHbKl~E=zf<S^U-9f!hn*kaa%U;&|6*n0mFIL* znzQTSw%MLe+vduOhxNMe|8!UNr6kKj6|H$@j4|!TXP>U#dMepOV*8I4v*!BeDRE5F zH}ZM8Q*NA}yIB6!>Pg0?ENnYE-REY#pP!zRy5cZrgm~=&o=H3_I@j_k&)BkXYjksi zjzzZKlrXpVc|Gag>-o)00^S^Y>=?Z5$C-d7jG}c%|Ad_3Joxos*7~jTo0p~jQ)#mf zkYb<7VL#bFJ6ZXUPL8<llD4_8-)*l7$lWL1@_X})P_<2Kst)@Lv3$SV^;qbnVDVkc z<|mJRb}EF;PP%rgHf6cn&G*gBHxkvKEl89+X~wA8z3*RkV8*Qu;oB!JX18w9X^qWS z=jXk2;r&dx|H%geeuU(-8Hb!VP-LuaTzVs}RXNt=QtTnI9WL!L&wf3+*KN2dKjP>? zx5X)5kFx^U?h0+)wCilm?9(zU<y7mB8+RvcdAqJvuxVT2VGe(pvY_vub-OLsvt+Gq z>9X6eA8b7D*5BI8ej>H?HCJj971>hvfB48za6E$F>hJpE+3HPEQtnRN$637(dR*BZ zCBG$M`P$~m?^}3xeVg&QxjR+=R%iOZ$@8Yz+t)pP@-Sl3&6!8#h2G}tcg*@Q@3Exw zHpj`S`z1viH^+P0+l3vPD>EVSz#fS`4@_2cB~FP8o7QiysyO?cit%2{?%KD@vi6CU z3s1F>uQW(gE=$xA5D0O(a`&Kxh5PwEY0QF;Pb-{vEWZ9^+MXb7S+1==TO!TGJ40E_ zEBK{WGD|z&z4>t6@4SQJwpMKY{B0Uq|M~BK3)q&vt7ECXs&6{uY>CEFZvQpgg=%;W z!Z$=RmPARb{JFp7^v$-Oz5KWLDepa8@w4_)L(#r7TNGkWa6S7YTysRSZ3_3~tVGq_ z*O<g5r>_yaYoVF1)b_3CwC1dT8!tTE|0e%dcgyps_By5C5~khh%AfmDT*fEky@`G4 zA&(OYauTO|8~@l^U32pByp?JE;fz~{*~x`&*@BNmFABc-HK$VYr@!&MzQmqrk^M{F zHK*KNRag5ro&DH6_T_&BYCd>9cvEvwN#f}|wS?bORTXXsM?`#93z`+enDXIOMzXF* ztL4g-Eu~Sr#ILey+z|=&<NmSNlRqMG`{Z@UU$3$G<ax~V`ODoVQN0ph=0;?$GVm3w z^4)SRTSj8`j2HY%Cp@mHHM_BQ{eeIG;_Hv|Jvc1QV%D2*GyB70j?YQFlZ}$3M3-&u zQB~D2{G=tRzC`5&%b&mlKk{F#Z!2_9w2S-tV9&RU^9`;zR_#<>n=H{e?}GY9wf1{X ziRazLPM>|Mv`I&OI`>4^ojZGila~fv{TRmO_S*chr_bYUp2Z8}McIR%>1fPXDc$>{ ziDT)Jlf3J7SIx;^_~eoNRMyo;*H5)}`Znv|gybuWeyH{4MLj8qf1}#}H@-xF&fO2! z_ia=)p76c)$7?Hl8RIDJ4N}!7WsfKw$$T`Kzh1@ePpVa+h%9s0gtq0{op-tgZfjol zIV9P3E9&Khdq1<E@mwyoeP!Cws3{RB_kZ@IGulEA5B<HlB-E07<LPN%WyM2ZJ*nw$ z%zL&xS$f{Lxu3gY1RRPca(-@LdH(ayYM%H9dAIMKi&^c*xXH>bs(4dwv2((ly)vaa z2iq>*o0TuTfBMF3YyJ4Z!0D&|*sM^D+9JMb-n^NWMd!~y+H~sPk(+(DmxLF^EOAk= zNy?oR@+(KZBy4N$#_wiDZ;kH@aI|{wpS|6^eg9<M=$QSdHwY?93p0q`3}ETC%F*iO z&2&H3*r+esc#kpk?&=r+>`vwid*$8O)wtVe>SNyD8Ru;_I=eUIre8kz`NsS1e{Jc` zx_#%wHrq_I6=1*c^5l)0zyG#XdYn<HIV#g?YBc}E8E0EN-R?<`Y^^Tp9+p~F_(k!Z zl1#+EY0SnJ(=Rw5HD%v+X|~D}j?eznSATi`?e7UkMV=$-M+9}JiJs&zd9j9<W2U+` z`&90Sulv1kNeFZZ%sP6wC~wNr2C16N!at^m*f+0P_9@Mx;=?qrCw!_LD>pQ7u0Hfk zmr-ox!%C;y?Gt+pSQ#z(=D)i4LZOlShV|8aj~OviH&P~c6}&j%`Xt7M=g$we6qd?U z1};ZcGo-6`E!)xg-s-nXns3AP12ZZmKYJ}mx-HOql|@)a?cmR|1rs0K<ovkmkWlDi z-ET>)n{V*OuJN)p{&ZrK{PrVm_dggvkb9|?*t2#<K}n|Q12xHWzK4u1m@RX?<FmJ5 zszRM}nC_idQU(eSpRHJ`d%$JG=4{b<OFx#+-Y;vJaW!j$hQ<qCk5ko$xD9uNaxgoz zEq%{7U3BG~)q)!vcXwpWz9E#gr&a76cX!$fp&%*MGg)($a=SF`@{eqonfveDg6_qe zkL@W>@H+kYxJbm4+4j4mmD$d$dAi}GxVGIT&RZv<TEwe3bAQeD>18tBzHaFgmmdqK zUs$&N<`)Zt;@44Lel7Bs&u^KTyR+_7xm*2#wGE;-EvGtfFH<;jaOqD@@mG`eA3msB zma~no$oE;!#+koOK9t`q+PF_t!gN`PR#xbXhV+Hjdh;x2u1%d_&tQHb)^g=E*<zIw zDigLO_Bb|sih5jnwdlxQYuo&teFmL*Q<g7XzG#b)`QfzL{bpSM+DZ)fx;|-5+t9_j zGFE2S^%u%l-nU0JaKvXylq}4OW9*B5aLdJV-hu_v0uQH0HE{3c$USiIYnoGUR-oXA zmELiVeZjFTF7H}-8Rv(X|9w?d!818Iy=^~R<bsmRc6U6_E9x=v<$Rj=cV7OZI~rQz zvs2YSziVzfyDeDDXr{>YP;>3qZZnR{&Wy@Vy7A}V;_q)~%&^FQ`)7u#@Sn8vw*`gs z&F>|(?h9hty6y3c<G&3q*GjGGY`yO^;r;o<#W$p9N1roMJF)G<%uh`Li{c%PW}lXD z@qK!aIpe0kmeCo;;~!YhZAj;Gdlu5G<a0SF=kGl~Nx8RI-|scos0^Ltdu#R7biVts zrz8&Qb!FWzns;u-R+V(ilNv!q6Q-V7s=A!R*(^E4?wXOd)wi5S4yKbz{>Z*&_<fE= zvii7{<DT8tlJn=z5RsRh&7^wzcA2LAj*Vr^Ws7Y$ty1ScFBo&8@ubolgUD(BzRvdR zX4}+y<m<b$)%_O^%B!u^2%Y8<UsZXf&Nu7uk-ExdM|XbI<J{ev={#k2sM`9qYSj&# z9~tL52*#dSCt5mZUxCnb<5@RmgmIRh*e%|)C!$yK^gTo8cAqPg{`%kipu1bjxUjhB z_axO<Q@x!&W`BEeuPS58-^lpd;5&OWEnHI+JT}e0ewmraTH@^G-B(rIJZ0OLK6&#g zQE5v1y+q$5J2G6NWVYP0b3Qfmt5b94?}bSo_0=w7kzBWaYR4+SP<-xF=C+`8cEM+N zh5h<^Ar-Y;e`e^veZ*F(`#gf}clk5rRQ|5i6<<Ouf4nlA;CM*#!mE_9?yqJNo9we1 zceVSUVfe#z%YN;rw%aZpLC<*hCA+ms9?5ifGqKE$_|Fh}@{8x2o$-GvmA>s-yIzvX zCgz_+Pa#v<i|j~`?=^GIR89&?HQ3>v7V`V-C-I(X8k>{-jIVB7Kkdx(!tAz(lh+n_ z^XMD6cM2u#_#G6dZCSzh?pnmlgnqMAO^dbq8B~-l-8GAXq|Q9ZR^O`Lb3k7Bm!!pV zv6MQ&5{1MI8>_rCxBg#r+PO6H($zO#ZT@dqTXkWUR@AEL`ENoc61Xq7Zq@&lqms4v zxr0H*_w-51jh8xuGcB}(mdub0Oq6Lo@}%X%`$oTrzf0F1s<iEN;7Bmuf6Hdexoc7V zeqXm7-ErZ^wl==+RTthLpD=~@O=$1bud}jEzLgnHytFB*_t3sq>&~8B%o6>yU87{} zhhOnku8tPXN8R}5+KT<L(c-;wSZ0aA#pC<;2Lx~U8(26gOh7g$X2EiSgrNO%+sv6? zsL6gQFFLSjMfa1bC!-dI?7JVd*5Odu#pgF3ZP=yp!6&c#Mcs=Gy?oZTcYby&oPKuX zzV1G^M|$-~GcH5v)mMtQF|+)0E8g?>`2W(F-S%EJKA){B_pNohZ()4kaJb)X?Sx%M zS*-tKBrdftOZamj|GlNc$uswbcNnbkU7LPTwo+MTM^WH`72lrgd^yX=aK>)R<u7Ts zOhT-<m#~?0AFaq@d49lRlIcg^%Wn@hA5<z8Da)wc@$#Hev&_tOMT{Kk6MaObtd42U zOA*}@a<D1;a@lDE_ov5}-2M7&ivP=aL3xhHE??HiSBO5i@~qM`K=q4R<$K1g#jXbn z1&yv{9dKuGnD=5!Z;kUBtq1Xv%|?qh{@@A|N_?&6vv+aY1-o`-OS^*I4Hc(v&-$(_ zSu417&Vfz7&cTx_n55YlH@pgts&3hEa(l?e#s`aE<SICDR>aF*+SV_~lhFUAwE66; zW8ND3r(BHvTK8&QTgHVP9kJ-NGv`7lJrpjDZ_038bF_Jh{qH*Ed(V`7=1zbA;q9A1 zrl%W@Hl44~&Aqa2HPa%4pRS8%A9~@WAjtMQuqN!x7FMB(l7<EA+J!z&=-<AimF+-& z*@Dc9>+x-_5sWJ|gmk0N{xZq7lsnqfbD(kEgR?t3Rc=jM>?xIcq*rX=S^mA}k4zQl zE6}(s%`jiL$7QB*zv^lmOP~MYmm5{)&wd~<)sE$JRjWeX3#L6$<r@5nB6}T=I{Aoi z3_bdb?_lAZ4UZ!_TvOTh@>FfLjO2^kw%=;8Y4GXEaua*z@iuz8==PqGFyC7ulz-Pg zZ*LCY?XE*fzj8{O8U5zgXz!1`^!sjXoM_z6iYdOu^Ct!hhiPY5a4z{-RJ)0%ZW{CY zEoZh&6JEE?=litTThCjhoav4_@?I}%&DSUEjSpNc+41e0_WYfP4zKonxNCF#-)k1h z0%4Czi#HrwbN-)|bj<R{rRn=Wy)l(5u@zkRH+EUIw(-8A^E#;?r+VtE^~U{k<~!7~ zeosxBuLyI^*;T*u>+1Gz+?@FJaaw7XsY7$o)1VVymmVn2nlZsbZbj-VB`v<58`Y<H zLf#!eYCEUyl4Dmz{gG=s=dsVN<Mr>@!FS~uZ_6d-Suelr{o|Ya#q@68<k@%Xqz}yS zl1vRqn!KIG@1Ep?RvBK)EnW$hY)coUZj(HFNZ&8LNV|Zq@9jbBEiMy<E;MEAQMvYR zg}YWwc!zj9V}R}9k3Lf^<^O4De}3@F?sRGz-+_N~Un^APsLQpSc0GRF-PufePxAbj zFZR;WdzAGyu=sQ`oMARi-I6)SJ*HU7;l8AkV@uY#YT+YO=ZMcfa{a&IidPB~6jn}X z>*RfTUjEku&x;@5UpXR`u~X#O5tn^?%p;Rn-#(PTB=MksEBmKCR>kV84(4UubDqCY zc<Y4;v2l}&Ht+aV`rhAn&f>Q_EpK`7`7EpWFDz4bhbdg6;jX6mlF0kjxyS0?>J|R_ za`(oMAJV<e@3rLi*yr9!cqCGPDM$L$YjOVrE}tzM{5npHA2z+TY_T%Ohh_d&0c%dp zo%-O0r$LRySHA3`S)1Oow0>L5b)f$Hp|^EP*&i5}&OQ4sD}PVnnuzqx-(Gz$%b)zN z=E{?MS+kly3EUDC5mEO(>2CCn!QSn>n8ftEZ;R{;MQQ>HE;RQyarkYKH#t9jse#`m z?rW#SBo3rcp1+X0BS-#%(}VS_dd8c7|9hXfO~qsl7i;Om;4kkJKG**)kFeysY<$dL zY5x5C)xPP>pUU>UOJ=<G^zox#I~czFRIY5AqfoGDhFw6xm%P8)*Qb0CxOSvq+M<M$ zmYNxJ6CO5{8#ey*kY+u@xKyF_V#g9yHwOvbuC>Y^f+auS4B93z?aF6ShV#o0Z3{j) zci)}deYS7PdLHs;ezbnR`BYUxt=oL{i_*qhRe#J`X*M;dF*e`ej)_mxhHV$mE+|pB z_iyoo-M$R+f0;6t3)zSB1qS-OV^w8d?Z?6P?Oxfp*)?s)cg@^8FYw(=jv}|!=~JKm zd2;UNha9UpUw6BHnAlLZpRs*{L)i~^Yq6vz!zKR;71DmXK31C66P*3n=F}^Tmo;<d zMky|zb)xdVmSyJLIlhsa%g>#vTzR!9sM_*(q~Y>wXFQ|lZZ7>Dy7t4G%%f7**RDCx zWqxhp!CTDHzt4H?h>E>YRjs^seVl4b#Kwrb6HA}3Wm)}|H+uIyuRU9KO(;Dd-MvlY zzCm-Z^xp{`Y5HIDD-Ld1o1#{#9Q?befaR^V*_W?B&Uk+joUuK^HtOD=H@lQOdTLKU zfBR-ru|-Y#Z%g@S*Y?;PdArf!$=X?&TrT-W%N)8jn7`j!F!kfYpc%%mnnhgH!v6%O zu3?v4;3IQ4YQya%a^5o>9lLfKb>vF<9m`)VQ@*mLSmI4rO6TSjp_?jq&U{x}e&)fA zlpQnY+b*AQFn8jPnen$}j1Ff`e3@4BTgFLkdaRInvFX!e^0^x>i5t%RIG0!LK6h%# zhv_{YsY!YJS0&G%Juhh4pF1T@R!`qAQ(GOh`u_1<2Q<}!nPz(|*WG;fWy8xen&-Bh zeVG_%UVGB-z!RUZoGZPfv{H?~syl=p3eudpay~<-r}xq=pYObhebP{vFgs<7N)>Bc ziTI>HqL0JN;vI!T8e`w(3OZUav~2kkrhQFrlA&U~;HkNfZY=A2edL+!<pt6kFPvIn zn(3N+=t$%Q?cH3v82+A_w&2UM-=0bB%z~v0cEqNd22|*;^Wj$66B^6Zdi#--mBPc= ztD*rGy6fgM3e8)k*Kp|aqhCMeAFh1$L%m7wjaqZWN((j7=a&l4tY~hHK4BZbWtnHY zy3=pP8Jk)&SDn=jHSnEQRU?uadiinM^~RqL*-KV)PdLC7-0vZ3bM;_gZV!iw@|X9u zhfel5${2JSGlxEV%d8fkcEb2d=ys<+M@}DDU*c=EFfkxQx0y4!Yxcx{MvZq0f}imo z`(MDPD>!4{@t_$k7Zm&y{$E<}k#)sAXp)ZP<wH}IgTC$K-+C=lSVuT(Z(m3mdkfc+ zqboNbmyY|MXvEcV_m_KFVPkjvu3rA6=A?~_`*ynB?H8Gnf4lUMfcfMn0__?m2R5C# z$9z^foo`A051!5IkE*V>%x$ulIcsF!E7j^P=i8{!c2LB4aa(4!>Se#MH(O<{%{l(P zq;G%T`8n^sUp=3odF8>EF8$9Z1&%U2ncFpA^`P0(CtJ1L7S2&GvVD-gZQc1)?X^i; zls<dUzO~Ts;hjak9^F|?^Lul5tH|r$oNbh^_IKq3-uG?o_EY&c#F=V^J9J0a`z&eZ zX%j0_ikjJ@)VEKK$DsJXu5#Y{@(#`liK%tP9zrD@FTb7mbz9f_Z)2DML;BnA9UctZ z))#&HxZ~pq2kkSZ@3#gQ#H^cMI6J|wmiND@R7}J<Lm$S)moE3EZm}>bytu2{#p>CD zCfx%kU%j2OE%fJI$xHuv_iSnF3Rt%9<Gk=DiH^XhVKyNQ!mq0@RCEh%>bZ78bLQ&p zYL9k0ol<=ensLls@b5+D1IN~VQC+I3rWwHV>gq%W83s1~L%|CKLoBVCcbS?vzB|#U z^C;hILH_i{pB{7WDhaVX*m-$}{zvYMhAmU4$r|sS{Uu(a!R)}Fjz`*ZOClVe7hKtQ z%vsKQ=l+z6nOoZ)JPWd~JoP6$N_tgfz<)LIlMezq^c=pFJ-w?s<HP!yp_gQfD!M&b z#IzDiesV-HC0;Le^Kg&*ksy1hs84~%*Jag=cDvvgD@>d-i`Q0LPYakNC^377dm?Kv z*NKU>y-a4ySzb(dA=e)4q^nmp-{s=ZmV0I?cTGK*Q;J;AM9UOboC{rLarA~+dXC4J zJM{^>*w5A6FrB+!@vpL3pzSk_75|s5tmnSPy|ZU$oq+7_(*{qCF2}~zl-dbZ_Lgm* zxb^x@e*G}#Lw<eX3O`PH?C5rGS(4?Z{jip!nW0NiL-ghE&NjI<FV1HAcrFgT^1@PW z*=OZo&-%*!i3dCCb~$iww39q1{WW;fomm{-uh`EhF?!8;BdTGLnYEC)XSIMHm-r8E zj=dd|-}MMu=Vb{AT&ecf4EEwJJ1)qz%A$=?m(hZ;gR#6)N&Qg5=iL3rtFOu$8ca}` zb#ZCv->dnH|7N{jGPi+&xr>>5(_{bLce83IO?Ti}@$f*BV@bKm=CG;%@0V?jTD@cv z%P#9#SC^jppT9e5?Z+pF9$L$A_Az(2KCbz{+y3UdxASzXx2xRTZKAs8|K0gp?#>Iz z%G|Ecz_s`GBo$AK4G|aiT#5Wxe%?uFqGo#ObTt)~={f=0+W+_Nh^ouoy>6p+ZpFm# ztLs;p-;d1lt=ElAF%panUA_L=`OnXd^RI2285XrVbo<}0H<V6Z`Veu+rOjt+RcZeH zc_o)lSHHWt&-3>4FyHs9GG;BwTs_zC%ckhuuYY7`rbzGKdp&yg#J^Vq7ZyuLE&y4) zY|hnKGpSy;#TPS7d@NV*c6>gk_?#t}Xz$ZZFAYBTN-tB8yUmS}OQdeM>g^8)9B<D4 zw?B8g?#Wh8PR>qFVId)-Eo<*?E4{rfH@nAh>6D`K^t7<hum885-DdiPA!WhiF2iFt zrUrT4ynFZbnKSEGeTu)Gc3Y~b;n0H_6DCYZVf=q0Z~dATJ}0+qdG+^HTCB;+0LA>e z+j5ca%NH+}3V&-if9mp|){?>R{-6D}Bq@BUjA-`lPbZeoD?U|rTk7Pz;;M5mEtfx^ zgPS2^^<3t1UU!(zvM0XTt5@wl|8!b(^6%4k-^H!2nzjD2WN=;C{a<T>&VBo<JIST| z*?ryhr7Aa5!q!^V-!|3lH%aqZ8T76^ZFAPu`rXS?756s??BHb=>t<9D7Ft{D9Nen6 zN9)^`$lK>jprQ7BPVtKwMPcSo*S!10!l5R@H@VBxmBB@cMSzb-Lrs)XKu|HkVB&&= zgdo96jRcJj4;lXBPKz7bTN*f6gc<{K&OBcFgD>gYs(a=$bBunwlxw=WDToNyuJ%=$ zl+%As=BmQNqCm+dlMZRL9!$6~@tv4b)0Ci7mi?}x@sX^JH#C_R-cV&{apEg-$Z`Cn zk#O*!m(9l+9zGruQW!m@3KlpxI61HcrJ65zU{Kg#k?@Ei@Zy7ohj`h=Cb9DJsPRqi zI{vt<p(8-&)Q&0<4=J0F0LkEEBJoUHQxYN!W*qvZa=m4r>eqeum)%%9?bsB}EjtZE zUIkT@hHpL_vwqQb``S&>^F#Kl#!pK5@bk7AC<NxP8m%|o^<sN;{7H{VDxTM`_Jltz z^S<Py?C5K`diR@@LsK^II_>t@QD~xW<mJBBgDXxlvrlH{>(P9AZq2Lh(Vz6q{*^l@ z2y9mD&r(#eo>}oC<x!)`#2bbOniwV?7j$TRVk5)HR%-Oop;pso!vu*54LlwR4o$}o z&){fz<j&$$xcfoW_Qr<}+-nZ5c^8zjF!yGV;lvd=KF6kLJza6)`s+#W&aC-!JJ+-H z^1l4rDYv&qPd9mcd&`nHx7Kb;y}3=rbJ~i7PlH1LZog~gp|X0n&dW9Lrs?s|{gxu> zZLDnlz|oakk*%fUqC%T*iq7k4j$AxV0-_vDE4elYf4!ZfY?dOi@&21_si99d9du?r z-7v>VbkzlI0l}HFy^A(%n#9uRFrg{zwxsr5X&V=fTT-miM<@EIsYIRo6Z^N^h23zo zc(RhA;=$$}#;bq5y>`VXM{{p>=Nn$3)n}i-Hf4Ia7bHBN%kn2%Vrpb_I|s|f`<FUB zuBWY86_+ZwdDZ>2C92vbJt2=e95l=}Yq`b#mlWhUs>bv%wV9Eb%j0PCG#<CD$0`ix za&$Uq%=57+xG=**rr_G5<~{xF&5r#{8VU+BZ>CkWh4MKlbS5yePv#P8VwDMC_2dw$ zC<tiaw6XBfFj&daz^kC*!PtLi#h3oQE7}+`IHa5<7%W7Ne>Cu!tTA<+oJa$&5Eq-E z2#*-IkRlg93kw?;GbbM>Cl80~qXrKJnfAkr8Zv%ZO}oM9lPCFf!FK+G?uWYd&wCvF z8U8`y!=x*d>v)x0O?9uwybckXb~!9qOw8u`l9qY9@3^I{*<$?tY0|7Y-8GDUhCM=W zcBP$c(dPPdb=}52$M1F3J_;yH&WW1X^L~QK6a^)R>Loj4b;G=wciMJ8@v3+bHFb8F zis6~F(k#F7i|>5=%c7TMr<|=UonUk!BR|L@ko{}MHt9DLCTGaia401en_kV>_n}i) z__%G<hU+)j8AX_T0-l*%df95?`ufezf~(bOi$w$1PH;_@yQDhZInmw4IoaK}{BiH0 z%K~SLPVm);3wW`eK5)p$@JgG!qVI+e+G~?fWE{2U<_z3)LMl+_fi$nH3HJhx331MP zA|B$OJnXL5u$;MM{)#zmvbaJB>-8n;gBeZ#@XoY6!Ek%_Lk8}*N^hL5{M5E?TQYSA z(~KMH){|{N?@yfMe8Kne<&J+FFN?T{U6nBR{3_Br>E!X?l?E?T1m&t7zNW9)prU^& z?fC9Dd^ds;1o~YVpD($n$)ND~k-`Q0WnKmbyQHqh@N|?1&HPuL=U$y!TlaYL-ql&Q z)2n~2oG@SYkI8?noW)FsZMykSUtFo^HizZK%*3MP0KLHOWbef{zB8|Vt*`Oxfk|BI zb`kmROS2!ceaQ&A$38{uy#GC|t*iCI=d&D1`+Dv&m-a6~{-3wcX&;=Fr1gI??*g5w z84njtcyIdo%uZ?k*bNyEj6ZuZx4%(1W0)Hlb7$w1`?na1D>kYZi9Y<Yq3Ol^{0(+q zZvXx=@JDR1TM;e%agIWW#(n>M{#~1^gKbVMRd4uq`^1EqAC7E)d{I54TJGbf2mG2# zc<YYcsLGqgrLk66`qeo*SH&gC`yJliU65uWku0Rhd_;Mtjluy(qs=;kU#g@puw`z( z)+w3uQfl?N%8NPK%Sx(lc}(z(SCRib#hl^b<q2}96D^FpKP{ZMB_>KpWu}Pwem>*= zGa<_l$89^aV(qS;Z6|LyYdh+{D|hseTXf`Bzn{dN+fPf26(r}>=E?B=?sYwOf3rz* zZS@8Qrf!={&l{Dlv-3V{RD8p~@ZU$rHS(&F!4G7APur9Jd4>s__6>_C249`-NsDVq z%Z4pzYHe(t{a!kT^QXgh4uk8(7Y=dmTYT~02D`V16K>cx*sZgxcjRv=T3*|DdClbY z!OQnGh;rpx`R$5T`d_}*s`vOOr8hfLVy32N&EOK0ij|LBeY4<(INOXC-ryM=kEPn3 z<z*H2=Vq%gE3eD?HMM94pNqAP<rlZIufDvBSN5(w#HE|1oNHCLLhk#fNy6WwmdQsK znZJB`?n!*i$@h=;`jx)@Vfo=y%ZjTd#~=OQSasoR*HxdLYL^{9I4=F1^y>F5z3cWq zZ+cly&(+A&e>(Yv<znesrydj(U7H(iBhp=Mu+!$R)vC)UAMnna{o`QlUulMdUekh! zJteK-*L&q>DK%L6x%h0$H*d~%+W+Yl_s-->tLx{2f()dmGJ0~_S?Kk@Tk=$-IcKLz ziuuGJF?((Do+lpV%Cua>GTT@FmBfGlTgQu=*?&CWUh(18o&{o6K7Y=Ly|ce{c;eZn z{Y$H#+P&)yRfwG1I%j9l|F|5*j<5N1w%dJuvw2zc9<D9vhwn9OZ28A{Q1^}|r*2F~ zhiTe^i<73yl`F10=~vlUas3|8)Ue+x|FQ}T+e@b2TCE&hz-{u(gyo<^uIe_GjURvR zncCZB(pdY2^?zH}EKkNg2`irTZsClTd8V?@@n{zR-K4W`e-&CiU%&s{q3Mdk&))xD z_`TxQmzsAqUN1Y2)gMlIa#dsVO}A$Ebf))*gy%fiVlrLx#^J>?w*1Qq4aj|(u79!n zd)O;IVVCQrzdt_BJGfIJmMyW}Wj&kh|BLHax*05A`^vj|VXfesB@>&1d=}r>qZ)X8 z_6e?s5rVSpk3D%et`C=FxUjQyJHsE=Yaahw*n10}<Qcv*Xm~M2d{%x;(ynmJ(psO_ zSNyGcFH87D*)uDzQ0=X8Um>3zJn2?|aA92he$I<m7k#&9+hFiV?Zrus9mhAZcdU*t z2;LSrG3LhvfnN(Wf2J<tue_7-;dEy?>+Vbg4!!l4+1_60-m~RG-;<Il7tKO8?JobM zrsdAPas59_u~|PK+qrB!KYvHy^+tx1pVyr<xmAC_qRS^PvdMXmVWZ@`Ihp1Kl84U; zhyUFl<1ae3XvUiN=fikci5lK{!hUCGcd~x3P}VJR_udO{k8IX*dhIFpYTCrW$u2GT zR#@)3JA0a}j7)juQSU{PD~0lz7q660X)4Y5a+*tc*&7Spze#gr{O^`5omO_xeSg>4 zYx_dw9dDaW)jek3cwF$)tGqCl)YBXjC$2Hu{lH(x<45wBMR5zO_Hv(#u5F9{w_w4) zqI>3o`?}SvvNGKIrY?SW*1z<yo9yYH*z@o9Z7M$e>w@Wv=%+b1xA}VAzLC34r{9Rl z<*0Dcia^1r0}j2@%FnVLi1_lHE9OZ5m8stP_sqZK&lcP7_~_f&hmxyb86W)Z`|*}t z@Vx;2?b7}Kp5Nh@T^!kUe_8>52`5J#=d8GMZ^Bnw=Q!M2_T;0o^VEvsxt~rM9SW<A zy0gym+A+5s$Gvr;<e3w`@0hp0>`Bh4Pd=}%M0*~4Jt47lLxQ@`vD|w0PY3H(I?ZV5 z>AKf+(Y`D3n$+t_Ns*E9E4#Np_bR=*{fX#x`*%s*3r)V?alQV0N%W`RwP6cg0`(<a zwN7kVsX90L_T0U!;!pL{cn&2L=zeuOV{FIM`BpqgqA<R0_kZ7-!swlg9im!gnY3S? z6}n}sBl+mZ@^1Hn&*f2Z!4rO37@9D22L9e$|M0(T%bmL!-B;&mvtOFa`O(E_n{{s0 z?+HFvWK$-J9ocUm7j4L;pKP&mk<ymy{UM(d_sspnRI9Oh^0LJbR3+LM-tBj0n=)5! zg~v^kMcx-~?klTbGkNQrk{>l}Z=-6wcD{eFBkX(Nw|*hRneOi;|K~EY2^wx%BU|b< z<H#|g`424vs(4#wr`&XuzMd;Dzl|-!p?+$g`Q!f_9QUT|X|7@LJ9Rzm`7)cY3%x%7 zI=oV)Lf`j=_rLYM>gStJ|IoRwI3c8?)??GL>nZYrN|9o5+f!3ILoCzT8WlGcmrTF+ z)GGO*WAmbY+ciJCExa(}Y)^@RYVpP}&(flgR>hOQY-6-dw|Tm-RDaQmmHL%}7i--Y z70184wfEoJlS}N+XM4Y_Q)Sjojma<nYQ4elv9ds5FtfZ?X-{8L)n*e%*?;F_MVDE+ zD@dd+-+fVBa@o9xUTrrx3@oSd1YGI2V@mC4ka<2|d&y?$1LuD1UdeHG^D0rMPpRvF zTz7vuBi-)i$KcmWEhofQ87`eJ72uk+hEXOZ<V(jl2fk;EqyNan-QDJ5^Za?*=92d0 z#S>ZXF$RaNTGTK@cu&G=Q=f7N)32O0QRkLETFAEMS8BKp52L*D#{R3%A~#)O;o**p zP&`x6aJH)0^}vb}jz{m07Z;{{7jo;7nv>c6%vLgj*|XgCdV6x=PR+=ZbNc2~&H3-> z-}BZ<ub^q})LkosE*Gb`oBz|E@kun(@NwLZugPzP6dLo2k4-l53Ynn!bk?0|+rBRG z6q~PRGD~mQ6)*LJOtbdxRGl?DV7sewY|H5xFJDV7*IWOiuIR&BZI>sCa~5me-Mnv- zT<5LSv!&bO9=mgT8ApEW3i7&Lb-zUSBqx`bo{RLinmYx%6rQsDeD<3wQExtz>P6nn zFbU!K=~p^E6#W-&`N)&T`O2|Ld+Qv3&12yP{~}alcAefc(`0?*k>*WRs+D_wTxGXa z+0}P>Zb_QXipu^5wFzf$-@LTsd&y@l?Zu&)`Ek8|PhTfU-V(ols{i_|jmfvH(w2+# zpPafd<+I?DLvcc$)6d67s0v=Txv4w-ePUGG-LO+$*^dg{FGQCvn6~g<xy*`yL^atv zp-+2epWz6({^@RKT~_L&;Nyo(`>!coPui~c-HONau601aidZ(|hqLAC@x~DozHDob zVdQgeX}a*8OXVbM(9<TBh*|-Kw4ji)A48g%H@TgZow%f-L2F)1$eMerw5O_kas1~~ z{QP_!XZ(KOV^_9KQIR+qcF<k5I`D;Bx#JrKuV)8+pPd$b|ByRUVa6Ti(2h6%7tYa( z;A?m|J;2MLU2%hgLeJh6X6m=P?VFP1)2{4I*dS)Xp}BA_`)XCey&q=Jo_i#G)2}yi z4W94szEinW*Bfzt|3cQ;qLbKmC01?9uKRiS0!QFnrt~hZV`(|16%5B$hFs!_Ss?2$ zuioUs)N9!{RvU}QH}v!TpLRiL5wn|TxAGHv)1t@wR4y7N+HC2G-95Qdu35{?v{X~f zRp)$KnSMy>n{=ac$BF*3`wvP!zsYcneZACE;m&`SpDype`Rj`9j-aoH6Bt74e}3~f z*F5wgZj#!hT3;`N7f(YMthjpaAM5+xOv?{<UQRl)f!R99u!}E<Z|3?1TPm3ToZOz$ zRuikZydW{;X=&lYwQ1=Y{+biYma*1O_^KW_`3Bqm&t`RvhvL>vmZ~@-c3j4zdjFw` z$!B#0lxtp1xZ}5CP3!)IWQXQWn|j;J(&FT|8pytQbZC}B|Fsz$Q}?>9WxI9bpT-Vz z_psaI0e234FB4)_7uj{j<zB-1Z+SdUQ5wBM*TpU*_&C=k@0EV$cKybu{rYWc{cCb_ zPDU_ZTG8q>%hi8&xOMs(u?r1SR}(Jp%Bxu!)VK4RApeY;=MSviuJG}=oI-^KlVbh+ zWDffu75#D?s{->f+WWi9uBkJ--gMEp_Us9Rgu-DT!%L~Z)~+e|+f@3{;qxx5#Kr4` z>|O_ScKUYh6p+i&{j~B}&EmoZ3y;`jT`u0SAYyZF`Gyj^&4DUQPyd=DRPkcXY0->U z<4F^DHYC61Usx|Tx9siQ2AgwRw;GmSmvT77RQW~ew!chrc249m110GrAGso{?cEg{ zZakh67IAwOd-07MOL*)0H$R$L@<ijyw}PvQ4bs|8OD-z(UR|yf=WMYkL9)DBV7_eE zvROyl#6;h)u0L|urgvsc^mGTSH`RfLrcT#$=KS0G)w<qiW1^hdl1ZKAmG|0qhUs?~ zIP57>PW%1i*crC%?``Y^jz3G4w~ww|b~1WN!P^%G=bt#`3CwBTVywDQZPJ0<w~s;@ z=H#06iO*_|>C3SEe{IvoKMA^rS(&EaxS)3Z>EE3qAyO=CaU~)ybFX~eFny-a%+^V_ zR~)Qgr!QV|@b9FbKBwm%&8|Ox+<v2_-6QimKj&?9cv`c%_jB#Ry)~P%`=kyo&eOE} zyV4;objG`D-<ECt^XCl5%ljL6lee64@6qeZuzg;qyxeYa@&1E5@4vW{{pODKV`Gbw zLu);>y7V>&*ZMsD`K<EQV&7#-%PPxWOt^o_yE8f2*h}2>>M?nzJr65d*8Or<?mrT+ z>2K$nd3!T>LVwi?|I5wDx4I|&i*2P|&bzkoX{#<i@^d_KGHlM&qSc>1F1>CQY-5%9 zGO~I$FCY5?hiJZtFr{BYZ`oWoUJG6MO=0CuuU*aDk_~Tvyq)!u>G4ZVo|x_Dd{+2= ze}A%JrTx`RyZvv1OIK}pCuK9O*Yv&j4VU}jp9MCSim)|ob`+Z0v&q8dczUJUo{nQ~ zlUFgPCfrf#`^=GY`OfAKM>*dr>zO{97^S@Msm`-0zd7$+G*%8PxWIXxndP{CQtf1c z&9j&tCEhKRslKp#T9xComRV_eE*I7Yx7xZ?H&36{xXAplzli%j+jD(9B^#O&8Gqg= zDz5RpJK<2KzAAgr(aD#s_kCNtrJ-!k2Las$FBr~mJe_v@i}YRZ`rd__x2J_Q99cVM za{BQD^|N+9>FJv5t)aBy%JnzXzd0RDTyxz{k@29<oZbiCziiT{Nrd?c^A}5(Y<p&T zQ~lRwzV-Ldozq(FBh008FYET119B$f+8K-3*G|9vO7B$jGTy73{6gQ9I)r7sQ%RI+ zk=<EvL~Vk<Lx{TK#e@B`H_y#?T+Tk>X}_kB{EdSTPK9M%xwh=+%N-A|<-D(czQAeL z+R3g0a+9Y|i(<)j*vuhR>A&F7CBN2wRZm3^1KDHEp;MKN?ds|ozG*dU^t$_BcwxJD z%A+PesjH<CAuD3!%HMx@u|$MDML<LE@FJ(DpLSNa_xXEUDl|J3N(u=oO<(^<%1|xL zDQ$9-h+*Utvzd&E!N2}(H(U9le*4_^_s@@W{;N!1`!?~RO-i12kjOlxiKm(cr?#>4 zO?#*^RYAP<z*M`O2ot$`b1ZdN@Z@}Iw_Ln%Qd`GfHg9)vy@^_PgxZDqRKIQB=b(Qr zyJEqCrkdp}zbjTMT%OF?RMWU~jjF!RqsczA{_?tg6xL_#(7Rmxtm;yhC9{5%jYT-? z%+qXq@|U;XSdbw1>w3@iuq*GL9^z(u;J)+X51p5*lGZq=rtt4M+?RaQ_tS);o=45P zTK~B&@0+lQ;nemgZo-bNjxMbp9%nOu+4Bh8a@B9sEk7;%;HXlB!K#yow3RZ={1(k; z)_iw(C8z$QPl;u>B{%14EXlE#y`wYltvzpz!=c?rmgzb2c$)f|E#9O0ysm#rFIQOM ziL%<viBVS`WnHyQpTFv(bj8%5f47aQ=bxKo(Ve;D>n3r&&;uu9Sz1m6+*$ECE-X3c z*6;IznLnQze?NTs$8V!Bp%;o%c+RID5i<W?=KSXVuH#q!%Iwd%G4p?p^TCd~s+<Mo zf2Qs_CY0?SUtAHaaAeLhy(Q`~7lS^S>|oL3USvD-I%o6UK%MMl&SX>0$(P02zH2M7 za?Es4s&DO;lyo+7*PC<k%)O#BvJ+34%I%O;vD;rcmHE&1i8V~Dwi^2svm6dgool4G zQ<9^}CV3?z!>X;?`Y!YD>CTw9ec`>HwB-vpAE!+S=Qx?*dXfK(-RaQN9oEJN<zo%{ zSp@seYpOecZJz$O{p$(I$&<Pyr9;>AO_?xXH8+mw<-r-{G2iywFf2^#JLBVM=%dWd z#=G|AE<gWMaj&C3CAB@A5O8Mdc`>8Q%7u^a@*P(6yy?AOH)Y%8Cr5AdI3+qx&6bNk zl{Nju$#Pk?lt~{CI&VMW*qp)n*R3PoHDleQcN^!F>a5<=?)Wt5*^m9KB39qGzmvJR zR)5Lfnlo#QV<*adEEeyoXlOB+yZ`CSd$XU|z5HyPeE3N03zM1u8D{J&nU}OK^l#UO zu%Ei?+0`QE*=@P<`?e{+{0>(B^qG@GmmBsx$?xm<5TP|$C?or8RmSYYg(eq1#@_u@ zAe8uS#^u=$HBa}5ziVdDu*pdcUQ@B>MnGuO8;xlV>sgn$&f}Fmvyx$%A-nt`F*%(8 z_1U+bLc&6(20I;K{$i~v(<^+aCnZAlQsvT{a-SMspO$@;(fI#S&6!n`7MOIT@UCmL zzv_{yT(oB*PlB7o3+1fFoy^s{N}`I*`5wzpVSOd;Y?3o^p7k#KlM}nN5AJ$(IN-*T zx-0yZ>=n!P7PuStvg>OWTuydtJmNenMd!rjcZHkty!_PFuG~;MD%GuWao3I0s~>eQ zZg_j8)nR&2-NCo(e>QI5{+hTV>{R%H&L3TNJ~tUdIz4pew#ZCm$Zt(L@#V%X=H#eA zX|<?h+TV_rw6Wc||4}=qf99XF7ZV!u6s3DsntDVt+~eR4nsks$d*#IC+VVd;g)Xk1 z5~nkF|IABM3|Bc%(l<@`vpdx{=#u;!AMQviQ>9NV9O5qyNUdy6h&sAKQ^~uuV0QM^ zy{4x%IgBKh9ln<>8Ob=sWJ>ExN7V&oJGMlfkos~%K~2_cPWho1iKn#Xv-T-3{IvaT zhoaFLsS@q*{r@8^t=_e&Rh6vXw9og8oV1nElM}4$-d<iB%Q7?qu3r`l4qF#7XTs%L z*^OyuPabKD-dgiCY{%b|dXm*seGh%p-MyqNwxpMRMST2nJ$+R*l}S$(l0z3?>%TgM z@z*u!j921qH}32Z_4{zsg8ArX#=cAbYF*X}m(;2jpa1sU^R21h?Oki%dh~o$ow5GK z^Qv#B;wo+~<ML{L^frXko-2h>l==O=Wg4w!9_u6-)D{&h{rR~oQ=)dcRK?~gFYGEB z%tV$M9N=+yb-Soj%V+D49R^mbZ%*atU3e&})yOQsV%PqI4f8kN=9=x0dHns7v&OrH zvW<#5tsX!8C^PGI$@|U=&sV;Xui%w&@-2(@I_~^JVB^<4E&Q2w?oZ`S<6e{>UDwRL z`tbc~*7cHq*&;88tJH}wn#)}}=TTL1_?>jC#S9ng*aJH_%snTXs^5Hj)Z%r)!AW*A z^~`&&c~4ad_^WU5@6!9_x4e#(@)bGuJU^gk);yy$>r@ldf@QZer_@c8lYFw3VduYY zmdcPrA4T6inZ(Kc$#K@iyhFdAgvETmSgdKZBA-wBOvjSis!W&qk`3AN?__@V`LQ3_ z$-bx8T)t-MQQ6bEzJCn%Dn09nX_j!zS^4qwG>_*6+gPVvVCr!<pV>WUkxXBuVnKh? zR+dT9XXbmyd--g;&Mj<oDa5fWNpI(l#R3Pi*_)e1-aPp!!{{jNv(O~fmoveCx2A_g z$%e?rH49!a9=)d+`QUwmtn2-9@3J6P7SZQ|pRS~2`8zxcxv|QB{rBhJ9kfptUhaB* zg;C{olhl^?Eyor1#XQ}t9n!&dpqeGZ({Wq1&l@S_E~XFv>Zhmt4OTZZYINxH(b!-e z|J>s1^#%_9?ce#&uaz^h(spj!CK$NO{_TS3EB}9QH*ewi8aX4d!?7yG?)XMFmyO?l zEL|M$&|~(k<)G}I-Ok%i<|ZpoD!i0GHPd*NyXwL%nh~DsdE4D)nBUT3-*8ZON^Dwk z*@TsKG7F00Z%;TfSEkawdZU01Z$pPg;3N4ZtI{Km@SpN+e(CmNQM~?|xE$rp2M=+z zOl@7U?X}OX;w8e|7pLexIFqtH=I6yp@n23ke{i^8ucnr#c2_EY%fYi#PDrkQId9p@ z&^x|+1uVB_RxNQ4IPQ|y`u0{yMQO69^{k8L|3f)M4t6wkiPm=1EBCHo+FB;x?yV|* z&>(zqbVn%X)CZ=A8WI#$)|{N^_}4&T)3iek9WrM(Z}=nrui&`Z$^#RQN{4Q-IJmjv z;uTvKeVLE0ZT!D;_9-o0`}Eb{FpUq)7wQwl&-q*k=2Fo5rg=>Ax%0F`^8*^9TdJ+v z&Ah(c>I|%R43hN9TYtG=U-$=$^GeCSR~#2b6iQqbRad&;xIrc2&hfW@7M}B3>+`oV zd`fzMlf#;nlP{YCj+nTLX>_dFxglrQCS}(@t>r3nbncu;v+$V7^jwoI{N1|sm$QF} zPyOiobk{0__gWiHdp+GYX?DK$)2qgQa<krTICZ}@{rvjLpL3_%PPd(EJAK}UKNaUJ z9m2CiFWtIyONZz2WT&-@=Z3xdWiFZ{IKx2Ld-Bg2>YP4zzx^%$<6$&yZlPoBd&xOJ ze?B<bz!l*&yF+dFgrBb_PHoe<l;gp=A<1Ns@r)Ev-5I5Bp<gSPs5nU<%T!$W<FjRf zd6-Md0^2vdb!&?gnlDT~)!VRM%xvAvUFq$`Y(i0UUb1g(e{}zfisO#Q|J}Z(M{-X+ zDAT@W0<S>OC;6i{>h=iO75LQ`&&WUJYJTbQ{GvmD-plA`F=<(*Y8dIr%{2dTeDz-G z`zyGtrn6^%U)vNPmy*XbBkJ_)v)9s{FRfhZq9lK?GBM~|-}fXZW|emrdA6KC%PIYq zzrSy){Jnn@JFc&vw21R#-j0eu`MWPVe%!KQ5#uo1SX8z@&+k*StJ%q`x8MEmb=bIx z$8VbI|2|gXN8Lgn=kK}Fd6PNjfU)MptGoG5zCQAArP8^yG~IniKd${a^L@p{oaJ*C zR9mfP`=!shswd~b-7xlC2`^Fi<e2BL_!}nds=pXywg1f~?ulED2gy(8a#Xn6r~m(| z=d_%ft*0AjPgUpmDY<xpq*2c_@qO+qez<WrG5tG!WVV9q_WJIPvp&Rmb?uO0XUy6n zWp~P=Ad$uWyB9|eudLLhyi&{b-tO;F3D1s-S$q*wV2KOe|J<@c@59|E3KzF;XDWIb zIIV$U4MU{uY)J{hHra|^`T3nPY&l=&2$a<J-u<zxYcr#m%Z{d$UE39ooSkI#IPQPu zw53($OIyU38f?+FzjN&7RQJBhjr-o+`WC-5Z`JyguL6177}9=z+PRAF$Bw+|JGhqp z+W$6sW!2P`X^Ar~tv#@f)wB5B_uU(AZ%=>tP1&jW<$PvOi^~DG^b7yZvsRVh7Ik!3 zxgl-cgrW<f%d0k9^O}@sbiYpNe)IO$Ck^vk(tBpVF0}pXHD@xj(8jGw4&K^)kF?}B z`u+U7WAV<#4}vZkPixPwd)*WFYvo>tg@*e74`iEiZF6zmW|n5PA%$64KV5viSoGZW zzMK8D^7kyW__dIsrak!mJ`INWq92)xd!KjAV{6H9UKa3XvB%QCGrlgD=;gDWWLY+K z_a(!Ha{K-oEZHzE({5T{((hfbJ}pYpTsDpCQJVYlrb&Ir%<SH;J)Gnhy|t&ceB0T* zuZyGa>@F#`HQatPbF<deuVvd}-i3MyJv*>LbxYio6~DJ;1n-WzxU6jIqRYbnLf)M4 z>FCr9sFvHF#uSpg<S$=eaG$z*@t!uVOuH0?Z+5=-)vEe=ZOy*ixRC5Gv}EN<0iKB> zBFgHz%a-_THCVX(x0X?_)a=Q#jk5Mn^^WXone%IR{I1W|S>In>jD2zN_~DQV%6iMg zgvIm{&o0hBP+NcKyv3G2?$qqSMjnAB{}`eg7z9)dyr(Q-zQJKQweqC2!mhb&5ewTH zPV3k=xShBcq$V%S%Q{u0{S(7$235u@Qj@0Vef-&bOKJD^T;*-2<{xYk2nuyoUN3#^ zycx4m%atWvT`R6^da~G&ardgOjup9{pYA8j`*}8Z_IH2YG^cg{)KpY-6crU69hqB` z)OP;QmDyS)f75JpVBqPU+dWU5(WyMpd^q{1xZP`&`Z_LUl^BjCVXw8c?#G1RR?3Mj z_?XlkdByRyP{tue_lSxXzxBxv@0~IJ@~ncnYrA0B-6t2)8=F|UC4?+^cr_&cvFYfD zMf`Aa`7}Rh+M6H`K_yA2tf0l#|82uBE?IG>!>#Mo@xIS%^7H5Po|h7c&=Hy1FEUkq znugYW?+>a%okzCi3Y`*c`2YWZ@t^Y_i~q>fOSoyagq(HU;%d$Q^Y$W%;M-B5t}ZUQ z(UYG1za6%(olT(P8W+<?W;PQ89f2U%E0gSvHYrVvSm?koc@^KPS1V)Yx?HJ``eeR& zs-{k7(FBLY#E2eGX1=NZ?|lFLn`qCq`FEH!b6&@-P19ZNRQ^ldPg~D(cEaV1Rhy)R z^xr+7xxPmx^IQM3l3V+fP8QBNvfOXq+nYH{GcU(ZlDYQe_LnW@+b1c>Gw?{r@l>o> zucf4<wei-Zs7c$8Jo>zNQtfRii44{5{WICv+F>XxR8Vl@lk+|oBSKwVLb9T_F{;jg z`=m~6b41C;2%VnC7JZK`_!duCB+GGziAOOd)YYXVdeR^N8)i;!ZtHffTeYflStp+y zJJZFvr}pQ1eyYFSrhjqKCl;kdk)V*p6Bd0^^$7gQX27MXH9yIiOH=E<<13E^2B9JQ zvbJ*T1Uxvv)W+7z!e=A0O76o0gQpGb?UpC*CuyrVt<ar#zHCp%3Qh}y3oJ4c87D0} z_9&(VUshmq<lqx&c4$2B+2y$4hxt8i0j{HsiDv}34jc5yO5ACCTp-jE5Wp{>%EGmZ zDN(|>$EdiV!68JGBZDt3^a6(_YhuV%xA@#7p-z{kC0Dnp3UIJ8X~aY<XlQ6>;Z=zM zF;;g(eQIyvI_ucxxYUQEdxdV~>)^KyS*th#zou<IDi(dlaT4Rwzkk<+Z|VJgecEK# zM<+J@`Lq3|8{0+QpZODZ?J!J}SSk`EwCF<C#x4P`E7RC2U-m~U#ss^#<a$r~e_J5U zY<3Vw`l&P3%f9UJy!J%4yDe~sX6ql0l?&&4T*-?PlDB-m|FrsZb>5=3K%O`*$w?C) zE$gat`CB&W``P@@+wSwY?=#c=dAO`7*ex_PG*oo;t5s2=f`Nj9i=13sTtZyH;L5h# z+uL%zK}59BrU`7GlT;!nsd!F$a)0^y|Mma=|M&j{R<a$WLZ#>`$JD-cD^vpN(ik{6 zm?ldwNH`Z6oY`cfDS3uZq=AE*jYU+7M~Z`2frp=qosEN?L!5)FqeVGULxqp^Fk@nb zOVhNLYs_EWAFi1D>Q|3|=91^Wz3psQ*d9BwJbR(k85nt!cki0XGTN?8k6JdI5__dO zvtohnTeTBw@9fzvELbbisvEPDk1211^PhlqyBe#WzB{?TrT4|epMpC(jo1{eR%|gb zX;N>~Ik1E$RjDfb>!!AS30tOh^9U`?Rn0WFH?x0c>X&w6;j<@+In#p|?GxdbSMrU! zP$nER?Scf`j<U241F>k{?ul(t^Oo=0J>P%Z8<!-*n23pDcYNlRC{KK59B1dnb}GZN zEsfndV5RAmMf;ezR^Paw`%P|P>B$p@JG+`6IJode>{8Ip(mr%U;IphkaRi^UHzVJl zOB37p9Sok!uI)OtQN~m7-mLw#`?WH*%4=TUGsERikw?%vGbfIT%P&8TI9@Eg?bZ1^ zPaMuCJy`Ij#6kM;s-5v%{F2_RDe3w>t}Hwun_0Hq<6Eb@eB&Rx1uK1H7mDjg#m&CR zdv5cA*1Cg!p@Cw_%P%c3bX=I{8h3J?z!Dz8^fw=_&GmoR-D}6NaN84MYp)%Z$CbNl zbVDD<886hne{cELwJX&5Z#@)TBPzpFxX>lxgLC0jt4RI>DgJwWx)auMUU!)l5W4-s zpQZL9{mUe_2y9f8lQufC>Vve~>$^=$7bl!+h+6v6Q9x~5_VLz#?olk!JDcnl9`?=I zeVwf(x^2}<1zxQmaxdOIee3?T!NvT^;WkO@E&DItaeuf`Pgk?!&z(Q&J!|zvnPTpq z@;%wM;>nd9?Wfk|v)ndMdU9)$)e+TVRwj=JftGJCH%>~pG+W2U#8UFJ-#yWJI(yc} zxa=yjefn#CfRKVq=A7R=8!aqPU7Qfaf5BJi`@xh`#gCpuM9vC(rLgIz;mw;5`4bG| zKWBNiYzsML<IQTd`NDxe^TQG@U(_mHVE^gb1NX&@5eApSXT;9tl==4Z!+)=!`5xOE zoA2*<X*jEH;xZ+!<bT@(E9=Alv&OA3IusRPTef@KlkZkMigCMau3k22Y4TmTAZ=!# z+qyst72(8&jKf9q|Fotbv^aQBm2<}B7}gt-`?C%7=9MqsqIbZ+!kR_p=DGtr3d%T^ z<cKFo>|lNsv-$REyTVgBPnIV>KXGV(R_2xXcWFxPHzg}C8wm2-xbXQG+wZ)bkjme8 zmGf{k^Ov4^mp-1D|MktvnG=2oTFHEPB5%o7)>X}W?5O4C-K*dJej>fxTOsF6r`E=# zFAr08?rSq#^Pp42r1`vlV4CR+u^WwCCvzRNuY4%2<2qMzYv;pvdIrn>H|%v4`Lmxl z(09{=V>6f6Wpc{?JUFeB<#(psA_dRGQ_u7UKWt$Ct8&Nc1jkdy>TZn)rob6%Z8Q%Z zR0^)B-Xpw(TZel|mw?mW;Ej^ES&llCOqzGr-oQ@WGkVd2UA@1jZryd^tVsQd$==(= zHUCB*HW1fuR9_qRN^Qx!<+pd-t(pABdPgT~X-4mIJx9Lfr=tzpBA2@z-E?`jY_57! z#)tXFx32y=C;FLpi|mDb&x+T}LcJ$(B;56!WaPF#c;W0!U!7ZD3nvP$Q9J6t;zYsg zohD6O>(^PkSwB7K6}|LYrrYoH0yC6u?AF&we0$e%_KDxl3{_4MK9kFNzFK)4bDhy3 z|2as;<sr|G>zzFnbFO{yQ|rBZp^j~{>-8*;?=RNyro5=~ZSFfcbC+uBF7MVFuiVTm z^_9B!bF-a1*^L}NTomil+p=^~PcCOr+Pz1fIg3ubmDIT@?3H&Q>7>~|(X9&W<2!r3 za~{+PcRvxjrsv2msZp^|SD;qMyZmI-4y}aezK`}XUe#e2d|OplEIH@o%dh;Kw{a^p zL<+JS`tv0I5e!a9(+NM|xbt(6PDS{a_wBRtmvh|I+Zk@PSXioZ()N{y+RZ~77<&W@ z1HQAiZ#eXHu8EvTQ2YAY$41^!$A0k0@2o9a%eA5*@nGdbxg}q%Cw_EcJD;94G3M|M z)fEZMEb^zEHpclle%zE5`b~QElT9<WEU(X*F7#$f<bI{RKMNF<x|yG>vsBgo_0wqT zZqr9jH@#JzI$YNsI`~<A|B55=H=nQ^U-devd~;A^hzf^w#`?wsho=Q6ah?e~Zl}DX zob^;+?TvSVCzyUtie@ww%(4tOP?lX)f8fki2aEF33zczG6Bs6o1R2!!pVSK5kQaSV z|KWq{3&I=^8hN-lWVqyeoM!S^H2J{;)w+8wKNuZ!=S|box!7F%!PjB;WUB>VeE+iF zc+I&mB$nIfTEx1b{0N4&q?ILqS$TA}%}%Oh*=R1Y*y-@Qo0DGje`r$gx6Kfk9(rZ! z%L)3{FJ#~Q-t*eJG4+4zf5#uS`z+5*N^QCEh1X=yoIfu@bkrp;o>=tk!sh8SZa&K0 z+O^8=_J?XOIkjzdYs(U6I$bS$Z@KsK!DAh*d@_&oW1QG$YZ>S!UP{@S*5&TX*|+A^ zv~>S^(=MTFD%q_EJ*I8SeP3`$vwu?mk`vkdUP_O=glnhQHYIYfT<7sB;N%gGyY80G zk|E5Vvba3rb3+bSs_zo^4#S&$5^7=+vRqA`lL`{_@7%Ij>TygV+i_F1fZq-a@m%Iu zH_=JrL34htTW)r3lJ~oy^$Che38rfg^@r`woo}+olD*H^E6?|Xwf@%S)q%o=t_)3^ z%nrU^!`B_a@!<NM^V@gWzG!4JdVMqR)h49}P8H5;YE3WiJv!&y6~|n|Gi{~ExjNtK z${lW=V3YK@%RfQ(1WU@y=Cy|oo#K@I+g(xMw9ih9*^bj|?F_C@k0vTy>ChB7ws-wu zy#xFqIjQ!Xk2&vTyW1X=>+Fhc<Q6^WoT_ZdB-yW~Gdao6$XCwefWv_mJ=zr(+3ysd z=X|_3k-a>v;>pK?q86Jirz+(<7CH29esKM9O~p5h;Cr@bn)~^UpFcgk=EpAuZZ0K7 z6D36zK>_iS<cjOxqZ}3fa9w%S?KGLSsP}Tf)m4G3kM-^gRF}Q?c=8n|zHY8F72+aC zX3X!8Wjp10!M#MkK(H-8^@J|(o-Gf(Wr|ieAKF`Ldn|TSG5@!_4<x58zqFcX)~)u` zXQnEvoqxzBJy5LSHT)*9uRWrUBc5$h*NoeZ9!Af~6sjidl<bg5&3l}9YK4bJhZl#} zk)X|6IgRAz@F#wI{CvjW0K@Bt&F68Rm)s_NM?NjF=bK(~qI%b=2DVq5DrF*>PRusm z<a)rvdHI&aZ4VFcwZB{b@t?uL%3T2+T8&GVE#ctx^yLhHxa{K9hpXQ8i*T=&p3NX6 zrm%AvPyZat&%XVZneNS8F<Ld520SL4r=3;oPXDzdP-s@+s<iM!8tYhFgLFO#&(TO^ z=PCN}+_{+P`{Ul6lLwz3<nL7Ie`L;GZ}TH%Gjnrv&HAZYteRgA@w23V@_cfA_v{zj zy87Hs3U^=Fx}B@!qs(C|ms#3V#O1Gu>^b{lZ^@;Xd#}${<lVVvr-@TYnemduwPzMA zxikOWuglVW?JrNHeYn@r{D#B-@N;(Nd1C*KFJ3&WC|i2?<zDS=Y9BNoCno>u*~d2b zpI*f}q2Q!zSJ$}JEORU~ee$p>=|$-6FpVe2Gx**HHciS2Im%Q0sqp%;hq_Wl-Kzh& zBbNU)S+V6;;*o$;f{(ey%e%cNzud9OM~{8^IpsOeUmCZ@ty^?_!X>wwRot<=ms}1g zdeXS!{@tYNALhQ{KZ6c>FN*gNjJ(w<GTG&@Kz;0$!p=HPSCxzUOFLA4wf1-{iuW*# z+{&$}H21DykK2pxy5RFKe%lo+b2;@^@7s&yPrApsubMPU%REW_ZO8qdOSD5q!KpWF zm0!hWVHQh|v&K=f{$HGK1oZx5xzF0VFKT6ne&}b$V43TS76{+pVPyCA@CQ|En^-9c zO%IRrOMJ_tuKcvBy}f_Q^cQ^>sygGc#l_ytm>-i+me9cA`gq6B#9ImS-N*SJx-#Be z*s$ra^t>rkf4>Umc@iJR_qO*moAmW}n*CpH_&*J_u@}9RR&eQIlh_FkwcVc|EU21a z{J7ZD*kV&DgGhkM@#WW)|0$O^q$n0L-MMJWDUiCu^2~$f9D3d_e*S$Me)EBJrt(Rn z@EH<c;trKoWySt8Zjh|K_j}`pNA`9v#idSODgCph?B~+7k8E}8*?8ye4_7qmI%O~T z|D(3tlbhvRP1+me6d&fRi3VQJl-sqr`dpTr?Yi~rt}DgQJRD;^asI8atEQ%FT@PMw za^);~@Z_8K-X%8{mTZ@GdHv&p_Pu#}UzX?m>U>sLWZ`wK&xecguZ2_idX}&UW{rK% zE$7|ujG1$|Zo1^XbNnC6k0w345hrwd^_xYC8|2T1cAe2-obbACOW*5F+c++q`Yn7{ z{8N0y2X^=AJ{kw+aJPw?7ynqWJ@m-hpDXj1=M@QG{d=(e@QSP>DkbI{H(u?v$@iUk z(Bl-JW#w%XrCU7(jy8@bi~nrdB4fz+^&F#WqVL&Tb$=H9e;xinzP`Pv{84Reo#jsB zb6=)UX3gEtkoZDBk?~o?pMdOyb63`Bml}jO>3A8i`*t+57aLu>HSxhRGmE{vs#nej z&P;#(+g)N-bN(6EMX8UrUJ%_S<-uT3DJ`qEPHtJ?*JD-+X@<(rf-RlbskTX}yRBOG zQn{<Jv#apT%A-5}C%q8)bU^Z$Lgujrd8-UHb{k3r$N#+&s#IzjoOCyYt@xL}ed$EM zSfNxoWzU|M{c(!@J6+;GwlQCJ-ut+#v6w?8W^3!j#Z}oSLn;%xKF^IZT=wPHX?Z@r zlba;Fwa-88IeoX_mD<drn<8ZjR#O?W{%ZK#&hHB1yQyt{{nz%FF}14s1;wUi?l#{( zepTFOXcGDT+I~Z;b^AI?zJ5Iv!Df0Q(A!j`mF?G-lDN{fr_UApEu2+mclpfcvUl@b z&&P-U)T#P6ze_#vYrU3z=lp%kH+g;eV>{J<(enLKHl6eSE&num$E#|+`7ZuH=V`ns z3guh2q(c1qB!Mk|?5Fz+ZC9J6@?gFHV<8E#?T_5oL_bS;FLO(5lIqpBduFv%bDKBp zU7DA6=E8U3S@)Zo-imD5u5)F^LjJJcdpSqrJ@|Rq1K#~L3)%0yT4KfGwnu6irwWW$ z7Z+@L*L&&YuV^i{zUoc=5C6+CU9R7B&;9BF<tr0)3pkEEiPJIg{rOdewO@{@KQ{VF z^|}P{lm@oO%WBq3H<@j9-F77Se)7wA9p{2QPs^V8ZFNU6$I-c|=I^Utp{*y5YOiNr zaqW!#!S}jLTfSbqvZE}E+jH9=Srv)9W(le`CTF)x-Ya(Kv`Ty}E7O&%C9-14qf?LK z4_r*%>)^0jO;y8VTUzFllsdKUwD)ZrTo$j|Wasihnse>h(=*o|5f!nxb7hV9jkrbI zxb{S@>ve5xS##AjSbvlBuOq!--yiXviYxR!Gkf>@*V~?*Wc2>SU@GcUqvxQzk89Qo zo97%~tQ%(S%gwwb>2UVgl3nWa@<Z3H5Sq8FdcoIBjyD@^*0UQg`K>c^dt+XI*FOc> z=V8}$0>1>?%xXEbLBMVi;|@mFNpD*6_uu#__`{IN;(3Ym`>gFH5A>GAaN9d*e-6~| zam+v2{dl(B<nEw3hGu0sot;~~J}MXN$ho`Pu3JB%`?HZ>Z-()o`(aMKfo|R$_t%@+ z{VmU)nGx1g<<ps;mVZB2d{e}%-u-t44r~cFJ0xVE;`^aDd)s^Y3F)07B0j8awyw3Q z6?SZ`ExESyu6<D#|Kkz=XTH@-*F1-hH+wq1E3h@KT{W*hDA`i-=0nLPL9UY+O@c}~ z{;`NUGO%UbUwJTE&P?sPjrrU6Ka?ZdPA;sHS2^nW^s?5o7)fURy>foacbwQGd`&rR zMEB(e70TVNO8NUYg?UvW#}sCM7P&L_`&a0RoDOS_68!quYq3`NF6MWV3-4}?e(qy6 ze|><r;cTfcj@W=Xv!?$)oOoffkCM#nB_I7dCq3mW-MZw5rO`u0jxR3Ja;Zs`_xa8= zvOaz0eeQbTs+g5~!Y3t3`%iM-?Bsf=K|C(^a*Omzrx|VnYaWOEESvmiCvWT-UOV>h zT1$Pz_t~($*4a7#Kxxvx1;0x?&N68}XAhBI$5nN))tYzJVW+gG+$UNmxZkebup?Ce zty}9o38}YFnvzoPDQU|vO=e|V$?AN&WmT%a)BI00JC#dL|L};uS>?3%?8G;B4Z^lw z-goGFYGBwb_G`sb8ag-qckW-leB)>FWA3fCiM%gg%*plXc#zl{|MS?Qb8df|eaz}N zzTbL%*Aa)QPbcfiZL8g<S@b<3`=<Pv?)Q_Hup8D-s{D6-%i(Xnr48lP{1XcPxmrw; zPkJkS$I(;MrnqL6n%Vmp*TQ^>q#0YZ<}lAYnOG$AitEwwMP>e%BG{jLCT6B|A6#~B z*{8J0r&D9PjW=>j+Elt6TEi-GM00M5=H!O^DW6_f&3u&FG`+K?(*47{IlVer`CIao zIeVA!XL((7yb@|=ej{|9^xU5pcPL63d_4G~gekM<N@>>WBj1YtsNIsQ*d6q9QJ$&9 z*HYga@4D0nqV16-*Po<#*WJ@|{$(5>`{iJgTJf@T<@cJseSCy3$4Pd7kF4D55Uq6h zu`}<NJD0L@f1BF!PP@K7t9;*z>qp-CM;B{Jnyq3sdn)^2rRv=$2kyG{bhJJGwKvji za=L8Mn`|)y+3we_A@8Hl7QS>~F7KRvhwp&fUd6Wu*3^DJCY4lnEzW7f?Ink%DzyCO zb!WRj=e@_(d-_S2-PYb=vn*8Fv}0M`^LGcIxaJ20bTEh~-s{zrkeu2Ul-@Fj?fRSx z%f4NZ^DFm!$-G_O{i493r2&^5eY8t7Z<H7;lh`GqB-`<_C4cH%9;K*--ba^(ESkB{ za@V8zLi0C1y1DSzk+S;zORX0)u$<K3<(c3rRuU_2C&2J?LybjeVdKe|76)hZ1^Ij% zcPMA}IxL&sDJB1uSND|k#ivgdG=En9-SoHc$%(nogFgw}{S#BYCU8#U=b4iwjxXvt z({cXUyY%v}hZkR%Q{uTh<(Wsgd6D~d^}>%wy#IeZI>n+=X+!IrK&Ovc^8><TE<U~B z*t^v=`HQQnWXSUF=C$o{EPFnmcC?$<x;(z<`LhD0>&s6&J1&f4uDsxNajikf$86Ee zfQ!;6n!`G_9Wr>8_H=ezK-}_@{CVrW+<Q14WEW(1q<EAEnOEK8b`y;F|9!y`!Kf;c z1#CNg4DEJXsQ>MH@@IN-Le++MEBGfAAC<J;`TqLBki`wbdm=rR_TJ$-;rUc+iu!q$ z_Gz=FwFG1>Yl=VE-6=4b>Jk5_q*mE`wd#5Mz?!ftA9ENYD;;Od-Dof6|HfEg-cA0H zo9T1)Z7%ORzWI{n)!U1A1U3C+edVZNy?uJlql(l`ot3}Un2mq2`sXkD@_g$~*_%8q zTdQ84pDxM4TDNysP=Q>Lt!qq~0LSvjt%?Tq5f^_=_x^cg!;?>)j@!K3Gp<Z>+nyFb z|A9njpWH^R|IZT6YjdwjESo2JjVDcAE=r`3CwA$d)VL6Jow>rFSh-Y7UNTCT^s%m) zba%EzLhOa$5dDtEq?jX>D;Eao&AU@`P|%ts@%ln*J~77?Wr^Eu*9y<_)Q#!tNSroN z*qFuUmg&=~oljWwKK45QTzq9__zBr)FOG-{Q;cLDgr-(rHsM*E>XO@aUbss3ad%SO zlpHw|PJxTdB&-@@Y!W8NSnl53l^xMJ`|>t1r#UU<D|7i4Y4+ZHq5fjUjt!9&8dugm z)NENhsa$o%p{DX%yDrS0`{{n`a;H4upt;&bYZO&4w~PNddVTFJiSyFCx39b{vtDc~ z3kUy=$g~%~=X1QgrSAKS>-fyP(;YQ)zv!GlS(6}rC~Tdxj^wwUYIhFiMcL@yn0K$_ z-z4^{7j7GEnJe__sp$R(tp%IBMf3~*K4Rs%8t`jQXV>KU{kLx)v)VcR^6qb+-ZsQd zJtbG+7uW1*|Cf2C&j;BF1zMXWjjtF7@m@`0)OgQP*7@n&RNc1nZ5}ddzENdIx=yFg z*SN+eT=_m=ebB6utqPWhMWt_s=44!2_@t@IYgw~L%e#ZSo^SLyw`bG-Qyb15QMi+x zvw0%_o{KZ~mTxF{E72ai?z7M31p)3$9#*&ToDShlP@Jur<Gbp)+Z>sVDp466hd7NI zl|FjrNXLcxrq5H%_BHc+H*@JOgFA5v>(k2;#iLHnukb!OWv1rMOUXB{#jQRY^tXFa z+N;wyVh?MZ&dHUzaxlWK@_WR#LmD@a)p=TIsJ?j3BP7LrU#*c_)oSLvJtb^sCN0=C z-=N2^^5#PBwI0d4th5un*v>G_C=YwLZEB5SxsY7%;kCsFJ!UGEFFhr%@jxeio1Xe? z?Y`YTz2B;C)-iPRoVstZt9kDMrwL)@>^!qx=rKP^?eY3mvujG)3vPDr>YO`Ey|&z( zeSh_bYWb9x>usDp19f+)Ot0SZFzZ{C!0Fjvs_(96-{xWc{^XL0O#0iqm;7${Te-aO zBZGw7_jPvj(~eGQRpg%fN8`}T+cPiEH)!9>;<|R$yq5RheII;~6uh)HE$gbT-pRau zQOb&mOG{q0iQIiDbujkM(#1-rmfUuz@Of0na3hi7m*buD6HA%oDi<Bw*7KF+0kgb; z%+Y`=!ovTo6XmNO`h~DRvDRIC=DCpGv(QxnI`6nF{+xOi(z)MGcSfnnd$IRT&-M7e zSTjz|<A@3_iI>Xc{<c_gg2UU&$JhTk9#mwDTYk$-^5pOO-z(iOFWL4u#`uKa+KsP% z-nx|WXU9cZKfT~&g9{&gFT4?*{QF#V9pm~V<<nK~KAw7IdV1O)=3@ubg{zKcXj}O* zoU)FX@j?9S-K!~isVbRSa}qkESU!Aoc<mEYDR^7ciu2lr$UcePs~_GLFlo{V+snRx zrVU@-ot3kBF3UdtvTWabr(J#x4q-dX``db>99G!sge{eq`?G1juFpTEjyF6PV|NJ5 zJ^1zOgby8zy9~UvOjvCA@9w?Ln{as39cH$wisw)CC+S(6F&Tb*Y9?ZkAkc7*!Ad=o z;~(=qhhyCfdKC^IbqUK>^NF>$IjXVJta3%sWNFKC9+4T-xvM)>4lbJf$t_@4LeajA zyB0c1>kQBTI8eFRLh8?sysWGYFRvwX#quw7=SO^7?s;ux#X|WlryUa+*{@wwpUZ0X zE=<##HTbu0K*~K!m2aGmc|3JeJ*PKc_AUI;#j)Qvvm)Y|gtg3<wFNWQPFsI2^@2)~ z*~>upCCbJV9*FcmXW}nZIhxC&7XOf&n@!s(_PXa9d&^&Yznn=kNO4YG@ld>Gi-_&Q z-ZHN>TMu54o*2F4#+|MOHz%k2F52m*<tA*uNAzoQrAZ#^+b{L6Eg0O{3K+7tSTVbE z^R>O$@%!4sO<sTNTIKF<-aFM{pYdU~C_kls!*8{{YER5c7dWW6_V%smd355YW{T0q z=c!X(x2lPsYdXylzvx}*;+lgudbj6CACUd6kRdTw_Jf0p)b$-^`F<fLx+2W`_e|NF zaB=pc#5E6ReKJ(n=P&Ah_gSrB%GrgjmQTE|I%n^jTJ`10TB)-(TrUrwe>Le*_0L<o zmgd_sDgV=ZzIU~1!?bk)Pv1Vi{b5gcLGs3xZ{}#9t9YNbNal>QboXsh$$xTwyBSh= z=Nx`>%{Jxn4aX|^3;v>~qv!82oN(~om9lMx&0RWuOZ{FHJve2+z3p1Sp{(mnJO>%1 z(ys8S3G}PwCPcV&zdmjkw&bdv!hK~agPd*80%SYi+|%65J7wLfRjXDr9c7SZe9Ew| zHtYVjj~)BouM3@hbM>n~^+GozIJ|O?xVE(DKT>i!)VkyF!vYJLD}UC!TlP`!?#Vl= zrnmmPpMCt=*Xz31V~*W=_i=CTc9)Pt+s@cV@2$Q1|Ngesufi(A%H^l+K3|@e5*8X- zUw_-INz3Z_oEi)5-S6fd*}Utw{hfXF)-wF{x91i6rKu@Bx;uAfWa!oZx65wZ2{b)> zc;?^k&BmM8Wc|Ot_FI6E;8c#4DQT%G_SK;`-)e5VwrbVvsjL3||6cp1D0Yg=|K2nP zrhlF`tEHQ{lhbDC?N|`m`#eOxr{;2uPltn=jJU88Z!c3~{oO?7lnWLLv#yx;wjEiR zDAm`tu;FpzJFT0giYrckSsLqAYVJ8{N$%^syQu*pto)_Z7rxWu<9V9JIz1Y6>c`!% z(5<<5Lq%BCJLB3M)O1u-ggQGoyN@vje!aaU<(5uDk`rqyhlg@t;-Z}$0*Q(Yu3CCZ zB8)4Vnv$HD7do+Uq#R;wb#-Ofv|z^y1IC#fR%Cowwd?J)7jOS3Jkfl;{cwX)LSX{K zg#>{G8eDuTynL*DCcJ&@Y=;yJRaP{%G4(O?GOH$LNMwjvh!_MUFh;uQDe+6OyA(Tq zoUy{;qKSh+-lQ)~jGU3e6F4V!DOqPFyDDG1dN((1-74#}@S``*KWHx0{k>Mwgs)@M z>-Mvg=eD>Ux%-w~`To3OJ?UKL*FqcLggiEjS~giFH)5&E$=kmfeDrybY8dBE&JVMh zxJjpDhYol0v7Sh=wnRTEqvzd^*bkdq9gUacxnC!A{?)g+M>c0o`IxGw%6YSEk;=*X z<J0_>D=yXSjEoDNu(SBxoxgSel{ZbU2z##96l?8iG&$SEGwXn5<+UyBkE7Zv4c1Nl zp77+nU*i1?lT{NAiOg=@VZftznq7nEb6Zi3!6)^PYt{)YHsDhAc@*Wpb&Al{^<|%= zB67?&+&sbd?cj>t*2~}UmzS;avwa_QJE;E9>dQi_zuvz7*+==?xixX+Z&R$EUGnta zq8umpdtT~7|1h(w8{g$l&VCoz*>UIAJIk3J<!Pb&?`nxCO(-@Je19hD>+Q9gE(*&8 z8cp~(xF*7mjd?!j3<UUqC)-_Ij_ig2Yhwu53^^2k)vEs>P=6aV8~+wWfTr7TZ_AB7 zV!e6Q{k)46Pq%Bn-t;?lzbaTDY|Rv7DZ%$Lo%t)K80_tEaB^T`cr4D~ARxfUbF6TN zikACJMv(><9xg5^DG>z`J{2i3J}x#cJ|P7WJ`Nct0TCeqA&w&t3lahxq<D;evNK6u z7vi+->R6x}bs)KT+S&G>(|*M-TXJCUrRp2|@B6G=eq8LZN$Cb2hi7~fzTHia(mw2& zF7c5miud<*4>QH%QVfA#gpIkF$~Q~%I!*d!>tlH<D=^LYu!EJut=xdAH9Y<1lan2L zI$x~4$85H>bdB7V%np~G6`SHd+)R4($YiNwF~={%?5m3_b5aE!PZ2ruX4?6p*hEGZ z`;;5@%_S9#`?#kc36g%)GQr?%mi^Hml~d)J&a)r+wJAPdx+h~wi_p2giMBaYB={8~ z^sBgS9X039w{zQRm-Vt(cj<4TQq3j&>6*(=mef8^6H46VXTXxA;r{6Cwr|rp-(A*T z^yIs2t}=t(pJSox*+V`SegA&v*YE5}8igk|uJe*TknOv@>~it*r;-O^z9e$1c4uGm zc>QbrzL3rh9&2X%U2Oa!{A2IC&o$PuY%(sJUAs5^)>${_Iz!>LGt!wUFQVD!pQ&HH zrgbgb$!_CmUzpD=yYnhuaN480?ag<;t>u_5_G#T*DGj~i%0orpG#{@P`MP!blWhwo z{d-s#-f`wvZq}0C-WucBx1JW+TK1u}TjyBHw670*^f&e2m81W5FOw@WP_e$Z>Z_)q z>Lce5e#`HyIbO<cE<RyKsrcQ=7Xn1mom_wN7wG+2-fO6SEyZ@G)<O0w2evm(&3Gj* zy6@xz$vw{k+BYY3y>$Iq{Zajv$JB2UPuDKH;~2V6?(jLz_4Bqo3~dwhij8ZP>3X$M zHtgDqWoBQkc>Yg3JVW5KV6@J(<eDQ<uS$*vhhNww8Ncx-x6|q%rPvc0Tc!%kn=@g3 z-JzW(^+NK626HFK{b_oh*e37jGp)ep;AMNogAX{We>NMfI33HoCpF}L2G5OE!g~Gc zk27YR%-t|?+qHnzN;Osq+jq(H)QU3J?kMHIIQQ@m>2>d$Iez#=O}L@3`C;0mgE!ju z9OBl!X3eP)+gQf`MfAeHM;DJzYqF8)mD!ha{YA<xP4<RYjk7kpIM2uka?#fPwX$G= zv}o6g#*2q$-mla(nD;u#<WRlgsp2>Cop1juT*!6$DP8hu!B@t$n!R>v>_r|Xn^sRc zxoGm?$wp05e?_~#&C76dSzY^O^T&lhZ{|3D&q`<zRXVro=JQ>XS}uGj@ncqu-|i@N zd*-h-ODcmCuFh<`ymUt1;tPL|=Dm9PF3NoG?)J^mhIiX;{B6H`<^8)T^V(AW<<XXR z**5;>zWe3+-S2r-<^Ac~lXmqk{N2C%<@LK?^KQM9%imtKo7egK^%t==j*|XMwtsXf zwNZTkn3JW_Y0pIUbx%4g)%{~m3BOru!>HrG<@+a>V>XHR&ItQ_K2mA!A97Ck`CFSn z8~>K?pIcaMC*Hdt9QVbg%H2QYlJK`_^BgV<zp}M04D|0Y|9T|U_Tas%!VO<tDx>{X zt_#1bwLO^NKgax=%S79c_ihSL{pM1Y?H_Sl_~l;PhlTz}W>+tIHE%=tca}%C3-8?% zzW)74MZLew1K}sUc8sn5Q_6q1DA^_6dnA14$C2uO|Bxrb?|tn8r~0Rq|7aE5?~(tJ zJO7W;p5yvjpSY_h$16M)e-v9+I3s?|_Mb-!>m2Vr7iak8TD|!GoxM9guUlU(doQix z_s!kg%vasJ{?YEHwBGwIm7AZ(?k~68^Y%m8=5o#akiF+0)=oCRaWC@wv-`X2-WOHO ze))D;`Q3XfD(;{DotD32Z}IE1^U7=Qm44fH__pu+ReK9xm;W#Qy8r3i>HEBS^IT>= zF8(q5<30P%zaQ@XT6@|4ptb#%Ptg^R=gZZ3y#F0`cmAhs{2ISQUhBkeyYZL*?w9xP ze&uDA_ooURu>A7l7Vop9DDE3i9HK4+w8Y-H5V>C}`Sv4`PyI&@g}p61IYA-CXGUpo zN@ZZe{GZ>=o}cNQY?PYPw~vqSkoWwbSH+6?=bc(*vt6d#_UDJcZVk)==hc#bhnqI8 zf4zOjDY5_T-6c})dJ!A)c+3ngZj6}JIIXx=e&-t93S*hsbCxw8oc^eD;iDMmbDYcD zUcFIG|L|O9{<*JK+TXG@&VOK!j&HZ$l_(v&Y_<1?OY;M_BsedUiQ;<AcSX{^c1}y; zbFJ7_=i?O5$n8I^^jq;$PVSfVb1n1BSExK>thm~1wK`|xW92<1Li1RQ(~{Bz7w}%u z%j8<x(=RPhcwVFWTvCe2!mk}hIf;KyFRPmM#BrIN_j4gmOYKFwDm3M*Ud$J`BX!?< z!S7inXJc7-dNs>$p2%rgc#+j@%9N!nPb8k+PUX%nJ@MzjA~!>`GRaFa=d6VfSWgWR zPy3!0JR@rvYxRpx{;4az<!|WNE9BiS(rq=Z@sf9K(ZSN248JzH+BD}dMNQ)V!ntzc z(~Fn%RI@@;<5=$UDhsZ1-y!C1r}tw02R+5<`hEqcIPP*7YXmN`(e2A?K0QO5OT_(m zbBF%ZrN(ETsFvjIU3;Fzyhl+!=l%80(ucJZ-W&B6`%Ks!<(KxP!0lMrJ!L+Hg2a=T zMb>Wk%dup#s-sTC%9$Ek_gd0zr${y_v#jN4z2S6l@~$g8c=MRoJ<98taEkBBich-? z5AUnj_d2xYitw8>1$OgEwnvlHqk<j&%)Yb9@WtHSGuiTXJ?Z?x9xdCOVRSVv*n#6i zufnTSFV8OCdPTWL<J6L#)(4L_u_szZOgy^R`|GE#VIt?NqF!s&2_Lxdbq&wL`-KVf zau_r=EnMBm*~^q1A?Lf{O`iF0!=F)(Gi=P>u$<$Vdx5j}qI~Q{2H*WZ!zNDqH%;s7 zg_Ei`_TJuP_Cw*H>+bTXcc1jGUo~7gamtb+UB=&&{#3uJecb1x^>RZ|=e<2K(GI+N zKd*KOFd2otV88pBZ`y`w&WFmQ#CC5lf6!O+q~oIU#Pt>84H;ZN%fdM}tD2>|`sKRL zXp%}7={UYjLa_Aq^L|ygQ!ysxN!<c7-~B9|&3OMu-`iumEnRMPt?s|w{(i0k`^~?} z9vAPs)woz3J0oG7e9l8~i}p+L<bBrVhPyqcx$Q64eJwFrvU0NO&B?akU(19vNyy&k z_35xW?~qu2WaoYLsjn8K>uq)^U{d)j>{jr~WnXcO*6J4djn3x^enb?W{5w%??bZ7W zgx4}h+nxAjcVpS6Z>^$lzC~o(X)j*&IlQ6F!i%>dzpH~IeuBr;@20aOUcArQa(SoS z{ri&*7thVu&5^ZOFq>n()uLqcm6r>rt>xW$R7TP0^znjg4`j-fGE(kyzYN|JV%ntt zD&TEnweY<<Ehdc&ef<oTuDeAy7jsRSt=3@t@%h572J_SF^3rWLZ&tk=`zN@@%3-_a z!mnpuU%on*@7uJb44F^M19X1=tlZ77f6YJbQKG&5(kI!vH@`_FPuy^%oB7##*Zq7e z|76^+_uV&TiX}_gL-#W^4`kjk&Do>-+PT8y^NptXs*KwmA7#U<mEv|)zOJ=1ervz^ z;Ggpqe~%ZN{aE~Xn)#RYiwy5e9B&fro~A7PhP&=)dEM+QYxJ0+)3aNeG9|Vjv{x{! zo#8o$S;*$saWR=qD}64OUDoT{8M=FKp~t`TF%vZ74tDHWa83K}DOSePA1gFhsouBh zJtW#W=U976(@fz%vsmMAU2`>9a<Oew=ntKY#Fa$`IpwRkr=<OqcjMKvJAQc4ql@RK znmo_Rx3a$Uyu|jWu)IQ1QCwVZ+T-$2p{FOq#LXt%`WQF&^K|LJ)(a<dZ|{=ao?P(W z(@WVs*UndTy|;MZvu72@^48i<`tU}GgW>Y&(wTBD^OKUcO?rCx+kdqqCuXS}x3G3o z_WZtLb=Kr5Er%_*w4{$*l<?%|+qHBp&vnInChK=zFWBpFfGe`*XYs~c`#$P)bGvoC z%1Hm8I)%mY#vbjERb}_znr5wh<8Nt`&E4bc71R}b-g@G+C0rVwrT$GzRwbp!hI>z1 z)U~WZXPf8~sn=S4PF`v^_uo5lmN9nkcCWLB5t-|jdwMvmvgtmXvE$Ei?k^f&ycd=> z9ZoH~oqqTCQgt>zgKO;eZ92g_8n;jCeO-Rn<?e5j@`|)}ot=%gKRb0rH69+>^R%X_ zyeckLZ1%mUJHu|3XROjavTTc>ww;NhTX|Q=y8!OzE0&l~;9Ys_!rfc1AI`HAR@l9K zn(~wb%&oUJY9+R0=&2ri;gV@@7q?;evjZ=FMg=}Nt*y}T<meIgPjA&13h|pByXhq3 zDLQBRl|s*j2`AQMh+YWbT%dVs-hRt#%OkqKC!IOpcJ28oy-#QVu)nfjzVw~bM$O9q zy^fs~vt2wqZTkdY%sjL2|FU|;j35<`*NUO}-Vc|GaLsB?n^0=q@_^B)(uqNT!c=vZ z4-MV!FDlq<(qh+(iZ49<ti(BCQB$k)rsTUfe0)uvLwhfM5>8&U-|yq(uj0S04>FxP zUf0rh;SIO#*~n8}5`vkNRIil_rPVFot;^fKVYi8>@RI_=>aYH~2A3sY_dNErnpA1w z7F)S)zJJur<LYu(N_HqorJa_X==95qxm1O%CgN9!;3H)b^Ldw6=wIxc<zl~olfgWx zzGF6DHgTvZiRLKrHtx35vXFXp?P<f7_#5VX5AAs?{`r=V+VY>;3EUsk7|xkZUv3xn z){VL4?_Zwf^2>w*wY#q}x%hpUT$N{coWCWm@@rxAzQFj0!B4v9{bJvCW&c-3#pI~? zUwYn;xA9##U+Tqfxof|`L(wO*PF-3ytLE-=9v|7vKufW|E)x8Y=dmZ*a^`a-Rx|ng zxV=2CQ`Md3dL#e*k$o20CBHue-YGw1^6^3Ow*U8g)y}8XE8CmtskJ-&Q@OWft@!&V z#w%V6_;)HDN;59E4^5l?f0x{{tzUH}Ufpx}f>M~GZNN91E$hpig<3qU`(pAL7q#5Y zKP17&&a?i2iNsQG*1CHeC-6S@FuZW@xbj0U+2`fY-*WbSG5cST(zW5nNi~yCR|W1} zmkMs)8K`ix@=Ds&7Ux{o<tiIRb#q={I_#P7Ur273Qza|2x(?^|AKr^(gWU9;yszsE ztuxiypl>W>_PeRUrOsz=q4GI<wziMI^sg9XX03ExXtsRr<?ClunCoZi$cH=HPg4^R zjJ|p7)|R838~REs8)ufjTeISP<=-9R-z2qORPOFL78cQ}cIa%}S&?Z8N&jpLA9PnU zvX`a=%#VzYH~uz>bM5n5F|&_zq)n$?5S>!?`i;))qHkgOZod_`iHSU(XQ&$-K6iff z+1jiuhO;qWC++#<d;GQCu_TrIY!WFvFG}a_fA&qkp{?-dzbW4@gcq>S+FgD3Qk{87 ziO}lbewACL3?0l3EA}n9cPjnXnVhV}_ns<jh}k%|ndifWEq<rV)zW^p-3)sA?9l(O z<){C(nQrj&K5oA^!K(d|N59IW>OG$WBW7#8T^wg0%Pki1@m{6WjmpMA7A}LvKZks5 z4j-9oH%tHS*Hd$pj%~S}_OF!jfKRQp>DOA@4M+THuAR=TJ5sk{o2o^s>2y{TN6yzz zznHatFEQWA#v<{2=6jDNDGk=wJlJeCr0)70y6Tw3<~YCTm5jtr<$HGAwJh^auZ;Mb zv&P%gN`zs_trsC)E2=^g&6j@aERN9ry1rlOsNQAcWi_lt8Ix@S=Re(eX|IT>exmuK zlqJF9l659;y9GsBt7aA|xSzXa^mG2fjdLfz;;Y=*sg)+p$jGtn&z67hgL0Q^@3}lB z=Hte9l^SPunmQ{e*M%=THSwI&<C3lk=9faR27eFBek$-``seLutL;y_->mfh_Nc-0 zU2gi1O3vO6w%trSCd(?XowRjP(XNY06XWYXZ@SieMPTv!=WWbY94~w~F1YaAvG2BB zZ{XASKfd;yUG%=|*h}|ck779E*es6vDlC2(T`1=f^hG1|^c``&_|315Oq^8WC;jbz zV^l+><D~`8(!%?-{LO<!Bp<CS{JZ0c{oZFS20ClFt`@5u*PKxL|D{lw>4qiqloB7L zvj>K}-+$0xB}4F(i?XZrFHLY_4p`#&`}JwFy{q?&$?r^0-g(sN>-T=<q7#2lZAq8i z-Kd+*car6rkV%!%)1G^iE0^rv`p=nnvwV8w{r%O=Ilo)}&X#g(Qwz|DR+y*Qykb)0 zwWY3Jebbs7`WbfTuGca>WD=>B9cjq%fBw$<eETcko{_tzrVzJSGXMJ2@9Q3?eP3<P zAIok3=#P}-?T?RE@Y+gWnV}!MSRm@8?WtD_7ie(5ZeZLR-{8Bvuq{_bE1@%vk@dgd z!leBT2`vKe-`sv&)UK1q&cc}VocVwPgMI2LkBu*6qb`4{OE|T;hnu^Q;eevjQ=J;y zsFL5mV`E<R-RggNkf+U=Z^iuVH%9iUh8$aWeU5sp-uHMlk9LGic?ILgito`Yt_G|( zy}p}$-}2y`4SdJXvHtH2;o+L2J6m9qPwTv{@W8K5hdSLfo`qgpq!2ZgBUEVZB%R#` zM>_&nyX9`px-w(4V3kGo_9bq|)v6EFmhtQl(7biPdch4r5!rgqqm_Zx?@oHW50_0g zUnyAUWUl^idBHXh-d=;2Whd8d(rw*s*~zH1=gy-Qx?7pAPrURe{9>d~>4B1I_q)`b z_Z?X2c}BcAPpa7U+^i!p6N@L$h<<QI<yrR}v(BGP%a<juJFqfy%AL)ff+n8FR{uTs zy>n}@i)f(bMt%LeA5>hN*kWAl?li=f2zN+aESkt<%dwZw^V|iI<xZcrUsUIMX|i`g z+dr=*NB%~xn%(u>qhd<c{IIEK9eBm2M}BzZ{LoBY=tOhmLIt+eg7U_+`#uXdo{?|7 z^m=KGqfPC~#I+p^Ph*7w#g6{pY*XrBTEdfFIaB@BJh{BdT*XB%>tCKY^O~1A>~!(O zHGDI~UR_;0J?mf5$t$TRwA_D`OB>XfFD<AF(Db~!((lS6&1W@Xv+giW|H*eDx9rV< zPNB3tg>D|}&HZXLx6FR*V);1TzjDRuqi0o5nFyMzDnFn0@Bd}%wYUCQJkkmj_*Ta0 zA@Jyr`DXSc1qM5xi%f?C4o%Ou@Ah9D%3b|;{-LUsmK$eX68`n$?5e}ZGLG1Oztz^R zWDv8cCA;CCR;Sn%XS+;unR|h*75`OOcrG!#O5@>?P4J#n;-J=ZC2>h)`<W9b<o$9| z-s`4b`r62(aH4n%!$F4i4FCV%-j?ecyl;`Fytvr50F$TOtYRnF{^dvaYJAP;-|&R{ z_DRm4`M0;7E$eI8U>f_TTKZG#+b1nGiPn}kxAi_bZE-AAd6wpB%jn75dNw>c{UYJ2 z%j`_c>9ZU;&U~|2vZVB)$t>@EJ;^bqvnEYe;IvCRB4L!}s%m1a>eh2|h0?-_MpJ4O z)s9``4fEadP{s3Ir+VF=9na$C{)ksexZ&EQ=+={ZrK`nM&|KR6%(2`f9fp#jf=niM zho=b_y?-v<e8_Q0#;Nbs8gFV|e{&OHaTH)N1YKkr7#a%Nyys$KY;1gaTkgdv;=Vt- zmhH(nrLMhg?=nxnS$n7FUf;6DCr;T*;Bn|bIho`dVMZ<{KG6lj4n2!B<>zxSGaGg- zs{tA4D3IJ^cuWGcB?~kt3>pW{y}eDvbJG9+uEB98Pmu|`huX6~aT3(YIJd&Ze|5nG zwj}K?Co|!M(p#V*Wao3!*Z+RDE%!){l9H3q;taQ*TcA;8&)c_WHM>k&@_fqeZx(ri z6XW$y772Ow{kJ#lTK4CuJZK#HzyF?>GymWA3cHzd+pTAj=JZd3i!-8<UayJ09$x0f z#W_hOLS|a=lPA^o9g}?>S7o_;IkO?x^PGRQvu#(N`_`2Gcf1}l^YB<WTxfXM$i%^Y z?cK@e{gY2ht9KOg_^o;p$jQmc$si)aF^PY<>E%ZkwrQN&q;q<c&Qcvdn+dK5EuYW% zUoHK1gHvEkxTxU86KA&Mo)kU0KU}8kk*SEQuc)KRoSFA?+GmO$EwP&GxBT+Uw4)1S z1E-`$PD_p4#9rij@FB~|?*1oF3X&>*h#swezxVsRJ!>s;c(uDiud)fAHNLz}<^T2E zWNp4ptF$B?T$GJ3Z+oinbH941sZv$ijcqE=m;1Io-J~^(cYd$n+KjkVH;YNrf|VvK ze^S1k$<)iZLgZr|Lk7cS$rOg>O&X_}G(%iuMHra5q&Q48bOLx(EHp$^BoufAWGp0f zR17RE6f|^XL}UznbQHKw-OS}<PLfW4Z207oSD0a$mcoSBelBOW8J29Ecu}Ld@|g=m zr`ysMiWxcm54FnU)+V<4v&(MUEuD9y;I+2EiLCoQ%K~hk?45V8qv42rcIW4knBu7U zd<t!OYxd~4ok|Nl_PJM#;n<XglBZ;Sjiokpr*B%_$dd1pkauV)>(cF}jWdiSqhGws z+aY*(?fM3V^_AH(+&)hDUd5)&B=kGNPNF?I>ONmWPhL;W%>=e7lO)$n^tztBPWbHo zV{%u1%q}qtP1IUuaxIbJ_oIrIdEu)We@|KZVAZQL-}5!3-b}j{EPZjpd<DNemB+yc zJu}$8GR>JLB@m{){AqUGS^v!&ygOwtHeC_VxYBz_aHsg)r1|YNNei@gI`wIksV_)< z;VU_d+lqHV+u<octG_d>zqa|y`G*ZxcD>11({WQR`T4hZhtFm^^UTdzP$9O_$m+Mk zZ}+H=KFn7&lg_PWmU!2HYUkHfg?WcP&aGvi)b!)zdEUQ1j@LgXnqRXMD!Re2`s6x4 zzpMI&9C|ZSr?0FQ$TIu?=*#o&X7fm$NJamWqFvk}ix(6ec;$OdUv_H6sVg6?3%DyU z%B)I$=-^ut=)CyKzl#4{U)H^RQOd5=x`s70V9vaX;;p;=MJgrtOD>tM>z~`ZW7_gl z)3qB@YToefTC~;SpXJMa3gxPTb?J7~HSUWUbl8<#*x9m6es!39%#}&9+t~iMU;67W ze$(-}QhVeI_xZ)=KB~5FTW0fIyLI~3fMu~-6U$Bq|CXBnNOE)Bq6=bE%Jr{yOzxVq z<~~Qz9dFmZl#(~kn*3zmuG(-TyI$tMl;5X=FRyY(aWzibQekQPfv022M%ClfmTA0K zetNp~L2At}R-xKgx)c7DT=9H<@r87v^oqSD*B|;#`M7h!TP5=;`Uma0`nFqGedOz` zv}j#bmwWZy4~g<sVl9_fTTc)%(#?EWRP|uy<#%=qpQQgdJ0UN}a=Yo#$oU$3*Ram{ zn5h4wYa8p&=RV)Nu1t0LVf!;~!H=jz3hx#=e;0c3XGIh%`+K*^KNM9wG~T%PPI4;e zjxqAstGMl#qjk#juRGQGi_bZ=h1_~^`QjZpPm|m8v$u3#d~x`|3S+kktFJlfS?XVT z$-77TmGf-pvvU%1e=IvAYoRjX{EQPmz07h<+g9GW9(}z)Y~wdi{ot8<CO7viZ}z)! z?6!7A)!(hY|7#Q^R4lohrr!F@^3*f?&CkXq(F!*>LtZa7F`w2@a<Rj)k?ow9xsan@ zTES~)`?=puYIgO^xGA5Le0k#B0+C?#k1H6<xY`4(&g*R6nVFJ5L;AN!!Ry7Phq*6$ zJu&hAsd$9F`M=y}t=oliM^=_b)o%^lQI@H7qI!n;*XySiS>%cM`U)O&o#SpI_;!88 ze@{P#8;coUr^P82-Uu@^``B>oh)l%M8J)~hlZrD$U$Wb2r0_`Jsy&xunDa$opP{a3 z^x=jizuF!5m1K2x?LEn#W3QOMM(a<|`*TM{HpWNkc-C4y?P9C`wyCvE%i!(Av?(fo z)IZKV_&;`mIrqW9LoAv9p8UKh6y|<aR$zXMWCwea<koj<O{@CecW*XWSfsyCn^ken z-IWTn#MWJW$S~pRQ&pBv(XD!G4<y~-^qDQvdob<dw&2^U>wn+7exzEXfsrw~uvd>k zRrcndt!}sdmuud$-g<zqyY>THpEz%3j^Z<Ax!-%c70fp-;yh;UCaJ=rH2dAjU#TLE zPc+OHw>qqsKY!(@z`7G_fAss;ab3K$ez!qKlgh!z>Nc-?I%cwRRXSbkcx$hfD4&~B z_e8qDIiiB??ppt2U(A<k?(7vdU`pKIGI{3Zo^w{E9U&*a2ku;P^py{T^1{q7TdHL< zJ#JdL%`3FoH6>t2D6@jjLb;PBr{aFU{M)>>S&?O7&$^e22_glo&HDX!TZ{ySnE#a= zSr}iXa_rzH2hHNef(_3+q+I`X7;IOa#QEyYExlt?@-JOD*W7U7thuVk_p2o*O53GR zXje?XHr4x*;RpBkHJgJ|x)ru(GZ=lk^}+Z>4Ttds*-Q8MKFHZ8K3I1DT3+dOBUA6y zW*Z7@_G@zloY!oYQ1r-Ja{ri$medY|#GBpcE|{nYYnJlaB^9kd5Mj62q33a+>x<Va zx7W@*Q}+C6k*b5ir`-y=JomQLC3!?B=^PKKVLH@bv|#S9gn1tsrn!IcxwYhXidBi& zK92;GS2yHbue?`y6O_0&;Pd^SpDej@!7QyB6C0hUPug=~LfPd=wYJj*=gR6P`TIM! zTC8K;ndSFj?P`sSA%CS?7|jGSejhArXD;ZDwRo}I_4o8|ykSl!65RHlTXVGfP!P9^ zHuv7evlaK7-utjG;#0xJ_;-(%a|*w_7^<!EXF_5^rodcP&ue`i5-jI~y=)Jw_I=I& zlB>yj@5k)=B^*(qZ#7+?%ieKZb^6Kj$L@?5a`F_n<=khzpI7)Q`slJp#+x2+S<cht z6+O0{S@GMwrDqOEye)UsvOfBM=7h^82RbA~8+R>FYN!xPZaeexhVl&lBdU`$(v=JN z_o?}9mb9ssee0Y1R-uzgIYc#+Z?Dkg$suz6PXFCF3MR@PEva38QteW*e*X8@@h3%C z<FwWNb<FPd|G43Ip2yYEJpPh-Pp9d0@8aVE)AmeOVPMjh-=*&3)0o4ZH0gZ8DLGx? zlbbl0wHW?SPF>Xg<iZh=HYfEnPK%~69Y~xZwtM&Gj*j!ItIJkTE8SWr^TDb~XQQyq zVt004^@<Rd(&jlSQ~SFGye@=jH8gRXPW@oC-&uI0VORU}dri*KN4*wr-jOFJdduza zH5K03Ombh8B)OFt7BoI+;J)%8w5M(V+HT*ShYYy%{&MJ=zts#eu#1^xk?~A#=1+ga z+23Bc$a7v0-1+a@ZV&Y<FAqK7H7&3ZO1`A6c4gN`cLP(8GIP&E6Qd6A+#Ryy)jm^; z-~9m-TYA;Cif-&kf3ndwlTl~kkDjTbzFO6CHC#Qq>p7x*I}B{TW<_o;Zt%FJByIHS z!qMc=!ibe8T&o!yBL1;kX}VayzP?<vZ0$!DE+u27ebqPl-=}ZkkzaaxRp*4XyYX#| z5AC;#O?rGm+Q!Ggsy9=_KW4^kKJ_n={S(geDsc5rJXF`UH8M?g*@M5+IKD)=@h|OY z*`hI9(XOR8DbeU#WyY=CHr8*OK4*Rp@7;3!$iE-I3|5$4vJ>=NW?;MKaX~}I1&w(N znOhF2cJeG2UN|-W9&15Z%e0$(2A7h3KJ`uACtmrF^?2O-m8`K6`7e9EPq7s?lCoLo zGpXY6oZBn{72A^!*)9LneQ#Mt6ANos#nkQkpR+1N3M&%A`?<QTZ^&Cb?KrUZVCRaP z{1P^gL*!QoMX>froL}F2s;uPMlFOSI8}_lxEmaKPcKoW?%CDQ}sH^#ZcHBJogqUg_ zt6)tyduaJVF^Pp*y`D!3t9Ci=n|8$hMyR66`ifh=lh&vNE~?88{b7Dd)$`TbypXRu z+g!J~m|HzisGGBUA^-N2t=s0FU3Bl(9R_)=N|Qrp<64{-gzZ-;_vy6ccy+Dh?oRPb z4N4YQBVHBo84DPddrjs0>l?InvdG2GX8w-AGd!KQZ#Ap0{C+z~+?VUH=y4YH`5%H; zJh=6PK|5e^<ul)h(>WGObhk}tsLb%_xHReCk_|lk5+{?Ebftg!9=ft3=<9bDcIM3t zg2BJneEA<Fa5}I{YEs*;qsc;5K?cR^{epLK&F%Sre6!xWU3^TtZDu#PH@!TRy8Deu z``*2w`PD*yzfWCQbNkD>w<2}3f6Se+^+@icl=@el_ZnxnCOdyw67}r~?~5BJKga7Y zi%7flZ+ok@{p6mCfO&O+yC*+TtBQ&2R!`MEFSTyL1GhQPxtbp^@C7QK%5zHpud`;M zRnx@lA3e*|xED;HX;&D?u-0hv=}wO$hswS_-h1It%74l5IC1-UCznOPIE@b|XeqAQ zETsC~PrGP8=iV)Bu~XLlKk2)M<8hUr@JFAEi^?42Kb^J@Z;7;QnUL`J+}~4y%fBC! z;<Mf4SZc8Nw$+)yQ$>t1(UsPFrfN!j)}FEKf$x<!S01|<q^j?^`FQqemmiZQLk!RN zTJE)YaH}jmYgYH#eZg#^tGOBbI22}`jJ|ey;j-yYwcR{3^iJk=IAv=x*S@*cmGShT zZr9Rj%^|f-VvANT^Y5&letgyD>E@yLLmIE}nKlGl$oMv_7FW=$v^7|_c*Xj{g_}|) z^Q5s&^*@y|)00JwY4#!2ppTUyIbAaylGHz1@EA$9`=%@II3mI(*qm9xU|e%0NOg;% zoc=+l7d$m(LFKC#ZZ!5>dGf#GQlpT)Q?+7LbwqbcMQJ5pEnlfp65tc3Rp`p{q$5uC zVx{+!^_^X|7x!pH<?^(OHcoP}GAe%g{7&}o(j^y5LzK7aMEP^GZPed8=eN{<x$liT z{}kWJ{$2Iyg;th?jpCd8C*5CbIwpK;-Cvwvb>tM&(yH|_tId8iUtLiZ)s@FR`NjUt zyI47oh^&3oan5_mjR|WWvKoI1TB%fNahR*~o3KKk`|;GIrp=09yLIkv($*4uS2U?( zpNfBQL9^^Kwe>mQZmctWxgz)Bl_N^5vIzlQqUZM~3AyY4VAD^mJ~bt*NZD+g(4<Fu zuK(a#%Oqs&b$5Z8*^HHs95Om=S2etQoRhR&-hnOh@>@?IRtBS0!Y>cbP@h-m;+E4` zkoqp|g+(;K!el?C<DAuJfA)Er#5prm?7g;7nC<3`O3~;OPiwrdy>vJ#CI03^_4)8` zUzb_f-2D1jF2Klrb$r7Hd5uKXnL)-D@td|;<UYQ8y7#$f0~_1Lw|5)b1+1@0%nyH` zw`1d!<*f$XC4p<M6@6R#rEC4^&&=jE+c(YLd*(26f6UXlj-NsscV$S;`O$RbZ-mEd zQ=Xeamu<o({Q2CGd`Rq6dR66$MDNmYZolWpWEPz~U85kq&;0PRBYFY)yk?GB+qY+L zxw<#1ch}mmchMqNOUpLi(a6u03jMk+duME(Shnugd7DDx*8lRB$_?I`5tb8b)%t4P z@?CcW#RJTmz0EmpFm9Hg!Q)o#z9XA6V&>M!vg^v3g5Lh29hc9&*=Z58>6qBLKjos& z*51B<y~H>sbi?;*)rw_d+b*66?0kRUQ{=__nO1J!<ifYs?n$|1yXS-C<owrPcC>Gp zym{RMi6ibMiED2JU;H{{jqu)smyOe!p0YVA3V$oze7Usfw77h-T$h@%?Aq^D=AU~w zc&$8H`JGk@etG8p(7^S+{Gl%OWNu|v>5?CLo%{DZJmYZxj@u=R<Jl8Tzkfg8&a<`r zR=}+8TjdomFTXzZ(q!}ebT_fnpDHY(<kstSzsxPKveoBjH(q@+Z|7W=ox(}w_r9F# zy<aG7^OWa2$I;CXDwe458=U^UsIt$d-}qv1Le;U5^IF%HRjV0t&WqnIeqQ~j#b5JS zy3fxwmhy@(nNw=#Z8>lG{;^WssTuPlU#0K-+j+vi;M;ru|8xJ$pU<Fkih)mafxt#1 z4<92<X9w5EJ5S^Y88;qV_E9M3iAk6Hp_CaDJk^+HpOThgh?JQ>+h^lPHnHfIpedIN ztL@^;g@R)H5AKP-I&0Ql-nhpek?}&uXZo-gy|FCiQ?{0P^x>FAip9L<<U7Z0G&VBr z=H<Jyxbz0oHz|g{Z~m}z*z`qavQD@Au*A3IgN)I#l{!+QA(`7IU!OB=TCVk5Z!5kT zAMQ<EJuf4VNAcg?cM97re;;MM!v4H!e@fvUX(ll#wuM^1Px&hxh`Pcb+<IsG)aT2- z%nh5lw(z%IB-fXBA3gNV%;Wz~d&n;nw#aFboQzet%iFCI)-kJI@AY)yS$I!|X~TLQ z$#dQ=Z*8;|PAPPVY-5_HHErz%7PBCWvkgxkcseY#^^g=gy;-CA*tXXf1wVbCa%i?v z_3^;1+qzl(I3Ds#u3szAFeB7Ub<YZkzhM`0UFNNd611D<UFi`w?@#oSJ!_}RxK|3> z+INY&RBpf880EFl=Hw&(1o^X~8uK?R7F?KOJ5k}*h2z43n*u)<USV)^mu<db5-eZ0 z{jiGlo4}}8mYF7HC%e}fT}c1cS}YvR!0n=LlObgC$4hv{?Zt}v27F1|-rI&|M{g30 zzOgBOmu;_}+(8TWohp(?7MNa@$Y==D<XXFRi>ZReR_EB0?RPrOCS7|oP4kbClgF_m z4oNc<&aF9?QqA4D?B(W?AnrmB!^RgE7o_L>2s&RYrONtBXs(Q`{c_GDPYk|1{C3`W z*R7vPOBe;E>TS-)2;Jbno^-H=i^qKZs+y$IdCFxrbEWe0zB#GS__ThJi|zHcOPjTJ zo3kI{__0Jx`JH)>MP$g+;1ivjR&j1{brD}3^6SPk)n?1W<b6N$gdIP8oc(#(-V*)j z%g3d<Z|!K<${c@)!>`dGXXc*siOTb4d+FKToaUEy_R@RJ56?_q_)eKPllMV>!YboG zKhCWPT9h;CQSqb3H5@OUO%)a{E6|wx-m72!9Vgec7c4HDe^2mH{jM8ql^gZ<&Z^a3 zPk6uWeb)D@v-o8<<5WTRV4YsA<dCO5GhHw5PKi=w;eNx`^NG*!Z#|z#`_UbL_ARom zm0c&?oosdTt?<P;leW&)|76GQ9`D9AujpE3&u#sHv&M>(jo7;_`<5mbT+$H<I6K*8 zeS6C7)lr*2J@AhA;aED);MOJUw)l6cg?qb$7g$)B`7yqcZ(B8EuBkiM%YdJrPCcJ3 zH(m~4clS};Xm0lE+4Aqv+ia^YE#Sxx;T3;=>#59(j7FZloEq7i9?ov7Q)kQRvFOgU z_$JY(_%<Vfx%-~jviBz*__%GbFxZgz-u%Gv|7`NxSLPJWQ`p^g|Ll|n{Y!tRL^qqy zV|Ca*z52!66Wc5%S^LyFO~_P^Sk=&Lz&17hre}~zWbfupXEL&mc*K6VyUfYx(;E+y z#KlV$<v3S_EowO*s4jC`TTFH9jotg4T5aZ=g-$5EoX{P+MT&#v^E#`aS2ROb&o&A- z^L}>h9G8Em@%7T@3*4S0s1@Ik+4}!C-*wirK~i~VQ<%>$>ETW(`~CaayDuyBdhR|r z^=tk~mYsfnJ5@DLJx`82sS;zG$hIs^^-b`$zQ>}wy=RHU2ATE#2@sm*{dty8fr-n- z3rpSX`7SS3?pd;^T)VeXso<af>X)W>T6(8NPm}C;@%Yl0vndARe|)kY|LSCzdTD;c zQtv$}8)qL{p&ymZXZ-H!P3^sZFLX?)T6i=uNG`+D_3!zr+KKOP>Zd;GyzBa=^xJf! za<@D$r}#TRp8Y+$DO#menls*NvAE95vz?m_&l=6jl~LQa@Z-gYb4=$4Tr$;4e}A*9 z(s%mKW6P{|6i>V1y7;PyWnt*9d-=a#KlQ8SxOY~Nc}a<NAAk9Z1#>R_nm(Ot#x$Fo z4tjrIJy_4t#~bpECCTfk)e$9)&yhb3JM8WjWb(@PsP=y8dVS%Dg7QpNZLU%i>lJ1^ z&wd#PDDa3rO69Z23x1Sx@M-qHb83#xEVDKHTMud+vM8|U{TN`#wpZ-#3!a%)555*^ z=if_kw~-P~t8G<Wq%l!i;Sk$3=|4?1hddulpXznP_Ls2x*G~4Szq9xJ-Yt9f`ntfo z1#6z)olvttvvxV>O4D`z#SXiRLg!q(Z?kE)<-WsA$EsN*_-0MWYUVO;=dU`Z^~Er# zZ6(uB)7LKN1q`+p_<OI}dF`sOpA`4^EAqSASKN3gz_Ishq3s02!2H7K%Tb%9%V!>U zE<U%Rk=Z1)@1lvLo#-sV1J3sjb4e&#`Bt76UM_mz<k3~JcU>30Tl;Q@iu}2oQm+&G zYp=WJFKpJjb+@fg;e9(Z50BS}5Z1cx)Y+a|T5>|{2UIGz2<~Fwk|;hi{b1f?wu-Mz zYZN{!<`*A6H2smShsm=)ahHEE9o}B&c%pgXJddRp#RC8IKi%;1Tlk9pU)jZbJr*V` znYU)Io{VIYhnn8(+uU4!+ae`%*|aZMI~gn$IT4&K$#rB=Rq>?GmJb(&G#pZ$xv#LM zC?st%5VO+#(sbNqUYl5O{kboT*sjzwv~9|gF7Um?=&)%1x*pf2P0I|Q9L&*N>Z5rv zZqNVsk8&B37*ZI@(@j=~30|zfoEJSyq+o%?x>YM&{CsYGQb{i|;jrYpr0~Sz#N0cf zqEkEm-BwdCcX^j_NA|esyS~S7ARy;lUz_QfzQd;=pexLMu~g{szz_&{vS_iRd-Ds6 z6D7)*I`?r1et+2`8F^Lc=Kp-*t)WY&7$v)fZFTwf|F%o+)QKr)WF~NO2=FrSiLeRt z@bjoVZ#|!JU2c`7^}qYuZckHDY`JrH=FHGgLBYTKbGPeO&O)#~x#oP{T^2oQ#)L38 z)lJ#EPefk4yQyW))&3b%Pexvhopd5}Zr<f9Pu9H9+j)yUp!LX(In&;9|Niv*?eqVh zMH0!|Rk&BkH)Wo#{JDQhkeB9C6k?{K^6Dt1-~V$LZDV6=o1mw`qVnY2nyweyql>)2 zNB4oJaQ5edHWQSAh;6!_U~$M+gfl(SORuXe&obS-DroMXYbp1qsl0!+dfQ)@a|PaE zE|X;hC;Fc2dN(PiZPN<j%K6KfizQqHIt~R2wM6<Ewtr+6W^g>>Fu_1Yh}CJKgMtVP zQ=@}|g8*N5Q=)-}2uCwhp^9JV#O<nU83QJ$AAA+&U6|Lhd6LZf<K6tTrMza`m(0w3 z+$4W?*XDzH3@OSUE0(Q&Ic2`x)Ek#Ozl9vqe3d!XMV86bG;Q^<W$TW~T>If;*qC-Q zJ;f_FPb=K{sAb49fzs1wUW(-j?sVM3z4EYFVo~#q^oD?4$~&IDsh9eH{4K|d_lM%! zwzt-c>ilv1w(i4xr`G+P`CRppE)U}$+CJpx68<N=SM`st<DvMr@|Jp0*B{Lv+CI!@ zYT5rmD}8-Z)zoF-iWR3H#!k4``XH3+ebX1MrgF<YT$fi1>^b!?bi%!)4|=WTj#Z*f z<(hlAUdHmoJ^i5Nu|Da8R_k_yz1#<Pi^Q?MjOB<+tq@-pZdft(&}xIdTnD51-Zy>G zQ`om(I)HNzW4n0;vsSD5hl+Od0>?d!ZQCoFb$ZQje2~jGV69~K%m2g{qLKgLW$e8J zte@E=@;|dxUUU)8S~S1m+Pud?JPShZ3#nW5PU=_qyO?c39;^TNef`m&e%A2zPYhXN z%=hn!iLCoB6RpYbHn^JWT`idK+^?pAf1~1p@YAh#ijIg*FE@Ii6d;g%{%aP;o#Mwm z)+eQ<n~GZhq>3+TtbRV--O9n_@$Qwm0cU%hnmcq;AK#u;_r2}G`ZFHMhay4)gXZM= zs2$wy#;HGZdYi!f|L;Cb`C`oSF>rnDYLzoQjx{U3-JCqHv{hx_R1?|7+*d2QOqk#Q zc8g;%(Q12OIG?4D-`QcgPV<YKE5wvCyk3@^=DVixE7<;Eqk!0-t!wpIiY`yfGhLx~ zb?vDD0f*46D7S}NmOEWPZ+|u`Np5Za*<;!THOJUlZ|?TaG=3h)dh2(Xl9JFRb*~9h z2cNgdgv@8Uy76-M!XmZ<@jCaWM3}kl>YJ?9@_pIX)f?SfAK3o+r<6A7|Mm{HqWi&y zIx)Jf26`@fK^#Rpm!CfE8o1`|D?@>4+V4{PVwR|Vy1C(#X2-XRnF&R90TtSLF8gwy z?^-b3XX*r|MlqM*B)>9+qZR@tw#_#T6jQceG(Vdz&!PG`obzCOX8ucmrxm8%7px66 z)Hol$NlH8F?DS(_y}s@%L8d~^x&MAiD^5A8uy$*<P|(^~%MEJ^J}9i<Sor!~s=>pG z^rzA)jx#nq$gi<*ayfo*@+uvhwTo9SUJ<b9Hz&s<)n8H9%{dw?UaV7?w|XO&(?MhR zv!UmHtx*?{;fy}W6?y!6ZSA#-rqQ!acjdj7w%7P=H%BJXa_hnc2~9uwtR^vXNGK<H zpE1vDmX>w>XjQE3$TIU>(rf-b=k{2!ao%~!|LpkD+LJRLtWbJ-pUv2|Dd3UI@BO?g zVJZ1G#hjW?>Zf+RW&dzrq;TprwFFcChPS6Yg0=TJ{R`UPl;AgIOFHX8t(A77EdN{g zwwkjpoc3_KhONt?@=w{qC)cz}I{96HxYH@??vomhAVwdqr<`2I&(@s3E;;x1O^fOo zCE160o=WK|9Qek=^fSq%+sb`Uu7<EkgH$Q=MLCnY_Uo(bc1TC3c)xPo-TKpEb<z=M zzs{qK8IRas%SV6S<e_Hv$KgZoD<(O~{trr`4eiBste;+*8`Yc=-Pn^j+p{ew=G;~v zg)>!5|H?U)w+Xg3{L4M9c&PX1s{EuzMS&Hf6<v%REH5tdCGW6RsL8k2U%>Pta6?r~ zU4ZoFT`QDJmz%wE$UWLKdtprGW`4atN1RXgas>729PDOzId5l)qf)q$gT%ZU?!|)l zzSlmH4m@;{{mWARkes^=PK6VqXCG~Q%)Q@w-L2${f~o!MzRPLUeePecs#JGNt0>{! z5ebKqyh}!IuO0Sn5-|QK$o%MLsqU^HO}i(ZT;8MOb?esur{2?#d=?Do+VNj%n&$V4 zH|yj7NEAr6d{@*rc;#iCZ9gSKVV0S4(oc3%jmdH=Ul%&6S7-dlZn+RNJLG)NbLXQ^ zEv37e{CECau>UQ;T1w6uw-aBQQ+F3ze)HiqkhPrrLc=Qbj#lBteNwxgG;V%t_Ucvo zmdKioN*8$qzvw<IN$qH!e@gAWQ_SJ(#>(2qB|{ao_4xTVJip+WAuXq%W_4nP+r$@V zZB&Y4l%Fi%Vq`v5tq?U;mnY5VPRE&@Vvc4jG|sKP!y|9OGW(fr;vA+AwZ32dGuf8h z5W17G)ZWgazxnOwFHZ{<l2(3Vk~7a-$Xov2f{Ayje@d5EKUc!U+*jiM{cLf$t&4MI zlqC=ExwG@H%Sw+etht^NX5B~3qZk(7<SzZHK9}v1*scf8>9!6JqNXanKgxG6kHK%T zX7BUUb#8AKEd4vx*zDEIDbrkzc-))luduWF?At&!4dvNCmnZLKnE2XKde!ckJNTOq z{kJ+1I4#j%cS&>b)Tgf*eCvH9A0%cU{JWE{h=nW6lyQZ&OcKWdoyF(%+ZMY`Jrs4% zrYfqN-^av5J3o)<nUA_|SLlT+Ru9B81y!3EcQY^++_<2@z~Hl)G3h{3q&9D_>Z-Vp zdsYOotx~tM2)W3haeJ0lwuezbpvl|E6BzTvRF5!n&-rwvacL;G%?{%=3{w+M=EU$a z^zHU7+%)BvfVjI`pmhO{|F31N3Y%5iwOaDuq%Z|@D;o8gU7ecIC35xd>=*Cy7k!D* zG~V5~v}a<GalDif+k`6hzwII&b5{vX-rp#l?s4kRkIC+j6ZPtja#UTsIHmjBC(qMY zmmQB&DP%AcKH~JENM6zLW#Hm(7goM7PcmuLIAZop*lW4H$B$oOZ)>=(`z@U>BhWOP zZ&ufz;O(8s1`fvB)7W#8eAsP_?mp<4>iAZ2-udmh|5wftnsY-yaYyB|V@D4>eigGw z>HEDOvC?ziJ$q><GUf5ZULLKAh~9t&2KzRAQ}j77#s4+y3EvqlY{F-g9?xl?!YNq1 z@PK0f^|Xf|j-m0w0~7nNC%v3;-NX1%S_A9e7=8!&)n|`c^6LsGl{qyomS2DLnjycg zuyUEehe#D29!B>=U5@v58UL&0xX0>yWGeTH))1S>c2-}B{;Sje<S(}H*&T6W-!_pw za%@W)4xhE+(O>1!+NPQCfNyO(&$PZN25vKZ^;f8|M_V^&RxREB_wL(kJI~J-f3x6= z-}2vkoYW^D{e9{4*3?#Ui`i5AH~oAt`}?~r=PZuzYf`plpLsf??SA!~`|ngNC7tu^ zC;xo=;rO42?|q)~rln0&FPQH+@546XpUpF8KIJ`=e#v;xS%1UlRtG2hJ6SA#>C&%b zck*&u$vusZuabx2b8qrHKiaRtcJ8vu!EC*r_N71Kg_@pc%wn!vQn}=iB~wiCb*A?x zH4JZV?6b0YxRd1y+o?>C9VQu^&T|i}Vd&R#`Ih3`6u2YypuFMdr>h0G-RCg1+k30B zop<l?8RBv3N?p%4n*aO!{ADV`pF`7TUH@h+&bg5JfT%@(wx-3sFr9{v8M22{*Xy#j zwW%8QoLsCE9OUBIklMw3I(n<UsAI;#6-?`XDk@HVs8S=)zs)f5bIasq+;OL#FBU#I zOIEhcfAUvj-ql8Tz3$lQTf{7m**;yTY1gw3>w-B9vl^>E>TmVqjEa^??Mr86jD9q! zT>4PGouT8o^AE1=f3o^F`}?Uv_PsNoUjN9%WO$`HFkWo>R*o$PJvOfTEqv(bCzcPq z_0PVg^Hda^`mJ;I?}IgJOR^TfzQo}Bd&RlE^4B*xKYe59-IK*;E4qb$m&4Xg<r8PI zay|R~_8_ZqfBYS`vWRr9+_sB*3%=g{S32=pv-_*Ai8h|~-*&6tt+{>Y)3zxdIlEW4 z)R`Zjxl-RU>1O5QfIr9FV>T{3%-d-FDNH+6c;oYJr@jWN+l0D_oIm??mDKYDj|ZwO zy4tDA2Sd%fWHyLQ|Cy0d9nW&_vQo{KZ4v&>k6#+9N8h}*u=9Gt#`8II3TJypcWgSa z$FcWvq|jW`rd#vm@}v?fS{j3{sBCV!AsTFL`@Q&9<O=o~a>im3GEWyBJQd3s!`HRr z;)O+eoPEmfF=mN@?nZGZ4m(XqVk$`%IcNF1Cqwell1<5*+Cr9JkDGkfdBH-7OpAW8 znA<(J^|#a}WX$vFlSyI`^?l@%*R|F7!heT`?oZk6A_CFtSQBqE-_U)Z-IrC#G9!5D z#_S)`*Um1f*tSpFeNNQ57soD#rOoP_@hW!pQwav;v{Fr@q+Qvn&dV+^-}7!fYkeaw ztTfz}C+4|8;Nuel=e+tk8h38t5Im=1`_Dk=rf)!txB1l*yc2t!DuhH$Or_r{H_A)j zoGuyi=eW$W1J!bCxfE`8eBj^QG=EZeyRk~2(`|w7rl#iTNr4+D9k#q|(D!TZWqGsT zIy*1@U42d9n}EM;vS*Ve)7IsO-|;p@-RN)3nOSru?LD7L46jSoY~E&bwxqce(+|&R z(`<CuHZ7Z{Smw)GPM&#l*Dxuzcx^ut_GE(BCf3xe_JPmrGqfCYQoR4lGdrdCB(Sgc z*woK$VUev|xPs|<q+^nVP-I~n-_w9ET<1c*G)#~+-XJq8F8UvH2Iqgtlz@_sJ!fOH z+)fLqSbmc3Nc3}DBB)c^UtTgvymmtV1Rcev;?~UyZl1?e*X>b!W5`%3)wf-YO<~F` zzUhjb)-NRa9#6Q`VH{Dk-bQNuaxFHMC9=Jjqq(>llq8N#WSWsC!TCjAyM*m#&jjcE z0~-C;BwHj>W&h<Ft;pdh_DS%2{BmV0Bg4{lhZ9p0`77EE8!VA}#L;%gdj7G;E4vsu zGu<D5nRbY&fupT(3Bxi;H>SVGx#l`%xtv`XT;J*bo~KDdRd)K$Pi-5HvpmVPxECeS z5RhdR)28xfLAphcvzPL@gp+$eW$c-^N@Bu<G84aiO&x}g1POPpgjtCy7wXp(+-+d^ z74!I`<c#7>7SEWieB!GgEi-uT7`sN%M{~{;k8ErHwS}wP?(i)BxAJ(YW3ccPx2Rud z)SsH{U|1C_!YQ&qwYB8Hf9v}<m`~iR_MSQC&Z;QGNerC~y$mkreU?16ajOz;&g~Z! zQLUPHQq}(0EVG~@Hlq)%t*bPoc#ob~BK&m6k=uuybh|Q=QZ7!CSG?j?rS|lR%Fjbi ztr}9kM^7vfdHUnX<P8DtffM8o78pHr%2iH}Sm7Gj<0G|5W_`u&r0$81o4vbEO=9mj z%d}eUR*y&IzE<}M;*MP{F2PF*l|yGKPF=pl)=xg)nSoKaz9yPwd+VZ!pIkW<TLhdy zXXpzG3SK;O=1fmVM~BC4P`~=gfBW7k{cfk+m7gx2`01j@&x|E=+)f>LSAIG<-66rD zq1Ne^U3<fy``cV~G7c{=k8V=6zu~9%?En7lxwk=m^JABcHx@h9ZI~Ow^7CMs(Y9RW zpN3(+TO=oLnzPv?y?w)z^-(;g-e-Q?aC^Niz$9qj18!Gk-m9l>mDZ*%Rblq@Joo8o zEw6G%hVkZ(4Y{7D>~AYQShQ1j)+F7vcW?hOJm$<1R_G{_pBB@iEB@r(d2>gH3m!kk z*sRMnq#mByF5twW*s^NXDlH`?rA0Gm&Xg1s6r8v%HxuLqcjc!hLHh)6`<;I>Wp97( z<SlQWY`GwCOjFf!(x3W2+jHN`Up;d|>C!fp|L(#U&-Ao(Y{`vO`L8b0^+Dp|iD_5U z+?3ZV3VBZybG#U&q_)&WsV?QW2mj_b2OB~%LH_2lw4e8G_S5JYIR}+b1ek0J*m5xU z_O^u~neV+OsXX7A`&jOHuDi=0J<mx`COrA^zkSifPwT<s8vKl1pI2PDAir6ngu(fc zMga3lmy|S-24-d!20;li0}cTR0TBs40S-1EE(RGH9vLYi86G|!0|^7M3CvH-&CZJm zFq}4YzRNG&H_@=U%8aWs@{B<qpGK$enSkSGyi)~?CkStToyu!`=c<9+%tJgc&S)%n zozS-5w&A*~fwPr0zlPfZo>y;jGS0-eRa&kw*{07dYppf);pu=pz7^B2p8l}Bt(+z7 z=#I5jFEh7C7hd1Z^W<d4-0s-6-L?(pJtdOYW>#jLiD4^DD$C4?X|po+QDUCnI7edb z^wQHGwukSLJ+<E8f0=EqkjA8ESvlY5d|}_pGx45GW0vaWoS<-7v;CLF72LM)bY<+B z-S%Z}s>G!Xm$buM>}}lwUEHJQdUmykT&Qu`{bRLm)aCHM*MkC-7vEOfe6eEYll9B3 zX4_6#(YIs8o;#aY#_ciM64%N1beqYNt}|t;j_htRQVwS`-6nSFWAB-&56P=S7pf+> zUCuh&vj6SHf;GDy2#RUUUV7%rMosIc$477IX}O8^@P2ySbS){!DO;)YcH!p86on)Y zw&46)kq>-Qy=9kcbk%mP&GzKE`cXFf?={|_?mM2rOiLbonqueTYT(*<SZC_&s6>?z zHW8LfenwU)|IPNw`Es;m`YQNJSYBOQ)=?oMI+4rifIj<zL!rV){Oa@9`H0-{RIpRZ zIh(TCW7?-*3M^OOKX~}IXwu!a(PuaA`?05Lf^$zwL*JGDPpLaB%_pC{b^U$AbKxtE zM%f*+4a)Q0dB}-MPc5C;Dxv>v(Zr=69#6f=*T!geZN&q{<D4H08FJnq%-~7$=RCTR zaq3*N=J^)P0l&9JzL<1Rrg@V{Z$HD957tQz?CV=**1JC4!f52{#CI(7y4OGZgWAGd zmF1>5t<qTGxN}yHSJ=ivwsN_HT^)=pH^P{>#l){i*xl6DzN!%Vpsz{sf&9#?#lJTk z@^u#zIdG-T`{}mEO>Rn$ChF84_tHs?e&BvoI^)He!wWv}hR?TH7g(%uw&2X0kL$0r z^*#MC``iBm$L=<DO%X4-`5@xR|GO5uV&$5TNwx4_v1seFQ??9Qw><sqx^MS#9Q(pq zcXlzPtkV?MOYcl&(M_@omul#1S6j``cw>UBmE38K`BP6an#@nq|DmApr1uJoOmg4? zY0LPulmPV$y8J%>>XJ4E3mB-{9DDY{BsrjV%M;0mu|16@ZYk<}zTMd*P;i1pwej_% z-+XT}tt!&jR>qo}WpC#ASa5a0)cB0%;Pq1kA}tvj+-61lXs7rsJJ%;##&uT4JZWM= zWulIQT1?C20~6S*o7M=&&hrVgzE~Qw|LkIhIr`ko<vX=rvG&*`m`v4dkdB<Bu9nuc zvL(%2%`En(!G^|_%Ei83@63FB3yl}gxuEWNVezNm)(efge%v!qvJ_pW(symv+X}f0 zcCY8^<t>Wu3;N<XS(9yEtg+@C!IsX4&w6{pOio97Jj__-Y|zGW|5-$vMWda-A<l&} zr)+l%C|B$ApYxNO*S0nwi0Q`ceh-uVfsEY24R<fzapK7g_-l~ltiU5wdeGPZu-Zbl zfS7%jLiv+yzIdE{TYpkEPOL(~TI#gdO66rL7RHYj9&&CuUVYYt(JkuGoyUs;vOZ@E zRd-tluH_VXRBdm2Wvapf5m8>N;(rbsf`8rh>)vF_?QuAX?M2sxlfox0mQ8N4D!37x z#^WcUc+_0$Wy4x7>7y5qxp+=A%A79w^c{}?M?hAwvHm5E)OnB6BZSz$^4|DaT{=<F zDzMmM!G(tl__9_yX(t`<V_zvGpziE2`xyVk(0O5pHCA@2Es1fq*?4jLza7^5KZ{Jw zdwxvyKvQEg7r!V6heN}HqQ#weoYrJUtoBSf=ff{;XltG{XVU?dc?=I54>wJXPUC2P zt~swxO}prtfA)zvh8r3z6)tvgu(@RKi%XDfoMyAlh{65rdPlt_?5(o*ie_y5=@g<+ zzgO^l{v}&6{~2>ts@rbw7iE3@P-{(O(TSi=VFhQuSKHRC+p2ItVCzb;kNpCSZ5Gvk z8vZpXG&HcrJl6QYp_QQ8mwPy)Rj+Tpi%I3$wKcoqx0l^2SaYjJGCy)M_viDROwW%y z&o$6`(0tu;)wClfAt%$~Eow||7BX(*HqtIgTJk#EGRBEBHsY{OmfVdNk(|hd87G|m zFPb)SN*bBf1jaghK71^*?xI?7(LT#I&Gj?ZKe%sVS<oSG9^A2`uj{j6)w(c2;qaE3 z5tkcZ*WY%vG&>|2_Hg!WRf$Ot?2@E&W~7_3oH)`heP~C{HK(^(*ItUJxli6SulHZp zwPkg$GLzSz{V=hz-=$#Y@vkRDKd(0n`@A?_>!|B|=AS8*$34%qJzBU)f$hXP&2{Y8 z3K)8Ox#jbi$`pUKWw1FqxSjYXw8HE6jI>X34c^;w)60HJCtD`{Sof0k{N`5&vfZ9^ zTQ*-YnOJkp%dg~ymu0Z)6368lm(B>7{kqXv#K=%rbYJYtFVjy+KQa=<Chq8bcHB&4 zVzGkgv`M~SE9D|jor?B~ypvel+p%lf45Nk+mg;_f^$(hxZWL%Mo-u4Q$vhY>@$;u~ z?2A7)3}SvM?!75;c*Ya%dFiKjF_dmP@bC8$O?Bs81v_d!u-*PzGwD(s+k!*KOO>3& z7AantnqZ}3ncSu4vQb6v1|whT2BGr|=hc=-Z4@}PQOWqwjD(2YYjpj5bUO}lAJo)u zk6jb?q)63&-YWk?^HeYI+#$hZv)MwyUi_SIkBIvh>(jjtHVMx8?O#7LLC~REuV*s% zt?%t~K6cMuacScO|Fs)A%?%A$rV2iPe<@&I4+E#qd9lqw5AGg$d$m^M;H`&;=jJ#+ z{=ELbgY2~Q1HAo<roX>D|ERJ!_aZS(jWbuG)H2sDW>sdNm*M!@GW1taW2@sa`-yIz z7Bf$<iyzw3&G2BU=f!DNp;JWHH@!+|_$sh(Rm>8DyOG;&^;91CEqXH2vz>2US4ha# ziH<8D&h`s(4fr=>LW_66DHfaF!u6KiVSDesS$x$-$SPLu`_4agiMBD3Er+z6zozp) zc<-I@;EI2rZdB>tEgw%ecYfRc=v7v>@JAtzm<f|MzwLj*U!J^Ir!G=6t4aC2%_%9x ztQ)VHuPyCvIha-b;X>DSd#lZ@W}fG_n)f7^ls#_no4n@P$IFJssmq=!U7WOF!IB40 z5;i<ffADyDvYPS7BE!#<4xD`O;K_rO<;hPzel~u%k@?h#=*`-SB2#8go7HJ7(KB(! zvoPlwK~mSh7|DeHJ+5?p-3!%l`)ZBr8V9Cjr?M~X*!d~U#YskRjY?H+r`1&#>#9Ya zi!?SFwyfc3tJ)cSpx5qrRk8ZP=-NC_rPp_To4?<Rxwd*X%e{4T^-6#LkDcwSKJ)sI z^0gjI<<9T_b@@QFt={if+m4iWu3D@5wfE?uyX*HeP5sx@bWC=FhCb72g~odQ4Mq+J zCNxZF5S92byJ6G&4=EZ_EJ_E`=Iu1^*)KdZ)otesvA0#OadQPP9<K1)6_ijWov_2= zTXu7>bZ5ShzXD@cX!XR~BCDrP{*vKgr+4>(?YAfY-tGyP&<RKgO6Y8gx1A_&Fjt86 za&wREU)=@#yiVVYHwm!4YIHhwW>Wdd$v+hB1H@hU6;CaXITLR8w8dtr6<fhom5mYe z-#wezu)DSIo8~cBcCT<xgNK=W`t{~?+ixlg+ADZfYFX;V2W)?uoVWh)`};w8|C!*U zf99LT?Mt|ObKYaIFUPk!Ze;0oS$o@qd!Ddrt6;mvT$Zhpy<G?Igq%D$U4iYQ#k#Z^ z0j&%Y^HwS^?7Qr5derr(y5bZb;d#bQ>O3C%w@S^8e01N1<4@Mj;;8i-7cAVrKQDOY zj%~YEY)f@tym)bOdUdVy`u*#7uH3n7^`7mn?(XiX?wi-{U%0-$HhAUA<-1pIcS#2+ zbB}(w>%aw`KX(@7v45`HkiyX<do0o0KwCLlO-IM((7)#kg`@rUE#sT5chGX_!P(Jj z@xlJ`F-`L}eK`5@oSoh@I~`|hRmM4&{mj<+&DUFOdG^ViXtj7XxqX{k7d*JODra&W zZ-h-iuI=BZW4reKb5q*5Nrgw(kl(dY@lLJ8g2^lVZKvAhY-igbm;dTv*U}!lQX}JY zAKX|Df4R5##)E(z@>ypcT>f5)FsWs1ckVQZZ~gPX)%e&J2@CHdOEh9LH%I!2gvK(4 zP5#O_g(3We_Z8I%*NWfO7;v4r!@S*V|IO%y>ev3Sy0%<UZc8J-R)+PSw3~k<87!=f z+Uy&({oZ|F@QG9S>j#HtcaQe$na3FQ>C?Zr+fFYo6SGJ@{O?WkmI-T^R=bMaZ<=~Z z<Xu|p`%K?$UPc>#`<=Z$zmNHuFjr~BfgGl;+!N7^@dh9MKYQdBcB0HuAnMw)SsUey z9J>GMG3@7@o1=I`kS#Xg@SE8>Z%<UukWe_V(YakbX}8zA8&V}*Op=L`F42`8U-$O# zD%9-!J>m5R#d?8V-&yCCZ`k-ZA~Nfr^P0sm8xC&dPS|!qgVi*=s6m(C;icNcds7Ws zLl50-baE)_6AjxKW-4Z+So<riV!5Ga=}-T2+^0WO^&Su@iO4uNlSQt;j!nr{V4c{Z z^8qHOEoQ5%p6ImrnR32r@}5cUW%|;=3?hF2j@BRE?;w~F>r-)Ko6FJ*A5YF)vR`6R z%J%$OTLph|9yT--*f%-y?(Mfrrlgt5*#Fvb@%RTO^OyrMn^*kbm^M4|>`H^<Z7k2* zw{-2?X6qGg{x6Ap+L~wl*&cnL4}Pqdi&~{uz07gmXLBygl1&R{h9^wea6xd_R6ikx zPm|`AgnhPU`MKQxmz(PphK%_|S%sbz4u78P?0D{RYR*MXhCBN|D>c264rB12P%JQY z%am*0H_AUZO6+$1sK8+};Xz{b#tN_47l*AnZ}2)*ujF@K`djoubm1+{)l&|1UMPvs zTYB=JhwP8MbF&*xJ5Pw>`h2bDMscrAg{04g%WPK_nV+;4Y?~F+pYTSF>Cm;KpK>;x zD>%ExEBoY}UAhg5OtxR-x3%279TsYw@3GiT_F~x<i7h|EluNgTX~@a%I(0YU7}ur> zdHDxNUVgnguO&{#LqghP$<)Y;j)ifpnJXl(YYNL)hg>}#l~ddLdC`29U4PC<@Vm5E zY&CHx{C6Yh>z*U)Hv3d^u8Gs@`c^5hdfsy5^WyiH&X!&!(=>6@VK0^KfmMY9oO;4% zHWno|o4UKdy>+lYI=ai~+Ka=-gN^<e$u@+YxxN0{#<-@Je6<Yod(<b$)`%^9zg$;Z zmuc0z6^%<9mMt~dVKaSlWXWNdc_9{gpI&WyZ@b;KCPw~fqEo4mz}5CY7pngsTla(8 zEk!DanfJ!+OX7>)=!JJS=xn^bX4hBgl?|afYpkYfZdCCzT=V5I<BqkFDIQrX)8~u$ z?S9gnI?LwJRV7aMcCJ%dezzyqGQHaCH2Kc$&@ZdA4*Oo0<@2%V`E{`7nBwd;`oZ`A zpGa^n_<bqq`~0kj;yJuUC0D$UPK)n|XO%o&{rupI|ABJc0bAWeZ>_EPb=JG-8I#ow z5m5$~^^Ad{64q&vJB1}Dn8+6?NoQ`$lwo<PBfRCdRbTn66P71WcP+9{U;SFQPx|Hj zPtq4N5}SpKbY8Dh?u*_2wvMg;&NRn}t)V^LE4DW3bJ(o?7`@i&MZ!gci}hS<l|P&> z%@B(@A~)ylu~oZDN>{wq(a1b@ZL7EPW~Sp84TJBtpR8Z=@#dC{?u!ZWJ6i)*NVy8P zzD_q=qsMXW^VOq;rf$cgI#Vq!IsLF!RywcMRG{gX@!*46TjiCaC6S8{D!$k<XWfBB z%^ml7&+XfGQ#5YhR>d&u*L?SR6V2W*Y)|`Dl@S^_`El_@j!*l3ue$V7Vbz|?i*qN2 zYuj0TUtv}Dcw&Ld=5-f%b=yV7XPh=lZq}_lF^T`loiB}!L6(JA`+u~)Yh18GF!R>Q z<6Ot)ZWelW#A?-UONZz49P3sI{W4Uqe<K<o6|>a%7}L9ikA|8o9gmNTJ-Nxx<CwML zU3Ait?s-j-dRbqXW%nC}={qIQ_i}QVR(QdvcqwAS%kUQsyBQqWKEKg_C}(HlZEhma zHamMIlZA7M;p3LrS+Y6t|LnU=LbD%CbNQaZ@pkI+oGq*(UVWP$Cphm`2zs=4sbJln zg-+780oQ^=gcdGkQY=l-3w1u_^n2=wu6W+AU`cZ!w}%RC2bwO;{NI=RzgMDJiBoFN zjEgHd?_D~@+Mp4Ajs3KzQpP@xe-^)l8t(;8I?e3*$=O>l*XZ2M+(R2U_ik*=b3V6R za@7ak^V{SvvP-dA%e<1-bX_YZx#+>>3tL<oo^(EGU#!pTp1t|eG@qRZ8J6<zez(n@ zB||=y!)A$gNamIF^#a~rb$3-<H}RhfP|Q6T5y>_2UaDfM!%BfwQl?*~zD>4r@;UM8 z?M?@Fc8z%*GWwz)4_rCUC=eAmS4HTra`eo50zuBl*M<MHSJOGk=%+ko!K=g@j(fKs zNH!^bGc(=OAX;s)>)fRdsZA?2XL)zcvv_^|l~2HdWda^OdQ)$1J|JSuHIebpzb+2z zxQ9ZfGbTo_ZL;}&;Ie>A<j%RmA{=6WHkw_F5Z@>)KR2<2p~&6wb>cR@dE0j{{u-h7 z;bBmJ$g2y!4)>>DsK{S7%lu}LV&cc$AKWH-cZa8}ZxMcNe>v4+2Je((Zf_^|vFww3 zlXNKRlgESp;7I+l6Hkwa%6^kNHFNpG%etSdT+WG|xx6G>`v3XEr;pk%+|;ppd1-ca z^V=KzQyBOrOBV~N^vQ43yl*+j<le#HTj#v(jc+oU&+W<MZoIR`Lt{N}+KxRdYhGO2 zu-9(gr1=$hwQK74gqc<zkgN49S)@5*&hm*?lb3R{x^53$6Z0Ztk6Z9eLC4kUUpCz7 zo;+9f_p0S0Q7R`d9`)s^mGIuU<VujQ=Vj}hS8WObdS+2cw>~^9lAL0AI^JuB>XSK9 z#mCd<Z#8Yy3SXSVsGdD*zKi4<#ncS$i;c5F4+VBD{HnR;f?8Nu-MUGqX8+}D{WmX^ zFMH{M=M|=FFRFY0%$YmmYx6GamCA4b>0G^C7c*}&$42(jl8k@7TsJHA=9?S670nRU z@AvOqvOUM+S`|~%+MnfO7kz{7O#PP1xTG%Tbkgc57m=7xZo)Zw8;h9_6*0N>zD~W8 zb8^ZCT~RKd3(UMTJXbir+oIKSIB@5M={0k|O*=c;sbl`J#Q}5v@SRY~@ZUL8_VI$2 zIQ?u<#=Bh%*LKZNVc5KkIfnV_TfWp_o=e|bFR6tp?cF1h;yp9PYkEe;f>l`oN4bj_ zrZe<0<VABdEw~nS`izUqpZ~k9Z|5!T*m%ar<;eg2yEnhx*4ww%`g-1J1?PZl-AFaD zkN@w^yqSCYlaSMq@Bba!Zf&|IbtNi$ZE9Ltnv#-|(!c-z@86aEvU_vx?Y!H&awE-* z1qJv2yMK4??M-J=F8u!;T~=OR9z1pP+ieTqZqv=tj$~M(c-rffibTk<$-G|t>CGQ* zs_Y9;n{8(y@=WaXWrgf?9<Ap1pT!v|F0y?tjTbFy3}$(q$}k9GYj#XETEfG&c;yP8 zsTF5kGS##`%7(gM{TVW^v!!k84JKz5C8dQCJQ5mW%>3z}|9{`zzBy8Rvf+_$+uy%? zv)?raiGAkx|G)3v<*=Wa;i5D#MNR47{@omlS1NH&UAai>RgKnpmPa=x-`KYI&8{`M zXQRSyr}gaYS$l2GnY3-O)325;6%C7=cz0Wm;kK%&9!BabXKJ{B!tww9*%@lD7ImgA zT6B7M?99kmu}%+pKHis;dwMv#mH2wkK3N#Sqa@PZ=&aqjzwean<da+P=X#2X%J@fS zr*EHH%FE2a%)s39;8tir$o#EcCwq<`Z@#(X!iO9ml}Sz^g%h;c*?0v-I)!`{wAh7$ zj$B}1co|Z#%2Qc@;b+KXS5|e#O`QrNrmhN;TUi$+Hu}sw_hhD7Fl)kt!;6e-<s_mu z+!8BU>(h4Q{_A%${%(75nt6AOUUsU|w7>7}-u$<<;+PzpUJ&aerBH=yr&4$}{(ZaK z`ggwI^#f5edla|ruPsmiugli9dxbNn{H!Gl0v4Zj7UwYZkWum7q`>XeVfckn_&@`b z<ADSnIkqDQ12hCUni&@wNC>dC9BOb-5I7Pb!LF1jJ$1I>vySdI+b-q!4afFWv-t*A z^;}`RBQ5{ASGuF(Lh{*~lH*&lE}2T5()wMMmGbV!shjEFlJD)CE%I4o=B>+j<L0_+ z6l_@UF5BfeU(t8NnFm|HYZ%NDKCxG3@1wLz1G~5LE+#*8%f0w^>6h@PnA35SKWWVH zGnVf)D`a`{^Zx&(`{P6nW*xqqdGPIljWtSXwLKN{Ca>HUQlGVIvRas9je|_;arSSv z_X|&L+hHBL_y1b%VsYCYX*-|pEj_FE-7DARN0M)G?BQb1du8U&H^})P{OA|8u3_QB z53bzzGE)yMj>>A<ywq*cy`XK@hdwCOrdv&4*f^7S_lD_VHv*?T&P|RhGAuar;p3-g z>lh_G{?A%?_*pljw8!4r?+(1W&SRZ2PvXOJ6a8c+p<}DdSx-MPjQ==&_LHJYWr43d zH0o<@?<e(CN!a&H(D)dAZLg9%PtdB*PdBX&x_>ep$`E(?wPT9QBYn@S3F-$j6{qJm zv;MyB=|6FknAgnzoY`mp`FWg8xG^zo_DO5KE(U$2?BpAty=IsgUgestmb~*rrjeGY zneVZphnG`Av~p(kJUz7h<O-L@TU7==MVX12GJh9@9%kXzjjp(sxMuhFqG+8pFLEEP z7XKmh-#XN0=GqMbQvORVYojY!+SBKJGHBf-VeOEo&$~zLaWrGs)&9i?FG>~)wk^N^ zpYeWY?je`E7iOPmb7ANYp0`cx)uI~1t-aU#wfS`NwN@C%+2s_m%bPnt3vM|7f3d2$ zRKuf*VKFk%{0EIsoY}ggan<tE6HHQ1-+1F#v4*Lwsk7@I)5a}dj~(MOSUgGBu;Xa{ zt$C+zl^y+{)@5{$H$T#Jic(@q+_4^p+0ENT-mE{xZ1>HCb;AEk|BPo_eTjR#=3Zd> zOevRB@l!6`bk_>+dVO$VgH&tN=gudal;w*O?svK?M*3|E_;B9GIPB_%uNw}>os4u5 z_jC!nB+@_0|M;&dHYLJ#Jb90&+)}ucwK(Ue%+<G5?TG>1??bvGSz6p90~J{~=e$Wj znk2UGCbwQ*g@?n!OS)Io%PvlJ7s^|yyhC?e%dd@(IFz5c9raw<cIe&%mDQCeXYyuj zVC7`(Fx*+xc_aNumuScaeiIdzp2NpiF62)>(7E>pyW5xlCMC!6L_^n3>sg>2<+nJt zSFurtGkE6u#`mr3MWwBEx(koY*%+B&^>$|cv)U~u8Sg1SP1?-e-R$Mif7-&+e*d3; z;rx=C45yV1okHSMi&h_IJp5VAW8vzhK54C2KNah*uSrp!-XXr{mdcA-OSfJj!Dk=3 zWHm1O7^sNuVapHr<a1w#V^j3i7^{^lO2aBm#5?u=du{3W{w1q*{G#N|3wa?0=B86t zK9O7ZOJOQ|ZT{Ws+BGiui^`pMZ&9ngXL$Sg0|80LS-0;*IBTlTY2XVu@Jf5ls()#_ z9y`C*3hr!K)Ial2?*c9Eppq4b@31Jur+YtATGhNgzw`RdOWmSt1vgbb_3@r`P}sra zY+dV{L&x@<XwExnpBUhNV$0UUo?I(;2%EoMBcxMqrXoEjVeWxB+f{W^I(s|ArWJUt zT-IH-PWSjr@AUc<(U3b&ZhaH~y8ZF5;%s4I>0R5qHk%!E?M%4za>L}66ANaS=O|9S zvMao0VaVl_mCDxFKbK6cKQnKei2j19ljBz{7P{^b>#y%{b>GX?s~wHcg!38-ESvGL zrfN@L;@d3clXk*-75n}q+$-yjf293_OJ%2N)du&g-(`E3)VkD7ar5|IC2l^$OvdT= zg}7|~t<RUOKi1)t>ab;Qdh;w+<Gs2;b^pJr)g&ZdY4nNn?&!1C-7sC`Y*OZ~P?cMe zTl1u!O>o*Z|Kj%M|JIY_y&Fx9o-L~LHF&qQCwQZ*gvW2uUU8?;oJ(q5X`f2lm{v_p z-_aqo+vLR`UC*UHAM`GX&+7SD@~6`wG<hXsjcG1RYYCI=bYn4-Ph}Qm%W~vDaD;qV z&br=tk;Yq}=byj#aL;O7cEsAE^ELmeX;b`G*=^TT;F<dI`2TI}Zr|!3W#p{=rYOo3 zw=idC$J5Vq<~Gi4Og&#WHEHIa7?XG#$pgWATc2pxKUmAM|G`T&hlH%>`g@<XN|YSm z@cUOu=rs>*i7O3Jo78{4X`17zSbA`OhV>229rmx5T`iu~G3|6k*oD9i4wla4a=(n4 z443Uo{q}Uba$C%^$>t9>AI#%svYi?^d3x!)Gyf0O=|B51VY#`_ON$i(-?kqpO6&eM z)4VYDhi!mCW0vT(xcJmYgVjw3WJIM3za5Ks(#Cu1oC1&Ul2y#1YA4<{UOi!&F6*0N zBtE%mlJVkghgZIMX?$`H^TNekH-eO|$@hAg@8k*Xn56WD^&-Q(z@z&f?rzzvEVQS4 z<08-7Oe?%6H+?U1i{;igv{nAD_k5|6-Xggb4vk4!^~%?`a`PEiyQn11+mu!M!mU#~ z^xID6=<OGHMP~l5=xI(j`4Y86Said0mnml_=U))!_Vbu4QE@QCLq3N6<EGUPAxV>~ zV*cA*ja{vG`sJr{O3LgHM7_^9IkJ3OwIuh_^`~Bs6Wan(_VpYww9RT)konwcbULY5 z!Pl$TV)yyo0iMV9q-d-PxP3J6`sdP<7ucIuwW}PCH@?5c?5xhBNtz}+!f#SeGG>-m zsMTKn?5#54kp3>VubmM>9kQExWiJJvxjAv&)R~u8HksXwD)XNfJZIbQ?ZI|Oy?cJ8 z<|#dGU0wQXlH{`j*C)1X`h|k$Yl|;2cy{6`zxI+-QITQwp`QaYvZ710{8pYz+GPA^ z<{llbXYX8e?P{J`EmS?PXT7<hb4rfwq=FwV>|MPQyQXF_E7;%A_))g_^ODb<wkBEX zjPX?)xAAS@m)O<!&D?b7(F(oNCk`t+4K@e0c%18+oqPUzz=5!eQZvTl53-`tcg=3* zubNZ4>Xo&?>AQULT--`a0&XVOPFni@?KGyR6MnwG{q6Jjq~xylo+sNXSh;(biX6V_ z%b>YJ*<f;ndj2%C`oQE{S$`i`-bnXTH2tE=Rn~LAK052ctHTwM`|ls0D89}3f|q^N zw8IY9Pv@jIsB<oL7L-u1Xkgho*D}~XV)qBR=aULpKJriQ_EPcrxz_ssZE4{h&JAug z(j`m&9d-D+`;w0>|NAAm&oeK)ejW1KxNXT=KbhbH{}BD9#zilxLULH{73-v&@_Dgm zUa{l$HRnF()K)HwdfVP<vMJU&Jm6EvVdloKDJPen*|+`SM(-^m=|>xRAH4ltw)u|o z-J60}AI#sP7P4&8t9BNxKRkA;*p!=?Zb;3U(%D=m(){`#KgSK9$BkF{w=PhZefxRs zDYo8N(H$$UDxWWPo*`}a;Vb*~zJ)(uT2D~hX#RV1#(M4_4vBT9I<I~tRqoj?vhvlj z6HLqhZ5J_mUlAsl&o4f8*Ym2l?yn3Pffa`&<IZ$DS-1pNOqwm#y`7o6dFii>Mk%)8 z!E8ofx0{OOva_*ee@#|pJjV29p2LdYn)!jXT@F*0t*ud?BCe?ZmaC*~QjFj_<~=gv zsa#63%#(@_99({=*YL$cgCYfuuhZ`u8{Fx2-Qmd7&%2#3`R{^?3I9ajZT{Es{Ddf9 zyKK+3dbN;QA7&l67FWhqWN>vs65AJsMSl|A3-;zFv($Oq)tJS8xua>`twpbrCI)ri zn#=GvGymqXd6fcx+0_juZVd1jGpmXSznjgxBA8vk!urPK5Z-N#TfWHM(Dz`Nv4>si z|53AsK2O#rUCCVwH=b$;Wqw-TrL5)D&1!SK<L8}+(a#^a9h7>I9_Q@gv%u7|xx`uO zi}j10hwd4ksC=nA_g`kilS{j!y;-lU`f$TCBV_uyC*@!C8=aQl%lfwUho{90p2_@~ z2e?>gFX7BQkg0iU)fD@Eu{}X=uI5hJYvtQggvxld>1O_;F5Ybp6Gdb$wOXhI_O`50 zR-L^yA~wPEOYxQT4Xx$3Q#dV{=SlM3SS>Iiq(CimT~JlUW{y<DuuXw=m7BnfUJYfB zBD;ro^4G`t<!^V=R8e26CcfmuHjnKei~UTVbh2xkKUuVX=az13jxW!n7crbMKPjP^ z>|`?Mdeh;Rf2^+lT(~fp$tkPQJMDSVL9PzHDeT@8lB~C|c<TIFcz4tPv>5-4&li`6 z7=KqY=+dkC8O)RO!u{?d)`DFX472n-G7ea@T}-@?!SQ}t_#^?Tx~Zps@R?ekx40B@ zxk|cBQ|Tz%EJMKvMdeb3jT>#A#EY6#_)L_4HP8O0{2sw&Ti%+zf2p`RENbtEWfmKx zcuZPuxkO5LY>U-Y@ptO<Sa8r-Q(2c;DoR+zE$(}N{;@3U?x$M{7%v1Egqw%|=MgL} zEq{6bgJER()xSLQlS{)df;itDj+~z`Bd&kiZywg-((JY81q{Q>#eabmlurdID4+fV z#0jqjb40&`IQ%Z(csgHx&4@f7U=Y6j+840dj$j4hpFs-BHNl*#A3>aOK@jJ=0}I5W z_h5_Oo&#I-4y2&`>N$|JroRDk!uNtXqOU<5ewSBZi)Nk!Tl4~KQ6pGE_;Vil$yYb; z?CbYuyMHg^wTok^rE_LjTF4aRBWbB}0uzl>9;YsRY5@|9>IR9OYqdC>AMq@v)%Z_a z>N!;~C!`+4@jGe`l3|=^?rat(7FA|EB`tNCskw7b*t3`dkb-kp%?{^B9KHDW<K&Hz zXDrQ4uFccd`Sm4DO!Owt5`B$%7v{-x3Yr}@UhH$}q1ud@zMaO4j~E;fJYVp9iCM<C zNw3fJNQ6u=loiamB3q=pQu2rHgbp4Ljd^K%eXh0URz04YH0$9SZ5N)ye@?V7k*wQv z=7+^hU1giL2zA^4M{h@G?+_|beIzKy@Hrzd?yBA6S>Z}wAN@a=a-Qc3@8;*VtP?&K zyt7$YdnQ(!eUtu#Ejg@5JF6A?<&L;*F1vfIrOHwEd0@RmiQn&+=lV7p8t*yotN6^Q z`O}-MByHo4^XvTDjGF&{c$K1R{DXhJpBk7GBM;)#)}PG@@MdNaVPIh3U~q{|jS4(& zx;U7DfuWCqfq{>KlOeG*B{M&uC_h;*GcUO)G=!Cbxk2khI`_#lXU}-|mwW1X_LQI2 z@A`hmThHsP?^$inGli#5>g#*!8eK6qHwx15(>>*L=HwbLos(zIZ{Fmk<8|(Yj(4bm zNw`6P0dtbVA-3MY3W-Y@6Sx^*?qC4Bqpa=9RAFug1`y^&aYs=~BHS4oTlN1Y>{s`V zV2JAcBjvc^3eQf)JsUS~-faHX`u?7a!u$u!+unLFIGUyVp>@smnRC`$J|OjG^=Z+r z9rdM|zAvXPHS~KcTw`cpyytSjO^X)4rHu0!r!a0m5<Tt7)!Vzu%x?$$2t4U56Xf;o z;f7b+o3?H9t*VcmH2c7d2N60`EL6AGYj-T4_C!)Z$;{@}xvBRS&9;8~(fE<-pBIP9 z;yKFJO#e7tb!SKI{=U=RT9$5;l`|$WU!J&f+uxMD+c7UMT)pe<{pq)afRy5%`N2iP zKdTJtf=)VD2#WG9Yg$+mvFe%7qfb9`yyP}TXnft=QT;w}qgU%B!-vM2mCyC99$wqJ zY2j|e^kP@toJrQg7w09Mf6`Ta`U%T@K|QTV?Z2%*n}TLCY|hwZ|E}ucHsRUk`{s3K zgiFopQdJJFeUt8d%_Q(82haS-N$x2X{8J9)3vPekXk<2}w`W<9drAWTl}asD_P;Nj zHm?jYDm{E?rQ|8L#Z1M=Ti=O?#a_G0e#HEC;L+T3t8KI@9n<G5INtki1=CNxeaaz{ zk~6)^w*AXVbyiq$%4*Gy>A|1QXG=da(b*?{;a}JVwjXR}9!ai+{sk40_66n*|FgN7 z%IvK8lCQik-nzA>MtpHYzQW4`dl=*NpIH3pkWjhNr?brE!LtV+ADt>IVLBq-l^SeZ zvGUF{9mZ=<<mJBXv~0a*RxPJmet+#Oudv9^dvp4aYHFPKuZ*4Or&p!r@@cZ4?rF8< z4ofa7iiO^MROO$;XV)z`H#n@~+uXIzkEU;AYG3>O<1IJSPTzTlf)zJii?+843GIHA z^lsS#g&&=<9~VuI{}6Iw?I-4PiPHM1S?vj2ry8dlXWcffWSze-=M~%Wpoj8t6AIjN zj4D39d1iFuVL=<yzlCg_ckf&?Vqnq{l73{@bGX0Zyvv@$!E6=2NB-)6nj|OuvFpCY z6Ps&HSqU<6W-Ag+uUCHPa>>stzN2jHVG}TAQnF{>B4bx+w$J|#__f^EIcZ*3a<K5_ zffIj3KlZM8b&)T1;u8bzJ<><=RvB)KJ$h&Q+kbjT^)yAYB7RJ+kSr9In=QxDdR=Me zW;6a4&y)7j{wtrz$?Kl9w%#@SbQnvLUC>GM*sGtTD#D#6Jh))>_4C~ecRy~@5#c>% zH+NEf!4+S&cDMFrMZSR?Z!GS0RdDk8w7VH<uHL@#iQ2k<hkmVfST9^Rds6xPMw@!i zlOMCEJje(xnlRn+{bMt?udUawPT*J~#Cr8~c3R{V(R+<^<O`Oo=ezox%l-7m*g|9W zE5SpXj_P(#|Lbu2`R=IOd}kJ|60A*&oaUzP{!yaljDh85%ja#r?;Ovp>rI=SuAsgw z_ukPt?MD|09RHZJP5S=n7aM&iPxh0`WZaxMBek(CvBdA~tu?P3uW!qY6j<+($-4cR z_iTx|G0cAIS7t8>zGwenYe%Nx>^+Ukoy>HdKNcR|(CI5z`EccgzNX_(OwBES9u0nx zmKr?!4-@xpoj03|yq4eD!Vz~{Np1Z};~&CFoHz4o?aLl-OxRvOd-|OhPjvo#ixD{f z*dfMrZvW*|X4yr5LO7OwoFwclc&OcN?XiU!^>^9d8TmN|+OPF6%_-uwtD1AR^zhGr zhYYxs>??Q{-`eWSr*v#bW}%-SU-^rb(tQ6`1fHHXxqlwl&N7ZD@jqv_o!z81T~+S# zri{eN<&%O`xa>8zZptpY5Ue=Ob^VIi->V~L>s!4!v3-Ni?oB6cEA*RH94c}zZ?RAp zf0%0eaQn{4dHXAPPU~5zU$;B=w{+^i1A7nmO>g@2!Q`9{Th-%$+rCeGZiqaPRWbZj zm0oaobG*C8l?~Q!?(8vFb-p==!SI<w6=OTYmc8Ce&9nSYY*egumY>5UpjXUvMC{)z zZ?)Ups|vc`)j6Emb}O&o+IyY{XAaKb&g82*aCEbR`R284?9>1Bn0zQw+Iud*Z2s+) zANZ8AT^qisE;P3gyL)#}?ux2{PBzaT@j!;z+#gu%+~kUXZ&sYWTfb$W>>v4t_c{CI z*x!GcGAE$7&Z_FT#m+U%3s=mwyx6ixZOXaQda>fg(yJ0ypSZ>Urtwva)xpizi><XU zl{Uw7%9cC`{I*-}weJevrd59(UNOIaw_}fE4sYi9mQNK9uRh;B(^#@Kmd`Qwb4%C6 zpx<qJ>*l08#a=m{Hep_X?79c$6Jsj=o}9y=cl5)&_iP_xw@GuhEaK|jlV0kPDbU`k z{K5EB{En3c-QQQW@)t0_`03QpAR)^=-{DcE%nRd{ku$SC9&WwZnOHKpFwWvi+2+C- zD@BF%)3?3VcRX}_Md~EKcbx}9%x19uyOfreI@#Oc@s&qr>eGV`JKTM?;rPy(WvN#t ziT>j}cxvC=#@#CnR~ntrP*FBg@(h|#a*?G);+VvgPc}jfpH+?)im)hlXgG;DpOR2g z67p0~66!efu}b*ZlGCfW_21jtY+Vxgv?^=rQc>I34=hv79XymW*PdOf!jmAiYtsU& zk27cXoL6_5)Y=erAvp7KeUaeR%Y{-0pJaOY`)C+H>eXRgv4MS!(}UT6*0`>p6uJC_ z`|5tKb1_bv(jVxA`fV2JdF^!JKXdAtQwpAUgjv<6PP(dMU}^9mSmc0YwrK9xomJDc zj<oc@d?#0bvMIuJP2)7NMay3^c;46LKAkpUr*ylz@SZt&j$96P4<|J4+PC#~PN}dN zW6!cywUxyO(x*+cIeeY-qVK-vQ*Rj>@HmP_$fVt{X0UabaH#V9)UZ8ga$*gW4sGM< z?0b20Mb3t4bq5;lH(vj>{@JwiLbf66KUmqkwUJ!(|I?fH{G$<jyYKp?UiMM++F$Z& z!`*eQrlm%U;$OrtB_`}z`=g-vqnJqRnFH<oOn-9D#}@Is-{v%yRO8b;7#$;av5fQM zgVr1;2i<<J_Xq15A6!^G=fUT)r9G};R?Cj=eLZ*Sv4}<7>U~=zEE8V&AKcD7u_J96 zqw_oY^|m37@52}S9MSE&CA=%We9hKAS0jteo{elzw(q>OQ$lXdL3dNV_ml4S8NNIj z95Lhi&I`{nH@7%GIQd-QfrCR_@yE-%5_l#pp7Y9T;@cfbHk?Pwk6P>(*kH^i8lv<e zDzmY86Gz6)Uvtju88-L{{R%PGyRKFfXmfbZyrz$8Tb)%`-VN<n5<eAE&-giD(kIEk zwlW_~W21{d&Q!ZSNkP>7&@Yo*M)NHjZ8ta+Z2BwLb)2P)z5E={;ib2;PVd~aPjA75 zS@Pc$_t+%=dS&rgE_ll!bJo)wy?b9js22Lp)VRQq`ARUW$o!v^JUXO!kLiCF@!Te< zWD&FGLR(!yhG*I1gWpY<7X&IU2;5+_eqDoGz<Kr}R>d{e>_3GT%v);u+&_Xb{qpy) zzF8T+H_9rrd~?{*VIu1Lq#)S#-+Jeu@)*aO<jP*wV@~PjHahQm9)`S~&~VJ=`@#vS zE)yp`IsEU);`{q{tjwDm+x*VxV%RUv9Qgw;7A<+a!*o$~sd`wxmb&1U_erMC1x06P zDd|SMQ)+11P-C&GQCz{|7r)=u?i8783wdA9pWb9W<@c<8#@m?xKlFaEoHvFgTW4eI z=5rhZJFj_6Tkwu?;e6Bbec$h&GCu0uvO`Pd_uu7e!Q3C8`CeEX^&mmSKu`IL{2}MR zj2?^Fo!$J>HHw)wO_M*IbChGE$+<0`);^lXvgNJlv)p3Gc!rIBM;H@x9g{E2`7u|& zM7Lqd*T{vtA8rwv!f~5#iTiv5p?$&LkMn)P^6$rsUd!Vso;Sy}fO-32J*9-?mz<ky z1ipK|^=eaFbz7x&m*L$^cDcO)eTBN*4K*FLkH2LFInTXZr0hRy){Ivwf1`D(^}{O- za{0S%92RAr%fxzB<!3Z2tQiey&bvHnir&V=!0?Tgfk6nl8697glBkzeoSz48OZQGm z^uKK&(6;}xw%=5>#+KP8OIp80yI-8alDb89Zp(zHYSXVhxH0#wh}Dt$`Ewa`?=E=a zEpoqj{r%mWKks0Ex01JD-Imt(M!{C;)3hUBA4rzeetbM@-<2Ax&3Tny8GFA4cDp2s z9Msp_QFEi5%Tsoj(}4rbY-||;`UYzcYn@jAu|{Io%cheo!4}Uu!!8_V^}kTFAn0~{ zrIe=X1Fo$r?_68=qiHFZSgC$&{(*JHSGvAG$Uf-Gb@s!Ct9jcK-jpYM7tE7(pW7U! zo^!Y||B3I>*RO2TF0KyS!<ON+_+sZ`zltX}pIKF}^0_&~N5EQL*f)yZ@P(6?-tr4w zCtIR_SZXfOJz&ZGxQZox@xxt*Hl8Y03)WwBI`D!B?|iRQD#G4}c_&PfHWTy+PK#8# zV(YqgD%+>%%q*_y>!xQ1-1MwEU-Gi?j+Cn6jFmFJ1=6xlGzz8W%>UA-|EqEHoWev? zp)IbbW^Q>RIy1Xey5#PvUkgKn%1vh;&{;b7qFX?@G5@M%laD1@bInfK%jh`k%;AQ; zPlD!d+O_@TvXiM3_q_^#mwO}p;bH!hirt4U{F}|={M%;b!tYP7{Y!|_Ub&pBj#2+A zLtE%#nYz7JcU4W2p7H(C(O<esXtm&)+{h;J;(FVj;~gO(Dh_9S9#44|6SRM~wWdmP zkmxk7dAlzjPvme}9N1cBY-qgfuTRJ{%X3de<kzHiinFRtJU6AZ_(+d8%lD+#4Rg<J z4VgB}QqAV^jC%}`XM!BJ2bFgeckSmkS82Qyls;Md^|~`@fwt=|Mn)t=UQa7|(5H94 z@Oo&*HyeRmp~wFUzFTgSl-esVHGiKph>9uuzx|Kz3Qpm7=8vnY+qgw8sH>-V9J~EM zQ2fVJ<sWB)=U<Lp7#-Sf{QvmhId7zPhi;F5B7EgtMPuplNlTx)JbvZ&<N3?9=P&h9 zle>rUQb9Xr28L1t1_sn#V|hv%Jf+`_dYgAgLEz8*<%S7my!n%VIKP_jmbKKbKK+_q ze5h(x{{?|HPekf<b{ikiW8OLc^>_LB_<gD0U0oKh`O#^VzS~Di<)o0g?A7kcqTiLI z*NGQeJ-VxwJ*V`*WRBJKd6QKi9DA(ZYS=EY%!cR3-v}Nh<pP&u<!1$6II!#sEy=%l zk$I&klQg5e#Gk{DwS5f#Z*hI2BKK_4?K3w2EFYQAtTz6AcdO*r$i;mJpBl7X(A%e8 zFP>!0qR5_U{QKLFGd4WVn}1wPOIZ9fpJPU?;v!`!g@}d(A;*u-U-Kuio3jWXcZ^c7 z$WG|K>hBoqH1$S>SV@h>ulj%lRgJ9d4Ng2)Ln1Q&%(7Y|;%uuL*7V>)QtyxXS!=q~ zG#w4@8!eP=TD5D1jH7L8NZ-O~W?Jq6-m+7bS1j*8Wq2jnLscRxd&&2N6-y_18C<#I z`MzU)@@msx%dXy;Inkiw*{RE-S>-0~5l0Ky&3~N9I%oRCz2|XHfb=5$Z*AX<bHZ17 zS4iZ|cyLX>V2`2y3xmu08>~OfnrP+!P}6Sl-Lx3yNA8Is2h|U~|Fm*OM7e*O(8b#_ zSMU8)DJ~MVYm~XL<9lVAq_eSc;EWF^k1)(NR@OPQ?Of!BmzQk0+u2)A*!o`gIrFi@ z^w?!lale?zXJ5`{o400Pd(L^*-FL3>A|<C*v&Fo8!cx}vlw%h4yqYP?;I<>sY7h6} zb&{4=rV9%5n*=nEKlksK>09QkH+zDZ-Oblb-`33S=X!1SS$)Rsl+C?oE6gsN8XL#z zPj%d5IQQ<~tSyP%8~&a<zRJveLGXJ?)iB*BOi>+fcNWK`rd&w5Ugmt?CPir9yV|n3 ztInS33ufk?7_o8c>($#Y&*Q%GZvV!;e$zTb?H+Hwc;ZfbZ1RcX?{DH{kKc=YS-CDt zS>3Db;|1Rs);GTQWjICp)g6kTUHg9axXgWjt#vDxsvT;oej|FZr~J6w{iX{QuVyb4 zGP5k37rUmp;GET^zvluiFPlA$w2Zltll<8J+a!fUr3~jXerGNF$anqCg!4!8*9+%w z+_gSyy@A#Kr5`wUu+P-EZ*|DYxh1aumRPaGpHum~KO1Ya+V$l-(%x^74XAr;^7>Ex z%U_!q-#s`y;m!wc)ExJ8xn_PH0|Ubz1_lOx<O)WwxH7LK1D@x&KcuAzCMG02NHFO3 z?X9w^d}pSq;Lp_IDrq5U^m$TullJE?!rs9~pP9QpP5yf6bC8pBgyan4t$s_g=YQe& z`r(T0^J2RnEq*hOo&5Yz>UquiIW;Ga2s}7@Lgi4;>6kb+fdrOEZEgbG?YeUWq8%&_ z%$~}-sC}X$gGYO#F9Sn>HzSh>Gh&o$$HD`D?=dnksIxLKuru&7fB+){14Bb2h?SCD zpjVNb6B@$Fz}%>HA{~U$O>q8uC}TDw1H&|C1_l8X6L^>y7~rF3@x>*jNqS{qqd?<k zAdGI*F4kVzOhyI<RTc&YVHBh8F=HB)kpgiT$RrR(H_ds)dV{}=3=BUQ5vGAW)zH|; zifmdzVo_o)+?ybiKp5S$F4+lZ*$o&N5_f{47ZOkq({`{UnWk5qkywz5*O#jlRqF#~ z7#S>N7#Vn=zJ!?c)g0NRiaZ>~K*j~v*&GaCa*lxkgn3bnsSII6gu7lUsJ!Qd3|3<E zSRl$cC&Xir6cDJ)gk%n6)Dx>g5C@?SR6-1b`0FN$LEvFatma^AsX@$vI7mSs$w5f% zHav!*)`Q4~@f#r-rU$MS@tA{}yCLR4JlAN7Yz{Q9qnO0X22#nxz{60)%)nr3#>Ak< z;A&D@TJB`x=$7J~WKitr<>4LaVG<Z>n40hElNb_g8ED|^7GxM{Y?xePW?>5ev+a-U literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc.tcl b/proj/AudioProc.runs/synth_1/audioProc.tcl new file mode 100644 index 0000000..16cd0dd --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.tcl @@ -0,0 +1,131 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +set_param chipscope.maxJobs 1 +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info +set_property webtalk.parent_dir /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/wt [current_project] +set_property parent.project_path /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.xpr [current_project] +set_property XPM_LIBRARIES XPM_CDC [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo [current_project] +update_ip_catalog +set_property ip_output_repo /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_verilog -library xil_defaultlib { + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/debounce.v + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v +} +read_vhdl -library xil_defaultlib { + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd + /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/i2s_ctl.vhd +} +read_ip -quiet /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0.xdc] +set_property used_in_implementation false [get_files -all /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] + +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc +set_property used_in_implementation false [get_files /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] + +set_param ips.enableIPCacheLiteLoad 1 + +read_checkpoint -auto_incremental -incremental /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef audioProc.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/proj/AudioProc.runs/synth_1/audioProc.vds b/proj/AudioProc.runs/synth_1/audioProc.vds new file mode 100644 index 0000000..df51a9a --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.vds @@ -0,0 +1,472 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:37:49 2025 +# Process ID: 179205 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.067 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32304 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:15 . Memory (MB): peak = 1680.934 ; gain = 261.840 ; free physical = 20266 ; free virtual = 30272 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: read_checkpoint -auto_incremental -incremental /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 180291 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:16 . Memory (MB): peak = 2502.055 ; gain = 419.523 ; free physical = 19147 ; free virtual = 29152 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/.Xil/Vivado-179205-fl-tp-br-634/realtime/clk_wiz_0_stub.vhdl:19] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:85] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:85] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:50] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-638] synthesizing module 'operativeUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd:50] +INFO: [Synth 8-256] done synthesizing module 'operativeUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd:50] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:225] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:226] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 2587.023 ; gain = 504.492 ; free physical = 19024 ; free virtual = 29031 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 2604.836 ; gain = 522.305 ; free physical = 19011 ; free virtual = 29018 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 2604.836 ; gain = 522.305 ; free physical = 19011 ; free virtual = 29018 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2604.836 ; gain = 0.000 ; free physical = 19011 ; free virtual = 29018 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.586 ; gain = 0.000 ; free physical = 19018 ; free virtual = 29025 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2754.586 ; gain = 0.000 ; free physical = 19017 ; free virtual = 29024 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property CLOCK_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 7). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Preparing Guide Design +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-6895] The reference checkpoint /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp is not suitable for use with incremental synthesis for this design. Please regenerate the checkpoint for this design with -incremental_synth switch in the same Vivado session that synth_design has been run. Synthesis will continue with the default flow +--------------------------------------------------------------------------------- +Finished Doing Graph Differ : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19003 ; free virtual = 29012 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Preparing Guide Design : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19003 ; free virtual = 29012 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_presentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_presentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_futurState_reg' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:57] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:48 ; elapsed = 00:00:53 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18997 ; free virtual = 29006 +--------------------------------------------------------------------------------- + + +Incremental Synthesis Report Summary: + +1. Incremental synthesis run: no + + Reason for not running incremental synthesis : + + +INFO: [Synth 8-7130] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 31 Bit Adders := 1 + 2 Input 13 Bit Adders := 5 + 2 Input 8 Bit Adders := 4 + 2 Input 7 Bit Adders := 5 + 2 Input 6 Bit Adders := 2 + 2 Input 5 Bit Adders := 4 + 2 Input 4 Bit Adders := 5 + 2 Input 3 Bit Adders := 3 + 2 Input 2 Bit Adders := 3 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 20 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 37 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 4 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 20 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 16 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 16 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 11 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 45 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:01:04 ; elapsed = 00:01:10 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18967 ; free virtual = 28980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18956 ; free virtual = 28969 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:33 ; elapsed = 00:01:39 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18968 ; free virtual = 28981 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:34 ; elapsed = 00:01:40 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18968 ; free virtual = 28981 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 28999 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |CARRY4 | 38| +|3 |LUT1 | 43| +|4 |LUT2 | 115| +|5 |LUT3 | 68| +|6 |LUT4 | 71| +|7 |LUT5 | 102| +|8 |LUT6 | 254| +|9 |MUXF7 | 33| +|10 |MUXF8 | 16| +|11 |FDCE | 318| +|12 |FDPE | 2| +|13 |FDRE | 239| +|14 |FDSE | 20| +|15 |LD | 10| +|16 |IBUF | 8| +|17 |IOBUF | 2| +|18 |OBUF | 9| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 28999 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 2 critical warnings and 39 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:01:40 ; elapsed = 00:01:46 . Memory (MB): peak = 2754.586 ; gain = 522.305 ; free physical = 18984 ; free virtual = 28999 +Synthesis Optimization Complete : Time (s): cpu = 00:01:49 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.594 ; gain = 672.055 ; free physical = 18983 ; free virtual = 28999 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19284 ; free virtual = 29299 +INFO: [Netlist 29-17] Analyzing 99 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19288 ; free virtual = 29304 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: f62503c5 +INFO: [Common 17-83] Releasing license: Synthesis +52 Infos, 102 Warnings, 2 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:02:22 ; elapsed = 00:02:28 . Memory (MB): peak = 2754.594 ; gain = 1056.816 ; free physical = 19290 ; free virtual = 29306 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2284.442; main = 1936.812; forked = 398.625 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3814.691; main = 2754.590; forked = 1060.102 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19283 ; free virtual = 29299 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:42:09 2025... diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..2b1d194afcd591007c253e8e1fb5c3015f22ba3c GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zYA=;4+t&p{Uv8DQ~h}jNMxy1_wo#y}}Gd%pR>GsgYmpdL<bc7#QwKGE|tjFO>qZ z*UB?6%y9fG&(P54d{iMU^s2*IRgm~xHHL<5&QH}q>|P@fdDn=6!O~@^F^Ij^0!01= zkxoY~LF`FZ98RHb?#>}WK4<Mf0(0#d7!(|z+Jo3{T|nesSCB}n8;ITO4I=M)GcYtb nEcF4gKl$*whXlA9#XEaA`uVx~tPKQ-{taYcXs|yT1Y!dKuYyQ| literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt new file mode 100644 index 0000000..05f66db --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt @@ -0,0 +1,192 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Mar 5 11:42:09 2025 +| Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 645 | 0 | 0 | 134600 | 0.48 | +| LUT as Logic | 645 | 0 | 0 | 134600 | 0.48 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 589 | 0 | 0 | 269200 | 0.22 | +| Register as Flip Flop | 579 | 0 | 0 | 269200 | 0.22 | +| Register as Latch | 10 | 0 | 0 | 269200 | <0.01 | +| F7 Muxes | 33 | 0 | 0 | 67300 | 0.05 | +| F8 Muxes | 16 | 0 | 0 | 33650 | 0.05 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 328 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 19 | 0 | 0 | 285 | 6.67 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 318 | Flop & Latch | +| LUT6 | 254 | LUT | +| FDRE | 239 | Flop & Latch | +| LUT2 | 115 | LUT | +| LUT5 | 102 | LUT | +| LUT4 | 71 | LUT | +| LUT3 | 68 | LUT | +| LUT1 | 43 | LUT | +| CARRY4 | 38 | CarryLogic | +| MUXF7 | 33 | MuxFx | +| FDSE | 20 | Flop & Latch | +| MUXF8 | 16 | MuxFx | +| LDCE | 10 | Flop & Latch | +| IBUF | 10 | IO | +| OBUF | 9 | IO | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..5bf188b --- /dev/null +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -0,0 +1,129 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1741170802" LaunchIncrCheckpoint="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp"> + <File Type="VDS-TIMINGSUMMARY" Name="audioProc_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="audioProc.dcp"/> + <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> + <File Type="RDS-PROPCONSTRS" Name="audioProc_drc_synth.rpt"/> + <File Type="RDS-RDS" Name="audioProc.vds"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="VDS-TIMING-PB" Name="audioProc_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <BlockFileSet Type="BlockSrcs" Name="clk_wiz_0"/> +</GenRun> diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt new file mode 100644 index 0000000..6eaa206 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js new file mode 100644 index 0000000..aff081c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log new file mode 100644 index 0000000..c3c614f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -0,0 +1,462 @@ + +*** Running vivado + with args -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Mar 5 11:37:50 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:01:00 ; elapsed = 00:01:15 . Memory (MB): peak = 1680.934 ; gain = 261.840 ; free physical = 20266 ; free virtual = 30272 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: read_checkpoint -auto_incremental -incremental /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp +INFO: [Vivado 12-5825] Read reference checkpoint from /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp for incremental synthesis +INFO: [Vivado 12-7989] Please ensure there are no constraint changes +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 180291 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:14 ; elapsed = 00:00:16 . Memory (MB): peak = 2502.055 ; gain = 419.523 ; free physical = 19147 ; free virtual = 29152 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/.Xil/Vivado-179205-fl-tp-br-634/realtime/clk_wiz_0_stub.vhdl:19] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:85] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:85] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-226] default block is never used [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:50] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-638] synthesizing module 'operativeUnit' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd:50] +INFO: [Synth 8-256] done synthesizing module 'operativeUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd:50] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:204] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:225] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/audioProc.v:226] +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:20 ; elapsed = 00:00:23 . Memory (MB): peak = 2587.023 ; gain = 504.492 ; free physical = 19024 ; free virtual = 29031 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 2604.836 ; gain = 522.305 ; free physical = 19011 ; free virtual = 29018 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 2604.836 ; gain = 522.305 ; free physical = 19011 ; free virtual = 29018 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2604.836 ; gain = 0.000 ; free physical = 19011 ; free virtual = 29018 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.586 ; gain = 0.000 ; free physical = 19018 ; free virtual = 29025 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2754.586 ; gain = 0.000 ; free physical = 19017 ; free virtual = 29024 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property CLOCK_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 7). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:46 ; elapsed = 00:00:50 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19008 ; free virtual = 29016 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Preparing Guide Design +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-6895] The reference checkpoint /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp is not suitable for use with incremental synthesis for this design. Please regenerate the checkpoint for this design with -incremental_synth switch in the same Vivado session that synth_design has been run. Synthesis will continue with the default flow +--------------------------------------------------------------------------------- +Finished Doing Graph Differ : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19003 ; free virtual = 29012 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Preparing Guide Design : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 19003 ; free virtual = 29012 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_presentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_presentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_futurState_reg' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd:57] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:48 ; elapsed = 00:00:53 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18997 ; free virtual = 29006 +--------------------------------------------------------------------------------- + + +Incremental Synthesis Report Summary: + +1. Incremental synthesis run: no + + Reason for not running incremental synthesis : + + +INFO: [Synth 8-7130] Flow is switching to default flow due to incremental criteria not met. If you would like to alter this behaviour and have the flow terminate instead, please set the following parameter config_implementation {autoIncr.Synth.RejectBehavior Terminate} +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 31 Bit Adders := 1 + 2 Input 13 Bit Adders := 5 + 2 Input 8 Bit Adders := 4 + 2 Input 7 Bit Adders := 5 + 2 Input 6 Bit Adders := 2 + 2 Input 5 Bit Adders := 4 + 2 Input 4 Bit Adders := 5 + 2 Input 3 Bit Adders := 3 + 2 Input 2 Bit Adders := 3 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 20 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 37 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 4 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 20 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 16 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 16 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 11 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 45 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:01:04 ; elapsed = 00:01:10 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18967 ; free virtual = 28980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:01:28 ; elapsed = 00:01:34 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18956 ; free virtual = 28969 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:01:33 ; elapsed = 00:01:39 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18968 ; free virtual = 28981 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:01:34 ; elapsed = 00:01:40 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18968 ; free virtual = 28981 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18985 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 29000 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 28999 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |CARRY4 | 38| +|3 |LUT1 | 43| +|4 |LUT2 | 115| +|5 |LUT3 | 68| +|6 |LUT4 | 71| +|7 |LUT5 | 102| +|8 |LUT6 | 254| +|9 |MUXF7 | 33| +|10 |MUXF8 | 16| +|11 |FDCE | 318| +|12 |FDPE | 2| +|13 |FDRE | 239| +|14 |FDSE | 20| +|15 |LD | 10| +|16 |IBUF | 8| +|17 |IOBUF | 2| +|18 |OBUF | 9| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:01:48 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.586 ; gain = 672.055 ; free physical = 18984 ; free virtual = 28999 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 2 critical warnings and 39 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:01:40 ; elapsed = 00:01:46 . Memory (MB): peak = 2754.586 ; gain = 522.305 ; free physical = 18984 ; free virtual = 28999 +Synthesis Optimization Complete : Time (s): cpu = 00:01:49 ; elapsed = 00:01:55 . Memory (MB): peak = 2754.594 ; gain = 672.055 ; free physical = 18983 ; free virtual = 28999 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19284 ; free virtual = 29299 +INFO: [Netlist 29-17] Analyzing 99 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19288 ; free virtual = 29304 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: f62503c5 +INFO: [Common 17-83] Releasing license: Synthesis +52 Infos, 102 Warnings, 2 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:02:22 ; elapsed = 00:02:28 . Memory (MB): peak = 2754.594 ; gain = 1056.816 ; free physical = 19290 ; free virtual = 29306 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2284.442; main = 1936.812; forked = 398.625 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3814.691; main = 2754.590; forked = 1060.102 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.594 ; gain = 0.000 ; free physical = 19283 ; free virtual = 29299 +INFO: [Common 17-1381] The checkpoint '/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Mar 5 11:42:09 2025... diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh new file mode 100755 index 0000000..b68bb66 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou new file mode 100644 index 0000000..6a01d94 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Mar 5 11:37:49 2025 +# Process ID: 179205 +# Current directory: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-634 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-6600 CPU @ 3.30GHz +# CPU Frequency :900.067 MHz +# CPU Physical cores:4 +# CPU Logical cores :4 +# Host memory :33538 MB +# Swap memory :4294 MB +# Total Virtual :37833 MB +# Available Virtual :32304 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..662306ddf84e2cd8fc09cb6be812ce48c2ddd7bc GIT binary patch literal 51757 zcmd;j$;eftS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Ts^fdPVTXbNH*npqg=SsI%dadNR6 znHlO?m>3wbbFms37@3)Y7{&%h=0;I$hUU8FMn)M71w0iz6Bro;n7Hh?q=Qn^ic*U+ zGV{_EJOdPpQVa5nGfVP|GE<AW1X!h*jf_pS*pu^%QqxoOqSy>Ab&ZToG8hV2D_9{W zEN0?b!048knUk8LP?E2Zlb@KPP+FW?gko5wg1Tz4y0wC8F_&kWLP<tuu|i5_QEGAt zC;*Ey@=J446!Jit^U_m`6p~UEGV>HF^Gk~qax#lc6!Oy`CL+5{M<G2QWM4@}D#)hb z)RL0SymXMUi8=Y{3W<3s3Pq{8`DLjJnI(E$0&G$&hQ@{#aGw}ia+&LA<maXq>t`7m z=ceYRrtAB<x;Xp$>6aAfre)@o6s78>mXxMsCgzps!j*trV5Gn$=bV_QUZRkenU|sf zazJrGYI0^;W@?H;L1IaUUKBehn2by;G8hWjD%c?5)XB)D<ddJ6lA59rmRXjVk`Hn= z$UJapLjp(-6yhv~#>PenhdOZC>6aE4fgP)#npa$sWT@}!>Z%`+nUk4Up<f0z%gDgU zM9)wkVz54xmy%eLsGnH?agDK|F><WkVC3R)&d<$F%uBHX#fkun6pNvSxq%jEK~7?x zV@7IXiqRrQuKA2bsfj7^$r-81*#-HTc_j+EiKQj^@tJwaMX9-|c_oQC3cAP~uro;u zQ&0lecPvfG%nvBaPu43gN-owfEy>I&jyKfL%q_?-Dk;`4uFNaRh&R;FFGwv)EXgcO z4b96e(Mw4NdCo}J$imDlgQ0+>f&~)P*BH61gHjVgDIhJiC^auRRRPHdX+`<Dp!}Sc zU!;KSbg&(%#hJwdtWqq-hNdRSVNt|YFd$wtwJ<V8j<*ml-GH3b#Nt$i)V$)-qEt}w zD@s*JEJ_9CpXB_!;*z37P&_4PB<7{3Li}QGWQydMD0V|5U2{tdOJs9zW2OftQ)4Yo zNb)L4&M{ia$hC|S97gdesl}P;c?!BE`2`Azu!vL8El4aXQK(2ZPc$+xC@D@#H?c6$ zHB`_|OU%nJEs4*`%*`xOFflMt&`m4OjZdv8DN0N($;{7F$j?iS&&V%P(9KRwEr?Go zEX^!S%t_5Fi7!e`&nzxUEh<*fElMrUFD*(=jW5nfECLl(`Dtkix_SBWImrsT#TiAZ z>G8RldGW=WRjCT5QLHAqhT!xLPZCyKV!<VeMW8Z50ofB=0xVL@h9;IsJ_YGEHZnv` zP}N)xjwL0jxdmYTCHV^JsU-@D3OSj{sd>ez3ZRsfmYP@siVsjZ2P%E^^NSQxQp+-v zQ^7?a*dAj83wQy~&BYlEO4OhP2P(l~;RY_GjZMsvt<UB%aL+Fx%{o>oW@AG{eL}!G zl(gW=#Sbc|K%oqdQdLkYVm352*J6YC2vj@4+zX56C^jQQT@wS8LMEC^B_Oe=1XRE% zq~xa-E9B*uC}bp-r7AePI{GT)WF{3Q7FFtjY8gv2GrXp=8yV_a8X19t1zz*yb9wld z=9FZXWPl1OkaJV>5|cpn5GX>BV_BiJ7$lvjkegVMnOm9*s!>#n6$*;-lT(X}Q;R`P zU@@^Uve079Pb{u1He%*tG>Kxf&^0$OM+urlE_<KE(!6AFZJCjpQ;=E&GX<OqiVG6U z^FUT5XJqE26s6`VKr#?a5hO#JSQwc@ZGu_?swv?9^5;_aKs7oCY-ehULV0FMhC+a+ zivl=KKu$J+8f3)5#b#(>U}R|sH4>%RIKs%qq*^S%qs0mi7NgaSTq_vi86qgeN5M5G zF)1HZw&v$4SSg@4e?aXH11m!lltvI#&`be)Q^?5Fz(~)))Kmf9ATlwu)H5|QR<Kq; zZxtC@8k(5H#1Rc6BTG>82D!bml#xpc?1j|g%qmbo=jNxB=0NfoG)zn^VS#JJ&BX~X zpNyEe7>vBQ+{h@#i;I%=Gg5N&;b!TT2{0P5aWNSh3ouzQIxvEQ+|1AvC3QYw<dVSU zQc#LFGlr)&ZZ6K`ob34W%&K?;qX~>$-Hc>760I67D$PSwn|cwMInc&|uA#Z5k%6gh zT8?f>fo@Wfu9>lkeo<;-PDy5Nsy^Hq@x>*jNqS`&DLGJ|T0(uwYN2apjFMb0F>+ag zYH4se2g+Hwh$vCWELJGZOU}>BOHD3GO#$bT%)H`~L{Oa!Dk?z@GZPC#cu=r&u@<Ek zr<Q=C4(=FG3l_vNq;~vSm_mI8$?BjoAKqSj%E;x7;#736Wh54Znh{XXW#;D<E2N|* z=Oh-Trsyanm6j;v=jBv_RHSE?rRIS>YHkWon(SPx5HF!bJ~J1iIjHb4qpeSkK*coN zr^`voQ#@Q;;Cv9DnU`4t&Q#u9?qsA_luQLS43?{mOc1exo~xEIa_J_6nm@%Qi6!6` zC@6`6>Xo$8oE%Was{o3ZynKa})U?FXoDxu0Gc+}Ym6FtUtEnN>t*jQhhNdWG?kwU9 z01hs;kZ@1uk{lyXE>{Y|5@HA_+X^rmv2!sS8sg5jlNq_Bp<$$ul#`#F4GzfEveY6_ zXDbC1Zbn9CuyCWUyNrzu5W!|-1Zup)Yrg??ml>=;XSL8BOzyHkN@jz}U6x4cYY@51 z#LygP`r63IrJ9nTmkQ|yU};7uXs8w|s}^g5bATy42O#n&GZ&))sE{_KWo|VxMadP* z2UEERDp~LpeES%=)bWO}YB5q6vzVAz!i#6j$^{YF)GoTwsu`5Bdof8>A{Q4&N@`Mm zX<l+FxI(0?u!I=}uMS~l5}SoCdUd#q{Gdj6G$NpB>U@-7o=toe$;ri@X;d7aT#`d! z(+Fw`w31}wVnQzS(VDiK$Sf<69E%86%K9F7osCvlOeQ{9S-F_gGK-AdxSS|xcBEw% zA%fG$0#Wp$1*aFd6Uqhagc@-fa0Vn6CFZ7<q!uZplxL=tWGH~LQHnxIzLkQJiGr>| zNo7H*l|p7-Nh)Yym@9*!fUANFGRo(PYMLRJK9Xs9C5Z*aNQN1j;Ww<Gq}C7>gUb|m zONg}Gk(OBm8Wg24cR)=+M5i%Q4UZO`i-}KWyj<ML`FSNp`8i-W`f+(tklc_=Lb%fe zR!U-aP<N4;i_sj7$jLNzK3Z;GPJA%)bMc~#kQ)VX`A`thC}tso8ac_LC5e4x1~rDm z5rIu}FQ5fC1!X=o^MKlh&;}x9Wj@qAM93p&Ml@%yA~WQn6#yc<saUolR|RO!y++MJ zBR(#kl%(|d{L+$w(vo-sBdDJ^x!7}3(@NYjizqA<!Q&m^$tlpdAE@<fiD%%5hF&uq zzFspLzFspPzFspKV6Q!<?I0~u2?8xsSh$!B4M7Dwbw_A1%M{ch+Us;EF1ffkiZatP zXi;7o86XYmnj4s-47AhGZ}9SR82SxfU=BmS!As0x=r?$gIZ%FkLfbME*;_O(G|>tc z)IxI)`GaE^6UT`5F=b79)TSin6f(;2*mg!P?a;hZ(2~RA)WXu#ypqhs9EH>z$h?<o zu|j!bF?5w%ik<>^k(-GzV$Mc@iw`uZ>t9;p3|UM=<?(7GV>9Sb4`^i4z|aJFx!YFC z+|I|vlUh_1Qdy811X>0}WtSVHOx&ZnoWfA&<KihwO?OEwNi+nzoT|gtpmu^WQs2Xj z>TU<k>bfO`r_#pl@Sy`NDP}Jtmw{hu324SVGfx4sh(I5d=1ah{=%@>bQi?LmQj7FJ zv+BksMn<q{N*p~wD)wm&VZ)nj7P`h3s7pMl?*n)PhMGQrjp)(E2k>SJ6@6d?8#ASg z58#avYWe^+pGy}Xz?&S@^nnqg>_^WBJ1Hys1-bZ(^Gowm;z0|TeZU0~H7i|qE@mSm zJY^^~T@R_esP1|^^=>JbWk7xrYAFgXQi~N*GfOf+t2>a^W91jYmdk+F?So2F6ALr= zl(!%kKk~R*w0SJFyAN9LW^QN%S{eyoMMO)B%?8S1(}A+sWS}fI9w>{A2FhZ?fwI_O zU@SHqsC;Y~JwW-`FnWOUv0?N8<zvI>0m{dQ(F2r^4WkDr9~(yF&BsMltdCK9H?Wp9 zw#t}xw!&J&^tBb%g2iTQE)_$VlZ!niGcVc@Z+Srr3-Oi{w6G9w`9KQ`@s<m;un=!~ zKnn};mIFCdOr;!LY@k$XiLd=Y1N$uKY#-k41`Yg&w{=1T`|uVrG_VhE8AAj6@D?#N zun%tuLj(Kp7BDoh4{!OBN5%4mjf=(CH{KI;m;iLyDYkl-nl`d<F%_4C_I$zTN3hw5 zbr+*sW?p7-Mrw)zvAY<J46y8CG&07yi_yYd&j7q>5qhkHsey@}iKP*2w<6Nn4u+Nn zMxZT{;N6YLyBH0O4M9s+;ioR-pe|hv;R@2FHUQZNUn*;jYM(NfB6#nof=6OrN)Bij zrE_U<Nq(+^V@XL-W>RTMYB7p-dOMfi_MJ!D9lMQ@YcnG}UEvNSoEv4046!9K6I@Bm z%)ms?!q^P4QP$MRNYB^+v~w1mlu(nHA;@sBI7$*Tv;f7~fF&_{$Jtr5INQR=wGk0# zpt8Wfpd>RlvnmmM#y~&@Xw#tKXpAA&&wn2j2i?eUN%^IgfRA}8&WD`Oker`ekds=H zs;6MY%f$`ZWo}@gXJCTP<>KNng61927GVQD0|W4}H-?r5hK3*!BTEBA3-E~wXvf}o zb7=+?r4~SrlE{PF4>~m<CqF$iSs@=O>41)8VKz3g)M5wiuue@biDEU>H8nRtK0U*Z zONI-yJ~Xws7*v`@xHu~~!;j)92A}<4WMYD1f{_{M93J@j6t-N_o_U#|`F+T?c2LsI zOIJwEOV7+p1sh;&Vu50SsTpYa9d5u8M(|+^aQoddb5a$eRf}W6d#;mHb8^7@v%yAL zm{@3WLk{r(AGBhW#g#_pGV#m;#GY|%toY2lc+h(N)QS?lij-slMk7w>#%BRe3&tom zBLiK?Br4n^XBoM4;bm_?ViA!(G9Oev0-Xc^_t9KNE-|bg0Y`?Rxh1O8W4I#7j10u_ zFU9(PsTGyQVVNnZ`SHGqphHw3!4C~{L-4T{a2GCP<dVl9=1`}ir5pM<6?EDF+^OH0 zxNb6ff(i)m@k8Lk9eVsyvI0^7D3qrbflg*A%}Y@z&j4ix*dZYZMG9#kaZo1FQwYgO zElx!>ATuWid=yoBUVahu>;l+<4#nUN{^d{=;1xQVc?y{*&eBux%q>U+AA5kXvKV|+ z52(0HO#vNp1v)(n;R<kBV`OX$&*(-`v`Y*|vRu;OgCXE;ZqQj{1)#76r4>*yXJm}B z3Dtv3%^6xYrzqel@4$)0$ix)x4X{~ephE}X@%V8F)IQJy*9?#jT!XQV%uP-7OfA5N ztD)387SMXf$P`<>lf$JLnwMFenX3>|l$ckXmS2<$X`cj_=H@0ARa$X@4>4gjG&F<< zo{>72s$aeWR3CKpS1}}gm%vO(P0`~5g|eX`Xl*TgGyUH|5z0zjNY$Z2SYl3Q3dGkz zrFozgY6@0pHNBw`O2uykIv@#Wh?~Pg+yr}wgATrd?>VG-SL+m7yL2NX*E&SI6wxX` z4hCH3?U;bN1c*ZiAuS<O0|gxC?Ld<xq8njmZUjAm2i#pi?M4__z<RK#-3YT0)s1jL z?HTKEX+e6%umi9_=U0JG6$#1A1vTwF^Wb?F6i)CSHodz)mZ<Jl<dTQE7<6Qp6~-}a z1M1itXnA5kBi9~8o`5+VPf+9RwvFZxnipsus42~WOAnGm9199^DnVI7y*RZ5+;T`Q zDydXgK=1q@Z`r1IE}^%5chD00VMeY4h=iU<T!@V(cElJ3y(7>ZHL=Tc$wCr4Vz9uy zG&3bt!3BH*=71Ke>zTP`Fok5KDioz+IpkEe7<`;leu+YHX=VxNR7CJNKxuI*<P1Tq z2cLqKKn~{x`%g~+de$iT@L$l$tKjoSQ4UkhFM=ND3)TTK9Qj1pcyO0Vp}0J=Bsl}t z<0($eO@*C;TMQaB$<I?L$w(}LoXG<_UleqZS5j(fo<dP+o}L2yv`htXw+l3=keOEs z^$w^T1v|_tEhoQRfL)5k#N5OHwk~`ij&B7maksDpZRlXHV22!8LGR>z9IZTB$H=uB zQ69PEgNkqWqQrs>1((dUwA3OJiylKNwM5}3LZY@rjX?Qp#0+SkMvJRWj9lvxafQ7A z8jUYRRguMIoSB!FS_B%ZaSQeZmu28X0u^A#2!jVeK}8T`ZwZ(SI^@g30KR{On~M{y z2fXMUe&SaYtA(zG0cgAy-m$KvhHau;!oflD1)u{j^Gbq22O5IyMLl-}i{*8wwNx5c z3bkhsv6u(SjPUMG6{-u8xDpgF0oX?hHJB0#3JQLy<qEEO$@wXuA_zlCKv8O0W`1cg zLJ5lP1MmMzRR1S(#bf%ixFj<rCl!bPVag2*3=9b?F+lM?5tbL16oXE?CS<aqfdL^U z1_t1%Yk15PWqEl~W(g5KCv3SP$(Ab=mlP)^XA|_6A;DlbG$c9L6^cthqs)XYC&K5X zSYBL`o0piJO<bHC60+Qoj5t>)F3BY#*bRvZc9P;8?)CfvLcwlGFsm6F7?2X|kW389 zYXmJfBqFOBqGh##FXlQJx!hAhrF3eF0;o;}A3qMRAkivS$ocAErJw>Gbh0yMMayDr zY-$W^k)zbKY+NkqMTwQ5Gt41J*n(F77#o{{b`-;_+<^~{(OM5>EFHbpD^JWUi7!qB zO@iUiiUvf~dJ5!ZMTO#${2~Gs56EspC7=QcB_Q+?fy})0_?&z~<$!?!;c`I1fQ*U< za`qL0U<Va%_?0Lq7!Y6Og5x_iFNLT$2iZ+9*bUK&-+|BavuIZW;%NPIa<S*<rRrwn zmw+b0K*Jo+Qxmb3iF+Bj6d}DHP_dhn2pVGnEn_K5EXo8A`hZGe0X8XSWB82|Msi#- zZo$6s`FW`s`6cneLGfv&C8b5+#yYtBL4(r`O_7dcHU?kf0pB)C?;#Pg){PnA?r4K% z(J-dya4se#Ws1(i(h}>H7zPFdJ4NS=+V|1s(&XauM7j?OF;Ewj3ceQtKDUk1i?Km9 zMukhsP)`Bb9Pq#)=z1K`_@9+RUOpF!et%T`=3J%<3JO7~iN*PO;J!cTPJp7)Jn(HS z*hf396u3~0oXy1bgV8M~zZ^7n3K_8kWvdeSWdaJIu|<WH(p1pRW0^>PP6l;&iZT<y zH{9f=mgp&XrhzUnQz!>tZI+Xn4c3yF13KvzJi?lknvn?V;}(Hu7r~d}fCe$a7L=qG z<!0t3mVmB7D=tY*Owmy&fL!`ioLU09@kt>qKPM-@9OSqH_yM}f`FUxX>G7zGP!y^` z_jQ4SRSz65dO@k6+vJ=ewihXcz^tkT-FjtWVP*kspn*yob3<d4Wp4D&t5&E{ti+`N z8I1?6?gTAF$<Is8D*=g>WEPiXCKoH9BoKPL7IRA0CA9={FCMCcL379oR;Z5EK=rBs z7oWDSuC8NB3h4GLG_`K1YW28uI29BWj1)Zc3Q9{96cmh&6r3_a3{d|BW`wPRA&84= zD?yVCsb!L(F;<g6c~*fmHz`<PHOYi@-zk`5HHjQInPD}_hzvKGVl{~z-<e=Fi5%Zy zk2_;Be1|<{Vlhb@HH`~%34-!N5d1<ov@C%+Ne#*pU<X18W4I@f48fWyh%gK84HUDm zc!LPD;8_gCEG(Iouvtd%C`U01OEM(FECX2bq1-G)=0`CLOHw54E=2Z6G0WH-6wfH- zKM`iZ3jkEJKt`b!N<^3i&$uXNVad2en1wwa$uo<J>0SYQx+g1rDd0%=7NEdKiAQzR zqJW=^7gQAZmR6(|qt~JY>od3qK(;`QuvNg4aS54($Z9AiVF?O?Cc!frr6wV=28v0B zW*{y~kP>o}0z5H;w8F#562wEz7}!m+fVV|(n1rQx!)}r(A(My=aYHj|nWSKeFbSSf zK_LSVCt`hPWJoQOkXnBVINStw6cMQaDa7GL2a4~A4RHlygzvEDJFt_8Nl%EjEuz>! zagzzSE+N8qCI~md3m_DejKGc}!XzZ$VK)ivBw~Drl%BAg1a=Y;CK(zK@*TJ+Ai^YL zq})Q8n-q}J6LyoZRBQC^y4j*uF{)h3@ZB(|T{qAu*<k2v(mR06Py<MgO9s*t2d~); zg5J%n03GN-$vpISX)&rxqq!nc+*oYI<q{lFY^7jsVxXXroS&nRlbV-al3`_NV4%t6 z6y)d&k~2n?QwVbObuzS20FB*)n8s!brUse=>P^hS26&1^@$aCB6MClsSJX71%cTuT zt<FXH#l;FvptB_tiz>kbZ;nN&i3<M6+dT(zy|M?F8t6i-oSf7g$Wln~@F#q5F%xow zc5Z43<fKL;OXR^i0}Ie#9eiM&mgh0zJ(-1;7MtNaf`*nB<2|c|mKNhZw1t)y<2}8F zmKGZgR2<_y&xMvg#(S^}?JOQW2$F6`*p40qNynfbpnN=f5G1_qLA&E8M-PIe*5Tf; zqb~8*^Rzf75pVrY3k&g<2ehydZ+%XKgW*OGf~3-c_t1ki@%A!k5Px_(7c{UBZ=Zq& z_TepJXkZ`S5{3r$;VocjU?1M{g__4Q4%UMp={=xy6K!wa0Y<L9h`o8l3@hPSKxk-X z06H)dbpfHFl_6Em_^_}v!?u9X!qNh~$zjCotaLyf4%FaMgA514PcQ%-SpYrX193ph z$T|Y^3R()^&B(O_k-`at6wbtLgt<D_(8?I+Ihdrajx`0Hjsrf}25H-{g{7G#XkdQC zByJPb#4W=m1xeh{^gL*Ww&`7DpFm5{>lwM$AQCi|(7~CAjX~Sf(MxD!OKR+Wx3Dw= z<q*t7YysW_H)0a8F=`@~=8}Xa;?(4fy!@Q}^hyQa#Dap%ymV0BhVMnCcbcNNefW=W z2*C&(+({T)Bg2GRjf~MGEWpI2i<*Q%n|r`Jus!`1JoAcEi$Diej;O?Ij~XEAT&j>f z?Us{RQj!YZmf@6|2HLJSVuQ#DHHfshG~ko?qoD*Z<#DY&am&n0%u#?H9_^fynwVEw zFrZm13^hLOxNO25gZw=G+^rz9`LKhHK*vkx=P4-ZrX}X(mzKomWaeg;D1kN|rKA=V zr6wnqfR6JV$b|@amX{2^V2|U{a>_|e&UVVLfL<F6y6_TodQ>UWRhI>sc?zn<T%ePo zj7=?JD<?o_hbHG_$Agax2OY#x0^0awVqyY1?Pb8uZ90h7cwNEBwG`2KMJ_LKR{18N zS{c37YHCWIR;va4Y67ILmnC$^_K0b<Vy+s5)Nnzmd5Pe|LfupIz=x)#fSLd$iFwH* z>|TwFXvug7BiB|$GR7B7qsba^uob;~9XNMgrY4nU=70}u3N218Qt-%3ElMm(&Zr#F zI+xy|a}F&{Z)N1#gq)^vgwbe%9=HUJ*_gpuPJ^z_9$5pw1kOXitjfSaG@6_TE;)~0 z=7oMbAxZ^A<;%Pbt!Uel293<2kN=Limb3+G$60|(4rdANms&Ei3g|Ov4UWx>TpN%Z z92k8KPzViB`HU?wTUc6-tQk36&5iJ)%o5OvE#Pxlz#BUt=i{K1Ui5Cx>Z9g7aV}9V zh^rJ}Ln^7oXlE^8c6&klv2}HIp%koiM&fFt_z}|p=mD@bFgq3UKnH}^c;*#Ddd&(o z1Pp-M1*OPw0c4M54QMQ=JhLj^0JJF->8vzED!Tv@?mSwo;8Tu_kiy*=bX8kuabkKZ zB;4V{DUeArxE5(HNvL<B6g&dJp^ZlyEVRKkDJUq^IOmt<l|aH6k2a_^P>OilP(v0P zrjYQ&V_OYmn{kb^V^C0}i2_8Mu?099@M(kW<*)Gx4FTH=_N$38L2V|G`1T160ox1G zW@u<iP#a{AFUU5qy&!E0W`rFH*@g?!2DTTh&75$MfX}#40BHl;3({t2U_{W7;3E~l z+Q9aLv>BP25Yz_Q?O)>?8sP@A7^F?Xm~coMg0l`-8^~g?HbXOlwi!aA%gx2v6%-X9 zZN`R#L(&iuU2ZM`aBT_-goDHo5?yXCL2zwG#+HN~35hN@mteRy1tSB(+91*8;{x&- zG$|Vrp$!sUo=%}|AdR3PQBWWvNI<crP~+(j(Ff5+M38`DOQ8m;3zFXy2nGqXG=Ne# z3I%#sYWVxKxa#52HWQ*xn~2&h@Z@qwv|7?&7jA=312#}dEh@?{D%MdjQUGmK%}h?r zQ7BI=0!{E1gSXfkTf+HzDAhRhsx<iBY~ZWX5cVK1T~a{Y6c>`2o2sButZAi?Tu`cD zs{pzc&CtpO^HOg^D-$!Kr#tZ6xNKo*fv;T)pQnUg-~jgy_yPwU-T|Fn2fE@Gcbc=r zxIx;`ioo=ZCCHi3OUm(F{bpfl0b0?7-syz3)8YPc;(}aKn3D)@wt^cfsl}O9sVNH3 z8*{<eRT`Tbz^^I<-9Tt+Zisv}?ohvF*cfs5prr|Dwh-yIM<WZch>@j{r6snz2Sd1Y z9rF@%Dyu-ve$`@(D;z;5wHBoo<Rm7if-W-!1t*iCxfVM#c#T-Nm@F-$SdA=o4M7*m z!cW4E;4*^V28niyB<$v7@YSNK#R|>=p$f&R$@zIH#bCpYED(k>b1@o#%{BtvuL?Jt z$~Pkia%l#X7H6cUfTm<K^U6|-N>Yn7iZww8XsQ+~<m4x&C=_JoX%uVffn8{6p~akE zP+|mf9hit>Gd9#UG)8gbu(&%EOKO7N9cpZV^(M<*jO1J%TFO<dpx~%bl3$XTqmZAb zU}&U}3GY^c@5n{DLsUTl(r&P|gEBNg%?}*~P_rOJ(@FtJH<yBfkBfq>oq~^xv#XVY zp#j2RY*&$najAj}L+IUE3b3@QP@~|Sk(!)cT$*bIo}g!uVm381(&8-3EK5wuFG<b; z<-jyEBU1z8WYZ`%6J0|S@Uf)ut7M(I#DY>m=c9tMSx#nhYF=?F#3)uNCJSRNw&eWW z-26NvZZ1x+3qXDEC{{yrT?^2SwD6SQz{usUTC4y%owHa6lw8B1wHTP=4C=ju>Nc1t zxNcJ|R&WJZe+r<p?@RMaQj0)KHw0Lun2aoty}-i7WNKu@%EfGGU<69nV8S4Z#YEQx zR9wRS;=?7SS_}`H6oul_<mA-i;<VD7oJ!EOx=cov$j0(<@qo)^=*kkXd7zaGaPwXa ziVI)`xcHErX{7*)Qc%ThWMu@t+!e~U0Et5`dbKnGu?-DO&Gak`!Po2>S{hk`uXi`H zG&V59R^BdP<dRe^RwzhK%m&4uZ)$FSQ6=OObnvyhtcFHLCT8&TfEIWl`vNj5i$N_I zP)r2`2OHUPS!?(@X<8}dm!%dZ=Hw{YDi|4AnCO|97+EXiCT8X-*eV!W8iU+uWDPoY zI6E~(!B)Z8(n8P7$TW)G&|KHZ!q^14LZr}<oLuZ-nMEa~i8&xQh6M*3*>TyRyV2Of z&_vJ7(h%-OXqXxxxzW(T%s|i3zzC}&lfmsjRw+g^Ew-Z4JWwWd=W>PT9)+UR;?kTF z$Ys``gO(FhQc_buWjg2#<K)ETj8xbu$<9HZA)d~TKJn0{P@ondJj;C=6sc2+O9Ip< zNL2{VNGwQoaZ-S_&M>bfM!OLj8m!<}07|>S7}Qe0*)G_~$OXD@9F#^u=d&w-mMVcS zx=V*G7y(y?%w|T0@Wjf)#gz;yCJXX2^Gb~3xMIk-Bfg+0KTF@SG$k`XpeR3Cuc$Py zSRaxv4fWxdfa|3s7l6}*p|J(1Xo5%9d`2#D*NW8SQgCw+RHi28r6|A(T2@mtV`Bp? z&Vro8JjaaG#1x}guIM800pRhaC7C&yRgf#6b-}lt!)-&BgIpD;S5#1<pj(infKN?9 zQWS@wk*<-61#0UhhD*e?0y501TC9**qM%x=rw0j4BLhUv=ip)s1J^D_ptw&>QSePH rQcy5eFf_C>F|snSR4_7t-rsFxfN}#m9~YNvUW!6cYI1&2iU1=3bhgfv literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log deleted file mode 100644 index 8969597..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log +++ /dev/null @@ -1,6 +0,0 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'controlUnit' -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'firUnit' -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/tb_firUnit.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh index 27476f9..fa8c252 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Wed Feb 26 12:23:43 CET 2025 +# Generated by Vivado on Wed Mar 05 11:20:56 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -16,13 +16,9 @@ # # **************************************************************************** set -Eeuo pipefail -# compile Verilog/System Verilog design sources -echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj" -xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log - # compile VHDL design sources echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" -xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log echo "Waiting for jobs to finish..." echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log index 690fd0d..ca74b1c 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -1,19 +1,9 @@ Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1322] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1325] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1329] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1332] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1386] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1403] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:1406] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:3161] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v:3164] Completed static elaboration Starting simulation data flow analysis Completed simulation data flow analysis @@ -22,28 +12,8 @@ Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.numeric_std -Compiling package vl.vl_types -Compiling module xil_defaultlib.glbl Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] -Compiling module unisims_ver.GND -Compiling module unisims_ver.BUFG -Compiling module unisims_ver.IBUF -Compiling module unisims_ver.OBUF -Compiling module unisims_ver.x_lut3_mux8 -Compiling module unisims_ver.LUT3 -Compiling module unisims_ver.x_lut2_mux4 -Compiling module unisims_ver.LUT2 -Compiling module unisims_ver.LUT4 -Compiling module unisims_ver.LUT5 -Compiling module unisims_ver.LUT6 -Compiling module unisims_ver.FDCE_default -Compiling module unisims_ver.CARRY4 -Compiling module unisims_ver.MUXF8 -Compiling module unisims_ver.MUXF7 -Compiling module unisims_ver.x_lut1_mux2 -Compiling module unisims_ver.LUT1(INIT=2'b01) -Compiling module unisims_ver.VCC -Compiling module xil_defaultlib.operativeUnit +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh index 45f7c8b..09fe13b 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Wed Feb 26 12:23:47 CET 2025 +# Generated by Vivado on Wed Mar 05 11:21:04 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -17,6 +17,6 @@ # **************************************************************************** set -Eeuo pipefail # elaborate design -echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log" -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v deleted file mode 100755 index ed3b249..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v +++ /dev/null @@ -1,84 +0,0 @@ -// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - parameter GRES_WIDTH = 10000; - parameter GRES_START = 10000; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - wire GRESTORE; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - reg GRESTORE_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - assign (strong1, weak0) GRESTORE = GRESTORE_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - - initial begin - GRESTORE_int = 1'b0; - #(GRES_START); - GRESTORE_int = 1'b1; - #(GRES_WIDTH); - GRESTORE_int = 1'b0; - end - -endmodule -`endif diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh index 6c50e68..443010d 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Wed Feb 26 12:23:52 CET 2025 +# Generated by Vivado on Wed Mar 05 11:12:36 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index 43c0761443e318472d3138078770c8732150fd82..3ca999b5d74e1eef3fdd26e6c32b911b45ba2375 100644 GIT binary patch literal 50303 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C*YwdLkXl1XBr& zV2a^2GlX8P2cgL&4Hy_0U>KhnQ0o|OL+zVw3{f3z0imUBAvCGvfg8)gT3#|UFmQob z93X;$!Nm(gpMX$sk{!yLwiL?HU|>jLU|<M$anf@wOU)~R3d1O9a4|?g86HpxXt;X@ zXXYw|L<YDjxOw`xDi|0TGOP`srg|bB<P2#h1_qEbm>C!r1c$i9`}n(iIx{daFn}>A zL^v537}P`68Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-08Ps*v89<hMGcYi~ObVsU zC<O)v237`!1%8epp+Sy5VCR7R_5c6>dXQC)3=9kc$c~N=b9D~!4+1M>WLN-p4@e=% zFCZGE8HE4+|NnpP|NsAEp>7R{FD^+eNd@Zzsbz&&%n<JA84@4t=o{eU$`BmlALPmq z5ajRd8XWBD=N|9l?;pV69~u%68Uj)1>gNJe46@7|<~mPzKUWv9*~GXB=4nsA5Lb6s zh+h~O7#d)n_JkT+l$xGdT#{PkmYD-_ILL62!$EelK_d_3S$+lva6rJ4)PJbwH9(RK z3=FWmxEIR53#H{i1~D)&R72@aQ2G*-h9<3`kPwiQpqij|fp`<3>Y_m*%D@0C0>DYp z#mQa4z`%?Flmx&0|Nnmn0|Uba76t|bc?O0T91ILM1Q{42gfa0A5e5biaR!D2SqMHL z%fO%@$H1T<55ckw3=AM_!oa`)!U7<Npy66Z28L`V1_p6ZATcm7@IW!FC;~Z~k&%G` zgdrivzyJy}kTGC61_U1@2Ew3l2hku5Vne+Qj&vDlm?%PNkQ$IYC_X?=0I~g`@dZlJ zAUi;6Kp12%2!qssN+M8Z1Z7oRYCwKwVPIegMzRat98kIhxiJQh8Y>0{29VoQq3!~i z0V)JQSeSu<0YnEfFff4pFd0b=Hv<EM5Ca1PC=r9y#4|83fQlH9n?Y(p=72EBPaq5` z3PElLsXYQU4<rx5AbAi5sR5O`Ao?sGHHi!i3?Tcj;Zc(WjqCe()Fd-7Fz_-kFucH{ z22>RDF)%QE!lMQhcA&iX2alRGNM>eWV}yhy$lo9g@;3;B{0yoW1wrZ<Az=xU2Vsyr z2!s6W1`T&fJZd5s7#KitCyz%B$X_B13=BGW)RZwWFo-fRFqq*{Q^CN%AjZJJ;DAR> zB?AM4I0FNN7alcL3=9ks3=9k*c+^xgFfd3mFfhd7QB%vnz#zrIz>tPVO&0?LgERvJ zLopsTvlti{K=~Ot{6S#~;xq6_2Y_iL0+i1{We_NRgYpw7J%IAEJT%XM%+h9HU;yQ9 zkQz|BGvJmE0I}7f`3&T4P&|V$NFHST0dDC4kU1cIAaRfwhz4Ppng*yEQ2qjm<5L4F z69}mRl?gC4#S9D#^-%YL>~r9k4gjT9kY7MF$jyrx7#KD})qv6z$X}qeY6Q)vpfUnv z9teZX0J#t3FOYshZU(6Vl?fodAblVjgkk1@{0>qBDic8B_|$;P1VU;+Wdb2Jpu9s! z4X8{Yqy|(b5K;pw6Y!}4g)^v3AfyIVCJ<6X$X_74KxG0Ub3kPRAvK^ffsh(dnLtPl zs7xTF22>^xQUfXz2&n;;2{1LFbOytq{HcYdjs?{lpmKpBpj{M{&q3-zYC(Aiy<SIF z3#wOPYIUIXDyTgG(*swVn=J}zYrxd%5^#elNFlU50`+=8^)<{~klAo^!?H!)k<{uF zFgFgW7UV}zn+#+YR0!Oj0+|aI3uqSwrFoDXNUa<Wb75-pKnkJd3aA`Kb}uL#;pXOM zi`F2i1(i2oGoWIiID@MVXcz5=ii6w$3O{6XLE!;X3o@S}H(PW8l3FDk?ggt2Xcygv zq!tu@*xUe153p_^$PJ(_96q&Ik<10P!11Ylf}|GI7Q?6ZGm=_RXAYm*e@JS5aD)TQ zZ=6trKz{Qjpca;PKxH_wX$Pd1P}+f|2asA&+JQO=><jetAOw{JsU?(ll#$ee(hii1 zVlFH_=tCtz<`POfwn%D~afBJnTyG?`Dg@MqBB@m+pf(;!tr`Kf=}2lp?H4E)YdC=V zVIT=mIKavhP~Qb428#<=+UbQF1X2qc#sP70sfDE-P`!jJ%s~1<<u9z<0jb3mW-zs| zatEXq6lTcf1IR6)@&T;&$0E_$U<RZ=f-B6xN&?zNmxCD)wYb6ztR$dabSszvQ48w7 zL%E<J!zfb^LnT4()x;5RU?l<VqG!Pjh`G4xEU=P*cG2r#21G5cIt#2Mpk4Gnm;q4> zs<WV66!*f??+2(P$i1LC3psp2=>QbIV6{gUh<-s*3u-GiK>JuAc~CnWq!y%y;m86} z7LXvc%?WDfBC7@2fvi>(NiAr^4<rvV2V@;6?ZMR^o-3+~q}CEzAH&pw`~X+0v{=*> zNiC=y4eArX)Plwz;A#)g6?H~ZYt6vG0BSqK)PmZ#aJ5Q{MZui_sNZbR)PmH3Fi0=M z;klyWNaljt&d7cP^-JJtl@^P}AgQ%uU|;~HZ&0{`A`U%oBKPZI@*uMZN*v?{5C-`P zM1$fE6yCVp15yLR#Hz<-4lZ$+xga}07!-aW_rUv&AVKJu5-6^5iQ_Uqz9heZp(H6j zEwd;zFSCRpu_!qsGag9*DiLqU0A)dyz<4>Cd8zU7riKhqh7pWm3S(F>B<JUq6y@iD zEQmKm=0VNMFGwv)EXgcO1*u>_;l&%G^1(XdQItUKF@rh5(i|#cX#rzcLK%hz25=Tk zxuF5f$%Y2TP+4;WhT@FOv=WBQyyT+z#FUhx)Z${OoS`AiSVJSYX-06<jNqmj88Z}@ z=Ef%`Co>e6<QJvJ7o`@L=9IvUF$EPp48hLv$vOGS*&s$yYH?}_h>@9BP+Ah4m|KvO zipC8~%*jjviKS)cl%y7=rXW<Iia->4LJju>*_~R#;2Do>Gzt%*!9O0=7zT6!h_Ybk z_=2MR<kaHg%)E4${5-HTbMg~Yg2AB{>>Qt&ms#Qn4L2}1xd?@uSrS~D3-Sn97lIkd z;E8Uue>_+X*kpecgF!rmxgZ|ISg4NDTm}d$lEFXT4JE*!-Ux~>00nwpNicW>KR75p zt+b@H2*UG3vKJIo2oHgH2zx<1h`mr9rMV0c7RX+-aPp6jWC#w5PtH$G3xbZpgZSXo zh7fR$&n?X<@dss#yc9!FFd^}cKz!fQoRT0&dJ1+1rBE0rD83jJnn4hIic%9(VBrof zDfBb)b5o1;vy6;$Q}a^O^?hAkoc;auOA2&B5nq(5n_5zul9`xSq6=42T$HSzk&>g2 zC=~R{GEx}yON)!Zrs=2V6_+F#>ifF7>O+S0^~*BL5>xVx42(?l4E4jn9DOJ+C9xz? zzYJs^$lT(Rlz1Zp0}K7)lEl1}#G(|4(FH~MS^5=8rI|S?#rl?(mX>-3@t%Gm@dhRa zrtt<ACI<SMdBr7(IXT7pi8(p(1v!Z&Y57ID#W1@dTJ(vsi>lrzNv$Z!%%_JZkZq!d z7cx^*Q(;k&5}%Wwo|znPXlP~v@=FebK22hTfSt7TmTpphO65TK4cTJqdN8jvH?=4; zIlj0AoQr55X_yw$%tOebM&qQ2<SS%b$uBpdEhSK5V*nSJ<Q2b2jVMZuLTNsM4FmW8 zKy6DH23Hmg3=E(Z1fVgWEJg+fK}H4!b0!9cD~t>b!psZ|tC<)W-Y_#T^e{6p9AaT$ zh-G15uwaGYdR7Jo5I)7qzyQJ^b3tapXb>CJFM#n$r9pZ@b333p5K!M6G?xKtP=Wer zpgxir0|Nty4eE!1`d9W03=B>T3=GZ;3=FOe3=E(#FVL71Xe`MeG)Dwl9s!zXW?*25 zVqjnZP40un|3TyXpz(XqI5uef9n|Lsjh}<Y$3g0%85kHqbD<#hps@qcoFz<61_J{_ zCIbUQ76SuAHUk4g4g&*2E&~HYJ_7>-Xv`ZlrVSdi28~IB#+*TOBB1_dBLf3N69WT7 zGXn!d3j+f~D+2>V4+8^3F9QQZ9|HpeXsmGp0|UcE1_lPu{3&P*85Ew#m;>727l6{B zehjiaGCQ;Y!LtB$zCd$tP#RqyG9Ou=18885fq}sTN+YWSnGWp|f|v}*`aD1#V+IBW z@B|RrI0HyAXzUb3gD|qb08l3x6kkvp-9AwI0O<i?WPKK(4l~GqP#RqyxW5b1#K3^! zPW13Z_76xotbYq)qu2)xM+Yd4t`8bLAZ;l2p@%2BKB#dR`q0A@T^}@hF!Z5^C%Qgp zG-2pN4^MP`(CER?rvMs^U|?X-fYRvtpwWY&j{`K&0GiK+(&+l2#$o9D09F43N~7z8 z3S;QI0quZ4fYRvtpu!mX4uCr83=9k>pftKZs4#}U6`;v_1_p)=P#RqyR2W0w1n30M z3@DAR4=RkI4?SHYr$>-t<naW2=^9-hXe<JxAB2(hp{GxDeW0}gAUz<AtPefCpz8yz z7Xax2VPt*i=>=UMXbk~K4+tacLr*X0`apFLNDl}j>qAd3==wlw4M2K87+D{BdO_C* zniB`<0byi)=;;MrA81ViNDl}j>qAd3==wnQDo76qBkM!Y@96qK^DQ7fAdIZ90-BH- zpftKZ(A*114+tacOMoV%3@DAR4>S)0(gVWC`q0xga(M|-3!0Mw(IAYh554|F*9V%P z0qFr@WPRx6HM%~~Tn$JM2qWu5PoL=eKywfvJs^y%4?TUN>jSlkKzcwJSs!}(MArwJ zn*iwnVPt*i=@VTaXr2P32ZWLJp{GxDeV{oDkRA|5)`y-x(e;7mFF<-g7+D{BIf1SZ zG?xL=1H#Dq(Ca^ReV};_kRA|5*5?5&uuec}bbX*X4v-!YM%K3iT3~5F3m|lTp!p7v z9uP*>Hv?MmEP&GJ`ap9ZAUz<AtgiuD@N__FbbX+?dypOwM%I@BEqE%RG`c>}+#5&_ z2qWu@fEGLjP#RqyXdVuv2ZWLJ1wad)3@DAR&k&>mijnnsKntD#D2=WUv~CEh4W*rB z04;bdpftKZP`?73KFC0qf{PPqQXZxNsr@PqZGcWdPB;t<47WjxG#MBe76w5ytps%n z7#J9igBtgsb(6~=;-lnf2#mxKXl7?%$Yp0>$YEz-$YN(;n1$T28i@fiz<vhxhwm36 z9I;>xf<4L_4S~@RKn;P3$O9~>Qlq>92m#Re9&-6*2wPA&0B#wzax?@6dkDbxwGDQ6 zjuiKS%CCD!?XNh{#1#Vr10QJe3AB#}G&u#@Qw81A`xUxKxd=3A#K6E{I2967iE|;e z#~uhhN{)uWa0~%wP6mcTP6h@oP6mbt91IM#91IMh91IKw91IMm91INR=mR{%F%Slk zpFquFQ2VQbG4)pM7HcNi;~#(4{J44ke1GM<=RcSFczMgUU7FzIseSTE<|G}@$tj+* zj!#?SndLdNrSjC0EmLA9PP6G*GBrg-chb|sNneERmv4XnZ^!QErT3oSsXh07UiJH% zJ3Ehb_domA{qMVHr2fH2%b#rOJQCDB|7y%r*BB<hT$g}q=_cddf>&%C9^9G8@^v<g z_&K$V0?UI-Uvo;`buD<R*raw|FedtCYw!Q<W!n@F_S!g}jOEOG>mTroqsdxbc#3WJ zgL|ngPuD9~yz^`75^icgFBB5@@o4qFnw=k&npQ;%+1zbi@M|B7I-mNAN~MD<%^cr) zbDWFu4*1U3RLn1QrM~UKA*atp%{&K}p5>H!>r;@L-=x+q7-Kze!OfX0=6XsRmAnVn zDmuPo=Xhu18&G!elEm|SRV+`<l`Hbpo4Tq6ZEU72C~AK2QIaK8U!|hT)bVRHr{5j_ zfX|*y!JnrewAgxG(PFalirvZwciM8kl6NY27}3PGTsX#R{(`{y4^EwB@qMSd<C}%! z=E~0o=X$qtc;$H&WLGy`susAjQfP{8+k<=4IZw@Z|8OUiMKoWjqJrP?X}yq5T-8VY z)}#HZ_5mTj!ZvqX7W|6l^n2qM@cA&y@;<c{e^r}|p9@|2%Xn}nqvPM*oO(817aTl$ ziZe@_+@ytLD!Cme3mx3bFKA-j_28H|r`J5^g4e%UmgcMM_*~tzsbAoZ_nG4sPs3F! z@|2spZVTDm>0R)Nol{QUGvF&Xi~o7G759`a3Yi_x-WQxxHDkfIdX95z_Q+f>i}x+a z%WnF$TPS9QfQd!ZgG<jjrRKU8JgsK&U8lU`TXa*JaFg_ZHI1234TX7oyB2&2V=2Gq z7*J;4RNXE-#lG{wPBX`&-kfrA-T^<&S^DKwR{YX$TFyQFxW!d-1&fWWj%Sq)uB_$A zs-N@V3@1zJez$^mNlm}53&iZ0yWk)*%k(ssUNM~`7U9>_Gd4*c+`3)Z<aYOiW5+qX z<lGBhuVz`go29(YDd3w~Q}uV@DfL|scK*~(nA7!I$mWb-NS*Y-$F`iS>ZUw+xsm1S zey4&*Oii=w)htT69p4%roT<+7N^iH)G0W{{j(>eQ_1-vKNZ@bsmRHOu;W;=}%yIH( zj&*-K7Tok@G5_Zh@X4?#`t%Y5zn7+*@AmX9$Z33V?;Xoiezl5s8BJZw1#N7mFZjgI zDaX&T>fgi%FBh|1-79p=awD7L+3P}cZuKqrR?Trv#wB38U%|VOreDtmVs=bgaIlwU z`ag#YF9e&kz1Q{>&RXMDpzD1hC%Q@cy_!a)!ojuvf>Z2TADr9HaVpNS;5}Q@C*`K+ za%wAn*c?1OHNHmu(bN5Qr`Ic1>@jt`S}tg_Rv_fR%E8X*_ug0hTy3)dXO~i`rQ_TA z!gF?ZFL-yK<D9K~fc;i2zn6#FSa`Q7?fBx?<n~)I<|m8e<jEZCYMU3_T*_kpjKk}m zXTj_BEKBFB?$~@NOJeyOrKaj=fhqS}AMC8<(7MJUH_sv9=WCXJeYF+8jGLBk7Y_N) zeXui~BTH|;)iKMdiYxxAH5pqAU-`>$aOYu;SMu%!4}F^0Vw=3}RWnMY4^Fig7D@Od z!p*)ycRSlN?E^WnVtf}Wl(|_w-W@r_7?mo<HNkF5D|3n6aVN%2=^F|f?y>5KDAb#B zv(MPw+R7yIKKUWTVf6?N2g{kqp2{TbG2>=kv9Ga}*{3{VA;Z`2n+qC_DC&qT_!%k2 zH^FLdE7O+!rw=h2)rxUl_-o3|e&X(FC&pj>8w(l^?J+I1WR+NR@(`of`t*eii<!9D zSM2F;W%A)md&sc${Dy#ro0&Q?3qHz<aZT9M)yll3?x+*vrSBUG8qQ5=WlEVI$34Bc z;hvO^h{AqOZuS|s`&yYq?w>xy*v7swpyAW<NQ(nMLd3WN-fk#pcsW%^WWhggF}?{q zX16k#{6BbzF(^uUdT_(HEFBqz&4%2pFZRuBWq#tNBeI}gfty`J=BN`R+wu(s4VSh@ zY8-gMCB_%<la-rwMo)x=!`t^dA_?DYxY;w-Y~st8R@f=X&7P5a*opDd?u`Wv=NNTF z6slFY*<YAOYB>DM5#uu`x8!Ekh&z9Xv8^2BGG`r`gg-LetSi=S(bId*aG5t!!@>6a zAx5Fyn*ti1{nL?AC}rVh&6t1Y5TjB0#(;)*sX8(W<+9wYFYcc_#K<Kq#$`}3t(AF7 zSPcL4;D(DzVtfHNC$%#9lqEi7*jgK@abV4{LyS`Dn*thAX16kL(Mw#&@G?8n!r?ie z7}tc_39Zau<|Qs<_}TjUaS@-v^u&b>N6$uD94JT^;|jPpyOp_zKY1a;)yI(*2fp}- zaRuz}Xk}Jem$;DOtRgqNhwT9;##{cI3mVQe@#rmQxcfE2!XeLCjO)Vv&Q@lTZ%GRo znw=vp99AB5Vsrzgol~tkA_+yN-0Umvo^oPL`@XTD;n-vy5e3Wo<|Ewws_(t59I`?2 zdkz$GeL5lvyVbebU&tPEV*GS`Q$fSd%aIxmA8W+;4Bl)GX!vwiM<$_0pPO}s-MK@I z%RY-eEaD1??`~yQF-u*@;Qg=p{nPjT4F9VI*Z=qsAFyP(Nd;r=tiTm(QbpUo|NM7p z<KoIS$!87yUkYYx8LT|PxZA)>s`(I0wnos2?zTyv)Mg!0QcC`$=Cx|)<_!r7&3k6E zygtV^RnIG9LAQ|3vttg6X9#Wjx-Rnl|MlNzC$gQp_q%@o^WXozuHSm^=4P|!Pg1_W z`v1l1e`28)%Wt#o^?ToK`up-&x1V3!)ws8HJMOk@o*EY4H(R#&)q(CeOXkd(v$0$1 z;V*MtyCWfgu1<OAUZ%b0zu)!sDL-stmY%B)dS1THIPcZZ-x-^|C5oH0vqIOL{ZUkH zQ9bdfsA=NtWj^<ouUY!8B`R(9r717dr?}>>;Jj^e_RZ~6`));KbspWA`j0zx?Hlzg zM%fvWe;jXi_by$Q6FlvIpxD=#*k<)NMa7<%O6oOV^K1W>N?w^Nc7DUpMVprQE}eEG zX=Ua%`;c!z$tyXt*}u;V_-2&6GW8mt-rwg_Yu9eP(qdXsQ1x8r+li;gf>z%!`nz{i z+q^UXmP-Aa7`1i(%=q3{<(BTDD>$>yZ}_obQ-xC2OxMRc_Um<&7OAayTJyCwwa`^} z<GudZOE<l6&zk9KpZ9N(&aa8HHu>I+Yni;uW`TUQ`HHr>CCh$$zr4Rv=hwujw2S@C zzo)v|2YIjg{`iAn>c##mEnA!2{WZJmmQ0KM^7w}<Sje>ElKZn=cNWO649$uB7a93x z@wI*54`qB?6u1BTyRfzGkJdQ9-<uMa`u%oioa6dn>o30-+`E2EB>%;oXxnh-y?s;n zv+t<Wx%Tz8X;?+?tUKplZQdChS<xGnme}<+d`j!}6`a}p_p2i!En1?I?q>g0pXz!% z;Iz&jvkJ$^5=PV1x8J`;TC9An{zm5R8mV<FUj7R@Ha}D>Zt=9piri1JsSi!FI!o94 z)-ToB<K`P-UGYsFBs8=2`m_JRVsVSr)*S49{m~>g?}2HSX!JJe)Q$bO7T!x=KgsgS z>XfSV2`lyZeso2>o@pTbRcl{c)l<pWx_i8K*D3T(dwG9J;Q`|-d^V5o?K8VpVeoEh zgs#M^&^12pyX$nW9gLOIw(-`zf4)iQF#D9&^p%|1Go@@->DYMdZnW6e^M3`1wfV!F zC;Lww+I(fo*7MV^AL5O*Kd^c8#H<%ZS-bArxz~9{mgsFg^GH(6K1eJu$a~H9i=Q}C z3td6J__}A)2c4~F4sCvYIpXa+@p((t)*PH&uFZWOlra8m-?W2$EA!tw-{fa9|GjRs z_;bgm?wijiTv%l>>tp+r*7Ym4&MTa6rmLME(RX5XdKCAz*S`(R&phFlVz+V5wU__> z`&NW9_nq0vt%fVI*W|vs^)-2;U;ToOhc;h%Qa5e;&Gl1T(^smBEu8u@9>hu&n-?3? z^X^p^&-tL>Yj*Z`7wFjR-W6<GF>Thn?UJwSQXfWU%{*$HBNr$(Z|Ss%?{B_oratt_ zI&|yc-sw|Xt5>9o&3illa6Ip>I|{48X}o*W4(_d8x|g4E$=p8o=Euxib^T?wu4((1 zTcv&Dv%Q_Z737hh$ESXc)HO-e74KfT$!>M)wJNngzGm|qk1f%gw=pVN`qSC3d!Kus z`IOju`1yeg--^l;;-#~f^gQ=mBgS9axz)S);jfJm;r>}`KIm*MvH$+&7c1DETivy0 zZ(i?wddpvCuy0P^U!!Ald$&8pH<n)<!Kzx*+50uQ&j)$0xn8{^ObitKvNlz&krmUT z(k?nb-oEc%*zP+AHiLZgDG;PXG<sTkS$*e|n2zdz+pl-uQQw%q-d||*F3<DdW*TqN z>PxGgzRB)$tJ<2UNuL`)tZ9)Z555Ja);{B2`_<>i>@{-|v#%UAw$5Lid;9C&7?ow; z*BpEJIVGdI(r&~0UeiyfKL@ROXZ}hkdll#P{-d|*Ba^>Ynr*4ExB2tn(9{gck2<EQ zZ#VzRJ@w0`dVbc2HyS2)^XK2JUjs{o=Ac9<^m^Xhf4-3w(`Icb`|#$H`HigadmxGI z^LGvI^FhMb9voc`O3I-*H|NzaUR!4PAU&&k@02Y6wDsRQU*27n{@X@6O4R-G{_x28 zmd?W0iVQ0}BIo~fI;}IS;>Qk<uhiE3O!+bg#JW~>%wo@pWyW`muWU|V)4G8*RVQZs z=V>zw*Y_K3vHMVIv}KoC`?swd-E=GB`mTNxePwwqWMA3eh&7YTGuPOiZ(H_#bLBVT zQ@?C}mtL)z$Lst3cKWyZny)|SWXx<$7x&i&u{ysV(uog(#Lgb8a=$F*^FgO|y7uk^ zMbxYfZ25M}b!@!XCY}B;H{6qF$AYl$?(5WN?wU7K^6o;YUyT2)+GKaW4HPe*gi~vu z@#t<gxcH^~)UPw*vo@ryx@kY<>rDMEM|PP%58vnc>6vkSMsc*GeU<Z#ohhFsKk$5g zBWZCvE@OAu-gAX%V(+h8)Xd{qyYBwN+T1mP7W0;GytPIk{aHlu+MRVe*Phx+X%}s& z3NW3Y?tEDyXI`yO<otB!(>jy3M?!s*lOG>=?fK0MEnAzb^LIzRdI$3D)@JYj$)|qV zNYC29#=mdPn)90%hUU!VJMRth&~=;Z9X_#(&zyO8ZFB8B-nCteXV$xej1|6CwDHGM zkk_U~mi+q^3G$k6gz>3Ysh}jMyD@LY*H&}ydo|CvbvJ*w_sk3=y7p^N^woR$&ex4| z<Tz}rj|DZ(J3PH??>hIiZQnCxKJaYabqyS26L*>)pYr<r*JQ)5&!i@w-@GuBFK6E0 zwGhiH%085**3RSA-FPD2c+VT%An*C<&cfID<o1Sx+;v(f%jn-0kW#fZ2Pfx)BUChc z+V(i*kB^$7Vvoo08<pA`ZQd1r{#&IPDBt{@09LH?>vJL4*v$slzgdEE+@YwqRnud# zSKmz!ns4dsy{39w{bEqUn-*D7_Q4aR;?%A5pZOZx@qyX4&2F2;zrLCI#&q3v(^F?& zJg>5!ukO71b$v#>bg;<0=P!$I`hX*9eZk*|HILIx!ay<ey*XX|e+Vc-KshI_lrc3w zT@d1%d9@DUvf$y(pDrM8fwIo`DWELbrF+|Y-Q<cw$F=Js&l%3NIzIDdt?zle)b!xh zk1~Gz|Mg@0hDU*=@&EX{Ysw8P7*lUm|9Q<Ud;DYN{-Y|9lhju3oF{uc)nbn9@zlJT z-cer5mOQmmRXaJ!#PgY5=d>gKLEcGvnVvJJOq+Bv*JBxYAmh4Il}%jjx8vU`Z1%6W z|90>B{iWYxj6Yslz4NX1{8Uw;mH(rPZn`9`{BIMy``4+%;Rk0XbH1A63F?PdT^EYk z(F*Q|&Qr+P@+C^Y{^tMMey>>zPBJxR-xe^r-TdH~Ba3Rk;*L@)$Cuq4^LDo_c+>FU z-Cvfc^6ER*Z#DEg8p<JePJP85(SwhL9IrBS%#-sC_#4tBEG~3qPuGJhXIZwsSGD*o z;W+n*mBjNqr&zu|6O4&)x{y%b<o#RF#H#DTsgo?e=hSyxQ_Uz7KG<8$;brGl@S3&h zlJ?ruTZ>-{Oo{a=C^v8VB--@bT`0t+^TESPmZ|#c6*bC^S9f#F^W#`$?_Qu}%K!LE zO!tE;N=>(R3(UDWeZe_FmgjydE3T{UD3Ek)mgdmA>j>_Mo)<8QRO~KXx7P7Oj^e>y zCC8Js9C@;S0l!$9o}W|IsMb7qS6*<+{<#nS9cB?tXW^edzrRpx|J(;3)mTFBJANq1 zZ+a!KY*A?AcvfF<&MSc{{}d0-yv_0I&%6hBF0*`{7R~=SCSvXa$G!)b_*i<sDed?a z*raw`Fy^<C<7RJ;ygv;Ko~&irevD=5e5H!Ko2(Mc-(<0ro2zK-mp%B`mQzd4>BEPJ zrc=62$Hj$1{@NY99L=%nf8T>6lUYpTH`^Vv+^XgH_ABQ(5sp`T+8^9G$nv#MaYq50 zV{<o$UTyz^iwzG><#W!m@hdnhoiHbDwZNT-{sm92v24HR8}Q4a>G>;`RDb0i)ryWk zS989*?-TIRuBp9WFeEO^x#x35YSXI7rsduotM+$4IP#Ch)ZVk;l}OXAcx8)XRmZvW zh2}^LUa6k);7~UUZ`iGlZ12}XCQ&{GPv^4uYO!3mbG-0IrAazoRil#i;M(~DQ})e% zu+v_6O6|M{d0Op-r`G!x)C)QujpdYk=N<6#F-yO{%8Fm2P0ObXhP)S^vt#mtXY)Dy zY`p`1f0a(2W1KB?<z~-=JLg!wepB2Lpqx>_ba1J@u*t3|4^H)S&bs4Q@V1}jY#NJs z+;+}mnllx5Y}IspIhSKzwY}rb!yNDA`~&{UH2s!s>bfp$v!{2#CwUGzd*6VsTW2TD zS-x60<o=8YNBUSyPqQrNQ(f^lvdK7I_{vYUgF9byyt?aE@KCXd&0jFaOYew9Z<z9q zO@fY--*T+m+rQwZB8$15bHFE^rs(?$8h4c|-c4sol~>vETgCBbs@Y+S@8PN|b}$}1 z%;fm=yO53Dj0LZjbIzOR81VNsi~2g%6+gL~g82om%oCauSykK<{QNA-b1{J{w`V;# zB*((br?TUVW|P};!I+uK8AYZCx6T(f+1>x(*nJMKEWu+tzp6D|`ph}+_M`<loe$pq zV|m)IwxgcQ@hCTkT%2dX&vcf4cb3rqULU@=HoeL}Q=FS5=Xh3^!|$zM!0*E>>3vEo zE~{9S+d1yl=Fq$2a^XQ<lXtyh#uuA|Q_XdbS@>R4+c8aD<3LWh7~h2-0^F<~awiWl zM#U#AWO(^G!s5W4@<<JbZ*F3I7xqqWWqP8nBeUSY4mYdDx*e?V9x)s?jnr_cEEeN4 zxHq$vDa8K7A;x8<Vq5|LZMfMzwnS<;e6|MlxS6?GGyb==GN;HTE@YTnzp<d<4wrX< zCF_gS2n&ZqMQ&D!H%AUJddVj&WLSJS!ouNcF{oq9$IZT?c6KY%nRV$88G04DSv~B| z9Ab?6pR$nQ<<sp)p2{S=%LR36Il0+iygT8<_^EnxLBqd=IwA{hBs^p|yg5?C;b%0c zQ!C5Oy5irgR%Rdhq=gJ$>o*iM94U%^Sj2UqMw^@6<7tG3Lv^(n--MgptxP3$hYm3o z9p4zxa4%9vW<dcbH><>#2n&ao;W{D-Ulh36SNxgV%H%WcrquM}hFj4Q8V7Qi#rQ7V znAXaq@;&7t!&)tFRuB2phZvuoOIgTpvpdql;d_)A*M$A8t;}CQ{yVB3VR4|~Wp05b zs|IKkrGk;0bw%FcLyXI+Hv}~Na@3JgDCFU0&6s!Y5Mz+|#(;)zraCeT#t91<=KhYf zaClcJ#&sc>ae8sXAuSz|1cUjl%u}`{Eo4|M#Ld1!=71BUn)~L0hFdFjL=wsvx!E&f zjyf?;GT&U#aBZ)Sh{9h9ZuS}8IkPKXetmUcT0~*D5jXpbbIA)CeyZxoEZA?&&8qS4 z_#wtNchE@6Nl?()akH){1C3UwfkrFZTbWhlQWr9u6_2oR$Xfcg;x@zE(g+KOG(&FI z7jKRoVk{CB<GNrysg+s84>Vfw85D9wVq6BhCblw%yh~chFqxB^eTD6DC&o1Gy#CXc z4#~P=ToYnILu0!)1T=ivrz4Z_jzf%Vg6+gs=91f>p)pBr_80dLI5BpWZzyPZsH`Kh z;13TsyTtkp%zDciu9`+z94JxbW?dm3Y2lD0FUEDjW=<>f62F9n3~!4gEe@QvKlXp` zU+KsHe+X1Cw$6-wu_RUU*zxbB+i%8}Z)e`f_*x;Rc-#5X&&j_E)?Q6IvB5T*g`3~# z*rMi1MK2bJ>HKkKlVqJdB_V+Ko8poSE=`=G!3lvAeajT4T#*qGi}0CvvG#6p{QK(o z^F06mt$F>Uy6*h%d+&|EZ{4^3o7|o?><p&E8-CyUB04_?tbo?`}o4&fm4}S9fpm ztAypn3qRiar*t|m_UhFKmZc9TcSc|T`eBmrwp%Yh>|%do99dL&Gpl6ot}w55o!!gA z9)Dl?TdDZum9?u+re8T){Ju8)=E5V(zTG^3?A3Ap*u8n76Z=>DJwLkbc>g87%XJ?t z&i*>V_xVwT{@j=ExAYx;HgWFm5C7k1XB};Q+g@F~R-?`4`mUQBf6jgV`>NIJn7pf7 z?>`m%?KAD&b9ICLPItS{s0v^2ioUbvU10IaP2F~}bs=|?pH1q_{`BhfD>?J&YYJ8$ z%w50X>bIL8X0G`o_i5)v&GWj}hpWHb65Goc8R%~Nt8dq}C|RSzw>ro62a3;BJ*~H^ z@RNM$+O1Y8j~3n6-+d_T_GXKO`6nm6_O+{R-^G4b^|an6gWnr=ozR)NtJHM<s%{%S zx$w3#lV1K?74^?E_DR>8lRfTR*PZ-qIzjT&9LdA8YnFfgwEO(IR~h%;-I=Fq<Q(?x z>bhFrwHE{K%+C7SbfS3ePWGMq;@7@jy(KJVn$NrR_RD)iV^gN->^_n6KCg6Qq*0;o zJNqSiM&4mNu0C;A&)sSpU8AOb-fTYW+)p~2Hh*W`Yi8sfb|uiieu18mx7xb7N8j+j zQrety({;zQ_PET|Z$B$;PI(mNuIIP1`^+TO>&Cllw(mNj6Ir%ae)U!LE3RpvsQP;S z%G+7DYlChsJW^60`gLCT_YFH!Zn~QNp1$u?*zL`Z>rTu%<FfDMWm6mDCzB*^H@jAU zne{rlcG8@Kk!zV}D&Br|Q1<t%sKq~bglBDKSDPLce7LA~e^h&U#@Z7)k^AJ0tbfe` zh1d?Y{{71#UitHQ{kE&$st>1cTKn1SynFiVpOZFM1g!5pGfA}c%IQ|H>N2s_&p{5{ z)LpPvzp{7jw)5?0CUs`-y<odo&&YdP%=w)6Wu+4%H@#U@`1|{+?lY5CX4ii0*mdH~ zhs@LyIy2vGFaO3Jm%aM!XK-+&9j`6zp8?YL>`CmaxD>s}$&%L2e<qwZebe@2lH_XU z>I;u!?!Q|3<V~&5Ym2PX3AtZi_km(+`JLI>uDVZxvR~z{dmsM#i+0p&!_`xL-ldz* z`RfszGHp%4>aVuzH(&i`eJp*`T4N8>%Qsh9THbB{dh3KvWT5-qYOmOoX+AO5=l^j- zBZf`g?`GETniD!RcU&_+JR9W4Nv~(h*1CWr{+ij<AKoC9ucGe%3y4iAdw>4agh->i z`I{eX)Xv>n8wXAuZ{B2q{QjhB*|Y5+zjtPf$K6@0SLAvvh0SrR{HGe;l(rb-=8W6^ zyN;Lr-+JzoA~>ery?qPv)8#wbTccc`L}g2}fc*4oderN})l=8(h&TQ5C^I^De{zl5 z?Yec__^fW1ZaMeCaPPMBn-6wby}BrW^=qZsj2+*4d-?avrJDU;d*b=cgqugpt#<~+ z&QEXd%wD^}Jm~uKn+Ye6rmlXe4pJRaHu2`o>sLVuZEN{Y^{cLF;^(!U(@vJ`Kf7pp zT<Yq#Hx)Lg+&pT$<{Zcys@ID*?Q;eP*zSVwb|5dlQJTGX=dKf?k&`9)vtlQoHhrV? z#5HY}KvAvxdNaTOl3;&LY+eiU*S|Z_SE440Z#7%^@K@bdzkO9(L$B8z|KYQ3@wI2S zO3Gq(7k;Z-b^TkVS;R-3uV3<C{j!nviTOP*U4JKAs#n^{P2B}&&#!p>Ime)It-jdy z)vrJ2Y<Ti$)74LLrM2^Tr^Tj}eeKv~cb+Zy`n{*~!>&KS`6{Y1Zhm_6%evX={;NQ$ z-#n811x~b}2)(luoF!u`el&oy+{Bv~`#}M5#I*8{c<Ds#O=`vy^FKY360Mv$^TxF` z?_XtGeQswjd%o@ehnZ_uDhD~l-RoC;_-ozoi;*@<zkilAj`&#f^%HAp?J*v;b#wo| zIwBpg>q&>s?u;K_eP8|Rk@ShNUh-}(h^4c0-r{?I!K~dU0{?S@v@Bb<H-7z<uacjC zNI$KtJ;t-_ckZ!0Zn5(Xn^VOtrS`jnSgO~HO@2<<WtYq*d|hwPci+-lKAvf@PcnXK zmrhhRD)gQA*E{w}2PnlS=T9;|Y+o`z^x5a&4N3KPtv{vReE&Jvpzy8aujN<2{?v>p zyJ*oIedX&<%^5qc^&kHH>en9;ot^jk7w4~d{W*BUn?>@+?ZdA>U!L&l*6zplSHAvy zx%B$Un?L@D_<X-@{fHN=eD{mvH`zf(L=^3nfBt>tYp?}}x_9ofvu8c6_p9({&n~;i zEW+2HTs>%9#AlbxqJ1apgK_D`!XM?ScG4``->xsd_q9lWK5tWJ_IZPSak2Axn^MJl zjVt%>vXf>JzW%H5{pMG{as)sQyX=1T>rI7-vWxwj@2`Hn+0mf%?cNnt-E*4Hv#C%0 znIquy{r2zkmZi039MfXIJbtBDda*y{<kz|w`vvRHvmHFLmAkxt5s0;^yY9{3P>@ZX z*+u^!ZI5bwyI=fbe@bh#Z{2FWZxgq^XDZ|P)?M>DHevIfHScT0Inv+nud@GQb@SZ! zuSxOIm!G|Ds_uTi>g4vUyE&_O?2BJ}`Skfm7PG!{r}X-~OTT~q&D>W?*(o<&HJ+8P z>RvOkGdnW+&iZxxFSC2EOV9n;vg@Cpyw2{jC0DbSf&_!F-<#WaKS6Zc^9S$0T-W+s z{wrbE{d+I(uLen;)~j0cKeKeA>82-D*Vyg{UBCabxiec_?q5`F{c`?ku_?J<@=O2D zwVSa+tv|kQ@f_YwZecsF{)sHzI58*vz5Du0nN|0*jzmtJZYy{C?5}ll%QstmGFvHM zsd76sc<1_+oUAwX-|5cVtEQiQwDR$+nEQd+dV5~JzZ{jFx>c|F?TXUu_~_Tq5_X1X zZH=Coe^u%}`}OauOG~@2MMbYo`B_)7;cI2-$LEYQcg*ljdnIQuU1w)q+}`*VuQz*c zcyr4!^l$yD*PA^JO5fi7nwr0T_pABrhoz_f%n|bWemm!rV`;4!=d{=_mw$YI_2@kF zrYBMDH~wG#wQrZ*Y!>0`dT;nQJl_7F(PH8COBIZ@GyOYs-9?YBzpDT2n9XDkK{f7J z7S84-HW8T%M}_|w`}_JVQcGsqRWLC!<)Kp|i=gO~-Cf-|Y$7F<tg&uwI-(_IT)Sqp zHl~<!apjdLaNFKr`78A5%U31o@8<sA_j&H+{lTHJb6@VRe!N*)w%qQ+hX4QWS)0v{ zy(m}zJgxZjmGax%Z`sA}-`YR9FVpM!_qubRKiDPwcjAsSes3?cmw%Rc?lWKW|9x?D zS>9?k3#~OibMM2P=$JXdvsj{D&s}!*nc~Z*8V{xHXBOo?`qi8M`^3i7JhLxZ&Kn(@ zGj;jZdS_=%vVL9b)V_7g3r{aqzHAAmkGBd7)Hg-lmNtpF{z+@K!`t>d2eOts&EL4n zm0fY)fme^UZX6a@UhR93Ew{1sME07U%0<;-steCYO0qx7UgNIs9u_N~@vz2>|M+^h z_qNYmU+=kh{^b2d*Y)=DM!mmUG1sqL_tv$Cd%sEQRxL02cJRgBS>@kLuDpA_WybtV zKO?{FJH01kZ+Ldf|Lzxi@9)W&dtL09N$elRs{GmFx)p0bJhfjO{#!8W{nf7BRX;w9 z<t8uw%b{Cww&ri=i>q}lW}lZW{_nc}T;sM4ZjnKz{id_lcV{Y1-@3x^qqFY~{=#cY z5APNKxgp*n_)Xbl#<fpclhw}0Jvmo3|3dnjw#>?JO8XA1I+bT#skAA}-YGgk_EW;u zpCT&e8=`n*uh|IBTYN^UeXHLTH-{x@R)5P<uGY1%y^e}Y{i+wWcV4LaqO_E)4#!q} zoVfK%^8RymVv$?r7xu16j!Ql(J5f3!H|9e6no^05^&8*SiAQdgUsU@1v!%uV>MvRL zF0c1q3%q6j#kG6eYlq*8QD6LCK6-p{_1_PlUI*@8e>thnE8M;>?rnR<-tgf1zptU; za{cbv_(k8hRbFhm&Xs?^3nKse#e<9LI=7Cw{Z@?nFze@sJdp9TD=*)Cs~)=To$aq4 zvvs?7`DDfIf4Akq$=HbaI$Htx>(j57oqxA@qUZnmwr^%Wiu`J^b-xtLuT@`7yt?mU z`+J|2#}ZZN*zRIm{_@Yy6RZ9T@Lt=x=hUj!H2osx)uoMck**3nyZ4LtO}yGAcKu)b z#L(Z0w@z3+JNQa{OYx-Jn{S<5#g`ptYR4s(Te;!cj{VwqpT9|x2Zg}FUDLuNOWl4e zns1O2`qdM<wDw9z|C+v+$<;rXgY3yok^L-{{jTFqU+CjW7nHN5Ss!Ju3IE@@=2rj3 zU!ODQt-JqSwR_uM$KQrgUvAw`o!=Mt_W14Ti<bSB(0z9MzUq3H_1}`W+*@5}QKMV6 zReo`4obQ8@FKJ)0?A^HIv}^X%o?5gv{_XLMz2Vwlo+w}Z-2e*8Gt1Ary>EWzI=k}n zQDy(=Eru_QZ|#_N>(1ju-OPU_3pS^js96<lIT~qfIqUw%n0uW*xB4$8M4fK-{91Bw zg7xdYuQn9b?QeM7yC*d)KXX@=;ifEmx9GIq$_>9lv(`Le3*%mN*z5nytw+TpGShd} zS%Re#WIt)G*5j(4czbi!V~74Vl}1y%Y7(|CXf;i}e0KH3(BFo)4(yu1zWVAXyA!-? z?p7vzHGROc$fMIH;<i+veO%40eR7do<rjm4v-o@GoxZE=Y_=PI6=+_Z_g<uL;#G^g zhQ93E=NaxQ+na6gCLMWv&#_t7O54+~uDqa}eeR<5N7HME`CY^A@?UuL^UeEv>5Ia@ zH$Q&w=KGy_%Z&W``+tq9O6?t9@0B`!+1_paJNAsZ;ir?WeusZ~Ro5W4C#>T8J^kn{ zXM5kVgYxaKKa(%6{>>QmVOHh;voEgxWdIdV{k2CI^*YYKc<k%NXTtum@>%!zzWlU0 z5xBd5`sd!)2lVxCUix<O#FO*>-P?}1<bF!{<b7bm#q_=YFE#|F&tuQ-{H;GfC)xIg zU&`0#%UzpGb@{`3*KAXK#d__X&4z0;3vL|ScqHp(oy<R*O}{>0UZlJ6+~)+-yY(xs zZ_aw`*uGUh{CCV_?lt?$PZxjrec~0!mIGE#Lc?MYd|MQkuvK^=d)U*I7qiSatXg$D z$m*Ya%Gc-HU8N($KP8-Hjo#aL^?UI-=GFeIimN71We)3~zWvU`x7F`|uG0JX>-!FS zdp)T&*_BhT9$#_wb)@N|IqDmpr9OVz*}tapf$6s8?h~)hj~9of<En{Q=dGVQYvR^k zrjMrAHs`y9)z|%-yy9iu7VW5%4g1&E3-8?}zwm1u@9%%>FRuPA81?+CYR$*6FR$ua z#P)>!_;fK{_tv$xckUT`!!K8qnpc(DgQ|h}dTkJEcID-Z$LDR%NWPH1rSRNsnTLtz zwz|Gt<d~lKTI=LPsr0<g*X5cM`{Fx%cF8Y1xMdpq<X0~hz?oe4u4Q}1iRP`P^S5jN z{$BEF@rhUG&v&!Ej*?rmtF1IZe}fc{)ta5gYtPjPw3{CPI?w%J%;lHsl~&u^>x2Bh z;Z={8_uhF|zqIgWtA$KDeC5Z9thXZfHru<0)z`&snOJ(J`Ko`W`m(r$t!Wn%&;HFm z<1#6$Cgp431ogN7q$B_Sti0%U&2asuRWtUkxW2LNGfUX{4+UpuZ(fxn`K#pLKjGL% z59?aY(qm6Ghq8*QZ%+>uf3czJdS%(yjmMs^k*c`(>iR$9iC6dAYuuVss=0nsmGauS zl&#NaFHJlDif5X-)T0eu`(-4r_=xMfhTZMIkf3_9j=!?kboYr~pS4UMO_!YxSDIX3 zAGF1S?c3jz*%x2mpD(iZdzQnx`#o|0|1HUwdwuqvn{~Qt%<uAp3tIX9xV!uptJYt; zcd+>4YG^6@8^pSGX7)L|uX|i?e_eP!gZp!YIt%~j4DI6@Z>#?W<tNT-i+L8+?d+~; zc;&>!KYi)tQak@zPg`_w?=*Ai_Rgw;@||;x9!l<5oB#Rxk0f4QmFl_wO^SYh*L974 z5cYJ!@ru_iRx8AR8H#<GxO7{v?U%{wC#pa0oO6AJ@QT-#`4XA`Z{O&zR}VJ%6?uBG zeCf*Os#<#~=8|70^Qy%=>Uuc%|NdaG%qkOJ-gbQR$?O#?yRRqP|J&<(@kP3Be_e^2 zW1#+*WY!DMb*2R!7vul?L~qZ!{ettaZ(#a$UQjQ8?*i{#_x}IcJ$I4r7vm=v`?FrP zSH;@TYu<Mx$m&v1{q4v9wZE-fSizWjvs&acXddWiO$>OtXWEjT+m`q&nt1xo^G_Ae z?D+X7duu1EtX1*8bZ65OBTrq;$tig=J-kzbqKYIvyHmWPJi9JgzTdasep_+e^V)5n zZ+<_Ys&`-O<E6`Yl6t4#KkcPr^ndFUqs}8j|HDf2fBApmvMA?w{Ck{3?+mAu-uADj z<3H>_ud&weLWOga_H6-^yWJ0NO=LMM&0>B|QRAlSj#6gFm%BON*?0wfvuvt9o!4A= zYQ5VB1^0kL?Iw3_PAz%A4^LE@R;3Ht?Cx3ctCU6koze<RMT@UGj&D=99koagQ(du} z@!(Ef&R6;hI|?Km4-0eZZRL=P_bPZA)wHZ!Af~!w!B1lr^J!X1b0)18xD(aCprrA^ zxpyq7{Hi<3nH+x_bI8Rw1$>lha(8Yz#V=q}IcveH?T0PShpDaD$9J&vEN9j`uYxQ? z$G27oXI|!f73Wj%F01L+cHx*E^A|h}W|=<ihTLP5xXuS&(-yp3#&W$(F=JoHgJT<6 zRQ;8Alqx#DT+Q+Bj$1&PPr-Z7rce4pHW7vF>vY$-e#j7RI%O?rvr-`Bug1a6!JMn= zXFfPGmBqB)q2QHW)2;pL7N40M?_TMXcz$OV%U5%?9UGV(5C7%Vt8H2EQIjQnpUZ_W zTus@`P0zjy-T5Kwc=9=C-rh9zp5Q9pChPZV8oOl=?ycoKb)7?Qj!(cxn<n?^!Xf|c z4o<G-SoLq(gPU^}{90Xp%tGCW#q_>wK~{9rt!m*pmR$?psdJv2>m9J)yWpW)Q(L)k z%r9QY$Im&|?a31Fxm;q}l>J^MW1r~3UL(ho${cyMO$)x<WGUb07VxdAsk&TnN`1?N zgPU1IC#&{+&IoNf^<JT3ld9uYTh4j1ZUKKEv#6g_S@AQpDY&-jmc63IX9LH(_JVVw ztHOJN>qVQmUkjV)2*liKUhr`tOSqleg)cl!+3|`Qb-V|A<%OrzOn7juXTg_AyA$VF z=PPLJ);qZOEa$2BZXXg19gjZdl$+}pu+#a&ldPsy_DU6H_Ksieh2~s%C$aq5Yfitl zz5%~$S<<~&O8J#6%4Hn?Ugpq?ak=n8tI6A5CBsH-$0zotW%@!f)pzA$tmC^M9INK^ zdgoK{+M(&vae+ITLR0oOJh(TN<!QcZMS;BIQFRWvy$%6Cw{jbtn{3Lt>KW&}w+;b+ z8JdLMg|GbKIk@sOXI6dpgEP7;rS*OVd0qkkE1S5r-*gsAt#d4RY0J{PU3teQdB@9A z2e<kQo7ne1IA+f2b<d~ZbvnyZdG#Hi&6_rz)<0tL-H7F>xl+XjUB{!f9CEU*0Y8IT z{LiVb_{H0lZY~reFF2>7XTh_0PCwo7V-{QQ`xK-(H|>fRo^!i<!9PJ3aVZww^J+W3 zlr|l^E*$ey)A6!9$GX3*3o48Bdx{_XvZxlbY`1d=s8T#QmtSa#ZRdk~Gg+SMD_2}l zUs0icaB(}Q*8iCgPF|``p0g@nrDB_%<5yh{KOKb?k*XG@N{(;!1?KFWvf$l!j&pY% z1O7WUai143i7ArrDc1D8P!Zdt{a!s|m-NA{&K$Gk{R<v{W?}V+J9LOK>VE1%hL?)m z>@WVzYGq29pZ1W!_HFn40LD++n+qBa-qI0SutSoYU1Dm4hQrSUF+Ky!KG5vX@k5Mh zpec)A<q;MRkIKZjF8mSYX7{i?>cn`f^KXGA`-wG2oEUfIr$1!a=^LrxP@gWw7Z7p8 ziLvcCXfbGdq{e|COk#WiFE<7>yj-gzv*4Sz7?(kPQ!BH{zmrakleFKRzs;7hx3`sP ziFx8fhPkql8V=hI9b&9<-yG2JXQhrzLV+DOt41AYon>C)LWZaD8wwguPHANd0j)_* z)0SyCt?BT0t&WVsMni7ajJ)%Q7=wOq4rut+9%<q5Ohk<9Lhj~*hC8C5b*jeP>>77Y zJ2AHPZ!T!KbU#w#K*dikz2^*5w{Hk&c<H7ivmh*GA;ag|2n&bD&N?Crl}6m`8MPBz znU?6MKV+CYJ3_<Zo17Tmh3cMGrYFyJWD+*qY3!UnFZ`ccXv3pdIx-1c6uDV7@(&+k zRIA<?(C})aj!eQe&>G+32n&Zrr=1vQnQtg)xN=uVWWiqzZuS#4$DJ5=oz^?_R7PRD z6gTUO=b)K0Z80u`m=lK>z1lYiG<@{bky%hA#?3k-?${y5tm_*C8h*~zky&7vxRBvw zdZdLz_RQu2OIDBdrw%b%NpA>fcr#5$X2JG`R;DNX$qyNtg(Ec_HXc31=r(;*K*Oi4 zIx-1G?4Z@4M-DNjfz}KE3Sxg;#5W<LwUx=_dh$btl}y~M8Sl>>Vl-;s6wvUF6SR(& zjhppF{GmgPT-P@QG(4Qp$~;9bVIjlg<&hQ+N4pCxS!b*}bBHnP^@f0kpJ_TW3pQ$V zvwG;IJ!DvG#m(w5|J)%)EAh<%4R5aM$Sf$g=4L%{|NJ3FHvf$Q4UdG{9~AK!M0K_@ zP1&p?ldwsXn{~yzLx&jCx+63k{zi!LO|a-~WiruEdC0JGb%ch)Z!<Bz36h%w8s1IT zk$LcR^WXcY_cMqFdj6_lY@KD_5$h^)EdQBW+TxG=j)%&`qI5DQ76mVv>gwpiE&gc! za<#PJ2n~(ZtsI)07PPh=<%(JoFij*=CuW1-mWs8lo2IsgUQl`~##MKJ=FhZeXME<F zpP&A^{9O67@^g2-zf;|Pdh&F2>wVQn{_QGDnl;VyHs|Fp5?5~a8MF%&h&4UB{n^BJ z-eVrc4)b|3wz99|DiUV-DY4i4UW#k^P`|JC$@-0DF=i)zYyMav&3$jl^)(YGEm6I< z>6HJq-TIZ+F0asEn=1SBNLT62`U%%JpNkaN{&__{@o9GCL}#C6=Z?!)hMjYYI&JkS zHLU58YW~?4hZr88u5usE*3RthHkTz0JYUaX+jr<lXN$u6`SBNRv%g%~7rN%P_xWE6 z5lgSWE;N(=Uh~fR#MH2-MNyxh{R|hJs}}n{y-@G$(?uVysm}jxP_*>w&O4vpYTHep zAC##le$smW&pYlDwb!2HZP(w^mhtfEPLsEhGjlA1`@ZSKChwg0t<Cu1M7^al^6z_N z^AdkHrXO;zousxb!gSy2ZAG)>(qGC7e{0C-;-4|2aoO{NFI&?Og+EfsJ#gixjPSK7 z+Y>kGGqn`&N#EqJD$QSB|IO!z)Vw8MefIiSA6NV^VS8HY|4T=+!WkuA9*pkm-WsF2 z(a62x&-C=CdmUy)g#SEp>h1%@^~tRLB^xJAoOew<tUH>|XhzrmYv=az?fNv+qO~|P zDfX$bwxy*)rFPi%zKEIU4=;-<<cWB>ecCnss<3<UOL-%#=SSrFuF=Uqd#ZIwx9K<e z=TXO_!_JvpJNEs>q0OKEX@R1{X!TaR=T-BzzA)8pKQ(Jh*4ehJhkA@lKQBvv`ZqM{ zu+^8&t6iaAkEHyb^UJ|v{dEID)#CiSAAR=h_sX8pw(m@eZyPu^vcqP3t(jG8n|&vH z+WmiL!KSCbt!<sktnoGLT9xd1Dci02qOZ@{&zt(aJo9SRo#&O`Pnrwp-sj!3-@ABc z!|m#G&p-XsTYK)Q>8Ahl&OiMdJL|OTr-S=Itf<M-efs(Wx_fM<FRRtKtd)N1qTPdL z=2Mo}FYmDwmeZW``0k$7bGAxzCp}45xp#kQ=gF>R=2ye~E<e`Uo6Mv?J+$V)5grrU z_OG|Ol~UF2-CxQ(!)ku=p;@2Id}6vhEbkfLJU(}BdvCnB%PF5d`@LV!tcpK-#O~== zpPf@>-p`nDNc_H8KUl8c`}GVqyIB7@O#GFy*-N{xuJd_OSf%*;NY=+6X`XYAT@%i? z3cGiFX=mwF|25aFgr2UAc>8IhUf8$Rr^T5^kDjvNpWUc>?(?_J^QL|;%uMQCx6yx1 z%#_xrYa=~XV%KmQz0K>~zQ)HO;mW<r>zhB>>4D<sh}80sSC!W+S1gN2{;#ravrK;E z+fB(_Lgo9vtl87=ogL}E@60KMf0MVTc|~`8Y>~;IArQ1Z@!s!?#vkqU)+S2*IwEqN z*D=n1|Dx`zYU0}`F28wwLzKLk&78OYUq)8SPrtT-uXg6t!w0?Z&Dwe``&!GXgX!_- zrM}O+Y-{mZ?8T{a;rEX(Rb9Im9<eit<5Sq0vZtQk*IW$#9kx*}?!%h&gIZ_Twm;N~ z|G2ZJZ$jAfPgNGbjy(Tl=>&?ryZN<x^OcvF?o9mr>vqSqo1bE4rA4a+oSU-vX432( zp*!cLaa`>aIrp)w%_r#GHSu{bS!OKh)C}u=zjpo0!fD$PG1pta{O3VqRs&CIgHpTW zEv8R%XFPIe_SU**tf;zm@8|aPSqD|troXB+75+Wty7AMnqCLq@T=6xxZA)22o>o07 zZrRe8`JmY6MR4445%mLYpQ?0)lb<~Neb0Da=Xvf+QOhR!U$2NMoA-2WM6Tk?q+Vge z=~F{v*7St8U%gR(bMvQ4$5|2D{Qh(O*KCvJI2FCwNG*2Fo+UXEYdV|@Hy-oWdmi!b z;PH7=&vRcovg?$3*t+(n&3opR@?AQ5szzqp!6RWc2fAe9IEpRyJdOOhM<MsXt)E9u zaeh!;KO<>=+tJH=;*N_%t5!$o*$U4WUsCGB9G}*;_{7&ge@<DMYDan4POCUPYn4=% z#jfWd-D?wNe;t{%P5Juj$jlJmjYWPbPcKxisyHmAxK{nooTq<6FHC)}V5jeq9nrq; z%qi86leQ<Bs=VwoO_J(-WSgDSW?fKo66B+(^S6F;X)h0cWf^9$a#?!syj$D5O5d(Z zfBLy=OO@@rkH4PVO+T-FDMoLS`M&QvYvLwed(w87|GwjvH@fGSX2vaDU9?Q*Z1?;` zzu;ZZm>{vJ=M!}9p834a^V*k=h^#*L{o3^}7N>6;HcmgSWtWh|HtX1a>%Wg?{@A00 zC?QYX_v-rY^YU@G6nlNOn%#O2ELo55d@Qr;&Ut>1Vxo?le6Uo@>D$xSxx4M($MCrI zsYyLHHSuv@e3l<jS(_^LQ%g--BmZzp_SM&=J`+1MA7(t9DaSAw6#JK->-8ngd%8Aq z=Y*1tMY$%4pI1NDUEO<Kt>^vg+4H`dL@eF9MtOe3+QK#Z>(h^(KYqz7Nl}~sSJe7n zC&immr`=kWIG0JQOs4$%kG}WE>(mu8eeIp+|LV;75gGMqTlTFbmwBctziY`}+o-E? z@5+X4p69RMdOvUWdGkwB?-u&s*?7z5^y}}8_Sd~%^F(x<vbS{qd&F}3-d+B@uUnK8 z7k;g;wg0x8)ie8-<gX(8mt28+cgeRcG5>4e!gE{k>5e*yP4?f{AKW17xUhG5*#_mO zyU*ACSIo?{SDybXadXG>s!;oFGp>F7>(Y0_FEP-*Y_FvDXRqA&!@GKo84o=ByieZF z+cW$3MG1~|bMElZKIpyc_3~5s5lPwwLLPC~`By6)b2&O&N;9Xnb?x_B`)}1Mp09sx z+;lJe*T&3eRi*YZ0k6O4tkme3dijem_v6@)``B*x#@vqoS@)(qcG9yM3|l`>KEL&T zsb|z=X}<iJ^6Q5G-Df?U;<#G)X@=i|Eq5obi_`M93+;P$(D(U7uN1Yb9T$JBoAWgH z{MqMjnUCV0W<NV{eC4|z{2v+iMuC>O*muN+3LIPiJS#?6n3Z+=<wHr*j;x6rH~1bn zb|{Henmh5YxT%&-#Ec^DZj;8NhYmT3>+0le^GH@))7m7q>BbU|&8@Ay8^oIKn?J96 zK5wSwvE9GlRo4{nn|c4t-uHVqpZs_|->1yx`vTj&Rp(7)^>5|PT-Ltu-08qmF&{Q* z>@)Viyf}7_;lF~rC-qI=Uw*#qW!xIaRi3xJ1^%5cmz9zJ^3fr#l6mrkC>!I8dG40B zpM4I@Uy{1)&Fh&rmhYLjvUkBzVd3;6h7U`={g}OAhT7TA&6B3hV6!{kV)nGur<5sY z#no<~o{8<xdr!}MX=mWs?V}ujJcaWquTR+O_0wl)P4vzByej=ruBoTD4|DwaBQ2k* z)c6jtU3}D-Idg*I)U~tcy{t3v<o0pC&-lEHJ^K@=6}7f6qA@?Y{b<|?@rY>sm_4<p zH*Z?E<$%?f&aGu}QFewC_XkbP>t$b-$`{e_H2ht~GWG*1x(2+pNlCU&r(Zu0kCNS3 zWXqS`s{WI0O(}0g`MLe9yS~;|$*o_?8!_2`jYsPKPv6$4OwtQGH<j(vqn$^MvvWJW z%jbVwBYr?jcXL{N^4iqseSK36HWyiiRY|a{c{K6rI<adTSMHTPe=1)wb7JWeVeRFo zT0U8Yu`KDH8mqscPkH0Dhr(Om)IW;UZwie{lKmm2t^Fwc-n^-`9^cn2*{T;^b0ABb zqx!?r^t~)$natOoL`UBIIqUpJCU;Ba?9#RSc5jsX_asuDY4w(X^>gl4NMHO~d*b?~ zE7P;SpO0F+?}mY#?))Eb&utCZ+wh6CE_&M%4QcmzoYB)gXU&*0{rpAosyR=6eI6{0 z{m3XBzToZ7oO|DV?$moq8>r4tcJi%c7WNigdDK|=eYEwdYdgy;cHW9>|E-@nQ}cI~ z+BePh=~-*HR3se|`uAze-zn*j{&`0wN&S!!zBT2#;Z@EJ3&Zq{4Bh1Bt>5!z=8pf9 z#Adqf>pLlQN_xhcuy}pLP^H;d&K;lE`CC7;GxNdgnKJ_7&L3gCp%7(bpet;&WYh0f zuz?9uzifo}t)EuYxKe51l1CFy>giNHa`;~N#pg~sD8<aTn;$WyeNWORqZQ2)Zi@E% zq#cv`_vZi0nLEydY_v06xJdTXub&Tp=d4r?d-lkuL_;oe?d;aC4>qn|5N)pvQWfcL zw{eqNzFK8<n31RWYKhK9_ipvDBNESFeqcRc#kl5@(CY*0VXHe^K9#L$$=nsOa%TJG zqy06VR}ZPoeZKg*t@igwkdo^Wf{#<4madsA7x6afg4X=>t!9;*#E;Lfy4IaA^;zU` zyNybxcDdf0H`VZE(%SD0l`nD%&!6Jh^ojF;`u)ml-e5KRj-6`xG4=Y!MH5QSXYpKH z_DDI*Z|a8S`>Vs=ZC=tFu{b~VDTmiY^9^RU8^fZz@AsDe{#@?3y^in0R+Ei-FYipg z)^YWn22a?o89(?QHEw*+xIcV)RpZIEJ3lq2KeF?mHRH^NIa8vu56ww?*~#f}B&g=V z5z!aY_0#`7oVnxtWU~Y>yW=ghKC!7yQwr)%SG$*3Hs!ASy~j_Be%oM+^6z^<hGLEK z@A02~?mP#@4_c0Xm2ls<aK5^(A#aVXu<)tZGc6dTUAozqr8>2R@a_K!(!}lKJU{)= z_ivN*4MJ6>gxDC}Y<2aQd2um+UgvL{%!!##KJTn7eO=uyEC2s-aq)h&v(M#zf4LbR zd~WleI=*b#vkSLPv$WCXxUTy2$;t1I+xK1H89x~u{G0OSK7Cs=XM4#;p~kzf1MX~| zw8d`6yDzTiPyL-`a^C7&=hU4u!tV7=J+`cS>g&n_$?89iv>&VJeZFZ5QnBUCtlFft z!H52H>-?0_=JFKR{#iKTN`T1uHQSDa<<>ll+!;T4TIA;S8?UW$y*E$P!1w!_wvz?j z>S0e(=k(^*y$8!ju-k3C*7BpgUv+-6Yrw9E%D;sZ4z20pkLWz9ZIC~=D(v0$CA<-n z*KfSG$@SiWTVD?Bx-d=5e@)rsi0IGv%&$8@qxu%hA<yh1r}ll+xO6mR_U4TScOK1K z*?ZvHoByw7?uehPruOvd=b&8~zB4ZC*c#q6J$nD9_g+Tf@EcF<f7~`M+^?2<U`kCN z=ZQ~j%c^ECT=Hlpr}wKszWF~Irk{R$9jO4{dg)PTr}3j11y;M>fXsyy-gc;k_v6*` zI)9sj;^p-WorQZEPiB4nDio}`$#d?VFFtqHV=uceM}svrX1@6B^P~QoYW$j$-0fXq zA=ymj(F=cQu;1FIlU{CP{=MXql6kiK{PSm7zp)t~=;fAIy8TP;@jSm-=WW0JocUmP z-bwN7O*42|&qoQTU0S#FQM1e*`?UwprrVl-FTbQ@ZhLY0`L9-7HTrr#f7LzEyu{3% zyH%biI{V7`qMH^e(TgiiY>Z>Ma<l5__rtaew%qXdjd{<zHqhQ9wf)OF`RveL=?^R3 zTz?a_xK3+@Zo$r4zS#!R+gfj5ueHCU?$!NQBI3hJd9f!VPfPzQDA{fdS)3eb&vP^J z`t$w8Hw@lzJ-TnR@6+D`eNEkO#-CQsukt>#c~7mqj;igq;N!u@*}cCuzD}OJezi!y zrA0cQuzl+D^!xnZXJ1OPSB`((e)7YF>tC4{e_`a#DV2&6{<YD-PxrflyKhk0|4)Al z{Izl~@XySZh+141(XZ>t|JTHJ^M#|4YvR5pEBSf9+Wq7Km)wtg_P;n}*UUd%qwD+k z%GQ5tOkcIXmyIiU`RHBjqf1hShck~)QhFLN=X_Lg&F$jid~M%5%JRQo_t!Jtu|4Vq zZhZ7S4Hh{*|My|O?$8{=-WI1#69oc&H?cky;bJ|Rvzzs@CQI{C=gnMRGc&duENx1W zYIQQY>9){-le0BxmhQHRy-su9@BChU&$hno@0mU3Kj;0n{a$?T@85gY7C#@q6<w!p zU+r>#{hjSmb9r)g>+?HreTfo#^*eWKRm_*vn6<jT|8jcX>#n|fRI^Xw-@#p%+3#Ph zomXG5b(j3kr_*m~EB%>&-q16yidlG~&b*l^p8Idz+&s_oL--Y=;BRMrR!ojNpQ5{= zBFU-$f?DOy&#Tg%)~`@qcIf$xC4N=1!S5NkHvLr+PI_?g^)=J;Um6SoyM3nSCtYg! z!lrgN`<}jGsnXjk-+0ffY`@wSm84Z;EG%^C^~?(lxh+STFTa?ewAI_(_OfN-BC#1> z^V6er;uBtZY*H<DSF?>+$;o?n=i8YdVuHJUrk;O(?B%P&%~x{Ef5)EO7WHvvoyWgr z&x)_x#lKgJUvd3h!%pSNX2PpmGT*zN|FQw%h%~2(U#iq@uGxP5QRB+;mG`Z3|CgRG ziJP&4b=jqIjn`j4@2Jny{o=Rg)ndOe$0HLr)j#82V{7MS_2bayN4FcJ5_D@*T<Z%i zzK&R0edVOpJFQzf^CB+(JXrPD`uwjI8-l#owDzxg;9~gY+!`hGS1CuU_D(4iULP?v zY?92sXOZ&4VOgTtUnYk77oU0_p+0xb<7o%yazs5|5mDN?#wv^5X?x{5{S)`EXkB|@ zd~M&-r`&6nxnE1%T#<J5@uk%Ax=(8!EOWb781=3<TP<wMw!rf~QrmW<zlxpq?e*N9 zrKgQgT5Mh9re-bvwIcq-WvBe4OJ+K~ADcL}3*O}ZepH>&TN{@AZ&4Vi?I9FX#Vowd z$yMyU&!#2uZ!e{uZ~56Bm3C_1OwQ6vuV=0i=~h>ZY?9yeCg!WpjQ!YS_TBS&oS((F zo(TD=rsnIBpKxjKv%f;Y>X-c9*1n!;P#@^+)0&^=<WyxVEVZC_Lq&p=Y|xTz|5v9U z`s1o=FxBq-mWX`^u1rkve%9wxwIN7Ys_Mh~^h4h*KnB+2%~@w><8gPL{oj2lw{0%Z z*uUcEovr7u?>;I1YO8U=yAw;!9??p)s<~;L_-~QeOs)H8T9jUX_1P4ezy2t5@cW?s zrME6dgI#wZ<d>RS?`7#31qM|uJ?d(u%r%+E<Ez!qL|zdJe)#zNw6>JwD%sT~omP>l z<$Lu-%N}v8$<Xg}_qO_ZadXnSMPd=&@{y(*l`rQ;UKD<na`c_j<+P6nxK{r=;cadE zdCiQ?D_E~xau2)azdl)1y>wGj?fxom3H`{e8*?ppe`vgrJ1_oB<Q1=L50tfEFE`&{ z_A2FV?bT~p&n5IDkKf(&xjUWJ+;r0>=FisGC02j&Gt0fAe3>Kqj&W(xx|@HG8z-Jy z<fgWI>-6rm($`z;bgu{p*9)BqH%M%qvUkhg<?9xj=ADB?=On+2(ld@7*1SJEdH)#| zZ@1mw%58<8>155+{GFzD#dCf7rXZbpGg3I~J`{aemVRiCtFED_-Eo!DORr~Cu?I|e zD?U?znLloQ{nMES>DaRBL;iW3#bR5Jg#1WTQ(1D|cp>90j&Am47ZqKz-0Lerfyos7 z^0QC(Q*Iww&uuC1PAp;9HeI;z_;Y)auCvAMmfcY)t7`1b{lewtmgK4H|F|<_Gu8;* z`v~R$jBNTg=PgK%+h=Kh(j|+%jhyU@-A_~`1(_dsdjI+Uk3KUtLsO~r7b~?bnI>Hy z&n(d{d3f#oJkHM|ThC0X{i7EelgYN%SUYREU)W2IS(kD@ovTQ4)!p%=_ILjIuF9^c zB;A^n)Q-60S}s>kuJWIMMWD7*^efLbZ~q#VlG*1O&IDf(%6_Pv?Y~{;3)?lfxoaZq z^-QimDo?z+itpbSP}U4nn|ps}+nTCbLENQAz5fhz3eR5&f7s?Ayng;~2??{^3G)`Y zsXaSd{iW4T_lug^m$)}Q;y#Z{rj`AelYXdvJ2WnBU-J4q-Z<g?@s06mhmLo~y?tSN zzNNAYk~@2sUpJ1_6!Z7l#A07zx$oIb1AkCPJ8$SY^NZE8dyFC}zg^Xi9B95iSsLtd z%-lKm-WQ)4%Rzx<Zmg)dcOED21-A$rgI+$(AnV<4LFRG$EIn_0@_)PBPo`k^NxG8# z(EOQRtt&G7Jky!rD@wsnKkqEu_4lUZ(P;g(6K~n28~6u)-kCjrefeblcb!%RTt8C( zT@bcbKh`a?<NFtb?e`;?Z|^WH4(z^D6>!kJxViV<hUGcedN&=pR*|Rh(lBz*iN?0m zT0j3EiC@>4duPV!6|8x0dQbmU%j>;7`x}esjpeq?<>z&?-%VVcub*AEFCJvt?m+K5 zUTV_*cE@!?zPP>1du#h#>Ui&@fGK~B<cb#*necL#*Mk+_4?KP6rg`yVxvIzWIu7=> z74|;Aw&UC6k4o<PF-325=Ue2Rsk)-HJ#W?T{F*tQ0*77KuXb;`V%(i}Rj-KmwPXHM zrqwZT*I5>DL^NFgn_go(gMH=EDlPffjy&Rf7s_2uw%sAla=0?Gf7(UISes`rzLxEJ z9KBCYM$Giu;**ECujjnFC}#Gm?!4bIk(kLls=KP6OJw`$)p3PX%Nc|RDVN_beIr}5 z@ILom*6s6bcO5nD+<o6kOuzWSohRSFY<hm|jpy12ZfVbp?-a>jnEl-(Ct7$%WAnO* zJtqwFM1TI<|7xe<{9~`}ul+oz30fVIE%BLI_Snar`5R@XFY(-@;ycOGKS()MNVn5+ z$<htS9~$;wo^$+hqF0pXvk7X;RWm0=2{~t3rUXTKsU=S7S>hTLbG5Yg_uu%O>tBD? z$G_QEr$4<q^Z$|4{>8Jm#{bmQo_ON#@kyuEguMSoUyXm-5FYnWJSJlLf``*srk5#Y z#3=44VsX5DnR8vN!-YRtP14&1rtIl|aP2Y6(s-2}lUF6p+4NfA&h4fJfBIPXzbUTR z(edD-P}8aBf;Kz57QAYBaC0xqRe5ELk4%ndr-~)a3C<S2a<AvX89tWM?`js|$}9HI zcyMSZ3-5ZB9VN<+kE=P>**IM&@hiw}Wj6Htyp<y_$0?wwx+%I^XiA(%!Sj@+P0t1H zycU|WzwyDryDXyeY878(9G^brJ7nR1O=ZO{!GoLMa;%!p=_lh8@L8oPI9>S4zBv!h zd}S$puVnFE!0~T7r=AM`F$>=73OlwaIzG<jT=&N9LJ8x+t->6$wsYjgcm-_oFL=$@ zbctW+PG#GIH%9FVbE;PhPr2Xlz_D?`qjfC(d<rXSWDZW=%(1Gj_rc9lmaFw%1&<h; zW*IjHd+Q&u*c$I&kXGBY>%F4Ic5cVNe>wDIoG*OvY4VnBI(A(s<|n7)<=>p^{?1(R zGpIdjj`VtEjh%7_*Om)U(G$G$Q^fJ+V$OH9Z43Umvhc4{Tu~u?aIvA|Q+q+1%FYF^ zva@5Xqo*(UwVT85jZeVm$t=tJR94(ou_#t_yqhmLXZO?v|7uyp=P6|TP&&Bux=dT4 z)OzOvRsRbm?oHYA6~JpgGFep5tMB;g+jPlX_|8vO$D5i5_lk3zTEB<mu}#G61&^Mw z^p`2D_*2-VZrZeJxsZ+Z^aa1nIsERp1blwZvRqDe#ozKKV{N&<!dGb==W@LQ>Z_Z$ zs|8JBJPKZlG#z^`5cAW@@v=0>x?YZ1_C5uV^I24<+cQ1BQzh*9vX}GSp7{&j$gzCq zQ`5MwP*Gs!cvPE1F4iBkjniLFX~nPbru37_hJIhoh31?Pyiy~7aOGXjEP0QDXD&^< z;uS2)B_03T9c;Dcl(O?Ec&X3QJKd7&aZDw%<7Hlsb-B(LYQmeOLz^ZY7ryh$#_^>$ z$Ge*83*NkC`7WoR@!#ZN^V0rf7NVC~`md?4_!HNpzFQ#V?)(Qg4Oy<*yB9o4YMOOl z(ZW<^#b4<rV}HRbp|X$XME5TESH&W}O)VorX~&n$rep5HF+X)2FL!gStDU{zXD*9* zoo~P=&!*_kWCOpKp`7pb^e%W4#`3*PO=G|Q!DeMnt$B_gUN|+KvTah|E)?=t^x$T7 zj#d9BKRBXwyeRkcT9)P8R95^|Y%&&Y+SM*R$9nF9e?M8o*QsSx7$003FJv-LDCQ@- z<K?OShb^w(bGlGd+$1fpqOp_z;M&6+UiykVE~{x&iyquNo8#1d?+*_Go4U>m+eCe= z=n4LLmc`#pbw!j)#Wr!rug;u)I%+F^rZxqK3xW4+S984j*ZAPhoCW_rsVB_g&K5Me zGvmP}b`Gicjs;HznwIf4WydRJ)Uh7yl^2+@XZC|@?>V_9)b+J8m&Da7J=1n5^A+Q} zaC&1v!=EzH+&*aMq}-81jBcQVp+1R6S{!&{B*qo+*N&Tg#@&-njIV^n_$E|LYh^N- zZp%5nxZ#QvXkOnBwCQwOD^rO*XzL_*goeZa3NgNbjEw;e4^?$!7JRW4<1*Mcx0N}h z?zj`<GSJCTw^mE-n8S4DvX0DxkDwE$bT$+;Tyu}qaQMX_#&^MTS}Rk^`J{&od%Ghw z9R68}@fk>L3TSwgA8B#mg{Bx+z_*i~)93&HJ5wlOlN2}W3Xs`nwxum(_}UNJ6kDew zvLG`3A;U`JNDYVIt94`)igmeJU;LZV%KYSA`a*`k>X8->i7SH(ELkPiojSzm6)DDL zaIdSCImGUa6XUYqn+h6k1?q?-)Uk21XVkQ|GO4Uje8{j?eq%txml>_hCI3!1F>cb| zSkQ1!tGn_x!_U%4ivtPXVq5`tI$N20v~)xk)Ua@~&zN)0iE)+qhJuEhS9L@d)NymO zd)zth#5fDI65~p@j>v+!R-)638_rnih%DI6%FTY_?FlEwU%xjLG#pX_Er>XDh|%l$ z#(;*8p*k`Pj1m?yT&|9^aCmD7T1zsomDwaLs_C?*Ls706--TN}txQYG6CN_m-3wYv za_kUe6*%N##P|$$OloBk$xnI6u(&!x!{Mi(7@xt+%>fOsxRyUG;xmZuZe_Y+p7@ZV z^KGPtL$SXY--M_WPK>wILC1Gl>WD13o%)brr!*)|^ThZ9DrU4Y@yt(t$guRO-|?p+ z347GI*;nKoabjF{dt*UE)XY|<GyF*p8G0v2XgGYz5#zhCYfdZElJ%gaB)dUNNfbd# zNv5?jJ(=tqbB>WMdqY6Oqc+eg5?yXqjrYe6F{*)*&sP>PK7;Iy0S&*F>c}WuOkc=w z);hw%;gzEp*M&OJ{_AO$%+rG#-o4V1QP|GN&H6$-!s39o{iFYO|5y(v&b(g1SUb}` zN6uB?sQovg9}1i-oGQT=mUQR@Hn0fkX=rH7Q3`Sqj0{k4Y&<QZu+#C8y<bDXF`+3U zdBF-xy%>8{xuV3kC^&mI>dZ38|90=*zL}wV=WfoLY5sZkP3!78bN2jx$#s3}<<I|q z{!(6jl`}UzY<2n>tF5c2{5*YVM!#-duHn&jC;wg87ag{`+xN8|i^>0UB1MNY<#)`z zx5h``c2|^S)BmM!qf(?^w47{MDZ1=d=hWy|u4+FHH2?m!&S<Ww-Yuoe9@h;kowArG zUw`#lb(z<b$+s-R)TFO>Z98Ie;j52_$6BLA2D^@D{63#sWLD<b1uQ$>)4A<{$ra7Z zS3a>W>q=3bX>IiLV3fbai)&BM_atq7^tt8v)NLnBE@kG;S+}3nBX-;RtarzC&2wi} zuen?|y=LM4GPX0aGizpa7%iL2KjkH7@*-WM-V$5kSxdrZu8EM%|IEJ3YU!^RQ|`W> z`f`rpMX?!eyZTQ4y~3s@(<86=xAWvxhWV%Noj=v`zF~{%vPQodIZ{`+gpZl<cl|r_ zL{M(W|LI1t25PsAg0Fq`c`-36^-#}+fXsg<p4_XP#x8De-u3iv<)wYgKvo_~VZ6e& zjLr3b11Ilq8~*svWy^ayw=o7^l8xB&|IVlI%2_LT*R+;xx;6Rnb)D>gjH~_mcP#&} zxq52cMYphLZ|#lS)_grNttMqw<cqwoQ&zfN{k(C0`t*GaZolVc*dGhuGO@GrqvfkZ zbJVhgh5JrF`NBWrRpYJN$6ZFE$%}FiOer~(V!D#o=k3kq_QsJOdrv*%O`X-#x$Vr9 zQrpSCi=GBAyXN&-U5)!7dtP+;l#^kLL~}bc7YM7po*b39O4z}9ZCpwdzs-*P`{Bzr zA9IOLk$P#R=I@c4@F}Wx?~#zsnjq_X4dHLfw}dV`TsKx!+<K~o@rS}JdxNvWMN2kS z|MOlPanJgV`xe$^3;kv;n+6&J);@V>;z|B%RfinD$AziY#4iB_(k$uaul4zZrvKe; zV*X9}mdW>s<$v{lI_-bUGsD{Gr-5Lq5Bq$uJ)neSywEdi>dCIFAI<6$Rt2x>_p`JK zQG-~rF1g5lYa=K7V|S1Gq$1M;%ZuKdryi<viB1Bg&1H^j4HA7mFL-g@NA6*x`+qHv z%dth_@$>bmBB!l;|8{_q80Tcx0O=W0B7d3HOx}F9sdS#XLH?pyg4eDCAuD`+W=s-Y zT7M+PU)br?wJQ1KENk|C%X)n}dc~7X%;i$Atoyn>{>q&j=^4p+;%Bz6;Qs4(Wm%nJ zoVMrx22R0iheFEMX$yaoyv63@sqPat`PP9e^Fn_aPj0=k`c2&upBw2Jr+p%?8!z<F zn!0l9r9Yh~ojx?)zSn=MrM3-}b2uk|^;~Okm2&}TZss7n+@0_Bp=xi8x415wxGwoq z%M~`Y^;fTZ`%FEdUizWm$TrIdl$O_}J2_=d<z)WgXi}drOZ|z*(+@jmyKUTa`9^vM zw~zB&BVXP4gjF74lXfakmS6L=rSV>M?96MB$Tu<fyB;sMM9=+wcHSG~Et&5&`u&dm z)LM62(x5!$Q=-zT8GZR+|6q@&pPn-}oWFo2uWi3SyFMJ`a%_3cuK2XZwD0V{d2(lJ zzOGXH0xB%rGEUUJpa0rb?fbdScPbpg#?ExJO5DVd#T?wcsQy4pTkX8r`C8Dh6`#Si zwUaY=)1oi^Gu~u6dEBi2mc2OQ9AErpH?<?jx(#PZ_Zwwh|Mh!)CdgZc+qs`bUumsV z&kEizv3}~3`U5Q-*@;^0?=_*up+%L<y&#a=Q<trIJ@bP4)>BuaGXEV(QT%b>?Y-F4 zLw3%P)c0#i*o;>!7Xns`&wRkl|IYn5I2KQsTq^aMH{sTSCtH72b*>cpxuj2C17r=h z992~GQ+jd4z05bpTfjN$&41_TpqaEt&tK5EnimI40Di@fOa4A}e6{IcKymW?g}%l8 zrMKQs(*JTBJWR<i9C&QY$7Z9%`3ph$53^i68$abG_HuE1{q(=lGtWs2LrRL-Y+Dbt z7@lojWN%#A!k%aUcWt1~d;V{du(I)1gs|NTL;YhK+ke;2`NCgxMg3gSn;cE^GPzqy z+r_{B>h{~A+i)x9^3{J&4AlzE3w{;z*$eG|yKnKG8{HYw1;0-eB>Ozb`xaCDaG6<Q z@~zl+a}LkBxASjb*~@Jc_0DtdTh@C=PA0GC;+?P?+1G!$hntv}so$EhTkF-{dCULy z%qdM&6Mow~Yl>O!tWI8*4F0o?3vb?kEPbl?Z`U?PbE9s*9c$IL&fJ~2eZ~P^nFRCy z3hYb;Qzu)W>Xq%;cINH-H7~02zPRMB$`qZux;rqhqE?0fbmKjn7hm=jCHpVdEp!$s zIg~3KD7~{bAnsCK`kZX8^0_K(m;W@&oa1TvI{#E}Y~QvMy>l4em&$IpUg2w+eRx5( zDf4#sFFT9**7r@=RQS+ZIrd)Vo9Zp9@4R$(&6DQKa`r9B&I`~tJoQ#_QuTzxoNvO+ z;sf*Ev}|F`Q}MHUy6r#nyw68x8E-B&R4cf?PN(T#AfEwq^6T8E$L>k2|IcXWeCT!s zW9=;aj<`^Pqw~M3?)ukRe?Uni<7HEmm{@k=!UZ~FLLw)fq`139w`>r&rq<ERE!HVj zdUZ?V)k{v>H*dJ9)LORjLXg;Pk-V34cD}z;e*TQA{OsweY3I)5Kf9M--gE!jQf=Wl zaX<MF`|t0{p0zE*+Ss>$R_VI+L8okPAO5G+YG_?7-^{@8=5h1il4o`A-eqnIxxDvV z`!?=L)oXs=h%obM?yB8)*T|QDGPg;XP{bM8nF0?3#WsI#HoBW$nbGZ|9D6?H-!%W3 z0q2fi`O~=4FH`dQyZ5I`_M=wQ{*(S4d6Ly|KJ~qQ>Y=v_bPah+4y9aP5H{o0&L5y6 z-p;G~OzQv6)ozBqd;FijsfHy;q{=#V8vA;U%gvCot>q-s3SJ-Hu78ZeQs27Ef6Ozo zP4U^nJ7bcbfoPVn@Uj%wm-2=;bDcaaYrhpQjyTVEA6$5V%K3Gm!b4izbo<{MGe8Bs zPX7w-zvoa2`j~U^VQRl8nu010tHe#SuCOgD3x9duM=U5l^>dri&Hi_gN?G{VlCYUk z+Gpp_&|!(M$hjM$_Gcc{Z?!?%KBY_<pk)>x8rjdky?v_W+6-`T9e={PlGi5=vdqFi z>-k3go}}9UH`ZV21l1BK?W@x*GArNgTjKLwLO8cEb4Bp7olm)aL{EAdm8X1a-a60V z!rs`_L;oB=H9e@Xzi;Ca`)z#&s8oa$_Medpd%n6bHE4B{?lk#|)@0Guk8}SW2w5Jo z-p}%nH@H;B(y%&xai)H6QtkhQ`3n)TX0R+Z;7ht%|AzyQmrvzHE9_U8)Tb1^H#!}i z4=x*(E_<l^h&kjYWCeWTpK)Qs`Oi<3K?UvY2F;gD!3)g{6TQNw{1cpfSFL5rTJiOg zN2}(4u+2?z%BeAQD}JoCxNPnIzkSb+**QxW?@p+6iG5MN%<OCT$0*NV>^>bE#GY;X zJQGwhA~m1ZU79~rXUV=(@BG1~HmLc88dGont^`%r-agE84I<}X;R>G1AeHr1Nx0_0 z!Q%Q~r!=nZ?y7AAwI<L?d%k(#x<hqYBTBs-r3R{(OF^EBPLO&vB{T0QQ?To%NoQnd z{z+J?&hzDkGgvM~>Lo0i`qm@W$tcm3_jeh{ZQzX6qP8+rO*`!PZBWKq88^4+(|V9s zbq#n+Wheh$$?IbwVej-yc=BpSyXlwR_nCElwe5v9Hhi0-5)aLo=6G@bOq)Z@=5Ya_ z$WH~=ddgc*wMZ^pc<Il?lY39=oZr6|YT;aiNQSMAD;+P|CpaCpJAc+69JS2LmT>#D z-a68v85#J?-KT7}K+w0i-^Vnr{cd@msVtoI7*r#NOK#2n`<LIu{F~&h8Mj$aE5CBu zZ@hJ7e9v{SOZEv)En5wyH#36*0Tk;kC$oa2XWWWi3ToxnPCXnCwg=K)@LX%OHEfo> zVI;@C4;H`NL8)@u3^uF8O?E3qmsv5IT!Hjeo`2lQyg1^$);CEk-IZNm_ke@RkhjEE zSSV<<k3<9iqMyu{jTGIr)Td7YrB|%E>9RjqZer$=%Xchd>pv@$-uw}WsE=nM*T*IQ z_(9$QWxXmj6VJ6qksGb<J>&N&_4@j1%GqGBT!PdqE4A;z(lZJS>RP_2tA#V)`4{`` zWPWc_t$#B1?DRGqDJ(qJ8YQ}AocMRQa;5mpJG;*mJ+B3un<DiRrTnXW7Z(hQU2MhQ zt{-y)*L>$hEB=m8H^mcE(dOWAU|zOFSZ%duZbDZ1)PHAE6u*Z0<$>F1kXHEQTOpUV z7A>@M+ZnyP{8Zz;nrX|oPnEXj&+U|6v_Lp-HtW`jx7nYnzTLln+N?vd>YWEo{wuT_ zGbcX&_`bJf@8=uc8QphIaDIrpUbwsYR>)>Eo?T(a1=TL|t)G8*#i98;J}mEzA9_W* z9#PQ-n%xi0dlL??Xy@;G(rqf9_B_ew!MfN;mcNT-64;Ji3w?Tw&n^0i+uIeF?{IuD z-&4NhZ}+or=l|%KowdF8(&tV2qeZ=@fotYHlM+sQ6p^ssnNOzMe8=bQMZcdniA6tY zQ+rjF_gVBA_njFbPtEj>X_yE7HZ=XOz|Pip?bN%Qugj`lSKqU@-g1M<JnOOQuD;uU z&rHv1;(8N%_CRcL^cmTrE1$$RfA1;!{k&T&`cavY_MMk4w^z*2FAC3`Ynj|4t-Db3 zw{!iO#&d=yEbsQOyz@_T$<b*$H?Mo{W`9OJ`w+V++cAl!W&6)h{^!_tYsb?M-bNLF z_#0%-al>vC0bK<NVnc7rWq{GjAVCHO1{e*y(iui;LgisJ?22O8Rlcw*c42e{<J%j5 zrC)!QIsS3(b#2p0Vt0E&K=*>Ec$=%8oHWD5J7w2Jp_v}uD?KxxmsqX+U0NRXyu{dZ z8fcnft&4Y3QAVdvh<A!o;EP@JuK$+*aQNrH4YAcR=jHdUKmUBY=(m^|6~8iN_CGYf z->>hX!u5aaldR4o`|H(AY+l%3{C{BSVh$;N#T}b^7Q8fNxt^z*v9IaDv7QA_w7Tb2 zo=m^Lc&nV_%d?#C-gpF*@i$eo3r(?~^x$ApQ<rR$d$@4OzSajPZCOI))hf0<Q0WP- zm2DE9EqLY5yayqj3%>nhN$*owvAg5JouH;);es(cW-fR*<H03)PAPlWf~T(CJIi)y z9^7g!WO9G*gJbQSUUGf~t33mL)ihbht7=pWAKW`%XiEKr2L~s!h+emnd~9>8WkJ!r z2PgAbLhW5XyfJ8c6|Z7ZsONZgy}+DZ6Bm5*=Q!uX`Rd=?2X|(98~FY0<<!e@zEBX} z<Snm~5u?1L$j0&VX3llBGZ*~iWihYw3HZd=6kV^ZvG<s!#B;y41#hmge81-wP$%ES z-Yyhk)A!)wOqQwpT|PXiYFee-l>S{f<iGa8&a2T9%b&HeEZ?TI;%``!akcQ3zY+&` zvN`^}%&8aScHx6nlefK6MveTzsrv;?eCKr)uG>3h!Ov+d=J%Weiu9YJ<yAFmc@EAE z<~$YWRIuJZ;9pr2`*)#`nw|$2S1nJRb4p*aViT+5RdZpR+mjbmO?`0WB8#cNqQ%xZ z3%;3ioV()|@O?VVb2-%&`%k@;c<wZ7!NX@P)9<-lsNiqXmRHQEQaQMFGUu#vj=Y+= z3!Y4Pa7~}X%igKLJw0L0rq@DuZuczs!^gt!#UjeDP*K9-_;fPIJRQ{)zf7Cb&4ok$ zTORCe=g3k!cf?}(HMJFgy_$?~3tp*JKe+QR$18cif<#5f!=E|zzH&(2cPV(9&f+Vt zvg4b7)3fZkN4NHd3rx8)?ZGu`4zF;IcQsuL-gL5jKc}iuuX?aqnp5kZ?}rSpfS>X# z{?}}zABWUh9^9PEv1<R^2S@fnj`Cz_x|Q2x94>I>FW<qPyE$IjI}|+RY--EiVt8)p zbU~AQvmSU&T=3Eke3a)OizexG!6|#@Jh--<)9b!x!E<w#)aNYU!|d4~Pr2Xm;9wYw zXt`p=7IVj^{lYex0wK1|4{oNiT;1<l@QANz)_ygMuNIDPpU>+qJeT7TVD4U!m)`WN zS}?|<Wx+#3mg#=V88w^-r{)Wp?4A4IR?C8)$t>n!*8GpB#LRziEt|vZy-UIKy)3Ex zYCFnJ9Dj-(Y<|ijx?Z)SMB4Fbyr50xlm)Mf&mFfoe~IO4yl=rGhk(zvEX(<nz(;v{ zbG(XkDR?K<^vk)4Tbo15&Z*#}c*2}xuZ3bNMIA58a;)1sd%;ge7V}pus^Y?Tc1>9D z<tj`0KL3Dka!u9zf>Yu*h=8YnlBPYlSjIB-zUzk+`=(X%6)Uz)Sn!LLMSY#pil4Sk z!O2ax>J=<Li#XmDk2|q-r!VKLeD8w9@}@S?Chz%b88u1=r+(&`73W#-b~nq}_i8&n zRyR%Z7rNtBYT)<Mm*d@@#sv&F??zZS<j0F~O|b82W#$2$S9r8I!UA*|23LR_Xxn0} zj>v*v)?$1H)lIETSK<o-AH95j)82?##Vl<h!`bVb3mUGh15F|@it$ZYxiO&O9q3HP zcR6BQ7xvF-WfsXxSjceLI?}@7<#rvB1e^4S3{#)$A3m#jphQ`WFQ8hMn{~$fLx&ip z-ls2QI0?E{;`L`85rtYcZuS=?pc6l<#P}}kZf<3I@;?0`L-TRaO%au+3yb*-ZZ)(r zO^H8!h*8aYb3jAZ%vR<ze2EJgz9vUnI6Nv5<GQeCN-Oh{b;%1E-pX$(XgE`=BeLLk zx)|RC@3@}X!43a@>BubDpvuiE0lE;y>wWS<hR4m3&?7%@B|T)=+8wEJ;7=6jG*U)x zR*!q94>3m7CoN=n8LEHqtfj;Aqo5-{S-II?h(>5Q)cK3?8CZ0*GKusjJ!Dv{sw1*s zPfshe59msbtI?4b2Xa`sSy!Bouy9D4>RnjObs?s|m3fI-`a*`cvXK@JY5Zbb7i=fA zGC%1{S;+ABsE*8n3yBLE4zC7<n;2;FrM;CoL@sS1!)4a#51+{_*v7}rIs>$qGPzoe zYl6<kf`)7VK*7es&Hh3sX(7YSrJ$XQqTH+}?w>it$d)X|_2JCYHGd*1nY8^Ao^r0S zX`HJ5p}vsmKa*Rc^MeY;x3{Xd#5|Tf{_*7dUWx5$N)n1aOD6iNm^Ar%skitz={3!8 zIi)aB_WF(%T@Up|Cl!h^UEEllTVk(nS(U22HgLLW==4=t%S9G%xx3ao)6;y~t9g~5 z?9%Jfzn=d$Gg961WV-G9y8X}RW?%EtQr#Z)_}(}9e^--@Rqo$;5`H{Bc%==?r2L}U zIYBG8{PjLwzCC^W)SGK}IqL2F@IPwiyQ{eu)?KlEyH)g!5NmaA&c2%lzds+4)lWGX zvBADSR&vk5<`sI=m^Z2?&e_Ujc4&vcfx>p@B9D`2^&FqcGX9<{nZEnUj!CmwcPO*G z>CgIfS>i&BYJz^&o@&-DhwB>|m;O6>QO$fe%e{F_RWWXPt^G%G`#u^)Zx4@Z_|MDu zR(t;0hBtGW%8Z5oL^%9DbX_7qdK2$=R%g~N`JeX&{p{ay*6`qi+@1P1aSp$AKK#uN z*x$k)ct>Nw-HXCZw`ARUJY@ISmYZ+8-B{#f(!}`Dgz@d>3v16W5&xvHs-G)k{^vyv z-*(QHm|(ri{CjHI>%+BveT?sN7~gJos6D2zzlZ(e8t#nw-`yGCem>jx_O9*%la>14 zMZewb{Jn>h=YziJ1v~D!wQOupqbmh&-sjAS|6tBIJNb~^-yQ4ClGER<mf6Dno%OP$ zfS$+&JMJsfZEn9}<X`k-Tf;Zk*(_W1<u+)4UwZCjLA>&I>F=(aB?a__FW7O*)~<c* z{7X^J<*PH}+v2Kk%Vi$(r8>O(ba$t|aUuV^;%9~jV$~Paidp@hWb<VH6GxN%0vGJ^ zk2BtRzMDrQcDMR>QNO$0zxQNIJg5(M_^neA|LMnXQ+}o0vD3_q56)w%`rmfkV8OPc z{HUfb^9{VQ>+4TT3fQSEsQr3fGT;o)qV8E4D;mm<1WQcV^?3Cbmfp(doyt+i8-92) zzTI%(`4pRT_ntb2u)lYnE&1Gl@ojN8&xyPCEz=Y>pLl5Z+>ULBK4-@K)^q!=eO8eF ztT0RY+tRZ=<!c(g{d{h`;LC}+EiA2~&O6Un&tUu~&2%e$;bQ)^=5IfR{obyh%0BTd z=Znt@7J&}G5B=m>VQbv;TWdS-^L-CA*;?Ln-N@}_edW!!;of(KciMge7xt~tSWx>> zh;2z-wZeDPcfX~+yXqS%^s#O^@01>2)ZmfsUJzfptKplaI7i0(-Ln{XZs&Wn&&OiY z?>Xj@558H=*k&rWLZ9;t|6@m!bncA#<x3mB{VX?J@a3T5o$!iZ0q;KFOK#X7{93r^ z6My5A#VN0Y*KWM9mjCJDN`ae|Ot-|Rux`=+!^oU9U;f&?GkT6s&D*yxd|mo3n62ez z;9JhOn-A1F)avjrs_1RFd&Xro>lXdG9>$fqeGm2>o6U4f{HxWZCP9AT3;VvD<XNF7 zet|E2Z$sIR^F|8Wz5A@^{9}rpKY4QankU+y_wD#*`PU7U6Rf=)et$|gTCmg4;rAYS zu8jHrtJ$Wo$)2sfC&2jDdtSlXeTUK?nH{gF?tf@!ufL#HY}eiW%W4$)m8$1Al(E|j zUwHRHmhB3k&9vRk6PdQ{{@ba+xjx5snvt);9L9$ynQrAa?w)-8=;{iAqwTC)tgFHt zc0cZym|#^TQ|`W)b&EA;ey!=R-skT!M3^5Lvu?TVv^{U~zIp6{wO$Up=e9MJ?T$B6 zD8KXZ&RZX$4R_bpzuUUYm|4AGyKx`myBNl|+Z^tm-1;fILg4IlmMyn`Z*D02ecM0h zeIDb|Ln`mSrE%PN_hjMSeWH9b&fL8h!uBJaJ7YWRJHLDP-#>Fqxi50z-Gli|W|v!b z&pmK=Yh>^a>lw-kyC*NsyRQ{*KXG^SW(k2f{RMZwvP%Ti_&fYwq|drV|K4+zciyo@ zM&DhhbKcl@Em`qr>#-dx?}(pGb=c$P@LQ*3UiRxeFZ*-NE9$fs+&#y)JYZR&yOBb; zRzdl*DVcYk>m)Snb_A*Wwlz-a^ZAbov-U2#oObNB{(|SP`qLa<9t+Oo<T6e#m|xD! z{70TMV?OKc?LQ|bovMG}nDV=JvYGKg0mir7>P8E;eKUz_I_0{&I6ffJ;m3}KZ;=c3 zJ^8oxe=B?7o!uU@XJ#yE_;z%;k%H(PNAC*}1!>Y>YPD}2X!v0XDy1%7uiLiN{+VM) z`+V2glFv05-xfbNQ20K1<`$NjOE>R0UpayC-D*%S+Pwbjt=aDj%YFxy37idN`t|37 z8fV7*dP!!l{WH$iwnp2$`<%t|;lEbGc2lukza~BQW;z`|^Kk9WLZ(~dX9O<nD-dMP z(w}#@c53yxr~4jMvbD%_XUuQy+xP8n^!>j!@3j3yFYL?cYxs6lTVg`h-<o&XHWiHD zUDq2ayem}9&DJUTrkcM_ZLdE6qN-^P-z=wdXUwm*W-h9qf4X+2y51u@^`wT|zmwi= zUQoOCvNzM`_74iPidnau-yP=g`_FsB1z+BK?^yrg(9%24YY#Eh_gCIYU$}UEY=qq` z_KC3y3u+H~F}~$?X1h`qcdmBob(Sw*RU7;da(owkQS~Rc?cBa=f1~H0Y1X)>u%Pzh zTc%s$_GcSH?*F`Vy@O?o{;OKm??>A?GUm6g+oz)U(`){*<`rQqTh8x{cldp0y5xl0 zue08r&Jns`mwtfhUGZ^{!gKq+y}rNGrsEkK<J-@2f*0)epKQ4FPxYPljMgd1d;Us1 zn6I#)R&0fSWxe)qn~pclOt-{slo!-K{LQmMc3a19t=e@@_dN(;YgrB|2K)A1`+WGy z{<F;**I2gbS4BGfeso@9g4FIAzonR`6~vpgF@D_1bSu5__oVant1ASqK4;x>zS7&_ z_nnfRdv~)w@!Qq++sJwSbGvjd<~!OfTlC{o9X^LLzWrb)u;I2;{d4IZi%X6Lz0=rX zUesQ0#r)?e)2+aNcFdb5Hk7f<=gx4qD3C0_q8D*ac4~51fqQ`*^Py0tTY>*InY-q5 zWVla>^SnLl2Ls!Zb-(z^O_r^B)c1mm?MNu&TaW9K0Y`mH0w2FoTJZd#+`)!BrK>YJ zjgHRVc{uVAL;2!{vM2G04x7JA?OO4XjWeU&ejek}+1guJT0iGM<a@8i_M@LG!~Lf} z&k4Q=Z*kLujZC)$=N)Re^(#7)Q|t5fLiYl7=0m$0%C7uB*zl-s`$bpZl9q<DBgT>w zsveX@H65E|y+irdiH0AdjBh>a4>r777`kgkVW02?zVAtFPwMK_bsf{b#^%1L`d`(< z`0h5-t%>)`YO6b0O^$QC_<U5GBcuJlF58s4QuA_=cef76-qV+SP_L1&-Slbf`-gpR zL>S+4q;Y1r@0h~)t$xuB)r|PU)A`YU38y)8EE{#zKm50C{LggQ|ATP_<J+6nZ{k18 z9{+g$`QM*s_7%=tbW3z8hjnPzvd0%@oVNPA>wf6!#Vfu2FYh|{G{O1qFW=pwn%dU_ z*T{U&esx2~W1_yMn$ql~oSZ%bw+YGJGp@wVuTcB<<@xsdEq`r4)$F%B`SbhpJK^=O z;_q$xWiS5g|KaKXrySh5{_l&JuTTB&b4-i<KK1pH=l#My3wkH46%DsvI+;aFNB+1{ z*>ZuHLhXao&kOo2xEN6Le|JsTf<ve7MjpA>$r5hs@!_jBi*SZXNc7s1>8x67?#*|7 z^S|f8tmB;fayis4WMmv$dl)1>_mEQAGohGoHV2>27xGzfal%`}mh)U85q6VZ-{`6A zcof>Cf4EA*w9(DE@Bo{J(25P8c*Hh-mu^~pPw_|9iGZG24vQDgvf^=N5)C__D)?LU zpy_;}8tWnpH{S&pFPxESRBGhP7T?q{_bJQtqrDp*el`R-DkVLy3FM3TLrP`g0x=u! zdF1>sQlH_lc;Su=<2fn;iBEHNlHU8Xgy&juN$>l|d5}el_v+K=2P%zRtEX@7_<NmW zo#bX!vzlrRkj&XhYYQehv~JaoIC5?`%k;OKOwDTS<|Z)pw!Qhrqs|!;@sFwdMlR>N z8`UOeHI+gjEk~V`L0YEPrwUfzzbU4^&Z_6Z>tar|3mGfsNp$zJXsxN6?)ql^ep|m7 z<GBxRSAraEqW`7?B$FF?<lKrvi^7{HS?0(48Z^2&fBRLDqY{w#Q_9{&aQAJ&m_oUO z)6K!LvS+)ooA8PaMa?p<Z{B(pg!VspT@4ET6?V5p7juS0*k&<x-I(uEaP}(8eLE+R zS(}gb8_aTO-Fkat$6RF&z1=e(d`<`HIMMbF6puRd4=9zT3&a%aADmvV1`4qoHA?eE zS8UjHTIrjg;*MASEb;qYK?a$Y34z3aafogFU)+>EPw~e$=O#`Qsac%AKSefjWv6fG znER7u`rp<EpY_2Oe6wr-MSPuB_l;Pef}{2v`(l1OfaBNVQ-TO*NW@QWv5nuYn^xN? z{ir&k2u>5FcM?HqqROuO#{T{Xv-COk-ThSn)?@kA1Egp74A(a{UIjO;CU1QB`6DP8 zQ=a=D^kfp9rk^ahTbpy;jSMZbnw{$4NNLKn@LX`{)bGe6_fE5f|9!Ch#)q$sAUz@1 zWx6MWB4vu}oBxv^%=&nF<HOsFLD7{l?d$<hCedr_QUtB#g=4l|FTC;Lrw+)Uo7nw8 zGSk*43GV*qGH1slg(m&;N}v#{SaZOQNi=NVS*36L%(>Oq&2szj^(fey^XHC%Wa`f- zeKVieEPVZp(vNRkAj4*bswXQqa%KP3)JRG<IhdL*TvKNYa&u&{6exmg?p_W&^3IPX zJjUt6Q(2H%BJ<}oUS!c)bLWYV$j0x<O{>?b{MZGKuuVeXn4jhPM&763>{piicAlX4 z+Wf8(l!0%}jW}}7kY)N^&ksMlK?bELpMSoYNpzZjis0_eoa-uPJUDF*iZ6|AGf#su zuuY5Wo2e|}wyqz(?gxplDBB0lz_mQxH}+3?FiV_s-(P-^Q_miOSa4G(DP8bjYQ6H0 zJ(mx!;53oi<otZG$AUwjiX)D^3u@B7r~aepFe^BE3*W2{0OiJ1ouv1AO{@QTfA}iK zZJ5Q>+m>}s6ddt_-8cT*9yFb+z477gx8Mjl7uf=es@PLXWxrUa^X}%7w!7a8%8WVp z))!84Xnk6~x#RA1j&(PR49#kG>VsT%H1zr-l}0Y@{|A)5{qrlh%6C2S@b$?c(^llg zfC`E=?>2Y*<>y#;^X>K<AKrqKkxBXe29Q0qovv?c{0eT?d2f99`7%h)3Avq|;9RfP zeIxdB+MFGaG@A7F6~HlHC20mK!tU!N<^RyND7?zavi|;-7vJU7E@VX58vMxqz{(b% zfQQ(3RR4qh{pcI@6^vVF>i5Kj3LL$EZ+-o=`c{D>Y8-E*gm^qRa$LF+&=}F^pdi-l z;K0lz#3CvxF-dh{>TRc+3I?Jf3IY>!m>O?iQMk#{9dXjK`2NoK=gvH}-1nq<_uQGq z&&)sX+g`od_Q!|So$C*;_P=p`b@b&DgX3|#41Avp>}}bebX}l=@Bh6UtEV)rulSrD zcvk(x4L9CRVGDlTQ_J!_J8Rip{mWl`KAR_N|H|jzvYb)Rz5JEVqdF<OF5VrJ^$N`Q zo96vg&%1s4&=gySoXOLRzJ1f3;5T{4&%H^Hwmm8c?EOCP{h2%Uzn6VKwsxk-<nqv- z(nNLXOm^G1c7<A3m(2ZO_Hde({g(%^2dkeqK0kImDJbWFZ~F4$J1;bI-`(60?fYPx zzqoy;ww%es)o<)R-T86CzbiE_;(GYyuXpBn-a5jo+Ws!vrab!OEcW!xdt>kI;=T0L z_{8(LjYoU~FIeWCQOSL>t#R$sWh;&qUhge1)V2R$kfs!K^Xr}G2iHbCS*CulI`()j zXJ+tuD}$F&=MUtH?k)ee;hm0V_4?|(KP%>nc;A_N>t$7*+7ez{OWjLL;<9YEPqld8 z*e>`W=-d78cf=2_jd-xE@KWVF-_PB)>1mHA)jwDlywK_AME04Tg@30#fA(JesFHcL z``qtojkY_?9`?rX`JVhC>`ZlkX`T8~59#Vnk$Y!*``+kGoLGCbdHYc|n;*A}?fLSK zDw&%GUN2S-U&4FmPRLWX=~*`BYu}n}{d1t1`+3IG7dO{C?)tOl(Mi{h2hQHBv5Y;Q zTbUW`A2f0AYsnp}&9lO6vZ}Ax)Eq6g=gd1Qw0+{j!sW$x7ECfL3eUW|GfT$Y{ZT-L zw!E!{<@95R9&fk#9{eCor#L<K{MpJSy|yzK&*Bd5eZFna+u)y#?y@p+>hmk}<|T`E z-??_>m%i2UUZ%`q|KQu(LWFln@A!1{a9(GuU;T$WHpjKh9&DTXanY;;cOUJ1en>a> z(X(yV@82{o@r^t6^gEy9E}Q9p;tUI;3wNwIR=s%R*L8o_*Hn7!E^~Wk8D>}+?z;Ed z@6OK$*Kk|k)UbP@nR$8H+yq6J=&uhheYp4g=Y$)*v!6#CWxG>SW_7%G-lep6ZFjSn zw%eZBknyvT-S>yq?|NCG-G!fJR!2PBmVWJJRo*$3+*h4_GP~A)ypyY%EjQ=vx3a&N zWRIUMUX#>0d(nd8J2O0PoyhIpG;Q`GspGNcCy#FVbKvld&Vt9O=Rs;F&Ys5tTI!;@ z#Mk!qfhF0t%-ci1+`D;r*XAWMdtUCW><iT?49{BrdgsguW?!Cc@t9n-^5dPbM|;@i z+#0+se_bgCIR%_H^8Wmr|2OIU%$qwxgm=6SSrJh8#4#<&=FdH_k|$~JSA4#w^B_4| z-R9rn>d!K(XMC4?{m0L1qS?16GAfUwO%v7IT+5!a%e5?XwY2;U3TLsMD?4}1livAE zylPQo?v3wX?rhn1d&{%L-_LTE>)&C0`N??N^N6EN=GE%pgduFc^2EKbJa?{2n`+Cg zcvvvI=-aI4&n&|>9?4CKd%nX_HTTK7OS_7%TIBVa=*Rqgaq#5DyIkAve+5|uo^N|5 zhb>uH$5#+Y7G?FHKxW`d7Jd6gYijtHoGw23UQ~FyXnS|o9&@Sa_qYBZt9`$hHUH?7 zSkbRh@72$-Z`OO0viQe><x6~Lmo>Et<X&y|KK%PZ`T5ubYi~5nKIiv*$Hm}VXYPWF zi*`vdUHcCUIOCtbkUzhdaeLCt+w&rqzusv%dCN+5tD+bCjumg8x~8(g?Dp-$&-XLF z{3Q7V6cXU#!Ut4bxJG*au}ysUa>r}6oinD%S4bEO_Qiw4LTu+tPtc5Pw9~CCoB4cp zT{A9-c6~qBc8#_7sgu_8K<QJcd}-OPd9yh)o&Ei~O;%2qI-je0uKGbD%Z@3R&)b@x z-`K^PC#633`LXx^Z|Z-WGqcy*v~jmzE7wzZxfHcyH~YbcKnn~;`P)_xzs<h~GI!7S zi09=^|Ig3*o8*7a(P&?&a9L7BN_gD^$Bd{cbGh#~l~g_hCCQ>-;Zj@kqO<y6TsGg@ z@c!k_%-rpnXAgfnd$TP53n(tccHFdnX_a@{d-e`b*Kh06AFR8#BJ!gHZ~Ni@`g<Qj zlC|{A>y~)|p3*y4Z+RDE+BaMIEO)qFRY6h7_Cwn8;G*F4oyhCO#r&6!%{vo#wsqyP z;^=$dR{lA#n2)c2?{0`6wwrGK*L|*VvBRx9m#=Koy~^^AZC%Z`4be%{|K7I&1qAPo z+|)fQ)C#s&yxUpeJ$uK_x96;@6*4D3&Sy1$-(2#u@(^08_|f>CU`b(D`2^$#L?!Yp z@5WlSg4>6Ax~IukTxeNewCk&E{`}w7Yw)Cto9mw2wM&Y4-w|P*`}mm4CAYljDO-=+ z7k)OcZ+G6L?#!Q!?S{gMzh^zS;|CSgww(7~TjhCs%&xlD=y|=0EpPRUy_?%h(>-tg zJOIw(kJerByIyRpnET>n=0vrxL63K?JyOYU)59=*Q}ylQc$V!+jkAw=KHvHAcl|eT kEfVC5REzu!hn7cs7oV%%+|ZvpS0L>F@7M7ryRNMV0EZUtJOBUy literal 94714 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C&1bb6>i(ufGeF z%a9=oVF^k==*EW-nq1O=fq?;r@tMJlLvkb3{=#Pv1s~r-=z$^)Uk{YcqxO!5z-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S2I3V{Q!6d6HsFPRw_ zx|tXl7&t&Y28I~{B4EY|Fo_^opv>2yU~LQx8Vn363=9n6E>3!`WvO{3P<a>yRmGqH z9Y6JeN<hb7J%clI6+$8dTov3reOwg`3=A0<+)w=6m(Iz+z+lM4z@Wvzz`)GFuplQt zJu{hs6{;I#D##@U42BF*3=v>aWd;V2{DR`-#GJ&U_{_W#5SM|GfuZ65|Nr$MErtvX z3<3-c3qXPl%nS>_`alve3>IKuVEFg{|NpuF|NpmuYRJqhNli~J0vm`;pFC9Kf2ck$ zsH=lRT;hHF-94QdKtT(_pz&Og-_%3Z8Pp@x8PpBb8PpBc8PucH8Pvno8Pt8$8Pq-0 z8Ps*v!LIa1GAWcYqZFV4<mVU?8sz8$b`r?lu+VXYdKT{J_%K)J5dR>sLPmxKVBdp6 zij9E*>^6{Q5Qc?TEYz(b@x>*HC8=P2AU}g*4TQrTJwxJy9eo3QTp5Bx{DWK>0)qUV zU4w%?{oLby{QUzM{6j+mLPHq93SIqNV2VMOYJhBFU|<M>(xp&(8I-;Ur8Pi`85kIf zq4Z=ZeFaKG6JAhAh$mDBgn~*l%z!4;N|4JL7+@0x;DqYp<gQ>~V8#GSpkMy~|G$HQ zfnfy;1H*(e28J0N3=9)I85jb5G4TyQ28IRM3=A8JA$Ud!14Bb814Bg_1j{fmFo19s zBLf2n!~6<y8H8+SWMDWh!@$4=&7iUj3=AM_2ayH`HX|bg0|>*S2b3H^(jc#Z{0HNM z#6TDnDIgkzL2OXs1hGNtLGA(RQ3MG<F(~1JtOq3kUIqpR5DikRhLj*cd_e{V1|0?l zu$%lKiGslmNe#$2kXt|)q~4f;fdQ1A{qd+Vfuvq=W(T<oWCjR>%m87KIp$C|CE_v1 zih+TFoq>TN3+g_Q86XTY1B5~TvW1p|Wq8!MGB7ZJ!lMa~8c^otVqjqC!=pxzfq{XW zfq`Kb9yOq1h=+lJVKp8#mJAFGAh++qqXy)6J_ZJcvv|~4GcYjlGcYiK@)IabL16>J zps)d9kR70^L4bjQ0W{|XOEaLb5N2Rt0J#Ii4rE|p0Hp(2MsNWRG6IZ2W`NQHNKHHg z1A`C)0|O}DL2AJY7#J8pYCsqicA$6%nQP4mF%O#>P<(;h<bp>HC{2K}wl5wvNem1O zVhjunVR+OeGcYiSGcYj3<52^u5JB^VnRwKsGB7YmLdz*!eotdyV31;9V5r4oPC5ev zgEUf@fx-$DMh3H&d<D_S7?j6B@dgTeP~HW_GboNh)fb2c<#|wk2ZcRIA1GfRn7QOD zD9%CZVKivs5|k!E=77W!W-a*&Vyi>*1jx-GGe8(54>Aj87bvfT#6e;p8iZkLHq2V` z732<3oP)&isR8A6LTW(i9bFA5?}N+**#)u}ly(TI0p)c<YCw6NkQz{4C!_`xu7uQp z@)#jC#P}VQ*9n;e%2zNoe?jrf2r2hKVOKD3$yZPw)n;H|0MVd$*JXs{Ur-pEFfuTJ z(j%zs0;M|`4KfdeL1uu|f!HAZgyJ2f23vf=%mKwINDU~jgUp1P4Pv9K0p)d&I6gI? zyiQ0BD6bPz1Ip`!)PV9jAvK`94pReiHwc6B3#gF{suMwVC`b&X22{?2@;ig_4?|Gi z1c`&xg5nCFT2OfmQwyrYLG?W-&w%uR#Np;D{xSfS6(BK?xw_Cg7nd7MK?<Su4k#ZW zn+q}<Zm!fX19v2~`UK34gQ^9^FUSm#Sx_NxJp!tqz~QjC`3We_L2@9qpz;WxT2Oqz z)PmA3PPK}KhM=|tOf9G^$EkL4^OJm#LTFtL3Tu37E0ENJ@)5dPP+AB3?d(H?MkKYM zJd3UtRDOfi*2EfiBdG<|cj#*2VOAY$I1NcHsNTb=wmsHxA(C29{fATS>sZ4zNNPd# zASm5{(h*1xh=#kN|Bk^HB(<P223ajg9SDQ{=Dvaf)cye}2gNa{J_DHt;)5_KUw~+^ z+QrRJj(|AOxB#`K@u@w7q*e}^CZR&$bOvI>%)JWYK+Of!t@zA+grrspi@9*W%~<mF z1Cm-$cNg7UxLSu;!+%I>Rq&Y`5^u-@H3$@Ds`%7yzHcCfq!!dZfO5gMfx;K=2Cf7{ zO{gTuTu`3|%7v>1F~DJFnP3QN-+}lbwVGJm0Fnc%ow4MrH`E}IT3Fc!k_Tas8$dKn zZ8VZvSlI`X2Vr!zIY??jWgnCaQi>YKGnRa<gGz$j04mR*Tuil#o1gSTB|&Oo<r!EZ zwA}_`gWbEh`N<q4wV-wulnYXV>W0P5PgX-ELFR(SHlSRrYIi{;L25y5Fen$R+H+7z zkXlfk4CP`~`v@utQVS~Mp<JwLzd<EIYR&M)@#5wuTuhK&EJ!V=t^kc*fXW4s5up4J zqQPOduK9@wl3Gw*hpZN)2CjB(^AkBFwV?77SuLoHL{_Vbq!!diLsko`6G7%PtZjZ` z0&*OveFW{7gUkWB6BH1jbOx`Rlz$l7AgP6wCAieOAgKkFC7`f?*$raD%~k(p5QL-_ z<W_ucNJUZ$s>eZQ!OR7PA;?^?67^pOWnc!R-3Ke9(A^7GqWr_K2h4z|1=Zu&+yD+U z<sXLgkko<(2Jxv~jieSda)VFpE+n;}p*VbMPa>%Wwc+upy@I6H2bzA-!vW^E`$%ei z38;mo9Z-6N)kEm!g4_ja%fZqPNG+kX14|DewV<>EG6R>nFQD!PsU?(lej=#_r5$YM zf|V%$Fk}T)2+;lkp|m56q!w1cp}QAmt|F3JSp9}etu~TcSp9}ettpaPSp9}etu2yT zP~R6{I0Pf9h1CI|JcnF9z|u}Kl3G|DfJ<#5R4u4)g{|HMNrTO8U2RYU;xI5UfYf5E zH$l>1wW@svurvu$3rmwAw}LRJJOil(`Ili=uR%MA12q?0y$O;Ao6Eq!Fa^Ycs)h9# zKxTq4%v`YA)x8GGkkrD`4oDpc!_<P^u)Nn`3zAw`_=41dFib7jTm}Y)<49^@=@+C9 zgkfsI<}T_rxQe6}TOA9MhMD^U#DV$^mVQBIf-s7?vw96aA*sbyAA_V}=ECX#P+WlW zF(@s7@&U+=p!^C_3t}@YZhpcDO{^fbp!yhDEvOCysRf(6xcP|)l3G}v2e}o5QQRBZ zYoLIn7Buz(whyEb+J=Ff3!1n=QVYwQP^I8D3`i|le{ipXHIiD;xCU4psu<=5uzML8 z7`%|w!tyaxDXO_%y#_%@YC+>1_{@cssh}`}m8n?Gb?G&TM=}>Q7J<*)93-`%aeCyq z0NDi!2e983H$TZkQVS|ekkx|PKp?fCI0waX5t3Tah6QA`pn4mm7G`cWl3G}KhVD18 zxq7_@JxFSupmi3yTClkc3=E5q)H*}Qj6vpr!UNQ|Ms~vnB(<O&6(BQUYC(N#WVHv7 z)Ply{K;~g}!;@78myy(h#*}fYmA+!|3`s4lU4qrz@^*utNNQp260B-3wi)n%JOj=1 zuyzSnwKLld<dM|E+9g=kCbt_HA*qG6OR%cVZ8va5QVVOBU{!mi%^(6vEv#LFRqeGl zgKQ+Vpm`je;cMD%P=}-zG@g%Bt#!M>L?pEV_|jQuyTKA9wV*i#oaR32HQ0`%7Bo+Y zQ>{+B!6_uQ!T8*8zt`X{lG+e_Y8l!MJ|L+L#iv%Z-GCX?;ee){Fnns?w;6~bsSU@c z_G_DgCX!mv<T%c7_|;}$gQPYRpSe%k4E&MQM&VQYvdtg~No_PfwIY26rATUH@TvXr z(4YfJEoj0Sr{CDi4QC^%1&tHpR$FGc4oNL&UJ9q$|HXy}k<=#ObMK=<!z)N?LF3Xm z&AnV;_#8<sXsi;aTHQ3mUr1^}<J36SK20{{1$FG8=?pYpjZ>}r3I+uvwV-ipoN715 z7#bs~1&x>DRQoB)&<#l~XdD}-+R|GFkw|JY@P+TuTLw8uYBTYvb-HX&kE9kfW{cAe z%PtyBLQ<QJ&)mO{43;9P&B3R3$|HmANNRKOsZDxha1u#v9zM0}9va+6QVSYm#_6}X zhX!wv)E3|~*Z-jbY(WsHy;6uz?T-fr!k~r-G@XHVRN{2Qjt2&6NNS7mnJfFiz!FI< zXn_$<b9di2@Ig`wS|fr}ZRLG~cqFx;xqh5#7491pA*n6L=iWQ_3|f)Yg2wQ1n#*|4 z0JLrdRR4m)w-TSZvUd$uBbi%;Pp!ipgMCP9tMRGba@*h{lG+-4YBO&eJV8=hi%+dy zz2SExwRQN^^41%2fd-wRX{R2aTK_sj86>q0_|&@B8R{dc1<jG*jEgh1hE7OoLF+nj zs?Df13`J7gjL!`dYYa1x)VAPL+gM{*jij~}pW5lwhJ8qC+wiHauQptWq_!QO+Qe$Z zEl6rR@Tm=~Haw1`wiBP){3^p+NNT(Asr9Qee2b(OG+%`?F3hV8!IRI>w9|vn+#i*O z!k`W_RBbOlwQnj7)sWPJ*6`qT!;MNqOC+`Z_{=?0Y3PHbb^<=N^C}JFkkn4Zr?#)s zumDNzBz$U%Dh->E)K12y)~?cU3X<9>_|z&^8m>lCI~AW=(MrQZNNPdzj5x#hRfXYA zB(>A=nR~3l@B@<C8TiyLtT1E;4T?b1!%Tc?t11lTkko?KYvFWnewv{vlG@q$%&jOl z^g&WP2cKHKa>HaKwR7>QJzi#5g`{>KKD7;Hh7*z0&c~-Vw#;w^l3LIrTAbm)Q)aji zNiAr87^m8YrG{6K)Gos32Io@4S4e6X<5R0wY6u?igvRj_d}`;H7>a=^VyN1s_|!I* z80sLY1+7EH={J`WLnkD)%ki1pQ*0Q4q;>^9wf4n^c}Qwk;#2#f$gl-T?J9g~Hy0Vs zLQ)G_cZkz(Jw=8akko?a^>M1bU1)d=N$pyEZkSqVcn3-CI(%xa3JpIYsa=mx?f(Kp z4$y!aG>$jmQ@g3aP!37$Mto{z3k*$>)NaD3c4oez50cu=_|$sm8zv*E1+9g^8NR>s z46BgTZpCMAPoCjKB(>Y{srAk?T!Ex^J3h7Md4~Iu)PmMX<8&`up5ZklwL9^dyCu`` zEt1+@_|#@+8nS=}LZNZI8=u;sOhaiTwV?GQINd9hX=sF`b}v42S7sP`AgSGlPpw;q zVH}d${rJ?HWf+zssXc&C?bLL`9wfB~@u}@fH(ZRQ_7Fa`KIw+LkklT=r`9#y@FJ30 z(3%^Z;j5Ew_yS4oQGDhGr5XN5QhN-a+WHhjG0>niG(8;0r}j^>p$?K-&;}Ts?qy6i zbV5>l5}&zBNrn+fYER))`#r%h4@vE5d}`(54O@`Zg4QYFbnmn{!&yjbLF>SAs_lw1 z+<>I^96mRkh&DWir1m^MwU5;e9wDi{fKTo52L}I;)Lz7=cJTuPDbQpBG>$LfQ`_~x zzzj+4WqfMu9~cB7sl5W-s{ot70Il~!p1;UIQVUuK2I>OC)PmOgA*-!JQhN=$=K!V_ zwB8R{?QA5q*U{91*83r=-HD|32AW#X8hB*2*OAoTL{ke|8;Gp-E0Wq<Xlg-g1CiAV zg8~K`7q`*Wg4PBit2IPYdk0M|Xl)>}T7M+9chS^>)&?T0%|TKN+RuO-7oaus$Z9*0 z)ZRxk7qpHLS?w|;wGYtLg67JR)gDGt3p%q4*$tq%Mr5@Qkko?K1tO~j&HEy&{fngb zF`64dbGgWBr9cS}8W&H{)Pm-}k=2?bseOv37BmNstTqHmE$AFFoMj37eS=ISwa@Y8 zw?Fp`>X6jFz^C^4J%h<eYG2}0d-|Ti3M93!@TuK?&tNZ-TF}{MIQ=&Np1~y~wQum5 zJNcf$b0oEI@u_XUXYdC}?K^yG^X?f4fC>y~9E0}d;B;@|Jp)xFwV*YnIMuq|Gq6HZ z`w^cTtnL~3BdPs_Pp$4fgA^pSpYf>`xMxs_r1lFwwLk9~^dYGQZGge)w-<K}79*+s zhR@tHcMWzRsr`;m?V-B{XOYzYz^8WIU4utRYJcKWJMXT+cO<pH@Tu*)YrqYv6rkzh zH$JsRcMTMf)c(PzHtDW`DUw>yeh{4DYkSwg3rQ_#Ju*(UdUp-tk<|Xj=LWI61|>*p zK|4`#n*0BbK?jmr(B4R#YVY4Mn2V&A3AC3IG%ydvC~Hv;-7(mNq?Q?<+D&&1jw7jM z!KZfC9fLbaYFY8AZM$Re5lJl@KDFg{4A?*wKQukC<5Qb<$3PlMEeAfep?3@nkkoSG zQ)_d_z!ga?7e2KbcMPJC)N<ofD{#jk4@oU(Ej!LQ{(0M=8A&ZKK69VlHkgj2mJgrW zi?<C{BdO)br*_9}gZ)Tq1@Niuzin_CNv$A0wYj$qULdIz!lyR!w!vQ{wZiz+`rS4V z0yPAoX-5Q~THD(O>PTuu@u^k6ZD50>Rt%q7mwLkhB(>uB)SA~DrXZ=6z^7KW-mn5m ztt39Rzv>Kokko?qLEubhPwEU8AgPtcXYQ#w!!1Z^W$>xpS7&$vNiAq^ElxKqtuwrX zq*e}}xwGmFKOm`<$EUWk&X5Jv*oUSE1$=7b>kK83)Pjzh!0BF_Izt^KwMzKRHLNpq zKvJuWPpv|oVF;316?|%W>I^fG)T-iB`@Pn%21%_NBV^w+tp5gD|B2jIZbwq9j?df! zwT26j)Pl}4z!_$%Y7KWHsRiw~!Krq3t>GmkwOaVx&`@jm8cD4-KD8;ehAf~C9W*`Y z;8W{VYbcAP7PMCsr+bZS4b70$>ftk2uGY{WNv%FUwG6d}8Axgk@Tq-YW7vqK))1fC z`!$BMkklICQ+v6_a5IuxV|;3l)fk>ZQVZHsi!;nN*BCxQQfrFO+=VrUe~{Fg;Zs{# zV<-aZh(qJp9G}|68bci<wHEl)2G$t5AgQ&)r`DpzFa}Aj6+X49HHIZfYC(I;aE6(9 zjbRUxS{r=k{-`!wilo*SpW3I@hWn7z+Tl~Xr`qs3l3IIwY8O-+en3*|fKP3EwIL^H zPy`xpj`-AORvRiIsdd7q*0<Ww8cD4)KDD;hh9O95L1#4Jj0?kR!(1e_uK3JVuQqH$ zQtO6KtxUDyd?dB*_|$S%8}2|->w!=0mny@HNNPRtseMvq_!3F27e2LDstg%H160s> z1MPRj8D<Bn3?-4&`rtEnO_iY`l3LJN7&y)Ct}^sQQtOA$+>$E8L?pHT_|(Q#8CD{x z4Zx??xyo=NlG;FgYW1rOS0bqm!lzcU%J3kP+F*QYIjRhABB>3*r}jXl;YTF3pfj>? zhHrbNAtz{H5gNy!Ge>Z$&95|6L{b}$&keqnhL%WbBk-v;t27KmQX9z#IsXgRh5_x} zKyJfCAgPUFgq+y}Qw!RU0atsg!mt)eEocueXh}UxEodJCT<zlKCkv6(#-N!C+6w_! z+gV`<UAhSEl|#;&0F9I45(nvpD~9e>0l5X2IB1*|T|H<#m0IGs+(|8Q=#&`vj3bag zK;stp!UvbVFmpg=fH24$&{Pa4>_B`N28n}~yyFT_T;`98|Nl=;yihCLaK$e!^Kr#5 zsp9b^`2`FmN%3i!MWK0_B@Bs0$r+jPNCN3ONjVHq*?2=33#tw(&XALtml_{$Y6xQ( z!5F46h6RIXUS>&VVvd<%yaAkT8E*(<o14ZP!Pq8d@x}~(sU<<F>50X~ndy0^X7Q$| z0_O2%r~(%8=BNUe@fIioMh5Yg49WR<B}Mr;Ag{(7BJ-dg$}dPQN-W7NO9iQ5K;gw3 zqVl0WGlO~B(i|#cX#rzcLK%hz25=TkxuF3pkPHos85n|{<CAmple0mLqSWHl5)dOZ zub{LfI5D>%Cl!qwmY9>70uoEh%qdANN=-qiLKT51bPo<<a1RM)a1RF^^5^5i;2z`( zIr6U{zO*2|B)5Pez{e-v$KTo89drtyyHmWokCP9Bv$K!4KZNP#9P9*Ty1-~ph?IM9 z5cqsY_mE&P9T4Q>0%pK$0du@U9NptXV0s}uXK#oJ5S|OvJP6M>7+EeTI0T97>=+Od z8sv&3666}}imW2o!_y6!9~$7|7=of9)Gx#p#n50^A44P)gI#@$P`SpaToWX2Xs~M# z$aBaBz{HSEfr%j-1rvjs7v%38AMWWA;=vFCXMq9|DhOr<hd2g>FnB_fjAuL~#dyXe zCm0kSBpLX}qb3dpbODI6VCVRPqWt94;^NG_beH@*aN^6!PfQ8U$V`JK%*+zUl$4^> z;$l!D%*;zJLg8kX1efN5WWc%*%t!`Lbff*_!D_%J`^RVIAq)ob5axn-5M!Y_N^=<? ztVjm`csG=60QE*td;ut6@=Aii=U)Z~#ix~)lommFF*eEhdBr6Lb_xtorlFkzEJ))$ zokHDEIPp%QZte`AFhw{Cg$L7u>;sSjxPOopfI<f%8C;r+kSKuVc9;+%Xgm?Ygd$m* z%K()^7zEM<3TZG6Qw<7<5(vkK!9N}pXp!;$AaD9(MhR;4LzD$O$NQG%lmw*~m*#*I zL2hYIi9e{k%u6w1hzSmgkBl~njn9lXG>p%SH&8$kGQt!x#uPHa6f(sWGQ$)y#}u-_ z6tct+GBUsv!gRe6rt6I`U2lZxdLvBN8)3TM2-B%Xm`*jubgD6?yNog2WsK=AV@!7$ zW4g;2GgOT+oobBfR1-|6nqY>i38w2!FkNqg>3S1P*PCFv-UQS2CYY`_!F0VTrt3{H zU61JoOebKv0L203n3)A4gc2|iA(ViD2%)$DB81`uh!Bb!AVOFiff5Q}Rj4@wB7_nO z5Ft#bqNaI>Dol47VY&-78-O)p`WiLSfEA%88i)`|qJaou1{Z3gfvCcC7HXn_sKN{` z)I<YTg_>v}LYTp2is?sFOxK%Yy51BsP)#vikEst+8>TK~|HT)jrbEkh<cxtPYzQsx z(S(h#3manyqofCfAz)!lv%$icW`l)sm~DdHN>l8@X4r+zF@=%p4<u*D=fz`jb$nht z7DvbD#bde|IaMIc1&Lys3lhaN7bJ?^TueVBCpd&{AW=-)K%$tofkZKF!=8>nqL}7_ zL@~_;iK3Vb&PRqADG?!zVlqM)#b|^u4zp2G3qmzY$RUJLQVT*DCAC0=LBWK@fgoWl zE&&N+F&iX|X*Q^6!Sp0Z6w_psRsuM}!OlZzDIf_W7a~Z)$R!DqFmm;RBn*m4Sfdaj zjM7v<5=O3Skc83m71-IJ@WAvrNEFlOAje^<1&Lx;iy7k}wV06t5{1S|QEFlev@;ZK z0IuQ@WdyREAs#s+JaWc_<Unz5pui9u6pv&bC=lc04Zw}^Vo+x*C^bE^xFoeG+8`EE zs-cL2l!IIkYsX_s8XCmL<B>JQD{F*T))=p>30_%Kyt4Qt@wy4GlkmC-uY=6+ImjHJ zqy;`nOC-tCTu?k_#v_-R#c)ATEdkAy2tiQR!4O0$1Q05biU5QlQhNd+2+ANBW+Igd z2$hK30vAJWj3NY)$_RuYH1UJFqX<E4zC`Y>Ayi`XC32Syp%R-fjj=fixt9jlj$8sG z1hIG>xw8XTh}?2T2x4;)a_beL5?i=qi*)2xEnGKpyA~mcE!>gYwg{D2Ey5P=$Squi zc5J>h#g@8EvH234J!VL)3AjDjQZ_cLvDu7fu_3rLM++c`AX>tN2%-fLL=es45J5CY zf=6G_>;a3RSpybBvjr@MW(imfn;mEY0ak|=5MVL1fUp47TxjkCi=nv>EQaPjuo#;A zz+!0b1B;=#4=je}KCl?neZfKT#ihBRDi2aULfFt^6E#bN6d_4K+j3CdND|OC2BrkG znSm(*ZE|8tK${?#63|u%rUbMhf+~R;?nwRsNnrH{NCK-rKoVH}0g}Mx50E&b@JHAU z631pWNF1BZAaQIKgT!&!i!Jy->am3$NE}<>A&-QigevlQ7^(y`X+i5DgqM*UyeK-5 zN61hmK(4|TddLGGs5(&d4%l)?fdNX)XaS3mKnq!f1X>UxB+$YTA%PZ%;KBf8H<~x# z;%JEgE{^6_xHy_q;o@kC04|Q^1GqSv2jJpp?gtkZSlka6M{_@19L@c3aWwbC#nIdk z7e{kHTpZ2)aB*`5hJtv5_{=<p7(buzc+l89I2AxVXb|roAL{4q@8{?09OCMtfK8Dx zel_5Zm!V0#Gnz>Z`WgATsm1zPM#j0Rd8z68zOF9L{(kx;1-hWAr=nEd)RNMa%*4DB zUAU6sqGbJylpKA;q_bXGMhb&|K~a8|ennDgW==}6zNMw5rJg~&r(a0Cfr)`>yn%&@ zfqrIQaY<rMPO*MsPELG5PGU(~eo=0*epqH%VoE+#i+)OCNuquk$O4c>#U&~6Mg|5J z`o$%Qc`1oSDfF<bB(<U>GoOYwWu~U4!n~Cd55Al)-q6s@1Y~6njY1Naow`Z+DV6lG zIIlD}wJ0+=zPJP&jkNbEl7-0LBtOkV7f^tLi;}E^w5$PQ6eRB?=Vzo8mn5d88S0lL z7UZW?mg+|oXXZvE=LaX|r6lE7=!3FEYDI~DMR8_sS!z*cT4pjhuf!K5CTAz6rxxqy zW>%!8#OEaDrN@`$lvEa^LM$NP%ZRx}&>9QR0KGDDd=B=YV`)leen3%vvR-j!u6}W5 zZoHv>QffwG8Q4GiprtDy)4^(sQZgY$fwNDszNvw^iK&?ZL;&RPocwffSd`{v7H8%b z>%058kf{?iPfVs((4;e&T0s-JWNP&Z4KXHPs}cEHO~}`3O1@SzGPSz7IJ=Um)!8v9 zD3V;?`i4fhS&(TlNUu4WdVNAe49V0Q=IpEoI=>OLCK0qA5gCKTKp1r1G6;jtxdCC& zz9kTz!pOh?!n{lj3?N*`#J~W;UziveK-ij@fdPcum>C#A_&GBJ0|=Y4Fff4dWEKVn z5I)PozyQJ=tPBhw?99r*0K%YikwJJTD+2=ve_>@{0AYPL1_lt$Vq;(c;iYU03?Te~ zje!A#W!V`RKp1ouDhN+yXJ7!~3+xOGAPn*&$X_rT#0IU+gz-tGL3%;kL16koOL9T$ z6G3Z$LCe-*;`F9LXXAtJpaGpZ54zJ1bZ-oZ4Z0@}bY8qY0|Nu-ta8v9<e>AyL1%D- z&cFtpZ4Eky8gyPW=)7dmImn<hi$P}wgU;avov#ZzHy3msF6f+F(D}8Xb7{3$85nd~ z85j&%85puy85pWr85ltE7s<oG5W~a30NQd3y6*>c#{lSV2GE@VFgJqE+XuN1<~ERf ztr-{?Kxe;$+zC3X9dyes$i1NR%0cIlgU%O+xfgWCHptDOGqFMO3B$;1EDNA}z$>6M z@|tm&dTjIpki$Xu)k0}>edv6c87rXsL^nWbbakLL-7vMt^akjD!W~c=T_3WakoE0= z?mIgGrP1|)(kZff82bY#kuoqa{D9Kv`ao-xVQP_S0qBBP2`G*3ALud5$XdW`P#y#^ zZs5=d6$U9m!5yGX#lXNY0ZOCW2Ng!q1LAl<&%+FW(&+l2!XTw6m;-tiq5zae*9R3w z(F5X~fJ$6|(&+l2$M1oZqTmjw!~`gft`B-l8;Twf#{nwg0j1IPL680dDMi6Qpz6Vw z-$L&fL{@;l&KV}R0czk5D2;9(XstU;Ei#<}HLw6mqw9knk%O!S%vOLJr~#$X^+Atw z0jotO7OaKjloe1KT_5y_5M(W2cEmb}z62<Zt`Ay#fYl-s0_!3AB%n09K4|fQtOd+I z0abqiN~7z879U`>$ixk3cszj8==z|=2eKA0djd3|XFzFmebC|qtQMK@fCh8`lt$MF zssoYL!`aY)=77@Z`k-6#;L2f)9Z>ZLpftKZXmJHo4yPM7K;oeTN~7z8ZZm?bhcPTR zLi9O6X>@&{dL5<~nf?G({{u>+>jRx@gsdLMUa<*c-v%g+t`Bs^5lk&Iov<0AF9S-W z>qFmf1Jf%3z34{)N~7xo?bU&)MW!!6)!%^9==wnCAR()Vu{*Xx+&KYCqw52mi3C%N zOgn6Y=<|Tm==wnW!;#g)*gv4^8MZ_8qw52;$6#ua=?zfzJD@bWKG6OwWc4t1#tw)* z1yCAYA84-@Of534uoI$B14^Uo1MS;FRu5xGEI_T{koAGspnD==YLV##0{TFAUm>f9 zu`>wh1KooKQ;SR&5YPv@OA=W<jE&wtgM<=HmVp6uKNf<AV4=6q(Di}t%0j3{u+ZCQ z==wlyRfK8;3%z}Yt`F3{MW{xw(A#I|`ao@BglYr}y?utR57drEs7A1EKnuDDP#RsI z5ke^n>jAW&djX}<^?};#C>o%g6VQV00+dGA2kMJJm7-7^patCyD2=WU)HguU0Oibp z7IX`sG`c=ee*&r$g=&BnbRAF{T_32AgrWh;$$%Dg1yCAYAE@sFRf<BPr)y~S30DK^ zi@`Za4D@u3t`F2lLQ)QAqNh)EeV~37Trm;@J-wjovq4ge%tTKw==wl+eIcudvC-2D zx;{|f52hBGMo%y3`au0fWc4sMdU`?E2kK+O)FRX9=>=UMs9%b#9>zvbFX;L}eOZ`V zWEwrcqw53p*OAr3*a6TAH3CYb>jT{%22+bnTR<yR2PloM4>UG_tRBWzfL5p)P#W4y zgGn=h`sr{E65|F`{R1eCt`F3=M^X-Fo_Gf78D4<W$ofEiR?v6=Trm=30<;330i}`k zfs}#9JwP-%_JCI40Z<xUA81SrT@Q$F@EYPy3n-1O59ChJon#<&82xH!#m@nyk@bPp zgT^{QG&&A=1+gyzN+at7*#{cW0qH^S|L=g>a{x*s>jS9=jj4cWbS&@&VxI(*M%D+i z4>S$~(u3arFMu{sDxfs7K9G9!u^W&W2v2wcv2O;HM%D+i4|KN~NFAsR1fpSB1KO}L zfYQkNKx#nuoPlU`T<{WNUj>v#)(5f=bmtjJ4|@6k0BX+*D2=QSq#iV$2BOjN2WU9{ zfYQkNK=y&|LIdf6xC+FA;2F>c*a9extPi9DbT1l+M#mSRml)lE(#ZNi_JPLyKzdMH zn+yyA(1u$Clt$JEQV$v@1kvbt#dC<uH$Z7*eIWZlcc+2$fY=}!hB=@OJ^?6=tPi9H zG$sk6(eVMO`V&wZT_5O9HFP~7{(|=qcdmfa$ofET1KqC%QU@K@0WrZ?0@_eifYQkN zKng(PrXU&}Z+Hi>ZwHh{)(5f=bnhBS5Bm620JOmu0i}`kfz*TUU<1+Um;>726M)j_ z`at)wq3Z$h6QB*g3@DAR4>TqWQiqNupbb6+D2=WUG>(j}2gJVsReu9Yqw52WJ%iMt z;|^#;aRQV^*9RJ}M%M%4J3t$X9#9%xA83plqz)bbfU0MJHW<<MfyTwr^?>*rpz3!( zX>@&{v2>6+besWgU=~1WbbX-v-q7`c_zKVlrUsNo*9W@$4Wteo-+-!r0Hx9Of$o7r z*8}2DfHq8LKxuS+pgZ9}>d>(Vv|$<mrP1|)?uSFy1Li{;rW{ZjT_5PKIIuc2Vh2?H z0Vs{G4|H!Fniep(0NS9ffYRvtKzGQ26`~Ou&<3pmlt$MFx=#*G3z+)=s{RF(M%M?r zTMn!cjhF##2rq!r==wnS%%N!ka|56a;Rq;=t`BtQ99SV5!2xXu3qWafeW3g2(6oTL z2cYUtKxuS+pu6b63ekuPXal+dN~7z8&1<4*V=#a=pe>*@x<1eyb?ACP{1;I5AD}e4 zKG1!2Aa&??0kq-00!pLn1KnMRt_Q@AfHu4npftKZ&^>k_b?8_C+VGZu(&+j?ciN%r z0r5{j)n9<p==wnS+kw=f;|6Ghy#q?4>jT|&hpq?2w}3X-9iTM2KG3~)Aa&^Y162JF zD2=WUbO#=~9uR*8w4uKNN~7xo-G>KKhmI4V4gCx#jjj)LHy*kk5MKh?&{u%c==wnS z<bl+o;|oyrH=s1SKG2<c=z2i>4rm8q0+dGA2f9BGqz)ZBKsyK?P#Rqy=q^2UJs|!M zsCouyM*&?Q=w3aLI&{1Ns(uHQM%M?rV-H;qh@S!NSQJ2MbbX-v_CV^;u>!PXp#i1Q z^?~l*L)Qc1-+-!r0Hx9Of$rf0sYAyTpdFGKP#Rqy=uSR#Js`dZv_ldArP1|)?&kxk z!@$rE2?vx$*9W?*4?_<F1H%re`U6lJT_5P)K5Y65pdFbCD2=WUbcY`{eHze?i~*EJ z*9W@K51YORQ1vgMG`c>}-G12g&46}*7C>oqeV}{(u;~kcc7P(FG`c>}oqyQ$aX>pj z0#F)VAL#x+Z2AsB)t`XU==wl+0b<iv0qr<7KxuS+pnCza=`(<KoGhR;x<1eyf!OrD zfU5rhrP1|)?hC}GZvnK!wE{|`>jT{#h)rJvw8NDErP1|)?h(YMPXOBCl7Q0a`apLI zV$*j5s{R6$M%M?rUl5zV253jE14^Uo1Kl-<O`ip{qvimm(e;7u9mJ;Z162JFD2=WU zbO#|eeJh|HybVwqT_5N^LTvgHpdGvnD2=WUbT=V3eG<?Po&uCc*9W?%5SzXWQ1v&U zG`c>}orT!+bwE3Y6QDG@KG6Mz*z`F-JBA)m8eJdgE<<eken8bTKs$)&`j%nS#K6F? z0jhonlt$MFy5kU=)(mKevH(h>>jT|)h)tgYv_q)@rP1|)?mon(?*>%;11OEI4|ESA zHhmMI9nl$38eJdgPDE__JfI!X04R;F4|G2wHhm1xjwlC|M%M?rD-oN%9Z>ZLpftKZ z(7lP+^c6rmuoX}mT_5NUMQr*spdDBPD2=WUbe|$NeGj1OUqESeeW1G)vFUpO?f8Cx z(&+j?_bg)5_W|1R{Q;%X^?~kO#HQ~Dtm6yq$fD~5-M@%U9|N=l%K@d)^?~kU#HNn} z+JO~-(&+j?YgMu76M%MLC7?9AKF}SF*z`$2JFp5+8eJdgzD8{N6rdeg4JeJS4|I1U zHhmh<4y*x`M%M?r#}S)8184`<0!pLn1KsI}O`ip{1M2{#(e;7ucf_X80osA}fYRvt zKzBW2)8_&0zy?5RbbX+EAF=5RfOcRbpftKZ&>fK2^hH2BunABaT_5N^NNoBNpdHu@ zD2=WUbT=e6eHqXWYyp%;*9W>M5}UpPXa}|eN~7xo-5H5ZUj?)S+W@7}^?~k>#HOzS z+JWtW(&+j?cS&N?*8%OoPJq(r`at(eV$(MP+JT(`rP1|)?wG`;Zw9mjy8ud~>jT|4 ziA~=EXa{x$lt$MFx_c6vz7^08>;@=}t`Bq%B{qE<pdHv9P#Rqy=uS#(`gTA&um_+t zx<1hTl-TqgfOcR{KxuS+pt~xu={o`Kz+QmT==wnSR$|k40osAR0j1IPf$p%xrtb!{ z1N#6<qw53RXNgVU184{K1(ZhD2fEu5o4yy&4(tagjjj)L&m}f}AD|uBA5a=yAL!0Y zZ2Eq{I<U|VDY`z;{g>GEF+e+_98elvALuSjZ2CB$9Z>-&jjj)LFD5p92cYUtKxuS+ zpgS_L>8pTtU>l$`x<1f-nb`ChKs&G&P#Rqy=<ZBx`d&cQe}K~H`at(+V$-((+VNch zrP1|)?$pGlF9O=}O@Pwq`at(<V$&x8?f6PSX>@&{yEd`uI{{UH0ZOCm1KqocO<x1F z!`uO-(e;7u;KZiS0@`7AfYRvtK=*NC)As?Y{s)vs*9W?r6Pvyj(2n*7D2=WUbWbNX zeF@Nxb_SG2*9W?@6PrE>Xh&NCN~7xo-QS5#-vy}p8&DcuALuSmZ2CH&9rOuM8eJdg zUQcZL9H1R^4=9bU4|K;THhn*!>KULNb##58`#!Ph+W=L+14^Uo1Ks_JO<xAIV_yKJ z(e;7u0mY_I0ot+GfYRvtKzD*-({}@^{sELm*9W>E6q~*Y&;fxNP#Rqy=&n#~`aGZm z0s&AOT_5P)P;B}bpaTLNP#Rqy=nheA`gTCoAAr*6`at)IV$)Xu9Z0Bv(&+j?cZ*`v zmjN9RD1g%F`at)LV$-Jp9T3of(&+j?caCDy7Xj^{CqQX*eW3eCvFV!t?J&=P(&+j? zcadV#cLLghy#S@r^?~js#iow|+A-vS(&+j?ca&n&X94Y~IY4Q2eW3eFvFR&-cAP4p zG`c>}-KE&{t$=o9Hb7}~eV}_xvFUpN?O42k(&+j?cba0;Cjo7+D?n*<eW3eIvFQtd zHlQP*G`c>}U8mUebwC@m6QDG@KG40V*z_HMHZV^>X>@&{J5aId`vGh4K^t)B`at)g zV$){;ZAe)_X>@&{yHT;}%YZg)3ZOK)KF~d>*z_%cHZ)d1X>@&{J5#agi-0yX5}-7? zKG6NC*z^fN8yXT&8eJdgE>&#$PC(UPfYRvtK=-O*)7JoPpmacKbbX*ZR<Y@`fHqJZ zpftKZ(0!}e^nHM;{{f}Z^?~kQ#inlsv|+OWN~7xo-NTAaUjnpYlL4jC^?~kW#imaJ z+OSc8(&+j?_p@TtcLA#Y29!qE2fC{jo4yWcgJ=SjM%M?rw-uW{2WW%H14^Uo1Kr_@ zP2UfwdIo622wflOK38n|HbB+yfYRvtKzF-h)0Y8lNEJY7bbX+EUa{#@fHtHwpftKZ z(4DW?^xc4}e*mS?^?~kx#inlpv;j5)N~7xo-35zHp9i!7767Hu^?~k%#iow|+5qE# z(&+j?cf?}Tw*#vF0F*}82f8m7o4x{Q!>s~Jqw53R9g9t$2DIU30Hx9Of$ovTrtbk% z{R=3Kt`BslEH-^JpbfqSP#Rqy=zdvj`U0R0z6dCdt`BtAEH-@{&<39Xlt$MFx_1_v zz5`J8C!jRCKF}Ss*z{FE8;T838eJdgOb2ZG44@4~3n-1QPZ6|O6^q6fQ1u_6G`c>} zJ+)Z0F)V;KFjqinbbX*ZYq9BzfHp7_pftKZ(EYX8^a(&4m=aJLT_5N!TWtDHK-FJ> z(&+j?_u699*8pvpc0g%#eV{vTvFWpbHcTC$G`c>}eYe>3eSoU}0j1IPf$qM=rf&tb zLAwD;qw53RgNsdH0<=M!0j1IPf$qe`rcVOepjCj<==wnS<6_fy0jmB6lt$MFx+@o( zz7A+ZcmkA0*9W>c7n?o@XhYZoN~7xo-Jy$3-w&vI255sAT_5N^U2OU`K-KSn(&+j? zck5!)mjP`+7eHxreV}`GvFTHQHlQ`2G`c>}ox9ld-GHip0Hx9Of$rbMrf&kY;XMON zqw53R#fwd!2ejcG0Hx9Of$rtSrjG&I@aBNh==wl+^kUPu1FHT2lt$MFx~~_Tz5-~2 zy#h+3>jT~0i%p*fw83rwrP1|)?(xN@?*UZ(3n-1Q4|Jz5HhnXo4gCdB8eJdgeqU_* z0-z232q=xN4|LZrHhmn>hQ0ulM%M?r_ZOSK15ouRpftKZ&>g_o^i@DR2n|pgT_5N^ zU~KvfpdADYD2=WUbT=?IeJ`NuKR{`8eV}`SvFTd??O3dU(&+j?cLrnA#{q3X3qWaf zeW3e;vFQ_lHlQV-G`c>}UBcM(NkALW3Q!tdALw3TZ2A<S4QLH0jjj)L$1pa18qfx` z0hC792fA+<n?3_*1KI*gqw53RJ&aAC1+)R}0Hx9Of$ky3rq2P|fcAjW==wl+5@XZn z0c}7BKxuS+p!<oj=?j21pd+9(x<1fd#n|*kKpW5rP#Rqy=-y&%`VycG=nN>0t`BsF zF*bb}&<1n?lt$MFy3ZJ!z5-|ix&lh0>jT|wj7?t!v;o}!rP1|)?m5P$uL0VC?ts$h z`apLcW7F3GZ9q?e(&+j?_a9@^Hv!s!o&lxN^?~j}#-?uuv;n;UN~7xo-HVJ(-vVd@ zdIgk5*9W>I8JoTp&<6AdD2=WUbYC(yeH)++=p9fRT_5P~WNi8}pbh8(D2=WUbdNGN zeG1S9v<8$$*9W>&8JoTvQ1uU>G`c>}{mR(%O@KDMXFzFmeX%(DM;_3IcL0<|*B6IF z9|N@E%>kv+^~K}Rw*#vF0F*}8mw-cG0kpwh0j1IPCF0Ph0d24wKxuS+NjUU9fU181 zrP1{z<Ipz)+R$GBrP1}J;LsNUZRkfpX>@(5IP`Hq8~OrJ8eLx+4t)a9hQ0)pM%R~) zL!Sh+p|1d?(e-8E(5C=x=xab}bbXmP^l3mF`UX%MU0)UseFo5mz6F#<*O!e$p9Qp` z?*OII_2uBu=KyW!dq8P)eYrUFc|aTb0Z<xUUmgy90nmni1e8YCmybhV1hk=_0Hx9O z72wd90Bz`JKxuS+g*fzOKpXl6P#Rrd5e|I?(1v~mlt$NAj6+`qw4vVsrP1}3;Lz6q zZRmGEX>@(1IP`Tu8~PKVG`hYr9Qquf4Sf$Njjpd8hdvKzLq7mYqwA}{p)Ua1(2s!9 z==v&g=!?kA$;_)zaB+g}tAo`&Fy)TW1J@j&^KLNtjnMHg*f>J~bOK--wEfEotxqMP z`GpA@?!Tc8MQvOS2bcw;^k@hS;SliWU|>+<U|>k&U|_J}U|?Y7U|;|p_3@OQf#Cx? z149wyScW0&!=dGL(D@e4&^6g0m(Ru3U<OH!;?WQo;UVw@{a~dL9v%bjeNcN0x%^Us zj-P*mj#u$P`<2h31F06cIx++8kx~0cLx2t;pvS?$AkM+Sz|FzHAi}}Ga0ufdJUTdH z)CzbAfP%jXsr}W6YoH9SW0Wx(0wXsBwvYA?2UX<5+FuonskeA{%u1C#Uijzlvy^j| zX3LY`xkjx{)K>O()pb6dVkMqxwq@mvSt)5Zq9)CJn4Pk0#>_2#osn+)OwJh1Ik(Y7 z`Ls)P_ov=7Vwq|)CAUr6X#2eG&ikLmvAfUhwEJym{=L}#J0o9vz=_>Q&ClNccGjrp z@C)z8g%|i1ueUQ*V_UE@SVN@lE5rZYzxw7S|9SRrv6-U5fn66FqTeUI-k-a@x@m(M zM>+e$bqZ@Z56yMFVf8+@y!zFTySpk6G|q14&y%y;QTgM+vxm(v&VoI&%>}nV>Cp*s z_^QCF*8k<eTFqqdtqy-vSk=O`7DR?6Wce_@y~=gw&gzDDZmiele>mXFvon2*Lwz?B z_vJmj5B%g0vvTREiVDOnI&jL9t7Bb2!rLJJJ7(Vw?!WzG)d9ucXweBV>knLGV_ou_ z>rGCWL)ohD!cX5#+xwI2#QXIJ4kodR_y;7s$YGtLAC|CWRl}>ZTs>=p9sUZks+nmn z__>KGIM}UUYVqvo6pMoN?y@u5G6tW`SWEf?65cs;y_moBz@b(yiMYiFE`1jjh+BQY z%boG!a?yz2+nF9scJI$vJiA`6x01`_-Rc9^9&&leFF$Z@CfA8+A~$y5V%~njZbzlU z|Gftkn@zbi{zWw|TrLvuZ#t9mWVim;x994vDi)FO)>!aQk5z4&=7P#Lrr_t=3u>n_ z8Q%|e*uL_>o%JFY>Vq0v>$MUr3ctMBcK77QEz8;SCO&*{)pg!;(SMg^_eNLDKM*k0 zSyaF_ta0lerbo{;Hxw>qnq;oAp|qXp62HcV&pVhl{SQdUKlEZ{;qFieyHy7cDzS>( zUwPnyA(uwIOXI|1(SX03n3AtoKM=dmUjOm6J(tX+4DAI!S1<*i*IvN;{%W`Ml$o|7 z7w$$k?kv|>Fh4NiVF)YF`M`vi-dr5<%MYC57ZunW-?;U@w!*(TOwtQIt28GYi`<BM z(Fh99*NwlF)_*Af@q>HUmxGN9t-M7i{N2D*tt~p?{~{*#WG)Re5s6*?j8E@t8hl#A zwCaCwLe8&qyL7%@*EA?xz%<KS#Nv4d|DDw?%Zj2F>~(6KsjsnMw^!p%`HBbY+W7xn zeX*WjCg{gnrnXwvY5!I?6r^mLW2e17)Zq&Q>$ScRhd(Z?X6M2jJ_)gITgByZf6;++ zR;(%Yix1pWV}0^}@qvR=VxK$-sOe>L{$2S%Op@=9tKM?vc9&_|3x0939@7hQ_?yk; zb7x7zvnbYOa$yd?Gg;HxxL)|pQ%XO5CywjI`_%^yRf`zd_%)vH|9;@F+mTDhw>tb_ zVh!83sv#q`aqDiIg4OSu_rLns&eU!)FUaAOD(g12w_3~lzr?VX32~j+7u~owmFq;k zPh<0E(SSc)OwQ(73u=}#Df4SBxD}l6#+CI-`7AB<<41B>O}+;vyfWawqjb1&|B1KB z%<U<5s~X-_a-9hkxv<}{v30&i!jA?fZ+{JiKTS;9)^1O71m`Y2a7$WLz+ZDiVGq-! z<=O=~GIy@EF@N7}8~yy1qDTC~1LwYSo%k2gxOW@-JEI>D;@kctH#)PO*IsZUbCVp; z*${`Hyj(ppiyB`27Ll;_W&FB`NqD;Ug37r}!P~VL)b3|8t`BhdZpr$rY=)kC`#&C5 zu}54Ia%&D;s<tiY=3)P*)b7tI6ZCTn)1v8`8@~Cn9?1_*cx=b2vYRW%c6GxSZLT*y z-|xNtm_Dm^FH<$UmO}j&CU#3!5&NYFjvqUin`=>!A8lyzZ{B44{!ivi$u42q3w{N& z9y6<Ypx4a*Z^767Y<!+Mfeyw-&fA!SxkWD6IW*2(EONm%uyLoq)`I#@Chqv~hQ^1w zQ5PImE@kCuG0$*hp1MDSk@@1;s0$9c*YBosCMwv)b4z8sS<cF%BA)HYy!L6_!)?qm zKW-m%*d-uw#o@V<Zh}JfS}~IgyFIxjPTXJ2%F82njft(R{#p~8lYTZM^V8UG6TCf{ zC(aNv2&i2xW+Gti#4WKxemyI%%DGESY_r5O9GQ#tzHoi-@nL_L*u65lghwLd`ql-F zXYNKFaQLpMo1ySseSJanY`#Bha~J#%N(f+Xotu8@Xj|jM7~PBoU&M416l!*enFO57 zVr0H(ymdk2t>;@C8h>1ky5R8GO*cVdryI9ahV2Sgo+bZRu=19~U14I|^l(LtrPPbF z*O=Ho<zH)JV{6Z3WIoED<;c9yc+a8S7>f_)>l!~jXKI$}h*`?Y6S99XEANq6Q3o9U zPLI0a@W@j)VZqNPF_Q_iEWGtpdA6iyIx^2R&tzo2J4MW7!tVw#gA4Yt+)^4fVcZfD zaSK^_b@pG<zLRVuv%`FMO@Y2@Z9zR_>dV_z`UV2~oVle|{Bh!z=&)PI%6o+^?xC5z z?2p;^9cm8E+a#N@z%ZCw!b9&;6I)b!1|##!@7a#bb1!dcXnd2tWkKV;r%?wS_AO)O z<;lCw#MWhhy@}1KKZ}w1>Hcg-X2CPlwAq=j-rc&O@y0!n)89s2a8O#z%46bxv576{ zc}45(9R&~aW0>EbW@?r?QRB@m@#5YxR^BId%UF57?2fwN@Gw8>z=02<x)}?$+?LsU zgY6La)&-5H9HS06l&lmp39ydjmgrc2rHM@{RX0Jwav3XcPp|m9R%4kR?3vrbUP~BE z*cZ+%^&$rp-sdwMnfL0(J=|s{`y=|cL*1>Ioi|JjA{Manh)j;U;E>TNW)NWK$t~5f z<^mJjs_9vd%o{^NZVuDUP}ms9Es>FTp@}W1RySe6-bik#6Fy(<!k)!PGvECgb-^L8 zUN>RE{s?X<jX4Wgd3d;^E;zhQT3;Y7&i7}svA{YuHq(HeAg8Xo+{BjEpTWrd?s9G3 zvU|%Tw*B+@EC2L**MSPg)Eo6%E|<z4|G0j=_`!}9scFH2?2C8==X4mkBxW5svnf?j z`D9p{pK{d9ojo~O&x|e$2A<YPck$aiCvuBG%CehE^=FKt*$lffmOlGqYjpWZwf+6# zxa#}%?`)qJ&YS=K=JUTlbH2}$zHNK4`m6R%lU?7_+2)B$Z?v(^pZ{O`b@iVUj6#q3 zZ$?`u7_Vx$lEb=9TvMTV8PjfUkqNtf8vmMdY1~<IAXmBI`HYFv-{oFoTDpzt@NSWS zO2@{Fh5vG1Eq0fCbs$%MYiHfVhL4e489E^gq<2I&UHi09DK^9*eJj&ubFBs20~>#` zix}KkdEiko7f0{hCwqTAjgvSnEF#dUy`id$Dal(T!akm{(_7?5%+qFRBbgo78?XFY zsQR{z$>_W0hTYDLJJUsO$lFGibsuWv`jV!#pkxx$;cn4@lbQy*f*7}Ei%P^VZ#c%r zs<vHg!PeNum&-*iNS3b1>ATs%pLc?h#rd7DeAJ})1q}x!xpeA67#DVnM*LgFq-3tK z;a4#0ktnV;|05YY)kSX{5xJ=w^jmv_tuNzDVbL4D+6vzVS-<HAIXu+n`m*l(L0(C| zCdKJ>Mq5;B)-!3<2PJ&rWWBQIaLV?c_sbiOg|MnU*IZD#i|Mj_n8R}?*0lX=8tz50 zK6}k2acAv;)bBqY*ewbCvsjG7cG-bX|3w6DelNIN_tilD&Xt!6GpcglU6}OCT4cfr ztqqlpOhMsV8*1k=8QF&=eAi=r@^8U`I)BF2|5_Kg{iohtRI-BUaIr{0<!+|M>6#0^ zd9of`AK<Wf(Sawrb~_XgI~qK>Hf^Em%lq03Hb*z!{I9XV+)izGYTYCzw#}juKAH+! zd>WtnYbX@$WLkYcz~N0A>os$2g^v@MuJ;{yW~f}RWpTrVDdXKGRS#Z~8*=8p+xpKa za=khC>7cAL!$HF*_sq5|*&o8#+OK_KcL?Ls_1Xq8!46OPSl#499Nw<y>akznaO{S1 z%61>QH4R}gjW4%rE!gbRc(Y$~L3t<B=lI|Q3458k_kVx{X8Sw&sGxUR3ZK?6txjHc zI#1Brqw(uZE{{2D4m?X@U2-lsp?WEkQ7UWMbxnou#jM}9Yc2R7&YCCPsQYf6b;11C zjt}+f8OnE*H-Fz^C$jXqj3#r^4+hqdzK{fym(A8I7@C?_?M=_{{1d}!q8FC%Ns4t# zUO>XHbgma$L}uJw)^Kk!*O}tBH)73yMI&sS7#IHJ(%B!)II&nHLRUkfY%A02>)Hy1 zyP0Nx|Mj4ahyCD$;C?>cO#6imXY7nqx4(I}ydlP?@oy!U#vbp+dpEf>YTX(it`-US z*~heayXJzjex}E=tR`~74_c1b7QAP(DA><6p(b+rg01fZt@^(_<a%)?G~wG^yB*0K z3Knf~Wf`9TE^|r5tUT~&yQsjf(8j0nS_+>!m{$J}ad@N3dhKxGO<kd2ksEUsH(bf& z%9+2k;mmT88+)A?cjjwusPAUt@@1{!{|#}c_kW2fp}IiEsn_Locc+$YV#+$MWl*<@ zN$Rx7j5FE`N_R3{z8~W7JdHK&eTc(*Mb>Bk*EJk;XgvMx$Afic4DXudj$S`I>8-Lz zXu?kkRu?hN4ZrH-?-;TO$T;U5y)fz5QZA1*feD+JHC$0+-6kL6@GPI}jotc&JK6mc z?{2E!z{KUQeIa^9Ly%kJ!>3#;Y8)FcUgcWx*P-!aFIUE$^#`8#$={jqP(h;T_05H< zFE8ZW)U{&QG^n1!RHd$M@ZXM==Ui~Y$Io0GYl9Mg{^sg15sCP_mWk&`S%xF?*X3Iq z8jrk4PL(s+P`0FCzx0a(dmAs<ILuE}G<D{d%240h(0HbL>w?C2JL?{<W0qyPe$e4v zu`|Evh5c^a5*juB+)^LRG8mbc-p_Dke)u-(fWy-Dth_Gnvg+-;%v-l-I5K~H8Fj!R zd7qfc1RG~=iHy06S$UV(uV&>bS$D08ZBwXj!h-VMVkQ&*Zxu5zs0iVf()f2<|IX3Y z<_C|YI1>A0RC%Y|U&G4dvMke)`Ks`ihQ^2}ZmAXX*Rt}cfa=m&;hBugE8k~1GQYjO zrJ?c6`Yj6@^W3>5PS~zv<^2+Wjfssb-|NLqsT*cNJI<$61ebQUUiuhy!Qn@VZo-03 zpcYBh!uTDteb^8BngwX{+Fo&}Su196VMi#pl*hfbtUO!fE;g}U`hSUu%}PJhk@=?i zxv8658}EteW+=?Rz{JM3Jkt@>+F)c}$h~Dj<EQCc8X8ZOMqP0D>K=8#;f<bd#)5wv z#0)09$aG{rEB^OTY>Wkm-nzys(tXnxFKPUiqMM*lJV(srf^8_b#EDu5ZmAcb2G1e! ztqT~}FJk4HQn!MYw`F?<BlFbznU2gCLCvV#elZgPd3%39J~pX9-He1oD*Frm>$?<f z)7y9<Y6&avlJi%X*sQd-ENFa_TK6!|NS4L?rbGVO3(mYx@-H#5vCZDHpfM?$TY}^5 zr6#sh`WcMO6ART(+`Qyax=74GVD?g0-Yeo+jLe-&qb@iU?-Vnbpalxtt5F9Wp1J8} zEZ7|g3fu*(ykE+*7@1q2Z&|>Y|E9Cr{~Xk7mssjMwKEiCt~0S|EzfXdzNi{?z~OC; zZiYf#r<lQoh^4H&D%Z0anb)?7nM~N{&n@xd&4nhmP3JQhnfG4&{M9!@p?-mwfx(Q0 zth^%rmzda`y0<Q9Eb`-)=vZ^5iEY*OU#+`$lss6c%5&rzD7I>w#SA9gSjEa~vOSxT zdFApg3mU(zU@e+rFrhQUk@+qt?d3I!nQZv(%2Qj-`eftNGZl=nvvSwSluEiU*S)Yk zliO?I6h__Fpi^F&twE_?-3uIJj%K;=bW5ydc`GEK+@+Va<q@}clc?*NMI9O!`#L-p zO=bPatYq<xxuYXbiHk!`xhcZ_is0V8#_At!W`B8ix48cIna}p0cYojJIeAItliB9J z^`Gua>dvUzRkB}Yrt#N3EB<a?`d4UA?M#vLX8BFVNqaJ$m9X5~<29*h?$0%47oOC7 zu8oSzHNL<7=~uqbD@&Klzf^v<IeOk(!~50S_V%CKl*asR&g};(_LpnoPVb&R<9vSQ zd+leLr+<H+p`Y>df#&B{UHNnQe&_6}|GuyFDVu3-;<@VXvmfg>9}_8_by?lBs;zRf z>!g(Evmfu@%mZ0lv)uCEZvPpN_nYtb+`#|u_wkvJ>pzqg|KVqU_Cvn*w9Nmb&d+|x z?@#FaU*x&ju=<hx>=}>u8?UzHto-wQ^_h?N@8<OVzvq7T<Ng0_mjBrI8|3><Ryn+X z=HvR3gyd<qvqL6{ET8eX{*PSopXu|>kN<zdSNtcuf9B);bth&1zwCPE^``Uxz4)^q z@892lv%%&cyZY?M^*{8A|Mc4>_x)dFaQy!d!QwyDtIvG2kGv+4=>I1?eU^FHq$T@~ z$ozlF`|Rx1W&7&io94&){;jFLCG)@XR?RWHAMe+h9{>L${@D+GzU+G^W&ZS^H#lCu z^Qz4M$#0+iSYI~l@&39)GXG!BNlX5AuzuSung4T(&wQL8pV{~S-P&hA-v2!%^Z#%C zjK}o_C;naLy1Ci%?3M5D)jmJF8*KkSU3P)Zzty47eylg2{n&o*A({U-&p!L{-X@{% z{~ycZKjq^4eX1YrpFaEX{{L~sfB5$$^!<OxfA*vOuep~0y8q95T)*eh(_6y-pUyt> zalUN9f=@r*uQ5MfU)N~)Z+H0Y$NRt67XSHPpWOHV;Qq58?QN1aemnC21@E&T`g*3v z|Nmes{xkjitjG1AwpjjK{YBwz^Z%-~mj5n)KJ)Ru^`C^Z2kZZrKKmj6<?KiMKa(u~ zx!ao_um7lC{HOo9&PBT)`r)%5*BA9!{#&hm_GA40tiJzSOpe!oTV(mq+MEC4ydUTN zjgQxVS1SI~-xs^e@`wJttiJyrMW6l9&o?{%{|RI9pYZcDAMcN=S@G>){jP&D|8I&F z|0!Q*c)Y$2lz{qYKi)q*<re$@zl+a)w6EA~`LA8x?D+qbzlpQ@|G#1?{&PJX6kc~_ z{@+~u?8o~n&wiYrF2An!!+qP+GXMAT&w9N7e`xU^{<^fj{}1EOe6)}H!1cEI|09>; zKmF&8kJtayEdG<ezJBR5|NS$5UD$a;=Ks&H&wjkWm)rOM-u$y4@6X?@bMyCu_nbfE zW%B#}KeRsc(f(J9<-hLy*^ldEW<B0tb5Z91<fZNz{(r)k&wRXp-wB!jFH4{Oc)vGy zC&bAAGk*4b<8IEMbw20khw0BYN7?<_ZTYXexo?i2%m2rq7}!4JaeYy*<-gU|XFtZ< z=k@(BITm<}{r^p`;y>l*%#PRB?X>*2+kEEZ`Y-Q9cjc|t)wIj*`~UInvmg5MrpNy; zF}uHfufx_q8L{Q}r(I8<`TqK+FGj_G(#>Z*-d{Z5^55t5Gav8A?g;w*V1M}}%YVOZ zi~sQ3<@Wu5`2EaB`<*`|Vz=Ao1;ys~{r{C${AaoUtjG4Vw|jm5ct0|^@Bg2e;y>l< zjgHs9w|-W9i}_1^uHE*h&Goa+XaD?gA$hC%Klk;Zlu%dvr++;tER&1>q|3j5vFnX| z<?gggJC9br^ZvKM^5==2@pqrkeO~vKuWsr$MS085%Fq9O^ZzRI?)`(>XHS%$@8fSj zSA5^y-bfuJm;V36$M`?@KEFI)ajfu@vt3bD=(>`+y6T4~Z$5jXoL=`N(|Y-?JH=vK z_Ut=3r{)Rw?V76npTBJWzVqs3&N}~z{d0a!++6?UZR+HI{5JFL`0KL$?23L_{^|ex zrLyG7$6NDjo}B5Q^G_uIi_9;ZeP`y>Jo&PD&&A4LHapMH0ZaW=K3@N|=lSL5RS%_p zD#}~_^|@~8KY#P*vnSop{W<Z{KYjM|3(srXEq|VPnf^WTx%k#5w|G0p=c;hG_t?(2 z>z{9;E^ql)=lR;J^S)GWItDTNU&!++zS?!`K_30<lV3c~E`9eIu(3Doi~f|CRom5` z+bsOF7v!euU7x?~{LWbXX`-=x(XY$@7d^l5{Gk7tlkR@@?e!(|?ELdAJ&`Q6eev_l z&boUb?-<8!@~gh|JZG`x&l5A{E&slZd13j*a{o1u+~(@(AY<7f#(wLU`TuvO`f2`J zIhkZ|Fx7N_{!;lx5F-66xaMy0i_bsmia$-fe122WJiGMrHPhL_E&^$td)#Ke-E;k! zll$k?mGxI`{kG)%-gPib>rWKF`1~OI*%RgE^AFFr_Mh*5e%54Y<owVV+v`^M;&a7Z za41&p`~0PH(^*&;S=+SRUFV+-GId$?oaN{DR{KpvSo(K4C{~|6-=+8S#LMR&Sf0Q9 z{9-O7VSHKsW6ARi&p+mZEq$u@{N?AA?GX3;cJKSIbz5Qnmz{QZLE&J0_mxfUxosdz zeonkR|D50Xh39_?gZ16Lx%}0hFO^>=Aap)rJ-__?V^!&`{GdqR2~I5+V9vb`iqiLx zC{;gq`FYMYwYk&bDJgyG^ULY~&ddG32TBi5tp5N1V_!A-+}aAp)a$%^f<fa6KmTg2 zITPVxGQVi%sS|5brzi)pFXC~Wp<y6W`0%pEX3>S0&xEC&Ii8lLrzACV>zNX}t1c4+ zT~8aOFY`M(Cv}@i(zKhWmg{}L^MB|1_jT2Gx%Ka@KmY$u@xTB7Ex%ugE+|R(vH4}g z!~J4P0xx<a`Wg@9Id}cJ8TX{2v0EfyPgLW=uUs1Ummcs4X1uB{Dsg*dgO$)>^_TPA zlNPaWHB?T2bwIS^-DA<KwoHu=E+jM@jz4>H-tGx2l|?W3X&MwSW7;LIVNkx5>DOzK zh#k(12Xnb}Y}Yk>;A0JAy3D-W?%fr^xeE^5Qei!^Tyuk=KjX=#Tsdol9DWtCmR+lQ zpmv}A|B+2w8x{Ai<vL-%?7#tTE{%Qb4qW&lS@rX2v#7+(RSmCVSdSTTtymwDkhGO) zR<_8DU7?I`b-B*iENaLLZ`>I!dO^OtCq{<*{l^2oMlwBqpH$X=D7V-vD`%7RAYkcZ zQGvK+2TrAn2<-J~+`5VB(RPgu8zUJ{wu|1V@?(7YUvtCe>pnACQ|_-la8HEw$z-lO zc1*L6SM{^8P0MP0v|LnTR|w<Nd@X~b&Fp!mH6Owk@5)T@c%#UAWqxSFqV)~W<{dxv z)VQ>P=~lb8LGc`>UEU%yeotZg#mXwSZ(&11XyejqQGvRE#;Nw23cofnW#?P@>G6I1 zD|(}&@`0KppPPDRSNIOy^RfJ27ruXTrqOw;n6|=SPu4QMK!<Oyx!&Ae((uQYi^pa` zLy~*r!s#Lba@O8yjT37{18Vm(B^!$b#IHE;SFG~EHXE5c=4DUhJl0%L%!pfaAjFgL zt+eQjpEKF>Dr-JWUtazHz=S*Uq8I7|8(VEB8Od|JTYKQrZxI1MjSWSUm=-<P*ibf+ z>CttK4IASaPwp1Ik)@@uH@0!^T&@#E+hSy@g+(XSuV-R*hq-xI_>b=gF8FSEy}IJj zfrU=#nhWNHCp^(&4cWi?z>T?FEAoRA9&xgolxrAlz5UUMJHtO9L2XsTI}_Gt&$Jip zk7@Ki|KY%0W}P|fS)0N&Hhhs~ZOIQ$cq%Tp<LZZl@x2+<2_A1*S&!TgNJ#!8Gq?YV zB3F)YsKYN7)-ofm6Lo%#d#`exsE=-Jye$&&XD5?$zt)03=B#dU!49S-;d*@=n?(Zb zof<nW<#u?sHDC0c_g*ybG{5cVcDY|E#WxQu44NP6@Y{$rZQs&{ce-3>Ohqo#M>MwX z*HZY=#pIoD>zKy)kXbb1=LV)ln?)m{S2a}lHD<dXuzmV4;qr}%$Lk&k$u>RV<;uCc zrr}F1*BhHBjnQ)Qf1aNFmzv<QetpBA=w~Nu3<LrlK00&poDFjLDZ=V@F4*C#H&;*W z`i82|#?D@@47(KvuFMy`P#4)a)BODOZs+oP)@ODr8vgmPid}-bI_{O|JGmQQA8mdu zY*YRqV5z>ALd{$zZTkR+l4hoCehr0xJxtR2nhKIWCv`S#UC(q$J|H2zjcHT2$c^ZQ z4S&Q%cGR-mu|05LYt(`twXAKgxHSH|HBMY^Td?|J^M0wT^?W>?y_SJ`@?ZCg&am)d zJlnz)tggMFwvWkpez?PTDb{EEmN)#n%cb)tit*rbk%(8C3VVJt?X(EHx3b~ILasHk zOB;SLv6`Lx1kH0?>kFdmUUb_3@r<)5SaB_s%OhOm#?D~IoAH_(zPB)a`X7+Mpng(k z!4E#xHZ|=9e+*gOg1A=9TX^6GE7ywng$IsUv6|$EC%l@@m9brP#?RgCd7o-OsPF%M z?7)OOxBai3elNf1z#(^0fjP?$Tskf)5V!PzS0LlXtz2vFE^GJ^#%d-P>hP(Obz5Ap z!>?TKr;jog6i*N{n9!T;$h_Aw>VU()65R}i4VSd<oI2RJzld$u^J?ciwOd|a&3qw! zbUoi61LlRMQ3o7;sz+ULNa+?c2>9D9W+L!9i;?+j_?88YM|QYwI%06aCV*SYqt=C6 zBIEqECN`txprQOZprQOOF@p>H9l50<qP}r`mshhW*zZ+auzs>#PRaY}t@5w^8yg>_ zfhHlUbrTf+%n>sQ__;oO$LuD)KeG=xl&n9xNj77F*hMC`N5NYbG#=B@O;D&@C1!HL z%AZ@pqdt;b>P5_YR-TmlC9J$(%(57ne@+uK5vT~`meBa;&Mn39cILFH&5fUSZ&}cI z;$hSQhp!R384BB6xFuH1U(L#^!WH+>Oi$*A^mT{NyBeFNJpKl7OJw9<Xks(kf0>DG z*ZB-b=AHG~jLd%@2t|5HX@I71Sdu}5;}W_F3VR~BrB>8{<{jb|vGQJ7ca@3lk$I*g zbGAFzcb{d<-+kN`uRFeB!XMDgPE{1QgvWnxZmAbNmZ=rFcdspDuFsY}@$NBiAuI0} zzROH(pDu4*(0IT}H(|kyt4(Z9;-G1t^_h;$6Q#E{G`{i&O+owx4d-j>W+;4IBxZ2o z&fk!|zPw8gMICVX9iW?`P`pLV;6m&IR^BJ)t}(Iw63=pEZe6_>G!s*B`;t?^-vf@k zQ{G)-Vmsuko3Y^25-|gTyDM3FuWSgJCdBqgc<X}3W1vxy$|YhZ7rZhVnO}mYfzGi; z9dP*OrJJ!}yB}z3Y9T9cj=bib*(S0(%roWhINxfozB_x1^rl3Ccakl;nh%)S8cJw@ zW?@>&G8mbk9?0C3n4w^FQ2WkbC-#4TlNMNIK3Kq(1e$#LWdoX)h~bvVSa+$3Eogc+ zBlFv>Q5PJREo0>=*`DRdyz}^$hQ@!NL31djx(Nyvbu;z0HC{Rxb-<xwm6%Du%+;(s zF2<lKwxwbQ0`Y5Ec}=dtr(;e=v-4#w*y_qH;bFgsmA7OU$QPly84Jwcl|*{+{LlW< z|DTzAwZT!)tVQh&a4X~R^JD|%q?tE;I(|;@Jsag>WSp(}^2(-EF=Y<*E}lv$4I`0E z*C!^Mr~03Mmy`BPY17Qe$?P*{ZuLoaxoLFfr-1J1IZL;gY<_ZQe{t;m+V}PkcEw%) zU0(KI?%d7Be@8a&39mESy!=P~;m^MoPh0-H_vija1uNt&7nsK~E)5oyn76v&R1vG& zb?pUVfeAH>nWS!uSgd?`cw6D({VSVyw<oS{e&V;6Eo!&uj5<fgxturmW()h+S8w3| zy7AxfOO4tWW}BI)%nv${u#Ktvw1_~|@&lhrxjJ$J5=vJyC0UC^)CVzkHZskAeEwV9 zPtIqPW-U7KErd12FDRjW8dH(C$PIn11qHoKduQ?IO?;^E<EYo{_O_Po+6zAIU|L)) z5^%eG-kE71PjY1(5uGt-VZ${a)@9<F3pR%}-dwM-puC&ubGc^1hX$tSHZPj3|1j=2 zEZ<=LhcTzD+<D__an~1?tkaIqRpxFv;mEaS-(B|Yfs8EDqSlES9)BNmdFX^B6!$U( zrLu0z4|8~Soa@cK^$mCAMQ_xHF}8-!)!w|cd;ybJesF@t%7#<NMI>SuG~7DM)f2z8 z;g~O%&%Kw;(J2-jVmq%&Nlm)9wBejF*O{VyXT<h0i_EYOVr+ga8u4cZlhbF>2t5sj zqNR{Y0zTGj^~)NL9OjadWG(;kFrnM}_1Wd696`zFCcoUgcR!PnA!}Lx=YzS<3<u4g z*cq8DvG-?e?G||vyRhNXby10Zv5ZsaYZ=@M1Wys{U(;}`j=SX7$-|--til>!@@p@c z9GdXWiS>(KaKgWAE{QwK4m|R&0ZmjNRBD%#k_!6Q&7`ESxk2jO$s9pzpT@5*xjg19 zJMb)qbxD}UhP`o&GuLZv*d55Ya~acbYteuoo0-aco2ow@ZnXa6_;B7I$A|CQ78Jy@ zGUiB`|I&N9cZGcSrEKw2LPj+mOj_^5626GY-w9(A$VtsTcVR+B9Aj@OmrvaKhHGrB z%if1Mq_;C|t`Bm^UvS`0_POadlMGxNkCtmHlx$);JwL=DWhK+<`GF2^qFAqGb9v+h zCKT@mCx?h9SA?CNZ&iy-sQjulQ+M}d(FxVdnSL9K1f0;g5WS}1(sEIWeNl{4^R*3j zc`|Ng7nP{@VeEY_V)3$4{?1>ahTXPI1?9|>79QOsmm$04z!z(g3zm+JH~oJ+_@>&B z5MRaJ-u6U4^uU5O2Oez~5y;Zm@W-3gMJ_bqt2|dnZ>-Yh)V~g_Dt%!I#w#1H%;d_^ z4{&%U#9FpK$l={=t~d8rHXPCyd13nqni8(aO9|aeUwKAss=1ay)fA?z_rVE&cvwxI zX&dZ}VqB{&I%A#Ig5q|j&Gz9A?-L=@1v65OEYCIazgu&-@wb-4M_wzIg~}okb?cdw zGFijUe}p83BVoL}E8`b79O>oCxwo$2N-bATxyXc{vzd15Yb$*JwMQ@De*vq=y~Pgi zOIW9z3rnb2%A}>r>gFyI@OvNA<L_DvK2Bho?Ee$A{G-V=Z?B1o%HLg2jiO8Vf)mUZ zHr&hPIx~N1!$B@qG5hrm7kIgJ>irofR*OXZ?PW@`WxW<3;*j)+Yo?65+rho;2OF(l zJ3f^A!nou5Jcf5g?;X!Ne+iyo<<|H%SY*P_DNMU7<?ozh6?hZ#uGrc6*LCd$A7WXn zZi@u`+RAizw`jo6?M#b>^(Lsg=&m~O!-UnuFD#*G8&j0G=!}1Bn5?dA8T^)I{jyEN z;C|SF2b`>8|DQsF*3#sk(@fpd`C$$ztC&{HhdAU+V|v{!IzdD0g2U%5-2{cw)nX<W z?k!>ENvT`P%3C6TnTf6Fe6}O=-wR%m!4euimzvnhdd1$c^77s3^<jS(t;0~Re7O7d z@(7K&puzU^EJo(3pSLb(d=a(3VEF{Trr?YP-<~e)mg=~_h?U1gD(Zs6Cq~_b1z%T) znN0Y%T+HBtWc5TDcBvElJh>%a$gXAOePR!qyFPcNiLJ|0H$h>G8@H53y(hOs$DK8- zydm{VSb2`TyWYh13N(M+nZ9*F<1@R}-`>r!-ccYR6T`f+!~Te<$pt$vZiy3n;<=?> z%v;FH^W@y+CbnOzw>C6_rv~1u=_V}r;;fsYaOV;en^ygWCbmWAGZ>joMZTz+2-rJt zOJv9_Waag-x}<+6csAc1XDPlruf-=Ff9<Nf<x<oIhhH(e2@5uRaZ8=3JE(srayfJP zq{Ic~k1x3LKB)(}{oUmzwyyoxnb@5CvmKdJ^S8dS<8k?Ry@_pA{#7Qnq~n>6%wI2q z=8bH0GZyR&;+F7;UB}A1#eGXdqfaci)QfwoS$Uq+EobHZGXD}28`uA<O>BGq>RoH! z(){3ol*Znp@{hQrIOJEe@`TteVdZt%44NTe0?iQ21Wmm-a!YyKTgJ+><sE41W&c$s zHY;1*429qFx(N%+KML*i%~YsgA!cA;;l?dB!}zZL9pxE(ce;xi-o+o#n72xNtH7+) zth`e|HTlG^Q5PJxJ`z9Ze5=v=q=CR~i;_U*uenhN9FmrcnOwNDmX&8o+=V8#Tf)~) zygTghEI~J8fweEU#EGR_7Bv3L(M?#e!G~Mw!?jFC=B2N<ENJ||rkk)}Qz*Ao$GZzm zY+3$Uj?5n$#SAV;)USLa!>h9VM=LZE*RFIHH=SSyN)cx+HL-2--qO$*7sxGjB7QL| z4^Q6JCbq8GTN@fLl<8(H__IUIK;Y(LR^E{BvD(p`%o|TeU2w2n$I7FUmf^^(ytwuE zdp?VT{7WSd<Q`|7yV4!yp?j&Rdb!n?<Nq0+POSE*V2r(<eMY8KwBh5@@23ld1x&f4 zHqLs%p`@nNH%)6w&@u%PFHPlKL9dCLO9Qep#kE#+6bkBlG{uTsRG8}O=^_{@w3cI$ zw1dF!Mp11qB`bwV98Jkvbv~=uEth|B`uyXY|LxxYblUgu#I+yik6Aw6zwO<%tf#%P z21~Eb+UA|U&+4MqzQQLz)NL~N>^Z-$`tjWKH`ONh?v}s%q5kd7%^w-N_dX2UdU@aL z&d2s&-|+YTPdndoY;x<j&fe|UZ>pQl%iHLmXLCF4{?z0@>h-tmwth@n&);AF$aejf z>Zkrc<9>e$-+9&Yu-)R1@6z9VdH?n8m-qHr%l|(}wf@__xlgKI=>3xV-<s8*=Y-9Y zSzGxlz5S-T$^Z76m9<Hak3KE?HGTUHb@R`2+uwY7zb|2V{riT>D)qm=-EY3Mw>a<j z|KaqvU*zwmF0bEu*zbSUE5j=OzmuKce9@n`-CQ#2>Fej&{C}<8Z@s*4d))8;J@GeR z-v7Vg_y6DtyQP&E3Lb5&;{WTOzuiCk*=pgpU)G!7csc)0#`5~#b=H3`r@#Gj{#*2d z@=NyT-+X!h_xe4X{a5Q>7v6IIf6&SLZ~N;vU*vN)UfzEzZF&9QEpz{!ytnh7^Z#!T z%YH3C{pRv){b{k8%j@fA*QOcA|G75LB<|mBzyIfEi@%!t<-J_?^8Xr^ai7or3M-v4 z_utnb>%Wt=-+al>-+I};_J-g8H?G!yKQsGZuzndYle@e=^481yKfC7syF35J%lp3< z&;9o|Q~qN03ww(r``m>~cHG#P{`jr=oE5gb+b``b`<1@>&6o4*H(uWVW8&O@Pv5`& z^4`bF^Zmm5@3LjTzWZ&uyuZG4?!RW~w_oJ%<uCvLBGUTr>c3(y=YCmlZ2fog<~LvT z@0D%(c45EGJ-`3wj+Xr@uP*!by)JKg{r~l4zxcn|xxQcc|3iWGU-#2*zN}xj<?{Z0 zXZ`*^F|_`Bd+XePFCDwT`u$4he)Hx0^;<9RSD#;b%lZGmmu0{B*KN35zvHUk|BHvq zeueAbdKoXj!{z<LdZY7x|G#Bf|Gk|3_RINq+b-MJU-J8(^eeN9|L^JYn=kKMUiJI` zF174e|1-U-b-%(_zxlF${l?4oJ1_hF|0Z1aYx!jV>(4LQd%yj1Ui{|E_?#`5_y1Wo z_ut(3TQBeb-97i;-s@tZq$0oJa(%(Hx&IEIzy0#Q-NVJV{QsBio%`=5Z`rTs-*3H) z|8v&w|0mP*7sW5{SI(dN@9xvGU*GMrm)HNVF8jqVxA}5?#O;^%Rv9zzdH&C8ocr%< zl=a`quit*r?|OR6{@?W2{~GpNYyK$z-|P1OT>0BC@Bbb1`+sl$n=kM87q))8TyFqM zq*>N~-LKzz8Gko-dHvrdbN`*}G5#v|Ydine%l5HJ%j>t@^!xuO&H8Wk^E#jT)*v%q z)L+~e{n?)9-4_3hnjbB-NskwwOz*9K^xfmX*4E4W<5HH_Z#wDs|C?{wujTwVUe32o zUtYiO|II4(zuo+|UdGSMU0%ODdwKnL_Of67*VbQoZgw;3DsS1Z>)&s^oF7v5Yx{Rg z_uuNK@)P6|=ijN=cF1n&$3I%XuN>=sfBR+pzjJ>7&((vnTk6#c<#MP0_X^8?eb?V| zxxQfU+<%AX-+Fof-<O@g?mWxhdMWztm-BKPFWc9j^!xwFSN?k4uj$8cyu5FD-|zps z@V8&y^S-$}OaH?E$+cCFn<byWv^AEJm&;oIKcjQ*zpH81e_zjjd&d3w_enM{D(m!* zPWRe7`R9u_{eJB)&R)-*YX7aSZvR~S8#DXQz29q{y7k`4_j|wlS%1EGQ+}@X%lU6? z@0?7SJoo2|JLf;BoiDau%>L$#dwPAzJ^8u+t`^@rFMI9x{PlTf+@IS`wwyQrW=%o$ z(zzhh&;4BzUVZ-E*?({NtUq7;p7HGSaoh8@-}mivyFVQ)|9i2y{Owo&5B=Wx+%YxX z&g#46o##w@Cs)hKCZ^k2{a##bf0gU~!+Eb)zX2P5+xEQe_U*T4_MiLrVvoJm-^+9F zowrTje0`Pl=Zibf+kChA9ut!S)%f)Kp7!c@f6kn%DS5Z~xA^(u^NXYPuY6Ah8T<L) zi!bZtKGwb4S$7g7Z#=Vf;=Pl$+n+;>zT>~^SoMzQZ>+3A#{Q8zUwr=P{I_r)KRr|W z>acD3y<CukZ`z*ye5d&R<g#arH``l%zx==Nd%^i;_O~GSw*UWP^Zm}g*GZ)bFkAi0 zE9}3|@r_-7KGn|Z`%L*amG5?196eW4^3DBu-6@dXx%oF|_MfXQtAASgZs&J?NF023 zUta&ebbf&UyGn!OAQu<!xb*qX=NDNJ>EA2geUN*%v+fQ^%6R4u$NST(_1<kZmY@6g zVr6~Fuj%J2?7!c+`CVvl`_C75(!c+m_k3P;`Ymuc-tqrmeDAO=f8KUu`MJMk^1j=A zx4D%G4n%M;rR9hCzuS2Z?4ik}^PbPU9Sw>6cj|Ya+1@Gswgr?f()V3gtBrrR^ZN=& z#Q$D=dH?@S-;2*TN6LaNeO|Ed`OfDTrC<(T_gU`U&V69N7+0S9e5crYX9zq|T(-A8 z^Lyv>oRwgs>vlfh`TUU>RHvr=C%Jb!KR1D;zBin{GPzpr?FP74!-LIV7w>%jD*+sL zDI1^feEw}IG;$`_-3CSL^|EJ+i|7CRJn#9upMU=U|6Tv?n8T+E#?&jdHzudb9{V`^ zb4bKY%ifIEXGWWU?mLs?c17v*%$c8c(`V^jl1SX9Q!4e)W#YmPVHdSeMxddGjuR_8 zjN_xyEH~!}zC5#Osi5-NX{l50#C$KD^F6=b=KRN+zW1f}yX&rRO-(!VDX#kI^&{nf z&K>-0WWCnoy!7Y#)beTamJWFfnSQUX&FH(k^N8byB?aLbCqD$TPWi?4B`(Mz_0Ci4 zdrPV{77L38So<`7)a1&Tv+Tf=64otgS_ZM94(U^wHkWHH_};<v*<UkZgKy)}*wdRV zdy=?x<R5|8Ggc~0;?rF@Z&AYy6V_|`!464{OtZIZDQt~veA~xlG+Xq>-Bk@T>p#R* z@7#Xw9}BApU(kbsO-x?ungyTzcI3~g=YIGgj{RQcE|#g2m==E)4TxTO;D;4xMb?9F zO~(!|R6Xg-m602mP<19}CcD*R(HXuP3(P$me+r8l%vg2c(NQjmv%v|KJDHTSMI&kh z7&p#idabXaurapr?A~=(?k?jCNcf!rU6S>z<-(-AIL4jpH8<FYGqy&HSnP3TTq>`9 zp*o0h>V6G_U#pn1F0KZxcwFCb?6>F)n>fa`n?-kgx3t@_TkgQIx#hMD<v*Ek2<W{l zl<)u2`YZmz%*6-ZoaK6Pcin-S+wA2<j4bNr6-rx{{77f*i3?2l*;|~_((z{bfmgpp z1g!lUzit+pprN&)aw$`gx5$lszKk<_x!%~VYq&FC<c7TFf)8!0Q;TKZt!}tfE&4+C zH7IadoY&Q;ZBeOeWXcNHFxVHt*xSZ5Sz6>ml~3c#bkPfyk&QR6pPzbn(e{3(&+h{d zJdj`&E9R0o8}K0V`R@mIas?0U<TT>fc?(1?Iq+(`sKD;%#;@~#Kj>3zcpxEkzGa$8 ze2{}y(8S6Owt<W@4~yQIyQtyLa?u;}*EJk67qM8Qwcv{`Yn3i*NZqmnFD`Sf_#4~! zapUKd;*33^jVGN&FL-Gi)UM*sJ5eCOv(s32i^}g()-Pfj2LF9od9G=1_z}$7W2U{q zCd46SdUne8HTzr{H#Un#{GY%iq^`Z8avoFAbgd1x@r*NfG3|aWGU0b8)9=|e1<rg5 z5=9U57pfjMynZS#uxc~YUS`pNp9`24N3veATYun(Dpy9#;sZ~(Shrl$GWa`z$;y^B z?f<HVdu6Q8?wiDyTU$6Z9_80aC~0CkJ^wRgA*Q<?m+s0i5rODs2Y!7QolxP>cvf9> zLRDPj+i=kdH$Pk5)ZP7CTVZ-o!v6|Zk#m6$J~**XSr@iodkEvy_nHP(`<b%#2PM=z zXqGNwI5<K2KcBAWyNwQI-Ph{4eB_rloGauyQy0d#cM;R)aLt4bE{#XuYb9(6ge-BK z!?gN;kVDS**Qv#8j*CvP2x>f=EIPp|xbZD_O@VV;bHa(4Hy5hjJ<4^W*1hpBFPBD% zs6|Z}<I;Mq3%A#5?RI_oma9i@VZ*KCA`<q_jJ=;lXXt1z*y_>v(v<7PowWzv=!;ya zc5D1u|KmZMjDx`w$0uwzb-Syz6H0b4ot6)Ecv8X|wtq##4IS2N`2h||TbX9-vM!Me zP57P3ni3b1Q2xg0rc6<OaKirpRuQ{J2Oh5E(hwDSarO%&+``xK>vpaSa(L^`)nmV? z;n;i88F!X7T+{1L+3s^(<bq{H<4u0;1?8KVKF0?fco4)Ywm$g4iggD*@rw$))Y$OL zn)S#wtqpzB<vHhgEgKR}baK``Y&gp-I$_qD17%^1GmAwt7F2hN8BEw6#x3<?{RJjA zF8d2jY;F9YKHmK-N9KECx(N#&>0Z-LRj`WVmRPYjnp>&^v=B{d{-q|iNx@qi8n3xV zU2v$H7r(=rjs2Zh<O>~c+ba&+R<iPZk-N~u_G$k`CN?(Eh{MtUS&Yn!ZSyZ3HVdem zE@mKL30k^X7sM^m@pS8g#$Vx}E;MN4!_E+H36HxAS$Vg-zs|&FwEtQY+b(9^1cmSN zx)}@h9~b&tR3Z=)!`xc_%R9!A`S9W`4UL!7w=7_M59*?R64lL6uyW^?SaEgBg2o@9 zC76%xbTbrwwul*AsPf~M^4PzKm8Ya^{mb?X4&P*>4miB`0IgmGxiUS=k@+ZSXUF23 zQ5PJ3s_p04S17w9o0ECsZ6|)yfW6_|5*?t0lvn<*VC7M91FZ<$KKrRgqQchoVkQ^t zoVX=U)WpEXDE5KoK2Ap+aCqpZn~|^sv^@0gVpiUY{!B*ZsrI0yfYY}&G~RkW?bOMO z4mI-o3&f}MHFak%n8;&$#o?2LZo-1nU1BB^K;s=HX`r>1tx*RY^0$haOxW+uEg@mE zij`O7chrFcFI;sq7TCNKv-Fba@Bys}1nv0Ps2O#^;jf8qf`VZzw^YWtD@<%bppo{y zr(laoPu!8LTG9AUIqHDJJ55ly`f^KXyxHZ`H;JvyP&Z*gNr#xpgQZ&=8c%_YDw!o_ z5U|^aTdG6<0u!4QXeQv~*Qf&yt3k_G&9fPq=dO*q;4tm<(<ccF%pJL<PRKtIfA{E; zV?iM=k6OE2)`E&jVg?3Q;oMRh;5DH&x)}?0fnt8`<tDaQ{Mn4moxY&p>=rYaAhp@4 zZxY+B$6Fg3eVn+ZUie*NVk=V5a%BFy5)_(Ax)});{@fB8y4Rc7_H3<tsHZ2xB7WWB z>A?%mye`$C!4p>9426BM+!7gYwg&N6ACF%s|DSpLf&CKTv4J%*rIHOF-|oHi(siSJ z!$u{w1*I;+E&|6jl0;8vDJ^ny7hEk^IMGSOGxkZ?f-THTV{S1;uUabN&F<~38M2&J z&~u8bpf5w@M1F;^FrmOTC){l2oSFP%kNU#t^7`-poiDzpFY-I~g4F-0KL<bFH}lQd z+I39KH+So@{+HSR_k6qh^8)MR{g2m6|BqgM_3y6Q`NvLczcrS6e>c@%YCYSxnZ4I1 z>&g5Vo^QSS<G-5UZ;o9Sf5W?d(@ycs^Eb9z{hRt6G*TdbOWib1XS09ap3PV7mVSKq z_}j)ckK>=N{+8MMoo`>oAOD*DU)I~@EwBHZYyJ1P`K_1sJMR1ae<)}D*S&b!3)?UL zeOoT?uQ+<ICVK5T$LSgTe|I0hsc!b)apwuUl^^eAS^r&q_nYR~n!ju2{`>nRXm9(! z=EHBk$k*NT`+u>u?3eoNH(&haH(s{CoxQx??!D38$^YK6mi_v^O#f=l<b|PoPy7A< zWnA{_`}xh6>o*+q`~T3n>{ox>7XMtiDR1g`-t+wbCFA#(G&}3Fe*bG)=l**uTlP!5 ze#_<k#+5VgIsboW{pO3led_Z63Ga&DX3o1W^{nhyzu2vp^L4ggw!fRUy#8Cu+<%p; zuT;OdZ+FD+|0ChDU*-Ffm)CDkUta&S_;-(*%lq};mVA8mHT=cgFYEiaT;5;bHTU1k z==E3TrPXR%-}C$bN5}f_?d&&S^xtj0y#I5$_21pb;V*2z=-*FXUjLrE>{qz>&6n}{ zn=bGF-!b=J^WL&w{Lf>TmS3vha=>mi=d3x2^Y2!Cd^h#h<$~3pBd!1LzQ6tQ{yj(i z{>R<-`~OP5?AP_*@oT?d*k8Y8?!V^CZ@$Qb`s-T``~82SY5n)I=Jgl0U(Op@|NT7q z&6j-rZI}0dZ_M60|KHW{w_nyzzy0$5{j}x(A8=a#ZD0HP%g!(IvPsM9i<;*Cdudtr zD;?Ccm)~%C|KB}x{~eVMdpY;Z`#tCV{=b|4_KUv$=F9a3f3n^#|NlY9`mcNTn=k(F zHea@{J?Hm7=bBWl-rvuM-+sv#FZ(4fe*5M9ooD_2zsrC7MgM>9^7{8@ufLxAWxZY2 z^7`7gx&QuVzy0EGU*7!fvc2_vzyCFh=l*-!{`QOhzs%+J&l{w_?)>t;?uOt0Pts+- zzOUPKdH=54e*b@ITL1kWTvf&Ymwo2ke}^Z(`692o?XrDj{_^^dTetp-`Bm;^{dafy zt(Wot4*LDS*D`y{{C`((zxlG>f5YYd^?h^yHE%8Z#Sa=>__Am2zmxl3fARaZ-2LXu z`E#?D*YCUH_y3!i_226B{|=xY{l@rJuit)I|9<o3{r~68{ns36FLV1^*2n+LzsSqw zE&sn_!)5!(q~-OyZu<Ry6KMVS^Ypi0^5u8Ly<bxQ(a`#@_5Dqk_gBxI`!6+j`Tqxh zW`EuJxL)#i>W+(c3xA3)ZhtE*?H{+vKg-6~yyw5>xAT1)FWcMS_51(L%ldD3^_ws6 z|D5#u|L#ik7r9^4-*36R|9htO-_`GLzKmbL{qp|*-qwFFYn#2Y_1zY>?XKVdKc3cq zZwu#NmHQ>0zuiC2Zoy~I-&2n5zQ6VI{yit{*3NwY_mJQJtc>OLd*Ane@%#0?f79jq zf>m?>9bQ`YtAE>#m-B7Xme&^^R@=M$=eDT1^KZVq|F?VYzo&0*Z)yLx7c|^bd(rQI zRp;D)f6s!3SGM}+?`wQ`y6jg!-<>t(M)I}u)BKCpJpZ|K3A8KtM`m;Ay~F1X?}NJH z_V>RT&wKv-_U^5hzMls7Pyb$=ZL{{(^?T>%UeO2jQU89qeEX~4yYzw{NS|*num5+s zcez_GfqJ0^H(yr1GpM}<>DvCi_;SAQ?~T6-&i`Bp?tQ(TY-_*x=YvJr;Erao{oL7m z)6+KZ|E+EP`C_{1=co5=&)f2E%MWCK2I^M7@4r`*ll^1K_u})5JIh}bgF47+pKZ_E zE?0+jV$0TjFF5}b(qsSDJn#9u?)@M)LdFTK^Y5&hcc=LKU$EUz!)?yne%Ax_cmH18 zIsX+OXoTT7c!c5i|F1UR=iJ-xD?6hfI>xZs_TG8h=f&@?JU5b``#VSeVdcA>KPEwZ z{I^8!qujfl(IA^@r|ti2^Zm~6euxyP16*~w?CX<xuam)j=ilj7^Qz_6Yy$UGcg}yP z_x|BL_w%3;ms+)xvA^neK7V8m>8HN$_xpct|DO5P@AhT3-#ZK%zxdPfeCP9f=8!Rn zcguI(s^0OuYB{(I{6F)2@%iS=^4GuNLmTpPum0Zo{2~S11%6_4zWDr0HfZnpq}(UD zcb4@RK~c1M?|s`>CvCs8A*{{1<X5ZrJ?1<pY<|C)|Dy6;Wx+a#hyL<hujK!3Q*{BP z)wuHD=R3t#-_>6ofF_NX^Phski5u+K+ox^L+loiSocnpl_k#0x!@*Lgo9kE2yYo2# z5lr<K%db5zI=@&M90QYsZO+>+pAL`K-JocF57v5neZ{<LJ-%B@`QSq_b033(Ne>jL zzjeZ^=T*OZyA2w^uOdOwnzH?uf&ARxZ{(j<zO%dwNo}BEjq}g$t}ZV+|C0$EMrFr8 z-zhHaom=_s@BjDznI+aQXsuvOy;S|>R;ldq!f#cxFMeuDbDMcGM>5rH&*n2rwu+tB zG4kHymev{R<}JKgWUHx;@ug`qmQ@_LRF7*f_Pe5Eq(37vW!jCPi#mq66H})he*b-r z`uqFxw=MfW|Eb}B|NZ&pJAax^oUZ?PWzE<B&qX^;;x|rmRWkm!e%h@(A=U-oVwzIF zDXxfCh<GQ_^vjzg%wqb2hg?ll^VBo`C>+%C7ZRzRn6Nb>`R{^<Iv;PcWWTX%yw<k9 zN8X`AW#{)R6R#~~St>5LX7h{%Z!B3}>#A$qSG@6nv8l^nAm<B{<5OR~o;`oW|CDs+ zcn6e*Hysrd3bCJ&&?)42Hkfmo)$9e|jG9uf`6xteV&bS<x$C>X>`7a<fO?+|hup*y zqj<L~Mr5Wp9pmN*vz)Nt#YL9YbA1A8G!IJoHceX1d2Lt!f-g}`rPl<f%&jzR+kEdD z%hPg|8wvkdnpE2b^mfjeZ)IfFwcwK{OZObtfUibPN5cd|_O~Z=x^rgP`ELmMBIL9B zTNumfGm0y$`xAEh3tq9Gl+c<lWU@wZO$n#t<EbpG{}eGDoFwY7<H-x<VE3$gb&Y)w zm(I8*m9L_)llfqjWYeYJf@_Ld9B&G9T(fRo@W+)!`i?`uM{yQuTc3bRmklS_rYBm2 z-gnq=;~UG>`|cZ#>=WjgCgZf@$+PFn`j+&muc);;XvEvJ>$;%M_u{5s{DNyfm^ZZ< z>mA<`R%Pq>SmmJBdsU5D$`NljvmEVLj(BX%qIzCE;<Z%MrE;M)m*+cdNMRMwv;6(# z%EWu#EKlRFKl#!8mP2b_d&1&c4z2pOgo(+VtHL<Ntos-Iy2|2x$1&iuIg7WgYrt>U zrc|@tOovxm&raBB;`nzjXV{M~kWj1Kk@3po<!zSU^NJBqwVD=f7hLl#yXld0lj%R- zfTGK(Gp<G57MgOeH(_ooODex&#Cn&2f6*+`b9@6ndNuW46A1YydQhp~9~`06WBy*h zGV#W-@T91#!YtlzoB}>Ovv|w81pLluO7&A*v3p9wPHm1?>(wF_x?d>JJ?QmcCBvrJ zvTgIJXpXLTE*oyKb9Tu)Y&a&aE}&!CQgHI*sVft&MY1f_SC2>+b-Y>4d98ZNf<N{g zW*u>@nV~ht2i=M}wCWoYCjRAIRo9rXQJ8bp|AvH4Z_cc3oYQvAUhqws<+P1?W5P); zg_xr9!=f{;73C|f*w1{B>%Dr$4*7##u1&|(1=f73ZCWHQyrxXq@#AieYd@GBPo{HT zyY(Q8XL-q-gt>1yPrY;9aBm;WQ+xjn2R}k1^wIZaeLYevQ`f6UEb$Kb`kkfQ);S<n z*C)GEmosZ$bHYkn&aCGg(=6vKcvr}Bx_0`4e?M8YW4teX@N1e{=YHWwW>cur^)>Q( z5u2Derpw$-3Svpvx?Nc0ese-^zt9w$o`ki@oL=+YHk_+uNsVTCeb+JI-*y&h8`pr3 z`&p!O%XHs`J>4#-V>EriD|ZetZGn*gS_g%^)j+|PusrKu#Ve02R>!xuIi^)kSnzH_ z!p{E!SMGnY^2u)9E@X12H(@Eiu!&tu!qoKwBC$RjqUJ96QPgCbr>gOj;b2t1@RYj7 zgt@)zpL_Eu#1xfXTf%XmYPZ0Y^FlcV0**)Zg>y={9G}Vy>TK#+@Jg4Z`>ub$-}5Zq zJ)BwlzOlunW=S{QvKG|Y+_2ysBg^U9P6hVAT-?P0KOQdOxL?I^@Y>Uail0~9WvAq& zDY8GVj-1haYJS8C#}ZlY;(+S2y*GBr9NC_h$S0*KRy1MG`9m^WzNIU&zg!$KqxoE? z&J%@dHSXdIyQj3uJjqW=<YOz}JfZnfL#w5P?Qti*Q|se8XB)FmT&w2#p+MB(M}cg} zecP{r>>Dd}o-Fw1DfUdEP=(tvqprEt(&K8x3CG__V$T#dPimDZd7qTXS5%*($o@Ay zaz^u^)wgzdSxOv>oY8z~cf^T<75ZY&7JT9qD-y76YPDQZJGWKl$oYgsJ}Gg(t_KgJ z7#<!jov<hI)ndM=Sh1oBbu(HmU)UUW;@eavyzY|Bmu)GE>_5vl8L&6oMxJo|_*m!6 z!50N$MFD!74cI4Mjy&P`l}YTGLYXYL<%<73t(G1(N1XU()u$%%1sR7ueD-9)URLhn z37#OEv>HA<w0iN*@M|FZ&i;)Pn*Sy0oN;`Zt@9+ILW;XM;1?&irNs7#8O^8sBTqPP zIe$pz%D+QSe2?@u8nE}C&3~3^?U8r<kj$26n<g}WvC}!@xcq<<-=^am4A}Ro>O4`X z*W)fWh%nS_YR_hD;lJ+q@!QIND+${ZPJE~QHyN-`6puXNXu3@*Jd-bJbL0uf+H$dH z3LDM2Ei>d!9g<lhwsAu9H&A#M^Ko0g&`VF`E0Pr}x=`QHYN=s!(21{2e}e)0Qs2CT zXHOoi5aTWmxLF#+!XFN{spR1mciAg^X^QM0g}93^SWIn|Q8}NM$QN}w;)G)rFL&{U zo%35|Qsk2p`Kpq|iYDC8;9Yk~hG$!%BKy&~ku#bvGKm!h*iC4)?2tL@#J5U)vjO|Y zr8-X*+)GL16I!kA`k_D<6ml8&%)bV*uiUEhWWnCPR?8D-_K1dSvfo`BIivYbpU#s7 z_VZgUHJ(JCIQT(B?Ad}6A#O{F`6my_gy<zJvR@3<Ipg?NPOM0veoCulhRt~=zDXDP z^3KU@*_Ncp{?bzCjAQz}B^+|=m^kF${gOBQdj3B{WF~hcxT3#tt5ouM;kTXH6H_<Y zEKE(hx#*0Fv2uS(+6>*ywXHKdm#55hJuQ_c6{#j?w8>}9))UT2Gm}oM7&||g$&>rA zp|jX(TI3d=z|$v8PG5Xq9-IH}dH>s8ap!;k-aYU4zdCF75+(hAM}n<$f7!41Sn|1E zJ5t5yas8?{f6gt7XG_@2%JS4-^~M6XfR8~<z3Y@$?CDCF_?0DezEVWaGvA5g^-cCZ zU*B>}yD@b^T3f=(N|vqt>JiUGnsz-G)G0S~{F}`gwj-Bm?aaw@+XVi-JX{*=?xoyx ztju`X)>GS9T+0<Ba_yTQ6?0y*=v(mQBun;O?|@y78_oqaZPMnr7VRDIuds<XP3Lfd zR-W&Mz)1@}*|Bs#Q4`RKWNh(XCudx$vaMsmubV91I*KbQc@GAi=FIxeIjyp1!8>l2 z)47%0$KRh<i%8UOYAfalv+7##(UWC$2xpg`TEyF|rbnkau0<F(CY)4k@%GD`V|A%& z-hwY{p3b;tWi33#S9nc1hvQFWPO}J)fRD3Tq+?wIeu}en-*E}}YS(nMjpgcp-wj8^ zwkKJb#`|x$GLK~|zgonz4o(*DFouVdb}wD2yfa_m%Kixnt@DLV_DoDzTFoJ4@3rC7 zevYoZeN305bR7d~_?t}c`3HO|XxeJ$9q_B9sZ>uvqnh<#mASx__kuYePC%;Hoj=a6 zR9<{`*Nm{I*9CPpSvy|+E~rx`;rR8ufKH&|il4DfOZC)O)Y=|2Di^wP*I~mQdyZG` z`G*U_Ds&wW-{lCa(sz9PlVx>H6%({wk{Rrtbzf1VPV%5sZquag!fUq9Tkz#F%j+{S zotabb&P&)E%Xw;ldqQ(1i)c5C^jxQapSM}MW1IrMUT5iEtFq#sz(FB@KZS@Qj)#+G z>qoX`>WZqb*voy;=(q5dx!xP@Ea!MN-)F<2?Hp2O9AUpi9UqIbthVt8_`=zAwZytD zxJJ6k^qx;ZQGC<ZB9^87suAfIS(;R}8wyU|EMC_4Wtza0|EdSsPIGG6Ic&I?%raHE zJRzz($2H)qFH84Z-+;f)EZ#D{0iU~Byyv<GR2v>N(rwyxnq%7T`3wHJHHrR%#;4r5 zU6UVAzvpqm!gs?dcFry_j<DZMjvtLVul-<jJh__V+OEz8U$UA?+gMWPD@Wva?>3n8 zDPQ46f`3z&yuyta98IU@t4BQPfkx-*uPc=|YICmoKQE!PnltNPXTr*K&aC`<hqp|- z*}34I9Lwoc&R6n&8xB3^kecVW;nH_bsd&c?r`~gP-SgdWOP;f<pYvM9(Yv$TxWP@i zCw-HZ7_#5`1^iNID&<qws8%{yCEE1qzVeL+MNM7%Rd2lTYdTf05b;E^X_fx|_HfDD zjSH%}5;~bVv+8;hRtj@u&3D^y=BF^nv^5M(b5~X0yfX1lKF6#3ej5%oEO>aCMSJg? zIG@!Yzp<>|)4SjWBg^XADGPouHJR>n3fSbf;hHMT()G#_>8g%5+c~dQPg?ND`~Bep zvkreqly>LOwKBRjf59hr4l&Dl3tml!GzR@SrtO%vAZ>cW%2JlC^A#eFg(XLoZdZ(W zr_1v7yK2P4!z{f0su3%FF8q*b3azt&wwOv@UYU4nEz8mCLTf&nH%&6WmJpSl>l$F? zx#8SBmQ;S#i1!&ypW>BnJkV+CvR9hX9N66|GbKMUkx!{stSI2GHg~Z=bz`f{mH1lw z@>dFm)j=%f*^DjauNVA$x3b^L<7}cL`&%aN;t7_WtuiI&Qxf@#>_K(#>WCT5htBFe zN!T%~)l%bcYpYDp{NzMFt&Mi;g7_9$Z#H1xTE1~Y^AAOxGmeiZ>pW4|Iib}uW9~sG zzDwd84cO;ugNl4`{hwkTEMIzI_w-hoC;!hJlHr+`s>psc+_qu03H#!?5homf&eb{N z_#^@3SQhSL0qu<wntxr7oY8!wRp-fqpT=U(6iSu3Ei>+)JtUJNm#WBq*O0q-LiN+A zJ9A{dl&2)}alM}*a`5n0hC}VI6Dlr%S~Yg(ocNBZZ!%z?x_;w?<`=9wXB^j_bmDtt zzQKUKcXz}I$4`P{&lY^;;kNY1|E93+l1xcif+G9P?VAnQ_cBMGaI`yrNQTERL6QCF z`b`Gxi$6!6aQwL(<j7y1f;KU%0(LR%SFM-Xy;9f)3fTYW4#}u2dR;KJ=t2!IckzT< zy{$4^_!AQOj6fR88M%umSWj)0`H~H4C<KWW8SLq6wbWRfq{#lb9n|s))_I~}^;z-G z9Lp8C$DQ~d?cQX--g^dAkAZDESqTb0t9h+5OZ*cP`K+FAoY4H{sLmP3_fcX+6ZZGE zT1u=*R%Aaa$X#r(sl9M&QNX=vt(F~c4mt6y`n}PBedA7@CkyIixQi!P%xsl0IS*>o z@QM{(h&y#iCMC?L>%l`vlV;uAUn`pLJO<Ul$+qh*$%t%AQ)EA^!d)D2tG!jGhd(uu zPwV)G3C%B*#GWlEGvl`G&`(U{le)fPLi3X%v1bdef|`iOK}|$%ohJ&rpEi`gUSK}E zRp!ZeP#dur)Eor205s%GzXq}|o*Z$)ap$Q+GA?49Cp5phs&mFMN0Qre#rniVJ|XGI z6ONxN#hxjcW;;JQI=^5!-z`vrn`y#r`9ki{A(<zApcLAwbLQYO$7TP1>VN$iB@7za zkKAzWi{$Z-1q_x2haB|-F1~0DTWM;X6>B|t*K5|^9cQ=btvtQuny!`JT5jK1H}AP- z>oSgK-C4EOs_j+8?ZQsxMNJ+8;w}?27z2;6xg43=As7GezGVIRoevHiZ-4%GzW({% zlUpR?-e;d;+?&tO_j%8eo0YRG<Ni<RyJ7Nhsej(AqwZGcr>*4wyY*i9J^Rhy=l%?w zeM7q}`2RcOeZRHp?LZ<I_u5y+eP#b@c<%M@E9`U3KVPYR{?_X2#&f$l&wr^q{+!S1 zJAaV;a~nxR?`N{rFW0?t)~t@dY51!4+-L8r?biD5!j{)ty3hT0RjTyY^~dwy=0178 zs9t#G<^6xBTK(N^zxwk1`jEN*4knfUYQI<fN$!`s@YR>{{$b1OHy-`G>3HhrQ-80# zjGw#a-t&J>wxP8<KBw)n`g^<emGa%1?T&N*{p;IYExfJXz;o`uhd*C^>CX#YUT@<( z_ut9iufF&nKR5CF1^e6HbN~J9eDx)NTfO7A9XxT>GpzpZ4w?J!-`Q7R_~!*Juip_f z_us`AJ3q}kd#Cx)-K#tQKM{ZRH_vXbPwke^Z{%NnIiFqnOML!{%lq#<bFEbWySn+x z%lP>V{r)S=QLnyZy!S&y=`Z)-D=+2mFZTQYgthe7a`}~)?Qd_I_WgqW|CLsMyW>}1 z-v6iD>hEgzt1sjA!{iGTrSkXfIbMFxFJyVWdF0%G|6E^v@vr+d=}r0Ty<bF1f2G$4 zEwBHlU;0aY{p!p6-&}ba|8|GNJ?H;bldS&E{(SZ2{d=qY{^zw>{rx-j)faxYc#utZ zqUZh#Tx9p6=~(#6{^B^9e_e0N-%NeJ;`07ok#qlj4Sw|{f7<HH`(^*Gs?qzq`|j13 z@8y^K{eMtY`m6o>>dW@C*8Bah*lYE7a&G+O@=Nx%5p)0DocZd@`TT(8^~G<TZu<W( z>$3X0yZXw@_vb^G*KY`$`|n}c`qR%Z+24wv`|o4st1tdVrN5T{4_jXUZJO2J&xzen zY`^H&t?>K*$)ogFxcJJ;`}aA|{daI>{)y+8?Dx3M{de*3t1tcHD=*vsjGFuJCI7uC z=a<%hGcNu0Tztjl{Xb?~{XISN)tB{Qt1j=Ci_v+%uzvG)tG~Bh=Ki}I`s&Mf`Jm<X zMem|N?fl}OAGo|eY~^M9`tZ5`j?PfsH2>dIxmRD-_XRJnuia_&clPhAFYliVUtYgG zZtlOj3n#2!%F8VB`~N|w^q0H&s>}9uF?0VNeSd%2`K9$g%S(TS7hioDzdm$%{pPFI zo96#}`|s74_kO|4_t&qr`rCZ`%1e2@z~%K<zS~#w|9u>L<>h>trGEdvB$fV3FJE=p zzCLd5zq6*^Pi^<w^ZdPJx5n>(-bAave|ukj;V+9a+j92vkN;}F+BaJLUCe#;rTqP6 ze*d3Hf|ARM%l6XSW9~WsuaPhPCB8pod41(ptG}z;ue^-kzsm3bgZ1xERaezZ{8Fun zs-5wh|035{yXPMdblrRZ!sq{!<FCH>_lGa9FKe~>`?-GA<^2_&bN@YE{_4y6rteSY zep$~SxV*l2n$_Ri(pO*Jw_WE~U%%4o@8s(H)4%VS6ZdP8)!)tiS6|N0-y8Jp!hQ>f z+Lqr9pUht=&keu6>hk_NpV}$2^QW!2y#MWQPy);oFa7n~ef4Gg9WHbKJ&b+zr9W-; zW&60be*Yg$4E^NyJ-PC!^s6uH%~oCBfA6L9P3Qk=Vaw~Q7h3(@eH)Z;f|l2B@S0os zx@KKzUEJ%x@9bM<|BtWJ`zO1&_Ug>-cE{d!`d_O2S3B8$m2v*uzt?uQZ@FT7$NAeV ztIyYVPX41k_qpG!<<Tz>>?!>g{rvB>Sh@AF3xDt2o|mw-M(^{rozC_CbD#4y3tySJ z{aoGaJN^|*-#yG*%nst#9^)>VSM6sPoPN*h_u9{OufO<jk5;zb`TR{l>9e)P^MBs7 zvVUN=V~6M5%GYnUpZhz-|HZtGdG%XE=2pIL&f6#Vb>g2rcZv=CA=1Cs?(YA3sC3u- z>OQqKQ@<PJ&;8xQf2H!BrDZHc!QUm<U&_6+{M`?7`1w5-E8p#u__y)To-@(u`%CZT z&;9qbzr_A~jO^NTdrIGJ-skds=ksTJrO(!GzHjyY^0{lacZ#=1&8>WWXSsdd+%He& zO*X#@_R^mFR(~(&m)L)w^Y%~Yp7zSuchc)x&J~|Onh%k`<6pV^-NSjSBVV0~es1?V z)atyg`Rw)6=T8TF>i62q`|pN-FFt?Q6D<FCrPX;`_RBD>I|9BJoWIBh4vvp}^S%7v z?W}<N_wxQP_LI*)owwQ+q`dZ;`U{)ycVbqa1II+&E%p-r?{{<q((hS)e_6lo^PS=i zf1h7!gxP9u<MX}veBdgOXN~7A`+TSP(-N!C*KX#|{p~p&6i%=IJN*I$;+gWxmG5>& zE{7QV_u9+*em{clowO}y2c?JKU(R2sd}p~M4jRTS|F7EKDgLqz9L5z#!asd3I)8HA zD|i^cG~6}6`kY=U$W=4%ul;<d*w7uSbxL*#|M!^Q`wDkwY(H0fZQ{A&^NrU}%7G)` z{dT|q1&iK2pSSoVIG7&ae`)i5jtIoucj~oa-wV#)YzIqy*4;O4-ksu&^C7<Z9=*K2 za?`u#^H#3}OTT^ziU4j<h=G#hZpZHh=kM}KFO~j$ZKr?zq;tjRPu|E+;s1Q?rvLT# z_5a0Y@8F24U`)LQAK|~Qboq$R;-{=<dvqpWjhZsE;`kYr=wn9RXL#06j7;)YH9o52 zn#;SnM`!X=)l)tu(NkuoWIxnB=`*vqtGwjg#>z;`KXvCTKiz|l@SlJG_g_ufxAR=- zI{kaTtlsu?oAkdk+CrLpa#Xur(*DGo?kNyrO<1bUDOJu9wlkS&?M(f8<%S2+U3|;? zuFO-`*!S|UbltKC9j4oqH7utmto_U3CGWMtuXDkhmn^S!l{D%t53+f4Xx($$aM7#j zR5qvB%@o$QU{l8pH)NV#{T9+Ggmi6le9k^z*0;n@dBxrd2{Vtfl;$f$taA^jXFbT3 z%^{Wdg<;v&OV?O>(}mY;GIzZAlV!E6bHE;_4aZnnRP~i3URO0;I?Zt{(hl0!DEoS4 zVqA&pvd}v3Cf+i&6+6@qy0Hs~*mWgL4CYuh&way<r7Tx(vv}*MuBenh7_^!r>wJyC z;m9;G$GdYmrrn;q;Ga#CXcu&F_F>aX<)y`(Qt?iZZk*GGTlJh>_O2U_Y0Dkj;&qJk zS{1wF%ex%cEISsw5oCEi*CpUzHH)+fht|Jo35#cQYW;6dn5eEU02!yq>d4gH#_ITW zyRgniZO5}R2ZP#$uh>mYn7NtrRh-X;JD|>+%Z5YyIi>8}HUvFM<XQgl8Km>32kE>e zvSinkG98@c%rSkAP4+8~*X}G!qgh_x@d)^)*Ys6RQRBbTLAKephdy@atKQJ?4fv_d z(k;TVs;)I*qcP{I{}U2AS95^7t=61b{G8J&>!4!+@0Rr^MV;Q;y5L_-lc=4~g%1Hu zQ}_8?_)*dn`ijMMy+Xv>ewL&8>Jg6}n<l;HxOVG_A<yzJ+gM(oQPh~N8u4BL(s|p$ z$>PnY7*q6-J=oo?n^Wt5W5UE}&Q*2}8*a>4@GD#H_?Brm+7>+9%{lE>`+{#ZO{smV zE20%6-u-6zs;?aJ@H-3dbip+x_KuIQvaH_otCROID|irPakOt2!<uPI8vDc#O3hc% zs5ChkwOn9|-TZ{P-khi6+&A0{YWl?7#2Y3UQge0ko>Lcfnohk}ib&yaS~XuG;*Ces ztNRKOi_Aa+JS^6spVNcggRTo*+1Hvdvzqgjo$H1>+U_&L{#qR5dashPL;9eXyn03r z%R#Mpb&V>%gIV^f8g;w}rDB^VeHU2sRmCy0n(J_6dN*`LV6(NK?8~=a0soR&q-Fd9 zHu`M1c#~ynz0-yhS6M>;du_OJm*uLwdPLH(%QM2xUKiGxssbJ&VHdh$+mo<!z2Fu5 z?u1r(XqUTv?MmgP`vpw)btg=9=j>Xi9`UwxwMAVGJ7j!_p=m1@%Tj-Zi03{{o5Tgz zl#4q4v=`1PP<K4q&S_RPZ$Z%;NuKnHZ(*rzU;ZnPocg9$^A#f=RW!}IuNLvjsp(d@ zfX-#b71h=Ui}(ev#Jg`elpUTN#k*ZK;^khJ-pwqlYi2FT=uFs}FDMf4vf-FMZ2m+w z*ga~y(3CjO4d-SocysK0Qq=2Q=YW5<EYfeB13m_`NXvQ${G83wt)sHymP*7Mwx(DA zRU(pD9nYq7PP>)C+ZJ4{-ISUJo!q%|H~W=`o`1mqj3!Y()r<<egI@a;GHPTGYW-I@ z;aFk`8o_UCl{vCFS?7d|$-Og9e3L+Zr?s{bCmeqzh&@|Stio-1V&0iUGGDTEo+$h; z7JHUZ@OBA@To0>&odo;Q{r<IA4!(#JD+;Ka)oR&sCZ>D!l;&5nBW5(;@Y8v+U>>M{ z_BrB&<L7RjGmcq|+?E;h4<C|A(MwchzndK~qxsJLh!c+g<;0#XFi28lcaCnKZOp#Z zeA9&Hk9|649G^N*R;t*+rBJhjZxOq1?G?wjpw40)XvF!49=D~3S;UOyYgcuiDAXE* zMx1B2%B0LsNaU+Bo_9F(l;b~Moihg&PCD^*$)_apxv_7W(ERCn<c#JMojOkz{E`KY z;2VNQ@MpDJdfYLA52A0j2MswNk2v92ZOdIe;pU&pxNXhv_;k)VzGK#TvY?&;G&3T@ zZ7DJDz#*9_t8|_u)Ch7H2mBP}w(Pif0yKhu)QRs=KWOkkJmQ37(Pr_2;%5uC+HzZZ zERUSgeC{9IPn+h?{k5X`-bc_#J{xzj!Hx;7G9v3iZj}`)3fR}&YT3a9nryHXd#14M zW%mv*%N6;@56P&2W&@tp>6~$V6(Cl0L2si0`%KWJM7bb$@r2!TT4laW-(bMrdK=z{ zmRL9E*NWy#QDQ{~_bNc0^$;P@AVPbq<%+kbo%kNLgWUQUG|*up_H4n{39T|q#5WtT z&y|ii;kfPiA(<~>8zwaWkrI2Bu;F6ce>P#x`|Zt-uIZdPm|@0ksqy~oAsLrv{*aLY z_Tm8Bv{#Gyl0?Od0`@nwT6)ABbK;wIeX{}k%2d!O{+w3J6Km2G+3&vSk<|aI%VU<t zR}{TzLi4|KI%gac{l$t5Y-Y4tYRDc1jmc~_V4n&~Jzs*vo-Mcrnrv7K8b8_x8du>~ z*uiG$v2NC{70uUt9%?;Ru<dTOeDUUp6W^xYppltMohJ(MDT#b++z}@nKgNhXODN&w zw!HD-{P`>S|Cx<*5*NX)a{%2017e$k2nGfQ80`Y(!|0DtK8&tle0wYZ$Lp^$$3M=! z{(DZ;^5FE+S6lq%p6d%)z2xXb&Et_O%2!n+Jtr%BCtaE^>p3~Y{gg`7DZ`0kCuROd zsysds>FHK<E#CW*jAfaMn&8}@b?aa6{9e2L+|I&trMBljfBrl7`|r;?D^Hncx;@#y zf0MVE?RWPSepjWZ|07Q-O?>|El2W<fB8HIKj)aZ9ELYQSEql-At|O?vVyFJWpwk># z^1d6+cnW9a8vbn8Y<RGI#qOk69`BTze(^Sm<|$<Cn3k}VokJ>LA;Q(zaP6YcoME?T zFZdDEWLm_cI$d~8shHzSZq93wt^sAH2dlOVPubs}(5w!gtSmTr^n{L2_ToyGso#|% zo+LD_au?DmQ*!)j%rPy(GvKpV)6yuGt=9!~Huo)fSJm|NpKn0@Ze5GqME<6>%%-Vv z{uh3*G=<t(KwA-CnpP@r)fW)iKQEzIUTDgm=?QBU9bcw%T${ODby;XxdDB-u6^;K^ zO}u^TD}FFG^`28-5u+aQ#HwkPy+Xtrxu#e9)gvCggjR^fvo)4&y^_>)%bR1`NuevY zeF;0i3tzGCPiQ?aY_exs!qWG`Chr8-e6ns@l-zW6+dZzsn)^Budc_67)3@6Nrr1qQ zn0pE~Jnp@`@5?$xjsLbyyzkUj{D^Mqou{;7&($2C?1`-`p}{QOvR(my&$4*WaSHgX z%;FvE6!1H%DK$@VMYZ_BBIc%F{z7XuJiP`RgnroMDcCSI&f~%lfu_)VE&*Rsny%XU z1nhC!a4ej|>z>bsYjata%Bw}BD?8qdEUuWk*TV6qxIoT^o&}GjInAs(7kp~x5ZhS+ zZb)nh4&854s#2!x_*L(q5WmosKgI`xw(%|tt+qR8^jqLctk;G+(j2e!)gu=AT=?MB zG<BcLg$mPyTK0+>RrUw7>XkI?lB0Rjdu5?9dh*GYiPsLYEbUi{c<$1)DP3sI_DKu= zh;y1{2!{MIJm~hCL+k&<go){#tMcrpKR*6VWyL?ECe=QL6_E-NuS%M3i3{iyAB6_n z-Pg<ep47Pn{EurA{pWDugJjdxBtxIoAK$U8-ZN#vi-#<$_s(7LBa<b&X3l~qPg$~K z-2-+xZ#ZYmk}9tr@jkQZ)9t+WhdCQS9(CrJ9#eDj%EZ8F3qIZE5YrS4`73cSX}Vy@ zf2)H++Xb)uVLcesFL*^xSjRZM+Gq7UrKYEGJ^}v?nndq;UHA~vG}X@Q!jGV)&{^P- z`$MYk`E#v;ep@<zbmzSGL)!7=&CdpFw~7f(v1>?}>&$uT8Rs?Y)&+ldvPj1`1$@kA zk-qB`@H3sI+r}y2>w1=M*YX1etKK_pIPwqLW__l;yl+XL>WW&UgGSE<uB;W*`5xNz z%UpQPhv23*e&ID=#G8(7E<3U%?B>h`FX~uUr*d@3J8w9)o6~Ea?}lqfS(dI>ig<nu z*1#$Ek$q|F5m4u{q4DhTEn4qfHe9r0nHul7;lxFj(EF|%Zg4fdny(bG$T8rvHH-IJ z)fKh&2aTjTUY)zac{no9z3G={lV}{MZLZkjEhlGMy5x&r)3J1+HJ?127Omz8`)%g< z@iynR7s69&niAHgb9$ZcF8*_F9!n~}Qp9_Xrcdt`ZagSy>I!Y@U8k_(k8YDIbj8o~ zn7?1IOuSLm^y<ITjOHU{*2y)N8FuqqEj^Y-o^bqKAofh5n2Fo+#k^yOWS-a^bmIFp zeX{|3YyPGQ&57-x$$+j_nI8G{L_V$mDT?eDcXETO!*4cXMFRGqIe<N7K`iSpFmc2$ zY<}_)G<L1EaYFN#pE_q8pBsr4P58?OT0GF(D)XfN<RKXzInda3{RRW}#g@AtXgysp zCn1qf$vfhN<5yFiGmdX8#EJsy<w1kj{jD-4_Qwy&ELoST$o@7uaz?XHK7982`^A<0 zRww?>ZI$_w{=RFq3480^h!Y1tFo-={@Fh#E$lx5P;`zR5LUTqdXz{?bR+%H9k!Gp; zsfz3;cSp`>zNW16MB%RpckzWzy(4E&I+lftJzKEdp4;-o{;pO_2^nj6YvQAAuzab3 zReP(<6#K)6WL(yzDY9QR<1QB1-Q6m4rT*|C8I^e{itJ}~%MORmYQDlGR&?QBf2-vQ znL|!|yFe5DJC(RCU(7#yNJhl&tP@{b_(lWvrL!YW9Q?rtTH$a3H1N;FA-}Hq#j%zB zRx8fNw5*=eTrsEBGULr@C%#FiK`o?<pcWFS(G+>miEoqmMg#V}Y}}S7?jJZL!*gxZ zgyu)gpt_uy+fqZj5wsZNr_PfFyUe+Z1)@(m@x21IJ~q|_vG899+w&|kSiW?^t_iI& zTR?7^c~$4hf@*6}%cH+l<_oC##WnFLXf4LM<U~HN>WvecKRSY%avq?y7!zA9SAZsc z9%)C;Xg)So=ZV72q(r``Y|x?sS?=Nsk@lKR?W@6aGnfC{-THI;8E?+L1OJPe|1+9i z@C~kDe0#HcLU^&n@sD?(Uo2Y|aP!5Y8v%Yny`r_pm3m)XnNn@~^qPp3uhNvCyQXMP zKPPfhM6~-zQIV(kE9<<eSu!h^iArgww)AN}HcH(mamcQCUd^AU^8f$V)xUYO?^(?~ z>(6ig{rfd_>dDi#p`ri2mwz{@6aC$I>eRoF$&oiNb=4oNuYa_D%APK^nOiUEH5`7{ zZfY0AFf*(1(e?rpnZ`F_a|-Tk(=^MGXUtVGSD5gdM}1CNUK_8uhlBLZT#hHja|+^W zS<L<vFy^uZ3!eDXBg}U`yOY=0%|ZI24$G!HTxv4>>sS=510AGK3UfS(+SbOoT)B@? z!93JKI<m`QU$XR!0=s3h3_l~8ZgGSuO?Y`tPEE$|6@$aFFbC<2RxF#ADf?K=E3;v^ zd6emv$2O%2yBBb&&9SRtV%RyA>DCE5cZK?!odys0Y%^x~S;};a<D1e1$(yWdGW#T$ zCae^{;PyoDMBjTZ;lA)vW`*6s4$_{JgQe$dG~AiXboBO=%U^9bJp9HbB7CmzRVS}~ ztb=sr-^?2y;vA$MLru-jEM~gJQU3K%+e!;&HJMU(h20(w(jWKTyRl&^oAk*@jwf-V z7u<fGj=WJ2YI@_uFXajK-VV~0M|a-%aF><uZZZec%wCI!8%pDIW=JvSx-1qrk$0T) z_}pKKhuxTNdE~h%d>8ezczaP^I<KR_Y}0PR6aPDe&t<bE9qt#p;AX(wc*b!~fklFW z*%=nbT$j%RC*<k`eJtdvI2mr9WV+=s&spL6yPz2bR=<iEii}ydOw@H&xc`)EMuA<T z2E)%vP+%%gcxlR`CgUf`=djGrLHeQ^%cffQ11*ndhBHox)mzZ}B$%a0JeF73?|D48 zL*CW~vrYK|C*m!I)aG38Wm4Gh=^%Zuj>Rb1{cy`+(d*0;G6gQUh15Ae7G|BWH`d|) z_K&k9q|5pp3?Du@vGGR1cdiWOyEc5%dHWm8HYMjDX`8RRpm)zhwVWTHW+xtU3}-R& z=gd$(lYg)+vryY?k+I;3eJTrje;jbhxxqJi!^AoL4(B*ClvTTVuZKRkV5{e-u%A(V z&h{PL(*JxIb6Kt{Ot{%5%xCR)u<fP#g5C@nrknZ&hdycsZ%ot|z2KH4c%rsl*suD2 zJ8yY!gW0Cvf+zlW37`Azd%R6veL-&mAJa^QM$Y!>XBiZV+Z)UlwQ{8N&*K#CTVKo3 z@P?V`mPeeULir(`83k33a~O(>S+-2v+vu?Gxw65-KYf-APsF)0lurnpm}4xiCgWGn z({M(JG1o<2d4jA3znaYXSO$l0zKppl@k$e<zghTL)GiZih*4Y6`=*-Z({)=;;d9e% zcpd&FGv>1FSDvu5RME$xCR>nU=3S;+9kXn2|8QYUc`tb3d)=QpS+h6%Oh;QLo1ITS zY^$-L_se7v>38Lfxhd+p$J*i*7WCG9_rCGrY+M^B`&EvVIDrdpKOPI^%-FU*N%>W? z!#7jL+#~fmhuhxnk&!;Bz*3aWx@DqSy{z=Qs0SBp+mt8VZxTLt+bZXW9n&q2V+s>) zHVX6Y-qXoz9N{2+(S>Ex{YNd2FW*1dCabuhH${tS=lsG$FDtV*K0L;ep**|QVcz{# z&gae7nJ3KGSkSvbtkGvRuff9)r@k|s@DaY?wnd`xO`)WZMV~p-gxUxP>62b8MR)BQ zIG0a0W1g^D^n%+qzQ#Q_nS{?R&t+BEAK@VFXv;K{`*q@>hi`HjQo=Yhly7x9eEZa& zaOmUY`3w_c^%wM}=riq{csudX%kKG16IP2~aC;_rqCdZZ^ZDvBh6(pI7xXT8^!b=N zmqPLMhJE>md3SF}{KhFF+^4nwK$|bi7Qy--s%CHWnQnQkDoZ|WtF@rF<ofj+1)}#4 zv}}II@kE?6L;3#n<ip{0-MtIg8qWkV=C<sAceL&07Jli#7Kd-SjJZdA_aAS2t61<t z&RO6@?h(%AYwHiStrooCX2#X{r)*Bay_XDTH7W~w6PTE0t}i^4=-<z49O58-F@<H5 z{lk{Wo9hp^$?7iXO<`l&*=+H!<beE*0%4Xd6VEy;)c<gtQDC=a&!2qFe*sU_xigek zI4fM9mvHD|X*=VDnVJiFGc1{IPM&?B<#F^ZrU`RFfnmzDGmg>6V(ulLhM2hxW}AFD zp8Pgz=X`G5&!DhB#zESVnQ3Nse+y?jcNEivNaY2+8T?E)S4SOedHh_P@kE~31-DJ| zjdyNbGk92X)R5tc6w8*0Q@3Z<EMoYyom=ET-<<WC?Y#Tl7tAQQu*lZzOfAzbj_oA} z+AI|o^saDr&$;0a3ehqfGo6#qHEwzAQVRI!*=;8E|Fd_zm$*jkf|ABdtk@Vo?DapW zUyCkW3992>eh&+kJofSTy=w0Cg)3Mr1vIi=smXK&Y@cN5Qe{#oc6eez!en8oS7w)v z==e`qahlQ8<no2)S<HURWeQgaYk56uu~@S3_yV8c+pmJ6P93`Xo&Uw%_mzd$KG$3; zjn#j*bzZo8%F*RJi#qCl<z7rbFS>2J;LrK<mF73^uW4P+!uy%y*!ov?(vdSaBw8Gt zn#?J*UO6Mm!Ju&NJsDqXftXSg$5*#GZ|!j~_<F3?;?^6-g17t}m(D6@yyI9VeQ)~W zN}YqE!JLogD`Zs6Px#Z9U1Z{;u%n30@ljHf+HHPmwZ3=!8#0X!hVEDK_^akP+mt=w z@D=v8tQ*dTG-YQumAn@=;g6G+UN(D!-hpS5Ea7)N1>UnQT9hbp@W*6!#l*upP1^EG z9&gMgM130*9!0)ZPP{DBw0fS3$KHDaAzOZ$9lY{9x1%NZoyv}Hu1zI}&7536?_;@q z_Lc<aY<Z;}Nt%v-w#{C!C{gC%kJq+BW;!Z6jD%I*8HtGc9vAf4lPw`+w%4WLs@7~p z#jkZNxpf`}Uk^twNSeKr<$7JBx5^S}_X{<A2TQp3w{@MJ`JhYj@I--n8^^;(SsusB zHFojt-Nv+|sJQ8fCW~*luusf3UbCE6@(k;4^(mCPUU-()w9@_tpY%3KBcTVkVmU9x z`dp~tKUlJNUnlQubM+mM7@B@$b4WcC?vdXh?0(pzN&C34$~~tGUvv&0;dLuCnaUDA z*I~l1xF)lD<r!7ov6i=F917m{b6h&Bo>4bDVb{dn#U}mgJCdXv{}i+Ep5{FE-fY(5 zM4^LIjX8y;3z)3krf%jVv)TN>vdf(7e(^fKD(AShW;ef?PwkO9hTA^MJHCZAm7M0B zWqwm$df99fwuH|<P1^6&JKk3-Xi2#V^w=ls2$`Kx+wsY;=}05{!bO?tO`Vtd9S<$r z$r5hsKcVWfbKu1^|E87twlbWz@AwzIea-UHt;gB*^Ffx&XXW}^&h`t&eAILNbIyIi zqC};GKW_Iq9cpuB34e9b!{bi(f|nvqo%}8#IaSRMR$WzBQv9mOl3V9w@b&TW1xd5Z zIoJKYw9&)EeBy$iHBB$YWc$0$RxuqAb>@8JubQ!AQbJ9+l37l~W0eN&?P?x2vlqN% zYwCROd#ug3*^h0<t7w*$`U)AB1$=Ua%yNvUJh-)&^HQwmg+CkzOR{|)X55?gpzAQl zqkNT&imrq|4~#9O+yrAPc^n_T=a?0zIOE6El`_7+1!AUh1pRMZaMqq<*}Z2ueP-=~ zF~5VGO8f;)>OBnZt|+#c)vvhYkyF!;{X!-pqGmn!%cTyqUFKM~r%U1Ed=}sMIeoms z{PyArm(O#o`=#sns-NRljs>&yGP`dz4Y_(MJHCBqdD+DsaB+|7!II^%9W7_W1!FD> z9a�s3o;cU84S+s>h82;e%8Cg;nxQghhRK3;XPOVWg6?YxaXx;haJBUyDMvSWZZI z6@T98keTkmt@(mWZvW#7F_HH)xa+&$>Cm&~oa=tfQ21vZzHre)m8Os1V|rV7*9*qn zd@iM;Qa63U%i}D<|4pPtXa938xO(fllH%7umfSi=gRjP>THAikcyLSqyra{u$sDuv zm1n%$Djl$K=lNcSa31vz`^gI)S~Y#lzu&?ueQl@YgHy5`Lg!U8cFj$Aq`p1r@Uq_L zOfg&89bcX1ymdx-M%7j84T-ZKvRv;|^(dEh{LIw!@>-_Fta61NNlcD^WLbE-IgjNR znY$m(Xwp^}R<ZNA@I~$5k@feFUn~(g7#hqOWbb(4S#8tG@;S#ZrZFAdYRqv-S1n^3 zf70QS#qw-7Y-T>_ie&j%ub%PZJBL}1{f~YI>2pduiufEK)pN|cmc21CX%7E}mo`nS z->G=Km2-8SoyZbA|Br>xwwbO4Z=G3Q{?c`DEmoNEZjJiH4V8QcMY%a2U61eRYK!GK zHvhkfkl7vAf~VdrowNV4iu(Q*^x5-BQYEKq#)DN$SypbZ6%)-pCg@Z9_p`^1G{u8k zXDZy)>khbBBXh8%T-WW;v*{e`UVK#&dh}gAV}_H#zlqZWHzrCRoccH3(dp55j#*kw zo%MC1qO<K3c4VE%_VB2+ah%=FxvZ{|Q)}DHuT}@P&K6!W+v!4$>A{lIRyjBB{P%6p zb`v`Cf6ju3Voe|A^^UgjO6xH1*rejIr)9y*xTem%_YbxCMhnE0GC01<=D2l6eMZ#* zYnfa(p&09V3U_r`&Yl<Y*?zEE=B(;L(a9W--YaKBI2qKPK6BBa?ZK(boI>)d8CC5G zkId~JWmq*mSe41La=voL<~a$k?%F-fFz$SCYbMLfX#ytex5-E^lV1F5Wz9b;z4?9x zM{}Bf)C-&NnA|Y<=fS=q(dyvTVosq{(GZhdFN2Rq?s?oO(L5OXU3kg6=mm?;ax|?x z|Dw4iH%&O^w}j)a#?^}!RjN0=T=w1d(6gN^;W|nk-&ZeQl&IhIar)ZM7G8dVn4fHp zkHY>2TrA-@cqIF`a^mI3Ea7{-CsaLWT#;csG2zwiYfgvEx;fTe-8ykXo_^D_V2)+g z39%tLb<GdDOxcta{{=L)ZRR|tFVWJ)`(7}{GQ&VfXuto362^l^#ILy@RoncC_t&ZY zGgh|=EwP)rKs$cd`ZY7o|J!2QKacxM%!21kw&E{#I-F;%()&=q_dq=(pLis51>@UE zu^pnNGHw04UhmqyIcoLJw8?q#CC06;u@RGN9XL&|w6?YuPn;NN=qVqP!0g5*=`-aE z<I#wSfRy$(PF@AOICOmY0-ee(Y98EGth8GzaY@<rO1*pEcbzVF5S#b;$0vLHc_okT zpL_FsnX>r#zjsa6U-pdt_T!LW`bD0(f6adv)=ew3x@nqj{vloMncYvdr$5d;-yScb zzheJ_r%#Wc`Z#y<`<fMh7yaJ*j%WLxU(uHPKF=&ZQ(Lv#s@Q(wed|k~{;dV8*)#X0 z#q)oq%YH9@Tb~gZ-uJ#{&DZAUSN+><Y!=GgxBPvsxlCPZuKM?x8I^n7Ecbmrx^{j} zNWJIj(^EgE%7f%9_kS+icX@B*_m?}XA}se6|9Z1`m7VQ(`|!T^b84S|3I4yx`pX?2 z-3h-RST8@X5Hx?@VP3GsbG}<Y|98>s?(*jq{Y5p;zs!^gn?LWe{nW?S>Gv)Frkrkn zGVjZr`wL|5Th@y4ukt_t_jEhV!s2)F_gDV@a>r&ZNS1B))#cAWOem^({>FOw{1;2! zT`0EM8St+8{T#c=TVGu+uG$Zldj9v^&iZeY-d!&Kw-RJu<ewFGw$ppTa?9txQ&leV zuUeM}a<yHx{|f)}54U!wKs{LePJZbw|MMUDp8h!Z()i|TJKN><8pq4e?JNFu^4~?- zs&nD}r)odUy_{Y+``4EkyJg^TtK47w?tZQF_m?}ZR)C!O&2NSO`M(zpcQWrQ{x<ir z|6aH97dvl)rPyv?UH<&bw8Bbtkkse8=XdO@UB17xtoH?lr_R2B`SVW4Lj$Iyop~p- zZTLix7jE8OU}yV%yC&Ev_ukL>eZ9J5{=C_TPko$wIlaKEwu*1L+7r7U=Wdq!*<ZA} zyYP8MH%Qw|kyn?CE3YRZtlR&)=iTMvt<fOs-pB^cpZ8lGYTcE|-cR_x+*uRa_kNCD z^VwIIi+2QD!d-c5`R^|>^1&dv$;P0derZ`G4~d62e0LW<|KkY?vCS3HwN>wyKR;y+ zN|fMu|H^lF`SY~(AlFFBgwCIL_%b9-)Gd1Hxs%!U`0J^Ut(TuqS^ewFoP8_d!8t#4 zm;d>n`C#uEZVP$maQ<m1RNt=eulQ`kZ-cenYkzgQ_{R&QN_&Jumx3G`0Cwo(SC@-- zeXxPZUiL3*eRrX_Zac^+kv7ZiY^T51hKFbQ{;RcB>-;p2m;24%yYgv~f7QG7Am4)0 zb^b-!syr@`|BP>}x3gV--tXjZxc_eM2D$V)*rkE1{m(zWB>Jfi5-{&dcR8QGng-Ii zd3$he)w}l)6ZhP={Jy^brJb#L`V^4oA8h*kblw*kHK+#{EiY-G_qZG^^+fyY%bhia zOZULj=l#DfpoF#xlF(NBpa1)k`^jHO%zv%AyYPA1YLEvd_bj!uZI9Q2d(eIVYdhQH zj~Cuyzi(Nq7QWj5{L5OXg`1w2w$J<A54P};_1Bj>_rG@fxff*Qx!-e(|Nm-uce%JY z29#oW+`>*;zqH&F1Wp*2{Y(1aT_~QT4GOfA?4VTP0+s-$>)+nrUs}d*jC$AhevVzY z^ws6hKPf&18R}<$H@u{M-s->LFngc=^`&J^epH=4JPLogeSc~B&lzN)&$L*T_e-9C zse<af$-K+?{Lj;1ogZiZ`V#YR2|Uf5|K7a6nVUz?V8?+6Od!UG|8WoO|MHkL0sxxr BFj@cr diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj index f516430..0107b4e 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -1,6 +1,7 @@ # compile vhdl design source files vhdl xil_defaultlib \ "../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ "../../../../../src/hdl/firUnit.vhd" \ "../../../../../src/hdl/tb_firUnit.vhd" \ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj deleted file mode 100644 index 79956e6..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj +++ /dev/null @@ -1,9 +0,0 @@ -# compile verilog/system verilog design source files -verilog xil_defaultlib --include "../../../../AudioProc.ip_user_files/ipstatic" \ -"../../../../../src/hdl/processingUnitIP.v" \ - -# compile glbl module -verilog xil_defaultlib "glbl.v" - -# Do not sort compile order -nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb index a803de98e9eb2ecdff8118ab99dcf226ccd92fb0..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 100644 GIT binary patch delta 124 zcmeyPbA*4wd&c<_KPV}^V&r<xs9#!Kq@R(Wo2s9hS6q^0sPF6QsvnV=lbKhcUp85h zv2gN6Mz_h_Oj|ZHa<?)~*5sScG?j7kL>?uP1g^NmqU4OslGNmq(xOxa5NC1{pT^`@ cBD~xR(fI|bMTsSuWvP?r@hNTQ70qV^05Oa!=Kufz literal 5756 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJ8wS|7f(=WUS4Kix)sdR#>U3Tj_qRNYG=~VFDTK^%uUya z_#fh7C`TX4OUlgCFU>12N-QWyP0`QEt1vUs%h#_+%}GpB(ACY%OD<B-)lErFDos}? zsVvA$PRvoz)h$ZRNvu%N)y*wYuu#zTQK-nwiBCyQODxSP$;nItiInDL7H8%b$CsrR zLAkk!$wm1vesOAYX;Ers0Z6W*AXh<Gw>U4cpg1GHM4==pJ}t8-G%vFxJ}EULu?)>{ zJ%l{EOnOdIj)HDZe!2q4_4!4KC8>HK9wdrQOe~SZ(1}Ytv^X;_T|u>2p*Sb8ELEW- zqbM~o1(Jk}&CQWRlbMUr0&0q-F{&vt!6k`BB_M-}OA<>mli_w}=I4P^qp^t*l2K4o zOf8U2apzJDNGvW^2+1hQFHO%-@XOB&&a48N>0eNinVVUaS_C%A%)%7iC=*j-l$3jq zk;^49xui5PM<FS*L?I_NFTErK<j0iEw6xTsVuiG#{9J{!{Gwb86>0fJ3I+K^B?{`Q z#p(iVQY=Ph7KU2f6~&pkWvNA(X_?7J%v_AdAi~6mg^S7A-zbpFS3e^^H?>$l%g8u4 zH7_+?-`CZ}+22pUq(C<<GpD2|RX4SyG$k`JuS6HFq_`+qKO-eazn~~TIkgxR382{a z4A3hRU^L?3Vlgx}G7@02V02)NVmCC<H8!v`%wQ;Bt6+m<&bzesq7lT4%v_8#^r0yp zAJRM$p+2N>BwFI}BF!TanoemNiN^SnDa|7h>O<N_qJ<frNTj(J>5)!N42<!3aX6$? z6NBL%iN=OzhQm7&jSbCA@c7V~OV&9*w;(6ABsE0=M?=KO0=ZcXX^0qGnjtqte7V#S z%^65zIWs>`AtkXSQ6ViSzg!_PFEOXGI1}1PGBHGNju@MmqBKYRxHOR5MbJbeb4v^p zjV(=)ofyQW8j_it3Tj^G=aiO!oCj*SK%4s@ZYI1VU~FQ7WSkKz7qekOF~s90W(LTn zdUNrD+zD#RgIa{()|RmavSW<IxI_vPld}`kQx%F!QuK;T67y0Li&7xQnHr!t(vz@p zB3weq#+9U2lw{^(H7tm*VX|D(FvBuaQ&aVdOH$%<^3yYu;|&eXOt6~jPuNr`E(sJ< z^Gb75i!zhri%YQk+KaG}qFll-Bg=C1%5vgMDhpDfQGynRfn36fD9O!FDa}b$P%Q@c z?+lQe!Jvpi?SJTjIs#k}Ut5}@wAUvw5_V%OS9D@gaz<uJYH~?wQK|x%6Q5s@T9jCl zS(aLwmsz5apQezSSCUy$iQWfE&d)0;%Fh8cXceNN9FPuJ_b3+PEHuCR66;qXE&-&T zm7cqw3wD3{5o@3@mmso%PN8n@)HTu5Db$U+Ci>$vF_c(eOL0jc`??}Nr?kX4KDV^O zg1S!i2@NsEZX!iaH3B)+1iQiH#=K8xh!NFIq`n(XsqRKI>?Vd0o0g=xB$3mSn~Sq6 zEVE-bIe=J`MY)8LO?Gw+3W~%LqW;91DZ(X$Y^HB$gd2`DPl=J{*nLh>qBjI3dK^hK zidgT<bIBrm-zPN0P{Y&DGsM<NJ;}gO6T7p?EuF)hov|B8igVGbHh47zs$sC3-a<qj z=g;K}uGUcNxU|e7P+f()c7qPgDMUk6!V)_6TCIX`UF*!{h{YX{AwK+0L8!r6qlR<I zI+bSTlqeuI4B<nJ;Gtb9W+QV8<mRCemjJ|2P|!lg9w9~>TbdxZ75TWhT=P;Cf>M+7 Ii&6v_0a=NP)c^nh diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt index 2965ab3..8a25a91 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -1 +1 @@ ---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o index 45aa83ae18f493d04e05735798c6fa2368749685..016f52cec0396a7258cd84bee3eb575301042406 100644 GIT binary patch literal 19496 zcmb<-^>JfjWMqH=Mg}_u1P><4z_7v-!FB*M9T>P67#SSH9m5<$9YcaWIxin{iePE3 z3Sca?^Xaa3=&ttYF81jT4r%`Nzw-yb%dzHPjE<e(T=-qSTYh)p_xs?|`SAtFBp2;s z4;TLR#UZ|o|6cYpFfjP^7DssWI(v9@b9i(+aCmfjCwO!^XLLI!bUMd$d*|@4Kghq{ zJH_%ueTrx2f6vC_5$+5O6Ci+pn{$LuugU?R?rek$y1iq-Hg$uvr*t0D4))+*9~|Py zzb!<R!I$xm6aO|5rH<eT%M&F+-N8AG7do9|_}3reUw_K-MBQ4)F1BdL7{^%0ILG+I z$DEuP__sMZc=WOy;NRxu=)w52tA%l;I|D<9lhfe|6R^wwA|UVWfza;=HovRIVh&i) z@i4?=P%;!A-~k?;mpwcGbUP)u8h&d%uoo1R{GKn4J7s|4uhS`~GppdZQwf;!9_;;2 z7ZnzdP6*qx^XNX1lt<@rkIq+MX_xNm1lQL8uKb?geLBB`g*-Z)D?B=n`*gnZ>HGv1 z@$9_o+5EQQcyj{-1300$bnb3pU|?|R+}y#yz;L{|2P*E-4OZgO**t}Tfx)A@86@q| z**u4VfuS2L*4aD(#O_|ez`y{G((V}y3=Ebh>Xcv3Vt_?x_hgVX;{}LfSftd~cywn6 zbXR9|7w2>bmvnc7Oai+P!t6Yu?VQ2C-noQ-n+q$0EC04VEK5B=uE?(F-VJhj_hyiz zyC;KO3(*I5j5gTK{OiHKaOtRFW#|TrcSD`V-<tFP|9_wE<O<JjR|d~+Uk;B>X9tf? zZx4^;*$@xj2OH<nc@!LO&pbMBfd#s2R9L_a7kJRV@Mu250Z&=6ka$Lo-|nmgSHrih zZyotP-a;eJvGW)r4v!mtLyExe?1a|0j(b1}!G+)R>Ho7nozKB`dUQG$fSvQtr}Gh5 zq%*tXxN{9yW#?j0fE;fI1<3#Z|NkEY#}q@ib3(7j|JDO8{GKm5yFuY}92^u7J*z>H zdb}AFVIVyo-Jo>R42mj5ysrSoE?5j2>)mVMF}(yF)BOEE!P(swWGEzogX48K$hz*$ zph)eW46>uU8<cFoE{8BXPiTYVh<`oU4d7^I`Depgp3lGSfM+sGyAR`!{|`L6vkSVb zE4qtox+jCY2QdJg1ht(j_}7E|e9VQFf$6n7#QF(DYhivZ0@4BrEk+O%jJv_6cXvZP zSR&Is8RThD`UUv_mVWvBL_q1NH@l)?Hz?UK@VCrkU|>Kbs^%@AOw-v7ip*{>r?Ytj zC_K9lz{79{0|UcrWw5OXqI)t(0mwd(a+rPf&glN??gq(20|dlG1PK2&?~D!~P|^p9 zcXxyAu{=?_)Tg_;0O3M-vInIek51HN{|}bzVX55(o)WR9dW8FrIXN<d%Ga(Ii*-25 zzhIBXH=twzE&>1f^j0|-zBT-|3sj!@bp8i>%7(Et*rPK>CBUQGIiTA+qKk#2qeTUj zqC9#-R03cHoDFlS7DyR~;WwDhE`|;l6%UXq4!9~N2mUEXUo#oL?R??Ucm!m1G^jR+ zJv;%CUp*S%R4_6yK-|=wmC)<@zq1LH>JT}&2^81In?R`>l;S&Af!uSv36z&Wxu~-V zWb*MQP)dQwgEG|dCQw=e%R`E8)MESyN)h1F4K9rxd)*mbTmSC_nZoaR!m;`PKNo)g z7o9vR9?36Z#fL{{GboFK3x;Q~O2DHRoG@HEcY`ATI5_EnOmXR44N3>cn?WfO<d`mS zQQ6T9N^ZxSPk_{b(kD1ebvB;?*9BLgbwTrwe;%DaDjfWMPnj4PdOa9ByDxwh9D!<U zJ;2{_j|o)sr>F?{^ya8Uz)}P#aw0&40RJ|yT^%l<IL!{|24|e^&7i>So(u}Y?rxBA z;MnhO1~Ik4B^m#EaBTxFB05}D0)0Ba!%D%978Ov4dvs@KbngbSx;KNuzI!q##IYC$ zQo_H!86*oau0>@gr~m_*2&qFIJCA#GuLcEcH#h*hH*W!j%4ATeVKERC#{BESVG6Eo zKputo)}wQdiUA`71I*VgDg~g#1*-MP@_36%AtM8WPv>`!-YH;%!QliB%+5I~AP&MN z4Tw!-hlwV_CXm4(49Zj3LcVj33Mh?3N_|l7=*AJD;J5{q`XEIpQQD&NfB{^!V{tFo zYFJ{x5_=CJu?GqhkTbx_J1`;@lE@*(ba#W2w&jTuqwdMz<b9zNoYr6^Eq|Za-~az# ziyUtTrEpO4J`R=#v3$BWgHnb^w~q>kM>nHKw<mJ*0hGPa%h7MJaunWt=(Yhz7J~=4 zBmxyUs4WOYc?4=7LW?1%9K(~XZ}~l5b~=HYgdn$cW>p+_ssUwWXuATfRN2P_3RL9A zVR4RYZyuv->o>>!AOl?aJ^wm3|N94WHiHYl-wV&=_edoRq*gfY(}`5FOa{drN<$H3 zkW1%ka4`tZr631&d*^6-XVjE*R~K{_mpFF*anwBH*?FxyxW<P$Il$HMfa6Y(GH_%; zN&%N{=ZtReoX)?RXOYv72lHx>Gmw=#=V&`;^ae1t9ss+W-{XQu=X;;dXJEs8IzRbz zgIhx$-Ao?cUdXWnaw2-{U~Ak#Y9CPSU}@Y<n1EDY1h{q{ckDdp(fQe<^RHv)S>N6z zwjAuBJo?<F^AOlUtq1s9=7Qp(cW;Cm0|Qvhqj&EOJp}uOC4$XijbIDdBiJWkY@bdY z6`$U<8Ehb1-g|W3bLsr#()kl&Zs%c-ZWa}f-aQ%$U{^fz>HGzj@##cU>eKn$qw^k2 z+N1F($UqMyRj3Wym!MdNmFJFO!2uqfpFQ`PF)%Q2F)%QIDqd)3100J54K^IirDC4{ zk0E4^H7{TWHFlgld+V4Czkyxl(Oa!y_|5Q=;Yl#J<v@v}XY(;;geH&f<_k;=4Bg!~ zm>3v9)j!w}kKWxAm>3v58V`3cgDUHL5VyvG9SLPaTH+8F!`*9-#l6ilz!ofE0+rJ& z93IU-Soqu5fg_9;<Y|v&mPQZ86aOE0_SP}O+}#as5_flliUN>d`CF%hntsj4SP*VM z*6hK;z`*ztRJnTg*6||r-T+l6-JlW=q_?!pv-ubwx<2NYpp53(d`$Fpp=WQM1VT5c zkb~RIRO;{9d`$ASyl3+<Ij|W}|AWKAv-ud;YiZBsV{Bk4a3DjR#qe6kv-y|+x-ipg zQP1XM(&)l09=*FyKtkMr1tY{K1cTE5{zQ1-g6;9>Mhe*m8xF=&&ST9VSQr?XU$a9P zOdh?vIY8A^<KY_+Q=`GIhMJc|gn2Vqq2_@YAoCm`<~6W_U5R2|GJf-zK<;y3gPI3o zfXo9G&K`}2HP|rCOTlj**uO7e=7AU>^EN=-cL9resrbzUyKe$J)O{cZ$h;R2^D5Xe z-Is>nJZ6x8EjXa&ffyk36d<vzz=3IAI)3w5K;}JwnFnHk%!`1ScLK#cSbpsM=ds_7 zfq{V=T!esAjc4z+?VwJ6@9q^W3=F$KML$^Fqjz@!#Ha}{agR=vd=JU$U=lpM5&|is zI{$m_i-Ow$>kN1x6;mE4#gu2`adS@w22g@$g*w2e^B>F&D<E#zz{<d2cxfldb*_d7 zJUZ{a6l7#zz*n&GxBLec5GX|msJ-0{ZbXBM0shvz5EU%YtPZZB_wh3@Fn~Knh9@0& z@G&qjIPL^>k-!de>E68q)Zk{`y@82=!SFvqF<6b`4v=Of^)OFWfLlxuPx<uj26@V- zcQMFQyEqsa7<Pi>T@4TTbpD6cs-DfqSP_MS2XgV}(apl)(b;?g5+oq~aIKJ{C<fHa z0%vR_K63Fq0hS*2fs!mFF<^@*4$uF`UbaC331<z$-vSzULM^L7V_e<cprQs8(4}mi z&Bs_?J9zfiu|k8|qr2IH5me29iVv`w2+!U+HiYaAW{~UyW(J048xH<bC(q_%?1=C< z-VDm#;L4(R_XSXu)OZ+_!*{WOsu9#=3JHU_!=BB@I1wgzbT=cc=w{*gf1nd==IeE! z1Pv))%iyUP9Qi0(nr%4vK=}_;EP%^b5CfF|1Rx0(wR`}Dw`b=!kNu#ChJ-iRNYCyz zkSiR!`#?VJmf=87Qk^%!Y7RbTcI<8gB|A^%d7xzH(aj>^+1UmvKs<Z*Jz!#B@N7H= zDnGz!$*1!h)W_fy0P@WQaD0R9uSE3{@%A@>tYMy40rDNheo%qq*}IQ}8EikOyuodM zsACvt^g#erc6NUD1QkP7s4nSl{s1zXx%mZ%>U2>_@Hp<GlEDs&&mEvN4r;u5bh@Y% zbazXDBAmHf07P~As8o0yZ&3l23}D5bEh?aT95fOk;Mu$FfikGo25BmJb{^}_QHk*A zEl~;ZIL@L1%B&tc1Q-|?V1{#ebUp{?eQ?NocC&eQ`+@RW2Pdd>?w$amdUqRuEN(np zz{$X{3#8kl^B!0|v`^sCcm(9pXva9{=p?ND8UhLfaEBD!diB^}jT#0%y?a5<?QV7e zS-{+E0irrxR5Cz8QGn^%3aGzDK!Ma7q9Wk|YL!Du8JF%7l?0E@78Ot?^yqd`i2!w= z5<EJ4R3yN$(4qozWOwrfkR{B`kak^*iULUTc#8_CH!BRv`FlX7gTlwBa|yT$4C?lQ zx&cU*d2}9i>E5FP%Jm+-TU364+;iMTg#%=OPiKmXfJbkQ3I`%!J-S^PJix=i%@$nX zkagf<VCZ%g=xp`?@q2eifLzshSb>XyVHd~&upmZ^j6u5$jYmKM3hK?pImW}o8&n^G z@-S$kV_!Wyl(Cg@S}2u~V|V*;PX>n0W>6LB+1<X~6Ewcqzu%LA;dLhd>gFOSv{0%W zkjuKeK@A#k2^S731ra5XV|V*)WUEd?thx-U3p=}ifWo1(`2&dR-Q55QjvGk90Uk;N zXK~bGbp^Os1+{v>mfE4VMLoKk6Tl^F2FS#25e|>;5)KZJ&dFelIyZx??RMc1fCRwn z7O*X_@j7t&1!XkP&hMZ+3?6WXlxDC_o@cjvhGVxsq&wp3@&7ProDDL{>Dk?OALPZ( z_7&Wqs(b$maLI9e0yhH#wB-2b(Rc)8J}f%H-t*|KhSfcuz4fTQ7ycGGP<rjHhV>IX zyW1ZyGB7xH_k-GLpb+41{S8Wvz16T<-m|+M6a<dl{h%;tsNvvc=5KutQqz2x72(|d zpv1cmRK@H9rT(4ZmcR~B5rfn-Mm5W$*M)->R7NFufEx}V#UO5m=N?!I2FeWu$6ZuF ziGl%J8RgM=7^RE?nE}#-S~7WbGkf9-N3`MyIZO|GG#_TC%$waV9IP)*{{8<Csaz&Z zfc76i?Epw}0Nd};-Mj&u)^>o?8o1FCzyogSd4LM}#={mo(5Uz5ya(+$K#T-c7tL=x zKwVx?U17|?zyKOqfj1AF4Z6K8K)q8J6$g*bN3eX|(fk86h}K)I;n8>)lnx9pLEB{= z!r*EER*dWd@ppm<(AXZhz2Fh;2<gs(TmUOSJocNQT79e;)NN(*=`Bw1>D}D{Y6L>8 z05`$D!y2v-Wz2BvExf?iqe?TurB~d8NrUqnIN5`o0mo?JVUFr3k8bctGNfgTEmS<1 zL4(AbLH%Nn#>1fM3vTs-Hb(IHCbSCM1>)}n5!eF;>LO71IKtZd|2+0vp*qO3yX`r+ z7;F9jwi!H1?9;m#)YdV)v=fvWz~O~x?RK}lM`(a5aomw1$Hsu%Chl(gjz!f9ZB8^* z|FNjjka9p%#qI^FdcaOZ*r@J|rivensud<~XsX1qsCwb(iKa>(iz*F&A2e0!SX5=i z_@k-P$D(RQW)PYxb1bS}l!Zc7K^lsP?gFT^BMF15W{?KPsvri&3IRrG9(Ilij0_AG z3=9l1APEKrh7TaxiBG_ZPr`{$!HG}9k<Xx+t%tRjrH{FviRm7n23S}EtOV3+Wnf^4 z0O?_1V7LwHc{w8Kb>cH{<g;+(b7*GoVe4h>W9esZV`4ta2of`JLNdyc50uJ07#J8p z^9~^6K7vXR!e-rO!eJK3Phh_=Fff4DTQI=Qa^%x+=R3gI40R4uHOP+&VE+mr+@S;V z3j+f~FQ|_K*9&$t$WQKk8<<f%Rmg(fAs|12s}BYSh8Z9;G0j2`pC(-4<HEqea0Fxq z0|Nu7)rRmB++Ap9G2O%FDgy=v1|CrOGB7YK1qHSfpMWEugd?9qGjksk(?k$cz=@B; zl~2J1#s-zF4p2SuAUB}uc?(nL%BR2-2jeg>Fi0>kFo3!tAZz?Vgd?9oGZT|1D8fN< zQ=n#IlLK|1Kw7ZLox!6I)G5KGj|DWy%D}*Y%}fOxaw-fA3^q`?P>{i>e)oiV7Zlei zP<2b7VFXTB3XY&OX3)&q1I|UvEsP*OG|hnn+Q0=>gaH)Zp!yhOoeb10q&Rv2vlBUv z9QhQ$;r#;Y26R7y{J{cB&kPI<pbi}asz35zW`fk|5TOo~jzXa7K#Lrp>Cur-!JTgd zQ!`5+a~l)WOFjihP+0=aTcCAS9Z)@)Ab%m}2S+{wcfJM8pz;P<Rs=GFiV#GZ1J0nJ zusH)Y!yjY@xG(~zUvT&`-3MC;4p;@ISQv*PhJk^Bg%uQ{3=HC+^n+pd21bP4ObHlP zyYg*dWOm1Nk|Q6e1rHAU6sY;&rDTw_?hH!npfClEx`J#6uStP~y$fFgIM3z6!d`=c zfnf#IjAoExbib9ty~6-9^9odLD^#r`p9IXzGMJe*3=9l^pz5w8!pjL1GvM+amM#yY zrAv^Rc(4y60|N`gN6^R}hAL)o6A(j~ftdjsaY#}@%nS@d3``6PvJgRV_%JXqNJGUJ zK*hn~!N9=qgbAYFKn|iF>~{tRhP6=f15k0WUl|w}cv+z8LA?S7Xqm^rz;F#}{sX8u zxLjjkU|0necK{6+z{&~+28KGQ_yja@cc}OSG;z?72gqLrf)ICt^CJTT!%e6=8_>iT zLB%hiiC=-bUqJ}f944rE0aP4ZHZd?ToQAsR0#qDa7BMg|%!i6MKpO(!a)p6`p%^N@ zKor${P?-mE{|BfzxXfT+U|@x+FA#&Mhl!tqy8i=I99$+aFfdGqiHk$jgY!KD1H(zE z`x7J~;^4f@z`&3W72hBQ5eMg01_p-jP<t;x8!O;E#=yXEAF3YIHwEbc*Ru=^42@8G z89?1KSY6J*z_1yr9;6n8VeN!Ks5p!dO-2k4q4t7?U_k0&;#;BOpfwE0;+;_O08n0I zU|<0CO+oUpP;t;m1Bef9FEKDMs6oX8pyf8W?Zd#p@E+>^05*_nggKzmMNqsHK*hoB z8wLgjCq@PaXcB~IfRZ5pU=v@ALwyJiaTg}+=7T0hu$d3?H#YILILz;5z-~UMyN}JB znK;Y=1v@tNZ*Zu;fI}RVezBP&g+qNK4)H}e{8fTO{16UtZXDrX#DYECByfm>+Q!)Y zWsSrAJUHBwi$i=VG#?p&Cy1eKRR#tI(DX7WNrGwsQ&61*8mjsK|NlymAgFF;U|>KN z2Mvyaq(JJ`k<@PiMKDyo5t8_EBymS1@momZhDhR|^Z+v-)Gql0bx#kdZ2&bN**!;a zhzmpWEy$gkNam{`i6fh@izE(eL&5Ad#UY-HB#s;o6-eU9;Q$&PhWQIQ92O#}M-GS0 zNaD!ubOU(^I#vKu0&{0BR2)PhhtE2Y0G4ojh(mlov`qq%MmC2FTCRb_k;79ONgUao z%1GkK;i-*7d<v2{C@;YLwHrxX6)7IiA&G;=PGIUEB8elb&xh7GAonB3S2dD2a(s0o zi6h6?b|i7+_&S3mjvNk?K%HnT;SV0dfr=|4`RgGL_1v7G)B|eUF)$#jH$oCej<0SU z;=7Q<<&o_DiX@I~jtXe44Ah;->Wz`a6_CtHMiNIhXFd+`b4cRI=089ZM>anQG&~A* z4{|z8MiNI3{~RQ7<aAhqL;NU`IC46<h$N1jPM#o%Bc~HVPyr2fCvrMbLlQ@JXEl;I zXo(UmJ+Hzcega7x*_;nZ;>h8{2rc(P=^t4=7m_$~_z2+;k3<p&)g3T*<|2vfBc+E* z9OA7w#3$kqpNm6$B@XeUNaCQuUYI-YBZ(u2!v`dBEhP8<LlQ?;uLA9#fWi~me0L;q z(4afa-b5VYr8vY}ki@N#+%pYH9NGMnNaCPv4KRDZBZ(ucmjq3QBGn_%?gxV|R2<|l zWc5Kf#4~Y-ci|9Ujzjz^4)K>b#9@tUba#qF8&T-u)=1*W;S-1?j_luVBynW*3z5W; z)!#-EM^^t4NgP?dHncwpawoESM<j7%_0>q?$m%B|i6g5&jU<k&{vnb$vU+J~zZBg) zhDhSb>a&r=k<D*M5=T})A4wcJA00#zw?Rth?~ufi%>mDdL(5fUab-})1}cthz8{h} zvievgape3}fFzErei9DxElA?X<@OUC;y;kYk=-c=&48frw?hgC9VBsN_oN_+Bdec| zB#x|pI}Y(<NaD!ha1%)!*_^*P#HFF*5g_*?tGB@+o`EEe9Pf2V;>hM~#3B9~NgO#H zvOvc>(A}efB#zuZNkbAxc25hEII??IAc-TJ^9)HG*&IITI19Raijc&0k>Y(3k~nB= z6;_^KLJ~(d|0a?+XuJ}pUI;Xx56u_I?vFzf*F&=RG?KVBlK5{Vab)+HLdS_f{z7(- zEs{90dt7mdS0jldyK^cI@x3_2&mf5-r|0`f;>hMRK*zH{?nf5)L=s2#R|t|gviedS z;#YBqf50KGA&qF~Bin0?B#vya6OuTxe?yVPk=0k=5TB1j{0Ndba``3(9q$8$n+{Ss zu|X0?PA7>-;>iBWKoUnzCv8aL$nIZ_B#!K!he+bc>P4aB)F5{v+iQg+j;uZyNn8oZ zUo}YL$mQ(}Byme5^;?m|k<Gt@B#vy(Zye(C(1A^m`;paqA&DcKpNS-n?Ea}p;>hM~ zL=s1K|7|33Wb=7Ioiu29f^3cv4)F*a;&nL0m*5aTg+u%U4skJ1rxA-gZE%Pu;SisU zLmZMzA&Y82Lr`-;5d^glBnJ`$VbDAQh!5@7gJ)ns;vCR%7HD@3F3tlLhc+AG;%lMi zL#to7_!Fo&w0MS#t3lh%&};!0zY7(IdJ8U|1r-O)UxC~Uaw7;YgNlRlCbIYjs5rVg zAT=Nin%4u-ATvN1HogwpeS$0w8(;qd5`^|g!0MsnIIwYikQfNV<_&6~<A)$I5Qfb& zoIn$Yjdy|;6@#n*sRdyJXuk+FevT{-n|G=KDME4&Y~Bg9^9ETxY~E=LntIs06KE?C zvU=FO(;GDPuz4rYVqj$Tuz4rgxFtv%2*c)`Knq=w)x+kUKr8c*#bNVKTR?+`NdAJ& zJH0^@hs`^2K+_k<P7sF8JAwApAe#@HcZxt)4{Z<ipo>G>D;LnjVe?L~`~k8Pgkkee z8PNOz5(8n_yi*IBIBec>4VpM?-tq>TIBedM0h;bWW`i(n-jX3RJ2SqxptLxniXk^O zH@Tn^ERva;ni`*1nwwgbnH*nSk`iB>nVy%L5}#X|Q<7Pb15s3#k&+W%oSc!GQks(* zUs9BqSDct!l9`_eR-Kp=U!0R)&Y)M6nv<wkl3Gy$vY<G%1Z)9VZ)RR<QAuWEPJB^n zR%$Xt0&ZSGQGRl2aWO)5YFTPt3C!q%#G=I9)RNR9gnUk7aY=kxVoqr)c16Vn`2}Fr zDKG}u5y=^;$=UHmiFxU%@%d@-nRzLx74cA&*c24!WG1JA6o7&&7h+;!PG))@LrH!? zPEJ`a#E#0m#N5nelu!Yg#-Nv%Uy`cl?iZ?CT#}fa4OR@2h=+JDIWZ?E2__DX7qE&H zPz-@txMHR#wK%gX6|4koTw+mCVr6`Cer`cx5hy^Qa>XUNCGq)rIhlE>(3nZhh))BB zB|>XaW^ra-dVFe1I>bC&R%Ygv#3!btfHmS$1CrM(E-5NWOk&V0uFM6~;1p4mpORRT z$N<(@5O0!bkd~I3Y#Co%niOxzkXn(NTw0PEZ-QVNBbY{(Fm0BJ=Ba6h$w^>s76@$! zrZIwPWPw$iIYJwPX^dbRnPb&vhR}v!8Y7rSW>~eEBD5ix#->=+njq97n8qep)fywz zBACX;Sk)TA)tVxhCJ3gn5jal4=?I=5vE=VGP>w53EdeE1gLqK-GsJ42A;LaGGE8Pb zw%P!z4F(7s5KI%eT9njf2pSItmGP9|6eb1+Xw`yZ%m&cHIR*xXZ_x4|CJC*+VO$2# z4nB|=v{;6VgT_ukoawLz2#AAa?h~{l6U_>+$_`Lxn}LDB0y-W8n*IQl_po*vtUXo9 z1UYU9BnQ?AC2m0N1@(QPLSPErt`a85oDEnKi8uiD0BCawNEl>4NH4nmpwkmT{s*Z+ z#w?&oAY=h38(l3U#QmU+h)@*}iUX?O0xe)+_Cr)LF#G|pCxY=1^a;>p2Ll5GY+WD7 j{h%o@n0{FJ<uF0o$OxSfmIG*V1+--VYCp)`*!&Lw%TD1U literal 278848 zcmb<-^>JfjWMqH=Mg}_u1P><4z|dgF0_Qk@Sq==`42%qp;f`UBp^hQJ9-WtuIYqEE zR|PPZ+WB->J9JljbQk+{2ZuEO`rrA3-{n~IFGk1CZ!Y{U-z~qp@cVsm>HPQtWRi<^ zv4;!)`r;5@#(yvS85kIRdW$1GdYwHyx;Z?$9XLEXy%Rh-oin<f6FQw^y1jGw*B|6x z@10_KqCUm5^S@`~@eY3xh6xbBzs)(qr&r~GPj@!L1>N2;V4J!@+EY3YX$O1ouMZA! z<lhz|%HYfR$BBQNh*C#zgyo46q3+-u#tWU!G5qTf@vlE+d7^HuV;5VrV~k_0W1M6B z;bTsY4E)=i9J*R8Zu*NbbT~O4hFA<Gk2yIqLggP}lMe+2Sg=Rqn;8rY3?7}AJv;yT z^j0|-zBT-|3l#1?o&Uj3wP7p`_UMdJ3GnE44(Rrd=wjjMXi=HKz`)?q8=?~6)A=2u zjJZ?`q>RJx8%$>xLx+os2S^nMTosc8|CFPznGD}{zVK)~0<t<9<eS*T6F|-x@c4U8 zzxeYw-WI^c08Zi_z55&(85leok6CarFc@AkJoyro9H9{jrGh;=KR4{Z&dR{RP$KBD z--3aGftP`S!SEY6_<g#YFEBAMICe91HZyR87!E9*%`DuYSgO|WXgvG^YO+V?y=buM zXy#dBn8(2kGKQhEc>~<MEfDh(JQ@$r;D)#qmw8qg<~hL3OW*++402xz56FGHFMtwL z<6#dTG$WxthfrwlwZ<^N0%pDdFU))qUa<Kb;J`in1F!ku^cNBw;L-Wnv-AHxP!{m$ zyblT7-pxDM85lg8cRyffU|?|UY6nF_Nm0uI&pmcfca-pW_SUE{L!-l^clQY<klm)B zh%~$eHpUSaeSDtHM_54l<T+T}qw%l>Gm>JswVmHRnvZaRvV5FlJUG%&Y~(^R0<V2w zr!m5uhQl@#*D)cC{=_c;N^=Z8y{tU{|3d->T0ngF>HLW9LP%sym;lMoi11_r#W5m0 zEjYm8nZSV*o-Qp1N<=;OyFo+Lqxm=!!p#gMgb>Vx666qSJdD){xVL#d{~tm4+NYN_ z@Bjb*{NV?{DS-$Nqop5aRR8V(`M10I0f=fnP~!9d0o-CykNrLfFS8)LTtK9kJ@>%$ z!_7qXI97w;YEeCo6y2aGdmWF(bZ~k?iW~gqgHk&)B4m*)`1y~7^n{lGMW8_j4KAPV zW)DVCwrA*UJ^;`EM<DsX0+Rn%@Zu|9K=B8%15}ak(}SA657gFx<wtmkfkPKk?Rj)J z-(Y26==KO`u3_Y0D6#VB-u-|Tl$k}rIse;EP(cFD=B)=x96h>sgOq#pLdyk&>}z(9 zUT{9^=4gJ%=+QkHWJ)Jjw*yP_14fVTZZOx81?~oJ&)zzCmhNsAU_*0+1RFj_fRq#C z2#_gAjsSDf905-U-OV28jtIc(2#|7O904)~$q`^Knj_%lZg+D7x+6O9Is&Ae7)O9i zL2?9`i{=PcXj=5>Zr*|Jhy!>X0a8wkBS5AgIReZ@a|9d05g*VU@dK|TK+1`61jrO5 zM}WC#j$lVPLW3PGVH$vH(q3G-1Eic7M}SO0as-%*<On{`=40TNC_I-Ypt~ajuRB1> ziE#(W6eM?mxsEKL8l4l=s=g0y-GZxbaC;lvzzuNiJnq<e&ZG0QN9SM1&a=L~OKb~- z85n#zpSyG(f|eEhElr>rq<8O&<s1xPF^}H885<Dn3ql|ZY*ait@40k-a_RgDQPg?Z zvv-ef2iUl0KApe7qCVX^Dn6a>QI-01KKJOn2UpVDYVnMn0YjBX<57rB2;HzMAKVX# z1$%D7gb5J;g#;seuJeRX?-KR}B4~aqNkaBZ^WF`h!n{PnqjxWZ2*^`t9zt~}OjYAi ziRbJLa4&gwzJNBAATEM&;GH7e?pq;>?!K_*y*ofD6znDrQDWQ#R@Hcv;RUg7!tI_N z;#k~sLLAia2ZfP@I5F-4t7<$d@Sbw_+>l0h4=DZwHbT>4^WGQIFt=Gq6XQ0ps>Y)V z?}&99s8NYKydKD)yDzMH?*|!}n;c|_aT8co<Ix9Hh`$rESln|%7UmueSz_D+R@Hd) zz)NDo2zU5wki+7h19C9;2*?rR9<Zv$qYGY9?w%R)SlqKh9_F4OM7am7s_|&UYs%fz zpn%0a6BJ<Xc_2?r_<&V49!+>dxqC7cvACx~5$2u~3dFbvtg7*-!&_3_<I;K9vGbf~ z=Xa0JzdpUoPdsC1Xx@M089M_*iM&VeUQi_gZne5-eq_Aq)A`S(^Aos^@$5YAqWSs% zVQ?+>&8PEM=S{G9^AE-nIjBa%ldgs*T{=Osj-5Ba(%@Ec@7@<|oRC`1@Fd&-m=cf1 zqaa&d4G(y9zK7`Uya_Ac!PO6p12626>LWD&tauLc&yMFX|9~nHvit+mh}%C2s(Aea z(oB|rKm(isE}cg^PkVNL2M^gTpYQ_YqXjQOK9cq9-3O|Iz&_Hv$@tQz^IzvJa6o&4 z8Xa#PHSaq9KkC!@99;9ebiM@3f#OIJsuk=fu&it63$SeK0sfY&j0_B|w@X!B4G*}2 zqX=v;RD0_I(CEiL4t}Vgn}0Kw>$kow(RSPc>isx^UE|aF9E%<SLAV~Ka)ai-Ohwv` zJ3t*z$DJS&yCw-?xF%+hCT5T(P>0)bCy2zZNkJ5@i3OyI1*8eoy>r|NBC%`I5Ql4G z1!-ahX##cA9Cw09?3xTD;hNY$n%F>^*gyjvJ3%CNO%~E{P3#~|>>y3x(Wads61ye` zS*Rv(l%PbKXX7zYLU1)a;M4gXEaTGo5=+YsRR}2q!RiyV{8R7}l-e3zf>Il(JOEXg z;P@rAJOF6~r!`29hGlkWdEg<B>@!p)9*}C9to#!ajOL$!S0Mi+yn^`$RN<24ACN}e z{`tX$*FPZ5)bWqOYmk2&Uc>wYs<6rO4@e_!|9s%U>mQJ2>i9?C4ah$VZ(#lbRrqB2 z2c!|Ve;9c2`Uj+$I{x_p_7B5bn14VG2D1DE(umtX0g8D21JX<#|6F(rik}B?|9~1C zWcdfA5x0LLl=1opq?tPY+3*hJp9Ak;@dN6lkmVncM(qAE1(((sWg18W34Xv)J}-C= za{q?+F!zHxfMmHJq>&`|gEWxfe#bD#T+2Q)1_lN$@JtqLme8ZyIiuUVpuvWNxm3*a z|1r?uF=Qa;Sn~o<4gcE7v$qaD@Yq|eVfYO+YX)wuv>YgL^lUx`9&v_f@_@{Zb$8!j zVqgGGUxE$s=-oX5G)2>RxC1oK-gysF6UKoXlu$NObvOa;UVAL=ZJxozz|h^jfQf;D ze;W&jNAnLB{`Pg?0UXdI9fL<QOQQ$liT@8gd+U(KDi<(-bgf`uU;z1*zjZnT1A}Mt zG4R|F#O=qLJy;kR7+)R$$@JFoA_mrOfb~9r>MbqvY(B<^98e&A%r84Y`kIf4zAp6a zt&>3LMzNWx)ZerDnB;4D&*o!tU^Afp2Zx1c^D(a1(w@!7*uYZYK!!Mr;kAxu^DzN* zVW!ujp3TRk(S=!HlVXjB4OqZk7-U-}fX3cE_9wyv7i^D5H&Vzp*l;kGavp2`z{0@5 z{F)uYVDjkQ4V~Y+0WlRay$Llhi3sy%utLoPF+k=)CSwmbfX4A*)1^@JlJT3z1ahAP z8`L}y17sd_{y_sY{EB8?3V!pz{(S*655xeOw*fMraRG~YsrbzUyKe$J)O{cZ$h;R2 z^D00iqG;|*!*3ol$iJYuJaBw~7$EZ$Ao)rGG}(n_UOImBSU~21Cdt6&ffyk3A|U3S zKrs(e{(<{LuARpmJI{i<tiOGFmn*0+Ff{KsPyuzA6+C)(Hz+eOK#RbaE{q?+eb!gt z<OS-pc7Aoyd<*Upxpck*bz9+GqSA1WUR%&C&OR{)29(|@NS!0JcjVD{7-WGDWcJ2! zCpRe9cixBC;)0kUMH(%Iq<iQ>j#y|RgWEqh!2Wpw_m71Nnf`HuRy=6V2bl+VKB(8_ zL5B08<G--@K#Gn7I-uydpaY5yIgj4m3OZ2dYeHkA6CN3^onIX_-$Fa6AXg%FQ2AR| zGB7Z-zU6P(z`(%J{FjlxJ&Tcn!L{`pe@h~0>JPK8`3knM2h@YLC7`CGnJtf#VMRd+ zk4NwBid<-Oqha@k0!{`-{+89Cf_8UBDVVbz%!#N5a}I+!F9Nwi%1$#dFuc43N&?WC zdq}h&M(H=BxXbAO|Nk&q6%}Yd53a(o^N35w;;MK~2FGtl3iw+<9bAvz-5=th?sMr_ z%$~=|fb8U#b3pZ3?{0$vsG0_-vs*p?|NrmNyZc88Tms^SR$GX~jVibV*gK`5pvVa1 zhNS)Gzf9#xj?Mp=iej*I(cvy`Jy2o;54BuI1_qe*EtOyn#LAXdFbAtO%;lku&HtE- z{2h0IDtnYpJ+d|WaFfHphCxkE0&}pM%u*iU*!+*B$jfmDsLzkm^G7yWt6?|TFmM16 z3KdrVc3n`~YW~N{-=qv;?EsAppo|b88P4DGA2bwzq6SyIZ+grHjdxJ;^XT2J@t6w| z87>`*?LTpW;(fzMsL;zkP_n}o@9qC^#CtVF0^NuFE!qG6|9@Eok;P0d^B@wK+WJ7+ zrvCf?AL=}xZWWb=-7mgyF))IIGUE%>t<8Vg%5xo?|FIRNJAx)Z861&^Mvy|Q#2ue} zN3-20E?Az02Luiq*~_gRoBy#FnL2_N^DsCfkE<ZtD2i1-xab5|5U^n}kH*8Gq6|yP z*$FZZwU7t5q@bA<nma&&Q=$k>!zH@VBv)eDup69q`CBD^|NsBm*#k31OD|)|#ihqR zdUs<)N$cfb;J8Q1#f<!I$AA6*|5E4A|No$g6(~lnf57FpCwK&y!w6J<OBjL6Z_nPn z8HPB^Z<o%`ny<k_z~GQVi~#euwu1}r&KMOI{?_>n3=FU$4?HLc3NLVl3@WG_kz^lZ zDGyycmj3<=DGy7WJ$v^S{DqcUt{qD&dIcCfd-uNR5rAY9*N&yeC4wNK6~%%Oq1Upg zF>@HI4W_j7PIrt73%Gvi1-S%NzqEjJEXG(SlCw)vu#9!W<vR~McFcAD%E|EUZ~=eI zbx@nUckhlbP<K0a%+<C8J92>q)RB%Ib6?B?3q{O=3PD`O#NU1#<e27vO#DrIK`hMC zQn<CC(40v?4cKYjZ@^9~iS_K=%kT!_EN^kJ8xDv;-Qd_UH=+kDbfOz71aTHyneEF; z(E3A&SD?;^$T9J^`Tqa^|Fw`~$6SzsP(vV+Z2awxAbrjMSooXFK`dy=j5Jb;;vZoq z22ga)kmrF!XY*ec{`NUwPvR}oYw#8M;6PAb00{&K&)&T=<|6{(^)IlG6MjK`?AS3^ z!&C_D2ooWQBOrcZEmL?2YNI%I%mwLzs)Ne$w}XmjM{p$pNly4G2~cvvEf02X_H?jw z`CHCGlGB4}2qznV0y}xdM}(7SsDYi#p@!sSPyx)}<jTgtfUl4RrJ}ju00zYg_JSJh zqRo9^7nLM>_U_f_L%62;CD=6|ULah<Apv$xg*cLHn*XwwIlg=ZvZ-S($On!cb3v{K z1t8d^&0+ul|9?4+m4U&rV=l;0$BwxmgFp(J|FZM9F9Ydq{>RSW1W6E}fpeV2d=0*8 z0PLUNtq}j1fC`ybgnte{0Q<+{0m45OoM8XF;DGw)HJ@Y0T#!1)j=3N?kP|`43Xy8L z_}fiE$-Vg>2Y-_`hy_|A$l$mWG!%kf>Vcww9g^@hc;N}31D5c?izs)3NKB0-HI7h& zvB^XHd5a5FFqME3e!(qh4ei)5mpcONsfKW<ryM)xdQ1Wf?U)D^0{N@?FK3y;%R`{B zhv<Q-gUa!@F9%6A|KsFuf+QnO5Pv6##Nymq#~mOUN38N-=Q>}4IM>m$ckhmi2<Ha- zgWYz*59&6@j=4LU!9p6%P$7_$9Gm}f6)V2<2dx(Fm<!SbRS1*hZ!!kS?EpmtuIK=T zDsFkOGrLbhoCyk5hLZ?q&UOR4Nx%*2CQva^3Kp7C0u=(er1>v*nZnD1prGoQ3(^Bs z2bF^-c5YZ=2lYE4y-zHmTI09_w>;Rnlea>g3rg%9TM^EEYzuZ<ge}x<piG$t7UD>Q z3V{<lNF72Bo=PFk<$;%eJp4_N(vJt^vz;Iki*r*PcYtIZvC4y;t9^zWlxE?T>4MYH zUW#MK+~_sn{CQzDB7bt+01H)IhYCTQ#S5=Yd0~|)FW6Zi64P1yEs)9-B<r{nM53z! zJFWOI*l8u8%Jjt{gtHbe2D?FGG1Lv9qTwW1=*0=B5X4!0WtJ~DfZPG`3aFI3A;<uc z<3*}WK?Xt%fk^U|*Elx+<0~q2+`$LZzY|2FyRr2^Nf<tPupg=>LHwZT*}M0{M1&t+ z>;gMDVi(lGuh|_t=7I!44nQ=KVUu~DjYmPPMR*e#RHgZJz6Z?%gDQ2@h8Vbs3~h|U z=kH<T3CQC+5+<MqsfG!tK?)k*sW65%NL^qf3NDBt1s6QyI}TtMLxz3e!#TaXLFRch z9(G{lV1SR}cy`{v;#yQ8=s*tC3#jdBWcObHyZ-^){RSq~bw9{FTDrf(6cqk5KuefQ zK;i#_%I*i5M@#oRn1S3M0Gf9IyMKWxb;BQI9xdJf1MGedb6EP1Fr%*fLFRdY2I*;( z{x_I|!v6r={Q~CHbw9{FTDm{O0_6S*&<-qc`Z+;m_k+x%rTY~uLGCvI?f3$_zrljK z;SVy8mhQg+cK-{w`z<V~>wb`VpdD_kG)n&ytU%$v0JK969R44u?0%4Wv~<6RHOT!D zpdEN%_ph*`Zuo=Dqow;9Y(VZ8uz{7I3D(qgKgc{<x_<}Q{U_k=m$0F(`$6V;G#;M7 zNu%^%U<(TW23uJ8U!b!4LFUoY{Tg;4_gjE=euC3~hb?u(A7maa-TwgW{ts~XJJ?az z{UGyb>HZn^pzvP-T89A+{~uI#Kgc{#{q+U39p;}4cr>4y?f(D=koyxrhZlg|zrmim z;SVy8mhR_p1i4?r5te^59H{Glka@Ip{{gW3FTmZe;7F$XLmPI_NQTXANhCuDO|W+= zL6&$l9v1jTZlc27pR8~K#Ycw|EIw|K>mMBbVUT$gIUU?1#_fIsXOR0HoMG;t;6!G8 z;BY_4JX*T{1=#&R;O_Turmp)z=F!sq3tT|qzrh6-{tPbEbw9{FkH*6cf5}by1kz80 zE6DvBt}yrSptAcx=F!sq0&XDpD}YWd0M`cvuG9^Gka@Ip{|T`BZ@}HJ;YMBegUq9) z`xOE};cpNCOFs?%)OA0|JkVl+?=(vPH^A<H0e8Pe0Cn9DGLM$-pAZNN{{?}t@c%$% z_k+x%rTaaCK<<wSg1LW1Aa%nZWF9Tu&kzi9zd$g|{Ru(Tbw9{FP<u{_M*YbhVE3PZ zyI&%hy6y*=2kOsD)5!e=A)xSY2!VzF1uDBAWF9TuuMrAzzeOm_{T(6H4S$e%pjEa! zGz$L*VE2E3yWb&{y6y*=M@#q52m^)xiZEFC|Ddw_LFUoY{Q==1_a}tI+`l1=y5SEp zkCyJ|hyb}?A_C_AjBx6@A7q|K<KY5+8m0dOVE12uyI&!Ky6y*=M@#otM1sP<BN7(= zH>m7>ka@Ipzd;np{SHwu_fLqVZuo=Dqow;_fZhKC?tYIb>bf6fo=4;18v-;+{|ll) z;lCjo7XA#;)OA0|JX*RxA_nCCj2M{vcTm~=AoD=;*+Mi5e}Pz#`xRng?k|X;Zuo=D zqow;9vOw+^$bz{)A(Oi92bt&5c=(4XjlzEi*!?Hq?w81-uKPje(bD||*`V-m$cBag z1uDBAWF9TuuaN_CzeNtr{T<oV4S$e%9*u`jNYE(#JOI1@1Kj-%Ib^!u$D?<5fjV@3 zEB0Y@kl7xMhc!NsJeGoc{P{&LDExoq!ouGpmrVEL7=H$tN1mI(1D{X=xBEZjf!xoK z4|9J&9(COhGLM$-|B(-JKSu$~{So=pbw9{FTDqU15afP=LYVs#3dnRnDE+U9z?=R- zW_vUq?)X8)^v_WQa=%0o%>5aKWV#<m`Ujauo|~abA57s+{{qDz_bU{`++R>cUH5~` zqow;LN<i+{D1o`ZqL{kw2bo7r_j@$Ik#OleinOG4yFw|*3kIbiFUW(oT$doc06v5Y zX+>^ljtUQS%k_82+FR%`QjVQRK!tvZihxfiR0g~$%(3|oW2v5F=dq4v?_J<6x&|J- zyASMy?#OWI*vxbovIX`KROq#sNAnR0(5Yb1?YB@h4ZBaA<78m;=`K+b0B=b2I0x0y zush;1Cv=zMjZ08LkZYh0L0TT%Py#xt{cy!^SQhN|QQ?8C4?waKZ8bCOd`$3qW=P<H z4;ugH)4N}z3>0`4W#GW`?A_N<iX3?06D}b;q&+$hcjl<DKm+f;OXoX}&ReK~2Ngtw zTe)xNAIFA$5Z?)T_U;4u&av}2ScOaH566zSHXg_xO3&VXKe*8*u{}C(!MqN2nP=lM zkk=v3>h@7#@$LM8x(*ubbyT6lX#PKpw7<}x9OQk6a*+3BJ$v^~D1&-m^CkFzImB9S z(C$Gj`wJaAkAY(9Ie5<@*k6v#{}@Y?eLJ7Ibf>7WICiu;iNd_NcZUd)7r%q`AT3u1 zSq@*W4%!QkSg!sM)l1lY2`;L@1X6kB(uwR}iwcl`Jt|=Sol#DG|NfSR`?o+ApMOD? zQ{BI4=P@``f;=2h3Gy&#z4(F(sE0{iuMespA0*<fA3<h=#=5`4GN()DM@ZfTpQ8^c z4zNZYQhcGg-=hlT{)j4=`&U$w>3$sbBgj1R+zd`=Py)C61FAvpPpF2ue?t{@-48O4 zmhO+J0l7b;2Il@9)zo!A$UIuQKcN=n{(@SV`w!HR>3&f9Kf#SR{e#T*Xgr+pkBaF( zqYmW$iaMD4Pt=m>ejMo^WFC2Lh9-S5g**Kh)PvmLP!Dteg*xiGA7maa-CxlFa(_ny z%>6g&sq21_dDL`2miA#oBghLAKwJAkr^xPp(17p)HQR^P@tmOJAVBRyq$5%`bD$lO zf~|cBQUgDkp+rTXBnNghLk09`2L2XM6hMz>05>1urz(KjADAsgkS{$N4}bU%%Z#{N zir7wh=)iW$Lj$%`9$E@OJEP10BOmC1J3n+Zfg)oD=zMwbdZQPOh{z!6d?avr)Qq=0 z0-5d6cz6X9$zxBr-9Moj<o*TCu>A0$iA?w7D33tqk>_S`K?EgmyMIOt$o(ro`(VN0 z|D&0@?gyDiOZP8m1-XAiD=hpOTB+-Pka@Ip|B5z{`**a#+|SWQru#wZ-$D*=`UjZ} zTD!(h#q__S9pwH4pc7TV=|`ZQO!wnR{~+_ob2BvQgDKqUe@6$%{U<<26N23@(Lr7J zgUq9)`ww)2+<&1HmVOjEsq21_d9-x@i7t@)Z*;-juhB)O`$6gd!$iF4A7nOY?u3hq z>Hk7E$o&txVeU8RCe!^m(m%*N^4ttg_)r3O`oGZwa{r4SnENeysOx@^d9-x@gI<vP zKY&h00_R_cUh29ZWFA%Bk2c=+q7UT$AE5JiO5{Cz_j&Xo+|T$DGOz_6MxtQ6ZN*X0 zXd-C5P2(sh1L}C&_FLSbMk<eI?>>!N(6bdl4anz^0X?MgHjv9a8;`x<<$zpt^NPIj zHrLK0ooB(rjemW5_kZXId7lAvgJ+4HXYbyCeyI0Brzbr0=)4CVaP#Oq?9vGuKGS^T z`2UDc=YQ~Uqf6&QaM(CP2HhZn5RvA8jQp*jQr)-npJPYs?G>C*Z|)6P0Uc3wY}gA9 z8PCr9r97Zxf{r32EKY%f3?#baBvcd>Dv%*M*UkrEOQ7!cY&;4I6!1Y3kT7{c+UOk0 zcqD50{Fnd=AC8Hj><J2=hzYa_pUE5H;lr^J>S4!*z2IPgg%3O=92@q6hpxe)1|PM? z8a^QRQZ;<A^ye5Rfx<{&5-5y7<NXN}p<(2r`I4IbIWO$v{mev+_k+|>WxOBcH_!#D zys#<^cP|cF^n)qf<^P5Spujr-I)WKoehMr=1RhEKFE>#9gGvpb&R?)n1GG_S0qEvp z&{-=CkXtmUaGopDWY6-9g&_A=ECfY9Xgv1>x$ehN|ANe;>Usr8`HwgscoE3`28%%M z2PNKyg^2LSl6YbBEzp35&$mD(9UwDU;Iauk>ktQ<b?9i`1}*17=XN5O^Oyq#AQyNv z9!?O4B{7%Ihs2FqgOeJVz@6R}+yVJ_!yS-+LGi_K2jO3m(i@KW0+|PjFCB#Ii5znW zI~+>jc7McOkoz<4!rZ@u%I*i52bv$1qmlas?t$E|a1ZAGg1giWe~@{!bpHvk`)|PA zuW^sM?gyDiOZPY22ZjHH`>^nTKxOxX%=2hGT%ky#^keY=<bDs(QUBojV#a;yhCj$W zTDt!O*!>I-Vc{R}fV%DnnMX_auXqRw{~d7mb3CN3`$6W>()|*TLGIUh3=98?N7Qvc z$UM;efeMY%{{^u7AHdyj@R&^Zhc@iaxFP^u<?-S&^o(F^ji}z;AWJCn6z=-0;|VA} zW;}t##|v`(gQGqMnMaY+!8I~&_d7fVxj*13%>4_VkQpC1+z&Dj)ZkX5QF{6Tc0b26 znENB1QrG<;^JwY*4bMQ~e*o@&foIfpKgc{<x<BJN$o&=1Vc~y*%I*i5M@#oJyau^n z;5E$s39qOd{vh)}`$aTql>P(WgWR9+9_IcH@2Km3ka@Ipzr}Zu`#rwH+&|+Rb=?m# zkCyIV@dM=k9Y0|1=lDTg_k+x%rTY_pg4|#56XyN{RCYheJX-cAC4Pb2ukj1!{)(T} z4S$e%v~>Rku=^jt-EZ)Vy6y*=M@#p2{04>pjNh>Ee?evUgUkb+H=swO^3&lD$o&C- zVD4Y=o4Vl-GLM$-{{ePC$6uKHBmPj={UGx|>*@4q6#g6jg2Mj*-2DQ7sq21_d9-wY z#y^nzEB?X4{{)rY4>FII?pOE^a=!uS8YA#{M8iMohCj$W(0Gs$jndBzu=`)Y-EZ-q zy6y*=M@#olU|?iu-oJo>k%0jm{vW99evo;zbiW59$o&zFF!!%uU?lH;J{<i=ka@Ip zKLZoU{Q^ud_a`t?*Zm;#K;uECG)n(F!0tZ*cfSM^b=?m#kCyH)U<QSM12ZiAFHqV2 zAoFPHehn6o`z=^t?(blxZuo=Dqow;FfZhKA?tTXr>bf6f9%%f^f=20o1}iB1SFpmu z{|A-b4>FII?hjxCxj%so=Kc+=)D3@-d9-vt2Rq3966`SdXRuM%{UGyb>HY&?_g{d! zUxA&v?gyFY(Ret*nnvlrf&&!(9iUs2!R?0|RCYheJX*TnfD`0?2ToY}nZQBa@CTVk zOZUG3yZ;B={T`gubw9{FD!cy(bid|5pWgKgxIn?bfeRG;a-O~W7`UL$2d^IkFUbNg zhX7x${801d|ARiA@4@>lJAG7Gz*5aW7)vu+-<H<GZh?ed2?SYN)_R~M87A`@X#*x$ zuVd#?*N%0+z&Ai1EhsVY?A?cS1LV3g@C}gr;5R^mw|XG${X6X10a4R=xA`w)d68@D zlagH2yBjea<BLxobYIduC)nMNOTm}%?L)fTao#iVMUMO67dbZnW#Vt22D+cA`41C+ z6KEMI>V3Kx_UGV}2ixBTy};2L6d#BS9Os3BZ)@BKzpb(PFLRmlOVG+q#}2T=K#plE z23^m{?$`lV-u#!jJm0bT4|7o_>ixSI&ho@3@7U3H?lEkE+dhZK@C9!B9#jj0rr<n# z_a#(AuaRp0%TiwK*!+j3C>QmbMhrWB@yUaOLJ4w>BYz7tDv+*noTmo9w{hPL*u9O- ze_7#C!3v8C(84L$<-Hj8=QyHV+>0s?wm%DUFJlQPDv<7EoHq@80pmXS1&qyq*~*k( zf>s$ic7PoQattCWK*~Y4<br~Q4HPWMmoK7P+j^kH7xe;1RC%zoHbE|Dga-@K1&#CA zz_&5(gWtvozDyCCLfK)#f_#}Gs`;%4N^(#yQ$&>q+y4uCnIb4y3J{km&MO1ooVX8u zb7J#f4n(kk90qa>B3MAmoBwivQws+uSU|TLIzsP0M70(YEV$(%&Vt;12oDyd`w!>2 zfiFAU2fysF`7b9twQ$0M1^Kc<4Eu9XFEd1y2ixxiz0434EJ&9b&f5mQxo{u+=ECN` zT!>%+ISk|&M6iIAH~-}V2MZS{SdcF#M70(YEV$*t&gz0(UI-5sqzeq^sex}R+y}p{ zu=y`HJXpA4!Ge6-Acp-psJ9WK%7g8n1ig(A6f8)$5zd<izIt#U{OZBxzdVRw0XYoh z7(}pulsEt70S5~YC|Hnh6-2cb5-hmo!Oqfx-8u*@Taa!YoW};fVsIb)ioxc;yzpS* zg#`;QsMv>I9f)Cnj^hs8@?iUmpjQimf(7Yn!Fgridj$8v?-6YN%U7oS5}boOzzzdB z1`#YE<;{Qj$}1e3|L_$Rq230F;jAEh@?d9GBW?@ahjd%uyl3Fs0{5ZZ76=vuExCX+ z30%5eplt$B&BevY;MsVrg9F^~1>L*x5c}a0palxJ*C%iYfEp+g0-y#8XuV?wKeT~D z&Upg`{&@GdfXoK%)sY1|nUuRiaJyeX5afOZL74js1juwhj`1;&dE~hn6z(vL+x-$k zAopts!Q5XVNL}}X%%i3I6@)?VHxP!ozd?w)?gyDiRrf>IH-k5LfX|Z=0lD8o1mu3u z{+145g!>t(w7&)4c{1(9pC=;>&hQ`PDcawH*q;Cng8x3f+YLlPLEs<?4TAj>M2HFk z(8_F#BX!{C5qWgRsBn06-hy;PK!+0PJ9Zv(>}c<Rc-X+RcR&099oLTS&Z1llp1u3w z2hM=IB1lIB9fqngJn7M0qapy>{nHJx0k)Xmv++2{<!A@A{D*8&0_}T2J?02}t_ha> z2}vLD_3sv9puq7E0|$;r@9r6*$bkd7@)Ybx&(7mW=M9m({{0v95E{^_ekg~~K-SE| zJPCCHXrsJ5q7<cI{W~H(z`VTOK^)}e0CAX?7l`5YG8yMnIfBopx&Z0&ID7Q&hIe^f zIyP@o<6;2q0#Jh<#pBYkdBPU~kPyQc=qkk5vZ!%+7^)4bv|%^6+k`l|3f^}D)uo_x zi@XP<cQ?p`C?QI4(+ckL+(QBsco7nyzysB%E5s3jN7DWQ(0)+{QM~mj$ZU_s!w-}Y zDS^nn1i0NFAPI7Rf+WoS8zjhdKaTnoWFC2LhUHZdjobYZQXuzdNWt8{Lz24g2bo7p z_a{h$++QFKbN>M;>bf6f9yQ&MrToZ{0ePW92IK|MmZ1~U2rppSGDLRyaUOg5u^F@c z=qIB50BLjS*bGuiTKUm<800~eY6rfD3{;Sy7FCdH2Y31{kOc)^gDfcUK;_2;8ARZb zTz*VY5M}^ZPM~rRS|uUXBp|ar8V?6(P_g`|kOR5DLk{Nt8?t1&A4mBCGLJkrgUSsU z#vT3*@*ww5kcYYdfgE++4>FII?(a|lxqpTN%>6Iqsq21_d9-x@1Vxbh7bwEq|3QJe z?gyC%I^SHI<TMXUJ0Kc&`kA2wa{me?nEQVyQrG<;^JwY*1<D}zZ%~H0pFx?r?gyDi zOZTr(0l9yN3e5c+D%5p9$UIuQpF<zyehGb;`!n>&bU*x`n^{0Es0>RTI<oELDX ze*puK`xOje?k~_M)BQNge~@|PxfzsiVHmgjB@99C*D!>+zrujJ?gyDiOZO`nf!uFk z1ap6bA$8pkGLM$-*DwaT-@+K?{thGRx*ud7sIO;Ca+-&w9T1H>{TP^l-0xrlbN>Wm z>bf6f9xdH(VG44;hbhecGfb%Kevo;zbiacc$o&CkF!wJorLOxy=F!sq9_AqTN0`Ih zzru`6_k+rRi#I~}+W#Q4L483plJf%Y^dDdWa({va%>5h8$#g%C@*iX#d2R;fTNuXe z{s>Eu`!g(I?%!cSUH5~`qow;3tU&HBu!6b&fF*U^4>FII?$59Wxxd01=Kd2_)OA0| zJdei17c5Cm^RTo7qH(960vnL~8*E_izhF&W_k+x%rTZ&vLGJIcg}MKR4RzfQGLM$- zZ?FToe}Wy%{SR!Z>wb`Vv~<6N1IYaW4lwsGu&1v3LFRch9+vnFJ7$;YGv7h!9)@wJ ze-B5H`y(7-?qA_RUH5~`1J%FqV}hyd{snFz_iu26xu3y}y6y*=2P!{BC@Oyfy8TLg zy4^B5PaXUvfAEzw<EhT;2miAke9r7~oJEC!fq}ubo5!N@(E|ns2F;5uou@9p>J(9N z?5?q3>HKl|g$w8T=0}X3A}W{PH$PzPbWvgHY;$2`U}%2M*trjs^gMd&G&~xQX)rP{ zcy>N8ykvM1Jd7A|7@7cRVE-SO{XZBP7@+n)z-521N9X5#ptWZno%g{m10D3tz`zg< z8D5>>al9=8bRh);$f$iYKvd%~2POsvkXN8;pk4t}!5+<T1Ux!Fcl(uipa$!;ga23$ zK7$8qw~YZTR4>2pv{C7HF<`m;0u*jGD$t<x=$;HZt<0mh1~dWs4C=hi0?Z)WcQS(9 z0=-eB+eL+=vl%4O?V`fd*(|~ga&EN-=sZWLTRl4OK@4a<A`lG={RtDm{s%2`L-Ic; z-eCUs>2A9LT0PUv(Ajo?nSsHv+kvIC?FiU>Sa7TWJIL@Pmf)Cx2oD>dZZ`v@WCjfn z$8Ht_$L<mX7FcL_Tz=tk`Can^#^z^?9tWQ(cyJ!`=qyp;@aWy_0k(Gf0UHhmaG-!a z)N-K25afSQpfy)8GcbUH0L-ZYD+h-{0@R5Zp#b(jXptR~|3OQ%J$g++iQn)N*bdOd zA~-Q^{=otce2@;93<oPBxlHKpI|5SJ-L`{~fdR?4&`bsMWVeV#w~GbK<yV(qG(Tbl zxptZc8v{dUn+_W&=Jr*93~4+jzy`7nIb^&0OqduLJi6O7m`F7L2H5;N5c6L^%s+s| z{3Q_cXOL=s2Rq39J?vojgYt|=<FNvEO!tG+W_KG0Gl}lE-~gF#!vQw`1jvz%#}qg) z&2It4dUqQrC6E;UFTm!%ftYUrGNkd?1uW)&0ZDbYy&%>7GdMx+pTh}uKPbz1G#+c< z#B_fO3pjowSV#;X4=#}TK3rh)B|wHW9y8#=H2(@ns=Mt3spkIxoBs!5eh0{q#$yjK z%%^I8Hvq+Q?{o(TocSG;&fxhy2CN*M-(e**_WTZ7or;v-FMvu?^!yGf1U55(3yz)4 z3=EL|uSf50P%#TD9w&77^?<TccUuFg`P~2%0c|Frfb4}-m5s+h(S};FboYU(Gmq}J z52Tv^0Brsfi1`~pj%+*ziga}Ib3mci-IhRVexJY!N^etG!Rbu_WJu$&3RcYYb_XQY z-FAUg^ButDl?$Z2ngBAS@ffI#M0G!+{piv7CIei{fAi@4y$`fN*r)S5xQzB}-Uo6Y z1AmJ&sC4R_qtXDX(vG!NfNFNvZod-G?y?M*&JzcJ$RB(m?ZSAX^Ej*x<f7sMst3A7 z?3y1uaMV2L(s}aotIiM=4^W*{;L%y565-PAV#nfm`9<diP&w|R;^ESH0K)Qd?Cx6w z+M3Y44^)xEEMPq0*m=nD;4fCkgO8X!j=QKRfUI!pHW6=r@Idq6<#(MWDheQ@6P&tT z#92ChR5C8V==|9Hkg?N8MWOQ`grVZvy$*Dkm1p-lPz~+U`SRdT`GYT|T^L_FcAjxO z_=nZ;;1jU%5)k8SR2iBdK5*1L>(cr1^83yj6^YKD%?}wpI@hRxjt_R~&QS%~B>|Ft z+xZe=i;QdcJkb2ROXp3P@i!ei&w~v*ZFt@B;D1)fgU^{gyXS!xeu6wB0I^NP+NJX* zIH&{+uQxwp^yr+U0y=xesXNA+r87iDz=iWDNX2W;yUmXoJ7ZKt48L<81+{-_R5+TS zGJ@`_D`DdVxswBAEF>CSR5+R+Fmk>q<v8x5;sI&``E+hk0X0rRYQW+64I}|t+7(d( zQUsd6Wbo*9XYuH4Q2`|f&t4}m`vWLqdUI4*JbU9=Knr3OT)InCR9ssRl!&{wek&Dn zY<|G#(&?hY;n@6)@#R5K4m$3l0uD@%ZWon;ZWDG;Y5>KSghzJ|*zj%^MwiYWl`{+s z3?MmptVzIPO$Ovna1eQPho~g@bWTwLt?+T|wh`(E#WTpi0-&%0#i>X492Jl=yJdtg zzXnHEr;mz2=S@h|h=7a&6=e)Q-96wa^yqx$+^xd{iNG9Cqj8D~C|aDlMYt}%f<-Au z=S7GCJRk!!K+)yXJp~*&?%h1%E}b_WFTZr@^ik0;{0j0H$R$qQCW0=8XC0d#GJ<6| z4}s$D9p|U!XN;XCDmsRLId4HC%>dLN2vIR9VdK2onWJI=vI87ut(Qs#yIoWanjbKN zBL?Jc29PW`G`_j0NHDr|LxK(*dmuM~)PMp3<OGlIHDJ$xHG_P`(Cwol(Cwlk(s|B> z^BAa!*m=l>^HXPt3QvhN=QWUk<M#`$oW~p$uYJGBdBRcgm`i7g3J<8h_<o_)MTLXE z1=Lpoxgh`)3ZNJ%=w=az#GH#t0Lb^CB-1S-2+7a^AgNanABKSRfv%Hd0O?C`>}G+) z;EPTdl?spUEs&TK5rgQgxD3@+1JdRIv2F@D)*QQ8L_kpn(&*3~BFX^K;Q-U&0@7gt z(*epuj$lXLybRJ|(Jdkj(O?17VB^sXa(pL?3aFKA;L+=&V&T*4qT=Aw>!VV!kA;DO zVHb!nJOJ_>LvxLa34>2JBpa3Tg5&51KYtr&<$?#O84Eh{fWf2FMMVP?7of7!vv(i3 zM(Hh4(RjHZnlr$;4`z;M_cTzA*v-}L#L{`}`?a*zk0tydcPYTkcrDp_0LCs621%)a zib7DHJ`T3A+ebwKlp{MoK>X+P{bJ|w@7ER2b3%(k4^Y5>)0V@x<BTQjATuOh3$`AB z7$*bDttiGxbi1g?w4N*xZaq-S56J@>%?}t~9tSl5j=QLUQ(|`!OScv0cf(7K|NsAI zU?}xz{RWZ(8B}6(+(iYnz?Weci2K^G^U(KOtq1sBFMwPE&Pkr#+d%#X1-u5hiv|wj zmxurT|KA;=g2=L+*S=p<E>RJ1_;w8J@(>k{*MhB=KrRna;W_T20&0IS90#2iz|fte z!qFX~!qfSV^IT_%ibm&k&f}dmDmo=%oc}<4P~ukn@%<|2E5!?)E-E_RE-E_U1g=q% z=Lu<<baOzwYtZe$0trnMq&PHyb#uC%H9T4mln8iqJ2-$C?8hA>Ky{=eq^@N2=w;pe z<Ntrp&Nfhm>d|-vRO2FD`03lb4%F%P>C90{@a^3PYQygTk;1{SYXt)XgHPu_aA1H6 zVo-&HBIDCpqf!8t_Ut?Y3Qcfog1a8%?1%i#5BR|~po@x%Be>Q^8MlCTC_$Pb5sA{n zt^t)5ur79uiU1@OMBp`a8@Pr>jDjGU1}<Y!`|C9-9Ec7(sKNpde(-qo=BP-3@*4*z zzX|LEh21U?VR*o!^F73Sz57622T=8%;n7>7qF{Il(Yo~P-KPL*uz{KthL^zU$D{Kd zSRZsW#-m#lR1$!U*Kh?b#(MA5`OK&DBUlZ{>jofc&+chEK;4wy8WjV>Z-yr!J1N0x zd^(?lX_U|hi+FS%1sMP?3Zco^r#D3<09;n=1Qi%Cz0D~q0sPG=Dk1#%qXAsZp$D7; za=<x2qQQljXaE<1=%!g9n`Qwq&Bmv<Mx_E24Ul3FIU0OA|ARdX4RxRH9F+|4URTKQ z3M|mOYg8g&=@lCCKD{j}35*O3piu8l0ae}4Jv!gP;sYK7Es&fGYFxk~VGmdcEgoR$ z0TB-#-6ilWaNOf~iwdY;0*Os<@1om-vD<;Q8Ilcpz_Oh!Dpx>FSv;AcMdb*{3<d@U z)S%k~7Dt30gbxb97L^lVGoejaoEf7<We*OMLE^|JgZL1W4}ePA-Zd%_pgN<s2W$c; zU_ov~jwV>>c=T=odlVL3&`bo4CQ$4}_;kKU%OfBI1E4Y0`P`@TpGP-ngpa|a^AIAZ zw5Wh98Ps?J38BRkEWzN3r!CN6fMyzx-aRTHp<SSDZQy(ZPI1jkz@q%kOH_6+FficF zIxQ-oZUe|z^hg7VBL^*r4++{0L`K>Ykg-^j14ta%WDp->GN|zO=v@PDZ+rCisDNZZ zVGObxTcp7vt2+ghx1NLI5ELHZP=Li8)<^>lJc6@V4k&wpw!~qNInZb_$QSTpZjA~^ z2oZCz<O7R5P|Lu;qmxAiR5ExVw}3$Y0L8jb=YNmRmp;8c;JgZUs$+K_q<;k-0Yw^1 zf>#YKDsw>2z!I1sapb@R@gac;s%9L!`#^mv&+ax*I~KdiQ*f9J5=S-}#D|y+9-Qu7 zqXL>S2SpP|2IM`E-T0yjoJGJshDHv!`Hdw{ftF%{qNnpcs4Whv!m$^C;4T*;WBK&% zQ2_}dq6Z!=(CiFtiWpw<>HPPy=sWU#is12C(6(8m@fuJv!5E(fkC^su1`TW)UfRiz zG+xudgfu>jGF|}kf@kM9m+n5$FpN)kn+6NG-v;aHo^<Ry?RfAvtK-4P%-|mHN#|}G z3Fqz*2^LUC;Qi%Sj?F*i>P#JbojDx4O&E598Z9oGCoeza{O8!~%;VTC!*KaA=jF~D z%@3G6Z(aoTsap^5yPW9!`dYm6TJtmJ&WjMiZ~QLjI{$TE?)(c@+x(ajr0xdi=~B^) z?+m{-KV{^6UBU%x7QW60+p7Svmjz+(1J2_Rds!GRKj1tB8j*lo0k-$w#dl!A=0{)) zE*SoUbl^BRPl5YQY><8vbUdB&Lh}dEqz;E?Z#2ts7Zp%}#^BgJ?GI?|^CBda7%z67 zKlq>3rB~%ID8)9{sBkd&cCY)u%)r3k0=m2a)TRKp$6PueICht?G8}x)s`;z);$=|B zS-_+D1dC&L4lBdux1BjEBF(>K>a-ktL7nby7gmVJG%sF$!1<xmMMc1|`3R45w~H#s zbD+MDNOOvc0H`4%!Vj_q+yHm(Hem)u4#;#3r*08uNF;TJsOZ#bU<ojg!5WUuhk2a4 zStKq$;DoiHH9%43qN359qN2mh-@*(UfI{gES-cbliFL-P6!>(Os5pSVm%|DgW6?a- zdGYdFP>-ns<Ude@7u0KV?G9mOaJ>Ag`In4K=jG0Kbt+JwmLPokjPo5xkjJq*hLz#+ zV;9cLKAp##AM<zK_<lk01Gq(K_-zHh<33Pem-4t8ervt$$UpU%OXtVtkBqLJ9~{45 zaNN%5(t5Bo*R}PVBmdOnpfH8mgc7Dlp*Fd&GF*P($a%<x^J?=`{^q~@{8J7%emlg^ zKlPx(K}U|`ou^$mK9|TDerx{A@4!Fh82`4z{M$~ta(s8?{P6u6=Q+h=E{exMd!!sZ zJAe3eho}@d@^3r-{aWh>{uWPAMGxvff!qrkHmPvxHqn5`es>FaEY7K$MdR{|=3g?M zE-E6R1mL5>;n-cn3r_&gIlp(-z!E@+D#PVRoQFC?R7AR6R0O(xR75%tH9z79jVghP z!c)zU7!AKQKLm9aL_h;L{H~us9e>a6whf^9A&-FO8b%HV{+1*b1_saWeUPSdhzf_{ zH*f%h%fi+J{4J+A!SWzYpr$&<>tvJ;AGkpZ>gid4WCaj<(JU&lMY6;K-I7#PODv#; zFxU|<wZPK_E-Dr;6*w6foV#_vY5T2nw+++f_n<<c^IzxdI%q*40|_or0rZ&jHKZUg zVE`3Ipk8Jvck^RLM^I;-q14>5`KNphR2xe9aTKBrTz(wqeBb<>k-ycInSr7CJELRg ze^4$1_lBS`sRN2hP{!r(fXAeX#^raQm@HA@0mUR{RtDu<4&<y1%7Q%2DJmSGtjxm? z&%w^!B219n3-ck0Q;#9#T1ZjX3?7WqfE8|_Jnzv9+T0JGE(CW5G>~gTa2Pk&sMs)o zhdnHse=zg6>4V0IQF6L~V|O2@$n)%;1}fGN!?>NtU~{_AG2Ct&BghagXc$kSJI9Cx zT&$@y|CFgy?RDemmSNffsv|T{bRN9?nDcjMjEX|@F`jM_qsxytLBq-_%_%AhpkPqp zcZAeRp56OEwGxu0M-TpGJ@}LvY*Dv~es_pI%jI{MUx8{O*<M$UZW(rDJMJ|f<>|K3 zzx<r@7o_9|hfxAj7^NVEQ3j}EnSd>fav))p0S+TG(5O607<qL2sCXFrs0bJy_;!#H z9GpJJE-E75L4)Ehtv~o%KnH&k6OiBm9h88SfCr=uo`94<2}qgd6cq_jK+4cIAOnyB zG6X3gBR~Ne@Denk18RJ7yi8yPO`e12dwje5z)^Y-T7w=$ZDwemaPF3obnXt3Wa&J3 z`Ss;j%|GNiuXWz7lXvX(=5XxR;RLrcG!I^W%6ZqZx0uJV+r;qlGtO%c-;ObrayLI^ za@+?Ro+`Cz{vlrj)no$K^o;W}lBQ4Ka+~wkMbPjhe=BHcqWKe}W9K#BUS}52z@|fY z7bxxfbWZ}OeZ&CCRY)>~7YUBtCR)vp9%x<!SL_^)-7#7$mtS|rsPKRyO}#gi12o9J z3!MBx^&_N6=V(3(a=+H)XPoysOH@#b0U2(P|DC%<IKW{5^FOLrAT_rnyt#AnHDo$L z1MDMEE9wHM9DwesgO=7lDul~{hoAuzlr$~?D)k(?J3!&#(LDhi9?&@>#uGFx3!oN* zTB#o0JHUwtVKHUp!NqrwQZoT1yi$<DD+4r=Lbyx-&-0;#7lAV2132mNx0En~at7fN zcBm8#un-ME3DFRw5RCwZXaKfSHU?74Mu1CM&{6HE1MmjuEek`WmW2U&%Yqv;9ooGQ z)_gH|x$yh{|De*y;N>N7_YAE$v<)l>Z4QBl8PQGL2~hzt5xhX=rSJFu|4~{+;I=*} zp9z3kMI4A$(aWtr(3FDb^gv2IKuQ&ml&<@UrW8D^2~uhRQYwL@^yNR0r@#X|;8AYq zpg<=VXw3Klqi1&?sD0Pz$YOZFqwxr+%ZD^zO{B}2|DZVsx63*HB6nXWp!7FExx=&b zi)ZKGeV~(?V8f}N&3jZp3mF*rTk<(T<K$~pKz(_~V=XEhK!eAQ-99QMp4}xX$gA`& zAN<XF@G*FPHv!bPcIoDk0~f#0$-4xP&MhjSksFt87dg<pZh_<F7cQMAA@cwUF0cv7 z6vyr!@Mx1~_Z}6{-~`fe1X2+XZtHfN=!2~Qm+%%IoqHfdLY-^Cjd+*t7=4z`9<U*f zmtVsstSww%6P7k0s~|%vYg9l}dPr7XIQWD0-~+ICz)|hn`N##-Sp?hXc=;V@=FY&S z^Lz7i@PsvZ2mmzE)*Zsi(pjTo(AlE`I@0g*E7;_wiEH;B70@V)OXp8WJ?p~w)3Ngm zxF3Js)$kl_hTOAz7ibg)RF8o>kWSq!>Mor>!INtmj)upYA25P^3L5-y>dsMT0nLNC zfaX7cUVh8@3Nq=b<J!F{gb_4$@B+4m;Dux7LGY4+qmBoEvN|4o$n4X3-M705G-(a8 z3tXBxce8N7rv4-#b~$(FaDeQPK$tG$X!y;A^A>0t8Wao)koiZI5)MbsYhVG8dEi9Q z?V_RpnjgOao*xH|Rx^Oy4jSMBsR2jgHy4!zM$iBbs7ng!tyO?$R6(Og44{c;5W@ph z`FFN}hiyE1OIbX7qgXt9Yd~}5jx3;QatoL47!@1W)^DJ`Eokfwl-fX(L7=(w+n~Ag zXN(}HNjNq?W_&3O>L?#~Q31y+sHXr*m!Qd2uw9+6JUZ`o%P@BPs1#fVH5d#)ZiY<# zLPn0dZP+ir@7x2Pq6UqRfW{;YI)8xrdM+v^AfJI_4rDSYc)LZIx*^FBy#7F=+lKA( zdr;#Sq)!8;PY0wA91EbyT2O*=>}E0QhNdG>Cq=@y^AKot1SlL`x?M~eK#M$}t1l$r z83;V14{FsgfC3eiOdPvSbU-NxGz6hwcmw27kZ-zWGz~vMDq97R^lKOH7O<BbId4GP zEGi%;fr}Pr(0qI6OK?W>04)*#P5Xij0)@3xw~QdnBo9#Xc?~zg$MAw9Cp2IjU<(*r zO4waE4|KYyIDpItM}lkX0q|@*X#NEp{UCRPXXC*k`OQVefDxQFe7YfS^yuCLUZ?<? zu?2+($giLT1lA046lgYH12pppnvMU~S)w8VnvK8J8KNQsosE}p{C>@q^RuJkWzdAB zqvBzg&KMOL(3C8AvQmP-Whr#906cHx(LDuRet}{Yluo)?Kt25eaK3Z^MHy&Ju^TqO zjyhYO0V)we27r@;izovuJ%FM$1Ed+0R^gg6U^C}AplKJ-72PoX&}1QmNER(BAbIGd zJA?z#Jw*jH;0g-i2$*hAph9N%A;n2Vw}?0-(MCY@gs8-TG=QhvoWW~HUV{AxUU>it zRi|zd@aj+(l>ksggBt0bAu1uDO56c7zQh4yWq?MuL3N`CxLpD2c|`d1_JAD<UfaA2 zR68PO`+Xpd43Fj?^89U}{n@CE3<aO=CE&>spKeG+<k`DN1vIV-s(BS&9)(5{xG;e! z_3WOa0;=6Wv;7CZUu@-qO!iyAi~vpc!`PrXZWk5MR5~bH8Q_!s7TqN(Hn7S56!gjd z1W-VM(=KAN-vBY$ZvrY_K)oKgaR%KkDki9t{h+e(<yp`a)NvOTa6*Sq_Ba3kUm6OY z=?4WnLy7Bg7Zn|ld61cYE0l%;IJYCbz6I=cPy{F-wM+Ivru-4b40Ot012h-|TC5PF z0$Qv9o$^O4R)9?T$EZkjhp5PO-UCnhD?q3GRiIP;3ZNXM_~ZL!&RgIqf0b?*6%}wU zQYb0%>}~-&2;3U#^ilDEG=w@`RD6(Q(gQl_@7e8&Ytp|3-2MQ~`e%Fx&HA^1n<*ZR zM?g`H)Qa-$-J$|os|i|*1u7Igd$*`eU}0d`?+^@~`v;G-fa(fR$q$mw0IeJBEK#Z0 z-{FX=20RH3PFygL@NeVb-{t^n!&r2>sMtW8LoO)IA^4mOEMn0n|1}VECN(OcMIWGr z5}@`7Xc7x#5n9s+$vki!fjSRYqauN9o&+RQ%Ye*-v~OC_+c!w&fm08<c?!tpfzoNG zi;9XzZ;T3f`Hli8PfLK7?`Z4;Ek)f0B0y^jAbS>kd-te-7N&zTC}{i;v^w3V^S@6g zq(uh~d{ERIfCIforNHpgPEf-VwmKF%!ws9u?sZY|0MGM$_W&Ir4LKbel>NZvGAOHq z){%nJy8~iQ2W$y!-VG6{pgvxOPv<wNG&pj>r86kZLCJ`Jn+GHRHV0PFD#uP2l^jB` z3ocyILoWh3^dca!5d(@1!m$f3d(q7cKsGM`VqOTyJVLSC0$%k6D*sW9+yfRzm<r*8 z3~o{R0xFPt=YWfA(7YXJ#UCiX13>W|fi1p4@tk3JX(u$E!C?!HQlIV`(0s&yw5SEm z1A<m;xq?o;+XHT{BhTHzqPRr`G%*iyJ|dR)fW-($G;$obfLH24%z+d}{M$U3__sN* zb@qUzI$OZ&sPIQNWW6TH6!gFZ`4~AcL3~JHz5&mI!+JC=;LZqAHv^sxTfmD(v6&58 zOp0tahz~Iv6#2v@0#N4$o7o_7WV1nhh}ocP;yrrjsH_0huDw0r;sX?EAlpH8Imn0D zlfo8ofWlHk_ZG01VN0K3sRA^+4cY$#&Fdhu7eLoYbVCw^4@l8F<QaEFvH&fo0C@;G zS%Aa{CJWG_mI{#L8NkbnTENrm5R>2ugPDJu1A8ZA=Do8;g$Fzy1n%<UDB}@JW6%=@ zXvqw60E76D0EVoSAtqsfR=<E;h;BAW9NBCTA7VCWxi4`E1GN4Ho7o_7WV1nhh}oc( zF`$Go1DY^EGN8x<*$zq=ARppN7@#$Tu!I3!3lB{Ypeg<WEM>$5NRsgF{0B=7;Bg?I zPDD}wO|*l&fJh2+R6t^gqyV3)hfeQ<+NchoHY#ZKMFRTj3s5owTXqxV9Z>lLPA;JE z1a;p*^#rJYjy)5DR?>hRgC18Papdp>@gd;}T8jiS8`NC~nGNb8U^g4Ipaz@SAaP`~ zL41hWpj9rQxaxq$6-WjYS0LMwNBdv_1geuk>-}I0W}pisLBbJ`eP*B*C|WeZYv~ph z&`MKiMcq9I(pl=fha6W0ApPJmyD2K5;cB1WEh-=}L|nlN3S=jN+WMfi7~fvr{)#e~ zH37O`5VQ^erop3kHz@Wo)?<Kb8;{=2pmm~#mv+h{t;g6w#CnVz76t~N?zV){^%&rF z>;%?hfaV1{@vp}K%^8fY$50tvj{z#02G4p7(0pSz`g#mdN#)Utdp!n76Q~JH%6bft z9+*Yo^%yWqaIMDxX#iOQ>Q8}6QE;U+x*lV&tj7Qqd7j<VK*bu;(g+%_#{ktzp56OE zwGxu0l+C|F280K0JqE#mgbsfoEo`BDJqGOplGODWAeZ=d_kp8ysI12TMVU|cByidv zU60Y-0SXU~?g`-VKrD-(Wmy1Sj{!<N9^E^@i3edZW#s{E^}v9y#{h*Y-V%1G6b!Hs zrO|o}P(uOUvH-2efVC`et;Yaq0JSWztj7RJ!OY@sfv(4Zm<R5M<5-UY(gy8-4a)Tx zARi#D#{hE&!+H!*-ygjGf1V2~sK2?-f)zA}e*-p$f5Wl!faAd*td0jCfR<Z2Y94m) z7GZbp4q;~j?~;B6Y7PCCt+RLRbpUMuU<WVEbJ4tc`I!snHOF2D&<+50*bV^p&YK5c zGJ)1#+`kA~SPNR4c7osK$7@B<+%$XVMX2m`6j_(fYn_*UdlOhd6W{EJjRBm$OXWL1 z9DKoK_~GI^!(+|Q7&$+e@WQsdzVrtTqBZ}Pty6@!jRoO0&{A)R+gR9P%jVb*zG8x{ zWP~03<lJooTDt*0oyfV{#OCrl$L8O%oh2$Pb?TUga{lOKQ9&{mw5XTG@!%__ZW++* z6bon(2zw`w%Ei~6$G|z#)$nBV19s4nQI7mBA3@U#;2AmRZWgeupqW|?=WZJt&^qVe zvY`F0p!NG6paqcp@Nj<0d9*V}MFYv+AE1eyZWk4eZXXq$&g0F`**j}gG+YcX8y*G? z(wuL8&e;5ry%Qwqq9WnQ@A9*=MnwiP{~o}34ZNc^q=b$0b7zc70C$N>!1rsACYr=? z7Zn-Mc#vcFG#=2|7Z)JOgz-Y>!Gk|pdv!n)i=fTa5}^GL46LC2505}Y`^Q~Wz>^G} zFCg0=9<qY>2Y^-w1c0^&ICj^tGJp?c2x<N$QK#PP%HfE)`5^$jP|`)0;qn7ckRL<% zx4EbUbh@YnfEXd2E-K&+>EPAb$YIFg+$~}QNvEJ49<VSpL4@Hm$mV&+<^!NT5TGOh z+5-XFCeHzhAdcn~6&`l}7Jkq&4$zzpXgvvdL%7FFMbNPx?;N{hOc_86k`HxWy!;xp z76df4>(TiE<Ui1~A7~!kwc7`@{o!TvFA0~<bDj6=6u>^MVTCMP*SvW7Ip_UeR~~RE zfpX9}kIo#>Hq0d|pow(QCW{OQ{%yxV+sVQ6tcGtLTE08(0>uevC&Z=J1CIPt54m(+ z1n-2n;`sfF<2FW@)`z7fuC13qn<PNNtLo8Rq7u>Th91U;d);`z!4BFAanObHH2=2K zjXyz)miea~cKmkmKmXJN3O5`%?sXn^;kaC)X!sT)>%c$dDF3$8{M!z=a$Ixeyz>1j z=TXJ8E{acmK-=FO`L`YWezo-le+y{A0Vt7!Yfadi2Bf_Z5+E^9Fv0dhNOZcW$ar+; zs6^DM_xfVn4j}<f5+S;<-4LL)6cXJ&Dl+`rKx<yXvyza#5RDH(9_jQ^k?CYnf$WB0 z0S$g0U}0cD)Hk53T0pD7z_WXukI*ZdcYL7T5PMWWnmjt6z1+mdz<@F>4qmwenid2v z=L-PG5xCpz+1&=x1hc8c%CmbP*pl856%TZ4+QHWB18D%&k{-zWAzrhB#*#h2Guxis z(?Dh*)hi(GOIJPy2KR0gP#NNQ`5h=wL5atyTgKw@Yf$-BX9p>}Ob`X)GZ)Tpj^M)D zh8>g!K`VsVJAXoUW`Va&eSNLy(fQ!z8D0hka50R$m&>K|Tjzb3&aYry&CkL6s(x^u zFO`IC+<ITacbo-uj64H4HeZ*5oq@GnJ_d0HxLiJr&8Ca*z@o5fz{T(zsBkh-;XK_L zqrw6<zl4?ZUZ;slH;YR1XGX)5D;W7*PIvNv&Q<_z;Rdhq0jI%k7L^s?qX%46Bwh<| zU~D;13O<Dau@V8C%{_ZtR6w;OC>Mjqu|aeFplT8%4k`vgt570998ie|;$(D}sDM*p z=Lyaa{4HvrWz?|c7NF<=l?+bZHlUp&pj{FgATda~hwL1Y0G%g-trP^6dK%y)4=Dvf zHH;3Z@Y4V-$pbNTKxIEDlVO&EptVzwgdk!GI=bW)C=y675JA_lfc6@(cZR5Nbe?K{ z1Y+<sKVWWt$lM9p%hcJU(gG^>dm*bLk(T{>Am(Yn)9;Sp90CedhAgH~a8B`PJ|fUu zqvFE=+S;4}-bUfk{DYmpO^1hp0d<*9fKT@x@J?b-XC$JV!?Qb-0clhMsrv(28i>3# zB>}#pBBlAK1g@<q2`D=%Qa~Y`(CMO*0Ai$cx~QZ$cJq67i($7Ed@>5kHjWCor8Uhz zWpP<rfnsS*w~I<ew~tB<EYK@pfnEa-bkHnIXAgLDHE5SIh>H~H4nzmK3uIZ8130{& zgU&fas_P&#$)L1V0IF}gA$(&Om4FqDrQ+Q!;7!rSE-E1_7{O~VL9;9jpsjGtk3b6> zB@{1$POj)KQIY8^QBi6AR-z9o$rLz0DuM?1RTMA2mH`Q<fU<LmibC^4Mp*QMQ$i;P zVucTX3usLe!B__`p+SiN3wQ+B;E8n$lnAf^MK);JjRlBd(+OUGgFDv2i@#AU6@XhR zf+yAmP%ITe-qr`oVFIvN7lFpQi;6*~kBSNCybS|*WbXkVTtH-e?*Sju#84{G4LR=s z9P3j-r~jeEI(WTOcMG`EgVkUBEubCn@S3t0vQ81b9^223R9*H$)?&Y`V+ZYZVE652 z12q~!$8|9tbnH9@I}EJ(;RDSR?%g~B&fOsbES(1(FTVtBeUYtmcI@>6wR}0iEnm>q z9~aKEj=e>oy)y=&y+5Fp(d?ZcAzg^p|NJiJJKw)n_vmc_PYb>j0v*nHt@#<em(dE^ zaqQB0w)2`x=lRah&5zlepE0)H=6Ctbd9GCO;9Dj`P#1;sAGnAr&1wE23)+JRa)Sxn z4bL1oKO(u|6KF>>x&`MBzGLdV-u#>$)Vui4?{eM6@Ej<Oo2Y<y9J7?La$f5+QEC3f zXn67)iwYyZ%c)K*mDRU{NQXvshd?WsbDY=sTMStk7<_xZSU|f?BDy(!yJL~h-Gndr zfUXO3?A9>`uPFy_%+qk}t}$i-x4m^hSwg)xjKi^8Mi{Y6=`km0MY2ZoF;GYwgZ5H_ zswe(!J}MfZ6S6=I9Z+Wiv~U!(^bJ%vICq;cb%Ks@+X7x_<J>L62#&?hDd3u+P8SlH zHt>K1ZH0oY!9WVmYn@xb%Da2OrgTGio!~lW3Rno#l>{w_H@pOjSg5>@3J1T-ug)43 z9*~njtJ)w=vH>~iJ#<BwbGHfO<#)|LWIMNj3oe+Gbl^^U%K5i*4R|SuWAh<Uzd!)I z2^&(*K})~x9<b)lV-V{>b{%}d1nOmhV!inpxT6JC1FAM$K)Y~}cNT%yt0sV!IdLFz zEw**4?EGz?`7kO#f<+*By&6hs<Nz;?TtM-SV^5|7N@?T*D)}5h%cnsM(1~y^j@|5_ ziVr?u+<6YN5fq$%yJd_(wt)7o`SiN6Tz(76Jkq^>9Njitu<ZMs^LK9@Pq&TL<>#D# zK?k#ediJ2L<jqeRTTk-4yzI<TDFM|skWySntn(l^!CE+W+X!5K4~n@O6`ML`kf}0o zQz3~JT!6C}LHmg|-7YE?-99Qduo~0?8b_xfU4GC;X;60<RJ1GbyIkuGQBgrEQ9-pS zXjQQSD3%H^V+nH938WYWsRb9KMZD;7RRKzjpt2N{7(sP9yykRKsex9T+{Yn>6==2% zq#U%u1*H5NRJa7B03--f&<$FGS)wA-y#`$9Ll2JYe5Lpcv<tlRG`RmRQlbH>R0TM1 zasK0csrXS5)Jhgny!aY?5}62OAB6xY8-c1<5%2<90sa<AP(QcZMFnr83cM5<C2bji zVjUbyCV0}80ZQ630VOGeP8Sse5W}R?Ma6_hX$x|aAUt`k0WUl3h9oR_qN)QYQ{)77 z7vx-UVmc1);2eC*1lsbl27KD#!S_tC4o~ME70}W<q?7~RvCs=S77?_v=K})+1Ge<@ z2NcKPY8a#goPJ`tQPWS03TV|6W{0&Hyuodc3aI1f(K`jK3bh(8u>n=nkiO&=h&<$2 zEpTfa+K-$9HUQC)?1Av1Bb1;)LZr3MuHEv!-E64GVItSxj+&>PyJa91IH;rX8Z!36 z-**|bGO^bQREt9fJV4`w;2pe9pt=RLPyZTd^*f>#2X{T+zud%t($9wE22h}Z4jKaG zDNr}r0$fppef81@eM=sA_zBc84*)4eK9_FCXOxX?kX9|sY*2v?(vW~;_OCCX-FA=& zdAaA`|Noeke&^lJYn}JOUI7pEfyOyMaGom_KKPdD;(JKD;yc*L(tJ=a4Aigy1q*z0 z|INz}I1fAaf`SEn4gq*`KcZm)4wf4SUoe5KzW5rl&GH++%QeHppm97IMAPDOrwo}* zixZp|d^^ALw*)f7S{LB*0955<cyt>e^?42>HsXN?FuQf+KqFz0@>-+2Mh>Zcq1x-r z(apk!XkI+v1dnqzALi+1k%N}l`1`abOrTT+8axDz>@h-87px<T5saWdG6zx(4j$P9 z9ksv?9@7IAv#?eRXl)pX4T^CNP!EFv+)0Hk5NlonZgVg<F9Ekom_2%RA?c(>1+!lZ zT3in9*ZOvT$YKlyCrfzO7O7Xe6yvOg0N>6Rpg@l3<^WL{9^C>+VNJJAEe=bm)TwPw zQK<k$Kn*)60zlO!C@4V@0Ahn8paN?IfMh`t09t2=908bpTs#qg(#`cpJIDc20Du}E znB838&Ua+>bd^ALFmg{9T>Gj(YF|)K7qxTi3tFKBseSRM07%mad01oUbZ|ij7lBfM z2s<dO!EFhj-V)Gej}jFDEODQrV!+&-qGE!GbsU{t)QEq{{qg^Q$8L7tZa(DxCi0<H zSWcUe?IiNF3C2>^=BJFM`prM&2%a;+d8_#mBY!JDxVHv6TEa&qqMH+R!bFBow-C|_ zT==GA$n_)0$4Z!}AWoHF0kyHzu%0SmqXHf!G=Uu|!NR|dN2QZT1=Lvs?IL0Ug*kYz z+PT{VbjAdzH1&X;Ga=g<qT&OZ;R2ntVFN!l1Jp;WQSpGDIRiRx0#ugzbi1g4I-WkT z*0l$y`vVzthfFj1fTw7{?P}!nK{P<^=>X*RkZ0!!a5>prqoM;DiP8W!hVn2vJ`$jO zL9l=XkAb2zP&wcYR31>^;pqHufLeU;18I0b?NSc#AxhgoSBto)@ZcNuBhcf*IqHYj z<LY)%ap?9@ae;*@Xqzgi=L<g83DjG0>4cP2pbpCva4!^k_KHt0Xs;s!sIij(YITCz zFvy_{3*r<=5GR0x*aWS=0vX5!r4mSi58)fTs7OEu`#=M{#x5!{(7`^?0%!*0qWqhS zN(CdhzXB?<!TpsM6%ex<GENEd7h`9LN<pWON=fTU{uXvd1_sU(&EON>OF&7;MWx{7 zC)9=?bQ%%lHH>!L#}6p&I2RSL!$C?bK-Gx@xVZ)P`^%zFC`utsIZ*urYEFXM*&q!D zNE%wcplHD7413fQ9w2c9GaD2YAhVI1laqe_|KI!x92Cc0R7yZfyIoXDIuCxosO+Os z02=n;b_Abh<7)W-HIJ*|fAA#Vao5g&E}b7-IDhcBaDyfoh^a52`|gkyvMF@O7(vdc zQGsU&9i$VQK!YGTNGCLbb~CGhGK4~>i;4n>p#t8f2ikB6Is_8W7(BLtQJCe3fl*A$ z5d)(j%P|K=L1_iKxDn}u3}-?z254gnG-H5b7t~n*WeQLy8aY$Ii=I8;lOQ0yd5~go z?r=vN3U^VlAgTZY*J>yQkO4fr5j|u~FC%)$ATML-A%kX&K&?yAoJTG4oCmZi4sL#T zo5**E$g@CB#*(d*1+~0&kWR*W%J~k|>b8-Gos0z<0PWlY-lqo|t31H(a-nkyxR(qK zL3q&uIfwuhkR<eJbwDS%p$sj9^V)HwO>U5^XzZe5v4XKwsvB~0ud$1Y%?id+(AWnk z|3b?W5Ytidm}~3*5^h({e~yaB92JkhW_C6F@6!3>`vsTQ4<$(Xh8ZclfG#v4BKlgu zN05N?Bcg@12P_DU#5v%@PeJ)c8+5W6dOSk5j>GGcTb)zD>iM@pOzwnGATH>9(oV=x zsx*yR(0Kt!F$<cJg~lu>Z)h+wFo2>IqzXsg0PhC}k5qsZgY!l^S_cNQt&phj1sx-R z5xyWnc=&?O6TlO`Ahjsr3sMgXP>@6?<Y)pA7bM)-0y&)ksidNM&H?SxLJD8dIr&K8 z3pxP<6uux;IKmgS-3wCofE0tnHyWdV0@^a!4cT683<>QOjG)4Rp;WlLMFq5t(zrzh zv{Ms&@*GMYIqs-<44g+Czh8H4{m0(|x|^7&xB{ITgb`ODL1>F=4fybx=AROv<1#?5 zsRQQ;^wa+4fbV;N$JwjSDPVn|NCFww*#edaaY1L<bhdzH9WjbIoC{1r#T=mn0HMVk zXl@@VvRFW!F4$3td%&ACL6HSgg%(*a>!d(S<sehfpsq#dJKt_!23mA4m_XeNrcy)V zx)<PKApX|a;oiZx_ySz#gS`tIyvN<ia8W_)WI*m;MDJuA8|`GMIA9A|JRJ@A!J-ME zJ)WS}Epk=?)zF~TfG8b}4r+HaTn)d0`x>A!hoMC1IHZ>WZ6tt(iMo5h{SI*F3Ebgu zQ7Hi(Z3`OJ<~#^WNiHfSkbXyjW9PA#pfxfmi@LyTg+Lt%36IWaNWFrJk0`wYNEZoI zMuI9+_&6exhP9~u4i^>h_zlQp@bS6GN2kpFie@&bsRT0{#0GUEkh>^bzoBUWZJUQ_ z0I@-5(1XrYLvl|zdS3-pv%vI#*dRTisuW32GiG<i)$p53=ZEGGjIAyz1tr{&19n{v zzrAL5?fmZ2`NIXY2uhfeJ_^z)vN)IOpq(NM>Y7lej{>tC(MLhA)M8W+eH73UwwQes zZ25|aehQ=`gPf;4JE5f))_zJ175gbLZzK9CnBGS8Q$XG(rJq7s1rDB6f%a6uwE$>> z1*5M5EuCO}70AIP$bktdp!TR>nSZmTL0{##YwI^qU*)@_BCH(-$~d6D$`4%G26RU# zQSCEO)&^x=q~0d9uL6n4HQ>Gnye*9$mvg}V8kBY!s8a%Jh=EKdv9Cg%*ah`NP+}Kr zf29R{L?3drBIOY*{go-S=&!)S7}U|g6ULyj6eWy7>Oo-)k|43aLY*)M^+iy^7;B#e za+nQ{FviknNujvUf|OTa{rYd9!}D6d^S4-nTIz&{yC7W&j64DAW(>hT3#`Zk^+!-5 z3~Rro1$=rPT7<nk#!Ih$3k#^<!cuBPT)zdf42QopakzI}u&+Bp?z+H7*lJWXK#Pu0 zx-Pz*2O(7!+Wg23(1mG818XiS5=7J)E-J%negu2iVs>7jA?yR|>er|wfQ}p^s`D}f z)QCk2Xh@Tvf?1M*>%1tC+Ifj2zVp&SYUgDM8J!m|GCD6+WOiN-f#RKTjYIGXjG@_i z!Je})yD!k31?v5RW==r43VoUdUV_28FFf6l#azul*vs-zcXwF41ofeb>WTCHM(g~7 zbb@MrkXt}(P;VLe9ClCi)68-9#EB|MvCZ>9nuIwjgR%c~@EsFq1v+G^2U7bY_n@E= z2`?fcJt$Co;-BpSMJ99wW0?qYWJ0EOUh5!5CPWApM_5KQK$FSf+72|W2vJSKybx0R zk?6iw8gaQCGHnBL`7r2f!BYaLvxS-xK)o$!N&sae(1Z^t34jzLH!P8|G^Do$N(CUr zpe&7+3P9sfuk}$<0Z0fGmLMxYY|xMssK<#Iav~xJLS}kE#-KzAs8<DV>VvvkL!xU2 zj}lPd3^htXoin5;0nHJCq6DN6N0dN1XP_tnDaH~dpkY3=C;<t9!V(lEAT}sUKtYL! z5>jTLka8kMPwpMndva8qb;3ClOIgnw9=)KR9BTA}x^hU-3p%?J6ulsYIHDJHP$)ww zD0)GPLD8FqTJD0*#zbE=USbJa5e{214pM=-Vw}H)?b84MAT_X6;~+IJk6whVdj@lk zTtusJL87ok17d>`4XCS)NHi}?{)0?K-AGnq4zdU07OdxcR9^&Xf^NC)g<QB|4R#4D z$hwyx_5>7wa^J`e&_;&N&z_xs_JM{2;YT($@16l#C&=GY!3nx<WC?f+#j)lOAi-|$ z5|8fS48)>a$Ij!92miA=9(>LWs+B-_0c5vZw~Q5dp@rk+*DjsV(~CPHTjAWgU93Pi zfq+&#fo~nT37TQ(0iSRTy*Hr;JRjZN4LYFNqkA)`1A=W0po<E4^Qud?h+Z@3ZYjsh zuUtAqRKS;;+yGs;(e0xLvI!LE;EPW#f^70pNr2yUlG5D`nho~oZU*IMBs;Dj{Lc#Z z6LdjSw}}PV3h<o^0iB<kA2EXVJf8sNQs}lRm+l-3md+5BfKJHG#g3QXx_~zCFn0Q= zgmia<iW@|@bsh%?kBi|ws72k)prQ)YUjnZ^>=v;AUr*s-cnxe7WWW++<pD+phVB}$ zO&+eCM>~7K+k7v-cjWxr{G73~M#aaado$?#QkTvTkTWP;7(Y069splAatwB0iAVQj z&?FDYK5+Zashfq#rSl{BegO;UwI)+kK*w}Cb%!v4t{kzr{K}E@Dr8-*jZ63J9#8;x zBA<qG1Z>4&*wrZ>-IGB_kb<lLFZ^@rW>EsIP6w@NcYs>~>M}ZYhbV!pa6q!c#l`TN zE9Y5ITdYJS!m;@&qf2LwN(|_-3ebYg2;|fT>V7moV07Vp0bU6MN`#<EE{{&o0qMt~ zspK1^CS>%1-u3{xs{&M;g72sRU0T5aIuZQ=XwyiqI}0eJ!KW>Eu2H!Fisar}7SG;7 z7LVR+7T?|g7T?|+6&9E78kK}@7nKy(*8d)zTU0#2IckrJ0}}&7i74n24aer^j4quu zDxkZ^UuJ`(Vds5-?w<g61-g4wK$e28@c<pU47ySTbeeCsjv#b)4!mh7z^8MKiUkvB zkZKM17-+}t5K)H9phW_pK!&BW0N4dL;Nw2PDG6i|C^LZexPyG+0x=nqC*Fbr)uUTP z9BfhxI7&hH(1I=ofEncjia~H90T~5K@s8a#Ajg3Y%LVU_wCENQyZoy2CnR@Sz%<%` zG=ihvv-1|{S`ARrb?Fu{?uMjUXm)il1m748_H>CUgNxyH$L2?jpp5Kr`5pHZ@Hw`e zpCAdr1!N@nkay4(BcSBz*lnT#O1O|j0g78t{?O3`iM=)a4$&3?yNU;Vp$2&II!He# z?Ln?%xe3nK1)wkj1+r)7scs!jnC=2ls)gU?Q{rOyo_mT4D6Am)Ed!D#a!S}ZuXom{ zWPqFq-c!?hsZ_ArM<t{AA!K`M060+|cToYy%QqL50F1=w+j$k79zl7Np}PdSdiawI zCurlEMdx|W<DE4sHa^``RKRHkbOi?^14D@fMBefHbr;Sbia)+z<NT<20<;^u+eO6& zym8F}dO*u@7Zs@YA%!uhCI=-y(ETZp$zX61PymW9Q2K}8f>HpxlLWl+5PV2KC^<k< zRtq?fK+FIoEU+2in^Ztq0d5BBO)8*{4XCaF83RdGEbvtIq7%}s0XYMdtDr_e1R*Y& z0=}XiR1ARXQkX%YB-YI$3{7E|LFRy@L74?KoedfIh8X}_M*}hd)D4Ci07+9U0-*E* z(hZVx>=qG%=mzm%x<Si)L2(UPObfl@1ahIvO>h8Ifb%Fwql-!fL|2GP4QRhZ0q7_U z0T3$##Nq($#0CWi=ooC!oHzIixC+q10g#2DwKgD6>|<kKVAusB5W8RzSHjuzxAB4s zDo~;ZHH*MU@HlvM$EX;9E+YXAH+b~!2A#MJ>hU|goD5P7lJ)4G`~k%7tx-t;FQ(lI z%Cq49Ww(z?LU)Kt3g`$bM(8TB?-xNw>?oe&1XrezY8w;`;3V(x?HD8Ea+d&@rLQGh z55U-v%Uwc1WgsXo90$9z+eam!^<;^7w~I<h>w!{!NGSqZ)cq24qa2d+JkXp6vKCx0 zb-SqeKvxBOfK~-tf`ZoKwKGz8%0&g_D+X|Qcyw=u`51Za^VNU<|F<51xDovVni7?O zZcsIzqhbNdQ=P9kKXrzvICLK8Jl|QP;!+|8&Vdf#ocHtlRn8aS{O8i`qT&M1e-0&i z9^K6#Tfy53J3;#!99SS}BL*p6BH;I~xTs*icm>iD16{k4@a_M9kIrULYt5ta2&g%S zv=h;zcXk1&zUg)W?HgHf0d(PtMjrGs71;Idpjr-;U-u)+cy_)6M?UySerV+h>S!8x zbT@!JfVgl4blyh_SgPBj1WbE$J0M>}hqS*QT;`!3#9pJ~fjB9>Mg_b%!bQagY*2Rx zr0oZCeRl&|0}#nrNc5v!=VF0uEU4-Q6@^e^A<aFAv1n~RBxAv81>Lm{$i{-wXQzvb zi%)NkN&sj&!U1$EhXrWk2GWi<!vj9BW9~r_;nNE~4ic0B6W~Yhqu#^<cBV(~jv1hd zi%v+h4|*)W4>V$+mo&hSdUsKYa5X&X*?AXqK^v%5?a_H3e1C_JiUA}h5v4xZ;}Z-( zhWCQ5diiE}(h*!@Komk9i%1VXou5JX_JCR{uzOlOJCA`f9<&Mo8wgDosCTu1eL^T< zKni3~;fosDd%%kw5djY2gYpYx-6O<sq7n&YCIXukpu!c|3J@P+1-NVn#aMR-aR~-o z37~qRMx_E_c#TR0$Yd8#`M(Cd)fkj!FpGH5)jjb0d)`ALrME-{=Y1}a1mXj}oCB;H znm9mp#|0(^2Ji&{?|nMIA#Uyf?MQ;9ixw5o%}k)M0SBl@H#BMN0gEFp0stEg+w6%* z9k9y>z)7M-1#~|Il3B1+0lV4-Bn_%WK$Q(RYzY(^E#R9YKn9`)>>jW<B5WaiQ1G^> z)W8iVGHrm5;sK4LqLd+fz!xDPTLDrBv7!WF1u<!(MFn)Y8#Z5n#F4E4@gY{^fUM|U zqjCaNg7@}-O#sCx$Pu8{0BB1Q@~{W&W+l+b0w|QBWecc_11dUUU3+*r^53`fFRTtg zN-zhY38s4wcv&F$lBjRcVg@zUXn+O-K<8M2;t?s;fW!%>nr_H>H{e7CO*)`UF+gDi zO@^?f1H1eMBn?{e3<?*Jm4u5GaE}g4yn@7$10BSN1bPbGa3Yfq=r$6No6%DzNF3P; z5FcVi48jWHk`B1bfW;Reabzn%e25jGo<HcIE6_a}pb;{V3@GV<905u?Aphb@I-pR7 zC7n*l<zet7^Bzlj0o^|fE@1wH3m8#QV4$WGP;(O^3a%&Mr3*-$U^)R^2?(ipAPo}F z&f{pw19bBnPiK#c1n8W}78My#b|Ef#fIC=N0v05W9IzlhBw&3IaYszkq(udEY#qq& z=*a^lj%)>p53#}pVFhu?19Vy*HY-5l$X0;(5G!mzR`jk>Spf>F-X0Z@3@CYk905um zAphb@9-vUho;*MW4QMO{((nN1Youaj0jyZr0xed)`*i-pRUZl9C|N+_7%ApI*eXPQ z1ZyFAb{<7bDV+S<9C$&uNI_Bx56Jt(r4-PbXKY~%5=RbW5FZl8CZI3|8%|_F0-6%S zW(7zb*$NOJVg+bA3G74S+9!~OfY_`6O<5sZ0pdff0L^iM3KDSlqPGXUln|6sK#l;V z6p(*$r4$Y(1_o$K0UcBas)KwwA&~_wP_U<z3DA_%`Q4}U9oCA60Y~xxiDM)WNc#yX zc|a>3SWDKU^DsCN;T;<fF8*x}e4RZiKNuMpI$Ko!z+;kNQw21Kge`zU;>ZCE;zI%$ zG$0JNp18hF3-~5CY*v5<j*+bZ@gY`#CdHsu5Lcjprb@6`0TM^H0>p<{0h(q3C6f+N zj_U1!ZVrMt7L-gt{>7C{K%opvCaB2+lxjc)3igI=12ma*uYndQ?>##2VNEWe{wl~n z;6OlXr+~!Kk_)Vngp^!Bt>zeL9}3h?fpp;cw|Q{$Z*$=9>;d0<+u5S>1~lXdni+A% z+WCd7I0QKZy($2We;@}dhz|)?(0mtc{-YU`e~{)T;Qds{<;&O%1}!x}HW<W*7z|p_ zjcd{a$zafG25bg{#E}gK@gW97E~;FkQUOf}AQ@130kRsD5I}yzl@K6zih*ye1YIQ! z@-Mh#hZYvtQvqmr1Kj$6bZ@||56{l)9-TMAdO-tw5%AJtGNjA927E^?BIaQYXIR$; zG;RbQoKpY|$$|Ptpz%2g5C_z>1#tvG98miO!~u`ofvP6Z$Q|g27SP<oKG5R9T_6I~ z{r~RK`4SWkpd<$l2POsvumd4eLLQKbAMDW!R)?jP0uo1#1rQ$+3!r1Ry1T)R!0u*H zWscoo&~gH7(F+nsHW<W*7z`>uy1PM>J|5l8;3)^h<swMw1~jCC&0vr?vcVue#9+{- zOi%%m0Zlg`8BlnEtOkV_$Zy!v4Yc5Zr59M44oxy3VNd~rrPZ1MEj>EH(+;5FFYraS zzmOA70BXVk#Vkk&k#OJ%20CH_9m0cLjA!)*dNE$GN9X5#e4u_3v^nk3yBjpX484v4 zI@agWyBm}NJbE{S(yQU6o%Trg;r+mQA08-nA@>uk0pEwWZvpr|JmeX<(fbKT?<auF zfFa*cP{P8%(24hcf((|?`w2$xC&(D&_Y;7wbV8iEED4&q@7|*VuIO>!PXN+H^8ExL zO)#6l_Y;6E!E-+WNCRk;AK(21ATtohO7?-cqxTcg;C=#7?FgFa0_}nZ-A@3jCP7yc zfQms-8xDLw0jR_SaYpYa03YB8YLJ0uL*Ps9u$|)wn!*JI>gfFhod+*J=KS3mqcVCw zLFefG1cUE>0#J1XtG^KU6M$+Q(80){F?RH2uCV(FKsrIQN+30(_Y;iXPrv}X3}Ezr zg3<d4M(-yWy`Mm3^nL=!sdS)mZt$sexbG(bRi=n)7<NAatQrO_07b2aA@>u2bb+d1 zu>2*&rV;Q$LeK6g;2tlcBiRGtkKRuJ8g}h1Q9(XXcJzJ%mC^eN7#J832c=`{*Mi3W z!Ts9N`w6ghYDe!Ua8Vh(pJ4QUg3<d4kQOV$4+%#*e`oZ5f)Ew(RsrN=*fCGufgXj7 zG~7CRKLKbX)ad;LtOuVlgSK0Y-cJA;f&?uH0qtZ%UJwE=dSD0VfG%wXDF*k(M(-zp zoaO~DS|BIZfC3V<LJK({K`U(-nz5ewIeI?<_>54{d6b}4MI@g2NsXH)zzcI9f$xt8 zo#qNzoeMg*fdO<D32ad=NELFFBIOOxl3~b51xPVCZ;akgaH07D^vHYgqFF@vf>+K$ zat<hbL919nSq7vENBDx4^FqQGq!=8&qxTaqjNVT`+&Q1%b4y3>CqTOdZS;PE6Y!-4 zgf2nDc8)rpjs~>qs8Jccp8&K{9JJU4v~C$x*n`-h#aqayB97iq-~zhw8nllB_pPhg z`Y5oo9uaq_p`WiFgLH=)=&XDy-k}DweDr<-q<iZiE0sp?Ct#rQnVF;a6Chn7g|)u| z*_Mdfo`&Dyfu+APdOrcEzXCh%9@G~BHydH+(_-zjKz8Ec2xBaLmeKnOsNQFRMHpxY z97=?NHu*tEenIUH(56bz&L@yMv<Mr$pMdjw=YZbajQynV(fbKtO)Qt@pJd<Pj6G~I zJ1@{NO!(p3qxTcIULdCPGI~G3NbS5}&sn4Q6CC^pn^zmXp8z!M0X`=ix`J`^egXzO zhv9>!e^Blz8?d|J;HRa7CVfy(O9%C~pp_-4VF{Y>0i6W}Qi$BJ9KD}_%C{%OqXg79 zLyZzp=L{)IKyyT(C;=(N5hak$8R!6ekYdmQ_Gni|fKEyny`KPlP$Xz#7Ic~~=!`hf zx?fy3nGePL2|(+=VXMX=_Y=TYjDyauKwUA8xSs%|3$$t+q=tI;6M#&Gy9Ind0mLof z!&lIq0=u68qzQWB1^7+^k6yy}69l+)9(L?J@7ejyv-7V<?|KD3RtAsG@155=Pq}m+ z^z3{AS*-Abv82qmcj*B>RtCrBy#oBK3=AdG;3e`dnm-wDdUS%$hH>fq1y=3ZdCW!g z_y5B_ozGo5|M_%&f}Rx9{DZO7z_an_jtATfu;W?{Pr_9>cHZ!4KEi=GTlXcX#zYl5 z47p~(<9M3@*l!FB9=-d(hk7&~`@sS_49oB&SQ|tgj12Z@ek0J`2Rd8Dqq_~1pOKDh zfnGt-_y}|oisnU^ZWard?idRe$ICAqFTZwde$436Jq>gSNVk-W;o<He78lN2o!7r# zcjTXXkn^(Q@8-vh{8J7X{_niWdC`G?>Twr^6E6JQPPlfSa^(0`V(HlYfYGt}Govf# zVHd^wt(Q7$R5)BZeN=c#)LU<tDm(ITJLJN7-c|7?SkOiBhT@M-7Zr}zoN$HRE-D<I zZ3kEx7`k0lcskpTu!6k}J{za;*a}t#2GEhX9EO(+A%l7z%|`^Hp&<nc0gvuB&}l5) z9G!gyYzz$D4lJE*C7=s3d+Rhj8jnS=K|;=PM+yT21D5b}40jB33<(bK09|A8Zy!G> z>%&f3Y2GaWTA#?@(#gQUaI854#DN{b?7{N=Vk<{Uluz$&(2*;!v&lTV9XLE%50tPU zcK{!f4ox*jJdT56oWY}aGUz}~#33*qoyWmS48MW==+pV%xAOs5;I)KDcQfd~pl=L} zrEVS&Tfj1&-EO#!bq2W*bgXm0fAF!+AlJhZS)611;cpHsjHOnjx)_`>UwZ!k{~x5E zzop>+|NrEf!TSIIfAIYwphPPH4RHa~5C>fX$iUxnn+X)+A0Q##4GM>DN0!b*-!CZ( zg7c>1uKyqh9b)8f1#N0^HN4$=z>$CI0m!*jKHa;)IpM^?AMyuZNHd=3Jaq6E>%m9N zj@>rms^H9U&=G9TUeFHo%TEoz@h?6PIx3t2ylTJL(os1_oCP6JqT$iq3{GbP-5xB> z4;jB->OArNg5rf0j3u1l!;cM5zGmt?!Fj^9<8bGx@0VH+m9lo8bL>3n(s|N_^JeD< z7tR|clAH%!I&Xcy<idGN@sQ*9i!Pk!6t8{1=GghkrS)Qo6f}~Lvw*LTgcW!DK_`Pj zuQ9=Kj2A3_cZ(Qw*BG!|es%eM=ReS)Eud?|H!`{!UTVEis`c#<Bmb0xt>5^k9#A;Q zaj^AUiMRv*)MMWcF*@>3IlytyQQ?E5!2wtPZ5Q~r9dzlu_Wc?-gdBH(Lb~-Bf9qZb z1_s4nu7)SS9byCr&3;gtE-Arv3Kd%E)2(CC9b&<9`7QVydynpBP`DXua0jq(UWJA( zm<PHG;llR|iZ2XLHa}qGcfHtowfQR}C>R(lUzD<RUhKTic^(w{yFhW*`lFQ9vGZi- zsm_ZooEKd>Pq=ViC=ug4=*aoWQSs3COOBiuT@^1ne!uA2dBUajAb(3E=rAnsRVp6c zlR<4Kq#IR^K{|EN6w=LN)E#2Pa{0yOSIrL?L3gMecToW)R|b#nZm{DSJ6%)+xC22~ zwuqFlaUSXnQ4v6o#S#?(P}X+b0V-Ntq2(B!JPb<npgjEV4>%8l@`Fe75s7HWILG+d z!xMbE+d#)DI(9R3wkfbPFgSusJQdKj%kb)ggB?=nVJYq=IEI1Cx_yGk*{*jt<nT`L z1wh~fsK6zSNAGUXF~KMTptGk?1b%?-bpuy!aoFqw=Xb|YP!Wq(A3F%*tB)TDg6m@g zA&Tnb7tblJk8!&{K?I-s8AQSE4-lou{SxnK=l%w9eC}6}0K30Hf+F{Oe50NF7f9iA zzk@W`{S%}qa(~5NO5N{qyln-j76WCs-hH6#<k5I+0yEAG8qnPbIUBeQbc7-9wp_P} zMYoFuOXu~=?=Qaqm6MB474fwmDB<#e9-I4GxAS20N5<Bl5Ft=m`C6*=0RPm3oh&My zE-EY~JRAr4x19trJ9$*PSyVdPB3Qr;F_zA@81V7_`#`zfqw$yn3j>2^=L1kV1}+#P z5KXWEBHNxcaW4m?;mFe2#sg_MLfgw9aJv`O-UBs16g)e>dvv#fit%m_7EqO~Eb#3R zV+l8Cr95aFjp22q;epnZ{8JBjcK3r$UhEEJ0ac31hTt1YIKj1#;mOyWh9_GufkO3E z=XLPiIvkznIFEIfsPL3XaDE51bUTlKzs`AF@%#5noEH^;g4)N9-TpSd-R=fRRS)t4 zU&n3}3CHdb36{(6F28F2DN`rk>&)TUZNsnwv^+)=w4m!b=fCE|JdWKq5|^KI{%U^4 z-u#HYvqVLpGekwiqc=u{!>6}IMFP}#7TCwaz`(EzMC=4@OLW``N{--Wj!)<JEXH7P zjDi~0-7YE;j-Bli?BGNo<Jj3Q!_L6q*}ETfrmkn>aRzX;0@m)+`OmlW15Bp^xN?G| z321xVqq`4OO?3-)d$Je{aC@+Dp6Z--f}Me(v+oSJuBuD$Xgsz7VIr*V2=?io25M+Q z4RGwXas>5Dx;Y&ce|9^vxEdaC>^$tqdAUTymGh=!w-o1PZbro$j*5pJ6%W2<b~U{H z{kmhf6AS0@=I8v)kNCk8+aBF*;1(o@;?Hge7S0Qxh8X8{kM3z;(I^&fDaGH4HxzF= zb{^<F<-&Q&rSkx&xhBd9YNWYxo_16`{QaUM=LuKE8z3tVbWW?_U|?|RY^&h_byvV0 zjRX!*mIen-G|Vrc9*R$Q8|V@RaDA=839GNci{atLfdD5+FV^ne1l;w{0a<+IUxFOC z{M#T$QTg}7nuo&vEN=HdP{8N@21T&@FDO#vehp{Zxt~D=pZgc6fZhK=g(CMycv0$p z$1qT72kUGJfvO=y_ZD<r1OtByKO?BK1-kc&0n&fMyvz`OJ}dlQdjnXn1AKoQ2jXPb z8Wj%Ev8*mCJl`+09xsU|cm^@FQH^@CagB-qvXKH1BSk!VcY_LN(Ar9Y*TLYsB(a~E zOo}^^4CR0xsEVh74hknw1O3!La049_hR_B&s02g}Z{gAKb}?YN`~q^#9V}f?GrVz^ zFE{M)<<AOxaQ-}DPf`9XI8JH)#O?kcj`-X^!wKyE7fuwpf5AE0xnIH+pZj;Xg5A&I zMv?n3T&11+Ej;kK|Aq(H{TiMWxu4-K?c5*XjnDl*yut4G@S({422W_`{t7>Q?w9Zf zyFbI9BKIe}rk(p|1mbhQMG)Bi9YGYif5Io)xqn9pKKDn2g5AF&lp^;Z_(?nW-w4O& z{)z~&`%gqr<o*u~yfkYc|A@lp{u$9=_rHjy$o&c&v~#~i96tB&hy%NyBc3An2k_I* z{T7M%+<zkx?0$_Tirn8IMmzUMq~LS^j})-`JyI!h{{~swxxXSEpZg^;!0yk;pve6X zRA}e^8Cm$;Z;=gle@8Y&?ibLZo%?s>;&XpQ9@zaW@+fk@gE8&gf1?1O`zs2;?mtmT zk^2j*Xy^VP#rWJmqXg{!7bO(Ae}N<I+%Hj%&;2{f!S3g%pve6fJZR^Biz<BXzflEt zzeY7h?q>*~o%<td@wxv;E!h1YbriYZAe?sYuV}#Meu+k~`!gCTa(_Y`?c6`38K3(t zTEOn_Xrajc6H;mC{vB=j+#k^ncK?cYirjx7hj#A2(TUIf6<uKWpXj2<{U3^H=l&l( z_}o9E7wrBQpoMTHWUp6KsHUC!B_`l=|BeY@_j637DEtGOXy<;5$@tuVV=~zN8dE58 ze?uqj+#fLwpZkAI1H0d2Iz{f^FoAaNub7F?{Svdl?$4M-k^3J^r=9y}%)#e=i@9L; zcg&^8{Q~o8=l&h@@wq=@0oeU37Et7Vhh?;L|BXfX++VR6?EVvrDRO_oTH3k)$5MRm zpRo+={uj$Aa{q!Yv~$12N__6$u@dZlj#U)7|H5wCx!+<9KKI{P19rd0T8iAyaF}-P zk64e-{Xf=&-S4r1BKI4dqMiFIHsN!>#AdMjGd5G?{)CIPbN`I3_}p)?4eb7oZ4|kG z!VTKFf5#4d?vL0BcK?c<6uJMvecHMI#%_G>uh;{2|A{>mx&Om6+PVM7K78(<u^;UI z7yBu4zrs7(xnJTCKKJi91a?2iVT#-z@RfG%w>XN={Wp$+-LG+sBKJ4^p`H69PT+I@ zj}u_`dz_@m{TrD1Xg2><aT=fdCC-4|pK*pF_dnpGo%?5;!{>gB^I-RPoTteB0z$NN z|Bj3J+#hiX?EV#(D007pB<<XP;|f0aS6l_V|HM^_++UzTJNN&%j?euwZh+nY;s!<T zU!YDq_e<Qy=l&hH!S3g{Ly`M0=+Vyo7WeSE|HeJA`!()U<bDP-+POdCAwKv2cnEgC z$0Lf|Z(vJ1_g6f@=YEN&VE1P{rO5pWF0^z1jOX~=Z}9@`{*D(ExqpH;?cBfP6+ZVz zyau~}#cPV(e;|l<?!WOCpZhD`f!%-N9YyZ{5J@}t|M-B<{WCs--T&evMebKfq@DXE zzTk8JjxS*Mb9|-9{Q()YbHBxReD1&T9qfLM9~8O2A)j{ckNAbp{Xc$z-S6?6BKL17 zqn-OJ{^E1L#6Ph6GyYNJ{s*<RbN>tmHazD|STM4I&YS39WTWJ~34vDHxqk;UKKDnk zfZe}>g(CMm^w7@zH`wsGzk(g?{uAsJxxZjC?cD!^6QBEMaDm<bf{P;eFPKF;_e=2N zbN>!ru=_dqD02UWg|u_Og#bSH-w*)1Uqg^0_cN@do%<t%@wxwpFxdSbA{4pbU<2*k zUm=Fi{Sx9}_h*Px<o<;1v~&LqNqp|NkOI5ELy98zPuNF0_wSIw=l%#;u=`iYQsn*v zM``E&8}j(vU!eeY{|N<(-2dSW?cD!E37`9CD1+VqLYX4>D_o(S`z6%yxqpWm*!>*p z6uCd(HtpPRp^4A^H#EWS*U+NK{SA+3=l%#CeD43D19rcME=BI&@RD}!uh7TmehCAx z`!ftEa{q%5v~&LqBYf_+Fb2E7!<ZuX3;dv+`*)b)bAN;x*!?TaD008Uf7-eKh6O(N zS6G7Gf5MU?_ZP77(`^3hhc!O;&#(cz|Ah@j?q9%5JNHZ2<8%KGd$9XC94K=C1rgf0 z-@*x>`)@db-LK(Hk^32BXy^V2SA6dO;R<%YhZ{xiH&CXX`zt)~xnIH)?EVZ-irk-| zMLYM;@W$tU3m>riJA5c|{{%zYxqpWrKKDoXgWbQvpCb1ku%Mm$Zv^6Ve?<`3{U?Gb za{mW=+PVKn2tN1E2nD<UMJPq?S8%7D`z0dqxqn9l*!>)l6uCdZk9O|2h{oss8_{6* zYs66G{)SN6xj!NfpZkBrf!*&BPm%jK#L&+D6^Z!VFOdXxe?}5T?thR>JNM5>!RLO9 zRIvLyQYmu3KsN2%zat%=`y(>I?q88Xk^3ErXy^VLS@_&vkqvhLiEN78Ur<Rq_y5Sn z=l&UaVE4bsqsaXW8ffQ!i9&qt-%$v5KSvQo?!VAZJNH|Z;B)_t60rL<N-1(bLqF}@ zA5o6a{XfdV?)Rvm$o&S>Xy^WlDtzvjs0O<~qnaZ3C(Nat`)AbRbH7C$*!>-K6uE!G z656?cM*}|hM>K-nzoL;M_a9hIJNMsc#^?Tu7O?wIv{2;!51VM`{vU1l+&`lo?EV++ z6uDnv7wz0H(S^_bJG#K`=jf)${Q(DQ=YES`eD1%|3wFOoA4TqOI6*u2M@+!y{vQ*- z?)R8Tk^48Cr=9yNCgXFz#1ydmGp11F{s-4+=l&Vf@VVb&I@tXk(<ySlz&+Zzf5%LG z?vI!ScK?c56uIBwDec^UV-7y|SIh;w|HNF1++Xm9cJBW%AD{bYEC9Rz#R7`lzu+_N z+%K^hpZj+#2D_hQ2}SO|@QZftw^)YH{Wq3@-LJ8nBKI>e3D9i*C}Jf(_y1T4cE86e zirjC&Njvvftik7giM3$&XRM{j{RslJbN`I>_}p)?0qp*c4HUV5f;jEmzhe_V_eX37 zyMM)Iirjxdj&|<9u@#^DE4G2%e_|U&?*E`lJNN(CfzSOjc7omiVkbrJSJ0)M`z7|^ zbN`M#VE1$ErO5pOCbV<E#eRJ5zp)?eevJbZxxc}hcJ7ZjgwOpy4uRe8ahM|aZ*ZcW z`zwy(bHBtfu=_KPQRMyyp0soSj1&0WZ*dar{*IFrxnCfVcJAMC8lU?k&Vb#&;tWOZ zcZi^!`){1X=l+WGVE3OmPm%iz;%Vpp9~betf5s)S`(Iq5$o&h_Xy<;3tN7f%<0{zw z9M>pv|Ak!Ix!>XjKKI|a0d~K}O^V#lP)e!$LASdIcyxa5_OtQnb~8Y_FbsNSi(@y7 zfn#@x0SoND7LUs>JTAWj-DvoX(c|DV1rN?+9-SpB93H)!I~YOt8BMov<Y4gVtx@3s zU+~m&pu`Y#Pb=sKmS)g}V4$m7!JI8%<<%M<jfZD2GBALy2lME>2i63-PYQfdYp_S> z=Y1l`*P!(723>#-z1|Xf-HJ!=ZqO~ma6$0RlMsV?cmH5yV1VDL)ZG^XvbDPnbZsq? zZ_zKCgj|ny`PJnY&5syCuAL^q%)rpuCIh;zuy-H$5}C$h3>X)&b@v^Cm=C%cj3o0< zfXzPxF&}!-+Xf8tao_iGV*@*${bCgx*+KioPHbeSWWSil3?Z8JH)rg@=YEU5VE1?I zrO5pUZqUyCJNDyqf5ZW>`&S&G$o(Jg)6V@j4&ifu#bL1fPaLMm{R+=%=l&l@@wtD- zF|hkz9HYqn9p7o^eu<O#+`r=_*!>)*D02Uff3$PI#Tk6=zi|faevPvfx&H;LFwM%J zi1YZ||KmK^{T>%6a=!#G?c85+37`8VE`!~lahW3bdx+4^{WGrObHBwku=_i%QRMy# zY1+Ae#|?b$kGKhT|B9Owx&MJS?c9IkHa_=P+yT4)#2t#<FJMeN_y4$u&;2v*gWdn) zK1J?#u%ey&B_82(|Bgps_j5d^$o&P5v~$13Q+)2f@f7TSjb{|O|3)CC?g#ZJ8unjj zWnf?^5%k!v#md0I!@$5`_zm1!@ab+2a0Okm$^dHeHy5~p*bXdUPKg_+bF=$_Jc!yH z;RfljU}$6NZ1w<a^I*C70>ZxdviTunXSWZ;fB<K(0S0ai4A47(G0b4;Y*v7paqtBb zgmdsE6WA0Lh$$;T&S*T$0d<B)=RHUl2hD%F82*z$@}Gx0lK*_%LGIcepa7ya8@QwT z53UX59I!Ts{}kLA7@+=BffyhGGNAD=2h;$#%Rsl;PMCn^K0^%mJ#YcJ2@?Ja9w0U} z{8c<a4%>YIWPI;t4i8lK!L>1UHvd3!ABYWg-yewkIzR?A9)3WS`?4_HSAgt3Ph|Ib zg59T~45Bu3c%r%wt_|cqu(u%L_rn7oet#ey{GbFj-~pNk;RdjDHeY}m08Shr4lHq8 zff%s^WJKfP9Z(~XqW~@ZLD!F<rhf}0|NTJr-yewoW<dP+0L_1JZA_ib7r@#e{sXb0 z;eQ2UKmy2s#=|?H1|U0b0!I1(T`z*_J_cm>c_D@WA1_e2?RHQBQJWulp@u(P8_0cN zZBX}l!NdOw!~hP60XuLRz|z^g0%`y_{6QR8_^*K&aRK7R2~Z=Dy@(e6kv!<-&k1Lc zpCIY~f;Wf_P5)QCK_1)fVF#i%@9;+TA6y$#XY&fMHi-W~Y-sqeffyhFGNAGB1gHVX zj>8Q97!3DKKz5%Gvip2M4%__!WPI=D9X_b;gKGo153CL9J|B4auYnk_17g4gTn4ao zHa9>G0Ea(_0}KBah!G7SBN`89K#f55BIJHu50B2zo}K?Z_8T)WFo13~hnD{y-OdKx z-WFXf933ty4j!G4d^*2_OXrSe&?u5mZ!u`F>H&DL3OvT-xT8aufx)NqJ8a-;7l^+T zL_h>RnvZxyJI2AU^mYsfxuEm2$9@x3tB*A=U}9ik^64#3@af&%!2}9EuobR`2Yfoe z`*i*TI|QPP+3*rr&T)r@7ub4KX(qVzihD3=@Ez-LXFv+$2@@uOT+{pp9v<eXj`HYk zKEc4i(A^ChUBebC9?T33KE0a{fClIq4;z4otDr7h(8h=oFd+U;5P>~lpe_Q1k7G!1 z04##6P#xsi-DVRY!r;-_`~hq;cr4JTckvB~%^VC24B+tk@6-7V9A2K?Z7v86hL?7N zlsN9lkYi);=|ooLgGJQ}ZB8^*Ay`ytNI9UXiov2PL){roRSFhWD@@$bROMh%^}^8; zO;rgNRT}<2XsT+ksLF`(M^n{;Mb(PTAT(7ySX8|z3x%rkXg<Ob?HJ=2=NJ!8a|~dR z^}_*7cN~V$U=Ak1h$+MX6Pv&Usty<!9GD?=1rLPYAPS*h$U$fY4G6u$076F?LTH5m z2ps_`c^McOCL}@l6)g~Y!6XQsFc);!IRk^hJP18w8HDy&4xulshtLfhAoPnj5ZXae z80>lrcL;q$0F>Yu7#xHk^a^1J{X-N&Cx}C611ShSLmEPN$U*1~6$ovh2cc)^Lui<J zF!dk)vxDt@AOevGa~K#HBtApL4Zc9=4i1Ps%pDh0A^Z&=A@UW!AvDZgCnQkiKggr< zVfsPw1Hz!8dXU|WRY44l6#|UXJnS437#SEW7#J92KoSfL3?D$W6Q6(+pM(>if)k&H zBcDMtTMuh5OCNJT6Vp9D4Y05TSP2J2Zv;pW0|P@ph<1eOb>!1<=R3gI%-YA&&)mku zR1Gpq0c@^-BOl16AbY2P^pIh%00RR9sNe%>R{{~B@MK_McmibyfM`cPfo3KqFOX#t zAU-G%7#J9^$;m+Ff<fva`g~#fEO5wqFfcHHW-LHj+(Bx<fdUFQN046)npt}wp*9i3 zg?inIkHeMEfN2`45Ch1p1t2pR7#P5XBQCR&Q8j|hN<|d{oAm~2Ryc^pWmX!hMvz$- zQH2;l;bsA<g&7za(8ERp<bSAK0t*8J1H>LjK80qmznOzrK&eQ;iH`%+#A9fHs=W&e zFnBs~0wpN}M?MQjK8I%Z9=2Xsf;kKlG5||M!_JWp)U{wZ0W}9S*TjHq4m7PI5*$3O zI)X#p5o8srSt86J$1pJTfGFH%<)i9!<ukxyRst2xS_3tU8h-o%HH#X4bOFUP19ie{ z0u{}=Lq)SRKqC-T@+0UDPf*gLM%W*Ky6Y&&K-_6{1u<!rhYe&10|TXIB``2BL_p0- z1LaF(cR7Kw33|R}wq?SWv0eEbT(F6QTCWTY411t<Q0iV#Im-d5Mk)3?$gB`5nzew6 zX1$@JSr(wmnt}4P0t&Ads98Hf$qzZLpqAZph)Kg2sAQG_sQtqUau5T<QV`|DC*a5@ z0jkHC`<R#}f|#I6%#}~U1;z&T5Cfok;-PgclAgCPb*_90OmQ#{w2jgM)#neg3)0r` zgtax6;E_9kNA3<DxgU7sM7ThXU|_)J76Tk|F$@e0K2W(+AnnNUh&9hMEyR|!ab<as z`D>u&W26)8=1(BS{1gTT1|DvZ!x<R1g345a?q}v;#Abmbp9AwZW^6L9d=3l@pt7+9 zYEK);KLqVzdW_95kTrFj*kl+OKw)(QYR6`fmk8U@h0P><abW@Jb}`V({24Sh{|AlD zkKhFbJOj<bX9tbVm*AtV`zvT{{tX(NZ^2L7@R>nl^MBCT{0LBIoPmbvpT_1(2+}rw zD`;%~4H}zo0U17{S@|}D#^(QknhzQ-M;R}`-j<k8YW)}i8BU~G`0Svu`4Z59L0Z-C z6*M;g293?P5T$MTFoVYC|Ddt?5n{9rpB*$dUqYO=?ysP+`8Q~6z6EFyn1=QH3>usN zgU04ZNYXa_?4Ysv5>m8ve+7-rzd>X3Eu?81J~L=+{tp_P9|4^_q*eQ22aU~_kfm+> zR?yh|8#FfGLXNiKGXrY=evmbU$FHW5+CKjQHJ?`LGeREZa2oc1X>2}dRVc^@v<m+U z1(4~q3!fV_Hs3;#cJ2p_5zl~{ZvvV}z)b%xd;uu);!Cile@DImrVMNn43IJ6KTtdV zfxLog2m0LmRcuD#8zYW^F2Vq>zaVV>Zc@$PLu2!0ltBT*z(A`!Py;oeR%Or~8k=vU z0tp{lxqlASd|HM7AE^1Xa(|2}ZNp~|4b2CYK{9F(_qS141{Gn?*a4t<ss^YXw2I>g zQ1fXO#}4X{Frt<D3utUU18Bh(1MSMV1gQD63ZDZsHeW%Lw(;8lHJ@hbA8J0W!rwuQ zw&AmY#^y6<L)=fRHc$f8d?D;*D0&;~CHA5c`!Xm{`FVhb=7Z`01szEE(6Sxc0=0t{ z>pDPT^aN@?t@412E+mYef+)i6+&!em@e-){v~oX-9?0Re>x-q(*!&|jHeW@bw&Bx4 zWAmTT*nAhzVmTVl1uUVl`7DNz_@&ica0=9XTIJs(G&Wzw2ogTDa(@er&3{5;^Ibr@ z5^0(KX>2|VXyGai-Je2Z^N-Njd=*m~r+*ro|AfZoyO_~7e3sDId=}6yT3V)m8k>KF z#^$SlHs#SUd|GI1{u3IT?_x>g^iN~+SwNe%X&ApLG&cVTjm=lFrfvMT(AfMZG&bMG zhPL6egvREx*wWVhDKs=6G)8j-YW^J1-Y3H24~MXgKY;d=Y-PtL1DPw-u!DpVt;Q)k zpyt!6kMV-W=6l#f!iQGwUja3r7UPtlG{gZt;XwlXI3@ag^D1m91vKC6hD`!G-<$)r zgBIgtAU~Xenr}&*AGTw2D##B}*d(BSFmQy#Gp+K$1gQD6N=F}PY<_?fBz$P$eo!25 zfSPYlVH_vmiDMCGh#zPb$0bnnX%R-C@$M@$Hs8br5<awY{}dXV|Aofphq%%<e74Zo zd=WQ@`)QW`q2|*n{am53`6lj=@S&Car_k8^FElnk1hlE2hW*JcG&Wzv6B55POaD;w zX_bCJ2RDLzKr8c2yg;VYZoY5|jm`f;WAj72X&XLUXl%ZS4{hCFLSyr<(9nF)wn7tM zNcdEOC`Ud4rgA<Gkhl*PanLrS6sS0O$vOCJj|kAw9t@x@%neXE=u%ejnQK#^V#}fC zyYMMAGchdy9YDeXGH(l19-Da=pmNYDL9lsGpki}T%$o)`kHrrp!N34k26i8lJIH|` z_bEW-q9IDaZZ^Rp=7B{l28&n$7O@sAVl%Latw9q5Z2>s|6~pDX2T*xze)|KJL-v~h z_|Ovu24pc6EMgXDVxT1F0~Nal+FOq~4&%<ZfEjtwHggfy@fJtE1<VZKGuGxn%|mxP z$gUkwxm3_O2iWX7z=&ekXI^X_9!I_dj0_AQ^Eki<;4v^90_}^&X5I%>x1Z#|ZXW2E zPf!|-fSQNR?Iln-YPfw40|UbmsCkz_eM4++Z$Nc>2M1pVvm@UGW>3BbX69^0WZp9r zo*oWgHZU_AGb3v&N742k#B=3q03CVCz`&3a2+2IzpglR*+&6&@C9ck6J=evNZvq=6 zU2K7xhaR?|u($w~8;oHA>YEk>LBe7eh{6mD^!0EPv86Ixdk#S5)di^e$mQu1sMr^% zosjbMEx7yx>0tpMV#vS%T_ylN`%(fbRsb4jfSh6I#HWCEhT|R7gApD148VFrpnB~= zXY9fK=*Xwx!sh@x`jT0X8A&tb>`RbaCP4MV%5TsT^(9cTM3DPY&!Gy19U}z_+cQvg zv<O>}`8pvW2QV<u%KRQ0oBxJ}=7ZwSClnGsu(Sti6C^;zV0AguQb=2&0*g3knsNeE zJQ|Vi96?8nIY5uk<Y46UaO4Z{<a4M3B}e#~t04Q&K=neW1Hg621E|<ZkkcS_`(aq! z{s$@tOKYGw76=0=q+J{r(AfM7G&CQy)Z8E(5<a=m_;KWuaN#p(X6|ERPGW>*Mg|7( zvicYz)q=vk1FDu*dFus@&G&#F_)9DIub{E{9FdTCrIq_LXl(uo8k?^XMceS{pt1Qc zXl%X*w8KEF^s|D-=5v4wAqLu&`x!Jg{{)TA*NCNY`lqq^FKBGOM;vX#X9bPT=ZL4R z`!i^4{s|hJuK}8TrD6HdL1Xh@(AazraOaG6<>v|-o6nI%<MdBs^H0#&e2rw<hEE5L z&3{2-^F2TZDATZfSV3d+IZ|mGzZo<({{)TA*GQvn_;k?N{1-Gf-y@y2;j@Cq=5u7w z*8LeYHva^T&DY4JZTNK1*!&kXHs2$Qw&Ama#^!Tm)7JeNG&cVPjm_7{p>6nd(AfMJ zG&bKOm$u=vg2v`^<k8ms88kNk1dYwt$fs@ibkNxR7c@5Cqky*Ivx3Iva}?6n{TVbi z{{)TA*C?WG_;k?N{1-Gf-=mne;j@Cq=5v(L*8LeYHva^T&DSWUZTNK1*!&kXHs7O+ zw&Ama#^!UB)7JeNG&cVPjm_7npl$ec(AfMJG&bJ@ycn2<<Nq`^pQDPl@tZ+o^H0#& ze2r?_hEE5L&3{2-^F3;48$K&&Y(7UVZQY+iWAjhY*nEvT+J;XDjm>{SWAi=gX&XK( zXly=518v=(L1Xhz(Aa#9M%so?2aU~tL1XhhnrIt7D`;#!M>B2RpFv~uPte$WjTYL5 zPX~?7e?epOJz8lSJ}YQ!K1UmE-Jd~Y^H0#&e2sS6hEE5L&3{2-^F2Cf8$K&&Xg+8k zDMu&7{kZm#YCz@D_uPT@-MB#Ipi8vDdt@Wf#6ZiFbD(0hS|fgj#^&pELBfbu?(d<o z`EO`!zE3x8!)FbR&FAT%t^0FmZ2lP<o3GPL+wke3vH5RkY`#w)ZNp~`4b7KeU|`_s zhq(U%h=S~uyan1T36j%*%FRN^O@Ya|;E_v!%H2iiyAIP=1C_&O<_xGDHho*5a@h1; zfXbok1MMSz1C;|0r9t))yYMA|_AWBz!nQ7g_Be`606CU{0o<j4?0t6RQ-EwhWh&)^ z?>PmT=>Sy=Zl0o=Sq3u`w68S-s_r^;-=q`B7_|M=%!e6~_Cz~@f(hafEaYD%1_l;} zkN?r7q`-$_u%HVw2tdU_M`WT)GBYrt3xWB}46I-pnNU<@U=U(pVt4@B+s(kh0NrxU za1JWoa0a3pd<Fyq14ET8M18^?h&Z_Yz`(#@CkPQ&cnuK;pS!@oz_5-VBL3koL>#96 zx&%c0fI7sjFmYvei1-A5P{1-UfX^6UU|=wU+WUYVVh+rm`A~N<fH$y0&-`FuV0gj` zG3UTxh<fOB14F1BMBL#Bs`x~xJ0C#BVSAn(cp&NpjzZLf_dPQ(Fr4Fph(Cae!}c6k zi$TN{jzQFe+g}U}400k6ae?Cyaah~xxd24G08PA}4<dd6P5c};MBD&;xdY-{j{}?# z@d;?+tJxspAJD{26(HgP;EmJ>b2iC9#5bUcPnLv;3!H+uALcJJsDBwwL&RbJS|SWl zpMWO50P5cZXyOV`|0+P!8_XO<XuA5a0g@hI@lp(pFNKW|aqw9P3=9m$(D+i=1QCaw zfv^D@Ujo}9;;{Jo0&~wUh&asrbx`+wfQrN7{Tnp=7wm?phl%&Y!gCKq9K7G1fq~%_ zEIju@#9@2c-$KLl15_Lu+zffp@I0^&q8{c>0dYupIzWp8cZf6tJ2YPiSV8g;xGZE~ zV6c^fsGk582bX;e3=A`%<||l3)Pu`81_lNnX!_g$6$h7VAakJRD?sxpEZ+A*%@@#s zm;;Ni!%*`J(8OOu!)*iT%n$|!hNY0?!l1(oai@R@#2j#4#lXN21Xce5Dh{q27#JAT zI3VgLm_pRU#1)|F+`tSX4z4d47#J2o^SgjKL>#7G0v0b&ahUp-&~Tn$fvSG8D8ya^ zONcm3{Xb~FO8}S03=Hwmc)0;}&jIMYw$S5k804YmOn?@}FQDO23{5`*(0g8C>hD9{ znSdsK5t@EBpou3#!=C|q7b?u0kI?)WfF^zin*JA{iF-lwT>~4$f#FbpaX{U909sB$ zz0FVtbx#5`-+|8=VPIg;g1YCzW{3gcGekh?5au3eeuQoZV^{zUABTew_0VFS;jT0! z{5Kpz6+aCv2OOXkVFEON#6rt~4Up(!faUAg&~o4bR2+KL4ud<aK7y9#Fn@VK>mvhb z{RmUP23o&>&cp$Q5Uf7B1a;>DX!#GT*XBaQa|5*ego*!x<}Z*LAp8MDFfcHzg_;B7 z3q!@Fpy^Wp5)2G5aZPBr89>FMO(TXY%nacEJ5)QIa>XI8fkWJe9lJTKjM&9N&H#-i zz%7I_m{_r^f6Rhi{3;Ifm*8-x9}e}P<ciHbc{tS1X2R~CbR6#Ylg6$dw2cXyy`W+N zn>Z-HViP})BYgC5xKjv+IH=shW<IF8!6x2=!`?C+;x}>Fy9Y;ny=A~2Zc;enB@kEm z<FL00hxiLw?Dp=$p<WY*y_0dcvkiy&NjSm*R4-u*e^(sg%!@;O7Y=(_ao7uLUtqKM zFAjfw$6-zlj_|R;Va_@n?*E2EJ?My3Z0>A_mXjNx{SojPYzzzx8PM`J09t{7&kti@ zU@(A|pAO)I=NTAa>g}QB=LQ~7$;H3`Q@;<|zfeFvqnLq#Aq!e=KR^?=gSuw{@|nG$ zvJYx+0`gh43=9l=q4lW&w0#R6i)3J6=z+FB4?ycjnD_x`J=?GY;!c=&F0>uuuoG20 z3|h}JK-;k}^?}fOlVLxq`q|KS-2pUlA85VV06Jg~wl9`}fx!`KjshrxU}xkqFfb@X z>)!`x;{8x_79gJ)%fP_E46SDqkk2w@U|<l0g+KBcp$rTRO0e)p6Yqw)e*x$aKqU9v zg@&iX5mf(f7K5}a5}*|__>5Tw1_l|ZIS<g(zlDbLf}^PBR6^U&u=6Eh=3In^f5I_T z^^DN;2|Ldbrv8OI#61efQPrP=wv!*AiNAyTYXPX@K=SW4XnSVC2~=~YL)*^@CsD<j zq4AXfZQ#J%lP?5y&nZ;(HqdxffS%6>Q~wa!-hP0l{uk8z1*cKXc?@kQKR`2Q1uVau zK~=v5nobnXqKdDD=A#ABv+-c=;e+Pu2WaZQ!`ju*h8s+M95lTxIEQM!0W_T_oJSSc zg{Bh)=vizqbE;tC7f{t}L&NO>ns^5^9X>!aM;n^17F<L%#|9=2J@X7^ZxA#b5-y>t zw}qw?h0Cbos?hS}0h;(rXgXPN1y%hfXnIHhHO!F80To!fy@smZ3zlxt#Mxo#6WY;) zl}pc{@tbf1)tpn%{GxCZReUZqz8;{7H$dZS!7Wtvr=anYa2r)T4;n8DcTmMMpyB)g zO?(bCoEO|hRi6h9=Y)Hx;!V(SR=AHUZUZe(9-xV<Ld%T>4^Y*YLBl!WA*y%{G@KP4 zp^7I%!}$T4xC<<PAET=0hQ;p_RB;z*zE*gOD&7vw*ALLdWnuN;GgS3^q2ZkH994W4 zG@KP)po+Ue!z}@N4jwF>e}IPn12pw#py9vZC8{~x(EOzUJvR<!4mT`4yh2sK9vUwS zuTjO_pz-nm&75|aIGXxKSpOP&-W$x`?a+8!@CMcVz0i0}c#A52A0`ex7Y%%tI|Bp5 zRA~GvyhByr294hbXyWsr<0=Z!^TS~7iG#-bg7>KAa6!Z20h;;)(Dab-0ag7?XnIii zh$@}~E$0_N2Z~_!wnNML2WaY>q2<7WPpIa!L&GfrGy%=PzyJ$}x6t&H@EKKo3bdS8 zfSwlxv)2|{ZYX>~RnHGCHy)sgABTqX12l6Yq3LJAS5$MPVCfvxphYSt??CIL1<>=K zVD90Cx?kZtsyUaT@sa>N4+(s4IRgWO3M~Djspp5K{~xI41i{iF^xPn5^Ou1ImcM?Y zs=onEKMKE4#e1RYZ~=6{<_V~6%fP^}5bDkcXzJ^s>1_h^JR6vLVQ4%q_>F45CDi<c zKd9m>pzdjap8Eoe_ixbjqX6o#AcgZ)X!?18ChiMOhYry5S77146I#D4_=jq*Db$?| zppHCJ`>Gn6eiHtps!xKZ9|h<f46I&rg{H#;Xy&Yerk@9B>SLklX8|K*pbBPA2{aux zK+pexg+n+r{Uk7<sy_owZw}D&Hemj(gQgz^W>odD(Dd^FO}qgbzYNfGDPZQLLetLz z7F2VjpyfsaE2_8?G#ws5Gv^c3d<8aC_0iDu&;UK}p$!t;3?a~Xd4Q%q8>)T*JE}SP z&~zw}4N0)Da0`NlX95SRdShsMQ{Y4uPlbwKfSLmfhhNb2^8ih~Ei}DNfbQ3asW*m} zp9{E9%`bzNp9$Qk;-8@E1EBlnVdn5a>sbXJRQ2<r>E{8OxG6Lp3PAUl!@{8xntm4W zqMD-t4WA28^)P$SLDNqHAFBGf(Db9gk1D<gnhqyG_ie+>xdTl<575;2LetLz0aSBz zpy?z4x_=sGP8qcRO%Oy?FAPm@0?_@$F#j%trXK~+Kp9fGu?Cuc9-xVHL&YyZ&4Jn5 z0u7%9!l?F^Leoit2&%X-G#yTW?%#!(V*~Y<f+(tbcBnZ4(EYM7^%J4#;Q^ZZ?a=hI zKn&F!Mrb+|fbJ`WmH(;G@-smkRed6~{8W%Y6)%F?D*)YpDFn@rr=jWR0h;=s(DZ2l z-R}rfuLCVV7f7O-{|}me5~NVYcS7A60Nob|s|P)x<)?x)s(MaX`bQK015GCjWKh)$ z!_u=Xs`x)>yer6|ihqX2`vWxb<Iwn8Adjkk0yKUT6i~&PVChg1Ra_cs?*laP8_;lA zpoFUa2sFMDlu^Z3K+7cs6;$zC(C~kNCcX<=e=Sf&RsS0r&IxL$;;*6N6QKJMcS4F+ zh6m90b^vtZ9hPo)L(_=>bblN8?nDL#hP%-G&H&xl1yg?lnr;uEi6=wjs{uOU2~&R_ zTE00z_Yc9uH$cl72I#&Sn0PSMod?jw4?@#P19YDXO#NPHdEx-wp8*r!2hG<E(0vIo z@mQ$84nW1B)9?(lq5hfx-3I_ue*>Bx0-zJNF!3H}d|iOftHRm?lcD|<fUXCJsW*fA z_X3*uZ&*1Do%n^R*M_=tf+lMGia^65Knqno73xj_ZB%hzXga@uCjJYWF9M+Jnql^? zhn6Q3bWqJX0WHS@bWz32py^)#H1UB{ZWlq*{{=MhJJ9qtK_Ate`_S|gV1O#V8(RJd z7@~^*f`uoV_&iv+8KJ5_3=IbX=sIPXf0<$7X^g7g2%4@gpsA0CrmG3i^};Z7LSf+v zT_Xz<*M+7l0qELLnD|9#IA1^$SB0jZ3DC8eF!d79@+|<m1`#H{2AX~Zplk17;xbVG zUO*FPhWcv)bgdgq{VQ1fLMJL=;>u9}3P9Ia!NkAA;ulT)BXk~i!bC{H15=*_^>4r= zRPmS4`bc0hs`w0Oy1IZSUIO*+gej=%Z$rz~fT^hBPoU+ez%*3x8BqUTKoe(y`gg)~ zRP|G!{tcLcDt;8|UxAsZ;_G1L1DbdgbewzwXo3l;|Dp#Chk)4-bHH~kGcYiGfR=|J z7DL2g?WKRve6(Q+L>v~*deCqvfG+5OiO++EgTXRX^}f(>_y84$wL@-0>+28D1vTJ% zdl?uQgrVUeuo7YptiJGr`j=r9L>zoaE&~ID7u3HFt0Cer_uq!rQw(b$;xKn!fcp0U zn)qs{e;d}KsuzU%*I^w*9DHvp0|SEs)V~euA>uH5g`xg+*Z>iS*;^0wFT+Mu@z2ow zdjL(G5$fNDO{nUHVE%;~45b*dVE)~Ls(vxdzi8sOq3N(;D?~la{b!-&o5MDUIL!PV zP<JwHM-_hrE#DUKK*T}w%^;Q&w7fllrhY%P{At($QU$$(kAZ<<0kphzfHo*#_Bun| z54p4jp?)LO-UHBz5vG1EwESt<4KW|)FI{Lk@303V4pYAl8V(G5QN?|s;cx&=yc-%0 z4f{~lpM}}W3lWEAV}=vZdeZ^w5SYEq&~RWl08tMU7lnqy0W@(lsDB#{qN*>1+Usx# zRooBiU(h-`kPNg~XIKU;=NX{uBw_Z}LH)Y{bRQRJJ`$vffq~&C%>AG{!9eSHpyG?6 z?tcIkhnXJ+b$<bL@)jm84U0!XhygJ1bf~{3povd}`bz<HpBIvQ-b35T8_>jSpz-1W zT_6Kp*26Fz>V5&xWi|{93^4H$nERpPX^`rf;R!T+0>mNigsFc6trrwPi>Z;!c?_)w zKcI;xLER6UzXh2DGiNT;{S%}>q6qi&L(^e`G^+RxXuWR$+T_E)zyQr246#uEen1m< zh5GjcbbS|e`4K}X)V~Ly3!`A>SU~;TpbW8hD|DTjGSvMJnh^0eE(q-mb>{_R2p^Vy z#G&q-V1g<x2z6(GDXMrAw7wQFLlx(Qy7K~>_(^DeJ;5AReG4>R0ziwjk?OnG&~jVA z5>@>dXt{j>O?(Bk+@4^Cs$K-@-vDb=@vX3W$_7>ZDzv`7fF`a2_3s4ef>2nv9fsA* zcBtlrLH#RWk1C!D_3s5V@jj@3CqVCzhnasB>fZq5d(#;h7}BBs6#(5i$H2e<>!*B$ z)>99l;xKc9p#EKed^a}(1H(3`e-n`Jq-J1X2!Xl>x_p2Ewyq88YzEM>J<t&GpZ^d5 zt?n_zL!jdgAoZXjT$uW5ByrGG3rxHZNgOm}3KL(5B#x{eI-HAPZzi-n0NIP|&T~lO zpe`QF{9j1oprLY@xDIq3A;=t17Y`=xg(Qxwz6MDgS^X>|ab)%9k;IYJ|3VT+R<93o z2m@&E0c3v!%st^q;-Ib~OuQ3G9NC=dP;rpAK}80%_+yv{6$eqEt~AV?Z6E=ty~ySq zfr_J>a}FvFqL9sb2oivr0~%t2ng0_>9NBz!kmDE_z@zOTCbU{&-~(}><{+D|f+UV? zjuBKG=1!P7Hc)Yp^~mOgAc-TJ69W|onFGQw_cueuLFRzEW-#&PNaD!xasx>m+5Bfv zagf;{CM;e)fH+wE%M4xb3$hnEJf)Guk<HhHio?u@g@Z9v9Apl1ICvq6Bb!r#B#!Lg z=}6+p{ymK(j%?0Ns5s0$F#m$qnSe@8boYFNst1W9`&R_I&jcinY`zjy9Nl~!s5nSH zvVWbC#F5SMhKhsC0cA~C{FXq)LFOQ<Z-R=0)Ptf97S26TagcgsdqL}DVE#omXAM+6 z$Q)#QFGIya<{*dvFC=kfbM&F>twHLM%b7SNapd?aLJ~(dXChP_<Q|Z}VDU8<Dh@Iq zH1r1xpY>32boINT;vn^)p=p@<BT#X4_1~f5Aoa-Z5#t1f8lrrFxkm{q4pNWo9uufI zy7>-Jagcgs_jp3Z(bd;L#X;(k-7_01j;?+=R2-xp**!a<;^^v+Ld8Mqk==6+Dvqw6 z5!${3sYiB?0#qDby$)0yq#oHlc2IG2^<GeMka}eI1VhEq)x+AGAoa-ZS&F6}x*dXH zBUBuu9@#yIq2e&}Vd~FA#X;(k-E#vfj;@}Y8<I{y>XF@}1r<kEZw3_ysYiB?2UHwg zeF#(>q#oHlu~2bz^%J1tAoa-ZSq~LQSHBx74pNWop0iMKboDo(;vn_N?s)_iM^`Ta z?f-++BfG~ODvqw+87dA^kL;dMs5rX%M5s7OJ+gbUpyKH2=Rn0l>XFO&lStyAJ}9i* zxQiqXTB-pPe~Ck!3EIyGnGaf`08=l4Bn}!Hg^BATiG!BJz{IVQ#F5npBZ-5i@?q*L zk;IYhU5X@*?60jz;>iBGj3kb1&J!eYWcP4G#|1$CMRt!Xk~p%x=}6+p=2swzBbz@T zNgUbyt4QL==6^>LM>d}WIvxRXC$jnaNaD!mry_|Xn_r3~jvQ{2k;IYhU4kTzY%gfP z5~$<=xd+)^R%nF|5=XXI9Z4M7d<!ITWb@;Z#F5RPiX@I~{&pmBWb;oTi6fi;9!VV8 zd{yXp4Z8c?k;IYBk3bSfHoqQ89NGM>NaD!uzm6o1Z2k)*ab)xPq2oj#cOskbiX@I~ zemaskviTKA;>hODM-oRi|0<F=viaYU#F5SCfR0;%+=*<yK9V@H`87!5$mQV_Byr?& zbu*GUXvzszo*YIJ2Q4{+iC;q!2Q7VpiT^+n2Tkq4#6kN>Vd)&%Jt@%fHIToM-P4RD zj_jVvNaD!uS%xHz?4A=y;>hli5e2yh($7V9j|GxAvU|di#F5=ojwB9R$^!FmJCZoE zJ69u#BdgzyB#x~90g^bf`VUCr$m&JK5dK0|uZkp&tlkSr99ex7k~p&ZdL(gV_5DcV z$m+Ksi6g5&f+UWt{w0z)vihG$;>hZ`q2sWi^n)Dl#z^AG@!o?ZjvVhxki?PW{V<X^ za=c$g5=VB=GbC|j_i#Wv4j^|TyC()o9N9gfb$y_i1gS@M&txR^$nIH;B#!JJ(7q3t zImqt0futVUJvx#g)tLFh1xXy)J;_Mo$nGgd5=VAV8<IG(dsZNcBfIAhk~nhsh(O23 z(Zk0WNgUZd&Pd|O?g>K@M|MvIk~p$^&LD{+yXOg#ICA(fL&xhu?nHKvFp@a3d(@D` zk=^5gB#!K!IY{Ej?%9GQj_jVxNaD!ud5k2E?4EB(;>hj+?Ux6o6p+7=-ID{IZvcrS zyQc+79N9gfc_NrO$nM#Nq#oJcJ4oWl_Ub^-5kR-s1xXy)-ee?kWP3s9nZVqGZ0{T- z^~m-fM-oTw*F3}_{uPHf3v`1y$o-(Du&{bW3`rc>d`~2C&{9~K`f?<3Wc4$l;;?=Y zO#ND@ILKaP^_Osnzr-QV1=_$29X~`iM;(WFC=T&V9O4Z)#6k0Su=ql@cQ=xH<nXzO zB#x|}8`|*)g#)sBMI>=#_0dS;$m$D`#F5pnMiNI>e-KF=S^aM$ab)$N{g2rE>kXaH zLwA27k~p&YvysG+&EJS5j;#JQk~p$@1L%Aq$X?|9?u{globSS+;;?k61M&<514ANI z9Apk?O9Cu?mLQ2E=f@_fILsXAc3+0&P;rnspe27Wa~PoWmmqOucS<9PBfHZWNgUZ; zN2oZ=Uf8<RG^jYpd}Mp~B8em0dlN|<*<K0Ip;OR&gxvnIK@tZoy@vTK2uU2dAG!fa z9NC;pNaD!md_odOHiuOe5<wt;A&ZOQ5ZA{c?tw!*7KeBXk~p&ak06O7yXQ5MII?;< zHSF$pMG{9=ABQB4Y<@8g@kL1D$mX0v5=Rc_S4iT>=KsYZ&Zmyu{pvWx9dL-p;Sev! zA-)oa_yr_!WdD9Z5=Ztg8|c6_XnIEWuQU#ECnRxX^P`c(k<H0P5=YLb)lhL*K6Qc4 z@6LycgVHl{K7D{Bj%@x{9O7Kibq^qOkku>W5U)iNM=l4ZA&DcG0|$}Bk;CB;k~nfW z|3eZ-c8{PI_VlBIL%ae>9NFF(NaD!$ZbK4Bw)Z3s@jE!g`Lz-5M7Gx$NgUZ;UnFs4 zdlPVo7vm7Wk0g$4?`I@&<o2)xw88?#JF<EUBynVS1|f+fyE7Gscm)pe<4EGj_C7}v zN4A$$7ZIMw_DbLo*Tx|piX@I~ZzYmAvb~d$#F6b?hC_TO4slIAg!_^0^+Xa!wl^6` z9NFG79O9ig#8)DTBggv<BynW-yg?F2RxhZJ-Tj70;>hmzMiNJMe;f|+LLA};ki?PQ z`2a~A+1_7B;>h;$8X(+{EUth<JRM0K+1_>}ab$ZJB8elLzXgZ*aU9}epaW~5^$W7S zW=P`5_68t{BioydL%a-!_#`B8<aj@dB#!K!r%2++?qP-=NC+xVkliDOLtGPwcnp#_ zvb_yR;>h;SLJ~)|cP$R_131K0pzHiV?nk!Q1xXy)-Z&(2WP1y7h)+NgN3P%JLB(P9 zdknOGKLiyAxd*v^7l5ui1c@WpzuHLR$n~!?k~p%x1xVtctwykR_yr_!Wb<Dmi6fiO z3|;RCau2fk21w$_=1)KpM>c;ok~p&YhmpjQ&HsQTj%<DabUh`=J;>%~BZ(uM-;5-V zZ2kr$ab)uapzAWx&DTZ}M>gLXNgUby0wi%{^DiKYBfI}Kk~p&Y%+U3qAon1fZ-69@ zZ2kl!ab)vXBZ(uMe;7#|+58Vk;>hL)K-Zgs+=Fa>Hj+59`OQe;$mVZA5=S;)0J?4! z-F$5%ab)wIk;IYBFF+DUHva;WII{a+BZ(uM&kS8J3vv&#`36Yh$mUN#5=S<FHIg{8 z`G=9jk<I^rB#vx;0CYVr$UVsBXCsLto8OEij%@x0BynW(1)%GC(aqOJ5=S=Q8A%-3 z`~oC#Wb-c|i6guJHIg{8`OMJu#31(|n{R+5j%@w}BynW(S0jldn|~Nd9NGL2NaD!m z2S6A8g4}~_em0UgviZ$O;>hN2KoUnbUjVx98QpwsBynW(osq<m%`ZR_M>hWgk~p&a zUn7Ylo6ihgZw+z}viSx`;>hMtKoUnbe>IXgviXOR#F5SafFzD=egJemH^@E6=4T^` zBb(ofB#vB<&p;AKw)ZHKII_J8(DmZz_F5x}BikE{B#vx;1Clth`45o9k^S`>NgUaH zVdy$_kb98LcR&(HHh%$<II{V>k;IYBzl<b~Y(4|@+(3}M$mS;?i6fg|jU<k2{$wO^ zWb+Roi6fh@06muwWG}M$)=1*W<_9B*Bb(oVB#vzU10-=|^M50WBbzS_Jr5D&9%S<! zki?PAUw|ZzZ2oQ}ab)u^BZ(uM&j3A_5M(d1`3Xqk$mUlgi6fgo8A%-3`~yhh$mT0R z&pia$i)_9%k~p&Y!ARoB<~JaTBb)yKNgUby-$>%f<_km5TLifW*?b2iab)usAc-TJ zzZ*#$+5F2$;>hMRK+kOi*^6v`0+Kkg`PE3`$mUN*5=S=w0FpSe`3lf;Awl*cn{SOI zj%<D~k~p&Y4M^h1=089ZM>hXAk~p&Y!q9UkLGD2|-vLP++581a;>hOjMiNIh|1y#| zviS^ti2gpZ`3Xqk$mUlgi6fgo8A%-3`~yhh$mT0R.Y7ukGkBynW(gOS9M&2K;w zM>hWfk~p&Yzmdd|%@>BAcL{P2viS~3;>hMNKoUnbe>ajiviX;h#F5QsfSz{=vKQI> z1SD}}^Q)1>k<FisB#vzU0VHu`^A(`yV}k5OHs2aa9NGL}BynW(8<512&3}L-j%@yK zBynW(g`wwfg4}~_z5|jtviS>;#F5S4jU<k2{$(U_Wb+xI=WK%PMK(VHNgUbyY9w)F z^Cu&TBb$E!NgUaH1?YL5AbXL`w?-02Ha{3i9NGK^BynW(A0UY%oBtb09NBzf=(&m@ z_aK|^fFzD={sJU%Wb=0;i6fhT8A%-3d<N+Gi6DEC%}+oQM>fA2NgUby$w=bJ<{v;3 zM>bypwAl|jeu!+oHIg{8`N2ry$mTa7i6fi;07)F#{NG68$mR<}&vOKY1G4!JNaD!m zFF+DUHh(vgII{U?ki?P4E$<_VBiqXZJ?9bR9%OqHki?Pgtws_@ws$g;II{T%ki?PA zR{$-7#}+S0;>hL)BZ(uM-+&~JZ2kizab)-ZMiNIhUl@A6Bq$t^&38Z&M>c-}k~p&Y zyOG3^%|C-AjuJ0O;>h;$K+l~7xd++a1SD}}d#jPek?oy~B#vzU0VHu`^A$jyJ#6uU zB#vx;Fp@a3`3*?o$mTyl5=VCbZzOSK^Myfy1$7Uy`3^|p$mTCX5=S<FACfq-`PY!d zK}TA_#(TdaiG!R56XyUeUV*v?S=<VTxIYf@BqVWUe@#FVNA}l!BynVa{YDZ;_7@*$ zaSzm;$o?`w5=VBw7Y^}QBynVSb|Z-+yYmi`II=sxA&DcqlLNH58jCx1k;IYRX^$k1 z>>gjJIBdS41v+0)2o(qABjovlGf3jd?tF$Mj_l4KNaD!hDGOS}4|PAXJN1#ok=<zv z6-RexB2*mRod=M_k==P4NgUaoZ;-@6Nf?%H|09VbyGIAKsUGTn<algA5=XXoHj+59 zy_=E5k<EXAB#vyp1N2-}kbjZQk46$lHoq829NGK@NaD!mGk`Y#L;ZzpzBH0JviZhH z;>hMFAc-TJe*j4w+5Fo`;>hN|K@vxa7bJ0Hdv!pYJ)rJKwzmOE9NFI4NaD!$ZblME zHva*VII{T;pv9?Bdy&nLMiNIhzZgjz+581a;>hMRfEE{GF<%-<9NBzhBynW(6OhD_ z%|C=Bj_m$>NaD!me?t;S&ex(@i2gEizSh7YUWr4z2T2^+{kxFFk==g`NgUbU+c?BO z;1CZ0S%xK?vysG+!=VOA965dVBZ-5Uu<~RNhyyhr+5H00b8pe}wKkGCvc1ko;vgeo z<`*D|Bb$E#NgO$TUn7Ylo6ihAKNsX4Wb+M>#F5RPfFzD={%Ry~Wb+Rri6fi;0ZAO$ z`~c8oIW*jm&Cf;>M>f9(NgO3!ki?Pg-Gd~KY_9-l@*a!5+DPKa_BtbpBb#4<B#vzU z1tf7~f4xQ$M>d}sdY&&vyda4qn?C_b9NGNUNaD!mA4U>KcK-(?ab)uYpy&L8+=Fa> zHj+59`87!5DDi?Mj%@E9BynVW1)%2wquZ;EB#vyaGm<#6`2|Sg$mU-_5=ZveYb0@G z^O>RN4ujl-Y`y`KII{T@ki?PAUyUS=Z2n;+ab)v9Ac-TJ?+-n{7~~#g^Rtn}k<G6| z5=So27a)lvm#e#Qh@V9gM|S6LBynVS`hpyXC4Xfji6gtS7D*i0oePn~QQV0``~nW~ zM@Ztx?&k+>hKITn+5Ndl;vlWCa=05w9NGPIk;IYRe-KF=+5NY0h=0H#&ICR885Hlx z?$<{WM|S@tBynW-uR{_?cK<OXab)*DMG{AL{|_AES|H8P@I+Q`k3&2NNgUZ<4M^h1 z{<?r9j_j}3NaD!;Vuqf-j2>=+P;pqlV+yo?WCj%nsYmV~O+XSyws$p>II_Kmk;IYx z^#Ms7*<b$9^PoZYBAcI$B#vx;9g;Z6>#+2>2uU0{{I?>BBfIAjk~p$^96**q;}O|C z(MaMTCd}Sq5C^Ir+581a;>hMRfH+X~$mUBUiG#Gl%r{07M>anJNgTw4sXqYXU@`wT zk~p&YpOM6o%~t>=0;oA4B{1_Fki?PQKO0FL+5F8&;>hMdKoUnb-vN3aH+p)AMiNIh zzZgjz+581a;>hMRfDTK7`U~0p(n#XS<{Kl4Bb%RqB#vzU0VHu`^KT=GBb)yjNgUaH z1?V~Bpm0DozX3@c+5Fi^;>hN2MiNIh{{fOXviT06!|bqxPc)J^viZeG;>hMNKoUnb zp8<OAImlng?w3XqM>gLSNgO#}dmxD;+gpkxj%@D*BynVWUn7Yl+sh0(+zJ{F$mSa$ zi6fgo0ZAO${MAU}$mSnL5=S=w1Clth`2o=L+|k1=8%Z45{AMI^Wb-#5i6fgY06Me_ z>R)8{Ya@vxo9~Pyj%<Dbk~p&Y7m&n}&3}y~j%+?NX!9-9J;>%8Ac-TJKLJS`+5FW= z;>hM7MiNIh{{xaZviSj^&BIXlAe*0!B#vx;Gm<#6`5TbLk<Ax?p7V|#zuHLR$mTmE zi6fg|fFzD={skm)WcR;D5=S<l8G61v$UVsB8z6}zn?C_b9NGNUNaD!mA4U>KHva>X zII{Tx(DUm-?m;#`8%Z45{AMI^Wb-#5i6fgY06P2*OZ;jhi6fiuj3kb1egTp=viTQ~ z#F5?q8c7`4d}h#LF;Mp)n{R+5j%@w}BynW(S0jldn|~Nd9NGL2NaD!m2Y?Qvfw~9T z{A?s~Wb>Pm#F5S4fFzD=z5w)|0QC6PMiNIh-x*09+57?|ab)u^Ac-Tp|22|0viZ!= z`w2kqK{nq2NgUby2}t6|=C4K)M>hX3k~p&YACSb6%@2Uye*kh1viaFa;>hMVBZ(uM zzX3@c*?a-$JqqaNYa@vxo9~Pyj%<Dbk~p&Y7m&n}-TxX%9NBzk==}{K_aK{ZfFzD= z{sbg(Wb;=ei6fhT7)c!2{0~Ut$mRz??}q@n2ig2=BynW(n~}tk&EJ3|j%>aF^qvWH z^R<!0k<E8T5=S<_07)F#{0m6p$nJlQB#vx8GxUB7kb98LH$W0cHh%(=II{Vxk;IYB zKa3=fZ2ku%ab)uYp!a8h+=Fa>Hj+59`OQe;$mVZA5=S;)0D2Dxy7}5j;>hMZBZ(uM zUw|ZzZ2ko#ab)+uMiNIhpBZ{_0LVSa<{Kc1Bbz?~NgUby)kxyV<{w59M>hWhk~p&Y z0nqzJK<+^{KO0FL+5BcCab)v1Ac-TJF95x#1l@dXBynW(osq<m%`ZR_M>hWgk~p&a zUn7Ylo6iir9|hzdWb+M>#F5SKMG{9ge+7~_viZl6#6d&ju>SQEByrFXK1`fp4)%E{ zVI1NiNaD!mmmrBFn?DIj9J!yh6o>c~BynW(zaWVto6k2FyZaS!h-V{-Bb(oiB#vzU zA|!ES_wT?VejiC3+5G=V;>hO9&cp70103S<NaD!m*CUA|n?Dmt9NGPAaEO0H5=S;) zY(B!B$mW|Mi6fiujzhc*NgUbyX-MM8=5Ig}M>hX34sqTE2zMfzuZtv(Y`!;=II{V1 zIK+FA#F5QkizJS0{&6I6Wb<#~5La1<-Tf{|;>hMFA&DcKUy4I~36eOn`;Q=rBb$E@ zNgUbyk2u7w7h!jQG?F;7`ISiG$maLp5Z{d?j_m&1NaD!me?<~UHlG7}9~Gz^Mivi3 z5=S<_2uU2-{2nB6WOL@@5Z{9&j$Hn~KoUnT{|%t`V}aa>T>g6_i6fW)F-YRb^M?6I z;>h;SK@vx{_XCnRvc25Ud$~aFLAF;JNgUby03>l_^EV)gBb$F3NgUby$4KJH<_kdY z{Q|iM+57?|ab)wmk;IYBUyLM<Z2ko#ab)xLq4$b`>_s-;8%Z45{5T|W<oSgLByr^Q zGYg0KS|o8~ciu)4M|P*t3PgTHcBdbbII=slk;IYR*^MNQ?9O>O#4jL;BfIk+R2()> zv;;a&!~(sb1r(m3A$(Z-#2-l<+5Oo_;>hl=LlQ@J{~{!DWcP1H5=VCDBP4O;@N|OS z4+nB5vU}o?#F5=oh9r*co~cOU$nIHzLwq+5@h>>U*`fE<f!vAgFH<COWPddvi6i@K zHj+59zt$j$BbPJ#k;IYheS;*9Y_9|Ko;;8{k?oB}5=XYT7)c!2`~^tj$mTOZ@7qH+ zUm8gq*?eOpab)uoki?PAKY%2T?Ec$G;>hNIMiNIhUjcgmAIP1^<~JdUBbz@DNgUby zZAjwC>Fp|#IC6S>fkXT^4sjFceS{!)BAermB#!K_P^dU8zi)x&_iCs($Q<PSegH`v z*}u1u#F72`21y(_9sWlWM|O`6^xi~}y~yF*fFzD=?`$M-WP3Lwi6fi;07)F#d<W?L ziy(WE&5uSBM>fA0NgUby1xVt^<}*O=aYQ#?8c7`4d}AbWWb+e{#F5QEfFzFW{@Y06 z$mV}W5=S;)0eayV$eqaMHz0{4n?D;#9NGNMNaD!mKR^;kHs1kyKPAXsWb>nu#F5P} zMiNIhe*uy>viS_qdoIz<mqrptHs2UY9NGK?BynW(4<LynyZ<(lII{U~ki=2)1(G<j zy*kkQI6>}5wzmOE9NFI4NaD!$ZblMEHva*VII{T;(EB?<_9B}fjU<k2ele0bviS>; z#F5QsfZhX&ZoV{<II{W1NaD!mCm@L<n|}aF9NGQ1k;IYB|BNJ#Y`y~Y!bFfek<D*F z5=S<FHj+59`J0i%k<EXAB#vyp1N44VkiE#}M<a<Nn_rA1j%@w{BynW(8KC#1qMI*` zB#vypF_Jj4`3Xqk$mSnF5=VCbZ6tAI^WPweqvQ)Dab$aSp!d0g+>dN;1Clthy|a<T zk?q}#B#vzU10-=|^Bth~!h-BYHa{9k9NGM0BynW(7a)lvo6i8fUl!ebX(VxE^No?j zk<Cv)5=S=w0FpSe`)?zOBb)yjNgUaH1?auDAa^30-+&~JZ2oK{ab)v1BZ(uM{{TrG z*?b4+{kR}|k<E`r5=S<_7)c!2`~^tj$mTOZ@7YB+Um8gq*?eOpab)uoki?PAKY%2T z?Ec$G;>hNIMiNIhUjcgWFUXz9<~JaTBbz@PNgUby%}C<N=089ZM>gL9dVes;US#v5 zk;IYBFGdnaHh%$<II{W1M-csD(9#%Ke{(Yq@v}(cprs`+^|z43K}$_x;{TAuK}(ik z;yKWZdO`jIE#ZfWHzA3GwzR^;*CL67mK4Fn&mxJVxCcob**(0+u=}?TNgT9f17`ka zBynW-pG6W!w)Zwv9M;Z<UJt?W2r3TpFK9^`%=|w{;-DpUFmcY~2zMg8KOIRN**(W` zh^s>{oCVp7tiBzG_;VcM?k5rMK{jVO4smwqg~;gk<{^nAhx1}2ab)xNB8el1^J%C! zdN^N#ii7M$4(B&W;>h9r6G<F7oWoCJ_wRNb;^Jqpt1rhPz5q!a*<brFBFq78Wq_pz zrOOC$&=wq+_(>#jWdG`4L8u39eTJ#`!y&GF6`>y4UN0nZ<Zw&HAzlm>2VH*%TKWOA zw-YK3iWkt*V3_z?Byr^OYAcdBXe$6r{c$95WPg1`5=Rc7E!VL7>ok%$vcK-*5NEy) zF$djW+)#0Jf0-hQBm2u1NgUZ<fk@)W{))Z<u@_`Ma=a8Fi6gte6NmT;s5rX&H$%li z<|D_;T_ka2_di7vM|S^DBynW-tKCGnA30tGZXv{x{iTB>j_fZx9O6k(addy>LdDVj zH48}`*<Xv0#F71V9Z4M7o!f6?cmGKwab)-3#UaiDy>J2D{X9@{boW~#i6gt;5lI}` z{kcfu$mL8mR2;qhY=Vk|>_sk5<{*h9`)ehVICA-U^#LM$klQDM4-w+X>A?y~99ex7 zk~nhvXYnJ5Iq2@+2NegoA345`KSos#y>5@;5mX#qy}(mc^{{$;^)u|^$Drcq=G=ac zsvc(VH>fzedfk_(>S6YJK*iD3PeBq#4!2uSaai~qfsQvmgNlR9L010<DvqvR{T0ML zAoa-c6@){)7KeBzR2<#>hfr~J^Lbulx7Ptl963F&{fJPH9Pgr^5#q@1--jfQoX$1A zAk-t5V?H><8^0pdBip+aNgO%99K<1h9x4th2S7{qVD;Tos5mHmkjrPTZwPyl%K;%I zapZD96G<G|UxnWh<{-Pj6-gY~{c~`LAApLZyZ;1K9Nqn&k;IYR{~Jjh+5Nmf5bi{F zf6Y&XII{a^BZ(use=QF2D^PKC_uq$#qr0E$7s6g-_X{D3BfDP>NgUbzQ-34OL3aNd zBynW-AHX602r7>5{#Q_OboWdALD-Azeg!0PWcP<6i6gty@-M<1Wbptbab)+W;}9=} zii6w_T0#p;|I?u2=<Yv)B#!L<Gf3jd?*EG<j$CeV|AV+6RxZKHTOp`8$X?{~K?g}3 z*<Y4O;>h)2DifsO1(}0f-Y!HEM=qC6A&Dcae}yEDTn`$vK+H#XzaLZ_WG`~OgtMZm zht)?#P;qqi3)oQA!@|d!1G{(_R2<!$Y)(}5Fnime;^^x4a-ph+*?R*jj;>yX8=@X0 zjvQ`TP;prJ!0N#=s5rX%9;i6F`t4A0kb30!dW1uqmj~e<Wc89zadh(wq2lP~&%q)7 zSP)@8vVRqY5aP)8dLfA;tIt9bM^0BNq7ZXn?u3QEH&h(tPS6&ASU#O8hN>PGzXzb= z=<3@fP}RfC-vAXyS1%+9Q4bPFcE70%L>%3np-^#<dgSzfLl#v%%$>iX;^^vy6j0T} z+-VIJM^`@+NgUaoJCVeZ!?|A(Vm`<m<oMc!L;N)k@u$kz&G`crM-Lx06@+@^cuZ48 zh$FkF7Ag)i2NrJ2q2eI-Bb$??j@_JMs5s0VSa=#}Le!&+`$5G)<|D^rj}~_IlhMRs z?%W6!M>nTQ8)6Pf9NE8Xafsi;A<n6T-5e_%;!AZQ>e2ms5-JXI53+xs>!GTL`Rg5; zI4nH*^&#rf%{gcQ5l6T8DO4O?y`mASdYHYgP;qqi=ZsO+!`w5~6ubCls5rVgU(K+q z|AQtDbB~lcL_NAWUy#I+<5$%Jp&nU00Ec)Z4)Lux#9!hN_qBr9iyr=kP;qqkPqs!? z5A*LVG;vrs?}mz_n^S0uY7R{ORH!(*`m1)R>S6Z&go>l9Z*xFZ4>Lc&3A=b6R2<!$ zP0rZW??e-ax#v1m9NnCa(2MFo;>hv)2!}YYE5u%O^>#SKi*Sf9!y(S&4ly4+-VLDQ zAbXMXdmWNEvisNL5Wj^({Hh14y|8e*gC-7(ufI@nboT^%Ld*e)BfICm7epN0U(DVR zagcgsf5jk)Bb!s?15pn%2j<RcP;qo~-Xn=4n-l1VY7Wd_rBHEnb50|PBiGj|{t$CO z>XFU&f{Mf332RS=LB&Dpk<H14ileKq#38-`Dh@ISxt|gpj&Kihc<w<G2W`EDwa20( z5b8l&eqrJ(k;IYxWfqB0kKEsxgCvgJZ{3MQoIM(04zfG-k;IYvO+h%s6QJUt{vc?} zCd~cKP;pTBAdiQvK@vyq4{kvcNA3?^L=s2#mt!n;_lF{hBfCEzhj<@U9Nqo1q2lQ7 zKZPWY?EXtg;>hlQf+UXY{+xL1?(aqtM|S@L9OB2J;^^+b2o*<nKSKh-US#)kAc-Tp zUmi&u+5O8C5#}JLpM6N;$nL*@L;O8d9Nqmtq2lQ7*GNLxi|l>_BynW-dm)J<yZ=}+ z!W?Ax-$fEfcK>%A;&LevbI{$d4HZXse-x59vip;e#F5=!f+UXY{tu}TdqL(SyPrP| zA&%^RMI7P|P;qqkdqKrP<|F6dN+fY)_ctPmBfEb#k~p&a#WN7@M0UR(k~p&aJ#dKU zL&eeEUkMdQcmE0`ab)*zKoUoG|8XR7WcMp%VRyd`k~p&a{cwoqLdDVDUjY?IcmGl( zab)+eMG{ALzhpLccShu37tco$M|OW34)Kjh;>hXb9+Eh+JD(wmBfFC~7vUb{`cxV! z4y%`8?F1#LI4FFO>p>eNab)*<B8el9`!yFK>_u)597GaFu76)4i6g7$Dn^)tJZ^BL z6e5o9{?|}(ko%GQ<Cf*9>S67x1gJQ=dbLVa^|0^>fQqB5--INN?Eb4Y*v<J06$hDv zoKGw2P}RfSIU6dDu6|Pks(P3^Z$rh=)mt_~)Puy4-5H4_jvUVVO$hbK@fC$byc>sj zEA*gjkiE#}%z=ud$Kx@mI7mHmJhHW6caJPo9A*wI+}xq!=;knWU^hn?Dh@LT7M>S6 zA?ne^-$BJe<|D_WPB(V-#%SU&cLqYm(allnftUjlM-De%9O892#8=`FzlB5GwGU!1 zx_>jF;vn}R`?tLxRXxmKeQ4sa@LUfSM>i*NBC0ts^{r5GboB=(p{j@3`xGjUu09WX zAR)THOsAom1G6_6DvoZ>)alsO&p{K1xn~zt9NnBLGa%-G#F69oC=T%tIK&laVmBug zhj=Fr@wc-e=Aeg<;B1IE$eqaXZafDf4pR^FuN9g&EIgy3;^^iG&O<c^rrs1Pj;_9R zKB{_{y)&WW=<3xLqN<0P|6vh!alXY6addNnpc@ro{)L$ni6#znPdQW^-JHOs2=kHS zy$Of-S{&l{aEJ>n!*0GC4)Hb1A?nfnbpa}l?tZxy5cME&WcT~y5U;`^Ub+%u4$NLy zxYeME!@_wkR2*b4aytBsB#!K!`qilB!^~L>6-PJc50W^tIYMhu&4Ibo3@VOpPCt@3 zvN<2up_&78kLY@cIJ!C6NaD!#^${d-Wb<D^#bMzI8=v_G6$hD*Y!2@Rh`s3QC2@$y zK*d4kfR0>+jZ1VPi6gfk79oiv+q(@(9C`o6btG}*_ATE=h&w^{BCn6-+Jq2CUcc>! zBn~=~5a!NuByr^S@M<J+&=I^a^#_o|L08$p#2+JxBfIkpk~rw-YnXbz%?Njbj-ZB# z$0CU%+gpSrj%;r;R2&vxu=KMKDh>)CWOKG5i6fhH94Zbo2bNA=Ld8MmAcxN%=!Q+0 zdYHYJwjullI`R|dFWc=1apZVOKoUnbKMyL7Zhi$+9ONG4{zxYd@mV;;*CL4{_fy^= zi6f_HsT~OSBd2F0Byr^Q?1m(coSxH>#F5j<8YFRKdv76$Bis84NgUZ;ww(xfBHOEu zB#vxv4w5*sy|a+Sk?q}tB#vzFF(h$hd!HkTBipO93*k;=d!vxVk?k!)5=XYT4M`l? z-sMQ*$oAet5=SmKChkVK2RVH@?!hjeycZ#k+#i{XB#vy(OC)jR{Tf^MA<RJ*e~ToJ zY>v);gnE>4LlQ>`HzaYCa6=MD2{$Bh<Zyd~B#vya)B%KhknJ@>5=XYz4M`l?-gG2! zWP8^ji6h&43rQT=-cLy4$o8@wM7R^#UUejKWP8Jq#F5LT;zI~?kjtNANaD!qA0Ni9 z-UnL1g32*ue^nugBl~L-k~p%zmLZ8F`|CK8II_QZjw0NHY_AoPII_J#NaD!$rXh(V z+uM#Lj%@E4BynVWe<6t@+beVo;Z9_G)sV!I?R7^IN4B>INgUbUT}a}{_Fh5~N4EDF zk~p%x?8g!AM7GxlNgUbUDkO1adnX}@Bip+SNgUbU<4EGj_VS!SxChx@D<pAbdxMa~ zk?l=G5=XYT9Z4M7-ZMzz$oBq15=XXI=p@3O$o8rsi6h(VjwFt3Zx50<vc0>I#F6d2 zgd~n^?=vKEWP909A>4^<uMd(qvb|ME;>h++LJ~)|cNvm6vc1QV#F6dgIgM}+vb|PF z;>h*}A&Dc~n}#HgY;QY~II_KGki?Pg{e>isY_HH6ggcS#RYMX-w$~j=9NFF;BynVW zcOi)*+j|K~9NFGyNaD!$vY$n`6WLxLBynVWtB}Nz?VW@qj%@ETBynVWk0XgA+sktf z;T~jrt&qf#?F~W_N47T&NgUbUb|i6Rd(R+=Bis86NgUZ;q4NlLBHOEmB#vyaJCZoE zy*)_c$oB3+5=XZ85|TKwz0Z)uk?m!_fN&?Wy*^0d$o5tti6h%P2}vB;-epMQ$o3vb z5=XX|=OV&A$o5(xi6h$^gd~n^ZyJ&~vc2s{;>h-%K@vx{_ZN~lvb{o=5bi{_R}D!V z*<N=fab$aYki?Pg-GwBMZ0{u`ab$a+A&Dc~%YGT*PGoz1ki?PgtwIt<ws#VeII_LV zki?PgJ&q)fY%k9hgnN+fwL%g{wl@e#9NFG9BynVW+mXbP?LC7ej%@EQBynVWg{~sp ziEOVLk~p%x?nvUu_Vyr&Bip+RNgUbUOGx6#_C7-rN4A&!8p55(_WB@+BimbrB#vzF zBqVWUdzT@JBinl%NgUZ;p6dwrAlqw&B#vxv5Ry2uy=h3|$o94)i6h&421y**-d{-K z$o2}|K)4gxUNt0fWP9C_#F6doK@vx{cNda4vb~p(#F6cNh9r(`FZ)e|JCW`6K@vx{ zw+cxd+1^P=;>h+cLlQ@}_c)R`vb{XF5bi;?*9u7-+1?-|ab$bbki?PgZATJEw)YH@ zII_LJki?Pg6}pXZC$hb2NaD!$x+94r+uMUAj%@EPBynVWFCmE|+xrYj9NAv>I|z3o z+v|fQj%;rgk~p%xlaR!b?Olc>j%@F7BynVWdF~?IgKV!Ak~p%xK}h1r_NF0;Biq}K zB#vzF86<IJdw(H`Bik!<58+N^d)1J{k?nOy5=XYT2T2^+-d#xI$o5`B5=XZ88Im}% zz3lf9?nJiN2T2^+-YO(<WP2wei6h&)3`rc>-s4E($oBF)K)46lUMnPVWP5{<#F6by zLlQ@}w;f3w+1@iq;>h;?LJ~)|SLh+aoyhj8A&Dc~>y9LjY;O;eII_LFki?Pgy@Vu= zZ0|E9ab$bhA0ga{Y_AWJII_J}NaD!$PC^n#ws#qlII_LRk;IYh<#~*753;>hNaD!$ z1|f+f+na_Yj%;r`k~p%xXOP5^?fr!$j%=^c6NEdF?Nvh(N4D1;NgUbU9wc#Odv_s; zBinlkNgUbUXGr46_Od@kxD(l4A0%;Pd#jMdk?oy?B#vzFG9+<idygZDBiqaK4B;MR zd##Yfk?jpa5=XW-4M`l?-gYE$WP8sbi6h(l3rQT=UZLj*cOu)Xh9r(`uRD@Bvb{Y> z;>h;yLJ~)|_Y#sgvc1oc#F6b~e}Ql(vb{b?;>h+^A&Dc~I|)e~+1_PH;>h+MM-oT2 zm**wIJ;?T2A&Dc~8-yf|Y;PKpII_L%NaD!$o<R~vw)Yp3II_J$uMqA;wpR^F9NAuX zBynVWdyvGD?cIeWj%@EGBynVWpCO4O+spnM;Z9_GeUQYF?X5x*N49qok~p%x%aFv8 z?LCepj%+W_8-#n1?X^M@N47TzNgUbUG$e6kd)tx3k?lQ$B#vzFFC=kfdxhR2+=*<j z8j?7&z3xcj$oBRii6h&)3rQT=-b+a0$o4)%5=XX|{T;%c$oBdmi6h%vg(Qw_?<6E~ zWP6t(i6h&497!D6UY_>|_aNJAg(Qw_ZxE6=vb||Y;>h;4BZ(v1dj?4y+1_7B;>h+2 zeL%Pq*<Ljyab$blk;IYh?LiVpws#kjII_K$ki?PgeTF2CY%lvqggcS#^+6IxwzmpN z9NFGUNaD!$E<+MWw)Z%aII_JwpAharw$}<t9NFF=BynVW(~!iG?QKUAN4ED2k~p%x zzmUX{?G^fra3`|8YDnV9_PQg9Biq}9B#vzFE+lbedoLl0Bis87NgUZ;_Adx`BHQbO zB#vxv6_Plzy_1l{k?mcEB#vzFaU^kMdwISh+=Fbd6_Plzy+KIg$o8foi6h(FjwFt3 z?-?X<WP5)hi6h%9^bO%oWP8<+#F6cFM-oT2w+Bfa+1_19;>h-1LJ~)|_ZgBnvc2rz z5$;5`*9S=)+1@H7ab$ZZA&Dc~y9`Mj+1}$w;>h;${6M$|*<LFoab$agki?PgO+yk# zwznNg9NFG8NaD!${z4K*wpZvU!kx(Wsv(IZ+v|=bj%;rak~p%xyO6|@?Y)E~j%@EU zBynVW*?%G2iEOVAk~p%xRY>B<_D(_)N49qvk~p%x$C1R5?dAE6a1XM*R!HK=_68w| zBioyXB#vxvJCZoEy=Rcbk?sA3B#vya&>w_5k?mDO5=XYz9Z4M7-X0`zWP5iZi6h&4 z2}vB;-e*YS$o8`TMYt2$ULPcJWP7WS#F6ctgd~n^?=mEDWP6Vzi6h&~^AF)3WP7cU z#F6a{LJ~)|Hw{S~+1_>}ab$bXAc-T}`wK}N*<PXl2zMgetA-?wY_B_#II_JxNaD!$ z?m`krw)YZ}II_LZki?PgWoH1bAZ1_xt+PV5*9S=)+1@H7ab$ZZA&Dc~y9`Mj+1}$w z;>h;$Fe2Q8Y_AoPII_J#NaD!$rXh(V+uM#Lj%@E4BynVWe<6t@+bhI`a3`|8YDnV9 z_PQg9Biq}9B#vzFE+lbedoLl0Bis87NgUZ;c4mY-k?r+C5=XYT3P~K<-bqN}$o4Kn z5=XZ8IFdNBy*w-k_aNJAg(Qw_ZxE6=vb||Y;>h;4BZ(v1dj?4y+1_7B;>h+2u_D}w zY_A%UII_L&NaD!$_8^HP+q(-%9NFGWNaD!$K0^{mwwIj^;Z9_GeUQYF?X5x*N49qo zk~p%x%aFv8?LCepj%+UvJHkE4_F5r{BikE<B#vxv8j?7&z3oWi$o8H=5=XZ87m_%# zy+RxacOu)Xh9r(`uRD@Bvb{Y>;>h;yLJ~)|_Y#sgvc1oc#F6b~=R~*@*<K$cab$a| zki?PgorENgZ0|B8ab$asBZ(v1%fp3m53;>hNaD!$1|f+f+na_Yj%;r`k~p%xXOP5^ z?fr!$j%=?GH^QCB_NpO?BirkaB#vxv50W^ty}OXak?p;NB#vzFGbC|jd)avq?nJiN z2T2^+-YO(<WP2wei6h&)3`rc>-s4E($oBH^BHV*)uN9Iwvb{k_;>h-<A&Dc~+m0lT zZ0{K)ab$acA&Dc~E5wIzC$hb2NaD!$x+94r+uMUAj%@EPBynVWFCmE|+xrYj9NAuW zeuO)b?e#$tN4B>LNgUbUNl4<z_AWybN4EDkk~p%xJOT*!Alqw&B#vxv5Ry2uy=h3| z$o94)i6h&421y**-d{-K$o2{eBHW2=uNsm#vc2v|;>h;)Ac-T}y9-Gi+1^V?;>h+s zLlQ@}mt6?qPGoz1ki?PgtwIt<ws#VeII_LVki?PgJ&q)fY%h;6!ad0LS|N!e+Z%)= zj%;rlk~p%x?MULt_MSl!N4EDDk~p%xLLvxvBHOEmB#vyaJCZoEy*)_c$oB3+5=XZ8 z5|TKwz0Z)uk?my{MYt2$ULPcJWP7WS#F6ctgd~n^?=mEDWP6Vzi6h&~BZhDfvb|PF z;>h*}A&Dc~n}#HgY;QY~II_KGki?Pg{e>isY_E_w!kx(Wsv(IZ+v|=bj%;rak~p%x zyO6|@?Y)E~j%@EUBynVW*(DI}M7GxlNgUbUDkO1adnX}@Bip+SNgUbU<4EGj_VP#~ z+=Fbd6_Plzy+KIg$o8foi6h(FjwFt3?-?X<WP5)hi6h%9B!zG%vb}0Z;>h;8BZ(v1 z+k+&IZ0{~4ab$ZhA&Dc~`wU4O*<N;OggcS#^+6IxwzmpN9NFGUNaD!$E<+MWw)Z%a zII_JwG6?q|+iQg+j%;rbk~p%xX-MM8_O>I5BinlhNgUbUUr6G}_6o@&+=*<j8j?7& zz3xcj$oBRii6h&)3rQT=-b+a0$o4)%5=XX|T@K++WP5#(#F6c-LJ~)|cM_5~vc1cY z#F6bijwFt3FONLJJ;?T2A&Dc~8-yf|Y;PKpII_L%NaD!$o<R~vw)Yp3II_J$3J7;1 z+pC5oj%=?xk~p%xJxJon_U=LwN4ED8k~p%x&yd8C?PXU)xD(l4A0%;Pd#jMdk?oy? zB#vzFG9+<idygZDBiqZPgm4eCy;ex#$o2*yi6h&ah9r(`Z#$AWvb|@J#F6d&g(Qw_ zuaGjroyhj8A&Dc~>y9LjY;O;eII_LFki?Pgy@Vu=Z0|E9ab$bhRS@n(w$}$q9NFF~ zBynVWCn1R=+q(=&9NFIENaD!$@~9%*gKV!Ak~p%xK}h1r_NF0;Biq}KB#vzF86<IJ zdw(H`Bik#ahHxjcy=q9}$o9G;i6h(FgCve@?=B>9WP2|mi6h(l3`rc>UUqeaJCW_J zLlQ^6*KaeDIP&=eXOYB__mAI(ii2!nWnf@{owM->Dh`_0K;DP`0V)nt4?CCU4^$ka z9{IdJP7RPs$ovTMIT-0k;>hkijzjzpk~nfWYiT0PM-FEvByr?$4nq=04(D<tapZ8` zgCve@?<*v6WP6#k5bi;?R}4uU*<N!bab$aIki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf z*<KrMg!_^0%|a4KwzmmM9NFG!NaD!$ZbuSFw)YQ`II_K3Itce5+v|iRj%;rjk~p%x z<w)Yl_U=IvN4EDBk~p%xOu7j7Aloa3B#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$ zk?sACB#vyajUK}N$o6I-i6h(Fgd~n^?=&QFWP7(Gi6h(l2T2^+UM+oudywsQLJ~)| zHw;M}+1_#_ab$b<Ac-T}`wB@M*<L0CgnN+f6+;q7w$~g<9NFF)BynVWS0RZb+j|H} z9NFG$NaD!$en%2Vw%5iG;eKR$vyjA*?QKF5N49qwk~p%x+mXbP?fru!j%=@%5yCyl z_BtVnBikE>B#vxvIg&WCy?c<vk?nnjB#vw^lQF_Q$o7gMi6h%<jwFt3Zw-<-vc0R2 z#F6bigd~n^?=>WGWP86Oi6h&qWrA>jA_D^h?EI@lByr^Pe3s%6e}hAu%M`o$j!5Fj z=C|PxUxg%&?9PWs;>hNxnIY^&7WYCDM>eMsNgP@IAsphI=Ge{GLJ~(dKM_eB+5BZV z#7`lKBb&o$f!$sw9O9ig#8)ASBb)yaNgUaoYL?jT^+FOyHm4Cu9NC;hIK(-vu$!-i zB#vypGm>~XQo7AS63;>s??4hyMG{|%B#vzU86<IJb6(>R=eI_<A6dN>k~p&Ykx1gm z?r%jBM>c06k~p&aPa}yVoBsz%9N8Qt8|?1%z#*Q8LwpJj@m)B?AK(yYv&HTn9US68 zIK-QAh(m4%WB{*j0`(=9$w5RwY!C}%JBS@C58^Q}a6l-y`d3i(JZR!-Q1!6>3d|e> zsJH@}dMK9xbXGiw4Ob6Ymc}pxB*=hpC-hh_2IzI)aQAEgX=Gqvc!8$=0aP4%Z6I8| z0W(A)^!hTmcmq`225LV@J186;K*gcgjKI}9fFv0h7@)_&!o??mI(ZBX4AAWYaPbB< zh`rEghl@X8hlodjEJX6J12o`U(8L!&1O5z}cmprQ90{m<LDC>6D)2$XQ_#dWK*cwp zi97H^)c-*fe*hKt02zwp&H`wJPeBuB5QLcX08M-XR9pudPH=Y$LDW~EiEn_4A3+m$ z5QeB1fQBo~903uCcnq5O1E}}`H1Pm&h<YAqJiyFRfOga)(8NDL#h0LoACQEY^8rnK zf)qsD1{$9*^An^Y;vHz>1~L%wD`?^$pyCRk3lNaPe}gPUeF&O(0yLlYpot%V=EoIi z;sVeNdIU{80V@6gO?(4X{12KqgCfK|5?l}(=HCFQxCxs00;qTZn)nB(_y#ob14<Bc z1-KzJ%=`vr2)_bN+(8o}eg{qbf-ywg2AZE>=1eewh|fV24={y@|3MQMFoTH4K=U8W zoC{F#J!s++%pvM!p!pA`KEMJZUV|nsU<nbwgC>3fDsBVKmoRfCSV7d!K@$(KhKT<` z6Bn?7h{r(lG0dC`Q1Lxz;uCBk>Sdt$7^XhJ4kBKICN5wP5x;{begP_O1I^zsb0#=I z)XzZ^4{(Ht|3MQMaDs@(K+6M|IS-)Xd(gxeI78ISK+6M|`UDq<cnzAkf-6M)4x0D_ zsJM+NL>^|&0yhYM4w`s^J4E~snz(`oL_9_eLc`2?02SYZCceNEqD}@{Zo$+ictON# z(8LwIA>wz?#2-M#ZJ^~D%$x;25cPA=#1niW;(yS@75pILG0^f4X3hhs_#QOz1^y8A zGSG4nramD6B3^?gt`G<jzk??J04i<+Ek|MIEC_<ApMxfz5DXFjgC?#J0uhgamai~# z9zezSpouRCg{YT-mb)<Z31JZN8Z>bQP-B*Xf#D9C_yef84YZtwnX@1QV$K{i@q|c- z_#ZTJg(!%4476N_nezZDz6VWwK{Q0Y47B`)sZW45#A?vQ6+nkfgWQiM{s1a&11+av z<}8SVm_G+iJOR}C21!B7Z@BsdkRSs?0=hV;@y@`&04wid>L(;Y)GI*Cdzg4bGDI9! z55UA7QXt|F(9|=4It>gA3=S#~d6@bGQ1Jz5;tgpKbquNy8m8VM9l}pQ6KBYPh#x=` zKL8b1P=m<B%xTDk@LSNt1wexn3=9lU(8Mo5#a+}P`e5cv0399=YL}vk2joK3v1mYO zn0kRc2tNf)`~p<`2%7kWe297#XuS$ECjfMaG6Mrc3!1n9=um%<`_aTNK*e3OAm+i$ znE*Ou9prvA@c_^v>kJGGEZV5*1wfZ0F)%Qspo@bBhZq<bj-ZJffF?;87#LJ^P|XPd z9kR~Az|esvKA{4l?gg570BBH(fq}t87a|XHzX0fRCk6(F6=>oNpg}1H1_lm2RP_g- z;u&b-4K)yTC(y(lY9Znp`VbmsF9T>&2;_b=@dHrt7ii)Qph+i?`wdXdaR43q4st)5 zI0I-B3FLl5RP_g-;u&b-6F`SyGcYimKobuD4Sp~%FlZQ|nj-)@)F0%2H1P{i@fT>~ z6F`$}AooMtaj<X*XoHx$0!>_?9U{&FZQsGvUx12dpovcaP5Ob{k0u@fx_lDkerS6T zW{v>pvPzKq(Znx6#b2O_Pw0lY#{=3<gqai20})?=CN9tm5$AxmA7Sb*K*clA#3%GY z)So~T4**?0335NQy$Lf%U;;#a2b%Z=sQ3#s@d*<l>OG+CP?$LZlOW<N(8L8ML&Q0t z?NgZg3sCV4H1P>jAnH$`i3d!Dh-*OGvoLc6ra{Cz(8Mo5#b2O_PnZr-?*VP+!psSP z4m_+t6Bn2XQO^Nw|H9N?fQo0JiBFgXQGWtWJYY6NTnE}-hMDtWF+{uvO?<-=i1-^c z@q(ogaUW<q8fK2cGKlyZH1Q8maUN*<8m9ika)|l@G;x8I5b+CW;tZ=G;wI4cILsV} z)e!M1XyOcOAmU%p#1BBlL!j++m^lq=A?mlFi94)=h)Y1*?{M|&A>tKi;tm@i;y2L5 z88$-1Euigvm^lZa;xo|18#Y1I|3DLW*bEVmfc67m<}hr5i0?oXKL8b%fc6hy>KnE~ z)K{R1J8Xl9-#`;**bWi5fc7U~<{W^E&p;Dz*a1=h15MmvCqz5~+RuQQ!>|h?z5`AC z090H8+W&y5Z`ch{Ux6m>um>W315KP^FGSn|+Fya0a{wwn15LbPA4L5RG;xRh5b+3T zKL%zF!vTo+4m9xtP;m)p{|2VM;UGkP1)8|SA&B@5G;xN*5OE7=e+XvI0jPKYnz+Le zi24jP@dHrt7Buk(Q1KaP;sQq@=IlTdKL8cKf+qd|D*ggZT;UkR91dvz4DNoYxC)xM zz;TFr3pDWpsJIWB_ywqV0-CtN35Yo*XyOx~;vHz>AE4rM(8L2yLd@BKCcXhGegsWi z;1opt6EyJ+P;mxme-suD45uOLMbN|(pyC>6;s>DOHfZ7sXCUSTpoup?#bNzmn7s*i zAnNy^soww<=YjT*Vd@oLL)6EhiGTPD5wAcKclZYpKY=E`fd?|+odNAn!_1!my|;S@ znm7aWe$Xpu;sH?c5@`P$W{v^${--Nw;vb;mFVMslp!Y&?K>O=3a}=QWFv*~aCqTs` z(8Mo5?>(A=CN2QIA7}-dcmP!V0-E>%sJH=iJOJjN2I&1g6VSvRp!el`Koe(x-dhs@ z9Y27Xa{wxyf+qd}Dqe#oz5sg9%@j280O&n87tq8vK<}-2f+qd}D$W2Mw}86`dT)&g znm7aW{+JRp@dHrtD`?^k&<Pt8=r{+=d<W>gED31h8=&{E^q`3=K<`0$gC_m}D((Xv zFM*lA0D2$E8Z_|)==~o&&~X%)dIjh`964y>51`^_(8L!&@59i6j<3MXNr2uz(Ss(g z0KEs|4Vw4^sJIVwJO*aY0_c4VYtY0Kp!Xl}K*wod>J`pH@^22B_yef;88q<)(E9*% zpyM|%a}uEE@AsgID?rb|e}g9e04nYS9q)mevjBP?{Tej!1nBwiJkW6<n0f{1IqW%T z;t!zWXVAnKK+i+hfsPx&%t?TrKi-2Tt^hp;{0*A;1E{zUbesuh&I0Ip+-uOp6QJi` z^FYU+VCog1=TPUMi9djfpFtB}06h;{2RdE_GbaIh{&EkRxB~PX<Tq&I51`^c(D5yp zISZiY5wAfLPk^5P%L5(Xf~i-4p2M4iCjI~_eg;i^0rWgv9q4!%%$x-1`LjJ};tJ4n zVBesLKY)t+K*!Hu<}84o$GQehJOO(CDGzk~45nTIdJbt0n)m~#_!%_u1<><Ab)e&I zFmn>1=Wq6)i7P<Q!F+=z{s1cO16oXgG#|GBdLHE(H1P!J`Hwu=ka`$quLATO#vC;9 z2T<`dXyOZ?=OOAq$N6C9BtXv}>_HP(fSv>R22K0{RNMzTE(kMc0rWh+HE7}q&<SlG z=(r$Ey#n+cx*Rm|2T<`dXyOZ?=fUYf#}Q%XBtXw!>p>G&fS!Z)22K0{RNMzT?g%qy z0rWhwHE7}q(DT1|pyQ4(^$O5)xN^|MA3(*=pouSlo`<Ca9jAnulK?$`ss~M60eTM9 z8#M6;P;npVxF*b;1<>=D)}V<eK+iwofsS{=)GI*GA<97$e*hIfgC@QJdLEDtbbJ(M zP6G7&ogOrC1@KA*28K6i;t!zWKG5-0m^lle=h3V|6HkDi|H1<uXN9R(fS$vWgC_m} zDt-n{d;#=46dmZeEX<q)==mc(XyOXcb3oppi9djf`#{HWVdgA=p2x8UO*{d5{sj+o zd>5u(0eTKa4x0D_sQ4K)@deQHAatPPzA$qVpyx02pouF$&p~*DCjI~_?gJeshMBVf zdLF?VH1P!J{(m0m_%Teq0(2jK4x0D_sQ4K)@deQR@H)_OWtce$(EaB<XyOXcec*4< z#2-M#eW2seFmo0__hYX?6HkEdf98RXPs7wJK=&c%pou?#il0FfUjW??tOFglhMAK9 z-GAGICawV82m1z1`~g(l2RhCTGiL#GKk6DZ@dW7pPaf#_H%z?(bRT98n)m~#_!%_u z1<?JFI?!=(m^lg1{f9kh;tJ4xfN#*mA3()@pyTK;a~44N<E=pxPk`=!<AIK^!_+H4 z_o3yWi9djfpFtB}0NoF!108pVnUetBf7OE~t^nN!^#)D+0aV-vI!+HWX909S(i$}J z1nB-B9_aWzOuYhhUyTl$_yMT62b#D5be~KNns@<JyZ}x70#y70n)n3h{uTr1`~b{7 z0nmLT6VSv3p!+vIpow3AiU&aF6JX{{fbOr@fF>RQ-M1hBoo9fl7l7^`C_odx02RN0 zCO!eWzTW^k{{S;50J?5|0-Cr0bp84VH1P{i@c`(21<afY(DmgT(8L3v>$U};^B6Go z0?_r=I%wh_pyD2A;uE0jq*Ktu4WR3r8_>iBpzDw4powpQitj)Zp8#Dqd<9M10J`4y z1Dd!1bRDe(biM`VuL)3b7c_AN=z7*1H1Q2kafZz7%=qHujMS9UoYeS&qWt94;^O#% zqRjlF%#up5Y*|izdVEr5NqlmC0g^~@Nl|KEdPxREI5#yn8Ce#lKHea{0%A%=N)E!J zlA^@C;>6^V%>2Cg;>`5C#GLrzocwYIy`t2dM7@&KiV}z>kkxS4VbPS9SPWGOGCnge zwWuUBF(<w#H7hk4DgiPgIX^Es5s9CcnU`6d0rM`{D!47sFaQgJy`G+00#+HHoS#<` zUtCgDnp_fJP*Q|YQJR-nR2iQS6#=;<wJa5+7UHvl#G=I9)RI&r`JBY!lK8U3oYGX7 z_t6xATn%>;vd5Eh@{_YO^U~wX5~1dSltMfhU!0tn0}}>0BRL~AIXk{6F)uweK0hr! zGcP5zB0j%>0Tf%g1qcto;xZXoFgdpX<ivQt;2{69)S{e3Bn=?BP?vzzl=M`r@)?PF zDLJX}Y57ITsqsarIjM=osqu+<De);qnPsU(@kOb{`8lPa=tnprGp{T^J2gJ7G!L9E zAQB*76cptbB&H{pq{br!1VkF-cLLHWsX3`72xH?x)+8r_tuDzg$jK?oMHp3_S`uH9 zQIwjP;+X<+e0*tMQDR<7K14}oUM47YWmX}XmYkTA1J<8XnU|QGnT+hj__B-?h$J{2 zVU5nb{JeO0ltOX>gI->KNvfW^U#M<zNn&y~*m#gp@sLynvN8!S4hjJ*;>ks+pa4q( zCA3s{u*2dRVkAgwX-YwSZemGtMrK|*LKLf0A+C!DWx4pg{P?2ObP$!8PP#sXMJcI? z#UOJ*rXfV3j)zJ?siIUQi^>x-OTg&~6k~a*6`*{XSdxk`BB?aZGtIyqCRSXMTN0n2 zmy?;73N2$&Gvd=g*%GV;?q6u;hZlhHxurQJnFTpWCSy%*Sk!@v4N&NU@(9=xa1<70 z7K1V`LJ%AY;PN9eFNHy`xTL5gF^NI1xH1<^gUiFB{FKC!M26Ig)a25V)Obq<q<j!> ziOMxX<6Bt5^%)vlps7XU8=~>e5qgcx&C&Ft@eR@WW~k~-QMra_d=pe@V>G^z3BoCc zCdO!r(fCHj2*pOIN)6HY#)fF}XnbP>gi(eDmJE83sL)F;F0lYdYHngqPJXflJd7<+ z;|7&$Xkm#eU}TCWV2CbYgsuQxzyj4oLrZi4GjsuCGyx+tz9Aal9N{}7b8|H7Fa%7{ zR2ZT04bk})W@tv5q6-+I3!w2$(G0Le7cfH?Fh&zFLgO2v^UY0A?JzP$6EHME6EH^O z8=>(H4bTLPQEf1^Koc-BLlZDGHAcjYp$VF-F&f_pjc*7NfR!jlXm(qo3z(q`7^4fI z^9|7j%ni{jF+meBM&lcz^DPXJ{A+H2W}GRqtO=T|F_NS?Y8FN18d;+9El{~eXnaF7 zzPUNPBrq~JN7IYOH$>-~p{h4U<r<>#jZIMHjZ6@B8=4rSN*Ws@B#jVELvtfkb%tns zV?#7~LuAbcNE{ObRF%d^l4htOj><JOw?q>#K@%`S<6EGqw?GpxGDQ<GL>DkZSAZda zuE5gV3^``eTwrF7CSZ&%fXPSGVPb|RfW|jPleRz?Fhv(ILKi^eo1mF!i7sGfg7CAU z37V`i8s89Iz!=SVb94a{V`SseWev~;ER2wpn;W5;Ze)fgU}%aiV1yxnYJ{PsA)0_0 znt(AH-w;CpU4bFGfVly(Ck)UmG(naHagf`2#>kRrI*kmFBu!Cs8!Fex5|wX($~8jc z8=~>e(Uha{4bk~#sOn8oxyERGLo~jLDLl^^nwX&JMdKTx@r@CBk(h=i$Q*McR5K0H z_{N3^Lk!IgP!$^+AW0&zO&H2@;tS#}%?-@V42_fHi%XN@O&E$xlM0IR)8ljF%`MVQ zQ;m}n6O+v?3=ESK<Bd#{5-kl)4B|~tBhCcwc}r9oLrZi4GjsuCGyx+tz6F|z=I8<@ zXaYuPd_xSrIjWIHrf33&7y?G<D$x0sW~gQwnxP9AqX`(H@eR@Vrf3G5qX`(9p$nLz z2^gB72^gdC4bk}qXaXi^Zm>WXFf~C$y$KTA5X3<#63}!Sq45pT1&k2}8>6|x5?#Oy zNwJ{`x~wsV0K#Y^G^5SY1x(Nd(D@dIXhxW#2^gdCjnMdpmIkOM7@4677@`XpqbmRj zz#7QL44J8^si16~Xr7v8n4AR8*2YNLIx*QGEz!Uz&BW3&$<jDAH9jdh$rvOSZ;YC) zQMpEzsC)}!c&;}xN8*@RpsF)M;~S#$%~91GnxXPdQMpEFd_y$83Bp+>NNht82Q@{a znTw>@7-5E?IWot@7*&%YlB5x;lrb9L&<J6uk%=LiVl=)H8sFFeRlT7Bl3`dQ+=!?M zH$shYRIZ_gC8~gtDVl&Gx_}Y70t^8(1s13_7+P8wA*U)dSu=Fy#%KaYXnaF7zB$5l zb2PKf(FIJ<1<?5xW@tv3q6rwI@ePg81<VlcF*HDvG(|Jr5?#OyO~4q9Z-_2ngsuRM zZ-Qo{xe3B~Cg_qN0a%%12;!h-E>xq8&~+Oi8EuTJ*3iNjVXdJ#nyir-nt-7xx_}Y7 z0Frq|Xm(km3z(q^7^CqGF$B;R7@`Z98zTH;WMYWs0(1dmGzCWJd;>HALkj~mBTdl+ zjM4ap21ei!LGUmyd_WmF{y+oWi8+t~^y1VK&=|f!d~s$~sv%ObVwP%YZjx+hV32AA zs#4P8larE6(hSU!;|;MENrtF}jUhavTOybymZ++X(D;UEd<%qDBXbKhy=Z(xbiO&N zdNWk6AsXKlRoWPhZ-mA-LAcD&!~{(*8s7*>u`!Z9b7NF}hDee|s8Ysgd_yB7a}Z1; z6GJq8=zIfIc|!w)W)K@R35$D<2tGelmRJ-IOE{3U2AVy<m)1a&s_`i)1_;%VslRvw ztcl5hLC?oIz|+tu9>y|=H$Y8bs9ZyHOEdu!O9OZkG&Dw&HA3SXqVX-z470F67-nRS zCTnDhCSZszV1%v!LjYZYr8$}l%+Ljl(FM@>W@rYOqX`(9q6rw9pbMb!P0>uWKo>AY z6EH^O8=>(H(FIHpp=^R?nI*b_8Ioc{6Es<4G`=CafDw{zV>GMGjS<c;1aXkdPD2xP z<>-6^bO8$^R11vE&;$%k(FBaq_=ZMEE<!cT(9#f1zzj{m7>#cT5`fq3=#u6JsKyzY zq6rw9pa~eG@eK`-9gAj~fdO=%He^*X`D8yU0|WHPClo^_$UqKodJR3#0VWCAbpYcr zFo3q}g2bT5E5Rk8+eaDNq34moB%sI2z_<*c<uDMN;6h+V!hg_87zPH9&tNVC=r|yd z2=sU)_<73u><kPb`=Q6fKoo=aZ$s@xw-2TtMlWH7=m(h%*UZ4c@BpeGy4?XTg>K$_ zR>&F5a0O7ticgSGg)Tn@yB~Cv70h1faViW`m?8E<wZW+k_UJBxNP+wcVL`|rEMWZw z=&E7*7eLnuK~y8ob%XIgvtW0>!e@y43!vvWfWjZ-b`S>Xg}Hw^D+6>zFh~@E11>;Z QBmg~s0OW2^8bQ|&0L$fOn*aa+ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c index f2b4752..6f1828d 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -54,453 +54,40 @@ #endif typedef void (*funcp)(char *, char *); extern int main(int, char**); -IKI_DLLESPEC extern void execute_2(char*, char *); -IKI_DLLESPEC extern void execute_3(char*, char *); -IKI_DLLESPEC extern void execute_4(char*, char *); -IKI_DLLESPEC extern void execute_5(char*, char *); -IKI_DLLESPEC extern void execute_6(char*, char *); -IKI_DLLESPEC extern void execute_7(char*, char *); -IKI_DLLESPEC extern void execute_8(char*, char *); -IKI_DLLESPEC extern void execute_9(char*, char *); -IKI_DLLESPEC extern void execute_10(char*, char *); -IKI_DLLESPEC extern void execute_11(char*, char *); -IKI_DLLESPEC extern void execute_21(char*, char *); -IKI_DLLESPEC extern void execute_22(char*, char *); -IKI_DLLESPEC extern void execute_23(char*, char *); -IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); IKI_DLLESPEC extern void execute_27(char*, char *); IKI_DLLESPEC extern void execute_28(char*, char *); IKI_DLLESPEC extern void execute_29(char*, char *); -IKI_DLLESPEC extern void execute_30(char*, char *); -IKI_DLLESPEC extern void execute_31(char*, char *); IKI_DLLESPEC extern void execute_32(char*, char *); IKI_DLLESPEC extern void execute_33(char*, char *); IKI_DLLESPEC extern void execute_34(char*, char *); IKI_DLLESPEC extern void execute_35(char*, char *); -IKI_DLLESPEC extern void execute_2776(char*, char *); -IKI_DLLESPEC extern void execute_2777(char*, char *); -IKI_DLLESPEC extern void execute_2778(char*, char *); -IKI_DLLESPEC extern void execute_2779(char*, char *); -IKI_DLLESPEC extern void execute_2780(char*, char *); -IKI_DLLESPEC extern void execute_2781(char*, char *); -IKI_DLLESPEC extern void execute_2782(char*, char *); -IKI_DLLESPEC extern void execute_2783(char*, char *); -IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_1389(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); IKI_DLLESPEC extern void execute_40(char*, char *); -IKI_DLLESPEC extern void execute_1390(char*, char *); -IKI_DLLESPEC extern void execute_72(char*, char *); -IKI_DLLESPEC extern void execute_1406(char*, char *); -IKI_DLLESPEC extern void execute_1407(char*, char *); -IKI_DLLESPEC extern void execute_1408(char*, char *); -IKI_DLLESPEC extern void execute_91(char*, char *); -IKI_DLLESPEC extern void execute_1434(char*, char *); -IKI_DLLESPEC extern void execute_1435(char*, char *); -IKI_DLLESPEC extern void execute_1436(char*, char *); -IKI_DLLESPEC extern void execute_1437(char*, char *); -IKI_DLLESPEC extern void execute_1438(char*, char *); -IKI_DLLESPEC extern void execute_1439(char*, char *); -IKI_DLLESPEC extern void execute_1440(char*, char *); -IKI_DLLESPEC extern void execute_1441(char*, char *); -IKI_DLLESPEC extern void execute_1433(char*, char *); -IKI_DLLESPEC extern void execute_94(char*, char *); -IKI_DLLESPEC extern void execute_1443(char*, char *); -IKI_DLLESPEC extern void execute_1444(char*, char *); -IKI_DLLESPEC extern void execute_1445(char*, char *); -IKI_DLLESPEC extern void execute_1446(char*, char *); -IKI_DLLESPEC extern void execute_1442(char*, char *); -IKI_DLLESPEC extern void execute_100(char*, char *); -IKI_DLLESPEC extern void execute_101(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_105(char*, char *); -IKI_DLLESPEC extern void execute_106(char*, char *); -IKI_DLLESPEC extern void execute_109(char*, char *); -IKI_DLLESPEC extern void execute_110(char*, char *); -IKI_DLLESPEC extern void execute_442(char*, char *); -IKI_DLLESPEC extern void execute_443(char*, char *); -IKI_DLLESPEC extern void execute_444(char*, char *); -IKI_DLLESPEC extern void execute_1705(char*, char *); -IKI_DLLESPEC extern void execute_1706(char*, char *); -IKI_DLLESPEC extern void execute_1707(char*, char *); -IKI_DLLESPEC extern void execute_1708(char*, char *); -IKI_DLLESPEC extern void execute_1725(char*, char *); -IKI_DLLESPEC extern void execute_1726(char*, char *); -IKI_DLLESPEC extern void execute_1727(char*, char *); -IKI_DLLESPEC extern void execute_1730(char*, char *); -IKI_DLLESPEC extern void execute_1731(char*, char *); -IKI_DLLESPEC extern void execute_1732(char*, char *); -IKI_DLLESPEC extern void execute_1733(char*, char *); -IKI_DLLESPEC extern void execute_483(char*, char *); -IKI_DLLESPEC extern void execute_491(char*, char *); -IKI_DLLESPEC extern void execute_1062(char*, char *); -IKI_DLLESPEC extern void execute_2412(char*, char *); -IKI_DLLESPEC extern void execute_2413(char*, char *); -IKI_DLLESPEC extern void execute_2411(char*, char *); -IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_80(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_81(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_82(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_89(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_90(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_91(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_92(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_93(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_94(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_95(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_96(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_97(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_98(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_99(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_100(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_101(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_102(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_117(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_118(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_119(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_120(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_122(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_123(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_124(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_125(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_126(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_128(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_129(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_130(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_131(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_132(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_134(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_152(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_153(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_154(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_155(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_156(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_157(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_158(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_160(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_161(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_162(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_163(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_164(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_166(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_167(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_168(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_169(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_170(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_172(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_173(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_174(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_175(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_176(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_178(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_179(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_180(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_181(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_182(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_184(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_185(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_186(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_187(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_188(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_190(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_191(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_214(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_238(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_239(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_240(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_241(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_242(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_244(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_245(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_247(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_248(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_250(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_251(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_252(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_253(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_258(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_286(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_287(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_292(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_293(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_299(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_300(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_301(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_304(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_305(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_307(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_308(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_310(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_312(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_314(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_316(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_317(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_318(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_319(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_322(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_337(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_338(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_340(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_341(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_342(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_343(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_344(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_346(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_347(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_348(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_349(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_352(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_354(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_355(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_362(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1030(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1036(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1042(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1122(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1128(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1226(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1232(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1238(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1244(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1250(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1256(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1262(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1268(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1274(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1286(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1292(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1304(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1310(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1316(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1322(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1328(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1334(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1340(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1346(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1352(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1358(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1364(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1370(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1376(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1382(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1388(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1394(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1406(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1412(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1418(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1424(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1430(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1436(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1442(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1448(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1454(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1460(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1466(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1472(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1478(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1484(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1490(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1496(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1502(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1508(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1514(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1520(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1526(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1532(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1538(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1544(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1550(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1556(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1562(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1568(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1580(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1586(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1592(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1598(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1604(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1610(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1616(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1622(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1628(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1634(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1640(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1646(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1652(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1658(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1664(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1670(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1676(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1682(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1688(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1694(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1700(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1706(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1712(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1718(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1724(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1730(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1736(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1742(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1748(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1754(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1760(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1766(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1772(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1778(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1784(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1790(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1796(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1826(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1832(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1838(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1844(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1850(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1856(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1862(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1868(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1874(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1880(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1886(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1904(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1910(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1916(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1922(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1928(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1934(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1940(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1946(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1952(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1958(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1964(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1970(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1976(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1982(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1988(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1994(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2000(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2006(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2012(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2500(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2548(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2554(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2560(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2574(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2580(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2586(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2592(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2598(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2604(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2620(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2626(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2632(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2638(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2654(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[439] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_2776, (funcp)execute_2777, (funcp)execute_2778, (funcp)execute_2779, (funcp)execute_2780, (funcp)execute_2781, (funcp)execute_2782, (funcp)execute_2783, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1389, (funcp)execute_40, (funcp)execute_1390, (funcp)execute_72, (funcp)execute_1406, (funcp)execute_1407, (funcp)execute_1408, (funcp)execute_91, (funcp)execute_1434, (funcp)execute_1435, (funcp)execute_1436, (funcp)execute_1437, (funcp)execute_1438, (funcp)execute_1439, (funcp)execute_1440, (funcp)execute_1441, (funcp)execute_1433, (funcp)execute_94, (funcp)execute_1443, (funcp)execute_1444, (funcp)execute_1445, (funcp)execute_1446, (funcp)execute_1442, (funcp)execute_100, (funcp)execute_101, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_105, (funcp)execute_106, (funcp)execute_109, (funcp)execute_110, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_1705, (funcp)execute_1706, (funcp)execute_1707, (funcp)execute_1708, (funcp)execute_1725, (funcp)execute_1726, (funcp)execute_1727, (funcp)execute_1730, (funcp)execute_1731, (funcp)execute_1732, (funcp)execute_1733, (funcp)execute_483, (funcp)execute_491, (funcp)execute_1062, (funcp)execute_2412, (funcp)execute_2413, (funcp)execute_2411, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_72, (funcp)transaction_75, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_80, (funcp)transaction_81, (funcp)transaction_82, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_89, (funcp)transaction_90, (funcp)transaction_91, (funcp)transaction_92, (funcp)transaction_93, (funcp)transaction_94, (funcp)transaction_95, (funcp)transaction_96, (funcp)transaction_97, (funcp)transaction_98, (funcp)transaction_99, (funcp)transaction_100, (funcp)transaction_101, (funcp)transaction_102, (funcp)transaction_103, (funcp)transaction_116, (funcp)transaction_117, (funcp)transaction_118, (funcp)transaction_119, (funcp)transaction_120, (funcp)transaction_121, (funcp)transaction_122, (funcp)transaction_123, (funcp)transaction_124, (funcp)transaction_125, (funcp)transaction_126, (funcp)transaction_127, (funcp)transaction_128, (funcp)transaction_129, (funcp)transaction_130, (funcp)transaction_131, (funcp)transaction_132, (funcp)transaction_133, (funcp)transaction_134, (funcp)transaction_152, (funcp)transaction_153, (funcp)transaction_154, (funcp)transaction_155, (funcp)transaction_156, (funcp)transaction_157, (funcp)transaction_158, (funcp)transaction_159, (funcp)transaction_160, (funcp)transaction_161, (funcp)transaction_162, (funcp)transaction_163, (funcp)transaction_164, (funcp)transaction_165, (funcp)transaction_166, (funcp)transaction_167, (funcp)transaction_168, (funcp)transaction_169, (funcp)transaction_170, (funcp)transaction_171, (funcp)transaction_172, (funcp)transaction_173, (funcp)transaction_174, (funcp)transaction_175, (funcp)transaction_176, (funcp)transaction_177, (funcp)transaction_178, (funcp)transaction_179, (funcp)transaction_180, (funcp)transaction_181, (funcp)transaction_182, (funcp)transaction_183, (funcp)transaction_184, (funcp)transaction_185, (funcp)transaction_186, (funcp)transaction_187, (funcp)transaction_188, (funcp)transaction_189, (funcp)transaction_190, (funcp)transaction_191, (funcp)transaction_192, (funcp)transaction_212, (funcp)transaction_213, (funcp)transaction_214, (funcp)transaction_238, (funcp)transaction_239, (funcp)transaction_240, (funcp)transaction_241, (funcp)transaction_242, (funcp)transaction_243, (funcp)transaction_244, (funcp)transaction_245, (funcp)transaction_247, (funcp)transaction_248, (funcp)transaction_249, (funcp)transaction_250, (funcp)transaction_251, (funcp)transaction_252, (funcp)transaction_253, (funcp)transaction_258, (funcp)transaction_264, (funcp)transaction_275, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_286, (funcp)transaction_287, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_292, (funcp)transaction_293, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_296, (funcp)transaction_297, (funcp)transaction_298, (funcp)transaction_299, (funcp)transaction_300, (funcp)transaction_301, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_304, (funcp)transaction_305, (funcp)transaction_306, (funcp)transaction_307, (funcp)transaction_308, (funcp)transaction_309, (funcp)transaction_310, (funcp)transaction_311, (funcp)transaction_312, (funcp)transaction_313, (funcp)transaction_314, (funcp)transaction_315, (funcp)transaction_316, (funcp)transaction_317, (funcp)transaction_318, (funcp)transaction_319, (funcp)transaction_320, (funcp)transaction_321, (funcp)transaction_322, (funcp)transaction_323, (funcp)transaction_337, (funcp)transaction_338, (funcp)transaction_339, (funcp)transaction_340, (funcp)transaction_341, (funcp)transaction_342, (funcp)transaction_343, (funcp)transaction_344, (funcp)transaction_345, (funcp)transaction_346, (funcp)transaction_347, (funcp)transaction_348, (funcp)transaction_349, (funcp)transaction_350, (funcp)transaction_351, (funcp)transaction_352, (funcp)transaction_354, (funcp)transaction_355, (funcp)transaction_362, (funcp)transaction_1030, (funcp)transaction_1036, (funcp)transaction_1042, (funcp)transaction_1048, (funcp)transaction_1054, (funcp)transaction_1116, (funcp)transaction_1122, (funcp)transaction_1128, (funcp)transaction_1226, (funcp)transaction_1232, (funcp)transaction_1238, (funcp)transaction_1244, (funcp)transaction_1250, (funcp)transaction_1256, (funcp)transaction_1262, (funcp)transaction_1268, (funcp)transaction_1274, (funcp)transaction_1280, (funcp)transaction_1286, (funcp)transaction_1292, (funcp)transaction_1298, (funcp)transaction_1304, (funcp)transaction_1310, (funcp)transaction_1316, (funcp)transaction_1322, (funcp)transaction_1328, (funcp)transaction_1334, (funcp)transaction_1340, (funcp)transaction_1346, (funcp)transaction_1352, (funcp)transaction_1358, (funcp)transaction_1364, (funcp)transaction_1370, (funcp)transaction_1376, (funcp)transaction_1382, (funcp)transaction_1388, (funcp)transaction_1394, (funcp)transaction_1400, (funcp)transaction_1406, (funcp)transaction_1412, (funcp)transaction_1418, (funcp)transaction_1424, (funcp)transaction_1430, (funcp)transaction_1436, (funcp)transaction_1442, (funcp)transaction_1448, (funcp)transaction_1454, (funcp)transaction_1460, (funcp)transaction_1466, (funcp)transaction_1472, (funcp)transaction_1478, (funcp)transaction_1484, (funcp)transaction_1490, (funcp)transaction_1496, (funcp)transaction_1502, (funcp)transaction_1508, (funcp)transaction_1514, (funcp)transaction_1520, (funcp)transaction_1526, (funcp)transaction_1532, (funcp)transaction_1538, (funcp)transaction_1544, (funcp)transaction_1550, (funcp)transaction_1556, (funcp)transaction_1562, (funcp)transaction_1568, (funcp)transaction_1574, (funcp)transaction_1580, (funcp)transaction_1586, (funcp)transaction_1592, (funcp)transaction_1598, (funcp)transaction_1604, (funcp)transaction_1610, (funcp)transaction_1616, (funcp)transaction_1622, (funcp)transaction_1628, (funcp)transaction_1634, (funcp)transaction_1640, (funcp)transaction_1646, (funcp)transaction_1652, (funcp)transaction_1658, (funcp)transaction_1664, (funcp)transaction_1670, (funcp)transaction_1676, (funcp)transaction_1682, (funcp)transaction_1688, (funcp)transaction_1694, (funcp)transaction_1700, (funcp)transaction_1706, (funcp)transaction_1712, (funcp)transaction_1718, (funcp)transaction_1724, (funcp)transaction_1730, (funcp)transaction_1736, (funcp)transaction_1742, (funcp)transaction_1748, (funcp)transaction_1754, (funcp)transaction_1760, (funcp)transaction_1766, (funcp)transaction_1772, (funcp)transaction_1778, (funcp)transaction_1784, (funcp)transaction_1790, (funcp)transaction_1796, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1826, (funcp)transaction_1832, (funcp)transaction_1838, (funcp)transaction_1844, (funcp)transaction_1850, (funcp)transaction_1856, (funcp)transaction_1862, (funcp)transaction_1868, (funcp)transaction_1874, (funcp)transaction_1880, (funcp)transaction_1886, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1904, (funcp)transaction_1910, (funcp)transaction_1916, (funcp)transaction_1922, (funcp)transaction_1928, (funcp)transaction_1934, (funcp)transaction_1940, (funcp)transaction_1946, (funcp)transaction_1952, (funcp)transaction_1958, (funcp)transaction_1964, (funcp)transaction_1970, (funcp)transaction_1976, (funcp)transaction_1982, (funcp)transaction_1988, (funcp)transaction_1994, (funcp)transaction_2000, (funcp)transaction_2006, (funcp)transaction_2012, (funcp)transaction_2500, (funcp)transaction_2548, (funcp)transaction_2554, (funcp)transaction_2560, (funcp)transaction_2574, (funcp)transaction_2580, (funcp)transaction_2586, (funcp)transaction_2592, (funcp)transaction_2598, (funcp)transaction_2604, (funcp)transaction_2620, (funcp)transaction_2626, (funcp)transaction_2632, (funcp)transaction_2638, (funcp)transaction_2654}; -const int NumRelocateId= 439; +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 439); - iki_vhdl_file_variable_register(dp + 561640); - iki_vhdl_file_variable_register(dp + 561696); + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 7880); + iki_vhdl_file_variable_register(dp + 7936); /*Populate the transaction function pointer field in the whole net structure */ @@ -511,37 +98,10 @@ void sensitize(char *dp) iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); } - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - -void wrapper_func_0(char *dp) - -{ - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 566968, dp + 571112, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567024, dp + 572064, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567080, dp + 571616, 0, 7, 0, 7, 8, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568344, dp + 571728, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568400, dp + 571392, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568456, dp + 571280, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568512, dp + 571504, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568568, dp + 571840, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568624, dp + 571952, 0, 0, 0, 0, 1, 1); - -} - void simulate(char *dp) { iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); - wrapper_func_0(dp); - + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net iki_execute_processes(); // Schedule resolution functions for the multiply driven Verilog nets that have strength diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o index 86bf929c9b2fd3364d69c7656a92eb3a93adc147..75be3049cec4cea6fcccc3f99355cd260265d76a 100644 GIT binary patch delta 1570 zcmbO*hv|gQ1P!JWf)lmmHKiCB7(BW~89-F8DTsOjB0PF`pO9l<fUz0m873B~a(Fbq z;qd4+WtjYrNo;d2Q!pc=)Z~lIFDB0voGmNDz`zgy(#pWVpbVlt_ynT(I2bG#7#K1p zZx!;M{D7HdkuW3Y1&|~I1B2USMG^7I3L+7lVC4)93|$}*#&sZ4@(2S1gAfBFLm5={ zN+^92B+GagOfpRt6cuMw1d~o+G73aab`X_dYzDC;r!z7z2r{rk^)fK9Kv_#cvWy$R z<Zdu|3`|}GlXt-6b1?Y{O#TIv9FrNv#2JObq#T&k1d}FU(jH8Dfyq!XnE)oU!DJbj zYy^`%U~)Q`Tm&Z9g2~+=a`F$m#?43Ubr~7WCRaLYPu}IIU2km0kXn(NTw0PEZ)}cW zS|FH~aHfd?f@y?c8Y7q{2&O55iLk;1VTB3U3Nx_HCI~xB5q6jw!i_LBVkjv}%qvbz zF3HT#i#M2@<0?1#Mf3{BxXFw$;*80YC&q}^=R#uu6ca)W3=Dt%L%<}cI1>XTmSEyD zk;GY%)X#&8gUkUXJ(&6xNaCPW4HI7v5wB+eS-^&5&=!aUIBAF>iSLGrgVci(5X_(h zP;rpO;z;UGA&E;Mi9dphYcVh|2r$$meEbqgf)7c<2PAP$B=PT1agc*Jki`E$#X$~| zL=xv>gh+tILAf040fGPj|BE0=NKdwn7nhWUs05QBqd^RiBDu-A@#3uVAns(xUg60( z@jR1P#Pjeff<&NLWAem!@yR#hd3a&j7$jja`Cz>GWR3(LUR4kmiajQOj2EA5k-)>N z4iy1W5t9`Y#3yGY@bGGaxKNxiIWR$d@{9x?UTvrdh^m;}m>@p+L;?@5E{F@o9g{aE zh)@2Jz{9H#6#-E*CO=FNpRAF{!)plQLh*{pf{EgjBNBOdjiDkSYR6>9MDfWTi9EdE zG7u!hz`$@~a$%zQ<bn<lp&Y0PDDXkJjA8Sm4n9U+c~CGx<rpRh_6ScF=#t=izzq>9 zV47^yWyTmk`5?3S<c2N{DC<C%22%p#<X2sGOurc?YjxW(aWGBJ>bB!l02$4|z;I#m z!A{}HN4izG0zfH)fq|ibY4WFTGo}wrleK#6m}HnIUt|`W+|Z-Jq`*9RRgc}|1rjWv z+`+J5^2Q$d$pXC+oCgFTZb+DH*lW)9fEmKmV4YmlYc@#<qWZ?<kDc<9FZ4=qDhNX4 K7fvqhk_P|?+L?s_ literal 36368 zcmb<-^>JfjWMqH=Mg}_u1P><4z%Zc`!FB*M9T<cd1Q|Z_%l!)W=={BNJ0k;wN4F?Q z%A?m5M7;nJ9=*F?OyppIu@xpk+0lnVIz5`-aG<DeKEeT(02%4gyZyyB4hE0j{X3R% zFk~@iF*@!98R@tKM1q;SKrE=)n-}Z?Dc=2o2*u4u6g+x2KiC1*ps^fmI)~#<b_NCp zsOcakis=D6!HOl;fED9)3dD2<i0J`DXz1NMVLRCL4k8poOfP_#et-xKy_*j}-2Q_I z#SqgCAVF!cmKe_pK;jY-Wk@mKc^@1z;MfAQAnHPcL9yQX+oSU<C=MIIp$ts~9=*1R z80~xqH33BwNd>~>(BLeF0OZu+(aj4o2$mqb!OlW4r}>D&{uBlVNXkT*<k5VDBRcjl zLq&0Bu3k!Jk$y>1d|GBvXkKPXd{SygVwpZzrYJQhKN(~Ln1@?kaal=aK`H|SA@h=p z%8FA;a|;Nm%T3K?$Slsx&CO-VEe6>S%61@n6px0$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-R~z(GYNVcD7Q`2u&)@D=AelG}bfLGtf0F1#^w+j7;<lOf;dwU=Ok|Ffa%( zFfgz(Ffh3Lg)%TPFdP7hF@t3p7(}2nV^t6XV}$^tG!Hw+1V#o12?hoR8K|5Uh<4=@ zXl5$m<6wa3u>grNFfd4hXb(Pt06q?e7zPH001%&nfnhUfun4Rtm`}oqPa&I6!->xz z9;A?DZaklWBgkTqn>Ikr*8tIA^OHbQ3?>W=3}--M3=9k_L9{EMKpT@IpF}gW3!eg$ zKc9vppMevfg(IIsA)i77pM)dSP>@<I8035whL8WTNHH*D842S8D+Glym;opF!7Mf~ ziA+Gkos9uBo`ft84o?PF2C)B-B;>$sR(SY=xeN>p%3ua7Lj{;d5MB%n3_?im1jiu* z1K3<pdO)azu<RHZ7z7zWu?ykv0FRR}Ft|a}4@49}2ICMfhuRCX58@vN2GF1cHhUYP z>Otm#Ob4eM1_p)+ILrsdG00zROd!<||A1Imaj5@^L!6%x5<YrRbHHf^l(rcm`2}Pq zGS<K$?u$b_2Zwks4)LWp#6jaY*uw1`4)u>1LGg%4RiL~M^2Y}p>VM%7XJNt~4tzMo zC2)u<;SkrsA#R34+yRHUHxBVI9O8*M#B*?nm*Ws`!Xe&^Lwp7f@x?gA*WnP~i9`Gd z4)OCi#Bbpce~Lr=6AtnJIK+9Fv8NwN9O7y?#Eo%?JKzxa#Ub8^L%a`%_)Hw)%W#Nq z#38;9hxln6;x}-JKgJ>c0f+cs9O7Io*yBYUhqww3aYG#9b~wbnafnCY5KqP-UVuZq z7KeBj4)N(Y#FyX@-+)7W4-WCSIK+SA5NBn@9xsA8#AR`aYvK?$#Ubv9L);ICcr*_2 z3>@O6IK-Q9i1*_VpMyhuB@XdzIK&U*5Wj#!{0<KB7dXVf;t*$I!ydo<IK*Xeh+E<i z_rM_@jzc^Rhj=Lt@kuzu7vd1#fJ1yg4)Jq1#P8w|e}hB(Hx6+wcI@FVi9=iihqyTo zaW@>|p*X}-aEKS<5O2aEJ`sob0vzJ&aft83A$}Hz_#GVLuW^X~!XeJdfjwR%aEPnp z5I4gi?utV^1c!Js4)G!!;*B`OC*TmDk3)PN4)MJ>#LwUmzl}ru6%O&AIK(+PvB!%z z4skUc;-)ynU2%wq;t)^8Azq3@ycLJ|R2<?<afollA$}Bx_*ER@PjQHU#Uakhg+2U5 zafqwp5I4mk?utV^6o+^!4)IbP;;lHur{WM_ibH%W4)LQn#INEIe~Lr=D-Lm1ZXDr{ zLtGVyxG4^CR~+J@IK)$Nh?n9JZ^a=#6^Hmz9O7GXh#$oveieuKQyk)7afq|>;0S*l z;;J~rO>v03;t&tTA)bmuycCCcD-Q9gIK-FY5Z{VJ{3s6bt2o4;;t>CeL!6ZtNBH9q zSH&T2ibLELhj=Is@l+h*r8vY}afnaFA-)uc_*NX^M{$T>#UcI_hxk_<;;ei)!XJmY zDh_c|9OAAx#6xk2r{WMV#Ub8`LwqU@@ufJ#x8e{#ibMP=4)Lcr#J}PYXXVEc{y4-{ zafqAZ5O>8P9*RRe6^D2!4)InT;!|;mFU29g6^Hmy9O74Th(E<4{uPHfs{oGh$04qY zL);XHxGN6vP#of^IK)eFh_~VppNd0#DGu?iIK+?Q5Wk8;{3#CcuQ<e61#yHw4slf+ z;-)ynU2%wq;t)^8Azq3@ycLJ|R2<?<afollA$}Bx_*ER@PjQHU#Uairgd_ZMh^yid zH^m|DibFgUhj=Ou@lqV(tvJM|;t*eoLwp+!@#8qeZ{QGri9`Gs4smW_?BOqiLtGb! zxE&60e;ncoIK&Hah&SO7pNvC%2@dhCIK+?P5WkK?`~?p2pE$(1M6idmG!Ahc9OAY( z#QkuH$Kwz$z#-m<Lwphr@x?gAx8M*zibMPw4)NzW#DCxr=M=>r&QdtUwQ-2s;1Ku4 zAs&ZAJRgU60}k<tIK&s>5Z{bL{0I*5t2o4;;Sm3hL!3hldpJwt5ZA&XZjD3S2Zwkp z4)Hu3;`KPhC*TlYh(ml64)Mb{#IN8Ge~Lr=8xC=HaqQtNfkRvqhqx6Eac>;rF*w9? zafsL95bwt!z5s{#MjYaYaEM>VA^rr1_*Wd_Y!cYRSsaJB1`csc9O7O$#G`SD=im^p z#Ub8@Lwr6C@eMe{58@ENghTu>4)HHI#91Y=hqD+CadjNx7C6K`afnCZ5YNUTUV}rt z7l-&f9OCP7h#$Zqei4WGBOKzNafq`>VGn0f9O7y?#LaPtd*Bd{#37!AL%bS?cn=Qo zxj4kv;Sk@CL;L~`@rO9XKj9E(mc|~=A~?iVafqAY5O>ER9)UwV6Nh*e4)Jar;&X6_ zuf-w04~O`99O4gfh=0T(&Lo39oP}|StKbkf#Ubv7Lp&UZcm@vfN*v-{IK*e;5MP5s zd@m01b2!BB;}8dLw2e2^OJ>mXaW()Eh9JTSL>Pkz6A)p_5by5e@8swc@9*Xo>>3gu z;^^e#8qbhcnwK1sn8c8oof!|_3Y=Jy3g(n$q~ye>W#**Dmn9ZuCMM;i#uufgXBL;F z7J=o9Q}c>5OERlc8On<i3kp(;;z35m8-OLta`MyTi<2`_Q%ZAE<4cMX^NJIbOEUBG z;)^rW^AdC7(-MnI;=xvwCFYc-#+PK~rp6mE6ldm^=D=J4*I!VSpPX7;oLU^8SOQU5 zm0FY!wkWkCHMz7TH6E^nAvZBI4=k0DnphB@nU`6TnV17|Cdh}ysU`8Cea<PFMe#ZL z$%$YmWv0a!rRIYCkOI~SQdC?P58Cbw34nscl8pGg#9T<gfTTg2oZ~^eoG}y>XXZK; zWu~O3#;2#2gr_8h7iGdiEV(E(u_QG<B^49~aGQ#f<4cM%6LaE|^GoweAi~g4fGPDW z%?$$W(oQT%^-N)adD#fgGKRBE;4D)(%M8vkhqEl;EK4}c&;Y?Sgfoqhm<St<5LOx? z>@-4HV1%&22w{aW!U|)AxyA@{jS=P=Bg{2Mc*e-w9N`}$b8{pc&CQYQG&e`sYGiJK zu-C}k0%5a}xdp;*BXbL525`bn&d)0@i7(11h9#?bSeP3?5^i2TC@;k4<;N$cr^AEF z(AWZDsR_bLLt{$>#~fjyp@{*)FNP)t2)`Jb7$E#&iEyu>2~wySnjnRXp@}iFS;oj_ z86(UxG%-dv(a;3hktQZ6_8=m{5)l!GCMF1RLlYAe8AK!*nwTIv#staB28fU_G%$n& zcX4KJK@L0%6B*V9NY)_-qXCltkW#b>k|U5kf#L^qWJAr7O*Kc39CM_|F*G+qa)`MR zlE2N7vV);HQqngxM@syL=19rk&>SfPm{=gf+5|Zf7?>df)X2mTg@e#yWMT*nUvTjY zE}T=#Qu9iZ6LWHs5|gtTz~azi+1Lb?Yl_M>L*-g9fQxRh0R#*-F+kC3Vu;E$LggBx za#0;+g6b#}R7aUuqUbe6wbvBYUQ<+iO;PPNMYY!y)m~Fndri$z?X^JVqPofq)m3Jw zt};h;l{u=t=BO5#qgrH+YLNx1MHZ+QS)f{Ef$Dt=RPS4$I>Q3h`xdCKvOsl}1*)qo zQC($;>MBcAS6QOE$`aL8mZ+|>M0J%Vs;ewfU1f>tDnkQQXMqYPaMFh64MPJ|hZ!26 zy3EiJ)pA2aRND;=QLQ&LM6=%r&3+@)a4|GOv)>5Kek0VNF*HIA8$%;B_ZgwN&j`(Z zMriIcMsuGrn){5=+-HpDKD5Hc5H0r^qGdlrwESm?mH`dXa-bnv7Bn<P^N%T-f6#KF zAzC&xG(+=`8Jd60(8AjcExgUp!rKfjyv@+uXNKlJbF}a_M+<MXa>CFY&3)!*?n5g` z49(HphgPx}q7^NMXl08bTH#`dR=OCX6)%Qp<%=O&0b__(!Wg0zF@|Vmj3HVfV~AGD z7@`$3hG^xCAzDFWWQZEyMuw>2X=I2Ro<_!~@n>X=8h=J;b)FGgrDtS<W}gX~eI{u3 zp;dfFCaCGj$OO$jXjP#RT3u*_Rv8+h<wqm5{Ah%hpN!DbzY$vcGD1sFMri5D2rWGs zp`|AywDe?zmY$5z(vuNddNM*wPey3z$p|ey8KI>oBee8ngqEI+(9)9;dU`^uxs1@N zE+e$M%LuLVGD54pjL@ntBeeR<7_I&?Hb5;ejM3^ZW3>9q*Z?)X85^LMXT}Do<%O{U zYWg!aKuv$f2B`VL*bucmGd4tXA6ou1M$3Q3X!+0B5Y2sP^{+8n{cDU?{~Dv!zs6|w zuQ6KvYm8R^8l%;}#>QyjfmS{kqm>WFXyt=3TKQm%Rz4V`l@G>f<%2O=`CyFJGB!pl zFO1R33uCnM!WgZ*Fh(mcjM2&qW3>9(7_GiGMz2o|&>Iv6#;7UG&;Tt63=PoSY-oUH zsUc=73@zFW4Kdm|7!4!zmJE7PZ)k)T_J-(%tDzBk>%<7%`$p*XzY%(cjb0)eq8DL? z=w*%}dLdzmo~sSfv!S6eTD~zfMh`J#^bj*fZ_1&kWkdADXNaC!42{vM6GLOP`oz!} zy#Zv5-eNIEs}c;2(aLZ`W3)oo&;+fN1dqO;H1k1SX*2=Ug3Ztby`^n}o{~YsFsNpr zr(_fKlx%{Yl1<RtrzYqPN)z-Jpb1(PYiNR2CmNcdRbqxFXtj=^DOxpQXo^;@8=9gO z#D=D5C8wb&dX6$h&rzmmg`=S<dX6$hD}D@3(Q}k3dX6$h&rzo6Im#5RjbUht)+jJE zMXSXPP0^}dLo>8`($Ea8Vly;D&rxRRIm!$@N137LC^NL$!O#q?I5#vyD}N2m&~ua- zdX6$f&rxRRIm!$@N137LC^PgNWsaVsKtpCIiQgQpW;ZlPtBMWH(Q_1NpbXUv^t!<u zJx7_N=O}aZ9A%E4qs-BBlsS5i0*xl4x&u8&nWN_@bMzc#fu5r*&~uapdXBO{&rufW zIm!Y(M_HifC=2u)Wr3cfEYNe51$vIMK+jPY=sC&)Jx5uf=O_#G9A$x?qb$*LlqGtO zvP92OmgqUk5<N#*qUR_}^c-c0o}(<$bCe}|j<Q70QI_aA$`U<CS)%7COY|INiI$^` z4A63vkpWuM+sFVdM;V!-YcWO34MwJDxxvU3EjJjUmzGB8rKJ&iX=#LBS{k93mPY8M zrI8t0(l#<fOWH<eXi3}13@vFJnVFh^&xQh>52MAvz`)JG!0_ik1c-wK85lt4MKOTR zih_y5&V&M;E5n1N9(G<4NE~!@5KKMjTqclF=;E#*K`iEj&Mg8-fz*S}PJ)@!0up3k zU;v2=BH6nUhxj8L;-GVXKvL-DIDig(!eV|d4)J+7#82Z8|ARwZ5PJR)h(Zo$F^~Y% zJ;F%-l|vE-oeKmD9}OgN(AiQjaWAMihywW;CLRb9fQCQl)GC-b=-eDw_=q9dn*dc0 zG6R%0VCvJM;vfoi$`wpJ2P6P>Kj_>pn0PT%97KUmDT0YtfCQlGL1#w6#6jomz}zE+ z<ephj^`LYsge1NYNt_Q!d<Bv?CzAMjs5poMoqh$gcMC`W>K<t%^@oteL3shD{tS}1 zERuSV+d&u<{qi6N6oc#nF+t)AAO;kJ;uORLi7SE_Pz(w~5ECS>1Y$rjOfN_rauygw z0+t^^;wlg!FlhiL8NerlsY2Nx3U;0wNWB_J0E!`J`a{&KL&ZRp2Z&&Rh{Nmx3Bk^P z1DT@<5`f|eC=C(^#Tk^#kN{<X#I>Pp5S0NUpyum9*&wO_L_o!Lp==NZJO2!1jvhz= ziW{IbNL(Mv22mX#0%{H{Oh7`QbH_k5NWCG50mU<*G)UYC$_7yjKm^nrV<;O$tpE{F zaab7$5`vvy2QmkI_&i9Efq?;h(mYhX8B`2J9RLwfadRjeM4bQ;P;pq?frKuA2&lLv zlntV8fC#8K>})oW&;t+w6}N`6K@|94eyF$&NC1jIKxvTs!DsSA`9GjENE~#Q9Fz-5 zAW(Dc;ered93X{IaabAyNedu}JAwqDSOQ7h2`UDn6p+N7K>|<=I<F1H1WCJq7*K2g z5`fwZtAjvN7D(ctGw(oRAPlP)K+^6Y0VwtW2|&$(<pq#b0Ft;TNC1i>ki=ni97rkw zN!%MG0L2+d;yzF@5LJLA?h6ut;tC{jKd2apYCsbA2MIuN2a-4}&w`{tXFq~ykaQr3 z0mU;w0#N@3LB&AS0wi(R8Gaz~6-eSCAOR@efFvFY6$4Q_ki^440#JMaNjw}X2BJ<N ziNnekkoW~8@ko#W6yHD+kAjMUs0T>m(I5dRet{$oI@b~^%m6w^55xvZ$ATD8`~xHa z4WBru7?^_A3n1xuumFVMKoW<Qfgl+HB=JO$02G7H*8?#@<|Kg_P^^HY9(?fxR2<eG z0I3IEX8{#vFhEidx_<&DZh<781`}jpU;v%F2jYUvNe3~Y*aJyDtgHq}1t5uMf&`#A z0!cgzDh8rp?Fx|j*&qQZ&OlP10~G^N1xVt#AOR?bwI4v{<Uz$iR0ERwe2@SXcOZ$w z>J*UF1SIi7kN^~e&hG;;LFN~M7*M<bNj>O{OQ<jdti1tJUjh?kU|`sQq`nkMd<T+v z8It$`ByrH$nlPyoNaC=%4<vU1NxTvy0L3?u#H*lUAnE~<cr{1>ieDg!*FeQU)CVN- zT95z~|3DJ2gNlJEP@@)_FY3Vp5P}0q99BnzWCW1JL1%-4#6VaANxTUp0L2PO;>}Po z5T$`64(mIB#0`+dTR{R)Y=I=+1{DKQpmPR6G$<b1K@2GNKvEBDOMs*Tki?O$Mq-FS z67K>jgyIAw@ouOXh{`|`?*R!waRHKeFH{UfRUnD?fdrtq0ZF_cDh8rDki=na9+3D1 zB=LzL0Vtk<Bt8i$2BH=qiBARzK=BGB@hMO-5VZkGd@4u)igzH1PlJkqr~^pi(?J4I zd;&=v);0r4T|g3_2@-%}(7B2rCMX_fff!Ky07?C9s2GTPfh0Z$Bml*r^A<r&kU4Wf z3@HAAq<$V$3`~JKOwjZ{A1nYNIFQ6)ZAg%e0FwAZkN^}*Ac-%6ih(EvB=N-{0Vvi$ z5?=xp15pM@;!8mSP;7xDz6>e`q8yOKmxBbL*aJx%*470{1t5vT&eR2ogU)#b(V%c! z1!6#P0+RaGP%#jdfh4{LBml*r^B+M>kU48X3@ENZQojx=2BI2}#Mgraptu7`9M&cW zNlic!hm9?O#AhIhZvqKG@d70A%}_BAwE{_e3rGNpLFY(<m>~CW1u>v_2a@`2P%#h% zKCBy>ezt=Ip!fumdRX59By|Bv9CVEqNDPE;Ac=!-)&dDKFfcqo65kCK15q!K#P@&% zp!frl_+F?Oi28vfz7He-!=S+hsQdTB#26SDIFQ6)eH@Uq0FwAYkN^}*Ac-G>ih(Ev zB=N%_0Vvi$5<dbJ15pM@;zvOOP;7xDehexGq8yOKkAnoD*aJx%))xaw1t5u^1PMTK z1d{kEs2GS!KoUO<5`bdRd72<5D4m=EF`&2rN&Q)<7>KGs5<dqLfZ_%u@$*nI5Y>Ss z4(l_5#3vw$Ujzw2@eCyKOHeTowE#){GDrZ5S0IUBfr^2s4M^gkGrK`zAiM)f{2E9A ziVq-(Ux$i;s1r!yu)Zxw9CVH+hz5n*O%MZ$Zy>3^1r-BP50J!fg9M-$biOBu2{Pvn zhylePkksFWih-yfNaFWE0x%336oaP!`!F$3`bQFn^}#{X0!ZQyK>|=Lfg}za+XP7| zAc;Q)2|%$1lK2y-7>F`J5`PL3fMN?I@n=vm5aoa*{v0F##h~*>K}=Biz{Uzd`~W2N zFF^uO9DyYM3MvMo5|G4Sg9M;B14;Z1R18EFAc?;P2|#fLlK4BQ7>H^>5`Pa8fZ`4$ zaoCs!NNNI-_(zZc6wg2s{{$5SQ45g7KZ69Icm<O97pNGB0-cKrqCw&I6~utz9Z2fG zLB&AS0VMJ7AOR>ofg}zadjUyZKoSR?eGd`?;TuTezd!;|`~XS(H&hHny+9KG0}_DZ z4@lyFp<*EF2a@<dkN^yW26>_B|36F&l>U*#!Gn=dIRPYbr0Yi+B#^|JK!FEUuYe@Z zj3f>^zZIkeB*X$DKpX}J1_LDZtVrS(NaAcr;tojS>`3AsNaC=uERYoeNaCDG>LZZE zxsb#Yki@x>#50h@d62{lki>bB#4C`*`H;jLki=ngA0T&jAc@1q+(6<Jki-R%%$b2C zE`%fwI%gK74<sZEB0wAl28I<#>P3*mHz0|NB8l%n5*I@fKY%1IjwF5pNgOsd2(tPD zlDH(2`Wr~%Qb^(tki?~t#9ttZ%OHt=KoXZl690iDE{7xzyHW!rBo87$js&HD=mY>r z2sVZZk`h1?R|H9d1Q{3@K<D9tm>?k~5CP&aFfb?}saHl4*FX|iK@vAW5?4hMw?GnC zLlSpD5?4nO_dpVdjkSWD6o4eIiKIRPNn8s_JON2u8%aC^Nn8g>yZ}jD7fHMVNn8&} zya7pEA4$9eNgOsN401o{++Gk3QfdeyKpX}Jh8alejgZ6_Ac-3ziLXEsH$f8LfFy2; zB)$Vl+zd(l0Ft;llK2TEaoE^3$f*~Q#4VB3-#`+#LK1&~ByNo){sKwd21)z_lDI9B z_zxs;J0x)i&}195{I^FE2c0hraxX{-HpUL(3m~a?1WAGfLFpe!+zCls0ZH5$Nn8U- z+yzP807={xN!$WS+zm<G0ZH5)N!$ZT95xpKa%upQxF?eO2qbYYB=H0!ac?B?3?y+M zB=G_yanRi}AUi?00!iEtNqqy7xIdD32a-5!&H`l41SIi5B=s|p#DkE;7a)lTBZ;p- z5)VNV-+&|@iX^@RNjwZm`~Z@8IFk4YByrf>3dsEzki;XA)Zai7k3tfEfFvG`B>n<P zJO)Yp1Cn?wlK2lK@i-)L2FS%w;3iHyk~jyFIBX6G<bDAp@kAu`5=i1nNa6}e;>k$j z8c5<PNa6-a;;BgD7D(c0Na7Ai;^|1@9!TP_xhjzR1CYcsk<>>ZiDw~+Cm@MuBZ+4q ziG%J^0+|iM1xVtswHYAs3MBD7By$>&#PgBFJCMX-b7~-SCLoCyBB`H&BwmChz5q$Q z7)g8ul6VP{_y#2LQY7&mNaAHk;s=n#%aO!SAc@1~{y^@(fFxdtr2YnycomZP10?Zk zB=HwW;x$O(ACSark;H!>iPs^CgBKM+%l~>LaSqU8Bd9oRjuPa40VMH8B=r(V;!Q~6 z3P|G3Na7ku;w?zx21w$qNa7Yq;%!Lc4oKqdNa7wy;;^|?koyCW#5<AHM<9uJA&Dm- ziFYH3XCR69Ac+?siG%JE1KA0}6-eTJNa`Dq#QTxNJCMX-bG{&RCLoDVL{dKkNqiEL z_yQ#H$w=ZWki@4TiEls>pNb^D14(=ulK256@##q7Cy>NpbJHOAUqBL{iKPAplK3nn z@drravysGKAc@aG690fCJ{L*+2a-5!Z5YTs44_4F&~!c@Nj(RWIBX6bq+S3?d?Av0 z2_*4FNa6}e;){{QHIT%YAc-3wiG%K(1KA0}7D(dDkkmUMi7!VI_dpVd&Gm!K2|yBG ziKIRPNqiNOcmk66Y9#RtB=I#!;sr?JYmvk&ki^#^i8mmLuSXK^KoW<oNdUQj0+RSf zB=s|p#5W;{FF+E9-CYPWX9be@79{l>ki@qliSIxX--aZ907)El7a+)N5I%t<4qH0` z62E{Xz7xru8%W~2ki;J#iSI@de}N>v2TA+`lK5UE@gGRy`;f#LK#M`4>3=_xI0uqA zY>fxV{Q^kh2a(iEAc-GB5?4SHKa3=<fh2weN!$QQ{3w#R1(NtNByk5M@#9G19!TP_ zwJ0F>2Ox=`L{cAtBz_7>JON1@wssU`P6m?r86@=uNaAOa#4C`*L3cxf%mCpAB=Pe| z>N}9cVQXeU>L(zHUqn(r14;Z6lK287@ykf!E0DymAc=2462FQh4qL|vT1EiEAh&=p zZ0#9H41{58*I;XkKw=;aTYChXYXgaaFzlXC*c=B)41{6#elqA4SLT)^CNbz0mlQ$h z3>d2@H78N8B(<W1K`$k-B#}WcskoRyuP7hH0Vy}svq0q<!nh22V7*|4MX5Oqddc~@ zxv6<240?I_C8>JuexbU>C7@G7ApG>aQoVwr{DRb?l1ix7)QtGFBG4g8pg^Dk28Ayu zxS_+ea1&u`@2-Oa1uhC-wg(pht$_ti@xj!?Bwj!lO~KYNfYxk-?12skfbS6osRgYm z1&Kqa$w5M3ERHS!7R9t5v<4JpCc1KB^@G-Og6v0Ly9u%iwyp$u?jIx$vI|6m^nhrP zzd>wt{hOf<f;N9aO2HVU7Q}$jptW}(Hd-(<z}7c_!WN_+hSB+;HE1CHQ0rjI7+~uc zVE6UHBtY>EV}oeW+BuM!Ab)`PFzf+cl%@e)tP6@ikl#TVqz1O`7_=q~WIys+S&;k; z&|-WB1_n_4fcP*BQVU|kXwcd#7#k!G!$+X{VSE^kt`@X*2sFfs9)2gF`qBLhb3e>H z(Ap7@ndtgoK=p$==rF~g@mVA`hzVNrf-U?Cpo@QCYp_7!2P)!V_QS#to82IDUw{^` zgYGDX1|VqtH)tpUT|Y<+J?=sJe?S-8egG{-2MIti$UZ0&Oo6<KP5%q1`;ph0fHa`% F2LRYTm1Y0{ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg index e61916012747cf27bdd8d5d6191bb1a2a77d5f7f..5d9b62cedc3aa716aab59f21a43523a0cd5261d8 100644 GIT binary patch literal 10896 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk_gedLsSH|NsAYFfcG&U}0b|kY`|c!NI_ALy&<X zLKqX@5Mf~O5NBXWkcHp_vJ4CgatsU#@(?V`z`y{)CJYP=AS}Ru32GS`7_yld7{u8i zay$$S3?S?P;W98XFfcGOLNEiE$H4Ia|Ns9WX|Nmvf)5e{VO9_U#UM5llntU~K;|(p zFepN4kQ7Lqoq>S?<OC4gkAZ;!L^~p>0jUGo3&J2dV+IBWW(EcZFFa~Mer91{U<k&e z#+-qH0p!LQJZh|<ZcBx_3*;6~1_lNY7KYM+3=9k)KTJka!_C0JAjH7Hpa4}9&%nUI z0d+G-Eyx@Y2KfnuxuEd`GWQ77JdivHgXBRNq{fzkfdNFH#iJ&Xfq?;J|1~^nlAv*Y zACH=31_lOR1_p)~c+{jYFfi~jFfe?=qXraq{0s~XfAFYDV_;warBOCUNLYgW4Z<LQ zgD}X?=?n}Ef*^H_kgx>FgD^-Qgh76GgNC~#9yJjR3=E*SlgFb5<S!8h1_m8GYRVWG z7(^Ku7|igfsbFAW5My9qaKNLcl7WFi9F#WjsHtLLV31&7U<kpZrka6)L6U)iAr6n4 zS_TFNDFz0HG(2j$7#J9&85kIf@u-=_z`y{?&&c5q3R4iDfk!$3Od}DXd<KeNQ2GYt zbx?W$<z;zjo&lMq&A`9_%G)3{pmb-zEgb-2t3&e;$laiL24Rpq$oK=?(g7fIK>9%9 zATbaP!Z0-rP&J@30VIx34X8{Yqy|(bz|<5oFfi0Z-3PMIfnPcRlvY800ns2gFJ@q1 z*a%evN>d<zfzqlGG@pXX2#|Ro3^D`cK9Ija`U$xiqy|(bfb@d&foKqhnFI1WNDZh= z0Ey#M11b{;sR5M<gw%lY4k0z5GJ%j9P?<nT4X8}Orv?<xpfZ7w8c>-)NDU!>f$Rd6 z353i6l?jB@fXW0yYCvTIAvK^ffsh(dnLtPls7xTF22>`%)PT|%41@Bg7M3~|RBwRF z1%`ljQBXbysRyYA<sDG_0>lSlkeSG8LG>y~9HdqUTCalI128>swYk}%ptc4~tu6sK zn1U2S%OgEBb3taq%?-;Ibw^UGPr%$bs9KO8L2WXSSx_NxdkSPOSS+Aj6qM#cav-&G zILw8q%>yZfmMfrg6x6<eg###T;O6FLi`F2i1(i2oGoWIy@CAznw2Ssb#X)WWg&(rH zAoD@yg3M>g%@$pNq*e)sd%<c0+C{e^sRe}}*gmKj%nh*gZ~`h0a)SpBb75+)BB}Kx zp!NxpT5Rn{kl$eDenwL3O~BlLNNRlusO5xu9OO4&0%~Dt2ULa=n|45I38fuadH|^f zr5&h~z`lUR1z0SgT~r9nfV4Xar5$A?wV<>E<)WAiOAq=`Nst=|r5#%&waPfc3}&u3 zl3Eo4YD1CKsuEBekEB+OfZB8<wV?J3l#4YSK>aX~1SlL}WeKS70uqD81uRYWLJb0` zHGsMSms(ib0o6;m!VGL2Qn>?Ci!01vYGLILNG&MLkjn><TR?dTtoFwu(b-@Iq(6cy z%)m+l+C`Ux84$I&!VIh=pj~t;m;q6%0SyOGc!4l1%)m+l+C>k884$Ia1k|2IQj4q3 z0xJn<7rhQ<K+MHeXMvRjw2R&cGaza~brzHhaxT0MfTiCLP)Shug6b^f@CBs<Q22t? z9$6sz1xYQat=ItVV}ayB?QD=*kRFC33q)B!g3vZ6sGW<f7GwvqT2Umm7SOs7WDdwW zP}+m5Jv>)b6-linnp%(_;A)i?i<%;-1+}9=eFB)dps@$I+QV~2osra9GcYiK+RiYw zp!O|Xt<qvqa3=ujHybpyAax)N(#vpou4p)txuCW)$nPLIkl#T461ZBW#iB7tYV8;p z7(nS86t19%gQYW&UQinbxnB>H2bn!k;vhGGFvw3J8stAvc;j*pNDT-Rs~(p*xWr-R zg6sfcQ22q|1MfG21fgR}pt!~*j?4V`lKcXOlBD>w%%ae|%o2vgqU4Oscq9R+M7$vb zlm%4+<K<-LrN+mb8Ztl`MlgmcjA6l$oS#=xl%E5#Al?v}2Q@3dAhjs5B(p3Pq=Es3 z7jKBl2kVGOQ3AEc4CVw&bEt@=1&m<{Wf&S5z*#Wmh6XSv8yXlxWz7v3iZe3PN*FTp zl8fRKQ&Ngji;JOhhK4X>4UOQY8Np36f}3V!%urmK8=sh*%urmCUz8eOlv-SxQvx%_ z6jbyu1Uttk=j116gBV4r#i=DAMrK|?X-RNmZb42e8aFI4Co=^kmX?`Ql3J9Sf>4Dj z0#WD*HQW<qcWMcPXFRgeC_IP;|9Dhm7|;bE%7UHa3ySiSQ;Ul;^U_`N^T5u`$xloP z28UX(b9`oAW{D#-+`!!AA{1_BNpNW{$Rl7~2xcUMC%V!8@nAJzll@T)2JsN)f_M;P zp*l))86d1k2LE_BlmLTzBPhNA6zF*+!6k_$sSLqE@oA+cr9}{)Cz8FOph9>E#6#E% z;z8_%>L|@+fUrRJqJ@)xd?d)4<owjMpw#rt;*!)N5Feb{5CYEexurQJ{-A7;mtqJC zCM3QQi0@mPQxXJ8Pr=Th6bj=6#TSD@GYDc&QEFleEZo5*g?>hUZfdc9mXUF8YF=u( zzOSo`v%jByNr5gX;)_ysQ%g!yG86Mkbm2;hi<0#-QgZYWg@RsLMhb&|X>k$QH2u`P z;*umoeP35seaJAqepzN&VoJV|fsu)xp?(;cqYve!B$g!Vmx0U!nOj_v5^rQ+V4+`J zl9-p0Sd;=Wx}YdOOTQwiG&3iqSl`mp(o)YL-qSB6-oV7bG~U3%#6UkYuec;JC#P6H zF()U!ASbaTEx#zY7-knli#}0yQPmqIsTC!e`SkDvvQ5<RLS|}eDl95e;&bxTGn3;D z4b4nIe#v3br%8+uu#=YF(oM=wsT>HuAzMsc59XETrWR!;#}}7?a}n($4bwuJc?dbw zXq*(0e1&W)`Q;|Gr36ZB4B!Hjyy6$B5k;v{D9tCZVc`B0sBH<u;L3u5fnh0Vj)j4N zA&ZfLL6DJw!JLVK;R+)IgD^7#!)hi5hBwR%3_Z*Y42M`47-Cr%7%W&JxSo}P0fbMn zGBAKJ$Xt+_FdD=L^$TEpQfZK0(A*Aa4g}Qq2F+!F8dRV@8mNzC#=yV;VuSi&p#GIT z0|SE-0|SFI0|SFA0|NtS%nLN;1R6{72h9;NFffEOFff3|w4)dp7-AV17(nCypz(ds z_&sPG8#Mk78fyfNpM%E7LF%Fz7#Kivp&<33u>;VYB}`2Q0|P@QDC`&*7_u1{7;+dG z7;+gH81fky7(ip*pfPRGm^Elj8Z_n%niB!_FB=&c7@8Ou7@8Rv7+M$@7+M(^7<w2O z7<w5P82T6(7(io<6Brm6CNeNEfaXs@W5}TJM8+J@2EPE52K8f*<&oK;1qhx6sPhGy zdxO&G`jGj^`W!$5a|{d&9#9%t9msTOmk`8cK-T90>KHRHFn}k3(8d`+ia}$iAR2^` z^#y=B$)Nax(&+Yq(g#Qn2qWvW0Cku_{)5u!`oR5CkR}EO6nCPBC$fJ)%3=Lm5F5ol zXgE4RX>@(i=mBX%u@5~w(e*)%!_bEwp6L3Z(SxB6Jv`C%L8A#nA9{GA>w`uQhCT(* zU<3mLg9emF*9VOr41FA+fd<fgHk3x!2Q>~u-v_ArA5a=yA5<7a-wkL7`~j3k*9R5G z(02gTNoQbSI02>6^+AO(^sN9*)-y0LY=F|}`k=xX`X)dpcxFIpbbU}^41MV78aX|J z6eEu(;7ix&`aok5ApIbWtPeeXqU!^#4FKr@VPt*i=>=UMXuSYP4+tacLr*X0`ao+4 zKzcwJSs!|OLDvVWdq8?X7+D{BdO_C*T5AB(1H#Dq(9;XLKG2*vNDl}j>qAd3==wlw z5<q%D7+D{BdO_C*s#igJKp0scdVWXO2bymI=>cJ6eHGAz)BvT?^?~MIKzcwJSziJ) zA!R^mbbX+C7?2(iM%IU(u93@2kXq2342T9{WPRxMAG$u!{0vAB2qWu5FR#({f#zyJ zdO#RiAA0&k*9V$|0O<i?WPRxA6I~yuO$5>d!pQp2(<iz<(A)$_4+tacLr<UR`ats( zAUz<AtPeeXqU!_AS%CC_FtR@M^ogzyG=Blo1H#Dq(8~#QeW1AvkRA|5)`wpIq3Z+9 zYk>5CFtR=mXn}PCN~7xo&2fPAfH1PY70?1p16lx~>jTYqfb@Vcvc4J6f@cAgM%M?L z`vB<yVPt&`(1ND}N~7xo&E13afH1PY3~0eq0j1IPf#%*odO#RiUj($^DS*=G`att= zAUz<AtS<ms@MJ(~bbW>(4N#1%&jVWU1VCwYeV}ziP;DsfECXo4V*#bn^?~{o*z^Ga DiEWw= literal 51520 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk?9Jb6@(G|NsB*U|?Wa!NR~Wp^SlH1_uMf1WyKr z0AEae!;gVsK{f-!hGGbwQNqB`P|CniQ3k;>3=9k)T*b)10Kys!n4q1Jf#J9e0|OTa zL{650fdPc=AY29}1_lO3MhIqLU<8Z)|Ns9#hyj*kU|@jpL1G}x3L>Bw#Ab%FLFz&7 z0hyu*6$4S63=9k)>)99>7<d^N7(g^gts2N~kOBq<usZ}97#MV*Y(EAD29UXKNNPYz zL2dzIkbYwZ1_l-e1_pmTYD^dy7(i}}z@x?->ZU|IYOEL-7}yyY7_y-51Gxo+L2dzI zkiTr9{w%|z#+8AA0Tdohc+_|^Ffed2FfjDtQKQGez`)JGz%UDs8Vd#n1|9|mhShk~ zSVH4u4<0ojzw<FLFr3As#+reFfuDha;T|3}A`A=+0t^fc@1b!E3O`U-2s1D+fZPFM z2Qn})fYO01BP9MoYCsqi#-OwSQWMX>z#s&TcaU0;JP3o-fG{ZRK=BST*P0Px9!MU9 zLGmCBQUi)Fkegiar~#!3Q3eJEUp#7(7#JAD7#JAB@Tf^<U|<kuU|@*Hqb7xcfkA?S zfgux*np6e`21y16hGINw(ij*Rq!<_&YVoK^XJBBEhURThc!0tR6g~#CmwW}$$QYD= zK=B3&dr;m5#WN`X$wT8Bl;=VD9b^tjA1GfRn7QODC{KXY!)OBr1_n@?1epU8OPICf zD~PQQ%@ZIugUkS7kUYpNm|dW}4iX26foKqhso5}V$ybnjKyeNd$EOCA*9oZsrFV2S zpu7(<7i1U6UQpU0qz07N38?|)bwX-Dd7Y3NP`DCO1IlBB)DYu$P+liw4k%y2)cgg- zFC(Pf1BG3|yd_^j`A-{K&Vk}xml09Vm@qOhfYKwV>;k1b7!5KHgh6J2;sV45nL{Yv zL29tY7t9<`oPyMV@;b;&nAspUx*AYk2Z`fT1Ip`!)PV9jAvK`9PDl+XuM<)O%Ih#S zAa{c>D8Fby>pM^#3K9dU0hRNh{LY~K!w{4=LE<2_ptu6n>$uc{%43*XP#q4c??HJ6 zqz5DpH&^kO0jR71iGj@3CEx~AkV0rV1Ih=;=7P+In=AFpz#U1gJ^^#%plU(!3o-*_ z7E}n_-T}2ez~QjC`3We_L2@9qpz;WxT2Oqz)PmA3PPK}KhM=|tOf9G$!>M+0^OJm# zLTFtL3Tu37E0ENJ@)5dPP+AB3?d(H?MkKYMJd3UtRDOfi*2EfiBdG<|cj#*2VOAY$ zI1NcHsNTb=wmsHxA(C29{fATS>sZ4zNNPd#ASgY8(h*1xh=#kN|Bk^HB(<P223ajg z9SDQ{=Dvaf)cye}2gNa{J_DHt;)5_KUw~+^+QrRJj(|AOxB#`K@u@w7q*e}^CZR&$ zbOvI>%)JWYK+Of!t@zA+grrspi@9*W%~<mF1Cm;0d}<wH4gVpjRl%n=B;Jq*>OfGK zsp3<+`M!Y^l3Gyv0Llg11`1!ed$|$}HKCFqb3uI?C>O34!~lnxWr887eFx%$)M{dJ z14s_6cE*yg-cW-;YGGv`NFIbiZUE6Rwb4jwVPzjk9)!`=<{+sBm3>eyNGWO@&sg%c z4k`(91E@TMaxv8|Zhq1Wl?17Um1kgu&~_V$4R-J1<|lKI)PmYsP%cObsv8zJKUocx z1et4yFU%G<KiP$(7SslVa<Q6w4k`&U7gQ%hxmeXcf=Ytag35R(7pvNDP)U$lGXn1A zVuJKyL25yDg#xth02u+w{~#J1X6u@th#;v2)pf{fL2BS?*ET<qLsAPWKatgf%1C6j znn-FveKcgXusRWBKEvAPCnlgm3)DV>_RB%$fZPcR2v9nM*G<Yl3~i9q!pag{YF&`j zg31z5SitNCvEk;b|1t<dQVVh`J~yNysRh;JAhTfRg2E7FE?9~BFM~2L1Jdq;l~L&K z1uIehVb}v^K-7Zjacph?hneyZ!+A(*J)r3U-CUU3)ktbR38>wLq}Gdo+LK6XL2Y<^ z?!AJf)`x((_mR~45>N|EJD~IktB26T0pu=FTMm|XKxzr49awq*sRgASkQunleF1eZ zNG+kX^AkxeDD7Y~7pz42haoGdLV)%U2&EliB(<>m4c)yka}|-)!s<6%YPFHn!s<6% zYE6;U!s<6%YHg9!g8IJr!XX$*Evyaz<vHZ?0hZR2k<`NK09<Mdp=v>WD{S>9NE&Qz z>uQ4<5C=Mzgst8LNrTm@_8Gv^B*<J?ngqEOghAyQNG-^}47+*_+Cdzsx!CGWkTlp_ z1_p*HAP!V5tj_>46NF*rg4M3>HCTqE7M6BE>OdH#7VL)Qy#`y5)WX6Sqz;5(YQg3* zFfbfPQVUDJAax)NQwuhCQLn*OB(>P;SdcW#+!r7Y)Nio#3o;XgQOupyYw!t4Ew=g? zBn>kcRtJFM0+f$IX#tcEKyC!(SCCo|n_+SD6Gmua1*rwq$H;0ybr?u3*xbd<PehQ^ z!ty-Gtssoz-pF191thhgu@|s?AcfF24BT7>1_nbUwXnPiRSIsyfYgHZ2lpCSBdG<A zYk<X}ieYX5yO)81!3#+(EFVLaqMGZ~YY>E_7BtR*&s<oU3JNn=nTpk1mtKQ-By&My z5%|o_K~f7Er$>$pkX@i~0Q+rm^OHOzwV<*DSuLmy1X2r%b5I-?A*pqMj#Y!?KyCrm z+aR?tbE}cm!pbvrzk&2J==B=(AgOhN)>-Ik!R9hBFf2k+>kJ(;2AKnL1E_C}?1l|U zYF(h?_%OAgzBRJi14wE?<8C1Hu)5*NDuc^NYC&VlIMqsDF?fcg7S=AoYHoSE!A~T$ zuyzSnwHMnAc%T6SiceU(1gqMa?FRBlYGLgXtZI|n4UCY~!rCQR)#kPvxFV^AwM($7 zz0zh7fut7JF2SnyTAM*Ol3LI_4$km3Z8xYxQj2XK2Oh`P?FJK()CS;7XQAx|OOVup z<`i(c;Zd)_b|key_{`O5H#mi)HW;7U`@IHtk<^CZQ_Ik9@Bv9}C_c5K?FP)C4hOWp z2*aoLeVc(8lG<>5YQMG_Xd<b_HeUvhi(hR9Hb`nC@tOOi&A=Z?Z4^GWFWU@~kkm%w zQ!CPEP>Q5B2A|p=4-Gny)W+gd%U*6c8%Zr_oDgT)VJ|aWholxXFNIU>|6;>~NNN-C zx#3Zv;T0scpmAxO=3Xu^e2%0RG**dIt!|p(FC?{~acZ1upC%jff;x84bOsu)#;MkQ z1%m>TTF|&PPPH3j42_Z0g2qd6s{IsY=!T>gG>(l^ZRst8NF=ox_`>(-ErT2+wVC+T zI$buXM^XzKv&HF#Wfu)5A*s#AXYSue21}9D=HOF1<&nX5B(=Ht)FwSLIEkb-51-m~ z4-IZ3sRfNO<MdnHLxZ<SY76k0>;KRIJmC*bJB9ev{&-*@3~IDM)fVAXyW@d@8j{*# zd}?JM7+4~yEy1UD_k9B&B(<gZ)K=a%h(}Tjn(N0IW(xNWijdTn<1_cpJ%d&xwV*M4 zoaQp#GXSj{0oA{t_CqB;b7k)utVS}o3ZGhsI|loZ)K=qDyXCgQMI^N~_|#_JHh6-h zwicgSy?Vp%NNVfwspYLV<N^&kLDNn>KDGXJhB8QM8}O-huQSv~QVW_R!5J54Y7L!` z)PmM^;8dGYYZ!{8wi%xrCe|2cAgOJ^r?#=iuo_8iD?YW;s}1{*)VASMTVHLs5J_!2 zKDCL}hFg%-cHmPRSZ#P5No^-SwfR+sw~*9!;Zy5ZW%w3JEoi<9XIz+98G;wtK+{eS zK68Im8VZ9t%uuzx_|(3sG*m-U3tGd2(+xK&4K0z>_Tw}6NTs0<lG+LQ)Xu9kj6+g8 z5ue(=O2YyqwUh9vEvhtZLQ*>!pIW<0!zoB=r{GhoSZTN#N$pg8YDFsz4<V@q%`@T* z-&YleH<8p%$7k-b3d0XbYG>e6yRgEL9W*EcO%F5isjaFoltWSrTCauEz4>W|rbudM z<1@FS+|UO}?Hqh+^~w#Ck<`w`r}lW6VHJ|vdHB>elo?J$Qac}?+SoF~6-a6q;8V*} zX1EVYEogoiXP7-KHN1kPb`d^vol6a0A*o%APpw|5A$ai|G>(_xQ#-%JPz+QNL)9+D zr?#=gPzOovGJI-XN(`Nl)Go)Twx`%I0!i%(d}{5B4fBxHuEeMILy=(%lG;`H)NU>^ zoQ0$owC)gRy!8|rZa`8Cn%Bpv_I9D+F(kEX@ws7Yq2V1Qwd?SywJJ3Hgrs&oKDGY~ z3^_mpYS1{|fKTnF0z)|@wHxuNl`SwdMN+#7pW2!EhCWDYH{(<5oo|?oq!zRm0%!RC z&NHk+Qo9wOxjlJ?6Oq(z!>86e&u|5j+U@w%n&%nrM^Xz~BaPF&Y<Y&)kkszPXYQ6v z!?#Fkci~f;ooUDd8VH5P@os!-gE9@Jk<^0LkKlB#P^O^~lG?ra%w3sb=z*kmA3n8i z8HRC4YWL$)YnEYHilp`cKDATR4SSH(9>k}%E8TE0lG;P~)cT|w?m|*~7@u0#bi<2C zYC&smaE7l=y5S2XwMX%p8<b}FA4%;od}`}c48=f$($Ms99G}`h$%Z;eYER%(%b0BF zgrxQ)KDA0oh7m|=PvKMhJ;5*!N$qKTYUSb$TaeU()+yl(-)V7%vyjw+)`8<x+ZAWH z0ZHvSd~P@qZFmex?Rk7^AFCTYLQ;DHpW5RO4E`ahy@*fk;s*v&pveSi9ACnxw(Eg` z8Isz|_|(=vFbG0Ydj+~z0XBaDTJHxRPhH&nBm+q;XdM`+3k*{WTJMLfwhl?{HMDsg z(0V^)wX>1bUPn_4TJMLfb|;eB8)#}lYv7U9UPn@U6HP5>Z6LDRuSjZdp{WI}4MbKe z3<?-%T--)e3tAh9tkw`o?Hx3=ptXU>YW<Pa-bGUjS{sP0HU~*9Xg>pTT!7ZhBdhI1 zQhOiGT+li~WVOqX)ILB{3z{oOR(lvp?L#!Rpt(k5wGWWgg4P8hyB9R?i>&r9lG?{; z=7Q#Ok=06p5*{=zo}j4(&3_}SHAhnW6iqE?4jx%;2$I@o`0^0@eS=ISwa@XX{d3Qt z4oU3`d}^QHGnkB|_9Z^Gr|%i8KvMe(pW5yB4E7?aeT`4;{CftMkkr1xr*`r^gXc(U z-{Mo-e$U_!lG=Cp)aKnY5C9bz&^QL|%fVT$C*CtqMN$h|Q;Jiq>pcT2B()#$xxwn5 zfj^SkPx#d8-ZMx+Qu`U7T7i28l}Kv8;8Xkau0bD?TF?d<oPK+8*I+S{+Hd&GJ#*J! z2a?+F_|zV{Yj74x?GJov*WEREgrxQ-KDG1i8hl4m`wO4izPkq8ph^Ln9)9CfTXfez z0ZHv2d}@>K8ki!f1?>mH8NRl64ZM)lg4QGBRI7K_ARb9AXwxE2wPJS-N|4lowmRZe z`~QwX2a;Ow{z%YZI~1d=FS&omU@nqcCeU6=s5%gZqV~`ogH1?knenOJbjRR0l3Esg zYG>UsxPzpY6`$I+I|d(-)Ux4ITYkrY4OH<%(*rv`wP|+@q><Ee;8PoV$G`wdEhj#; zHg^nMk<@bGQ>$^uAPPw>H$JrjcMS57)PmNs<4g}fZyPitspZ9I?z7tl(~;Ej;Zu9@ zw!vy7wfy+h?znBRA4#nMKDGU~4K5?86~w1D_qM?cB(*~L)JEPm_=}`g7@u0d+Xh0Q zh9ETUh~QIed)q)ANv$Y8wd%JGY>?E7;Zy5UZy122Rve#N^LoP+B()Ow)XLTyRv@XB z#HaRGona4>TF^cSoayXIo#6r`wbJ;^JymD81xc+8KDGPm3{N1b1?{cH>4v3shIf$E z%HcD2R-NGoB(?JR)K=CRvVa=<(Da~yPi=gip#+jzMSN;)>I`*|)GFaqYglLKfTUI# zpIU`F!w@93D)`j$)EQ<Vsa3_N_Is^i4U$?lM##QtSYHpc{u8;a+>WGH9iO=eY7G}4 zsnx)zc2%w6P9(LU{Wdt$!|YnaOGs+9@R{3CYxo*Ttu{WjDYb?ypbi~0J?P+5>r`tf zi=-B`R}`mvjcX0fkksnoGgq$G&>u;yK0dV!wT2l;Y7OwIeP3hPh@{pKpW6F1hO>~= z8sSrWxyEoal3HVYYLC?zo<ULz+Ea@&%r@5;K0#7ziqG7IHHLqX)SBT_TUlc$0_uoE z<JcUZ+Qb?|9VE3D_|yj07`h;-wZx~^qQ)==Nv#z=wW>9SB}i&Pd&_W!nRtz150Y9N zeCGbBHe8CN))t@Ir`3l0kks1YQ@f|y@H&!OdwgmaR2zOkQtN<EZF{vLCumRv8gGvH z)Mi#2Dj}(L!l%}^+Rz$FtusEgw$+9qNNPc6G~kR2!)n7^B(<*i%vG;8Y(rA(hEJ_b zwc&guweI-Ta#kDeKvL_0Pwkf~!;462J@Ki1Qf2rONv#(?wO6VP89@V7(0Bvwcf=WH z2dWGuk<|L&Gj~mup&^o5&{-Hb&F!u-^h8qYhtJ%SD#Ju1wf^|j##I?sBB>3)r`Ea3 za3Yf0KzwTTs|;5nsSU!XR<g?QAd=c(d}=wW3~wT-4Z)}OK&9bFB(<UV)V5a|a)JgH zp>Yg4a|CBx<X0LhBB>3>XRdFhp(T>q2z+YIDh&gX)J8Hw&i8?}VL*E~klQd3NNS@P zA!qi$)PnY7z}4QWFswyV3)+JVT2c>F3)+VOSG&0R$wDNxF=*z3_Cmnbc2*cdmo9?3 z<X{ZymxIPhafyTUf)zpukb00?aEXJ)S)oe76i7X2Je6AFxZFuCap;s7_>3cv2SDz} z7e2V`g_#2~1B5~5fTm(VVF%*FFi0G<<Q-Rd;xd0!{QrM);)Pn_hAVz?nU5=eNfnPT z$uD3iNs3R)EDFubEMZ72O3uiPM-oWSNy=e>%ElYQSWtCPafY1Cywv!3Q$rZT2*xml zF)SE7^D;{^6LZWA;|<_!%XmW=+uStX2*x%si#KNQODzdXO;0Q?&P>lUHH$Yz6)=xC zLlv-yH%Aq)jJH4$Ffxd@WJu1>D=Es)0eLmv5Sa({P<}ybQDRAESt>{c0}3zR5S0)0 znHkK}mgZ0qOA8po63Q?%Fo3gQ$_))*fn;c4%)k)r9G{$%pPUV16r~oYmVg+Uc?G2< z!HKyAIjLyeu*96q6p&b2W==_JQECc86{-kCp?h!;gL_CYgL}9uLqL#^3xj)*YjB8v zkSjw$d}%>^Np1l{fR9hSkH53GJ3~N_zq?bsyN{C(gR`@bw?BmG<{az<WxBv<Pl%Lz za8P_^UJ1wsFb%Q+%z)Vf=6HoTy2ppW^g?*f-VhTYJQt{W5T0)^vRqJb2ol%XF(4!~ z$Q4N>$Tip%Sw*miryDXqG{D6%1VuxrUx+J;p~0>`hDas`yZRWRa*a{BCP>`SVAmj! z=a3D6i6NT;6GJu%CI&Sx$lp0W+|wn*gCPXY0tF;g5X=k?aSRG!@PsBA&v;0R@r*}K zFep4oGVqT_O&kp90uW`v&hZ6B`N^rp#hH2OF8O)j#Fvwwm=c_knFdXmnI(=XDMhKp z#h^r(nU`FI!p$rRF3knWfOR35kqn;bM*GKu)qqX*kI&3Q7!2Ye%mwiv#zJ+J<}yH7 zkqrLvZYbFR>W!fI0#Lx@l?0b0mZUNS2gRqAmXsDjcriA~`FX`926hSzP^O`s0xU@5 zJ)J_`P&n~Up>FOBpfE)^355sKg6sp30=R#W6@WqqA{ktoi;yUQ<#w15B4|7j!Gt1N zn#%x{LKp<n1qx{}4O0yYi4q9MhrvG{6ljt0{vdDqV@3&T^h1;dJIDK$=9C1b7MJFL z6G3iiPKiILyv$26Vu%S2ijRyoiH*;UH#CgTi#Jd}5i-IQGR71#!4xvZ6f(mUGRG9M zz!b8?5Hd2r6vA}95vJ>nFkNqi>3Snf*BfEF-U!pFMwm`D#&oJNrn`(W-DQmFE@Mo0 z8DqN37&BCjF`a6R=~NR;r<!1fstKm+O)y<=g6Vn_OxK%Wy50oS^(L6EH^FqhDW>a9 zF<p=8223Ylx&Xxi=9rlUB7_n!5FwO+fe4|v03w9q1c(rd8z4eh9Dx!FU{$C&10sYH z3J@Vor=q5Lh$>8X8DY8$H5-66V)`02(SQ}9CK`wkN}_=XVFnj!qJgNwbQWr&fvCa^ zF4RN=R)v~qAVQeIWs2!XQ%u*JV!GZGGf+)2U5}{`QyZo(WdFq%rKUs6b>xhJCTs{T z?$LyeunQYw2&1G2gdt#IOtZnlm}Y~8ahPp_-AYsJ!e-co%`t_M>JKDm$LGakadmuN zJQhdC=fz{X897xT%ms;JnhO%eG#4a_-CRsRBPTe7Z6Hxh+d!h2wt+-3ZNr|9K%$uD zf<!UR1&N}V3(iM|7%34UjAAlE7{zFWFb=a(QVT*gO2{FEQBn&+7$vnpgh9cC#epDU zEG_{FV=)^fjA=HgXu<R(NEFj#lvV;b!oki%X(=EHBNrk_!pJ2Fk}z`hf+P%zNm!#0 zA&k;gKoUl-YLJA{^A*_Hpzy%-IY<=K=OD*nss)K+SBn|rAhnp00uqJBNl|KI3bZp6 zZ2+#~5oH9joFN`LBRq1(gycYRZlD0_?jTtQ3dHz$18}3f7}VJcN=?r!E=etlHi(6k zYAB*0<sjF?+VPl@h6b_mcw`On${OL7HO4Dzf>+iQuPi=Eyl%qlB)l%d>mW0H4l>6l zX@O7D5=pW&7Zi_~@yKOnF<cN-OF(laLJ*X7Fa(hb0fb7VA^;(X)Sf^Hf-(q(nMh>< zLM0-%z{QXoqX<EyG6Eq8P5hwlC_)gMFOj=z2$k4;iQHvFsKn+=V{A@B?xn%CBbUGk zK`dTJ?(D!7BDY)-g4kSy+<HZ*#1`(@A|1I^3)hX@u0;r93wPwUEkY$$i?D?|atjxs z9h)yrv866kY`(;1j~P;H0&Wksl#R`5Y&N4=YzQvR(E<n}h?X!Rf@lE*5kzx1L=er9 z;L#T}d%$97)_}#(Yypd*SppWrW(Qh8fYqS|1Xv6$AS^&N7n=LPVrcFIi=nv>EQaPj zuo#;Az+!0b1B;=#4=e_CUvN--acM57%7avo5H_^fM9tD5MMx6Rwj5M9k_5DkfhhrP zW?)J{o1B;u&?X3`1hf@`DFJPWph}>IJCZ*@5?K8KlECT@kOWqLfF!W_10;?p{1JA8 z#Iaco631pUNF1BRAaPvwVhcWydTgNw62}&J$RlAWp^7{nhAII~TF`n3;br6oFNzN2 z5i(Q>kgKqT9`e8kst(k=1GXGeV1N=cTEHSC&_Wg=ffj@a3A8XoNT3BGxG(_Ojphxw zI9eiri=(*}E{^6@xHwuOfQzH~04|Q^0k}At`@w|;7Wc!&(cBLgM{_@19L@c3aWwbC z#nIdk7e{kHT-;oNp&;HMJ~NLY#?L1_9yB%&P6ZGT8pQj@hx$4D`}w&#hq$^ZU{hp_ zUk$k9WoQ!bjAjypenx(7YO#Knk#TNnUTV6&ud9o*zn^|dfi7t3sVG%9wWKs9Gcm73 z7p|nZC|N%vB}X4I>8w|lk;0%~P?VpgUy)RrnUhkiZ)s_1sb>)H=@$}jU}9hzZ(w0! zpr4snT#}fRQ>>qulM`Q%lUS0LUzA&{AC_5`n350GqMwpjlBiz>vH)aJaY;(Nk%57Q zesM`+UP@w73O(#9Nv$Z!%%`DEnW?F%FmI*A=j5knCdV5ZnwfyC%%M?8;<8gWDL<u> zJ{ISd=B5^9CdU_-fTNN2K1H$+*_-62dFTQPP;gO_b&!@dK#YRqo#gzCl;V=av@}Eg zlEi}il*&^5h~muLh~)g>#JrTG{0e<gmPoBA(XS}Z%q>eT%1p~l2IrOdg2d$P#Prl+ z{oKro)Rg#~#Ju$QvYe92f>ekF<a-%0w+LEe;TfP;Mvl+H9&{{C$;=NZ%1_oS&dk*> z&diNB)K5yyNGt>UM<29w1!Ou{ZBa@lq$qIqDb_bNFgGzZGk^$y{GF4Z4i1abyv*Xv z++uxqKNm7}g64_I)C!t(CQ~bDB9~09KA|DT<ZCq|U#kiET20B<YDT73Hy3ADGPOE8 z1_ecu>s#N@2saBdEe7c|CsVIaXow-1TEm>3^+4x0g4QI0)*~WgkQfMq&RYgy&^b3C z4BEE@!c!O-7(kepiGcxx>zEiAK==z20|N+KGcz!Na2qoN0|-B7W?%qeQx*mW5T4A! zzyQK$Sr`~Vn1hvp0fe1d85lqqbS^Ro?__0Q0O2pJ3=ANw&&I$2!dYw#3?RIeje!A# zAFwenfUqn(0|N+y&O!y@sq734Abf$HfdPa;egydoMuXU(wV5zJsWeD0Xgdf@A81J~ zXni7R4KQfgI!v72H0W%6&>b|OGv`5f+JWwk0kJ{%<blqMw`X8r0G(A1I)fZ^UO4Cs zZqOOnptG$(=TL*rYX+T{3_1rHbY?N=%wW(tyrA=SLFeXz&cg+ra|=4Z7IZGH7ApgT zE-M3rAu9tz7ApfoH7f%HDE=aO7#L!B7#KiXZbA3`fbJLo-OT{H69DE$(0ThH_rcr- za<4T50|V&ncaS?lXSF**-3vOe9CQvj=zMXQdqHPxgWL={6B`ttFpRv$vH-dVyaGxi zuNjA_$3`yzIUICfEtE#rht7wYu>!hJbOV$|R|i_t4O5FuZ-DM6+ySN0^&$HSS>F!m zzOw^R8eJbKog%A;u|I$kDFXw;4=9bU541)ZrWTnNfG&8IfYRvxfgZDrtOd*l<v|eR z1`d5tVUQ9O+yTl|3=9ktpftLDP+=53AdUz0Jj?(njjj(W3{r}MIiP1D3P5ReeNbT( zJs{2rsKf;*jjj)R{2oXt3hsbPOn}np`k=?Oq38i|9H0^&P#Rqy^yn{;QWX3HsvdkH zG4zfoWCiH!oMCbspa$-M(&+Yq*1E&gBGVaA0}G%ux<2R;ImlYTYz3%+8c-TtAM`jE zuv%nd!CFX8SplWd^+AsaLDm9hN34VBOMueo`k=)JSS>OkupXjM0!pLngBBmiTEOfR zQ1us}G`c=$@c~wgOx%Em#{(#ht`Ay#AZr1$CqM&w29!qE2Q5CpYLN*KXg~)*X>@&{ zIuKbsoDB_V4k(ST54t4}t{leL0abqhN~7z87FRIkaJpdwBpy1TG`c?MHY2!t7{g*C zM4tncM%M?b*I{ar=?_r#KcF<aKG3;F$m(J26`LUTZGh6~`aowK!PFwt37aAMGN3fN zKJ@)IFufAci+&WKG`c>}ULBZPWcmVB{S7FMt`Bq$60&+2yJIWFofDumx<1gENHDd? zw8J)tJ`X63t`D?799cb#{R66=VLL=Wx;{{Q45k*D-T+m<14^Uo1MSa3Ru5xm?10!) z0Hx9Of%a;_)FRUgJ0bcspftKZ(7r8X^)PnC0@NA~Ss#cEx+fB*7MV^UpbvES6|#C5 zJA;5e&^=f%wa9b<0ezsmB$3s_*y!yuNGQQ%85ltKV<C757JB;(T_5PKEQD$V3%z}Y zt`F2!MW{xw(A#I|`atblglYr}y?utR57Z_`s7A2R+h^$dK<#LRY6R;Bw4i$crP1{n zA(W!99zYAa7f>2qAE?caq5;Y|0WIh*KxuS+puPxHDGId#TF~u)(&+j?eFGE?P|gf! zLAL-(qw53pC!k7Es0L_3*8!!`^?~|GC>o%g3}``D0Hx9Of%+~`r6?47x`tMta5bR5 z7@UK|Ku_1``apdoB;{}>diq4y2kKYB6(cdw(+j#j8zi;JO!V}Et`BtA7qWU78$G?C z>jU-uU}}+R^z?$R57b{oRu5yNrx$d6pgtx{Ei#RsUeNV{`lZO~VQlpDg02tL_lBuO zrqS~|x;{{U9a%k$9RRIRBcL?8KG6MPFty0E1++qSfYRvtKw|^Q>S1gJXoac)rJ>C< zm^1^ZpAP3BF>XNBKY-Hc`apeqB;|1CiD!_W;RPs-tPj*@1&s&56(cbwKr8SWP#Reu zNEv9{14N@^4`>A*0Hx9OfyTtp^?>*WuOaTVfYQkNK<)(HNd{7f(XWP9{2WjkSszF} zXsiQ7qvL>A5c?vaG_pRBeW39ikRJ5@{|=}<2cR^vK9G9Qm<otS#{zF4_DMi#WPKp} zK;tkVJ?Q=a0%!xJ0!kz61F1(Jy8(%T@Pro-`({9CWPKp}KzEyg)PdSSAR2}>pbZ-X zD2=QSqy}`)8Hh&51ur4?RX}NEeIWZlcb<XtpqKv-p!U3g(#ZNi>OtdaAQ~NifQI7_ zD2=QSWFP1*G>{&Mt3WIWo&jxuEr8O<`ami`_o9JlbbJANiO~%xjjRu3A85=EqzARN z$-oc*ZMa21X=HsM^`LP=5RHykJcqb^1C&PA2eJ=zcN$0!hz+7)m;>726M)jl`ao(x zW0D{m9Up+IKLMrD^?~kGL)Qc1FL)1e=L#r|tPkWi(EVy4b<klQ5EG0gpbbR@D2=QS zqyRK-3Zl{RhIbJAc0g%leIWZl_pX8TppQ=lKpT7!P#ReuNImEdHV}=DIiL+b0Vs{G z4|E?Jx*iZe0ovfpfYRvtKx4unb?8_E+Tc@w(&+j?<H+cGK>Q0(^*5k2x<1g@Ge{je z?tnHFCqQX*eW3AbbUh%x1GJ&&0j1IPfyTH&>d^5IsCouygArXHXj~j!4~V}3s(uHQ zM%M=#O9!b##~IKDW&xB&*9W@q4P6h2uK;aeYCvgpeW1JFK<d!(4XFADP#Rqy=pHz9 zJs|!BXv1^{lt$MFx)Tnh4jp?y8>RtJ8eJdgemHbJU_P{A$^oU(^?~k+1FJ(Lc0ko1 zfYRvtK=;O>X#sN!pbgpzD2=WUbcY;RAsV3pZO|G(X>@&{`{dBHfVmH#>R&)<bbX+^ z<-iKjh#Am^@B%1}t`Bt29GVs|HvrlYj)2nW`apNiffb?=9MFca0F*}82fBX_O$(TN z0IL23lt$MFx{D615RIsSHlQ1zG`c?6ye6791_NjV+5$?W>jT|Uhpq?2e*snh0ZOCm z1Kn2#QiqNgKpWmGpftKZ(A{<DdO-XLXu~@JN~7xo-D3w*hmHlH4Q~l3jjj)LryaT; z5dQ>J{RJqEt`Bs-9Y`HIZh$t}JD@bWKG0ov=z2hW3uuGg0ZOCm1KoQEQiqN|K-K?% z(&+j?ci^Gx0r6Kr8~PidG`c>}eRv>s=r{q|(9eL<==wl+<Du&T@g<-QeFZ3ut`Bri z9!MQJz5rE!14^Uo1KpX2t_Q^LfOZfjKxuS+p!@Sc>d>(Rw1eOQrP1|)?$Sfo1LFUH zs%L<96wvj7?$raSL&qDS>UThCbbX*Z_R#f!_!-cSMFEsX*9W?952OwqD?mFI8c-Tt zAL#BqbUh&c4XFADP#Rqy=pH_hI&?e%+98<%rP1|)?&L$)1LAu?J0t;68eJdgem;;o z3=Hj%a6oBveW1JgF!V4mFzkS;KLDlC^?~l~!=|qQ+L5V%(&+j?clcq`rvdHA7(i)s zeW3gNu<3gMRsRA?qw53R?T1a@3}^>v0hC792fF7Eo4x>O2Pgtcqw53R`G-v(2ebnu z0Hx9Of$smqrtbh${Rt?It`Bq<AU1s!(2i3Blt$MFx)%_eJ_BgS$pT8F>jT{ph)v%M zsQM338eJdgzCdjH7C<{(E1)#GKG5BP*z`p}J6s7+8eJdg9zksS1fU%*2`G)O4|Jy> zHhm|c>MuZPbbX-v1+nRCfOgb6pftKZ&|QPr^jSbVY7S5uT_5P)L2UXyK-K?%(&+j? zcMxLJw*uP1+W@7}^?~jq#HKF++QG|!(&+j?cN1dMCjsr?DL`p-eV}^^vFW=2Reu9Y zqw53RS%^(v2ee~20ZOCm1KnSUO`ij_W9R{;(e;7uGQ_6u2UI-+w1bGQZy7dC3=9k# zpz3!(X>@&{I}WjF&46|&3!pT*KG1!K*z_qtJCqtw8eJdg?n7+)Za~#PfYRvtK=&YG z(>DRy5uE|0(e;7uM8u}g1KJS{fYRvtK=&hJ)5ieqh;l$_bbX+^60zyq0abqhN~7xo z-J6I_UjeiOTLGof^?~kC#HLRJ+JQBI(&+j?_bFo2_W-K?1(ZhD2fAAko4yy&j_(I3 zjjj)L&muN`AD|uIA5a=yAL!0SZ2Eq{I=;}3EV@3>{fpT2F+e-898elvALuSdZ2CB$ z9asS<jjj*0Ru!8*0cZzS0!pLn1KrVxO`im`1FHa~(e;7uYs98c0osApfYRvtKzBD{ z)29LLz#2elbbX+E9I@#$fOcRlpftKZ(4CIh^jSbVuntffT_5OvM{N2WpdDBbD2=WU zbk`#`eIC#bYygx-*9W@y5u3gMXa_a|N~7xo-2sVBUj(!Rn*gQJ^?~k##HKF++JVi0 z(&+j?cSB;+mjUg-7C>oqeV}_HvFR&-c3>-@G`c>}osrn|RX{ti4Nw|gAL#x_Z2B6Y z9oP;ijjj)Lmn1fQ9ncQ!1SpNJ4|K02HhmMI9oQLA8eJdgj!A6#W<Wcz3!pT*KG1!W z*z_%cc3@XPX>@&{yC<>fTLJCBZh+G0`at(kV$-()+JW5xrP1|)?xe(~ZwIskdjLwK z>jT|SiA~=DXb1KLlt$MFx~meKz7x<6>;))|t`Br?B{qE*pdHv7P#Rqy=nhM4`fflw zun(X#x<1f-me}+?fOcSCKxuS+pt~)x>3ad~z<z+z==wnSTw>Gr0osB60j1IPf$qG- zrtb%=0}JhtqU!_Qe~C>W1GFQ`0j1IPf$qY@rjG;K5fy;a==wnSVq(*G0IL23lt$MF zx+4>tz6xjuwgF0`>jT}FiA|pYv;%7arP1|)?#{%f?*&x-2PloM4|I<vHhl}A9p4pD z8eJdgPEBn3BA^}L1SpNJ4|KmKHhlunj;{oiM%M?rYZIHk6HxURpftKZ(7l`3^ff>` z%pFh~T_5NUPHg%tpdDrhD2=WUbRQ=+eIKCee?Vz;eW1HJvFTd@?Pza+(&+j?_jF>@ zmjLZ(XFzFmeV{u#vFVe5cC;0sG`c>}{hiqKU4W{;0j1IPf$s9ermq9qL7xDn(e;7u z^~9#n0op<LfYRvtKzDp%)As|ao&nlXN7o0s?-QH84N&zvpftKZ(A}Td^kqOh_61NH zT_5NkP;B}XpdEV+D2=WUbSEe_eK(-$A3$kzeW3e6vFV!t9T1oSrP1|)?h3`G&jUIj z5CEmo^?~jU#iow|Iv~ISrP1|)?hwVMZwFNU0Vs{G4|Ja>Hhl%qfrJVujjj)Lw<tD! z8PEZN0w|5H4|LBcHhl`v0Rastjjj)L=O{LP5zr2L0+dGA2fBY0o4yIq4)Y8sjjj)L z7b!M<C!ihJ3s4$eALw3EZ2B0W9YYQ%jjj)LM=3Ua7SN8G1C&PB2fD8mo4x{Q$EgBJ zqw53RU5ZWL3TQ`W1C&PB2fD`;o4yCoj>QWojjj)Lrztjl63_;_0+dGA2fE)Bo4x>O z13Cgqqw53Rb&5@22ed&u0ZOCm1KoRyP2T}%1M>uwM%M?r0~MRTAFu`=v;l{%4|E?Y zHhl)rhLi=AM%M?r8x@<r3~0lq07|3l1KpE~P2U1&Lt_P$M%M?rGZmY@2xvni0ZOCm z1KppBO`ia?p&<dK(e;7uQpKk41XTS6D2=WUbgwEleGSkCN(Yoi*9W>|6`MW_XamIo zN~7xo-M5NO-v_ArA5a=yAL#B?Z2DF}8#WuDG`c>}J*?RDB|sZC8BiKsALve2Z2Bai z4I2e0jjj)LKPxtU7oh5IKxuS+pu1YJ>Fa<th$cX3bbX+ETe0bLfHsIcpftKZ&>gPW z^!<RUXMi@0(Di}tbH%1_162JED2=WUbhj%ueHqY(Q~{Jm*9W@i6`MW<XhTW^N~7xo z-T8`5-wmkx2T&SaAL#y9Z2BfZ8(=e_G`c>}U9i~nc|aRr0Z<xUALw3KZ2B0W4KNNU zjjj)LM=UmdJD}<hKxuS+p!;I6=_`OX+$x|nx<1g|vDox!KpSobP#Rqy=pI>Y`W`^l zzkt%{`apNeV$(MR+TdFNrP1|)?w7@;F96!$i-6MT`apNhV$;U~ZSV;|X>@&{duOrf zI{;OG0!pLn1KmN3O<x7Hq1XVW(e;7Obik(10NPNrfYRvt6hVtsv1oh&RsR7>qw53R zQ;S6#!vbgna|M(}*9W?@7Ms2ZXah3=N~7xo-Cv7Mp8&LhDFLO?^?~lP#is8BRQ&}g zjjj)LuPrux4bX;Z2b4zF2fE`Hn?4I@!_)yvqw53RcZ*Hm2dMfVP#Rqy=<Zu=`c^<2 zv>Tu_x<1f7xY+b1KpV6fP#Rqy=uTX0`XrzYS_LSLt`BrSE;fA^pz3cxX>@&{yK=GV z>wq?dCqQX*eV}`DvFUSwHiSK(G`c>}9lF@`{eY@xfHsKH^?~lw#inlqRQ(Ppjjj)L zw=On)8PEoF0hC792fAk$n?40-16l)0qw53Rxr<HT4XFADP#Rqy=>A=7`X)dd-ZP*y zx<1fdyx8=4KpWlxP#Rqy=w4oI`WT=MZw@Gpt`BraFE)KUpz04mX>@&{`+BkID}XlG zE1)#GKG5C0*z{>Y8|(&98eJdg9$#$w9zfN<fYRvtKzI6L(>DX!&|d(h(e;7u_r<0! z0NT)xfYRvtKzIFO)5igA=nFt;bbX+Ef3fL109AhiN~7xo-2seEUj?*-&;X^;^?~jK z#-`5z+Ci{@(&+j?cLQV7_X4W^1C&PB2f8O1o4y6mj>QTnjjj)LXD~K>9MA@|0F*}8 z2f9BPn?3<(16l$~qw53RC5%m<1hfII0Hx9Of$kN?rcVLdfYyN0==wl+3}e%$0c}7V zKxuS+p!<fg=`(;fpe>*@x<1g|!`Sp$KpW5wP#Rqy=pJHh`W&DQXb&ijt`Br4F*bc3 z&<1n>lt$MFx}O-Ez5r+gIs!_g>jT|Yj7?tzv;my}rP1|)?k&cqF9F(s&VbVB`apLW zW7C%bZ9o@5X>@&{`;4*aD}XkjE1)#GKG5C9*z{FE8_*3<8eJdgo?~qK8lVm64k(ST z4|L}-Hhmq?2J{3djjj)L|1maw6QB*~8BiKsALuS*Z2D$E8_)}&G`c>}y~x<~Er2$l zS3qfWeV{v%vFTd@Z9s2;(&+j?_a$S~w*lIK-T|f2^?~kA#-=X=+JG*A(&+j?_b6l2 zrvPn0Yd~pqeV{v)vFW=3RsR4=qw53RuZ&IK1Zcy129!qE7mK5R<N<AX2S90beQ`MS zF+dyM98elvUpx+dJD}<hKxuS+2{`l>KpX58P#RrdA`X2T&<48!lt$N=ghSs0sQMRB z8eLy94t+DA4gCdB8eLxs4t)X8hJFN;M%R~$LmvmUp)UZX(e<U_&?f+G=u1FpbbaYK z^hrP)`U+4QU0((ceG1Tqz6O*=*O!Syp9Zv{Zvdsy^=0AEX8>*JTR>@aec3qlSwI{5 z4p16hUk(m^4$y|a2b4zFmy1K62ehFd0Hx9O<>Am50Bz_;KxuS+`8f1NKpXlAP#Rrd z0S<i$(1v~nlt$NAh(linw4q-BrP1{j;m}tAZRl4(X>@(XIP_IO8~P1U8eLxr4t)*K zhJFW>M%P!0Lth89p+5miqw6cfq0a%@(D#7S==#cW=<|R!^aG$Yy1oh=`U0R0{Rk+H JuCEe@J^<%fKd}G+ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem index f70991fade975e0b50d73f072716aa2132801676..02e629eba4f86a1a4cc5a680df6425208cf3cd67 100644 GIT binary patch delta 2115 zcmaDqh2^G<5(64&aDoU#z-af4hT*Ie9r!1AunI78O`gemyxzqNqUI$#gsxzG8||Gh z9V)VK+vF{bT#eJrr|!{U;#93usby&q$ZB5U5-q^%ayOzcU5LLZC)xiJOUnut?v^X| z3_80K4l`X5eZ^<y(JI=(I(5McCyyLK=^F>X-<@f^uk4ZgpBXdM+%4^%-?RKa=l#6j zGd})sTUr0^MSSy%M%Rf~*56$oa->9dt^Ta(Q7<p5i7%bE(cyj9%<wf+m+p%`X*WSN zZhKu#Ow<K-(TP{KpSWJJeIwgNzfS_MFIxWAQu!->^4AZ?rQc>7?wVu1th?^j5jVNF z7gs)Mn6%Evb8r0Th1V-@ubFKm?>0-aB;x!&u8o|f6R(t4*<GnWdzA0@mZ_4zl~n!; zpZukJY_=v}Z`p?VznxyIFuhH%FF#%J?rSlFa8>@jJpGlMt_N*es=4z0qrcy_#mwI> zm-A!a!jKi`&nK=uuluVqx-b2c;!?et-gdV>hZ|hJec@H&*~NEf-A|kCbT>x&*3FAD z67Msewk*<{^o!-&@m)JbKUJ-&@4mZ7I(PjBeWy$I6W84L{X55Cv*@P^uNMZ#zfNFT zdS~H3u9m%Z-fFuhZ)($gd2q^=^3zs*_t%EpwcfJvVMX3wmgEgFJ@HR|JMa5!o76K= z>$t9n>BV!JR__?6-01f-IPM=`5+<YD+kbq)^{?Wob_e!V{R?^a<>vI~=cTtTtCC=? z-z<CEV|VfA=jNs&F_||$#=hmL$c_=5AEF}F*`%Z;)H!j1OS1Cf<lkG2xGtT#@nXjE zH`20CRWth->^@2!uF+UOb*8V8lk16|<(&%yt{Cq1mc99dQ8CMKkJaKc51AK-e|YoN ztNqg4C+&qRnP>MU{c@|zE4laQ-O&rV1-kijZ<N#vO!nLQ`0O^TO@8mLU3Z%mvzqtR zv$D_JC$F?#kFT2eIrhYfogrJN@0jQ^`_GC);)|0_`Dc_q<603b;pUsq5Wy0|{`mI7 zzmFeZym5{9+rlazy_Fv?p7_x*r7fzc=1fgYVypk1<tyyipH<9fVgD_1dg&*(qmk!! ze?0%_Wy&X)KVR<D-?<a==ckk6y49;ccExVh&faFawD_;ctqH0B1vTxYPaCY2+$_qw z!Rqg=jvKF~ZUtp-nW!owvHec}7V(?&OBv;#yDU6X`}mmPdZ$l^f3ubQ-IMPzn0Ha2 z=2X8#joy@)@4{jC|JcX%XGys}Gi82eX0qUp(GfKx?a$k1nEv0{IE}a7qVoT;TDdc^ z20nA1eXH5ad+xE_IdjFIcMLP;e5vR3F?!E;@_y9w&zU!j=jS(8_Dh=hwQzJ)3huh1 zGC$_~)TdXcZZ=Rk_q}H2?btJU8I~;awb9dke;H-`_dWD3lwX7Szs*a_XMg&pdtVI? zcvAd0bwkhAO>b7EZ~eY=dgE<vX7l<V1z7^`Uzayj#=n2{d-B88tx`5wC;m<nJyxzg z_0QgabvHXcN8S*Aete(Im%o>eNWS6jh|Oy@e*O5j$S1#o85Td^Xou{(X*IvwAjQs} z{XQ$7u=?|v7p|IF6dS0V(?7_5An{R3%tHIy54I$D{9b>cVyT3d-#O!jPu8zJez#4r zu&$naAG=JNo#pwKQ@I6t2Pat6vwSX}W>8o(k25y6xmdF8NTqO%zJ<@6Iq6Q}^{eE| zKU=oHpZN3dq}T8G3pV&myq|dVyr1XI<j!8(o0>A!h1RDJlpX8--LvMQ<M!8A>X(-t z@l*O2_F3roGgH}DlVg|v__M+H==GWd#xGc3?1}%wTYqeS2T#p@Cl245dy``}J!+1B z^<6u63$uLh&qxNgTKl7J=L~tX?bBsG+Z_C=c$R;D@r?O~49{Ztwe9EF@7d24n_aR0 znnho>fy%jNh6?+X85TR&G5uOtH_!Z0OuJ&C9NPhQg~LZQtHn0f{V&d*Q}fV$jz5D! zpHXYq<j+1CixTT&O`c7DAKYooI>+J=By3vQ<^<jE_?{c1a4)^#d*TU$<MTiJzVH&> zQ?ys`yY&u-JH-rtI8tWpu>9YWmn;0eJ;UQpI>V2^69$FFc3i$DHS=@MymvQHImgX# z;{LruM^091g#24q7ylri{d4O7RUU@#9E<ATAJN}0Uy^j4xqeFDtWV}E^9mv)PUs(a z{NjVs59tpob7I;4v(7u(@A1C*`s#D1raTQ1dY6=Ta^;!F9sX$-&!t}%`qi~Na9ilr zvtny=js~sU`_4*r%37a=Ti!e|T6txoWN1w6Ms1A+QK@%=BCp1*Yzp3DJae&T;H5<} zqEA~UZP1*mEqd2$<pM{K$@R&L&d7bAeP*6O>b3BolPL>2E44H?2A#|d)w(@(&FeKc zOZWAwhnl|E*?CIynbyoTsSnM+t*Y?yoDpL6VIJR}<;?G2W`@Sbo=hpWR9P0X(YRn! zRKd}+7bT~jm>%{f>rwBQFI}-)rVFP(^P6i^ZuW4R`t&(X(Hqrs%dZw~axFJ9s9zaY z)VU|rz%4aPH-F`ktgVaos=i6O8tZfLYE05W$7$Dvv(H6c(^@+D<;;~Kk4$4deyD1{ zP7}_E_qd?n-tW${pyII=!}WVUFXty8-<Hdm>u2Yt@kL$ttdo!4v^BkrM-0WzE%-Zo z?u$CJU)ootuf4k~ae7a9c<JjX>)TsapIRtdFSq5fq>Y^CW%oy4GPmZ=`Tclp^PT<9 zwRi3uv{~!UcW&X=s-*KTHq9}c5?s91d!HCf=)se_X8B$?72YIVb}#eT4E05Z{(^#2 z7p?1Hb=^NPzOnn(x%}3q_kDYpuM7;7cjx(g>;Kdb?c3TUV^i~Qrv6>dty5LMhh^&4 WS%1F8#p<l$ESll;pV9o{ve^I_$S)57 literal 68207 zcmZQT12T#tV37?95W2%3LW2cIiO~=k4S~@R7!3ichrpcw|Nnz9%wTC414;|1Gcd3- zK=7CU|NldU2R$_-08)SK<%Br3g7NKK{}rL3BFC<-S<|zmiKj)z!T=033=9es9xiBX zbZm5NY+g|B#J7Y;#zivHq97sV;)2Gh&1_+OG8P3F7T5>r7d$xN7|<-R@Z9$EzxVDw zU-x}q@wHm-`?mW^AMD$D-7332{cV1I_Wkbn{o#3$NxQy@{QRF9&gW85DH>z-_tM{` z-qY{s-~P&^e*DDqIL%il-}6eZ-@jFoHQsE$^Pi~e^X-e*8GfD6tnckJ&7!n;{Ud*o zvV8|Gwj>I#-6J1;vBu=brk}EpuAJYjefQ4v=UaOZUaT?rWO?mZ`Tc3<<v!e*{_FZZ zyZ67|XDRV~-;%#;&(Ha@>i@3$wKDwXKT)|8NAfT8{+wFYescQl%{o7JCC-Xacxth$ zRld}`vq{`_z4`qwx4vxl?LYte-IW_>Q_oje_BH+3Wb7FkWBPsTyEzZC=Is6%)ZX{d z)!bK@dy9<w_7AC_LmoY{s*k%eck>myHg}_sshuZ<`1j4OI&CSH&a?i*+~{8ayRkO; zk<Rbt%J0l^Q7g1vz3ZOR+A?;#kA-WiLe@V@-Xxi~Yh8*-B=eeMaWyl!Ut2KBb@ktt zP3OO~B}~uN^jOxOZ}Xa78<rYZEPC%@b>Vei<)N&#F-?~wR(}+*?QylOH9B9E?(5Ef z^!WeC%3Q{^Q@wXeC7aGGu|05jaxVAV3i&<iR?oICZTub)opk*71KV4M`*nHuxZe`8 zzQ_LlVc*Z(%m=ntrrr2xSHWL)Mt<tDdk=#8jz#pg&ylbCQo8%u?>f(gQQXs$q>@eJ zUX%ts*En5gZ>qI0IVSt*C6V5ZQu-$R-KqPN=gP8L&sEDUS{T0O(ZXoy`H$1u^K#ED zjEwx!6`Hf^SNQ8kyrpZ-Ki{^B?dJ7t`}Q@PBfc$ImTf3iKKGV#?3Iw}ui;6Xj{N+t zC>9>sR{86Mp~b9}SrH0N&Jyv{X6SsBUh(dc^?{#R>HU%aSw8G7Dl1*AEF7|Z<yN&7 zd6zAvORw`R@c1!7Rn0r*d1p(b#j1Zse^uBmnDT8Fe9{%SICF5is+#v7HY@gpcJa?n z7`~q0P<-LbHgm_)`<9dLD4L6H%Hw>z?By)2O~)Tq@2j<JnIsZqxaxgyaOmO-uS&Vz z=PS$oW;WWVV;K2pa?!n~Tc0LZ)LuK`+&8iRVD&l4_pIrA#OHpDD?4WWx~Ke{<oi8> z{dEr7KQ<jn>Ey56|Mu+j^1m@>&tC3cm0@If^w(F}wEgdm{KbPM=f1c49%mYAIwQXC zK=mxv>72?n*G}fvL?&%IvXtGn>3GP~{;xYfHgY+yFVugPXa~06xg;k^PR7^B_e}T6 zSBgCAKyC^w|1kHpV#%cPlMDP8>1W4Tm^-O2oIHoI#F59ogh_d8>vRkMSv4(wPU@D- zUpNi_T;S}vA{O_^Ci9b^73bV1JQviDd~jAb2#T)g{Ji9(`-_yMQlb0D^YhNmk^Ac_ zw9oPWLGvf28$Nhz)qUUvnZ{XhpR?9{dzxQ=tum+m7qHlc^2z<K3xsnkpJz9f2$h!K z+j{VO=Zr&kQeR|ioa5NJ_b++Ge%~M{x?*O-_qi9!i?g47xnVx(gELr+v)244$J`db zBDNR8Nk0|}ORUu0bENXplVrgcOV<B?cX{^w>F26fNx9!WyExsizqnJS#yOtt{)FoX z59zP`anKy(n@{)h(n>xbP?Wa+(KhkcA@2`&pD33IS)G(z;NS7E-7jIuwhuzjJty-w z`?<tE&u;qBx6Ar{R89SI?a;Via$jva!}ed_b$-dcfA8X@`SaQMJ^Axl_&eLLH@6=- ztbWk>(Zk0N6h9WIRCw6bh}fve+40B;@wbB{*+G(jA1HqOkoRFig@uHTirhU;IU)Xh zcK*(G{igOKho6H~xO|vU@k6J=!$yW*PKf_L8-HheK1lL9NHPVaQ`kmDt`20ZJ;>ts zAhYKmbbgemRpDXtM-U_l(kTwoln>H$9c1U}ug@2*-*2Cqbn5l<+Xo&#-~9np{K$VQ z|MmM+dHm~ltNngOmH*inRVT2e$5P$nFen?;oTwD4dG4~c=ix*Xa9V4A&-%YU%<2A4 zgXm)~ra{EoU+iB0-a4>+-$C8vZ}TBy&g$HCd~N%R6SwtT)XfAZ@AiGp_jk%UsaH<t z`Q_3+;iW>>p)+5k6MwyTdlH@A6}L<4+_7K(4ZhY-ef6|9lmF}Q8Pd~!Dl~7uD49Rm z_+FZ_+^-hZKRdr@#eKbG`flHA-&G&ore4@pztAu3fq&KAE74J3wjSM4ugYgv(Qo}Y zxAfTUXFc2RO6Ip4-}6h~lipMDh12Tb`|A5|j6NOs<?Vg{P1&*Pdy@J6#`nC>RaDRY z7*}|#`fP{$`~~8F_I*EVr_8@*|CTRj>(ciypQ~V>`;pJ`@!sb><u@eXcL?^|IqLtY zeLs7#n_NVF=F8d1=PHiR{pe@$xb|hw_o>GBJk$3CyV?9=+56!8-u!*W5c}qo9kYJi zQ+`eI{T$<amFaut&-qwq_UNMe3)cPbYl{z_V1BKyTWkEZr~IPi`$@+4Qq%YBpY!ow z;j!P>;f@N0I%?AFQ`V1vKF}>c7X7ei`z6WzsmAyAo~u~hTk(Zc>%jZ!`)dqAc6Oh; zZuNL=@v-RlJ=+gT<}WtBr<T6wEZkw+Bq|gBE?t^0SAOjFtDfy=CG&S1-{VW)GxuD@ zd5MoNgugW1|Gw{L>XXK=)2Hpb`L^f#9^-p*>3gQn`S{K1@!m&0-)F%Cq81tuf6rC0 z&-ut_^;ov(SoL|y_Zy7w2`bCgHpPATeb3yz*Ji`NOP9=#&-vJA{djJ{vEP>@-)pDu znS8EdIVg6jK(SMk4zbVjZBO|z$@goF?^&kr`FyUz-tzI^oFj|<FL2l0|NX3KBKx)Y zs9$NPB;PMGzNebL=k&RXddtUuANPD;4|mjRsH0}aM`#H5*j1eV$;$EgkCE&i(Q_5r zb3cYzKEC_9XZx)V_xT&-L9uBHR)6tnRQjIMa}}@Wel)XqoLh42_LH9NHz855^OsxH zCGYT5$!m?%)<1YIp&Q(GkF9<7k3evt()3<Ue&OT`p63{9od^}Bi5EQM|KCf!ayO^d z()L%2${*JS!m_+`^($sJd{+4<m+(AR`p;dtWgGh0YT02$S9imE3;!Em_{3r-bnWLT z5qi!!``*Dt+(93qrSW-nUppuDzgJHF@AWR#O$4<-85kHU7~jr0-7OO;(!Tohj2Bwo z={Kz%9bFxpo060|JN*Sr6*SZ){a`3n-Qm$>9a!+t$;HuSy4{D31`iIkM$b2V^x{~n z^nV6%qsSMw->*%#-0m5Ef7Ys(>(2e*x)uEV%i7D|Yra}9udaF&5?c2sTz;{@w&Q91 zp9*aEMJA<nzUO-W&-8HR>gnqJ=O4x||1!sB&2e+@Gddr=-&FU<o4=l8!+hiWrcc-Q zrFWjO?Z3zIaJFjNv>&QpbnnSs=_$6@#B*QAaPyI)yPrPzE+FfEs6aHoLxo#>@B1e< zON3t@5eAjj-s%FLVtTXGE%{%%SbbyxRS1_jT_&}5Kl422Y&Fr(hVfU6MBQY+Z*51v zZBYI)eQU(WLc{+vKNx3Me5t*WwvMB}cK7d-KKrIupDSPWyk`BEIq!StecpSsc;EJ_ zbKm{W|Gf9w@;<xm^WUqU*TjFH^M3Zc&$YLU_idf_b4Im~>Yrtn*_+!RO6e?LlCkB< zcS|Y#jGs%MZndnAkWp&ieN?f?LWOVQVaLJ_nRi0%CmuFfxbXcxs#vrmSVpOR@go6C z8!f(xhm}DL9lnW&ok0v`zKMq~KN7H<Bhr52VT*+epMR(GlY$r-rS@cyv}HZt>0j@+ zZ+$Ozzwz^5tLYE6|FDbS(-@xc@N`hk$2N~m1uuV9%YT|6TQB_~?!^0>4{kSZ|HiwA zN&6n_ghN6Wyb1GkT<%}ixS96m`~CQH|35!fu&hz!3*?{Q?fm4!ObZvj`CZOWK6F~R z@X3QjCR(`gJwK{g^utv~slE7-faM>ML;FDt1-^-gzdsVNtTAWj`}TRS?HdmJlJ!eA zZ~FgfZ|C2MeQ)?nr^MD3idJ$w0LAK#zkm7vv2`3hywCh^E#v?G=}%w2-f&jp^7N>; zS&QwyIqr=<o0wj4aP5N)i=TaXIH_R6-?E1X{=O>spTm8WeSLa$+=qSUrsixL@;~Z@ zMeBdg%q&S#?%pV>v)pyd3-9@hUmQB*I_>(s_<xyOSHCU&uVyE|;(T-5wdm6L(7Oc| z;u5>eUN7~Md3Hdq*UdHG``gdMQ9U-7f2Y+xb^76x^E3RhX=rKbhU-_JKfbkXZSmI= zA71Y<yHXZ=+-h}oTm18{3y(=#U;Fu8=t^bqijU9wO8=F-|M32~?!sfy*4HY(?R$8= zGJ3_wZ+)fv>i>Uuy+`@Vj{V7V*V`HVvEpx$;xAkC`6zpP{PTqYk0*9oWtT5|_;*(8 zkF?I2s^^|FuV6VH?qB!wO7W)~U!Tm_wc{b@rwuINRKGR<<F~2_el^o`zI6UFDoo7t zP`}iv-k{g<kf<cTuY_r0%ELvKlRi)ITO#b=V5iuoKi5Gea!1BIQghF!QN))t0~A)5 zpPl^WwXbek`L{W#w=7QBcmMADHR)o;mh#81UZz_=4qn{#=kmAs(AV1HW#Lnw#;?6> zD<)<imtP!n+>3Yp@v1+OUmaC%Xz$#1WYYb0>h1g2z5Q!hWq01`U*zxk&;M2`<$R3T zdv>G#wg+>nBD(BX+pS>Pec|`#_v_!Nga+*TUwLo-<HT<DSGj$Ru^B7dpZ+*qRDbVZ z?vL-!Q*J!keEZA4H@|Cte1E=k!_nv4U;d5!z4-Iron1FCrtGe<t5D4Oc;(T%^YfPN zQk$P#cdM*;(`4=0wVyU{?LQh5H>>u2(HbV>dsdozFCIE-w?wQqVD6+|8=KzyN2-(V zKNFLGvj5JvyT3lapI<rsz|zj$v5g*w&Z|e;)%&%J*Q~wwY{w72<*io^r~cUds@ZFU z_vPc;o~PdV?jo<On-{tGX<b}i?VX4%)sud4J~V$>-BiB5c=Ovok-xc*F5~k0mLIM# zsq)PH>xa4bMduguym0ci)j6KO%l=!?*V8kv{$Y%3Y}NPv&py-n^1eMtqb1xYL_$T5 z|Mk&T&hEOGkn85ZNzK#Q^RUNZkK1oD`A^DCYBQ4ZlJt^{lDwsnshK5WyWvup=V`gN zX_KaHlJer@l5)G%9O@CI`CGpHUuF64vh;1o>z;o;)B4`J`24+{pZ7m6KYw@T&D3uX z4jx|G8vG)cb^aeym-Pp?mCQPtw`socg`j``G866Z%rD*erE}?i!Ml&VVs4zDxU9N< z_7O#kD<AsgJ@)O8f2?%vZD-v%QNKxTZEctDlug~%Z}r9LaQvSqE0(%HoA>*+Oh)XS zmgVm2Zf5)_yJB%TjZ?RDv*qigm%`rm$K7)`xbJ@ZXxq*HH|Omhean1P`t9)b2yyTE z5x-_{&dZwqQ6X(kR8X(3%>Rkgj&Ee{mn!&^bADIEuOpZAH|lk74-pps7OZ)1>pJ`U ze?n%dzKLI>-Bp+MTxI3)U!VC@>I6=n*|A6{C)j-UCcSBP8^09S^l;_u`nRL8>t>qF z%^t9~;vb!z(JlY+*_BCIIf?dDKPhf&UcvY~vHIH4OX}19xs`Nl7be=Te3x`Wf`4P4 zz{`A<dp?2NE05+v?45mY-lfBv>o>0B_+@$Aa_8%fd3vo!rcON5qpfw&taP&`)SJgw z99Z^xne>VoxyL4+g?LZ;B)gw3)F64ScM;#1elMI2b`c_=cVGLee&@80c3WSe;A^(Y zb<fn*Ij_lcCg%J!zuvoAp|DiNSh#x0CAKTR`Us1*mEJy@yIKC`{MsGAubevmf9)3W zZ~E8XPOp2lUVXmlgdJv+W)`}t-dL7rmKv*5oAmPe4++s5YELKMcUN`YdPQ>i{&_Xm z93TDiNL!|1{IR~T-FkzZxt$y9HqW0d>?@X7G%fihYxn<m?MB`SJ8UP-Ok5xw)z^MD za($zJRo&4CsvCOrXK#wry%)1NMLyx#l_c>{-QV_?&IPaHyHz>y@$&AkQjc^W`p4X; zUs`ef*S}bc74?6A@(I=ZT$f+uUv=+d&b6~8e?8~?tIs~+c~Cq8oDfv6rGCu2^i@40 z^jDel+^b(s2OZe^s<7VE&gwyG2HWv<OABo7w13_4(e?D)J@V$WZN$!=4J?neE65Uz z4E3Hj^<Mw#cW?HbsC$vL_m++3<-hZv8I~T2e3fE-ZMIkW+2{7hr#$jo6#vZP`>Nx= zE;lr9?d6*R_tdfFJD(L6UyYr1d`^^0*wsntyZ(tBK2i}f`+n-jfBx6cMqQu3AXf98 z%CFaZ|H-g-sxN<iK0f14%aX~(UN<}%bIqRTUXgpLH+Mq2Tkuc$<MY?qAC)kaOS-$_ zB0Tk87Wt@GU2m(lF~ej@-uC{eZ|TwBg5$*PYm;vFZ7lm_boDKpR@&^s6k|wwG`ZKl zzVYr4mAh#&H|K#<i+yjoRDQYLlFvuZPC8sUY2SO1$A?Ol=c>Q7DO5gC=_vpDrA?ws z*R;ZwTjpJ<`Fnrgm!j^!$B)jfzWVrguK42w*~QFhUWWyvA6ML%@O8&W%jd6sfBltt zpX0U*6w^DyZGXgcHOJ;n@z*=-@%rgyP9baQr<30<nC~y{@g{4E|HGKSHM<;>$`{uh z`uyedOU6#y-cKL(FPwia<?$wUivK^Szcp3PN%D(pn0D?c=sNcK^=rkA>jUlXss8%; zrTNJ0o=+z~9<n*5*!%Ocd`_O}DfQ||lY*l)dCf-{-F}MH{H=M{a-_QF6X(-soxvvS z@|hJ2Cj{F46aDq`4tpoR)YHk&d)<$|w{Jd}))8oTaO%YaqMs$XIuG}J`dE=1VL1O` zg7G1Z`E%~blyx2Z+&poD#VL*XZ$f|l>}fl4yyuhVhi1{EuWw6ikj$RqUr`FO_n70O z$!<S?{CVJQIR9gU@j;FGduo0>73qD>F0A-5a&b*l_SerdSvn{8e){<2u;=oOGxIek zSX|YZf2Z`<PoL%^FWr8o{5)7U#aZfeI@^siCa0Dw$iK68Rh}O?!Q!~a{10q@Yo0kh z(suj#<I_`aknV8BkEM%iKAFFI{+UhXobf632jbHg$y}OYpWk@4W^v7)*^3*spKWFk z5|?^9dAF<meAfv(ZfMM3Q?v7orquQAsuOlx(U^be?XRCT9G&weo(l6_IG--5qGx{U z_<nEu`JxkcT+*2T=kBkcYnqN+b^FQk>1pKh3>*6h=FaTiPaof1J)bVCvd;XJy19q_ zeBKE=E^5qw^Y_=!oTelBy`MB~7R*1N=5Z%!ioZpK{rt5PcAV9a|F8JBW}EXPzQr}4 zoWFejDJN7e^;Fnq&HVFv9)E6X$mh?fv)k|RC~t91(c!P3Z!&l8mwGyx_si#-+?~IB zK56z`IG=8>@-J_S|BsG3yLyKt=a1}HqEqHn@ANdQ+&?`x5}etkEAQ1#wv)dmzjVI8 z_lC^eDgJd^>g;k4EL$6BcX08qpEBHLZ+kv{Joe&wvCWM+8B_e<75=U9W11b@^XX*Y z)$`9oHdr3kn19IPZ_PQD+27oLw)nqzUMzNFPV$s~`#Aggd<n(#0_}b-|MJ;VK1a{! zl=_2a%SE$g_na3j|KA+)`r~gS@n&E5#Wj1DOTJW6=&jLXoqf9Z(@ERK^ZnH~yv&*6 zUpA@EF808()q!@c&%b<r$!2!9_Y<f3>*tr5bE>4CKDJ*!|Ga6!<<lDTYgWwn&)@Je zd5XURe|r%)=^tDMO8VTtetuz|4NCgH*UvAvzi}sPivKs)zcqQxvq6bI?$Y_^ej9ck z*O*^0t<H}5VA_O0yMH-<Yu+)>X7BxU^8Cf~=>|7=3{R<7MB2|^m|%QHL%yH?ThF>c z)42J3?6U>kem;5faGu}A6X_~9dW=q~|DIK6xA@>Q!^JgRH3sV*ea+>$(PMf_y(YN+ zUk~$a_1;e(e?CY$`qNl0M@{1C<mv6=)~=6#9(37Ic}-*f1KGbdYK>=87T4HR?${D+ z^6b3GhM8GY{NKg?ty$K1wq$Y5rW%84<n+#;Uf$(qxA{O?U!dK?>R&(4u+9$e`SkJW z!=HW^XV|ZAI{Vt~=ac)_&p+qgFf)CM{{i`V9Yr3=|Msw&eeV7A(eBcGd+q~i3j^(T z_J92x$zs;s`{|_K<@4#BH*`!-E&s5x&Q8ARth(FJmOqKIN1wBwK9IIE(C%OQug~eM zH`bV(QvbiR&TjRAw5@@5jemYj3^s}TzlL%4R=1xO@h_fl=F7Pz{dDqU{&r0}`x^{q z(!HNf)~&R!H)}k*-R-ByzDwuRjc?=_o>HG8UtSC<FxDn)KC2-QiqWzSHxsA$&$I7Y z@#D{f>J2wDr}%%^`tQ$8Rx^9)r;k6jYl}WVub;4acjwPPoS}6>rOil#CB7Xpp(3rj zKO3ucsc~hAObHAK2w0S$ur6)w?3~i6TUWnvVP?D1n$dJngVU|R;MFl-F)l7H<rj9+ z&5lzRXe{b*b~woS@6K%V_@etuvRCc0PTqUcn)T)HcW+l+54~^se%UJP6oVJr{_ftx zn_K>Wb5ZoH$jC@r`^qZkZOosmd-4PBr?~!)>67AXzx}Ar_i?ZIJqf-U%U}LsZ(cg{ z^X$uitf#44r?dZ`s3vj7tk%JK?)k^LMg3Q7f}a0M$S%yjvp)BXjqi2yb#~SJ+Dd+< zJo_$jzSZja`N03}cJ;H&>O)tZe<bNKef9ZCnV-v-{*w8(tfuy#VQ$;Lg524AKivA; zT6$jaiQLM{C4Ti9OZUJ1v#qAqOk&H~sjrG(?f&$2reW^3uvO>xZGQT?cx`5J?b2U4 z|M%6@<{9MXtqGYw*?!9U^S;Z@o}Kz?^Yi1MzV1A_W#`(UTCsYq`1!YeXIpDseg5J6 z)7QnzGmFEQ{(AFgX-(}rv)p$pL*@tXpSJ$|-eqTNr@q?!e*34dJMV9)To6>d?SI@p zJN{W_{GqGPpFH~MYvqkCl}mzZzs=E(pC7yI?7P)2^MB0!nU%cHKV2Ri2IV#%%^jul zJAZHOovD8AV%eD$-)FXeKVcj6*(3J3?XU80C$hF0%G_+fqiouL<!JPt#qv)k@9@%F zEBy7~k=A{R^G~QxWZ1XBO>e$~itCOQ^Pku}5&o$nlrT3ydAh&CB&C=u{zY=1I%|YD zH~6iXcv@Y+Q&8`fx+VWl7rRcD2=yx`o(gv?;Sm2ic}{zc(tH=DHNsg>K5@DPwRHdT zJm+jT(O-%28mDQ|PZlN3L#}^So<l5r-6FNKhH0Y6!$ozIK2Pv}GTEb{)TuYduF<2b zAkh9~<q7pqo+<~d7LGa#6g>kSS}PB$dmH~O-In_Ke9oD&#J^j9rXKzjc=%yKw3(yx zB)e3Lgn!Sz?ldW``<(FL^ZST-+0&oye%qhFSv+p{{tuNhj`zxH>+1GJ-kIfgH*V(s zm%ol(P0#y(<=35CPtPpB_&o6PvyK10>|A#G>qh_Cc5kkW&20Y*PQJC1dl#$c?8!Sf zyZHO-ji1wAR^QrH`1hWQm1$R-<jnT%CqZfa?)l22mDRT@ljp5|yXW{@dv5kq@9q1e z-&Z>QWj}4Uj{WQWbEp4rl5IRK4bI~0{u%GO-+#OQS)9)P|2CO>pKE@VUvqzl+?D#I z<r4WkF?~wkJ<ckZex0v<xn3-8)~^30yWY-y_Vab-YuoeRuGd%9+THtI^z+vn^W1r> zL*^em`01-<!Y!Nlm3E)omt9LgyFb3_;Fijz_O;i}emB{*zpLEoaqrIjM;hRqdj0R! zsXO=2s?B}%Z}YS7;p}U_@3|HK|K-<zSr0va{*p1v?F(6T{+{`%>(5IsKg(G9i>Ghe z`tydDpH(dVbw*x0e*Si!+1s_RroTJ;>FZ4MT)&W2=Wjj^e!cnG`TqB_%;di<@1H0C zm^tM1jOW^A${=5S6+EB#>pQ1l$Z3hbH*W7H&T@aHTv5I9^D!IW|Lc<O+-LuO&i`)x zwLd>UD!;as{|@q9oy?x#eRlI_n9UDcb^cH1r>{THY>8YEGJogyQ`estUw+o@_0{tC zzbn7i{QUcV`m(d(e_t;Ceg9m!`}B94En0r7g34t1Li=UE?{ln5<#C5OW6$rs|Nka{ zeZ7DA+V68-uKyo%@%Q}~orTAKq)%C2BWFJGf8FV{?j6(joqR4_dT+uB<(NnL?|#Zn zPCXOd=)dT0bmGCK4EL<whi#oz?r_}jkM6y*7SZ<w-(T!_u&8d*j|0xHjlOfofC{d1 zw|SyZCcpk<9Buph^PcT3!JD7Y-T3c0)4AL7mo~G1HF&Oaxcl=h1-bX7=iP0Vua&;{ zvAfH&()@3pcx12fzFC#0??1Lk{93#FM@sdKq@eoWTIa*-W|TA~PXFA;Z}Iv^_52FS zwM!mcI$nFV%g*9r<@by1XQjo>rTt6p{mU)<*Zc9Prn=OE#m6lee^&kbU1Sz>;mKbW zL9Sq5Q=iSTcF(o;Tz~!jgz(SkzbZFZcl&$2Q~%HSWKUtC5C>xMN%o}2W;`vQSE+>l zoG5e9{o`MKQ^jkS%Vw+jZrB*<8vJ$@FJo83ihcowQ}@muKYskU|Ch{H=6|<e`)>U8 z|ED#VCT^6ddn9ak<9tQQzVFYzev9AMHSa?5z4}XxrS~4b;pF)la^%dOde!5v_WaMV zdvyN$uaswJU*CTA_xib=5C3;q?7ZZ1dr!T3sb<o>+TA8Td<pw=g#Iq%e|LUuYWsiX z9pxVnX~n+^uq(QEPW!OxM5~Q`7dJ7#X#Qd68`rt>@#1Gw_s?$r@p-S^Gg~!Iy=S$L zndNryJMvZ?+4fjE<ki~Be#xfOg6Gxy-hZ5>u{Xl~3IC6VcQv0Le?Q)r?~=H;v<58y z^SAu0Nx%Nc?w<5~E~lla%Lj*h&D<{v{Xe<wID7kdrGwBdp7(nEM*p5lKK$QN>)hS@ zd-=&rdmhIs@6X?3EyDW2!R9dU7ss0UY4-1Hny#+d?li~aqpVwWTetV=Gq-=HFcv;8 zXft1*f4cdcx^&I&g-rSO^S=m~f8oi0KACqx_d>~g=hV47q}y5VLF7SvThrL{AMCR2 zUhaE-txo*n1-sgfs~7)E`#H6;{QJ@)zdODQr1$23*1Ok}GH<8;+1U-EM|tv}zgJ2z zI{%@pMtH-bjC;uq4}?sozkXLyvQNBjajNzU!P|ZLYQd9@_srd&-E;HSo|(s-*`_Oh z>U{irBVQ=zYYE-oO>#SL@0sc2d+x)x??+9K<;;C}_Pq0}_lkWdn(r2i#<M9)oO)1n zq+0&}zmy#+LF(5Gqska;lb(37OI5%8t1zE^-^sN)o8rrwijVxU+;IEPUt9j>TT_hp z?5;ZhV|x1T-}%b(nTj%h)*ZN;FI<uGrCqM@(0=#qLZ!3TP1)K99zDson_2nU<@O%` z&(>44W4C|iI;hd%w5Q;Q&Uv2yiCa>4eBjxC@l(Ky!g{Oq1~X^Pd3g5q>pek_d9xdT zhgmlMSAKV1FD~NfQ~%q_&)@Dbik<eBIpTQ6O^II*D*u?A*B0Nk;MHfYom!nt`Ohaa zedKxHQCJf-$D{JX`_McV<2{laPFdG%kY6+ZR@$?-yB1%n-Fo`Nv#++7Kfh_!yI$I! zz_Ca`UB>LR@uB~MKaZ5A@3eWz2r`}H*8|IMCWVi79oCMT+-mW$Zh1q@gSUUr)t|Xt zx}0H$vFM}QrOT6dEKaNVU42k?(fi|R74aupzgx373mEUQY@GA*Z|Cbi0RiF3>t)pM zz4sA|eIfSf$Zy$3V;`1#_ueziyO36~`yEGux|-y@^jf>ZyEAomUC=$!`MU3bq#9HH zb=d>Lw|Mfe%QC(>qH_3lX*!eti*p~oRhxnNzn!+#op&=}F+BWx&CesH?i?MRC&T-M z-<PX(>y>a9KKctW<b64Ve}?g%*m9N)!jpO4Z?>Di;~mr?rS1=Sgd4ZN=6k@I+qV66 zA46Hvq=#>J88@nzq*wg5oek#KDn{>5SC(j+@v!z<&7)n)EJuz!(LUb!-FmXC_^wt9 z%d>UMPpe<rf4l6+edqU|zGqg%Yy69O&e^8G^<B07?5Ca&@@~;>+~+??>+-kCeNQQm z&zmdvuYZSrw9kEe-)YZetNHKM-;wQNs%X%^<G_E-Cb0D0!{)yaY_ew0-2Qrx*Y~S> zhi6~kezf!TIqhR5{ReKpH@#dE&khQ=Jw?IQMtgSG9D4O>3yLj<k9HkS$tr3yU;lpQ zE5GUfd-m(Jc&YiF+0C%S*z`T$iRD~+?pI`wf3NVJ61OIKOAdc+!1JYlWY5j_JD@K7 z<jkJ)QTl)XKNVz3N>Vz)*?#uO>&4Rl{<BvG%-VjR|K2sB*Aj1&OQX;Ix}wZ)^uXA* zKK^CXtvy@+$SS;W*7H`{d8X+5@A*t0dGg=C=5DaQ%=3Qpv#H#%*{16!te&Ltq0CNG z;QWVo-?C-ioi;wae|~V@iUZ0~ZS1ul<|uvSTdeCm?~KE_Gq+3A8T{Uy`ta=Q*UxJ> zb{Pxr*!{1Br~C>}{`0AjWM;kIMq}^tnfAXv%vksPoOb0NeP!c4bHn2{oRpQkcTSt% z%jm_q4-YR^wq9)TJ|c5mwm&fCNAI+^3;Flu-?sXDx4!sa36tGSh5LW^%{2cXxBad9 zl1lfBx3prqvrnFxt^TU^0#nAP4F_toZ$7lY)^}asa_>unAHCDq9l!q;eLl5=ThY(& zv-L-9*1E5I?JOpqp2ShVL;fMpuLu81;uuvHp8fF6&V#|)@Z^VQRprZ`Pvwp+M)7{1 zOrL+ZFkg9zfAo*<-&@UH9ti3>H`}e4F7)2umeG@wJWtN-i7jV)aAX}%eq8)@S-~&M zXCAm+y1c>WFeKld{qXJ+=jyrJ!$toc&N%(y>eXQWKW?Yl7r6KAcn2#xc6~lvx%-{S zgmh3IW!PbiOV93iKdKLQbo<PS(P;QHuQ!1ssm=U3<Ja1&pppP1SH16LJ-4^>z}?^T z<Q}!nd-!(GCdLv&lf%2K{VaXsUOsa9{rh$A{maL)4i=aG(Ehyd($Vnm{1068yp{gA zp6*+4+&EYK`MJkDS;6N%ynE+=Y`@LzyY<pPw=D2J{hj$;-RuL(i|xO32>*|pdGg8= zp7+{w4^_4%h#cW5-=}!~OWmQ$r2-OjR|=>vue959X{*(Gfu1IfBizepKAri{zGoYc z_`HYaQF2^&?=SvQJq6^WANLcVSg%k^uPBy#F+cbpYa648#}qb1%OG*xZ8x5l$z~bc zU)+mY<c@ydAF^qUt=Zn2>V@fF6xJ9p$(3n#U-_lnJ}K+?-%qL4zklA?x9#ww_bYe{ zlg}6?)@NHk=D#gJdAa+a_qq;$WVb5nUF+PjA^B|X+Vj1!-{<Q({gK@odTs9K+t>cS zKeJQte~;DAiDI{|{S7xNUmj5&@~~R<-L5>j4_&|IOuw}!U-3Nt`~BI5yWJi2Z13~# z-h31Gb9d#lU3dNK&a>}kuk0~A-e9{T|NCT~tRlY;W%e^u=J2ooP-cJf$d=01@_iT9 zl++h_XZ-j*+2_UH=gU4^zAR&Eef-}B_S!qOs?D?Jgjf8ZoV2a#Wa|7lN&opDZ=cQ= zfA;a=)4k_+^zUj&e$!uh#j`Fh@w)6$)6j&%PrYk5z2mu2o67v`h&Rjkm0OhSp6ET# zPwG7EENFhLhT)B){TwUXNAox4eV;lfFt^WUk)8nWw*`LFD*x?#cy`Oh@7kPg2CA=) z);^tF`Mb`Q;m*#mSHGv#+zA)rNsM|pPnxqj{@w4X)swXL+zoGOdKaU;C;#N}TGfLB z%S7M3(`I^bZtJ1jwM(1TW{BR~E^?n*5+5VQ%(ktc^U?N=-}HZfcs)gqdF?H^^LOVz z_0Qj)|6+P@Zt9Bs?^F8}lZEcw4VSpUKc6fAyC`G9^Qeb+W6ke|TebCg7L>;)I@s5M z+|6=eZg%VT->15R+WXDo1yA1>E{k87d3^ub+FVPYH@w#Wq+5S`sVzPmzvcATx4%Vs zfBwJ6QvO}EvAT8p?=FTN#cMx&`wQ3n_fBo=vbVpd_Fq`Yw|K`gt~ZMt`u}!FC)<f^ z`)?bj_T`+$=UH=~uee#>{5#~9p#3_|pSvcUKYB(`{Iv_ujpnMEkjS{dUSamH4p3wW zep}%8M~21YkVipz{Lhqo;6R<a;(h8buP-h+|Mm%Z6eKV8J2N}k`qQcp?|vzKub%w& zNj|^U;-fxqR<E(Xf50quUDe*0W&CA(!eh(pq<4#UTG{;Cng0EVHG4v=;WOt%{&$bp z_Jfn+Haj&*`AUn4`mdcm7!Kb4B~Y<?oy)#8{ESu<al)>)U)L4sex1U@AJg~m4qIXJ zne?{Wh38|}oSyUG<j&VAJUlULPFu@=aQM$)dPDq``sq9Vzka)~aH?H%<-6;?`Yk@S zzyIs6xo)Zy^2)mY;fh*+-Ps(UA9nmmkI~<4=dt#8&;66xJ@chcTh~oC{IB=eSi#a! zF8PdN0{^<tr@u^I=eOd0|GYr;oeQGp>Ky*PKg1#Tu1NlsWG+=-LH@5j2^-kI?tS$+ zRZn`)S$_9|!vFh}nfR};9yr)%wbSE&+%msizw@*176w-D-k`YgNyN&@HMME$KVNKF zCUx=L@0Dw;ne$%H14Y_J|6Qf}#e4Uh*!B7Ljg^P4zc-bMRo|_C&ywLQDE7a#z7t;4 zKj*<o%Ru#O?u~{!<nD?Kh+7>CO5iWatz{506xy@4pDDdytKGW|2bitcoNkC;+WNKe zNnXzi_Sc7h-O!l){QYgYSoP@VYxcDLa{b@L|Hje&^Jhy1LFI$hSARRj+jD(wtg_gp zub@}bv-E)6i>j+u(WQ5<v3C_EyU0e%mwP;5lx3EE!~OEsFNY#?w=2zG6Kiv(bk4Vz znzOQP6YJ)^Y<CyFe^hWo{MF%o`<;sXB|5D>f8IGky=nVb#SdYzoi&m2OeLcnykYSD z(yt$^n}g)?rCH#0)Afs4!rT(B4+B~BYyLmKI&GJt_~pU~?WT#L6Xs-;`|h`2UD5aW z-tqJI<>T+!{r!7=|EG=DzyAC2W7fAnw{Pd$?Yp=B_{YB=KmYr3|M#!+|Mq<^{ciQ$ z>f4_urT=d{zf*bV`uo!QjI+u6jPHCFet&%XS-ZcNkJtW9ude?ke(z87-gUL}XTSY< z@_VejGgJS8-=VwL^-I6+-zz`wZ*gLLx$OI;Z+?1SU;oRl`s(#q`Rd>}n|HM_^70;^ zBaSb={rUfj*S~HQ>dSqvThae(N5yQJ`q#BZU(4_P+`M<)-#@p~&imKR`}!Yj^6vV0 z#^>q22ll>le!%?xm%zO;u6LpGOtKZ%+dpgzE?ECd;KhO6b}ifIHdSA3cVNHwRdLT- zkvpsHSgby*<A0dD{6XAT#S4w)wH*1@tlwAhH}dUy?ObtNb4PeBN6CX&`9rt;4(@&B zykOMm(Eu6ZDMbJG57q?_4y+ADnqzv{2Wr0R=T2lh(f>{Gk4Dx1=~>fvN>{s_7Ms5K z$i+k+8Bp`}Rdi9<q_@@8(fj}XuBerq{rLR~@kef_4HN&Hntl5CFJktaO*h^DEIz)s zazkgYg!nn(bsNmj{my?AoGJMK#b>ve9^vH1y@v1J-&lT0Y2Wd`e%v+(#Ca0)KRhcs z_>MpNi2bbZhndChAJ|baub_Ev;k|YI4q01x@1?KJzV5DQKY`!<HPfR-eTE`8q)ThB z*;v2c5i9R;N?rHUjjtd1IBf!sJFx#2zPj}_^W@)4T<vz)#mM_#dh#~?>B-H>VfPO2 zSP=iAaqq(Peg7GjxZQs6_VsGE=Zj;cK0o~>@W8xcw;jv9RoTh=V&s{=u;pKGKM-A- zduIA;=Z1R^-hx{py+4a;IW9==iIr!{zq-{hejPt!RrB`O&JWh^GJAIVtD-~xhq79Z zJ)zf+)$FumdBJ;c9e?BYSGQ*9UvFpFd+;{629pL^wt!}q{hIk)yKZ;W^&j<8@fX)` zdGP7{4a<LhRf>6AWQ4yRd!OqUEPww+%dS1lr?_~IzA3Rcet+=CuUDV`6}xooUmQ1o z=J!^G(#mH3)=9fM#C6%5_AXRU-@EUpZe4%O!@1YyUfU7B@tuloX76wF3zM(yIGlNE zVt4N1_>+^j#QDkold6}g4*emWJo9ErueH@1@!N;{?mYXN^u#Coj-perlL&kLr&T?( z*{zP%&U(Fyd+zq@vP~CXn0;!woc6t=L*6gA&w8SudDK18vMWok%c?E@s=cSOZnxEs zE}M_L7C&XHHvja*<n=ix^;PSvAGjzk)qCW6x-p?$Y}v0lyY4vr3BQsqWj?!R)$G6F zeYbaQvQ%B$JH!2nanpf&C-Pr&DRAaC?=F3+{(0Lvj)uwE%KO)9cP1XsDcHWQ#G(F2 zNJVrw%Yl<y56#w3`TIwgagK@fp0(<edn&(k<=fXMCq?Z)Wc`wJ_HK6fKLxXj?sWF- zxcF(^24(j>?+hf@#y>p!xq4Zt`cu!>zr826taiCl5dXj5@RQ`jgx@<^(w{^zA6Rv{ zDCArHp}Vhbn`gZVuUP&oW#&!WTc-bRd=9_9+<yQ4x${2pU9XgpE$e!l*e(~h=<~-Q zdv`~ft!sN`3s?2ru2OPX|KZ!;W(JF$VHL~&q#3*JHW##?vrOi_^V)3+d0YOl<=nUT z;SzMd^CG<B^PhPy|0OQ`9C}^0V9|si@sAU(bFfuEwmbBiU%~ViSAP6?vjcVoD?e;o zcSpnafW_XQVjn;Lnz<u7e3|Bb8Ld5Qwaw$Q)*rHdy4`+%_(J~wY~`=J4(vU2dzTV} z-#XEI=~t(6&s|+5@3L@7Ui0>^E(&oE-#*W0dhjIb;oL7RXGPxLa{&d>9{#Pjns!|L zB)#G9pR?!hE9q-hZVF&p`I@iK9Tahj>jinX&EFpxuB5J2`RM%QK-;S5hjZUm|Jkd+ zSl#-)_0ei8zlBTkQt#BnnjCxko4v83b^CjHa12>LHJ`0{Yj5X|hbB)e<g>Q27A}5j zp78r$Vo-;~Be(sBW<M`~@gQ?vd;E)<z3&8i+_q0tpJTS$-Q`dCi_YtF@4nQ0-%!~z zZEiyT9r5{U{+DW<v+ppbvbHIj{t~^nZ+}ih?Sr6)wTkiUtyvadT>Igflgyr*cWjj& zwO^j{*e~Ts@3it(S*sVN?I$z-a^=svZ~V0Lx~#7GjolN~m+!p)bGqM_{qK$#XLG5P zN$>eP$2TGF;oDMohB-0XdoJ%vw)NymKf{*)`XtYTE%~k6zp6M2pW%AH`IYCX$=9B* zTmNJKzx1bj1LVG~XSY=D-);0{g~=KD`_nnBi&P7@_ZhD^S=YM#y|Ma2yPJCtSwH=r zxsF@hD*rXtL4jSpH4nesv^7F?WL|8dQ4}~lt}HKgb^dpF_rbf@wVA$i<-hI%C#2}` zyeUHawpDijsQBx1XRUVbt*!4LL-N7I`}^WNd92f#cb6_@{&jdcJo+>5+VrmbVOcpV z=ir(rC!Ie2wdqdaxXblDWPZ*&ImwTQGfx$&8$EJ6z5CF&&pQAAseD>ANu67DLTM{V zRr$5%gLBW`RaV|t`czi5!sYabgm$@K-&uX!UWZrcmvDgnBKl58<(eqtw}Q1Fwyn$P zxw-pLwIVxI=9(yDK@mt?C8H_w;og9nK_&k7y*YQo<m}e(PIm6EfBb*v)0H-x58nQs z8{hFZRP^}ywX>t{eh?6}^O$>JiAF}xgsO|l{9hOFGlzM#p7jn;G!(fpw=ip2`y`H} z7mi&4%iEP&6L^{gx)i+ygw$3{xgvGizVh`mO#=^}+xPFkuQ?Z){x0hI`TY5>6Oa9! zY@EG*>-B%%BeUP%e=l?XOzzfC>!zPct)3M5>*N=!M6I~1S+N;cI$d{#%Ws?C$*XR0 zLI3j+*}F5p`y9UfU+Gz`V7I5y$2^mK*7aNqUTQDr;Qw(+d{@POspLt%&(}VgrFrno zjJU#CmC*+>@2&s-t25`z{tlbW*`7-);}foQx;|SQ8~6T8r|+^NiEq}oR=;_5;O2DW z*4h8W5B?6A7g4G-+x1-ZnVqxVo$d~1uR8m>seQ>?;n-roV%Zqq=WC5m&hu{OlNMVx zbGvVk$$RDEc{01+n^^k`U7y%s_QYrNA!(t-MF&)8a_8SY+%{cRb#=*H_4acI-G1)v zDE_cwo_g!{vq$!PGT8n2cdF(7&l0z<wQgT(sB_pgeUk0;Ho3E2U$ir;9j-?PeY!pA zq_+Rz-E;0&_T;`kbo-LTw1=BIJ}-Il-GFbW>LZQJ-%jGM4?z{((MOn+D)_wo<oiUK zBJU%Cm%g>G+r0b3wv<n&E`QMbe%j{Pw$g{WnLMWpB9Hux{ZuW{en+Tq%9h%N-K7t6 zPd=Ht{9)|7=_vN?MzZhv&03!d`K#}L%glUV_(JD_mDhcHOOyQ7o8|;;s()6?*T(D@ zd2r8-<0j76_if|${b;r7_u@0NADB&0^{>e&nE8F*viNU`ix*F?wXD)RxO}C~p4y@U z&7ZNK8`*ygWW7j@pH{naPR0cFr$6VOz2ac@AXh$Hz0c$SXFGOvKAVG$m7Y=IUy@7Y zrv6Q2wn^UUIB&{dL)PRS=YGd5i2r67>{$M-^rpN+mV)#Lz2C`P=L&RxFK%Py|7OT> zvH#*ez2D6b`X)YczA2wt-{U#?;O~=_&rj|!T)tAd-g19N^Se3e?q7?4e5!FRkUqgy z8T3v*xS;Lzp7X4~Qss?BOVZ<~|7Bdlwu$lmCi`U%c&=}<&x-hvAm1$TSK{sKP4*n` zBs}e={0;nee1?hUdwZcdGx??z8U)M!?kg5A|B_t7Cx7+%p_zw#ZJyWmO%nH2{j9Y9 z1^eBTn?L=N@!(BizL#2W^1x=j8t<dfZ|-b=*!G<McjCd^+glv>oced7;a)@eO?k;Z z#k0*i!f(n;UI2Mdxw-uEGH?I>Wj}Z9So!Y5?+KID{dT<HZ*VF8OWo?~!;`&dS^ry; zJgM)#%FVj8jjyAB9r+n6|N6aY#PYwk7cw8O&|lwI)40{X@!N8@>zt*>EY=vm&wQ#} zzqoxDYo%)D?*n%4ZF2v9S^wPBzy8~sr0dszU;P<-zx<p|SuOj1>;12-|9(HZZvE%^ zpLg$*{=f0YSKYZXw(&PUf8OQ4=RZh!<^7!3)rrgYTiwfw{dE55y7i^yoBz~2Y`XZr z<G0JtJ8xb;ja#~}_<eiz_TFQYZyFp+tbJ7V?8$+@6W?7>-RPNgy*5OD-Q=~x>lfyz z+HL9nx3BiSZ2ii2i?^*kb<ytLPNi?*(!W>z+GF(1f4^}2-)MzNJ=gbYeS3cY&x9lU zrIPvVAGn0Sm~=yBqjlj0qjNi#^&WnuZ??{2d7+U^oO;#oqw0rtoIJkoO8$1y;;)Z3 zR6m`5_~(-&jCHdn>y@0m74-key8^bxQu#l#jka`us{Oj~^21#@?L3>ltXj@C$5dSQ z^Q0#Wrf&PYCiR)Gb%|%rq#Lq&kw4R~Tk7pAxLH?TJMY%cl{ugLHoZ9=e*9nDzqhf{ zzx!v;KPFXvkY666{9NSo+}A#P^56a_u(Oc<eOP?ux7Gi<{@R{<`#kx-%(*h%ILUoi z7J4U5KJxB}qW1mod8_8vzlqQ~ENfl${Milpe;!+7?PPyf7rw|l{?D?oLH@Pl^doAW zcOTA~`hIR%&Has!5AQ6`e8V5V<kokqjr)&RzTb4izI}6ScX*qADKuD4ociAE{pj<R zK7W}o{ocP1`$K-`sek+a=t5QcoB6`*KQ^jCovN_mU2}TgE!XqnkLR7vY3DI|x07d| z$JYG$J)f^Xt|>@-Gym|-bw{-icUplp%(X~)TK)X~$I7hZ>U^ukkN)JSUvevdZr9(v z7CGCK|Ie{F&~FOyS;0B0`@8Eq=F7kNQSk1M;kS1Eptt4n{eNxG&0UTW3XCq-YCq)1 zT+*{D|Mp&@Hr_csXVKxR_XlT8K3@8M(!<{h){bAR?RIR6JLUulij$Yt{=V}3$Ep0s zf3vJxUSE2jT>taN^jrD1qQ9&El%G5H&+^a1<FmkNt=uAguKe!r$0{?9w-<lkcjLa% zvHgEf-?;zyPOZYX^AB^bKbrc`TXvU<ndcinnN6SmK5#FryZQ0quA=-m?~f)vf4*_Q zc>CY>BqYDt<)4eb-+MjvxO~Ar<!|3D%J<9vuAXCU-|<)cjOFVX%N@4&j>|p2_C2Y- z|MMmO<of>4|L$(A=S$x$w%$iBxLvQ)SLpYapXU;tcfZ(qq;B1c!i?pnudUXszhnRB z&QF8shpX-$F0r4feh(a%{-+)5c4dec{qWgy{*~OT`EP!IJG*iJ^#0rNJ%9Js+_~EY zvNZd?e*UrFXCCh<j(+pL(C$YVm@I=v-sFBrYO{M^bHDy|&inn-^}GM>wa|$d{#{)d zu~+Zg`A+6P30-=fxlHNX_LR>5S9|LD<3F2ZjZS<M2l?Tg?#BJccUEUZjXONo>Wk!~ z1yAP``&RG!KCj<Cee+zQ_PhU&{fm>?rr+`TdiUXbufgFp)pX(hm^ru8lk(1Z3Z2`# z8Dvax@E^<e<CSy%2R^$~t@7+yVV=G0@9H_z?d$efYJb~xL;hj$!k={qS3ddQ_GQu& z1Ev0p$DiI7JncViTA1~oi03MK-#&ZT8oc@)J*i;1{Fi3)TFpQA+|Sv`p4+2mnEwVG zWaoeH%zk>SLjKmr#{I>$Z{EMXy;eHuzw)&DB^^hNz7}79cbL8TJ?l-r2VK0iclLd? z$hVjL{@?4-o?izo?kDJQK5uqU&inkpMo-W3ImdIxY;cmRz4zShee%c8i)7``ZGQII z;(vIMUHP>1$9MMHef$3Dr-W_z(^|fgsvnk%rtiOT;`!VBBmd-{U$suI*PlPVUg-Dp zInryCC(RMRvKW-@?cNus-r2YPG5@22|ML(1UM1dsO&OHTmKtxY_mg=5Pq@tocKQFc z+4T9A#ePr$^?loo`;Tqj{eB?#{M**T|CV#!M(r`GS?LVU5}nP{iqEOq$8PDqcYf>j z$A4B;uc#Ml|7M<CZ_c;<_`f)rX>~HcpC4i5Tf-SEG+U*tz4+SCx(^R>_Um7)viSDh zV!e&<@9H_>aXo*FEuyEPmQd-o_p8H?{Csij;i?<=yAQwX1v`^HxWqF@*kbe3wZ9(} z%l+y;H#PFV{i_}K)lWS%F#5T~02Uk8|M|Yj^UoK5^W#ALyQBZ&{(bd3`t!-t``4as ztmi976h)EG-@bBx^!aQb|MPF@pj>lK7F4?ZoQGMuS><n^Us1BGCO`H|#EbVw7k<wH z<<)fEd;XyE(&wV;M&AcMT2bFu&R;$~ewXQ=JvYiuC{*Wne!lp4&)0RJy#MmpKS=o9 zS?N4qkuUP+z1+W+XX2l!c*^XG@BiK|-oA8qQoTN(_5QxU#g7=mueJOuD!lhMV~^gm z6wCEBR^Pr`^jG_RvFQJ7e`-$j_1tqM>q_n$OFy5Qo%CP%nC0ur)Tisd&a~M6uJ`ZW zntOkJ-@GrpSFQ8y{K@p)=6j8vbCkZ&zrOQQ^)qebqlvHUL6QG=`|;l=yAS`n3J%;^ z2P02gKfm;OvEHx#d-Cu0nx9+0tNoXHbBUeI@7;6k#X)hkU+VYsf^FF!PZccU1I6jL zO8fcS-}QXH-sdkf?HxFa+Y9`zF1%4`0?y(Q!r)Sl@A;a~wcqD$oq2BR+{uM@Rdd4c z$$)a8UO#%&JD#?DKI_|^%vyzW`nNTI?)!A|#{J&IXE*MbZeM>CTs1*5$K8iKOt0;} z{=T?3*!bD+_N4m$;`hryp}bS|+xdq!OveKsc#DGV_LKSa>F>@T|Fds?<Scu>6qK5- z9WDG<Rd{2U&bR(Y3TnUl9!~u`eeU<P+O_#PIXUaTPgR+;YvWGC3)d$n-o3JX*Ao6$ z!H0WGFWZHBERXw}w}$`rX}!Ji@w~Iu9R5#@tcs04pH*v6cmLFn(yz~~9$(I^|5I1G zYW?x|;o(+KO=g#@HJop<`%3hs%-PE(J+ofp9~)W~yZ+XdqziFdx2|)FT`l+eTWRjv z>u;Y<xpOUIz0~U6jQpYbd24tpR_?mA`rF^M*IV8vPmAllAAhxKf9?LN;HvtXv-Z5^ z67kQj%=x(b)mhVu@U_>p_uB7!wtuJFp4Hd3u1mTbRb~6Xu5@Ym+o!<~x7P^&-#Tmm zs!86n1pfT0`TaF(?e$w6|6O*=@81>n_;TEv?7dR^n`U3j|EX$nDfIsOdojj;T>Pp+ z<9+RV^Pf#G(%riL`TD)fYFEBry>H`xucU&^%vs5%)45uYU71>;nw;yu*`rmeMe57j zNiS7*|JkB<((8D9$#u3`E#GYUt#5CyE~~B1T5}~?XKCR6^SwtVY`pYK()%v|uZz2~ z>vaR3Pd_L3mv`&odc9!b>oKDHvi5mS)>~cTyKB>O&r|buX@n~VaELIqI#8eDR{T(Z zs{izyrHC$SEO$@nRngk~do>dE8M>lbZ*A<ahCNk|IOzY>x<}-c!WoVzjj)4^r~NND zWk0f+Ean@myfO3OF}I}Ei?8wbW-h(RA~ied*0Kq+LM}(SX17L}UXf`3vAFtf`M0^X zeBTYH|9*Dwvq80b`n$^V`NiL?UazZt_k8c2lTWWqVN7+93}7RUdN7Zfov&oA#=5o2 zT3jLL7C7zZV>z=%OOI>Y7xuT))<sl3-WalexzQZ28+k83E&XzF)A@_)TP9Ci&R=Y` zOlOW+aaekLNyjhEkm-LfwEx~(UM6#8Vqx#HGTxAL%Y}Ni^L3`LKYe}T)8d~sjBZnR zIn_ER2M1&-aBKDQ_CECrc4m&bGnYvsdztQrjbCfoW^0IO^*2>CDvH$dBu$Imc&nf# zW}UuU<@_H#huvG#T$QJ%i?(p7b=n^iUF^GN#<GbI)gGMnS?_Rp*`?#rbIc5rk}f1X zXui!hk-2Db?N`y7TK1<8>gIlSn_GVMj^uR5_c=|)_pbgg6VPOG*ElpQBR=_lUC`V! z4R-Fj*P2?JCNWfAsIf3xv2*7-fx8Pk%gi@vU%xXUf8BF`)4fMOPOQl}#+MwiJV4f; z^?0?>)PlK(o=;u9Z*x`V^-0p*{G#stQl{%H)^6Qe>QeY<Q|i%#@3S7gI+`uE{@=Gp zuF6d7&M&mn*z@nhwBsfA!I$?Pd(p}^Wo}yjyxZ*67sN!j^DZyA@ngd4Km)Gx(w4<0 zQ_Hk^3^N4&Jv_KkA!+TAOM*JF2Ui(wcwH=EVzd6^yvmq^)6QkjHVb?-X|MN!zzNGO z*!31PiP~*g+!u8&^sW~3sRpA3JQ~cW9IAM7;`H^LG|qfXpPKx!Y`0~;ant$$*5_}t zS3Ky+`@T$;Ir*V#qxAl%$K3yjh6vrYKXN$S@L!4W|J_?`yS%P_mQQ@Ne!1>C=FNTe zi#qGYa|{2@4Ej@WV^@r`bA^FM+U2IW7T$M^FOn<XzIc@)^K-%E`GJ;gUKR>h*)JSQ z4Acx<d0JHJ>D!lgewxL7+gYK%WZ!|`Gj6sqUw+QGr68g+{GRIfn!CqN+ljw-W#OvW z9%$L;Wnlo;%X*J#p8v+LajB0}=XZZkdd0A3_X?h6LVP}7K{^|^2ii<NvDLET=JQ8? zO&Xc*g|CqDSCyIZiaF!qhS~~g?j7sXZdWWlt6y`%ec$}Yzk_U+ANg0lqNO=#Z&=Us zmf~qK_H11D9eVdaZ2VMf^jOMS^usSf(I-h>cTTBJTQtR2Y32vrXm&k68~tMny(|Kj zGgSRz*f-?9V}Blz#WAOuQ=v#dcaFq<7uL4dtlJz<^Pg3}7XCoSi`gMM^KnYdjYqqh za^qO-EZ?UGIX|$O%J6At@9%4e$`*XubSdVN-2R}LyYu;&x7)|WeO-`WGr!!j_291} zzL&pQ_%!#Oe;m6jl+BKlN2fAm-q+LjnIG?I{cQE_?<On$hjW^Kn{6vKzwz8#Tz#H5 z!}C@af$QfoA0PRls&%0Ab;-81e;@o&Gi5pFX>vf|R$8a<_spE=_4X$7uLn3knB&J_ z?|fUQ@X^1X+<JS9^-J3h+;n8{Uz9t|qA>oL*}0v5MPGMzNHINUVzQXBE%Fi1`Dl^y zSbGa`={d111rf(A>|H<J*!HdYvsD&{UB1Gc`Ac)B)g;NL+`i9$PA`jNj=AuG$(gfN z{nj++=<96yJa>KZkKZ?1uLxYXyszHyurQ*)aKUO#c)ElpPkK-vI+GHv<-hr!GuK!D z?2+H=>U`eEAN=hZT;=a$p`)d$Rl4)ovHB0*#V?Ef57znf{J!wc_2RnQnaAz6{9c}6 zem(QJUHQMmXaCf{O#G+MxyQEj+n<~5m3wt=m0G{O@%-Ft8{_r&D`#BKYb;N{H&6Vx z!TNBQ-Ezxif8VK$&42cFPkZHFtq<Rxy{X*D|M}PSjO~{}23PxTd0lvG<N3M&&!+v$ zublCJKkL1F%<mI-a@=n}XLsgx>BYR|m-}kp?a4FztaYLLt%07eJ}-B>>rxliitikI zbot`GExa3daozXi>VI#XcmMAwvz}Y_ruccis_vnP_V&sd|D~DoyPK!i&bVIhy8B-L zo1dG{&%It_yndqGv#-kz+<x{TRsPx6X&KiaZAq5DwO9An*Sd`J@^*Xn_dGxU-uyQk zC-3pCSI^8}we!!S+0zndA8`|X61}{5=jBX+J@JLJ9+-<%PGazrH@@cR+{C}feNygu zb~E`8iv6*6>&$A-Os}c<@jp5@*jk<OcT3gm^MPCV-#5lg7MkDTDfX$;tCQ=;k>7eZ z&GzMg=8PAae_hJ%diAr-rQeR6Jg{#=vf4k_m^`k36B_*^vY)@<%eifBrJi)#aPgkG zx1#cPE<9+*w|FJ*z2cpkcON?}o_@C1eo~q8zrQb!@s}nfuvi`V?Q-+oo&47f`!r-@ z(n~gkzG`4USrEOJ&&>4a)kf+2%lSU+3)^=4-sVz<_$hqTq-5V%9V*?h^X7WKJ3s77 z8@@l>RJuPKtXs#}>PB_T>jwuH|9y3!_kA#X#eIX@x5{&54~Q>qp6bRfFZa;uM$wvh z*&QEiUopHdPK(}o{u*OVpjAX}i9yf5NUlFG{C6v@z4Y(Iv841lbywr$b7bFyv**>! zw>t2DU()NU+hEl@PQG~1Bw@8cRe9pkm*H#qw#|P4QDosNv$Qq;mQ{nk7yIiTzGp`+ zFq(R%6#fcb-JI_KWi_+;K7ZMoIGLq8^KXFF{P@pMVYgMQjqlabAML@tm)A$hzL9zq z4z@5ukbQaW`nAkI7L^oqUpy#lSfU`;+~x5%{PCC7VeI;Io<NjobU9!8whN+c^~HmS z4NDIEcMfUk4275`_Y9)UB2;GT$MrYCw%#|kx*_Py{%1dj>x_u27JpY=J$P?2G{Ba3 zE;jlW8qNN}_2mPz#m(1~UO4dBKe(Dzy5YUZeyf7Vzpos8`RGN@om_Bu|2gpD!C&PV z_TSNeAmN?PAv@>mB#3L;FCHu`3FNnLTM#=ldh2V)cP3B+#E)FeuDl2i$r}kJ0XBz9 z4PNj-<z_mutN*<VmisaB;=zpbRst7{SB6E^f6!rl_HSQe-tQjE8})x?Zo5~o=VQH5 zG;e)`cW{LNVfRgWe~Nwn+<bYAe|gCC><o+Uq)oQ}_N+Djw$q|LnEk^R1LZjkF^dj` zEx7-@W}^LtvhVVCZdNSM?cb!$@1FfLcA8<{pLs8G8n?gAH#`5q_33B-j7Jv=wjb<P zJk;FIe@N@CxUbS`&)=?mW)9YqpM8F(|MP6Rx!r5s(=~2B+osRoTzbq-JYDz6=bb#W zwmHw=_<3fnUf#3o?sokG71#e=eI~hYMa{n3Pd>*QezLUj?64?Y<@x)lq;=-(B|rD1 z?zmr5_IUHXO=r?$CU4-qm-@P=R_|QYiS&PKH8-5UmG<2Dcg&qL>-%et<;UEt`j_;X zCqBgPe)6ZE|0I6;^k)f0UaISCI{Idc$$anUa97DGTgd%Sk4}G6TzJjNZoYoO{eM&D zo_|wZXuRL<(@&<x??3Ht*&G(1dj9*YntM{p^H+K*dEe3x+%RMAq-USk=>LZKPEOk* z?&lhCFlH;xKhAmQ_WUhy&&8{JFnhb9vQ1xW{(Iw}f0j%9lzIMLfXOMs_m;klLaW!M z8ojs|=JW6VShHQ{^UtJ0n|FWr!i>@v-0|H$5$?BrArEAK_nbAZ3H({J|K#)ONl%LB z+~3N<k!YH^zo0=x%ky*Pebu_pH+#=loi>_(y!-LS;@vQ#)H&`f-ge{X89Q<F&##r| zt8?y&y`3}fVfU%$?7nvY_1)}_|E!(VAP_eB8#lYh!bv9cxBGvXeLk<|TJ*G^Hjy&@ z%>K8nlIM4C7W-7$bztukLF@T9pFc{gt9|l0q_O;QFgQIJ-@D!Xe)X%DizMoJ9CzD& zoMtlL+~*_Alsjry3_oq(cJ9XWM{8PZ_W3-ByP6F5+P_r?c0cx<o&ICdr=NzC&+BSF zE57tq@n!MHs6TZ+GP72#j*NewQoDc3*Uw_ROwL}J^vX)}#G22Fnfuh|#?Pr!y`16W z{+uUd{k}7H`j5Y}SIob%G)?x1OwbJX3XMBIcHDFN^YZ1f<s7Mp?|6lL*uAu2!l!q4 z=I-BgUi!`ImhyS*d*Y2FHda=4_fD^!`a$noL;11;)|U>R-se>jUVY%U-iI>#yw4!s zZrcZQRX@C|IdcretAD}x{wbs~n)>11TgLY}jpa`lo9%zIy5&0~e?_zWKdCdf<u-mi z)c!i**y;DYN50>VsGo08anW}FqSYO1XBjcD9h&?-@zTUERr=??9-n0St@Mn^AvRh2 z>3R2BL#AkiDPGs<DVy+cS5nnZsXwy6C(LdC;Ci?J-z>FSo}VA?CH=T)$;Y3uJWQ6^ zvvih8md2tb%ra^Fqpk?vkM1}7uKIi3hR>4cC;KgF`hHhQ-k@FNnV=k(x!t^I<$vt( zz}Qe%GOe7ozPdhfy*wx^1O#U*#VZ<he(x&C*Sr4gL2A6}9%q{ebG1L@{n>d||F_md z+q2B~Dw*ET`<=7r!@~;WxP9xSoqsR9Kaowp?Sy#vy_U7J>e<wEHe9!^k#Bf>b>6yt zhrX_J+4$<v>*=3%3GcC(`R(v7^V?R<{8q*HH~%%!W!aH8Q@^y0QAwxutF@BX*WG<0 zb3D^moxeEQNc^t<{Kf8{Cza&uKD%#aELqq0?}1bGU%TmlcKko{%5s-<{i@FQk1c<N zwn^vQs>_;hej}VS|JwPB|M|0AnD0~~I;(T*Crk~MJU;z?_srFT?@Xqye#X*!_KlE) znPj#xOCsxyD+@GpJGXJX&|C5L7xQ;9wM3S{hfb*hk_oBWi}Yr0TRp*hiJsS%nWl$$ zS`RPz<LGhB=XT{g+w-?S&)Ipt;Qj9J^PcO>Er0gTwtD~j-}BD@o>M&Ud-c0{d&@J9 zo|qUO`X@g-{=b`j&JV7vXeqbH-Z!6TK6`%q%;Q~xqM{rA3k0{h?=-V0KW5V=b#Jw& zYWuaqxGO66RJryPbG}b$7dP$P?%VkNb4z)pb-#X=(0eb|du)epm+L=w^?ek({=je6 zL)PaWy81r4yX3%c*F(2AO*<}bBJuykZ~x%7<0_x6p0wvq6ZbQGa%WlegPV6x%{0HW z>(Tp%E(%A=>N6PkpFeBxu&Hj(_C1gDG`4vi6KM<WQH%C#{C#igj>(mFo7(!FFC@sE zt6O8VTfFb^T(uL+XNQaRoO!9>Rp_s<DV^hc`;mI1M+b{))pzq<FwWh%SFQHh=J_p` zj+%d}v%b9NTiNG~t#A1Zy`{~IS8UlhA?-|@p8MKLUW<31jy$~fKQa3METgOW$+KF- zxFkO}e5~rNJhrw@RDONP;aaD<V>^=Kes(mrmhHWNOmq`p%x|&J4ZKByHoJemu-q*C zWHDd0%$vz9{B?@A4TAKRY0O<eqxi>->Adrlw0xweE3NvlJ$sq`?2~$%Ds!$2%{!iF zrjmU2@kyiJ`09mgG>x9QPG5X(51Y(x+rk}R<C9*$*AD($c1FdS9pn%jv-38OuWf32 zZn)~9ouYkmjA6g8;f4$Ag5<b=Mrq8hj@|sGRCe3nr5FC(NtcrQ^x3dl=E}qpt{<&A zhdp=BP?F9$D)XW;{^c(Jwuxr;%X^=nteEnJ=Sp^tgW3N23(vm)Tx+vGKKq;HZJV~< zQ<ZETmA7`xc3#?By0hoz>8CRi;+Xr4L9S|^-}LK#?6P0EK9esvDJIKJxWACMAUpop zXX~p4^C!$VTsPzXYhIlrTW{D@9AM%2lrT4!f5$VGiVxnLX2q{UqHjG83SCwZaO=-Z zv8k%<Thuil?Fjn5SZS45x#{-bZ@w|kUOs#JC!5-ZfyZYYe?9LWd-3b}S+DH_@BP|r z*dT9WU#D5Qb<v`ye)Hr5Cs$~%?Qi-#b@N@#eC3C4BTrQZPOdnv_2HcQo~wMI6#0{D z&voH@$E+)A9Gkz}O%Q+YEPVF0L0y)v{+vZ~{xY7cUzMBrzPeTB`re-ByI-nRT!`Cz z>h}Ig(f-G-n3`1Hw|{<mo$>mI*Xp(3r!R1{FTNjdonj^WV_H@5#RZ2yE`67-rm}L| zmg7rT`8-_kBKi-z>~E1@(>|7eE_`!)`;M>KzoxY=vD}sS@F%lrXZYdmI!b#&L9SZg zmcO&{gV~)kkGp3bS^Y3NPi2p+d12gz2X&2mE^eQYz`LQta@CE?B1JK;z2?1l`k>2w zqSY_{%x}qz3+H3*f134G*ZA~{=rdOguTJ~6BV?+kj7V+w{{@e4xZU4WFDie0Uu}2v z{$=@@YG(~!vCXp&m|S66b2{meb-ExZUtay7>&@~0S?e5eu$%6<RP^gV*t6i^UzW<n z`HBan5)9wguAlJu)wNGG;!XLPN*|+a=YKc8@agBY#n-l<(OsM`>9?axbD8bB8CE9W zd-ol!{}OwD)4I9+$@ljiT^oOS`-S?v8Kt`C<yUy_k=pn4^pf8>Gme8|#k9to`=M<S zFVqcdTejbO$jbXp{e*NaH|I5mZg4bwe^qO9zx6?MLa$C<EpJUs!?g3;_hi<dUS#x3 zET!wb4b#Q#A1Z$Q;Jvp0P}}?MXYQRizA^v)qigG5M(3SwRr|g9uNKU|Z(F`!cy6Je zB?JoW<_~^l6@lPz2s*U;kC$Bhg-zdut?L%$D<0(9v7=D<e7WL}Y0;j0vYvgYV~eu8 z&m?cc_&PeTe_yN1d4I!SV)lj0w(i)s^b@09U+r(P?RCEQC;dGxB)ulw?(AoFupK8S zw5=_L+7Zr?fBUJ;K1c|xZrNUL_8jihumeZ6tt$3$AKZS&^U+m{$&jEGOk1k|V_Nm1 ze8r2OgM0QJ^`Dcen|>qy!{2G|*4D`U{-&Gvch<hAdO|A-r+@s@2u?Kn+$y5e3gWJ) z#PQxQGJp8?rv)@*PjlvPJ<Kh2$A5zPFEODt4c+N^&wuK%+~3r5WcA}@XqX=THSO!g z?Gs-7)O5T5Nd4RvF`2C|qNC)GhZj}<gxHqK${BLmz4D)f@1v_9biWJSTjy;F3C^Ev z57!n!z3O%7cEI_^$hPg?RSEK4&D<lef2i)6-#G33_BpAwr>DJ$_V~h9zp=FPoz#b7 z|JP+7{;m4DbGcso`}4M?udn~Pb2)!fp4ngLnms|iZ^Uv0A9sCUzh!>)FTUCRR>^i; z+tyxg*!gqCZuOp;Cq+Nbx##_z^)o{>^Ts((8~H$p2CEA-CG*a@pFdI~k`uk0(fYZ= zJB=^yo9FW?%kPxg_jzLU{xrKNU#YSf+4Jrp%DY*kkL=(xk>7j%+2UG5dDh$4G7nsf zpKs0e`Qks@#3#zr50~2&y}o|N{k{_K?K(wdExsFmzIdQHrN8FC?a6X=L*J*RhyS;J z3(ZuVzdFEc+QX$bk&Mqj-L*d}@O{_J`dE&<-PeVDkDRZ)cl`bN_vThL|8I(1{`sJ` zY)6|>jQb^#vwnXs=lq?ok^gtuv^#9qry279i20NMJnq!LoZSug#RDf-tPgnbm+#@* zT7GZ@|B$=>Ug(|_sPYYeTpe>==-#r=l{KKmz3;f_akGT-xsdF(@A%faN4B2=Rliq% z$XzdO+5Rv_F8+#2T<`nBSpN#^EDQaN3HK+8|NRWAiR-`Fu6ts$Q9}8_?d_sMAFutr zbNq|}yQ4kd!|Isp6SyV5>)G)+HW$9AvwL;4%&uxn&*zIrS_Jnwb!GNzKe?m$=JD?O z-+k$lfBrPg=6!x`2jAvL3i9XoT~FNgHGAFRKX#q(7G}+}7dqQ1{wZtC;XjNI=f3%% zHtWFZjeMW&4(<MvBX{3LxWe>W;=c(WzV$u@mqx$!f9>jg_SNv2;QszLmh~r+ZrQ}1 zDBR5dxyJnNw&~BlU##grvOJULefol@pLK)J96j`ZFQ2J=%7nM=%VJ*r_PzCascm12 z;)|p&y8mV$|EhcY|3OGu(QoJQxcZCPq-QJEK7y9Ze>y&Fn|lyaNS*HI%zqyZOX-{s z*A`YdHveY*<aXbw$@D<dt>1Bq$3x|wf-_X(k=36~?DQN?KaXA(b-yS~vX=W`m#a|Y zi)ar68`0SNmuh<8#p0hSTO3b&z)B~zL${5aJ}*-HnDt}Y*_Q3Mz_Ii}H<}~=ae-X? zg$H#_;EI4X=8fIK&#@f)p4JJi|ECDaO)+V;r+0$#ZfmT}Q%U~!U-zvt^<KCq@9^Gh zVS$2wS3Pf-{i@)v(7I@u|MK(p*RGE)c|AW(B5`lyv0X>!Pki>mvXCcF`0o#)ETQ!v z5#>3R>mY^WHQj$rAI$#EJ`SoGlJ7ohZrT3X_Z+B-+L-rodQ16~!w~ky<`3t7ih~+_ zAOqSt-amT`u1u2eJ_<Wj{fGA)x6~8!Cr5vV#ccOz66<bOV=7(jpS;HSO#Z?((KQVd zOl6-w7BG=$f4A%C>9;jo%+9%ce)%Kvc+U|~sb|4`u(bQO|MSN;zyE%b_jlI&#revO zt85Q}i`iqpU-S#y+jbosJs^(Iy?fWeg*=EO2+9>EJLW6s|Jt=9Zz7BCfuyGr^WHmE z$p1|gT7K>@r06@dabK#}7hRRAx~_}ce{>qJW&N+#uy1Ll4!q*L>Bn^SQ#mZE)^p^a zzYn&fEx&TkhcN3skaGQc%l3~7{rVXN_Kx>nM0;#t(kt6P`T1^v8gKQ^@c)eW&V!Q0 zv#9%@Rx;IezyGbXb58K47uNHZt=yZ}y5|2^t`x1}+S5OZe^`8b8-3@OY3=FBOAL4Y zI|cS<TmDa8cp2+0{xJ6kH#oT4@?Wa$k<~8-H5HonWY%=Q|KqOr{QtHaRgB;oOx+{f zr@j+XrBq(q9uwP;ueiM5^(e=Y((a|aburr`ZdJ6s2w(kH_0g`Qf8Rg-dHee=*X?y@ zOS}CiKU;t6^m}QL-(HKA3*2iHhXnGjqjOufKW2p`gY7Nb>mlV(#P%ON59dDp07}Gb zqTeX)k*<OiL)UcQDeZBe_Z%Efuf^)!D_+aST{yt5tbcC%qg}2-TOwpk1S?9Jz8f0z zRBF5yo39l3=FZvAY#BeFE#`hxQ<6BRf6|NA*q+Z9FKzRm(?88@UUQ<|ts24ekM})a zocDLu=Zp6zKg+*;65`m;7t=ZO-}8apXDDCW_u-la*y7I@pL6D4z6_~_KVOVHbo;9a zxcr>a|4tFw3_Diyh4s+w3unR2Fhlv;i66>J3c*gzpVL1n;pn3U-43sp-Z|c4B7fI+ zk>xv1J3hzL=JK&Q&lhh$`ctDb?#59IdEMOKM}B5xKDLy2wpcW{QtrF0eCg}!bNc@^ zn*5*W{<Bv3;+zV_^~Y*T<R7jruMqZqaCJuizlk5#)wLWqzsM5T`!hrSVQs4Pb6=Cj z@MODR>W89VgNsH(`P$A8+icG~o}Iz8{#ebI^DW!UwPC7^z~vINe9uqwbMBrSk_s=l zE+`WFZm4+H{rjYhZT2T1!MV1~ZkG}$dmYj{_A?{%_VbV#{r|+5R&kZ?Mecz1h=z+E zm)B`!jk?Kn`c@RzrBnQl+o!}wEs0KMPMWA1)sk^$QR9{?E*YwNhI!v+Uda*m)cAiP z-t9`zgMKpsPu9yJ+rn6-MU{@3W=>R!3JX|qL-hCQuk*Hl?|DAQV0Zqx$Nz4eoSpKG zxA<M{^Xl_+e$O=id{3II^-F5Tu9Kaq9h1w~zVVgL`EFUhK89^Je|?%r_2I~8@yTba zeuuU?t&dFL;X5`_hU;bh#;^6$qyHcCzR#4bJ*zY$@y7?@+TC9D(PiHce5fuIzjf)$ zI=%(p{WZ?*d3X2d*G0O%?{_g&`3vpc>tDDx!~61Y2Zp`th4!9x(!X`-%Qp54)m<;{ zZk&8vJU6pyJIjUN>5IM}nj8a?vlDZ8pSfte=L^^9S@&WYzx-=`arc+AT>J*c`vLEz z)1<HMOtb$`zyB(C{=<g<AGTdxD%Geh*66<f#OoPLpUqY5@83PQ+*3B#WM3AS^2wyK z8LY3m!he~0*So)Y@L3?ofIs}tj%_K&D{ti8`<b=*dEjR0HwkOb9S-VX`#S&a>)%h# zZ~glHiT=kuv3X}6pWRitWBxDcD<WsLN=;_fE_m$dZ?)uq>$U%8?*HD#cr6pj5jo5C z`Rn>;qSHhDKm7E{y`lCjyhpnzb)q2m@zUkJ+5zmx_8nidK_OeE-%6*yEWGlH_=;EY zp)9+krFJb$b}lb}8Fr-R^ugNK7VOt&nF*hXGCriwzO?UVnEPCH*-Q3k!aEMTxc~e8 z_(AqDeZ8}{E!kJ{-ahiDtI(CtOmd^Z_05u&JI)pfoH9*GEfVUqWC+a-bXDkWW`Ez^ z+#J-N&*$}D(Xyf~<=mv)In7txX1VU}EL=OK**}8s-=b3oGy1<K2uwS6@EmVpLBOjI zXB}VKtFU{w?)F`^Om|N66*GxXe2=3v+2?PP`LoxVk1v>Qi`&if<+j;QGiEPc`uDD! z#2K6F*y<GF=Qq@nSDU~7{B0L=(p!alI!jz1JHOg-^bNDDe&r>_zx8K+y)Sxl|CkA< zE-19VfB%;jSD5+sfy`pF9O2K}$3!j5b_;SJH+}mr?o9H+TTgb(db?v&-1_%hYwX@m zIR8vpZN2xTE0TMSG*Vuyw(U<Tc)0fEscqY)KH<^WOZ?gUweS&OU#s-otLb>dgyZ zb<d?|DW6?*_sEWCz2}Q!qU`=3`Sf#<v)ybV=dBr*uV+t>TDQ9C(T?E!=;)Wb`A$5) zl_XO$&8p^e<S+h{&x=*q#cC#ho_Wh-bB4L$y2{6!Wu{L%uODT{FZuG_XFXm0t$(+~ zTvscc_e1A>{+pj=NLu$#cBy^xdd_)hAfJ_<|8&Qent#1di|0DqWxu!2p6qoxT{nH} zbHTSiV!loOZf^H;uEh_X(jU(@f03Vb{=WieL8kI^<E<0ZF6Jf0d_I<Lsi!-Cx6XWZ z%O{(^{o6ck^S;WJPpf(+yWPA0qRxWP&RcfhPo2N*Pd-;pvRJ+E&`+P-C6QZZOI+Xc zF|yD5^z-0p=jF>zr0eeAGUsYh?Cc}AK4$*i`9}SHzRea}B+aao7}&2B+67BzioI1k zW}06!?eV2gKj%FCtkhm}ttf1ENR(Z+<?HI2TRWy)_P=+3#=pBrZeBl``R0Z;^w6@M zcK)=?r^xF6k*6c?@3~TBd(2b$eZI_3N2I{2pL8ViuMN6yt99q^e=y<vt@qb6CwrYP z)lILR&%dQmbNT!=^WWc<WuL#4?|#j+f6kwNDp^j5EiXoMb>)&qZky+??b>VP)}G<Z zwO?xe{%%ciZ1um3Y;M)_uKdzoE`N<{`>p%#*X$0Tw>LgJyT|x-<>!TFHu0>-9wf+| zTsYz1`z`<Om%YAU@iICg$940e_d@qy+z$O!U1a&(?$)I**Q|cYs!j~KwWO-LV`Ct= zZ2HAe_22i)w)$D%LTow9h3d{1w!3;>vr3=gzta8ux@DUEnfY$3#qS+D_@{CE*|&>q z-%q`q|F!PiZdJ9ef<3eDJ_|pzd(lTzlS;o#`SO3M-yRm7UtTe<k@u629e+X3+GsQD zHPKt{XJ49kz4rX%Ckqljuzy_r=gywbk@KD}oL8+-`eWa^DgT_~!vD2D3ICmBcYbBB zNq3p><F4m>_t<=Q=<}|Qt(^O`xZT-qwtLVjG2Qg7G2xvib3YwP|GD$btmjC1XTPV= z*C(>*$#>t$PnEl!?LJG!)ve0-J@vg}&gnh#-M2gspYDD%=H4{1J@3OS8q;UK|6O;f z{>1OP{K*IA@9ZtM-`H8P@Sec;zxNcY#5wkM>bmB4{H}X1v{zRC=||rj%_`?R-+$|0 zy!};hop?@xJ=<sT^XrSx)a~dG+56tTX?o#g;gx^RJ^d10IAeR)!+rOoQ#z!deYRn~ z{q)`Mvl~iJ`?Z&LS7u*b^mciX*n;4#c^~CVBDc%@5uJa0-)pg#@~;~N=7(S6pC*<6 z^p8XIta}2brv-u}wx54ib#dA<bC<hDQ(o9sabNG=X0liD)ikL^<&!ROn_0|XaIfyQ z*oypB-Zup=%=>=oWq5VZXT7#vt!l>``9D@NZaaNdw|86K&xw*IHxzfM#GdXet>-<f ztP|S3ZT_jq2EVJjj@DmDy}RjSd5zrfb<s=yPbfVdn(}D-xd$*u6fFAwp=2GXvU9$o ztK4yqZ6TDs)#bhI<%*hvY|pu`+|IxIsD2iIR{yQfah89r{-3B6cfM=X^Ca^9S)20* z*eccbr<<O?raL$FiterV-kFn6Y`0(Qm%Bgf?xNl~`{u8SUbg?t^NsI!x&Gph+}@+~ z$HHXhXXf0@D(5S@i#zWbf-By(718U|s-o3C{#c~zo8PhPsnnwK_q{RtHy+e9RhgE0 z-}||4>6Ftua_o+R?Vj}H<|OHgd5v$2N>4jQZ~wEcXBX?t^|o@6zcc&i=g*Bi9-8@E zaOytCWB>L&UTOPIvVE$F{Ir~(H&<L+UH8amZ_c*=Gm`7i|9F*o?tSDjyK8H<&wj$} z$K=K7m;1gee$sj^@BiIrqnrQlzVpkUjUickmT291i#DE;Gc0xYK(Tj~@yj*Ay}Dn) z?SggO3%*A!Dt})A4n}#71?4&yZ(H}h1~n7DF;pEFgtjg6I2V-LT-=?k(+_P;<lA1X zei#MTRnB_By75J>P}M=U8uz^wdrhxz5cskC{wvejEB}0t<!_w!O304s*5kaOQ#UWw z&bw~t-K&1$@#DPbu|da0de_f-QhrMLETl>CeL`i$1F3Vo8xGn``F_zpalL!I=Hsf6 zYS|~BD!%m2`+xOWZ&}TqYMK99+rGb?k^TC8uXyD>J@aQD)9&Upu~ss!biTBFeeu)! z$$MJ2Yt0IMm0|MR;dt}B5|97fYyao={kc6uZDDKgqR7O3W-8U(t%8ex)GRf-Z1aot zao6+ju5N}h*SGx7mo4IBQ?1-`=F^KDNt>pBvs|su*WPzmcsVh1M$V<w7b~Bpv5DWC zwQbv%ISlE0b#0g0%+9xr*m1;i$F(gp6Rt^37O}I`C_S^y>Q&84fx?ELT!k}UoY;T% zsmjvC#Tj7_9#l`>`%Hr8vCI;)%QpXx9uu{^ks{(8bN<$6?izRIw%y0qY*<qGp?F$z zzS{{$-S`uU@1*xU-oLN%ZIQ*>wd!8>C%ymue_w8K+)VQM*R<MufwIZRkMY%Be6vQ> zs>Xh~!!Q1ybDCazH0f&;^aalDO}(No5&k41Zl1F8@ujtXj@?g-*UoG^QBd?_{fUiN zuO;u>xm?z~*|V)9^YwQgy*;K!?_{ohsdII8#PWBNMzb<GLYI5V?t2~b(mrKwm96KO z(0oS8|NpCXvXa^LF4ZT*OniUKs&wzu1m~&EuYRXT@%`Gj{*}>G`CtE5Xny#&zwVb= zMT#?{<&OLc8JQ_oHN^qH_)i}EF34y0y?`%BTITo-@t*9ZGJj@0eGt+vbLHIkJ=dEL zC;4yU`E|*nqM!sxk2DAS^gZV+J#r=a>NhNtxb9_nBhAv{ydwM7&$jPnzS!DLKRN5z z!E?JTEHp}gJX`QZep0hAC;RMkg?vG?PuJ`Wdfy9bqRCEcF1LEJplo0E@62SMOUjp{ zGuC%+{cF_u`HDqvv-_*Z4;EZ`IJ@~$y$9bt524zF*>jq&Jku=IDryGlzOBP<|9V36 zt=CC!pKIUx75sZ=Ykpk#6bt5(xXo$T3NxDKC(G}iSFCg8`Hi6V_vbe*{Xb!TvcHnd z?Sii2o?C1dR|01kt~;@DPfefh@uh!deixp8u5bNh^R($v>$3l5o@cLo^tn&=_`~Hh zIp>@E^);UlYR|Xx{;&AcX6A>VFHX-ne?^S7fnA`n5ZVl?yY%U2U3<;7Pu`~^bM4>$ zbg<sX7-ZfN`Dtff7E-g|yRe=4zsAoqZ#Ag|xGjgbWtQvC@1Al#SF+j0q4@1zAKmX; zYOZ~|{7Ob4$MMXh^S{%M9ZX+&*nIxd{}XEFIsMr4RpPnvR#wjiJ$~>O-1#WG{G~PL zBpx@;%6|VkYp30Wc_!ALzqhDOzq|hF=5KYUQe9m(eSYrzuHnC*&d<76T{q{v&+gSd z^4@bP-~IWAJ^w4(x9{#$`E_r)#r>7Klcu~1XFDePbKT#0{}fLjd~f+AVL$UqDPwns z>bR3{PLzE(oBj5$%-bJZuB%7Y-}=1l+Wmx;>RTRbFTekHo1k5J(bt-~x^I8)c)qOP zcv1Y-&dKr$&yTvtJ$dfBW$8=ak9SV4ba_8>KDfsu{b=Xp%N_SvAq98EyC)@!wm)W- zi-#(dbjc4_hty>AtbaN#DxY-$T&>Nsww(MT*Y?O`7_a+<?VsRv;yE9F$6VnKi+CRO zZ`$WOCyUORUp2eR@uTCwtp3kdX)6n*P8X}^t9{<^($bFqAlv5vyXSAGf2;Z;S!KKH z-|EThQ*^doH*Q|qcdn@RqkW}9W~eDYVpl5vgz!+&<ML~C64X{``b>WGC0)Z&yd{Dw zrCc<UBWa?-1)~iPs#~A-^Z5wB>sOjNiD_0B7xx=}qlt}1tdowJ`6}~ioC#>`<NRA3 zE|uuZ>$c&oT-|q@bC#d0x9$IZZ};=JzuuimzW=`b-tOnc=dHh2pMSsoy{==ywy!q3 zZ@>I5w*TfAafu__E}Nb|a9;58GXJLeYm@IY+8;7I{w6kQ8<+8qvdg<>*4_}xyPH|h z`q`<7$Mij;d%VBQrT?ba{x8-1x!sW0ncJFmb1A=;_IjTCyiIkt<C{-k$n!~;+WN3M z@?U3RYv6&f<b;R2<kspu3)kPwfB0^SS%$?m&HZfN0uL&GYd1Zx`TXl+@p(DtLnY5{ zocq?#*%+KWd3ldq%-1!WkI!t&c>f}K`oY(q{q!T)>;u_vtED)ztG4IMPWfkA`ax?_ zMXlUZE05d)+0FM1)~!fiXZ2&w)q}R0uO3+T9W1%3>Rx_s`NS<+tNDe0t-HZxpmF8k z<jI>CHcvXdozLt4EUO4tp>59($FQ$lYpkMv%yb>!zr(K{Y?i&?7;gL7_WsFKz1Kly zVt3!3Sg>wJm`&D>zco@mkE={>&bXhtP4(Mn<|AiJ^IZK^|EDVI9#Cvrd}<G?%<0-f zj{l3-{5M5}&B5oF6(8<)+)yEWT{+9RJ$?Vp9gE}|&mAuPyRtXi-G2VQoUbdkGFLrW zrM>R?#uUBBT@y~n9E*&7ec>c`_hU!fC|hIcDizC`*Au_2U+M1bxoF#;me+z?OWV#I z*>UXZ;{Or0Ru>s<i+a0PuiNpf_^SORxpnJ|i{qZYQQ~@a@u_*bbH7S^zU`!cre9xV zO)4<HR&2Xy+ZmOs(G3YPk6#7uzPi|J^12_g_MDfa1xoHb`So|(>&kV1*8Q`R<E&ef zUw@~1)B0Jn&2t*%zQ5J}cjW!rZTAk97W7%VJ^nsp(&6vl!!GTA_C4(I36{(sjMp8r zyv>|1z2tq|W%c6M-`f1osUm;mK0k_KXTHDd$?bTnnGtVHz1Yj|%;J@uBD1eF;Mesl z2Oqkyzuteibi%DmXQnUtb!^A6s|UN+@a?m|elUhTStWf6pV|G1NXq$zW!FTWEuC;H zX-V_;V8eBVr5}P;Hy<vNJyUAn_Ra0(gLgk~UT{45Fq(a7y|1i{W66eJb?ch1h$+kN zncG#^ySkZqEnnR1MSQd3Us{=7|9m1<@AUfB`!~&*&|Ldld7be6-b)Anen(1T8)o@T zSoM1cUr_WT&q+@064!TFSzLMbfOjV!+rHA1+xLrHGI(R;#m--AC~c)-S@U|qm-Q=~ zl{@+PVvdzgxOJ#|_Cwj8>=;>@)Y5{ob<I2Zj-B|fA!~DK3X+%l1!QGDsG>OXdbDiL znNox62PJMwFKu3X8Y#*C2wc?sSFBie$u^0X58j4=4A0qMRiS_N;L?+Pk86&<i#cCh z^8ByK_227a9c%B0`z@QTUG(Vr!*ap!+s_}|+IOt9YQo2gi8uScYTWbZPWDKYdAM}K zzvEH=mtXptzehy6XKjL6#lIXA$rqihG4dD1j@~}aBKFSSPczOn;<NtKy=@<^amQ_O z{TR3AfOXr4b#u=@?%t+x@4MEAYXbMCxt-%S+i~wi_v;G=#k~ptLL%dK6fBXhn7RGG zFyr;o^~%q$u6tG$o*(g`%5=%>_=WdGd)B{m%)i~W%eYI$<8mydt^PmnVoCJ&HA_mn zUs|6`Q@OEn=REgA0n_5c>r*Wi*?)g?k5S(z{q<+=<?TOgW+vNY+k98Btf{Y?{Lj>W z|J6yHM#*y5IkUWNJcC{cJnnkE>~%5!<~4ll7F*5u@Z9|R&v#XFJI|!L-n$wf>38Db zzQ2OkJKwv#d~j_mQnFd2EW9L<50soCrbvaeKaZ6CV|n#pOJ(fy-LEU37)>`7LUGU1 zW^1_<ZG2vQ_pLPkX1{u{HKpmw`A1eBxt;2sT(U_qk6%4dTHW0L)Jo#VHP!q7f;<jy zIISU@Q)hsbY_1E+uG!i7T48IexcOmgP*U4*<<*0CBBd906lAS?KJj!6S|BWK-ff<S zC=LsBW-460yc0RC?l|P*_G7mOd$BA}&Fe||^_oi(Z=_D)dv|{hQUZM4$rtAP(8?qC z;nA}n_&~+rx{X#pk`T#tiz$*TA9wKa%{hUb0}JL}J$Stso&zVwry|_6Vcv@e4TUl6 zD|OwhDy&5xONF!7r<Hz?`TS7goqh{rN%X8Y2KQdxnESf2@7<pH)9k-Luzm8-xbDXt z=huGgE;sW`I{#d5$^VVl_8%+e7w)jzcD<<9C0b<tv0{FC<vqMj;<<r)q|V#=sO)*2 zT~TvU?C9!`cMhu@s;=RA&MLh|{6zQbfRoSVgyQ`FtZs|%U-vrB{hahq>viRk74H&l zPHq-mTnQO9_`c!hHC^#byHp>ZX4$V_kh$*p&L5X7)YnJ<aldObtz=o^-Axa_L%OK- zXLqF<UF`ogF~<Ia*wNL2+f4-SZM`}N?2^*cB8P4pHGN*B+ZDbzZ)f9&Fz&bw2dkR) z7)agtv`cm0vYqRyWi8jg)m&E|xgy%m?^Diw13P_C2le$d_nf;$J3-y#S4(F95LbM! z_>tqszxvpMwf;2<g0jE%#b5VfT(7%6d~e(Dp3SP)o*MlA?wa=Q=`Y(GH}p+zOH8g= z(7d2g^F_jsJ$t{{pZhg`#=82)OK&9Pux>thU;HPF<cnL{KX)~5)3`U2YtLnl{L=~N zKpA%@*PhFq`CA{jMvJ_6V!h`tbWgMy9FVt{9I$TwAh!qXnewGf?|lwg2Ygth+x7i7 z*B*1Bdq?FTeFSN8W4$+<<Naj$Cwmf@Yu)2E95TC;q4?sz+3D=E=DhCbcX{nUPjgpT zEjWYMe8Ob2gKwPW<UPvowU+uE{IQDTf1bdfp9-JbG6TYWR6lQc##|%U#QSXFADdl= z>*V%o{kMtYN%@yQy~E*kam~Cw^Tn^yw_P+lpSWtm%Sl&e9IoV&6+5G5`E1trhU6wA z_o+{3JnVEn#<(xP)#8lr_4<m=-R!niHCi>IEX;b(e|4Ch<gD-Kun@0&#B!wRro(xA z-wJ8=g19Z7aX(_X_h{RElnUP#8g|d8;<j2LNc2o|>AZ@}8hhu8-!aE34~Av!&Dr+) zsn}`mX7#%X2Iv0tRLz&Y(iva!^<(KH?_jg4|NB#u_fC<CdcE|?hrMxL|5r@5yM8({ zrAhw%`LBYxlY)!)&hf3tX3@W;vi@0BCHKR(+V9-mXFoY=b0I?C-n)W7{?KQ}oTb-J z-!0o)vxxb%s`k9oVH@7ezi{>V^uO8@WFG#QzG-8e7vI{iK}A2}^q1CO@tptq>GKY| zce@&1E8kLC{;bNf{lhoGJsFet%zFJa=8(0^9s7Q>liigIHpboas@VSJ;ZKHbL9d_I z?T&l5@b%M0x23%`r@#L#<z=|!XI!{^{H%?qqwag(!eU9Ti+uRp(@;<BnfpQR-Py{E zYL%wfPgk~-ckR%Z%bg_t*&%uFADf4{uZ}+E2HB#xJI>Dg`e}}j#_eS<uU$QUeX0ID zi95@LZ${{=wqL8#w5(Y_{gVBwCFj3>;#<_eS!;*gBHyB{b<@j*?&;pOga(rM!@2fT zJ}>h9lq8q2W_rBB9^Sha`Zv7RPIrG(b<^qk=|4Yn7Vi6bdYw??Lvz2mT*)hUud`U> z{Qv3eR~(<q>NoFAe9r8nX|%RW{pf%5H$v~6GkM}!58g75Z_T{br*}ck^7stqhRxF} zPssQA&lawHU^nGw%l4-x&s}FfX)R^1xWD+o?gmg6-r{*L>a?|rYFzZ}_LL352GU2$ z6MwARng3j*U;ma${@kass}Jn%_#ju)407wCU3@<cj8)?<n{JuFQ^u9n{NtYNK4}(a zR{dKl`Zn=gd&;Yxtu@&5UEtpPy)|6Rs#ox5Ox{yaulzwwq++_jy_xPH-|N46x83%$ zlbw$0p1*#d{;*0PiN2?PH|g~<-)Yxde&uXB*v%q!&}+f=FS}k$jk{iXUb3@c&Fo*_ zj97&-E$VBp`F@|oY4qCEF8`vMrRnQsYKL||4L#Rwc5-#3xhO~e@BJVv^4Ff^j3_sG zwJfz|yW@vNzMrl|#`W%cdAg<iueQxTP%0|D9M19nqZwFZsQJNN`{sPO#sSJ2XLr3^ z-BSLwdrzLz>?f{wudbNA&#!`C{xK+lm0mWCHh=50G0!O2D!-D&NRTnoym!CD{=8lD z&)jbO|LC(=?xf(&c~PDf;_^?yiM8~yImi3S`k+jAFKY7IsM5>kocZ<#yUk8Ur&pT3 zS{B;!eOs7Z{1(r+v%4})AKtxp2$cG^zEb<KFO&CS?qBQm&KJ}wO<ym2FLdvty9Fri zq}|=p_rdOq|2+N#?NIZ*d9Rl(&%FD^?62)yPS<<8Dp*qPhE!~?yZeP<=Jw<NPd(SY zrLsKj?ic4n(aIH|g#CJ%yx_f1_llZ}YL(e%Z7!^ueNFh@;dXGS&3$@x#cVTyds-j| zu8uUf^{AK~_u!-J>?c>(%&zmP@ZWdfwE)De$`5m^=Yf($)-~VRocWJG+sGdXTa{;( z`+C{oEqPuQZ{N%;sIM%qWQkE$c)d*a{pIzu>l~lG{pMVA50n|M`SJ_jo7W_sJ1Kl~ z-Y?aMa|<UN7tfuv{hG>*u)AAYKiGXq2Sp7ekk+<*KQbTc_b(g|*NTIJHmX$k&%Aem zhjxGKhsCJbq3TZx>t{m(OtxkFFNS{o8(vp^MQxiH!urB9K%unnWQ$^ctPRtqye#Q8 z@#eN}&vGx@!P3&)mh$_?bD)mmeJHB{3Nc6^$*J$@_5b_>o|HHb?atN*<t9+bcvt*} zrkzajhjX{KgS-;HIWJ3X&tLuzyEq}9WPX@i-*sF(XX&eD_qOG&ve=)|o;taNS^sbv zAMZc*O(vfOTaY@>yak{c;OXmGbfyFxU~p{=^JUR`XdGfU>Bba?Olhx$jP?v`eQtFf z)w&#z-EQ7DH)8FDK3T?zO`_agVri=yE*k|bPtN$Ouq~@VhA~>OD<bFuTes=G$#*u- zDc;m?_u;MW?`d~u`o?|x|LNWPdw<{mynnY=|Kpw?dUqe)-s-(B{itx&COdy~d(l~? zn{M98n&$V+a7|>K)#dBnGv!r|CvE1nNYg0p*(77yXYs_MXX{&izU{U3;^p6VmHux1 zKl!<A)jyLP3$7+?(Ouzr&S>$Wf4`cP{TzH|Zrwb6`gMm2ch0ygD(_7`?>-RyzT>({ z>hwK(YFoDdF_DkIqN4vRVXktV)joZJdw=bp?^)1jpZ4~}y=(jHXC2@0%}_BhV6WZu z?XMNesusM}l->8F^oG!S-op3sd%j)!S#6xpwd2>FMN_9wa@N*YZGXLI%G>0*B{Qe% zPx`eZ*L;2d3QxbYd;X|C%&ndFd68PB>E}=3E#((E&vnmw;wqo<<mr0O_igDG`WcRu zmKUBq6__>s`6-_2Dd+3D?(-MEkN@*6;+I_QRwJoOP0KBxf{J#W^S)_!&2xI?Pw61} z@HY>>3Ywl=Idl4N&iDW37sXvsS)UeXCUozLAl&$T&i9w*7sOp?`cyRI?CETy6Q{pi z)eM{@c%oNO!o2=lj>6g<>*khU_nEg^_{=lLwA#}-ZI4;`G>am({=fA9|J8cW9ezJ1 z{h$2&?VWS|(N|QSha6^@bGLfu!y|f!OAk%w%-{FG)%TOrJBIfOtoQB<-kX(MQxiCO z&(mq!RaEY+d-nD0qsa%Gr$i>!)W6UFy63DvXyJH%>(5w;`(CW~>;>*klP`|DqN4xA z>ccti&g*+jbnGN;JZ6d>+kW!?tIE(OanqC0sdeHN(=DF8O{(A*xR-o>PV1&CSpm1i zuBhn8_%q*|!t{PV=ld_QbHq(gc9(uPJig~adpJk_%dmIx7ZUb7E&Z8fov-Ep%=fLE z&%R?-EKl~VtnB-@=VfToopm?PfPA$4*`Al{Tgv}W2AQzhGT+LnqMak|ic0=YOD=_S zlV`s5%6q)`pFa+AN@AtyGhcVk_w#&Virp%zxk15xZ4YPBU(-XoKU9PLAN5)7fvsP~ zc3aSLvOTjtFWWDC@7nvKxC>3sd>6mj^D@jT-|KT7TXBY(w-J-2EmP6GkUb9d_ez>% zwuknAek^8sa;0VdFZPGAd*^`@&2!)N!uJ;6f4FCb=e?rGMQbZBdmf6`stBCCM|4_v z^1UlQ6|b*<{OIcYDeK(qdkTAe??2nK!ZU7drEpBXm1_m}w$HE4S9r#qt-QRSBmZuc z-989scFXoe-sh~k8TU*Mo|`R}ZjgU!>i60bPQ#nxS3k{>O}r(QaqVf@p9Jv<cPHph z;(LF5y3AtT<IReNH|&1KHnVGPKJ?SId;itXtM(tQWsjQhUM=$e+NJx9*Nbm?!kXLj zV4Fd0`Ga#AKm3X^e!b)Q7<<`#=X(e4Pq90{-t9N~Ft;+xPbcwL-nB_k759&nbIU*7 z$E<sz{Cf2Lw<iyjf0N65vR8cB`)_w;dGCAj`QG2_T2o%4`dv1|ao_HPzuu|7+AXtR z@0gl%q@CBP_Ye0;=f%}ei0r@du{qYE%z0Z>daT#X@ToGYY0?FAxH=DiJNaG;l(?Ri zr~h3$Z~K?Tch(o0YQl4Cr(fS4YpySPY{$XVR?#>7P8F@*{h)bf%EeD}pIrEIx4z?j zl-wh*yNcJmXH(m!oagm_!;^bZXXifJE&BCN`2yCTdHcTJJ#nP`<yp5Wx~CUEv_84; z<?jDN_n+FI0EdoP#Qmv(_f<bzKQj4O@Z#^q4<OTHZ_mE?)?n=~BZ#N&{>n4^Dl7kL z&Tq{#Kkv?BUj#PAy13|}eyb+fx#jI&?>-W!EuZN>)yBikp`7K(ZyT<lJ$(=Ux=o+2 z{mP~9c5D2j<GNXsf<M>F&E<T*?YE_V)}-*ywQ{i>`L98%xh8GDb0g$+tz0a)pW^!| zEF!M=du5689_e?FA9v4sa<yi@yWB(DopV4bZ|lA1jMuq8bLP(k<@2n4=NDT)j14^w z$_?G6;g5@5Z8_dAthUt8aQwV%Y1zFH@ke`3mIh5qJW+M|viu{<)upy!MH%O=-*;u( zQzi-aV{}^W_pL|DB`ZtbUxx<L>5lh)_YQ*t>D}gi(eldoQ&Sc^@tppI<HYA9o!XVM z2Y+^*{(NNKlO4KyXUlH~m8hSO#I<byw;7xbE9X^MKFp0eR0%40p1rtW<^RUFqMHTm zZJUh^AFkE5^+P%Q4|8{PgZyQBUU<F2o>ou+v$}HLjp-k*-8%{Ml+8x@hj%O4!5L%9 zrq9cAPwqMS(?;pc_h7ctwj0VG=YE+LYa14E8}-gK{_fWB`%ac!e$)B#FQ%_wKikpp zm~~$N{$#nd?2qNGIr}edVR$>AcYE!A`3u{pL_V~-x4TyB{OaE(>*ZH0ef&1hZj0cf z3f50zLigHkTk2=MtoW_{fe-AittETHH9zpF?Abd1(PM6@H~CMF{x@c*-!AmW`qZaG zecTU!G*0)O)-BPv_jTpo=AR00*1szF`_x)9_13F5UpIJH-+lRh^|4^?o#!2x=bzj^ zJ2d~fF0bObXrcSF=1x6&^y_oa-lcQ(j!p6tzdm2u>)C3*^8D!J7Y{d<?Tgwo@%sCT z&mzNTlxFSt?7H9S^ta_Vzooa`uDcy^K-?;R@1^CR_O$)9F%R%QZtdS#Ztzf7WY3DF zk7L&y_$_xR+Pc3V<PZDx2Y$yL+P%5$^P*{e<*iKb1rE*DuL$%${(A${`+!5y#nSTe zS1x^A7y3Zft-}2LQ;-R@L5<(F4&63t|J=m(IpN9C&ua^}{j<xh+~{`bdy1!<Lo$nz zWxm$UQ_l^Jc{I)>$MJpN@wtD)l+4xL^>SRx7pFBxKCrr>@IUg|=k?n^JQmop;Pb`M zHtDJojU%4}o30+4^>Vt;H}_@hOIO;y*Sr0&u<YO7*P8Ec&+ff<e$8pwSbN4fQzBK5 zYi#DV*!QTzd7J&oZT~Yo-bVBP4r>DybswutEe*ciInp1#YUXnHx`TyBYvw0)B<|1K z^!V0Yo3?%VhT1v--4Ax}vD<d++Tv*fuLZZv){%8r*y(qv(C5&-q<=ncx0}9yU1opw z^_JJat2X`ha$a5<|Nie1ySy3aUMpw4tT27|y0+oNyfu$NfpK-m`f!(u(=4FCG=2A4 zRQO)#<sUzq*k(5Enc;l0);2mp=~wRA^A&r$57qAdE;wH_v}k@Ko89Ek(~iI0v$Xu+ z&YivXFHc{(5ual>`CUrqlRx^C-`36Fzcpi({TB6v$8Ud5|E}}>r&06W`)hVPTfN_~ z_)u9bml+q|k3B2}dtP)oZ?V6*?Y>CR?`z%rR3AE?T2t`vtD})s>eD|K%V$+qeG#`_ zH>3K)zmgm4U!L2)=)R)2#;?%a-=%+;&TDVK@he6osrKAVLtlqvV<zeOk9RV?x7Ig# zow8Z-nP$NIKaZyGjhlSxW}Lt3@ubs+&NG?U=^v`NV)1CgV}|GQ7xtb0-)%SN&D>kr zmFpI&Sw8&ic!0D1o}tVAA7M)@ZJIv3n{xt`(J$-d?^TYwJSX<kCpV#c?tGxekNz)* z$Nv|_J6B|TS?XtO*#GqBnX=i_Qzf4)-5*@oG3otD4wn)ZsqZtJ9L3h|nIaQasc9MW zd})sS>m{f6{1I5$zcjg`*2T9d>)BI{L(%rLz~#i}O)Vet@*jb!(=Ux~aeZogTvg|Y zZ&|SCPU`1PYR!98^!>F0KN(0ia`ZVQn|!(?jB2cmGgrhvjyU;R-#7Ya_sDoxWVaQ^ z#S8D5RcYDx!OW}(#D2ltyGO>qBD%{G)C$~r{n^t?JfA)#y{~rNFiXwDP`+`}vWzE7 zpIkWQee$7|e(LWC_1I@mS%TzeuX_e<{w;0U?(qTKxGYk7m|NEfD(=K<7fhUfUFcq0 zBE-4rpEubx@9C-R^xY-CSTLf*0JBM%7$k4b4>NNzNB-%l;PQ3m^vRt0uPV>MTC5_6 zc2@~JXVulvm(GpLJEfrixbDBvyT9FmGW(Ll<t&AI(ZhC**Q?~%`Z)Q($#Z5^M!Ho< z{{l4w*Qdoz6Ta8G+fqMk64<e#E!(&0gU#i?v8P546t@5SzG{ij7x@0~=_9+H5zqFj zFPb<Vt(`5e$X;>v=^nd9YL=#-KUp2xy-WN#s3G($RrTTB-OZp#yvQz9S!w%F_wC6_ zNA6Rn51Z-pr%jk%xW8WV`@h(nZ%-b*H=W<-1{w?6Geu_I=b&x<rI%9U{FJ|LG?;(g z{ff%+w74+Ad$(*rA#nA~>6b0vKbV2o;PyMuq1pF)KR1a@nSMMcZr)i1{oT)!9`0dy zw&VMIrZ%PL^R2$*n0yK<%6Pi;Nx|Idn`+lg*8BX4El9q9+q|Zt8(nVh-~{IbZO+ZA ztW<vZweG-YcGHvHb{9^Zp3RYe`Su<D1*}g~H=jMtSbMAVga5AI70*^~jC*u%f5dy6 zi#sFdR&Ugen!g_{Memt;<@nrmXo^lXeRx(Jl!(vnnd4f~Zg=pr5Y&Qbj`z>Zcgj0( zpE_-9rhhzr!t}~DOh@0h@A$4?SFKaqA7qOe=9@ih*VH#dgXW%B#q3$2NDMdDe=czE zQ>l%9;N(4^L_Np5;<ewWkD!!dD=bv-G^>oQ^5w7p_m{43d#F+PKHg%n*xB&AaRL|# zW>4>x<Fg(^<8)KohrGI8P&}G`E|Pl~`$gisH>k_zpsfE~_};4Ini@y$Q{F%7nI=s4 zHa>AWBkWj<mSkg}a={KPNjxw}zB}$IG~384?<rjm+B>yAEv|0P2fvt;@KEs=xOZs( z2e8w*bK>fhoA>yX$8S#Fdg`oh;rsZ!cT>9;>q%gy^caU%$*1Q-!~Ch!p=ec58+}&g z&W;b~RzI)og!cK=Ip1HjFS2hCn=+j_CoWI4@~3^c-GiPs9{5D|?D`3zsj|oNAG`}n z-0XFB>A%dGX)*r-GcH||%)GNHYSFA|zmhl3Z1IZdI^uiyD9diwZ5?6KQQSd0XO$i7 z+9qFM=xpvR?o_N?x^dIBOOa_=T*}dDrxt!bRC(?F_m48R(x-phexJM7cy;yr;vMgw z|1F$<UgwRbNZg+ppB_zbs#5*(lXYvPzVXawoBhJW&-Bj|UA(^XGIwk8#mAx-zrV|F zl7Gc9b&+{`-_ncOeUELA$rLB`nfc4?;mhwl9$%GpT>kbW&DL47`aADRR(zTNPC};J zpwE7S;q%tOSKnTH`Oo3oAyVtBdF5JN%m=Yfammm7tbc9p+kRR&-z4PEinV(~KCIJ| z4Se0*Q(4Hjt>V$t*SkYLgz>%j{J_Y(@!H(WedpiDU;O@V^}=ld9Rb|G&sj{|UGQdt z?EX)!|9xs7-d5PpU0dgv7j<fh)wy%+`ZEuonttZt?ddjlRhO3QPw%XIQyKAH+34Ap zFR8m9$X0rMR!WZZj@J|4Gke{&eJ;oLSg?!j`_uB^?XC6KucvzMn<M+XNTpfd-}~jV zfH=O|x`l6or&WHLH=94+sC}yb%){IDD{H<i@sF<y`jY&+d6)b|!{tl$!#VDqVxA=~ z`8n#>r{JdY>!P=&pO_*3{J^2<(>d>Lv#qL)o%ZDP&W-xn=W2e=*U#Q05-J)m{OixE zitJ;(b`_3xH78}l_NDOM-}mI>#mRQHJA=L?U#^9ktuMYO_Ip-b%9-q!r}++67qos; zHF|c`a>IwG%USau=k496=l$vF&yD)`ryQJqajB!d)BN}gvyV^Sts%cpM*zjiaf-h- z=1qThd}GhI)0d`)bKTq4^-T73$Ms9o=L_y}*T1??=5z<x(W_bBzu*HKoLc<m^!D@* zsj}rk3Fn>X$0ywWI_dJv-3s#iQuwsvjoS0$KmB;&Ie*_CuP=`S=D%}KIg|PFwBNzo z9(zvAxPEE+YS#B>ytn92G}J%W*s8x?Y!CD8uayOnlk}e--xo9I;B@`SfXNS^a6QRj zou+dJJ?iYWe{J033yHd?rcLD~tDm_Z+cRxnjB*A4_RM&t<hVJ^-0|x~_t>UF+?xI7 z^wvw$vnO}!zx|#zdHuS5;m-5pzrH>3-~Y~D4Gb5DeMx@)78=I(>J{vHmmzLeJs7<| z;J1+E=SMOTKR$hK+P*h@{rc0BYIbUTdn)$w^psgb`SXihOZUtC`m?IK{FwXw{TAqE z|7rTsus!GE-;N$j-P)agAHLmLR5{Ub{Zjq?8Wqp=F2GXV9={J}xz}F5-lP(**I8Rx z&KBQRSD*Cav-JGJRli>yKK<#T_p=S3REvLnQ|<4c_vPhj{mwd_dux6RN)|``+T{IV z+x;aFW3O}HyVi{eX7N3x(qDh=(m6T(baFgj>W9=9-__gf_P;WF@Z*Ja*t5ga&m=ze ze)i$5x17A~%gfWHUF`ertbmyMiS6KR7f3jmbKUE!gs0<pu|3YxU%+u^ofL1UUOV&C z`{|~SSFc&M+v>~D(7SDOSPEXRs`)X=a!t)inSHe<A1_v~{q;$Ek-YZxmvt`3=1kl7 zXVrtX%8+!Nckp)YJa{_3&XQj_*Nz{Qj$hrFZk#sfM6B!5zxTXLGB5OBcrO0s*~KSb z*%*P*yGVZbx~q^duAKZpHfl8_F!IIr)IQIEC6Bt;4`u5vg2Q-PQv5#4W_{=V_J&`6 zP7G?QyR}^pBj(y(9N*@5xBtY9%a^9xHf^t&3Q2Q!R4Uk|x55&#IrqJ3p^!}db)&xh zgoD!^#os)6(iGMBkoV^Y)#8YslPqg~Pde`I|Le<B-9_@!)+P2z$$sAPd4hZ9PS1vf zK|a^LwVUCQwqLcPTN@H-cQ@+mpMG$9%l_rOOEaA3$7}qak}CIA`ZIcJ$nUO`iCqVc zx3Gh^ogneHx2gQSG~C>L;XTTyA@OE@bl)DwUq(;A*B-f@zH-f~-D<Fi`}_<e;>tcU z%Kuma&Cu^T?kRl)7n}DKEBMbM5^(T`FtOL4A8b;I|8{KO9ktq-mx34GpD*$2PtZ2g z6Vt7$Fx>pUtM1Lu4Nzk@J&@fQ0V$62ME6|wyAI13cho9&i@p3>8aYXS`>}m86ZZN1 z{Au*%x3Kg4_z6iqGehz%(c^88_m||~?a)xJ^ZyWLw*rzH_Ul)qU(bT2hJOwpw&`90 zr-pAw_tm)lGFsZ46%@z+^y!7?;-~CVXC8m>4LvA|cE32j>^m$CFMRN}YCR-Pyb<2> zxj!>5<xF>R!o$<@ocE5g&H~jScSL^|y~_4~vab75-FwwtCNJDyeCDqDTv25G`Qd4% zLX^bO?`nT-ulM)I5pKUzU!I=Nac^6B*1e5A6}q)QgAeZhvjS8;gNtNqmiI5LO+e+) zP5IwNVX&eid1=d^ns@Io+`Rn7@rB!=ZeGK2&+QSo<h-L=aov9Pb#Z9fmUqy)pz#?f zl)oR_w<qA2(bN0-&hM_D+}XO7$@|+A^m4*p_-{nrYG~Y5v%G&%39a#-H<h1^H`RyM zN_huw7q0`G%AOow=km*Fsnz9w^UR)pT2*ZcOK{)+p}Y7~+{OO6#n1@6r(VH+?jj^P z|83g-WeX_4!S!_OgIxRQ&!D1>JvlyZ^1<nk-uf>(`RTX2^ZfX_@VZAQfAM3u`+GNk z#pxVqp58CA$F>*Z?xOw&Z)<}<=?_$o*eO)ZK6hyks1Pc6bNZ}gjpVPtoeR(BKUzEG ze!0yb7xX&%=Yki<AL&3%Wp3KO*AZlrcl<liJ%9T_Ssa`yYMZvd>jkF@8<F3eCLNp( z$sV7ww|A;%S7@Op<3Ceh9G`goHKe?}qhB$*1Jb5YJZSx=BQ74A)Y@6!2Lyrxp*=a? zZrZ`=ro!>rJFAXgcs_mgQM;oCYuhmj%lPiPHFH)%%L>7R(e9AIZEo7W!GAq0=JHwc zcgBIubx)3$JNe-Bi%SdS7oOLDadz>^ssARTw}9jX{@(bS1r34yqI;azUxJw0&vmcu zH#|KgH*Nns6&wUQF6Od+{kxv^T%UU)_U)3t^D@8{lYNla1^?gw=AxT#r}t~)TN9}H z&Q05GLLnh6C$i`4_Y7FRQ)kIPEpirAhx&EbTB<keH|yOvd6IQ2)8X^a(Npk#;lDS2 z{Mo#Yum9l%<zzqa_<wO9%Kn8zjQ!{M;oO~tf15##P@S5eOCIR{a|c)ak@CMk{gtel z`K?&}T+G)0_FsO6{{Gj~zJK2{(^sE<Ufi7Y#Z>>-X_4=i1=Y1&-=b@nxLbov*ib70 z`J?Z8?3P2r-@j>lYX!JH(9Zh)=WTekGGAzqvOA=#PCvFUW+EtcFIMAtFZk=vq1zk3 zNSgYeM{gtj*RgxRTz?%>3;q;87_9=ST$Z!GU$hBc!5TMh|72b(7c=e2>9!lwucyOW z1SPv$rk9+#u<wt2`g}RI#faX>ocf&DRLSH1$E%`KH*n>=6^NK9FKe-+*z35&g@qUV z3PTdhc5#Z{u$rM3>SL93!L3(TDp~Q^xfL5b?Y(+tNgmbv*CCv|>j%3Z@5?`enJSkQ zweGs?Qj9pidv5-BoBMOT{a04cFOI98?DYQcz3ThtKhN8K-~ZCvb*5d5ZvDtU+oUn8 zCtvjA_jj-BYi6t8U$4IJa_O^|pB83#*PJh0WBhD~&BKiEM-KkpU=&$@`ebbVE1~n< zTH5nP!k5>-nPAN}+3?s#X`^R*%>3?t-E~}eIrF>D{m)#NUYhYhwi=Y(?@2$pZgnrt zf6vFDlWRk)G%Ct@WWs)fJ0JUAbcVlsAKbM4yM9%D>@&8E-;Q55{+!plzdZi*szn-Z zy=>yL=VL8OPxRS-K2rZ|{tvSg@15rBpXd%tThX|A>+-0_&m$2{px59g(E3I4*6yW{ z`rl5sqFwGfB$w0+@7cX?L2V~Ay_Fxl{ZD=Gem(EcsmYH{$9u%zn;z#h^(8<1=ZqJh zS&J7M@of742dUJA7%sm^es&!!T}d9iUF`r#%zfPVZ295Uo}ADgUgfI#*lEvBhj!}E zpRiBovink_{`OA=FFv;x%{4k(=xJ9YDG2K<)Pnjqa$<iYzDnQi50;G8_m2M;{9)Vw zsLy_>N3vg@PG^1J=${?$mwE(T#?^D)>x_T-w={B={%qm+Fr9h-zHj^(+`O;VF8+de ze_M7AQp<i1xKlP?_^-t$Z)naIZra`m$qoG+_mt<u8y7ZO746?&etxjYBz~LPzL;4D zr-yhJmp?C4*lvB{;uNI90_<En{a=M2o<p1S|8*<W<)HP4KF7Vk@)uz(i<-a>-~Ri& zUw`^i&CO{)KE2}Er}O=LLs9fBV;1h(eUf?Z3+LY}_eCn}zk>Q>Kjkm>-?hI6$z_(B z747xVhWFxNzmQ`U+hG|kwe`W<KMU{0Pha}8DEZN8U&$Ixz5AWLoG*4?c+UMI$k+PR zz1K)hqV?cLedQwgXgR3am0b_s{@(|x?WXOUqhFD22Tvc7niaG6E&qN!HFMt^kDAJS zw)n2?^S7<<`q6UXx%MN|%AIE(n<KUC<G?L@)sM_^zh$A}9NM(~^KD4Yw<+p_*}b*! zq{nqI`l~KD={?<cWcpuF)%RT3dH(ySyOwvXU%g)ysh0W$>g?4leQ|ta{tHMgWfS_r zOi<tG+14*lnGW7AYlnr!)6AywC)vMjcIcd)9^0uef9}EQQ%Yjbd1g4z&u5Dc{IPsn z?kPlR21ytG;(sl^h(g`V&6+O?X>?xWxVP>syeG0=XiszewdV&@Gxyz@_~X-d$(l&5 zn(lpH7#5!Ye(7@i)Ngm@p(l=cioZ6NPlvW#j9K4%?1u!gjrWIdx$p!Rqf)Wkemx|J zJ!>j8n)M$qXRGC|-M2trj(7X-b?BL|Xyc3H-`Jr|mTO%14%(gV?y1zRja08FUk`7~ z#wb-p+pPwhdS~X3qQpP_*}LvsJ$L81){2_v@5J)h-F73@){rEz+11{x#tYi>UCo;R z{VycmKFJ*1y*U)#H;p+My*n6^mZ$$Hs$`3Adw21|bL}}_(imelE2GDnjsKU&M~*|C zt0%fg_Xxzb`W5m~t6^!Oa^C~l*B2pyJM~9VEL(irzn@1gJfGcK$MyZvvwn;uwqEeB z#h*lIl!<fPi-NQ?_XK=+7Y$Egl@lMx?h2pJ4$2n_AD>?1*(Z`8zvJH-CYfI~k>3=i zJTIv=LU-<-h(8VA>pdWWklOq}cDFmEXwwtk!yXPV+TxTds_j>RgSSTG<J05DKa9Sd zS8ub6*U&p-bo@X%M%a40+L!H%hZy@boAv#L-zJdMTXZnGb|yUarZ#P_-3`gf<&RD` z^6Xo4CMblp&hN!%(I1!2NYws+j$!ik&bpjitDtp!(ZSohT_FxO<GPnBo(=1QtP|M7 zTmA}MT7FmA_s5ehep=d{sH0VmFFuRD&N?&coA=KTsV=bLAV~33b+JEm`^&mHr(RS= z{wiAfAa{Q#v?w;`xOZ*ot?9v%AHky)y-npwn<1{we{}kO`iIm%R~F<iJTLy`=;DV` z`)8qNj5x(#g-c=~fss1-L9PO%^$^-r9z7Qx6jPhZE1E&w^UqKB9hojHS>rj?q`W+j zy=XVH?0t-~J-oY4Cg(DwsH~j&VD1i2NGPlm+;cV^-Yt*Qt@wTYH6#?&_t~s|SMiQ( z!=rs)q<H>*?p%0&dR^$@nl0~l|3q}AuY)_&ri<iv!^RW#gni)K4jmXvJ9xWr72L&9 z2XEh81Wpq+6MhuA!V)p}<(`+pZ|_dV2nF?D8=qQ1({R4fp1;|k#_QHEPiM2{pRalA z4Q+P6X3Y<V2Cm7+r&TXcf8pvna(jBE+eUf5+I>m!b7x-c|8IhkjvfCrT#s7{Nfw)2 zKa{Pz2C4toHkChc1(g_ud^$Bd{XT@nuB-&r(9NCtpb@th^Zj{h_sx`Ce&hT)d8A=Y zNJ)8L=~rRSQfP^`o$H<&G}{G!cvtK99@e10&GP;P*V)&bOyc|0_ubK~jeK@qU%NYY z;pI#1cK5eP3tSMsC3hCR5We1BCldu5R!}<_?Fw;jZd3Ue$-VneK!!E*#rHH%&x)JA z^yep!N2k|&$4`5CHfZK?=Sqt&Kb>~^MLv5`se>L8HSI5s-|L1JK=*Vi-1V+NjNZ<1 z?}a8jBpg4K#V-Jd#0sk}u_LTsJlNuoW%2$J`&Hu@ZzGlWT^^$fE$^z!vG<22<J}Kr zZJ<s1Jdr(L>p{T{?n1v8+N1kC1LWpSRv(`}Mo!0z{gHZ&kh=4|*xwrgt0B==H2J~X zxHXUg1#|9u%JmoaK*Qm^;GV7BCZOg-<m4ZpSYMtts;`s$1*$#uw-;G+CYbsobwVHp zZ||y`69F4;GlLJ?$Tn?%X9y49_o91}muG_As`v5fB-P`^;jvHm2!c(1uacp;J^yA6 z@~|VgUfvV?<#EAQXri!Y$=8KcpZ7E?!fjW5hxYo5x*y1DK}@#$_*7J~M)RKCc3Vs7 z4HurzK6_8(?bcX#jPPCih<(p~CusOCe<0fpEi%mx?k)rm;D9>N_f#vY)sN4WQ@>cO z=l%KVrX$nMK^+ZHGPax3`oH}@zYF)(8EZf8{W0I8@7j_2ooD~b?6|*h%5U4Qs)uLZ zt%wi&a%6ofY*ZQIq3AEk&p$&Ob=$e`EnGb7`~C>8e|>W?x+?mI@AVmMcH@28ZrnSm zq)kFgrR-mfZ^>N4BWf#R15O^$ncK9^!u>Q$nBxv+Nil)<4VKyl)@Boo)bBbhTu{{h zX>oAG>V}XxCBif2X6!m*|6$*kn%&QTzMJ#3r}w+%_ocqOwmz@E{r&Tv=X>w_yH#7h ze{tt^zU|JL!tyTl=d}OFM%U?|Iq@`3d%tYxzZ-kyA8wzKKlA*2dBdGst=PZqdK!Ot z*NGY5zkFhrd9ORKLbf9OXKj?;{Qqqaa_^citB8H3x8;uY-ajv5-q}CxzgzA6^RiLa zy1y-*cTx>!Kh%4^D(=7W9ebzw`gg3$AEY#2-R1sly8NSg)8(s+=TE<^;u-!^_*q2A zzGoJ8$!7|)j$C}z+5DZ+cIS(;vGtoyEql6Z)jrK%8$X7E3b^9DE&krNg{SP|l`6RZ zgR;i;OVi&rm46L`7jxV!`G4c~?$_)7{B+KV>8pc&7{%LJEADT#i$C%GUBk_^w8PUa zA3=wuA<dQi&bl>qk>4MuxP3o;X}ULS{%h}9<wnYJ-tl$OAM$Q4skNLb2%Z3#&Ux?S z-KzRnvFE3kotQ2i^kdVnh9IujPA@*UmTu>>^F3U66TQH1T_oRL_6pL}d?T>uE2PnK zQ?sJo9MJ|)sqof+@%h0fi}-W>HIZHqPdA%i39;JBeDh{w>*h^}aZ`wMpDvQGo)4{| ze##!S{<j9w8r&1{;oI8Fu%5ymmk(m|FaCbL$s&H6%f34^4^5wJ&vU|0S1xeUh3D3b zW>5W?f33F23^8*9uBt0nzBt||3r(@%9QQ6=hP2!^d4J$rcNJ##pLGv%tG(W@KmDj? zr^2VFf$Dxw*0D|Av#@Ta;^W$%ZXcZHzwdqHxwP)=0ZohmNnIpAd;S$@{krpkuH8aN zxwK!s;&>OlksQu(@7VFL|90t|o6fBqzfZkY|LFGhSEOt;%3pkzE%|Za(8kLc;i7$1 zcu(1EXz|hXAXghSwwe9%^wg&9&#d6dV>L_uS5RM4?D^@V6ZP%2YAZc!D);IBD>4Xo zp07VcdTP>yUHvJDkrzmz2Wl0_UVymzXDxhw=sL%}w$<?Qk8sX=3)w;AA9M6?E63|i z*yr<N@v4^B3uE|d_XWHQo@E(jH<K4J4Oa{5rp9Ui+E@=8l$7VVmj$T^--zweoepnv z#i>_R{{~Gw&C$13j@O&K&*#VYR6F0m&=1K@^Vc`!pH*C3e)fDDeCiNV$F3Lu8*yXF zZ}4o(C$@vuCH;_e@@L_L-2D;oR=C^2+ZRC{v%-CEx@szGx#HXY{W@~txpm6RkPqTt zYW1WMQ)S>n?a#y)$FKE5lSp^d_VQ+ku`#+8yQjmaweG1@%zl3Xocz}H)Kr#p#fKHt z`Yqglv@GFCH%6j3yGZ_ZK6DhQvirf?9lr2JSNC*yqw8P9hims%eZQVsxbID0&CeNM zQk}M|?LDXR@S$kA<(HpY@7iS83eMidFg@yG|Kn_Ez5UPSgPAb6!JOLvAa*Ar7?wAc ze@UyAixGQ%`szge^5q{=U#thUU=CmKKU$l4c~K7fxJcN={-x5;aglp!71^M9;izAq z$`0=SCj(DyrA^!avq4OqcVha_$!bO6J)Zy13EkiK=$A2X!HdsJZ#D<WU9PJ`&xk*b zKeFh@LF?~L;UD_eK?f&av%cSkn09$Du%|Wq3pk&=R*v7N->UCiUz_yebL*o+CdFTL z&Z4IY^NzY4ZD`N;jz-1r_0T3vIoG||ba;VuPqiX?JtXqJx$evHdU$%3iEHS=e$Lu` zT>DJhd+c`DV5EwjFODD60~OJiFHJA!zITWV)F9HWy{TTYeEvds_pJZH+WjGrf<yJw z)9|1lM)fDwhDOE<{HmE+YR)(L+oqf!M(!xRhn=2ZlE1p{l2<q06+dm?pVkMtZ?Ei` zEcr3&SJCDNb8Y>97a1voXHx$Kedvn^b)^dT$#m50w1I_%?6O9!SEr@dok9<c_o9C- zN*bXBM%}?^e@JsKn&thUo$!(<nl(SO(~cihooqWX{iw*kH7gFyX{z;pf8fwNj5J|) zv43woEFJHEAp3t7Byaqg`e5zv4e<8;de;2HjrZcGAN^Tm@abvV%hN6Y<>M~BKid{` zX5o49Q?*{k?&XH)Azb6|CHZ(bG{M<vRCqs!_8k}x-Y%I1H`}>s`&$`E^;huZ^xI3* zIa@`3y%u(!um5lxTV-WJE=Ee*@Z$J0edw6|pWX+$b<iHqzpxK_Hm>k;qP%JQM;(a4 z9#2l&YSw7(tDPV#{j0|D-j<ey$F8NKmzn(?>J@Lrq4{naOaATW&~Ay@!P}*X!QI78 z+kg2$%w2b4`tg0$O66ZCf4Q#;Nyw@zR8O2PEypl?zxZE^sy1lc*~4duYJ5I?J97zE zPu0YH;HwRr&khP`olj45UY>55A0NhgbItE|uG)QnC%63%JpBwK5;-sS|NRVgww-3h zYDmT|3i!Y$vmBO?cgBABR_6sVIsVCMfA4s;E17l=t2CxN&)1*wdrDg0y5E~0!l%C> z6}Np?oz5BPjPFnNgVtX-A$jEIln1giSHg_`x#hvzA3MOQ!>HUx&wO!=!}DdZ(#T{U z=TpO4{&e)Pwsx`Kb`CmWZ>dsIEe#Fo4G+%BE{2<&e(?4mMMzM8IWb*3=!el1y}7fL z|Hw*InxH4QKiw~m|Kf+XTx#4tl$n60EV99)ryoq<1>AF%{I@G1O`=&Rre_EJFnamD z!720ph3DK)?Uv45oK}sI-oh{TKYkC*BtQ2&$W?=M+652peqUcz4_#~VU#-IZwJE5D zQCaik^jggtNk}5@O?RsFxm<u@Xw!@1m#U$e<6rEDYeL{M{;A)=>Ob{g|0zM%TKseV z@a=kL-1MVAH|c$P%J=eg%l&@Q`*u^JLW^7M=1ZA<@V_-5qZ*AD@&EA16I$Q7v%Y`P z3271)O@A<VGk8n~)O&a*wx?Ct1ms>z>rYQtUz*MtD+O`!!)<JpJD(rLNM`dF$=|*Q z?N@(NJ$U=SA2c;=dJt={suCIw@q&BSzQ3?XulsYV^OMuFB87KqpI<WnaVT;kzW6{J zqt27N*xw7QQGe<mjGh5)W*ukAf8GHqlt9ywceE>B_g@7W{&dcX>GB>APuDy!tyX#d z+ukWCgk>7?S(`awPeqT%N8M&hW-`(^yzu>ubshE^3eyZ*l%{?<%orSV(Kpjb;7o}? zjE?cFeOA8O=T5i<73lGmEaaUzvGwS$<0?YW75XHO<lHqmU7)r)T1-_sz})fMoNt!f zpU>Gd{f^z9@0Q!k-}~zAeY^9$?fmC9=l|BtuYO-`I$2Y1m8gZ~q^0pGb*oKY&k>w? zCUP^6;q47#6`Bi=pFXJHl)Uz*aDh(Pvl&^IQZ<DgR%bT+ynbx9{fkGFd?Ih|_wo(e z9Wq6}J+VNno<FInc-~FMU$5=_=P=AM&h}ik=g<9??F)N8vl$-TeW6CIBHHHTtdKHI zeS61>@;{v*;qJ!|B>nen*{9B#@2~m1RidX~RG;^4?tRY9yxDIz_#9i1HqGZvx3hsn z%HHa4|AQ~?pLuHSmhJje=Zalg@#^x)58fviKAk@4@b>sqe^yMk`};}c;_-F<_Dq&M ze}s}J1z*;$=E$G=;OLAeSHGO@=X`(KedqrKpHGi0E_^usU*KNz@}CtA5<UDcr+2T3 zU$?mKXFvys=>M2C2NYI1B)`;fTUA=CX}RT3P|=TX-ZSM(FD;M%H~mF&HUD+@9#22t z{eN0Me4F!VkHq9Xv+62meaN#t^H(T&lKAn)w)lC9dv5!G`sJiEKYrbYx}O?fy_cE% z-QN;h{_VZtj_sH3?K^nantAbkD;vo=G#l!?zHEGH0ky%#yMn*&NTs1#rRiUzV#oiM zyS|scn=UbVj|HE}pCXQjvELQf>mT{_^QqSB>ARJFs8(BCj-PcUXX)PBl`mIt@K%<V z82t$<%J{kT$%nVojqG17@!zkb_$%Un`MQ3I$#Z7aMS4|iuRj1W{}a=p>J<C$-aVdi zGVD@yk!}^)yDDoHr=8cAUK{_e|H1T>UBZqV+3xFQ=HGwtTCn`l<HwU9PM_p_T3@w2 zfB%%9f$FutQY|kYKefN8_Q;GUSH7I)I<)(p?YVBlldE4&cjkQm(GTHtiHB<~j=kpI zSW~z2-mj;-1^-Nvxfgpv=+DV5+w~7F)whT;o6Q4SzgnkhS@V18nSQI6m-X8x3-9?Y z3w8NVNzh(WaKIF?Je2+F4LAGK=9cZR`)h0*bmqtBZK?ZN$g*EYd&er(*A1EZ@tao3 z>YwdemGWchlMA1`Pd>b@pIUdtbADZ=;>F|Vw(qn{@+r#t_0;1~v|<IsY>|g+?;VCm zYoFSlxA`AGGJxED^VicG-oG9#NJ_k4t=S*`=t}>*Z{Jpm-%xgVHw)8z!HdVI9gdMV zRI@bwTg3D5?JwEqkW_hI@Sf=T;{8WvJULpp;NkRS&iu>Ld-e&Oem>pu_4LciKT>bq z_xGv(ZF6gC)vCS57$N@DVo^Wu`eJB^dmXy{PZ}EHk2&8Tn_mPsc)t3cuj@a8Q{=X& z{V{R}^{={a;0RdbaD+={_GFB}Ru`yUbL;$9L2xG0SKH&ez96p0GtPJaAEt+~SB}9$ z#7||<)cw!Cwno_PzmxU%(>#tk$+G!oi&|9cwr|<4|4}x;;Xq(1W;PXC)NiX_2z9kL zXZ~Jj9@Tjm`(Ff}27kI9y8Tz%PEKLkdHwQ;{WUgC@yq`HJ+fu{`i8P8Kb)`X`L@M- z@#*fLBD3#r&@=bBUtUh1++inIGx4?ZF_q=Z;_Es;gmFQfy{G5Hwrz;em@a(pocNE5 z29UEe{}z?A?DzToyE8LC{*mvxh6d?;KMaH8)qd^R+YB{0Oz_^jGH5tY=FC5S_>R7z zT4kY3#*e3>E!$Jg!I?6({p;!LN<UOBYZ#~MTDE&t|DF{5@`q>Hy=fSsy?laj&0Rfc z(yml}`1a08Xr|OURQ-Yxk+!oA-Tq)47vJ&p^Xa|U^!r^uoZj>!)z8%V*6rm{G3QVA zo2VVuS8bmb@5Oh&PV@6(zh7TYXLs0L`_lq-xS#4CUH@W8kgQSLBY)>OA|{phgj!dC zGkpBEx=OXC_-WPGZ0^6jbkFYo*O0T@?Ii1F3d%$w=g8&4wQFu1`)b(H`R~$~(~>RQ zA0D4$-oY8?yMNEL4}5#4|4tPUh7?t558vKA1<vn3SA9LrIsMyF$H#~Esn7cMUHI}n zyU_oi=Ip6*#we@y^u0*FE)2~zo7z5vaY9@^$F)NJ{!zHg>smj^)y(N<2Zf6BHT`U* zA4}6ude>Urzpwx4@8A0yDyOF%kIR_sA7A;}cf-vJ`|nq^&)z>a<EwLVvD`WF3}>FV z9J`#Jt>669zSDgF$xGWEZWpELo}4nhe(DSN)5$UR24csouiTl_xiPMG!?XBP*6kn4 zY)@4hiXF2KYy4gXTGI_;dp4HqJ<R>ZxL*Irg8dV}x7=(K{lkB5{>o|MR(frp+4-Vl zWVAMXcU)Kg^_j$l_|uPN;~Vci^;tLRjKS_NFVE!|opZB0b6oOB!km-;^S{q7yBFmD zT$g`lv-tEQVF$kd<uG2dSMS)Sy?Rf!e4Mo1BK~Vo+O#K1zi;zc$IIS7^YyouaC_~u zW7hFJU!tGIXMEQ0HQ&FMY5xDKlY|$%W_%|t!TmUP=>hBc;2>-TZL`|j_`$4p{&n%> z#67b`D#QiuHP5!xKX#~MwrIs`j{Kz$jz&nl=NGNWSK0G=Rz<C$*s<SRnBF@bx?O4i z93+*;danvpN-BbPX1!jUz4qU`&3hE49DTj^fL@n0=URs{?)k@c%;p&MS6biutT*HM z6Q6aL9$1O!xu2Ck!}R^&vx%QKR0?0`?RdId?(g2ca<Q)K-GB2%{xMHtowOqQgvr@+ z`>p3adHjv*^0w}?RomZ|-;lQ#-gq;xjQiNyGxqmuq&}G+oo%1cvhC>^uIDF{isqT* zJb2D|>`;Y#@Pk;Virf27S1PhSH~q9|zn^h#>#w}U&%gdZSi9^_{|}4xn@ewq=kI5I zY?J<p_vO{}&52?X`Q0A>OYa~4&7btM<frWF)9e}lzshb(_`hr3d&gvUk4Lle8#0^j z*53clDRg|p#XDb~8yDP|8FoH<`^Un6w!+fc*TQY?^0QBy6RGOH^`~^fo`;>z&*mq8 zE<ZZue&53cjl*u|))dUsSAG8T=GQ+q%MCYIKN4S~{p)0mGtb{&#nIOnrb*wrmw4^q zmJ?;V<{vJst8dxDy+!ht`uxXx^1uGnxcZ#w_v+PkykfO{eo7i27Q|1>ZBkocCx3e) zPwh0BeP1J=UBB{tc8A^ie`eRyrKEYB1z*oHtDW|?=<4(OsM@;uuZkP3uZ8Ys>$cnd z%fIpTnktJq<<Fzkzn0xDE&DgOdfMyXQqGp=)+~K?n#mx&VdFz9yK@&4%u0&V+)pxI z|9imjlyLi*`n_lE4VV4dw#+dzQ8_(qMtyzni|Ws^G50giyqWid^>x=TyYm9h`}s=d zRh@eEpmPzQ)%TsN`8G|yYFTY$*I~6|{nHn-9a^kz{Hif32`Kt;&2eUYF#B{t*_^1O zr4x=#GTd~|x3SbVUiJ*n`4qPqA*na*>f6>db{^1Yjfm-gzDj@HiFI=_FBxCIwe_8h z9j9IN_wJjEAF984aIG)p#eydv);1gMU&g1WZnfi~*t%vDw!bsJu)eO^XJv8c)q@>5 zHC8WnPuutP%=WnBk>~FeM^~lFzK?!a(fE4CwPMwifw^4|KJT1#W_{)TBG!u=zoo_; z&(Jwn7_=feIX3Y4rB5-Q+fIMo_f%r_`OuqRUKB4p<`>i-Tw}jtgRS$EbsO0EENA80 zf3KB&YGu!*8SeP6X1gudK6#UD`T6BP>g+w9#b^9@P`y{`J^NiBzlL+IcitO{9b5ge z?t*U1cBc<XIz8c!|1Ox?vi+f3TzpUK$E-c_CE5>j*FWAP!TtDZh5ZZZmhI_`piH;^ z*l$PPmhEvcJ)te-zj^oUgDNvSblZ0RXD6O{)0On|A&YjvCz<A1c1Y3uKwHfbAA z&Y$wVd7t08!&i%cR&p3x@IU=7qkiM{#mJjK*15+%U*4Q5_xAU%L!T$~Psuw~99^|v z>(BOB?|F^<4~i!{v;X+H>DUpIeeTMZHTLEcf11vpeaEk4QJUFxPO0uIA5U2)#umms z{AD}q^cp_BbH|o9@B4Ztbb8F!TVIP$IcuoYRw}c6*m-i|{UX`RRe4I5t9Tcmf39Y< z|5@?md?DG}29sY)^g7zB)gIinsBh`hot-(;Ul&haz1Hsjyk7tLwf%zOdrSV#$^KpQ zdzyCol=F8}#cr%$yLRn&^>e}Ia?gIPGxeV;v+wyzqy5ipzDB#;pFiu=*SW_QecAoZ zE+YI&`Sr@I-m3@Sr%Ybnysz}o_dnOle?OAhTz!V=c>e9p%X#Y-@#WQNOF|BpJF|Xe zbGd+Q&dful6OJvApS&+2_Ix<|^Jv)`1L^sEyUuNnDLok)A7eS$F0AH5YO(5v`Bo?X zq#kUP`_XAN<F_+X!hWw}b)&}ex}#KcozJf(P`ZxED*bR$=i)(M>36<Pzhg^JhT6y8 zezR)#x}QmZ?<n_wk&}BLy=~v=QlHoRmOfcwHpT9J^r=6yzUzhy%f3ESB)fQH#Bt9? zzP?gdS?|-e-Z%BG|DLA3*3N#m>aUmuw;m_Pe7*Fwct-gG``Et+Lg&ZYn_npVdBgtA z-Xka97uLonCz#Az@6C7rbI8p2;(50`zU<9rx?V2z*)Z>%Ir}xyuXn%hdpb9aJ^swR zX!if?Gb(?y_#pRACxnGc9*<Xu2ux;FU9&RtpxQr%W~PNf5BNK$UdZr@`M2Rf%3aqf zt_#Gr<lb+JIuUw}Yr6c^B&7%aOBTiW@?M&>r7)o_b4KQ}8ELaJeks0;h`4s4vgZ8f zbDwSYK7YIK`Mv6SyHD>me*fkj=pMrJwdXAF7ti~CuRMR@1o_BLhuDM(f{lN9`QN<P zZT!p2{U$#nq28J4clRT{;~&1f7K>+oy8LgV$!fEse@w6Kc{bx<`8AmWKFvAqJGGbm z|9S2Ip_0GS`b(M5t<8vY=2mvAY4d4t7N6U8**;n;RAa%}qq|)izpEXJ)~~qu>``vm zgIK?c>3vT>y3Xz{?`6t2erRjZ_Ic6SqqkQcs5X9RYds6hS$p8NQ-wHZ+?Jgc<r*Kh z3EkUQB^SSCXT^7|59<{6EO#%A+t9dA@qPBQ@`|$tP3*twFMMkHw{*e(3psU91U+<^ z<ZD{rCyULPmMA0dUw&`ucSG05*%65<mS?1&HPjyMO_AFcbKtq+jRd*6KOaBe)9L@b z;pZQxhfbf*Eo_@4+v9ouu#t_4+=CBKzdz-f8^1*I&{0|0?uCC(zuouuLw3!c^~T!@ zpMCAQ`QUIxQ^fCMw?j&0*JyVwoAP8v+CAnzapppg|KU;p)q_6eCr9z={s}VCpYeSA zgvxu7$Ddnno%v{{y}`N_?!`QYUtY^ehX-g|eE<8*bOK*xTsM!+oa^&tp0gHnp7VcI zJp1bNWZsuAiz_EEI`FoyfA{m(YQ|pC)TBc?%<ub`&i((>I$*J#{_k+JFOfwp*V13c z2hR@|s<pFhd2PIvx8-7cp={1Co%5%|=bJ~={)=_4`Xv*UUi<fF-}8e@&Zk>j-HSOM zIG?-KP)8@~clt@esQF9leQWnkeewBD{eGV4^`D-<zjDk<Z*%>WW7iWdr_1P9#O?dF z=i;l+t9f1KTWggsd%y2WxPEQv`RSWKMe?61|NSrW(Y!?a<u`2Synb`M=V#LAsQE#4 zd5e$j+K_Q?icIj?>H`}!1=_#Vw|=e9_xkrXLWke;$I^_r50*!BUDEykG{4q?hs|bQ z!!N5}7jwk~r`X-k{hD!uWs!T|=Je*%fy*x4-uG2&tLW3cMbF(eeNO#&rIx8=ynkP^ zCnxLIj9Wi%UQj+M7}dX|-q$wD^~;Knq3hhQOq=N#%F6OsD!hMNr0p(?Cb`gqE3>%P zx`!qjrSPn+U-<iAd926gZUZ*?1FxeO@9^_CJEw27emZmPd0U-7=Qsbm?{U4}^2h~u z*UwFVZ)Gj&pZxFCSHWDpd!K`D#ZPm5t3Ty?*`eG2#Lsn`iNCjCy=U6;{lt7r{aZ16 zgheZ^3*GzJB%ZV3xNBTY`?A?}O+SsVesbEL8pz&|y+L+G?$vYIUo6&@_bxN|a(z+B z@o>qt88y7R_xA@~nrmisj_=RJ^V}N_T1>9IqPIK0@_ftx`R<a_<J#Zvkk$CQrzuJ6 z=e+sr_nW+ywcGQXVN3m&jF-=ScI!vj&pSW)>9RAo&t%W|X~fav-r>kqsBvESa?Qew zxJi%yKC^uwA=}~ntNpy~f&aUaQt6HPYmB2B+^@gi=@%e9?c^u_9_@9ri+Od9Y`yl| zS#FC}#m|-)_S4O30ms|FO@EfTvt+}Mb2%>-JmFZ|d};qOzPf2I5}tdmYrZn=S%v4S z+@9<hS(}8?g1U9hnQy#Tm3`m2pn0}B=xkE=49M}f#V+i(>p_R1uHw7bBLO}P)pK>T zZwR~fBwNq>KkWiu{d7D1{ZGx`rIF{i%D<`1H!BG!YPsh4GCr97b|>Gqzg@2twl1}d zo0KK}SSp--{${HiUZn<_9=G{^Z&=p0t@icLSKaIPOZjz*^1ki2^#8#>oiA@b_~gii zki%QQy0Az070NEz*3#~wbv6-n%B6Q0yZMq8&HHvu@p@o%C8Mt1{l~cjTiM^gzhT?^ zy5z-g>m~my)l>@hhCcq+XWe0E_HWW_<y$d(q`sHm@vNwhf3hc|_+!?c`yVS0Rli~d zC5iRN{>sX>luvuWDjoCOB`&7@({JlT*-}+Ko6h~qRlB}h=g$3&jXj$epZ{FRXeN>V z>0jUDviqA<kJfUp6P38X!oK#k>&yLKJp2BEQ~ia1iwu5FD}0*oZFl7P$)d*&kN@$V z6tt?J{Ll3Bc71`lH@@p!=gj&n%dPl2F{beDm%aNRn}@NNKeNhs$H-OP@@@LFn>$Mi zZq7l9wlX2vH`Q$@A?Y6}TcZL#!IsBwI^VXqsi4y~p<$+U@!&mm(1Ef&4+Yj}flk}p zmsI-UrAVnkM8F#5`+F=*o+o^Ie(B)V@N{Q(RajJayRdJUdt&90%bZvPK220Qj6MET zX@NpXb(&<X)sBC+kX%&P`6A(ZGU(9F&CR_H;Ar{4?e%~E-|aj3CcSvJ|C$uzbUrbA z@VUBBSM|EETg!vaE8W`1*!u}|_Gm<Y=?9am2cLz0cpt|8X5#as4|kti+B|#qriIOu zl(&G7Pu|h_BH_0j=;Ycuk*n_nL22lIUg-t{!@d96A5_P$&bN)1{qi-u`u)_bmT%8b zOD>(~&0d`cIwrO60_2#~>79JrzJd~v#@}_ZY#;;lw^~`KU3dJvXU7KjjQY%ZbG_NO z-=BdLe(MEfb8eml9T+U5@42-Xbf)k0NZC0ar5ltrPX$@;`+RqM+&+1qZT}Z-d3NdG zU2~*R+|d2v!KGYKxOnZ)o_-K?)^KDP`|@DfD|S1JkL+K6?sr@IihS4eRr_8~T)x}m zssY;%+Z%ticl!C8tv&EP!1?d|;+OUFzOMghdqdYn{`t3pT9?_~;g72~#H;R!-d+T% zZB6&FSBpQit>l013X!_oQoiZ|t8|UMU}d4~sr~HIo^4mS_SRWn->p-_UuG<s?*8u4 zXQrH~``E2-zl&byRe1l=ZoA*tKF8|U{{6{!=)%7m%f(lUpXQ&w_OWu)9g}4rZ6o06 zb$6%Vm(s$y3${T*uj}=)_umtw*Yd?pU&NPnTx-?;gG;=X-KL$Bnm_ycuEy6BuNB*< zy1#h-%=7=O^JjOrzX<&6w$A;ESm~dvX@|jQQvbF&UOe&Y;-{Lg1fP9a_(=3t{EE*` z_Rl7&I`V(^Sh}FSG9~6h^9d(`{R^+}z3};<xxSb2kL29Pm){mojC=p!^=FafcBA4; zwQ-4vrp;}QDf51YnA9Kq+);Ic#iCd}Ui{*-N3n|zRO>&?6#?aTGwly`y&t~aJNOt> z0Z6glTQ7VsSlR+q0nFvvb6;W4W)=|pF4vyh9QoHF?U-_n5BJ<F{I?W?!u-3|hi^jn zJe$OGKKxYq^ypt@+tvHc)5Kehx?f8aJZ<-5In`aR@O|Q++=stsu(t7jGdzBIZh7jK zMITMq{E@jb@tHyX4CZg5(I;)*M4v8Y&Y8MSI41w|#ZqDKvW)B#yF_z87_U_RvWM@j z{z?niT=nzri`}%MERAv(g?2=0op(=WyRdlI8F%yYVp;yV{a*iP{d~b^#2A(L_%$1- zT>JUL@6hdfZE$i>mcQ#-@fz$Ksh=;*IrA@jfm>y!pDyML-mBeS0P+opBYe+T8yo;q zpljA3!Ibr6@ovuieC>Yy8wv6~dn)Z-ZJaT0aj4UC(ZD}nzkI6onfB@8+Z4HL=ASD$ zwt4-0u{_6aSLd_Eoc-IktKGSmkg0dx`_Ollx(&_WZ^v)yKL7kAo92_h-!A>Pc^&__ z?)Q6vzZScCUbBOo{Qb7QL&bevP<!+0j{9}|4|AhWf#PQUvA^H=h3+}ef3_!~`729h zVf^&_`SNj>FC<SX-S)L^U3uh=`~T{U&dthu@R%oO>Nj2Uo3*F6iG0@;PfGYaUx(@E zzSdUd6Y@p(i{3EW^*g_h22}-I8}cvR*#1WOmth^}b^a|oD@?!X{^rd076yk{^8HT& z58v*e2Wr%=-k4uG<HI#h$cef?_o;T&gq8}W&dsfu@j6!Qn{M?<i`TzZ=8Gq+-jW}g z_+7U;_|Ep8RZ?%C^e+6XTF-Vh`po+O;r;$=!;EsX*1ih0yT87wRX1$u|DFH-Yg~W- z;QIg8`m?Y9_fO)yP^24geqr0qgR2Yw{@>lQ{l65X9{T$KI7j~62jGnT>p$b6+gm{A zG3v$jzW#q-dCzaVQ<WE=J-WK%-~ZY})oF}i_dovipPwUtF-(u+q1)A_&<LE7|MdIf z<56+vJ5MeA+@<la>eo*@HSg>H<rP0~_@`VW*R(dsZr816i~pL)$8I&d_`J|%r{2UT z4Sa9)J-=z>KK+?7MZ;C!d71AQFGH_qKQnqBREACc`9jsd5S|SCPq0`Rwy&24)u>Mv z_X~rvNUmO7Z_SqZAGV!;2I@kDE6dk5eURHZ2^_!^`|Ug{j&nm(p}g?DY1$PvAU~aO zpRcs%ulzGmcFlUS*kAab)j@8v8|=#Y^ZKjwRy<GGdZE%)q@MTuXK*r<pHz9na`J1o z8(L2nzcrKp%X-#bSS~woow@o;qb>VYZZ6(3_4(q!MIw87W}02pKB_VE`C`MS=aHe$ z7XOZaU?pcIKlPvK=Zo_va9*(Jg*m^SBmaE}I3C>Ws%CtcS0xV)U}gEgnh)oyg45~= z_xY-OVyA!nvFPm4)f4;e+$;Qj9zvaLue@h6EaRQ$%>SJt7r)`4okZp5nk&VQp{u^d zd&|7q$;l5t&tfkBgwRsa<MVfK$`5z^w{o|@QTyWHHLQ*YRU(dGWo30_k6w|pl5Ly4 z)WVC0Qr>EpYAhGrv`lu{nJg`hxf4&8Y&BWl7PCZ1Aba7Iqh8`cVoHUA0>5PM*`Bsc zf0zH)^3HGT{D<Yo+27xN|8(AY+wXts_P@Vb`+nxh7rC{z?PZ<Yzx{0N$=X$EdctPg zmy;=dv)-C~mXYJkcdghrr!r`crgC(g=BX)DY$~nfrk;GW@(s(J#XHzdDr|pWyp+5< z&iwqtk5`S2C3n2bUHr+a!}D``zbjAu2_BKtvYPwf=`EV__`%D`>y&NYcmC<M+);6^ z*Z6_W<ZYim+8lc$og+7Q-m%R+@xn*#r{vWLtL{H&duk^88Tqv-|0*&}=GzBs{y1&g z6084D6VJ|{Qf~Xu)~f6CqO`}h?v39i4_SMESd{iycIkoLjUVpWPkJtH65&5V{QBgN z&*TpNSlLza@R;Z$8-^J5+s`(gt4p??|31rC=t13CukHH^Zk>JNvu07=|4H-9=c$#N z6<Vw}zOdljlKh><pLBNzebH4@P3%7D@Ilwm)3Z{XPvMJhb@>O-ZRIC^m_GZJD0lIL zZoS%P_v-<6^$XG-U;Ut4uefJxlenq$`nLSaX&=n^AO3Vbdu;W?XfvUEeO%|brPipQ zkgoAQB|QD`%h+o-U-8-97j4S_DZFy?vf|3QjbhKE-}Tj;o~`*y?6Osxt{U^f?MGBp zpT=I=zUJmp(0#m0kFU>oef^W}?2~^CO9jBelJ#TSbB_02jdLKj-gK(C&0Y|9C1;P+ zzNeL-L3l?{nc1B0S^22QV()3I>IDAz@3{_c&rvG9cB{Xi`@*A|)2B{spVE8o^@Mp# z>esn%kl9rKN=B%r`+v1=?dd<Y;0v{l>Uuppr{({YmRxcA^p8J{vwFi1Z{H$#Z(Gp0 zZZJDXb<b3&$8Wk-NN={;zW{Uq`N8c!=ATY+DcZf{%HOKDj1cc?GrqsyeqA!7aNpDF ziTRR~7UiETOFw&F`2NQ~F;_oo>|1~S>GS^MFa7?^^uAy4D6nsSBhT!|*1<Jmf3mtx zZk;1_ubJcaj~BJRqU}Ha)K0wkV20+)ed{@Li`|axx9Y0T-SyFT${)A;>R<Sc7;g2w zX-i%7yLRG=n-$NsKJ0U-&}P-oGP}phwMSp*-m&c!b%C2d-dlBG_uLQL<eu$WakFB! zNX2c={GAV6&mQ}&%X&|?W&1;c^B^suTzm8d?@en41@!y#q80x=D>gTYo6NXBLHzex z&a|kOpZ_0rh^L)gQeVgZoG1GI*@pc4Z-w$*IIU*a#Bsm;ELN}nc*9FxyZi>W#{v8D zKki#0zgOXC-Ia3t$JX=S3qA{4?rHS%-111FJ$WfNDmtZq1?p#}ITl<yR#)OH`R=6r zv)%GjKbro&SU;IDVE6R((kpIOnEtvroiqQl95@aZ%h$GkC@U!f$Nk?7_J?ydDgrlu z%=)s}n)CgqyEgkF8e&_vzcqzw_{;xrtq91|roS$RbLKx@ZL@ztBfs0d3;ml?jrP1y zWZqZ1!F$iv^kVs7kH0VWpRl-d`QUNIjKaS!{1?}K*(CBi@c!S{_Z7BN3bcY=xKH-g zmNYv#??%zK3;j_-lON^H*}74FZb)PGC&$|Fi;ecB+3%Xo(=cuNk6obTvsnJE%AUxB zpwzQi{;qSy>#`4j7NtGD`ojIY(7mf~L7}|f&Hh*Xq1#*Mg0ebDLhxP`RKs8Shq-kV zpM%o8oBc1Vhi@wyKR2=2NmN$9ao<1bQIm+@-PcABcCRn!47Z*2dxq01_ju*U8|tLx z+ZP=Dx|rYP?~5-YzXO||E@i7d{<$bn#p8v$r;$*_tKD%<_OlN7R<|!d5Gnop;@9Vo z=l$udfw=w`n<T^3Xt?W@_Vk`Fgaq~r#zVJv&4jx0zRI4b;GE!QUp3)_S<WMH5pc0z zPHB&>w55KQSzK@37lVgqxuANZTeiQ8oEHze@;Cp5`~8PbRb29Zr%ri`#XQQDI$rCe z_;vB`ldL&+4nRVF{i3>(xURn|I0d)O;Az>XqNb_&{t*9%hu?e7?QQ9QZm4Eg^S)*M zd-v%dvlso4x^G-H<&3=cyl0=;K^DK?dt!pT!nXet!EXI=ulq7+9SEq1`nXrzwPkyz z?0Nl+4f8-(LVpbW+xFhwyz|4JoohB{>plM*yEd`qr{Cw_7yBnvF8{;7=bS_LXWf3+ zeNT-we@!#CxxfFOklqim|K(o4rZEPC?`ck{@x5PU6}kM@yeDAmdGB9p2hBW#3#X+m zAI$6yeg?(=;q57$`LFXqXVJ}5$p4`G@41oW#nicT;}1XI?eszS=#q1<<e!(aMa{|o z8T%x9THyUjAAT2{N@+U$I$4rq-_d$SkNcbcW!d%B{uYyrzr20Q#GlDOlxzMufJ@$U z3VXWi&Q^kQ!jEajobQAAi@^cEZ>j#nxB3;}(y{uBF6Vmnf9bvV1TUt}T|46>*xsc} z&V{Xi`j}~p#r;ispLFjp*{AyO_LTqj-_>d^O@2^vaQlz_otL&hN&5(?5N7Rrs$*1d zVsqj-Kg5If4|6LUzybY1mzg6!3{**7{h-UtnSWQmvJPhPzxJP+Am83CQ3jXGUzAI? zrJJrd29?WgC$_Iyv`;m$KF6uzZq4z}RfTF1*NPpczd!0B)H$Va((<kIPXFP$`daMI zs_P~4+-;jp>+U68in%j6{o~(CZprx1pT(L_He~-aj5iP1{4uNM-lLpDvqixf-Y&n8 z@8R0tf}o-;uJ?TO9Pf(o`{%*IRC909oDXGxCxD&)V~;EA!?iFyhAkh=?jHw7+T+h+ z&Ybzj`7QJ_9yCuB|GoCZ-xvR`Ke;D%u{{2v(E4A&caDo(dalbhu`ptPSHJUxN53wf zeYWWLtV2Io-?|IyiA?_<tRi`-e2>b_*mI)40;lWjlo$RL_&sK)Ok~IZ`NE*GBX+;Q z_b2O5l-p}P&i=Oe@QGc4KV|pjfhyV;?)QBwq~AXUd*#=~?*jL(<wK(N?~8&%(R$E= zPnjeCu-CkJP;~r#;c@8prY5kTUbwpp-dh7I;a6Ym?^D}T`u)QnaN*%>|7z2s_GAU- zeZRS;SIicJlzY2RSlp>Fhm;)Ai|f7=-s)HT^E+_*_mirTANM_0J^8L^-G%;LDJTEV zxX{1r`KhNT?p^3V7klV=;r+iWde-0U-#2}Y^x5)HrOc+%>)q_D>>j?|(F}EnHs^a6 zXpvSc|1kDnDa^I3E!*E_LkqzwMMN=e*s|Ra5&?_l_jY{PW(K{1yZ>*-A-fx?Z+VW3 z<gwL!7uEat?D>xVnR%DktGBt7^2O<}+PBH~pLlZSXSZ=`im~;Xuqm3Fmi;}4S8o4Y z$PpD|e@pJ`;`0~kOzKY^`Bzf;zc}gOna-Ny-jnV=jaevPdUDcl!-evt=ck^YsClMd zFR|K&Ej{<o-xues3;h4h|M|{6wdQQy7v_|^C+a$3Rg>1C=<O{~e;yaQcQyVAG`b2~ zw!a1)4A>jKSbpz}57%HNVa1`_HQJB_Sy#gJ@NL8yaC-c*c)Gy7&L(k_4+l@&PPV`G zxJ&3rur4Hys^34fTQ=d>#qW~~e_YV5xyPWpPQF(4mHYOkH~Qygl}fJ*w`cZ#|MYRm zEJrQ#{*%wnzBJ&w^lztNibA^Fq~yk%>@t_9TCP&+v+|cQ;{RZKYeGBg%VY2Jdb^Ek zf5mt`J1#o^*=OafNyS-5Drc+vF`S#p{->r;>0xgE1JEIXFR~TapSJxtE8t>SkWuG{ zcQJQ355Lh^wZb!QP4oNeM@xV1?fGD)`{XAp?~io*S>>!RqOBKyEeem&|9Gu!zyBj1 zpBd$cc?&ATV`lC@VY>RquBw$cV-w}rZF}Qq9Jlv4mit=$=MGojBEL=x@83&z{VQK@ z{xj$4^N**&?GxWmhjuYn$}T%#J@bQEP1AF6)05G%ANzbe&40~xEU$ZM^H1^6ZKL_1 z0|D1}RAh@(EEfPJdXP50uLkC-am86Tl0I8c{c!E-=Rb=;7Y>|k_?~ut&(UM%p~Clk z^6YgU-?d{gJ<0u-@xwEbiuFo+KIemOsgIjezW?=1@tT*WE!)4T#qlq2+_yCUmi*6U z%2&*Fvtv?%<hD&{oUF%u$^7k)&VBj2ruEzw{rm&ukE~zIBwM!E>VeOl{IzUm%XTl& zX`HKlpB~<|_~Gnz%6oiEZT7G5jPt#3()}Utj{#KS&6e^V;A544Eql%R-sGWkz~nu% z_GR)t)Qvq>E1Wec{IdC3<vo9&fB40!d(K?8ujcZD&!4PxrrcGNjcd_Od7yHt;ft>* z|Eu52`X>}do6iOxXTB`|m&U_bTgWNLwU>``<X<lZ9pf3Dn-zE3{H{wyHY?~b<jdx@ zb3T}zfASM_R_3o|RfldHg3rn{t-V}xXm_&acSW#!S>B&KvbKs7>frN2_qJtM*fxkg zpWSu#z4Dp*nO^MouSEX|F}$}$<lnr%9P#PLXGl1oNq!mm^N&+s(23yh&vPD3Dte}9 zd2D9wfx>VvnTw{S@lrM?XR`m9^SOA*yI0FU?qb$m_s(nauGwz_%HKTlJ>zuu(wg00 zbz1|=Cpm9^m#0>Gy-;+$^@T^T*2RaEU$?#zyYSk~CtjQ1t*Q@}C{?#KdHqf-sJwgK zlT!Amn7h1Puksc;-(6a1pZa#riPt8U^3{tBUilSeT)X?+^KNPAXRvLj7VrAK$@%VF z58WSswrBoo-01&t*X|23Hv&FaSgOX0KJASSI`?LZO!8T~2REh*x%>ai6I{7_ndY8% z4&AGFCkEbK%Tt>E?$+)6?7DcU6S$YW+cdfIZlhRux$@#&zav-d-mY&|e59ik<c-7S zcmK-oNizDyh3F8+)=mix72R)>ETP%vxXDpjvX?z&=M4QhhHE9YnXj*6O<ekS^3GR{ zYk4~Omj=z;$D-Zp)vVNHRIJ7OKR|-Fg)30Gcv`K)nyiGC++m&$H@p6%Tm8Pb`Tp(d z{l)j#Ki{+cZung9-R|%6_P)2=|9kiEclUQbzh~XU)wCe|?8*h}-We~x+BNT5Tv-l> z+8s9Oob$KC<i++bx|TTa+b)HG|4ql2yOkOAaoJ{lR}Xc4A^mOM;jH};xpUV)n=YmF zuVJ^=hvR%J!?!9HZdqY;;NyC&hZl}du{DW!8ESmwZjey9?_8UA2hzHBZ-2F;y6@QC z+*OC9=H2jI^`X$jZgS6#$rdrk?ivY|&$h0((|A^-T-WMd+>*lZhsC1hrB{1)oBp08 zST59ldPlV1qr58Ve(Mc0ALUK!+x`FN4(+#}e#9C*(h~rgz$H{3o&ISD^K8v`_trgL zrzm@~@z0u$>1Ap{&qL4FY%fxfEL1Pt(X)HD=bh5HLy!4#mhE_bt?+xARQc&J9Yfa3 z5y1=fKl7+eXcRvG`Hz8nVouO!QLTFqts3q`wf;F36t_Oh`O%3LMh6PFCd(L`_GKp@ zQmo9l{x*Hp?YeIw%bPAP&R6`oF_Z0lf5v2s-KOVK4Ii=`*qhb%`|DJe_lI7}=-*Pg z_dRRR>f}SKXI&1Cy_?Q?@9x_FEvI#?*R`5;ia$<B_Nma^KVP}xxX<H1O}rmY7w)*p zwfvWO$&&8xm*cvx{8*Z<@Q}wu-lr|jOGfhOZ0@~NEFN9+zG?UDq{Y`ypH=MTGN1hv z%oSShy?4*l2X93xE~<Upb>;MJj(g7H#d}?5AL%Z9;H00gUhzHtz+=W7wY_szfBm$o zq;M}w?AOWZ3&bR5lv_wvX%?o4RO)>RdejlEpZa@-`r|97Lsjha?E9a)Z}FU?_jS|s z2eQf^7WqCZT{%5op`v+ZjQ&Nnk4Z8+E}YgE+QV=1v4UZ?r+%UhTgivRFCSG!a#vnT z{=y@YwBWJw=jY9TPnEmJ?RDR|E^bwq`pTc7rGW>&GCelG>9{vzy4Rny)h&gG^e4Xl z_QR#_?jqkuHOrkCEnXi#+APd3b&PYr&xbPZJsFcL9LpKrr?6M-clxl-w*7OrS?6~5 z$GIzo?p<H;>*|zVW>BL0P|beO+M@BX>+B=dOK*w)sO@LovzqhXOeXzXDsdM|4^+oa z{jh3PqS2?_Z4Y9vp7`lH`-pXPC|gB-QNwq?rtdpHf?_MK;vY*zwd{kxS_iFNAGppw z(p~voj=f^P+Xub82Y;H*UdjKp;+=V|R_vbpOZZOu&ug5Ou;9PSd;XnOeY%AyBGr1w zBvtx$9G6V_T~ROj+~V+c&$>C)X5i!z+h=^o^+Vpe=io?^N#8O1fvwfVe&YqckB(Xt z7@pfO?ZI2Q!#`PPd+Lj4?A>D;8>4@9Ial2$o+~WO?*6LI`l@|BN#|SRykrVrFU^tv zoHD0sXWx_Knws<EFRB&px^g;SU{AMuAt<zBUp+PFy!WdR5l{Jodmb~N)4$<UwbSGE z)7Y1fs%FYQS<%_^(y=dvO^sFf=EL2)_D``e;+l$@#N;N)_wWB@wB)cNj}SO5e2Qz@ z9t{eZ*FpOGeLtLA@wloJl#&m$#;sGYVE2clh5Tz(J2id3T>5m^;&%oU^I3gcX3ms^ zb2Cz2EIl$YFlOfk?@r~sy;I&M&+7@+H=pol$Lsqx_KSQUm8_h8U$NpiILc#RJuT<F zXX*T>jyG3G|5zjU-a8&2@@!9n)A63z*H4`@7fqk`r+nJdJL1)Bla3uY)dn%%1=aZd z?w=mtnqFDwGW*EOAboSeJ<b0gfTQ5b>G#5W%;!CVdmvA(BK+^%&)jB{<L)i|T9iKP z#nPw$g7)8@zU9h`j}>g6BwkpXLvl-yc$H@1k+st|)t;GL`0A<HB>DCAozUF!iJj&B zHF3+hEuMSyzJ6+Fc|U71A|8Ho+}o*NP<Jrx(&^n><LqXuRn44UTho~S;7o!;U3f`? z(e==p{kG@cch$M9yZyEK&o0;5M_zu~z34aRJ>~kxAZItdJ5bK2w@>?*;lbOQpiKGp zVnu9C_!owQyML{p6A!X=zxFHTitqnhL0N%&*SlA{7tI&gbD0(7qILVUt-Nc~zXdmy zFZgJ$@YuO)UxCx?Bd=fWRyweI>VsU#;~y9KK03PR^`qU3+&S(oYqqI7nD)y2?&{yZ z?U$F_PmxSlxcWHrv-f!iCCQ9A$9_AnyZ3vNg;AHT_+uU+_dAbyHr4Lg-%~v2Vc?_# z|9-PWlBP`h4Yv>H#6Yg!C6j)`?}MJ@nd6cdz(t5e`U~}new*{)SiQ64>!*}iFP5q@ zUyD3dyD?oMljlp!B%ZxfER4D|P=h>K#oq3#4kTl}dit0pfAWEDv(D>F<Nh^0h%KM+ zTJ@GnTo1E&)z0PzclY%lXTIT6Rk{D#>A=ffub<9XqUzdz+&CdI!PDL7OVFbe8hcPn zzqu;*>+YZatb9wwZ+g{FmV?z#Jp06Rh1PrTz2o}9?oai)=^2wN4EbVSJk4t=|0)O0 zS3f6QJI$&3B6Y8-`Ol>D4wocu6jeeDUlj9V=@G&0ai_i+`X5_4eZR`j!iRd0khyX? zUU1Lt`LOgDFT96&|5JE+e5Y8k{_aU|i6DQqsxpzYYUY+Xpp<wj)nVUv(+_&<R{!|t zIOlz>t>?PiXZt_C7Rwb{e){{qZ$f*H{{|<(1=j2C{jTbI@D?2S-!k^--TPfN>p|@9 zxu7!i`qS$8^8$OCZ(D$p#)8-D?*0D7d(b)<oV`EoU0=(R|GzWb;aIy!?ZXzcPLOhD zmiJ4N!3E`+-Fo+a|583^{ZvqHe}eJX*<~;4F6-RN&x+e3ah^MNp0D|zUFix3d9Lj5 z|K1+6_q%Fgiby(o@i^b3PUe>-Bo_OO->6qS=K_~sea12h6~D_r6tZpc+#|uRcTDo1 z&j-2s3E+6#>Hhj@Xo!CMME2e59#(pVO8bO;zPhXKhxF{jKdomM#qZVaKK%dxQ-z1g zAJXUWZ(x+?*RuJ(^82CdU%%`T(0eYI9q4+{YHv<6uW2H4dr4);s=DwryM0NO&whPN z2(fs0-(~fD@vF*ztx6dG>CO=AzQ0D`?gfE5=K8ru-(Omwf26zpR`$o&SL#Z4{k7|k zpZ@J|xfq*H-SpP4CSM+Io)s*%|Jt+%vS)+Eb&l){`^<i3&z5a$^CJp_)80h%=Jy?4 zzt%Kj-;T<ITz~9$O~3KyR@2e#HANSlMDIP^a`4%8xjP%Se9b-kqrUsiwx6Xe-bUSN zF)j7Me^;DcFZ`<d^wY<syL&&MJ%0O|sLtOnyr*YNZ;hXRR$s|##)7|gX&<xmIS*MJ z*uQA+v1iw%LR-RL9yZ&*ZN<Lpugmyv{JEvPzj$kWLGRCs+ty7Vf~uOo%})G0Tl6Y> zE!T`^k$-MkSwFm{vM0Rtsn_m!^&97#<xO)p{w{6&Tk|9E^5wGqAA0r}7IFJU{M~iv zGviMFWuEdTpD$gzDLQYWxoe(d|6Tnj@0s3~FTTsKqA_F9-)o-svG*2FKU;NE|8GfL zcJ6zw{_jq2%TGMIzVPvTrMKb#73=mC{0%oc|0?a1*o=DT=BL&28xrqnJrlpx{ddKg z_zSKto6qhGlrG5pti7^E!eZ0c*Pq{gZ9lI6YR`3fWBs`LGkY}Ve)_d|QunX_mYX%% z!V0uS6Zp-ImRF>u{XWq4g<sa=o^$P#x|Iyo3j(KZnp;!f+I>a)ZKAHq`sdg6ZwG%} z`MY<;*FTRrzUyzdTjQgvy02jNRrShgKN5B?<X>$f=YQ~5%HOQ$ug{CWdhX8ZznXri zx%%)&&E2ogKlfT>DjB|F@z0tQzase$oSAR`KXTXS$HDXb50{Ca-@kwU<;Q&g>h$)U zKgG7{-F~gRw#x6Hf3V0kmkH=I{&h+9j{L!#+w#YjCTzR;P^<lB8hdQ}&9wH9>N9f7 zB+8p^rah00w&W|`w3Yd(!RFs5nQ|4^Fa4!_-X>ctV?hV!dGDpK4*!dsn6^31=3U5y zsejh=u6y!lhg`6H#QC41c8@LkqSnMl*5~a%Wbx*==&{uM>kaI`{e5(>bC0*oCi{** zPk)Qr*;W6Y<G&{U+xxD$>D7N_!q@%#v~I@byZn_^_UnE;J(28So32rD;Yr<!1@cl? zi`imK^|-H0uaBKNA)f0>dd~ich3nT#IJds#e8m6Td&d2)r!Nn&KU-^h(xK_o>?iA& zRQO!@s`9b@w%q)Q(<X7ZAC&*1ow(1*T<v(j_pt}E+0z?Yo^PMzA?x->`t|yUzaH4} zJ^PT#xpm6-?Z+N}ZA}+r{#W(v`R1P2JNE=m&NwsOJZ!G+9{z`mZLemo7TTJ$pXvVg zH7;|XUTLhH(7$hLuybl{p^UX=#fM6_pbrl|Pmb!$ubDSl<eyeA^QYd-LpCX!AD8BD zeq5Tl`EhB|=HxrK-blEcS<f?{efP7(+|u*ON1FSOcd*yTe$L4_Eg#TleE;z0j7Nt* zXCy8CV{|_G=bV|}KG@8P(A<CKbA(;`GFzK|wXjqApKYd{pP9*jesSm2Pp57ApBAUx z!ql>t=a^)ISL*#KecPVu+b!4pR<rrWZ$kq)&R|3Pn||y59#U?5y2qa}BIl!U;+ESJ z%|A?@yxu%%iIk1Uz2HYYr!4$h{ht@7Yy7Xa``7BE^=DPfug~gPhx6^j&DZqW{5fn} zx8FW>!Ji)stAGFa{HKsrXP$k#wEf|`^3_a%wm<L7ADF!5&J?cwOiOO>ERE3q|L6BN z&E}7v{iE&Yem1}KUH;|A=-1EpZ+gyu^MMw_^{JI!UGfW<dxZL3a;^I=e{KF-vwM<l z^&XG&LFbQqJid9XyXU>v@10L1Z%;E!y0uMq`^oFqXV=?KyMO-anQ8m$Q%hI<`DR&n zXVtf_Dc!;M_2x0|`?9CNuHf|NCZ7*WpSON)jGiZ+(xh*zKZpO$r#%Y7H7_e4);yT@ zoOR}d(?OL#?I+z;kN$-?xhLvuk<4~Mxk=NeRIe)frfKiDWbXaDH=FspQg()<dT&eF zxuh#*g=o1)@0L5MZ}lX#LMxl+6rD2MzQ-|6k^f<GoWe=rC(H&C3l6ewiJBxe`N*`y zg}<3E{56$2AYdFV_%}Cr<J4`pX02%{GvZU!3rjLd>hSL1?squRJb_)2f04$V=|!?F ze;*XRWxsumZ(nZer?M^195*ZfJ^X9G@!0OfljgcVr>P1H-n-QE`{i$UTN~N?-F@eO zzuB+9&;IMb*yHQ7f5)DWzy4?a?!W7v@6Y~yz4qUF>;LOMuZJ+j>ZkgC(G1V}Ir)ax z-dBhJFZIt>b9()HTX1rm`0jN(Zu~jFd+sdR>pQr@|Igcc*Y$JZ&+?09yH8zT_hVi5 z_vLcpQ?I{@xAyn3JsxAx&#tdG=YHOYM~8l99_r?PzFmCJj)J<`2SH5fJv%<kXWZQ} z|Fqoo)tgSet2|PCb;tY5r7z!y&iViS=<Q>>d-lIQZB_Ik+%0qN-`u$sw{o3ooNLa` z;rLmw@n^!Zs>xN;KNpLhKd<+=^5!%9AFu27+4nzxxnW;P+gx{>uNkkeAF5MGE)|_` ze)!j=*AHy2g?FTPEblw;Dr)-gm!W6QUk%)6bxZH_Q>8@}H-E6yr;5cKeLmm!)9%wX z9KXM-8GkGM-SX$hj*t7^T-$YK`e}*Xr^VJkSbt_y={)&EKg<4U8$F3XVQ;)zFWPR# zzV@mP2PAkvO>jrfdHdL3)uR99ti5f_Pj3JGu2}5<PwTw!{~x~ptUQ0^)yJ5R&#OYi zzVh5xU(<E!=j&Z_=6_EA{7^Zr{GYs>VSWE<vGaOATb>Kf&3<TeVWUBI?6c)BkMH{W zV13V((%OG-ivAt0TNR#p!@pGLOw{zBnZGvX+5XU0-g>TXSDw$=GR1wbkDI?)Z&iHg z->T%qYrA6n745(JwEVe(Vp533|5TY>XWBRHe-$eIEnJp+v)HH0J;!doR-BjK|FBf* zVSBvWMf-rdf2%cq8K0^Ty!XedtIp-;H}k+R=2Pky-}$f8^{?xb{FL)`(ypSX?g!Sn z{5<;pg4k1ijsH$J_sP1>U;Kyj>3og<&O2+>yZpqy9-Lcf`(8JG<KC)&IZ^sISHJrD zVu$*Kr@IepMd`R-{+MCcH|^@lP4gV(HlNJde=pVZ()q<NFFKmGGnAItB<?w_lyv%L z;uiZ#(@wdh6ICWJ)phb-J5O8jYeB-wRnKdux$>ud-hS0Wa+6I}i0=H5-;0kfx;I~} zHZ0(1!`GFIQkYjsY?`ETX$G&dugR<{m%BD91x^hK<qFkQ7M-GUYp(C~&o^CKJW5}# zK2>m`L~`0HqZ}<yneC=mZ91o}RXMllW}>OQ?(4^^ByT^No056zQi_1E+6AS&lL{NR zI<NE9&As{jR!`Pvi^ow?PgVL|qorQy9DK7-x7U1&<#oPA`to<xlhawHr_2c5W<B%m zzEuAD|8E$be@?o3`RJrAXAIL*-J-kPe6NWZdTV^HX^^w5x^nsM&$}Nh;&jC>HN9c4 z-COc<zMQN?Zu}=lzW!<c?(FT8y%_2{>IMAjq}DPC%g^|A;0*gSyA2;2YvnBh9yqVL zcJar{*H!tM8}hfPC<T3Q+Tzrpz4`v><8N52dtP4In5D)YJo($~ud~zFxZFE^bdI<{ z#wsqG-Y*GO+ur`LTC~>d_{PweFScsQZVp?je09~WA2w<2pKt1YS~2h4{rQRB58k*) zx#V?Rt>QmjJWZ7My5pHsTTEIPDyC?u?1`K<!y}6;OIqY?k+9|>VKd99v^Ni4EJ-=P ztly3O>I_M%s}jN!_!dp~pT9voX<5Xl%-!eHbb^Ji>OVZO;H3)7(>(%HKF6F$&a{5I ztvD<C)s(j^$={@J*Cg%CeaSgLSnuxKKZRfN3^gLdw#D&An5pb`Zsgr_;92FCDPrGe zIaY|ha4g_3+_7xZh7?QRt#(dlzgJj#oxGx9Q`i&uXvWiDDu+HgfA@aVklNTH`f*BZ zbWo6wsJmub$eEQ90UP~~GKzX@@paBP7P2I6>6O(}s-_054|)~qwbyH<v}URD;=GhA zy*;}o2AUhaa&x<TVuiFMub<oAQ!BzPziKUydwON{oT{n8>x*86`t3cn5+ZnUBG;>A z$J!-nE2duKxc}jc)Z^;8(|(wxd#~l>+?F^YNt#V_+dZ$1r5;^t-Gg#sCncSZ?yw47 z>T&nNO0B@GaP`G5D`V%*W?ITqqUSZ?($$|T0ds|emN>thGeP5P;PV!jmHk%gDqhLX z?w2eTZ@ro`K`AvLK)%~7KQ%c!#j2k5>%=CJ;D4JcxAqG!y?Z!#+7#E#3)KsmR^HD$ z#_aK`K$GF9^RC0+jsNgAK4<ydDV{D@Qo{ed_NVdY8+EPBoH7@Jyq5HM>{R{uv_Vx} z-nUDJS$$e?!jvUfG#_So%{}y3|JKF!w{-_gzq}A=3Hr6b_CZU~uNk%iWiOq#eej?A zxM_z)YzUKtlxbwt(o0U+A!!-BGp{tctny#dsA?K`C0JpSuA*2=*A6Yy0-t&RE4X}; zrT)m&OE2rRyu9grS7Yb<MWNLkoJ~PXdq3`9{Nl`m^ZXLo^Fn&;miKN=pLEenSIl|o zHhbsNTc<BC-hO<_yX$hHQCE5F*1J7^#y{uV!9M@ymFDuheD+rT*>rog`l_kF(%5<n zTl{OdH{9ah8#S}6daGOR-l(<Q|Jb?y-CHkyI_qA9z;7P&O+q2l!kSLq@w$*5oGYPS zy~=cxP>6S$>WZ)nnFgKp9|WGRn62r4H^lPQvr~cQk$t;v7G3e3zF2Nw=XCu5{`duQ z`y6a*8moRVef_}t>LK@)!{%Q<K^WpI+EG-b#i1*OsJMUUG=za}SaiitxTz>A_Ll6; z*_*OAW^c$|pS>=%HXB!5U0C;P0@I6mp$+dmSzpWxZg{83`eI&S!#hsa7xVme(#-uE z^5!wzwPD;<$yD;0<;6433ulEF%vKlMI9uHTt7P|eumViUo8Lbo^dn32)nFJ8kzU%5 z-HzfHkD<<hsDrvMr&3Nf;r7MptGmPU^h&lel?%N3>T>AUTW_Pf$dF@iXG<vZKCzzG zvj3yUOKY)}m)B=q*e+1tnC*P_YEziW^!liaF;N+=#raD_&zd%enV3tgb&7qQ<yt&( zwdmQYjbSF`fl-OotM1vp5ZktV<=d6E%XU4_Z4bzo-SQ|z{5Egt`O9S+rB_^M*wy%U zd6npb=o^Be>zQwfebZU_I{xl~zJf&eh*+lAdfT2K)zseh{FPBee`0mqjhzYn7q=JR zpJ!6-l6=7Bj-TX_C5L7huQcfJY<2X};#q&@b<jEQ6UQbvnYoE<GF&j>?MI#<CUXzY zn+l<yWDh-1Njbc40`G4pvp3u~H|Rq-*S?+fmHlCEbbo7!m!#L*m0|0yWKMQF99)*@ ze)pu%<5zR#LbIZ(e7@H8Z;MIwh+Q;k+AFE4TH%I4`TsM#ZH*79-pM}c?SEA(^ZYus zZ5|G*TPvU6D$C~AySmnP&6Gn@$K<Zb9eAhz>B6i>-_B&`Y&jmIEUi8}Av(&g<IbjI zGK<cB|Nd^GxkY5>>~H@JUhSPH8`6Dmwu$wMWmoIozt(?uzxvOL?KXS%hWk(Z{HkoL zjnwtUFF%#tPBFaycCVa&&-sh*WcJIs+tw`nxUBrss}=Kl#P=%Jl_!1saIswH+~cOB zKTH-LxBoBctJW>|?>L|O;-~j=>NdQnjhF8~wt1O%zD-nLl|;d%$8A5_b24S7uQ;K9 z$F5EHr{G=Is8y?11#LOQ|8>X7ldP_nQa^uNee=iN<AymkZ9gvZ&N4AS|MOX}^GvDP z{K+-THQFa-r<Z@L>an?h+q>%YMa5-LSA3U|ueZBD*VgsZ{#kQ7R2%hej!vI{{Kt~w z_4h=xTV?yo?)QD$IEnw2a7~Vhr0@5eA6x2QREEWWZ4du*`FQ*NJC^rSE(@@{Ptu-Q z+swc7T|+2;tE%l^)$IQI?o;mKxz8U*<*|O>7xks9VuJYJ9XF*9NZ;Sq6(QrB&9nK% z!_UWft3UP2nZG$@KkLp0?f*5ohu6j1{?@y_Cwo`r^_tJ?jy{Y1EnQYy@c*8;blKkW z?<XgV&))R+*UiuDPutom?;mWdohWv)E%swh!@O(xtADyi-;TchBiA)L+WJrXsc+}h z&GYUFO_l!9dFrgRdFs<^z0xvSyWi(5R_3beT=e#ZS-R1|y~6en@15%t+P*#TsZe<5 f|CR%;Kjqs4o<@Dl{^fo5+_&u?zWkRw(q97r?P*-W diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc index 319a188acc6b6247f32d5c84b8a9ea5a7380b202..24f940eb0bb76f2ee28b34f5a317e8fd56dd05fe 100644 GIT binary patch literal 1154 zcmb<oVE}^)#=X<EuPhD|X?>Y}%4W8mdTp}R()F6T%XKfSukCVCQl2<bS$X0_4;NM= zBcaY77dJOIrPDvpZx3$|*}lf~|6TcZhVOG~&!_(CZ<_u1kVMTJne0DDcJ6ta%+$W# zYW+hgy@w`WDtOHcnA5l0-aDv!=kRK4Ir)dSGB%$+|BRJa-qDt9vG@5$F1{W9pH|Kn z=MUf0`~2ez<MgxkZKnU3uKl^b;o1IX)BjS}{_t;jcK_h4|E$;kxJP`BuY31?`A_!^ z&*mSF{uFrNV(tG}*6APk_~a}0G#Bq^*Z;t=eErX_2gU993Ygrv?dKnojXCu2wcPy! zx_1tU?`SR0|FB2DHl)@m;;U!kRrTi3`MhiX*f&r8FBbOi+lEi&O;h(9ulb|9|I_#2 ze@YQQPbWTI-!OGQSJ=O@4WF(bJQdHcU9Y_64{QJ5+9~^4rqu^U{L_5cSd;%CJ^xp& z)Q;xpioes2A6{Q8v*Px@uI>~${(UoxtB?NN{`p76(X-{Bf4n+5=lq60MU79Vzo_0H zSJ#>Nb7{lYdDk~w`z$t1UMr%`;=m_o_S5|05p@!YKNbHSyzgJ<k?=E-_cXt%j{TJl ze=Z#ObXaiOefEet{`xP^SIg@rd|k|vx3ZpV{k?DZrxyI^`|Ni8Ft3f>mkRFd-p}Ri z4!T$TUUyu-fWN=s=e*<7SN5}8G<ARfTf_GLq3E3Y2Oov<9`f$_b>Xpf1^e}aL;tJ} z$?l8(_hi5Da{Kc?OO9UE|6K9u^_+I?b#=3kZ@&NBCa!Su*~eFPpU?Pf{O|D21li>U zCvCzCj#i6*=zBipL)Y^!HRd{&^$pi;*6;q+ccUowjNRU5=C2cP|ErTe_kF`Y<Gym6 zb?>_l$EMf1zj<%@x{h~E?Ur5d3*XM3&3^8dI`=o*x80Jz=X^Ujqt@s5y{3JuYS-<Z zb)GSAW<3A%SKS{t=09xPXK_gTSJIE=``@$19oqU!p?1%;+DiMk%<7L`{bqh$)BV0G zqE;a_mj8!Y+g0{QOZWTt_)p*P>p)ZKnhJ*1{5>BIyg$F;*MXU(>wYk-=ARSsyh}du zYhz<+-N`?;)e*G{iuIhIPbZu;Z=X89Z=XP69slb5AHo0rem`K9vpk^L&gYkYiTO*h z+BsilmZn^fk8ob*ey#Z>!~5BG_RIS#?wynWu=^$3`q}F~?0m^9XCC_?|FYygL)pjD zm$_|{s$AUlefA%fUKal2z&g(rD_^R9z3p$cBy3uH!>$=}7t8*3{+ab}fB)UZ_48(Y z`rnh8b&&J#qa~|vtgp<J68myxx_Yg{exsMB+4oOLZ#uVjPj!jK%cie&!Ex8NZQUi7 z`}FL5pZaBwm+kS7jjmL#+CJ5Maej2=`3&v%eD%?l!Pj<8{ob<e)4Pb~uuGzU|E1kN zzfAaY;?rE?V8;&yzrr7fYk$3{W+)r>O4#;RNx#1D^@@vM#PnzBeO&ZXMt_#y$3-tW z^k?mR;ClK0(Tyknth2R@Fc5pPTs56B*)i|?6YCTk`=@p*`@LUnU%1THv|nQW!*=Dm gPRZ>RnH6uopZplp&Ch)7k>&ndQ|p<#*Bbu-09;jdBLDyZ literal 116468 zcmb=oVgQ2*#@M^%JKn|xe)y=+u~1`Sd)AAEQdJDzE866$7%tvetkfb`^<tst0kL1p zj<X4}HZO3=*?3&8I!B-?U`m6=`_D%AEzfTj|1V>=KXUW6l(jc4f6tq}`~1h5>o@=V z|NmQd?bq(DwP882!FwM6lX}FQe&bf^p5|PeT}Jl~vX*UZx3!6nJ!U>Xd7smrq~9MO z32&2AfA`^I#K!NHC;b<D*L=1AabtJyk+u3Bdn%^gIQG-{DBrnxie-hrN<RK`J;^^$ z$8N)am5pCjJFERgZr`YFs`$I<$mF0s4}WAnl6ChNmA9zrs`%IS#C&SS!LP+fwb#v4 zzE|)wXJb9*@74d+cdvfq-*Ef6r_GVmx<}3}P5JXdX=C?q@h;mtdmY|9zWn*<PTilU zy^pfa%P#C(KD|TRW?#gd*tk#q;a$ah_B+Kqc>DB`(0YAg_3#Nb-%THhSg#jLzma#u za$B70y>(hY|LGpJmV2lAdwP8MqlZ(EvhuA{(c4(>TG(pqJ}1uq)8*CO#&cqP=RE$g z>JgKBxZrW~DStjiJz^2RF0$NWf1AbOnc8uAIzL(0_lt&KpZ235xO2YE$Bx_iBK|ve zuB$lqwe-kcU6uMh3wInp%zK3S-Fkhw!gAZfI_Z=A`O10)1=)q2e;r~H-_Jhs@~x`< z-e!x#C*K~m?8{fzD=3LBl<}&oOfPKew(bm`)BcDjy<DWdeBz&P(MJXI_PNSD{x$n3 z=el=F_u_Pap38o+`uO!3>!Y>*@7-bYw^MefZ^XT9Rk;ND^A<;?&lTuqhBaH?dGy0* z)4i5ro8;e{gto`2ciL_H*y6nBA;<OUlm9TyFX&u++I!Do&37Lg!{5~G?YUpjfA_j~ zt?;*kf4oL^2Sxohma}$VzwxWpyY8#K$&VIyn+KfB-%tC<uD9VU+sfmW{YRMJJQnb` zIIj3rq~f6Zp2vLVeOLBB;9GC8Z_$yt=3cgvWgAOXJCENu$|+aS8vbf+;nmY$d3PLD z=Bp2UWbOB%vHZ<`&sUr8pRRkzmtJwi@?4$gvF$sKsK5EQ=}5ZF1J?65>Nc<0pU8gw z{p3F!^9y<wfA`*VM04GT#_BhJx_aab`ps<~%06%I`R*4K-&*^o*5Q$?+|K+T`4d0B zI=A6}m~x&)<?YHlRlj#uAHHdQ?(sS6^R^FW)=3o>roP-S8yzkv|D)w^`N^7Zp{jBZ z_|$LgoOLAl&SR<Fzy68v?>Nr+emeV}<muAs&asOh{z+eA*K2KG{Z8)nSN@3g^@pqe zT3>tpz3$zgU$twl%O~&s$MX7Xc*Oes$EyCyUVHt1W8D8P=f9s><2L;M928%Yd$XQ@ zy~V+Qr55rw52dWHPpxRKwt2+tzvHi)Wc>rt==};`L*^Y}ws|P<{QBgI=C3tTYn~e? z+Rm4J{WIeIw67)qbJzTqPrAFG<Mlt$i0|u<?5dZ&_V@M1clWzW|C_D({d}MMtIK~s zZ+I8qRQf+?&F}E!yZhN*|LcwToqp(+J^Q<x`KzZ_O?q8yx8tZHU%tXevFC59zjRMp ze~-ufy={N{{*rfB3;v#d{_#QW^EjUA@26L|){1`1)A;($;s|rxhh-o8Pf2f+^}q33 zbY^|}p8na=C;w=^D`<Nx?Y-yVa{m*5bouNw=M^0LAsKBxu_k-M*W>-CE2P!Wh$l_| zC@5FZsC`X)<7ds8>kk`0u8#S!>0g)by$g9e4!&bwvTxQ$xo`PeKRM$h=RazCy|VDg z54Yow1=FusKa`dKk+A3M>LCAW<4F4h7neV+a<+La^SwvEps4tyed71zH{$O;G!-9P ze`8<k6KnY?RW@%P2_C=k@VNu0-9xVFe=2t#d$%^_A7k~OJDErJ{*&>NJ@JECeY@Ci z`ANTuWD<Ux37!AYQ9S2v*dtxNkDR-=PyW%vyyt=B?fq)6^KTsd`c~Eckh;v{7konc zg<aY;59F-Nr+j37_pwcV&tsb@AMdUAt`+^Zv0S}#xy2F5xPs>LJCB~7n`Hlx@4Usn z?jvjYPydqKw(;v(A^wfs$~F&}&hI#Kbh}4gd-k2=|Iw=Z9&zMX9DS$pRrbc=x7$7I znyTkKQkhiITYM+s_c|f@jqU0-kC^pu{Ni1?e9!DB>^lxB^1ajhD06(r0cpF$*K$Vt z4y*5Zz_{LG@A95<JEgB8H;$g$t0I>yuU>ITG_J6Bb^i1}jN2=YB<g&WRsV6o`dfYQ ztIh9qA2Z*1$kT6e{AO)RO}BT=p?9^ac8?kKD~^53Klw|#tf1EZWd8@w;}*O2AMu{^ zh)aIQ@pH^6e;TUyJmh?DQNN()dtLOa)f@Wvx;)b4D{K?L^FVg{j>-v7{C6BS?vvLk zd|jUMm*@74s*WShZys^*?>JzrSNJjD<okl&>Noa2kF@(fw1vO<x9&=L0{?dY>3>+h zTO2*9tP=NF#{7n#s$CMlf5j2@xDBSBwojE+?GNzs6@Jj@d~R`!_1*{0=>BORW$hla z@>d*vS$?A8`S&IBn0DW&>^YMB<}r)^jzik(3ct>sWdA^{-{Oy6=X;BT?DsbQ{~a`c z?#Ukv<rasP&lP^VYqamEs$JsuY89xT<xhb8{CDpp`G>-Ng<opn@qAzZG5ejzy#5u( zfAXKGc+bCdAJ^|2za5bxT5b9t&hm<5ANf7+{8EmzKWw(GF5;15Sz!x%%mc~kJAMd4 zJsk$~^u{aV|5x;U|Ij8p$HwoG=Dot+%g4R<9AuX(4D+ZtbWQjO`?-x@)r0!~x^%Md zIG|WIPvxV`^c@G@O;)WtB>HZ{cacv09mll4&DSbSpH?OH=Fx*pq5i^dVVP+{>pyT_ zx2W26g#FG#!Q<yA{OGH$dGKVW(D(l#ucQkaE~k6ifPJ6SxxM0$`W$=rN5=C$Hg!Mu zy7SLCvi=~So_42u#Zkj`^VB~I<?lH3^|R`|$Flr8DjScO*F2Eju0LhR_vb<Lzbb9) z_qRCuty^{8Lq78xm7PbT?>y$*z4b`CO~Pyal-l=8g|>g}aDKDj=aJyNg2v|Q-g}N1 zzbp8`)%m^RpmtxJ=11Q3H~xCB)c<GLSzU3M(=Sf-qsaRm$1;^avdLE*xw%@^F7dVL zBj)Eferc~f{;#l;{l;NMxxzm2m<ONKRsOd~-+A~zU3K3BiS-qS&&7M&Uh_X$AwIvL zhkee2FUwPFTDfDAmrwoCP;2vu^L@op_H}XEKmRFx-D+{*;cJz74_Tg99M|0zum2d7 zP~|>0Z8kqq@jE}|uaNbP+QmndWgbYJ-*H5GUBO?qlkY!r@>^7GK4L!Sk*xfU-_a}2 zTb`_t|88+q_*}t1G0FQ6xVGP@UVTJe=8>fSjbGN0^~cTf?y3D$d{@x&c)RzW1Cn|h zzw&jK-#BV3_n|9&&%;M^C%yl-_lo&m?>7(k2&?Znr1`DR?UAWmo!^}YU!EUTzIR`D zUcqO%kBxu7cde~oASd(SO|20B#;<jSeYYKB9(-VaBs|Yv<6Xf=#g9#I`MbmKBtDm4 zI`4(DQ2EEM<d}ckjtIZ`*SF))UzK%*pQ;}*=GQC875;61BwJmtWcQ)tZ~dfSm+U83 zH1N-P_)K4Q-s1=BkLb=5`^fpcUU}ZeuiqcB&fl>2)Gy|;f?xbbc86H|Hh%6G(%;yx zZSzoWeZ_&R_MZRRqW3&5n7ZTp`Jniw+C7g&ZvWSLY<%Y-TmO!uH}{|T!8yI+*t`8+ zcYcXS+8;2RSJ+`L^YGVwmHh|QV;;S@uX^vH$oC%yw9D+Y9-H4u{vN+{A7{12{~100 z1+Bqva)s6xc1Z7eAo#xG(Andv{}0G+`*-$VmcqZ5Bg}Ii3PjJJQqdP1^MG;whaT&g z8m~{{F^@%m&!4vA^ZOwGme@UyB;+j)zD-xzf5>)S;it$>ev9ME+sZrN-#E-JxAA}A z%KU?jdiLr+CG!gUn*UFz=uWOVBt38A*ZD?u2i0@xeXhxGXuf>@^bZbki(}{NJ@*{3 zTlb-*de0-q@c+6$rSm@a9oF}_b9>1?mfsb}HMj9g&VR^fUU5YC+&+!R>M@TbcgIiK z@wE`i)AdkKpB8#w&{bXYK-BubHrUg`LHAp1=lq`!@pP^0BU8S@W^tQGvi>_Z>V3S* z$#*C5_2eM`8qQ93i^GcB_PIT>EGukeuQ_D=uAtEKWPCwy_?vjIN9UF=na8oa;;5lr zLDTK^Q~z*%-*N2ZQdPSLGW|FHS;4%W3HEmG$@2xx#WFE&k97M!a^_bYyOx^zr^)}u zzn(So;~gLIZL6GT4vOu=pZBZkeXUG*^Jz~(zqU<6|MPD1n8&ieZTR2RhP}$((9b+i z-mkFRSmx87!e;*+M+MKTgR)Rx<-8lWQvb@`ws`pSu>iltA<gsJ;uS|!&sFT(d06;e z;knPJwC8<n<DTbUX7Bz#fq%Nq{y7gsm+yE~`CKZ0$HA?3^1bCY58Iy4<gZD1ZNFsR zw_lh18Mjr~SshWIll;5QXx{-@yTs>iLhlRu#cdvnJ~v-B|9|kSl^eSE&i1f5rs(&f zNqf&@Df4|#_;(yu?#tKuD4YJ{z_qg~@9N`T6&E(J&v_{Me8-NRPqyzkrvB!>(<9@) z4^81Y|EI61Phj7^eaat>?-s{Su2qS9Bolt)S>;zb@rna{=eOtYIAUE^DR=L8{yF~N zHy;1|DRSN7u-*CH<~t7R@>ST?9nzgwc;fSyYvL(?g|`(R`TQM}>67P8*DvVzp7Wum zww?XX<0;QShTA;iO}E+qUJe}XpZC-@rO$cP^!#)7o(F8xZO+?267}El=;wdt{2RX( zT?wwA{l&e(-{MH1%14><9S1(fs@5H{eOFLq-l=bKTzlJly~5|-LH+HqHjgCqEe<}l zRoQ=tcU@tDe<#1iG39$7`qoyT{J}hb!+-mr`G4OE@fWlk+dPs<-`JC1*y(>`@Ae~W z^-upf`TObWult|J@qGVZF86+a(Ysr_&V=9p{<7w)>bbeKXLnxq?)v)k0MF~sjmmsK zX2p9Qd;PhF_1g2Fei8H8-)ykse^ImciTj`LjIVzPzWyQGZj)b;Q2TGg-YsXYf4F~l zz4iBwuRjlRzW&_Ie(gEGZuF<GKMxD%Nj{Htd-RI)_2*XOYtOmYo<8-X`?>H&yZJXB z3RnJnnzE<r*snhio4Diu)g;8n9ee$`oA26l@#;Gh#cTHVgzN7}+b8<leCp4+`4c|= zs#)Cq_2)6h?@wC8CwzP*`udObwV$!iYwGx4|527Z(Y;RN@m1+-Kg-vAt`4`FfB(4E z{}%U8kF-x$eC;d!$Nc)wztD5f`8S-if84p}$tt6`+Jw3Hcg;Fd`j6rDzxIgd@gL6E zyu7L!pSv?@?)_s{^&O@EI9~tJj+<e=yY0;KZR;!T_BVrt46pq(-(!?tr#sXCZOreQ zI`P+k46pqZUi0~VMe@BzRz~x0?Ohi!fBn}FRlzU+yR1F`JgL_1`vL3E)9!10UTN#U z=KTF%5%c%^ZnWD!JF&Lz`YySjXQCy)t=BKCwKG5Pt0u4Q>(6^KuRrho#;bDw(f;dg z&C*^m^Y8P5)F;>e+kW8JpY_GcC%6B6-}~^xF`?_vtCiQB|1K6W|Gn-;yZg5vPI$lE zHm;yl_}TOQ(f@W@A3o=+n)lK4@oMLNwVOXz$*%e87V)}w<F)9d_jaHD?mwjLm#6o6 z{Tgd&z5GJC_>X6<KbZFXBkSvb>mpv4=BYm38YiB;*Kpf=?XPM(4&O8PtXuzCs;~KT zMZbT|*1TG|_ZzO=PF`DnH0piZ*0}FxM)%i!efX>{=zpK~r>@(lDz;YdE)L)E;C0TC z)3;AotlfSnD!+g0J;v<4(s5htWb5l!mhWjkGylW=#n$txjpwuo_5Y}PwEEfca;x>` z2cz;^x89S=-peev)ozZh!>inPI-jfmlt0&dF2_@S-^aE__s6QA@3zmm{yK3jNOjlN zds5|5@_q6eU(@bueSW`Yx751*Z*BHHp1MA;MDG5EZ-@J~#=T!>6!-e;#%r@5xxGH@ zsPvVseDa@(mDPt|-aY?V`Q6V~cOKi=J?O5NZ`A)++hR50eLMTUAKwMPKdIVc_r}iS z)z){aAKUhv+VKDF^N(!dHL<-FvZsH{tCCvtwI|}gUEQHy-#@ksZhxoyIn(CAEP1Jq zGVOn=uQBQWXes~uecg_qSH0^>nZ@U9ve&lTP%OXF{d4S^pN3^}I=^Q>kPCOK%YDLM zk@TnIbNh*!-4p92e*UXny07aa<2O02pOV*p6|eca-6G`=r+$UmCvoNb`{aKgu3$Ik zpZrz1ck};^$8P=?D(_ie@TcJ9``$kXPuwp!_Cq$he?rasf6t#kf8720xMb}MJBMSd z)ek>qKL5C`=-ttRzY9KB{CH5D-!{A5BPQNo_uBQ#EnDN$*Zj@04f(WHK6lMuiKMsN zIkNYQM*LoR<d(newYrxZzn$(X|CM<77XQ1$OYCg(`CnJ6N1Qi4S~bt~+Hc3^uXTn} zA5V73yWOeUab@vO$#XZuzY7XopMSkFqCRf=gRPT(7j~_F-kH7T|Gaevf4zU{cIRW& zjDkOoJMCpH4u@%djgX&I(GdH~_6YO2jnBm0{&Ak~d0#N`)a!J^PvOe<E0<geHokZC zpR%g`v0ZgdTmNyn_pJZe#jad$@~PSV<S*;z*QC$M)ykFcdwgf{!#{>X*FWoRnD?FY zbzOPH_v(YY=4)U3Te2_s+5Q{)H5=n2zAGQxB`<odZq>$bmlr&Kd0a5tzUsc}YxgMi zYp-KB#I2uuvf^z%`|BFPYgI{WzD{x5WAw<j?B#Pt{))rK_X<B|U;2Nq+`E?j@1w`x z0x#8TUi-t7UDsTFY`aC}mM8vh|8ky0-&cP9yl4Byzp|6$lVa@;?W$|EJvP1K$lQtd zrGIM2N$h|4$#B!Y-+P1RuX~>uYk!dUaj;Cy@+a5b>XM)ITcrJIF|U#MdF{KW?XJ3p zweOSmf8cx`@mjy<k7)Uq+;4x4_TT#1Slr*%EpO9b{c~sf;j8>}k2lNPeQ)~ubxTsM zt);!v<E`<rYyL_lzdg>9Z66l#d+o7X`OMeqq&9xL+_yEJZ_VFF_F=Cs*Kut4=G^vm zPw|@Pcav<-3t#&@XX8D6u{Gbhf4isF_F6|g7QFU5u;**tm51|c&&#QQep&e>;{4*H zyY6wmt}?#%Rcy`coBigmj?R*o{CJ~d`i^IHVTu2*KmB*@F$aIeQE9smeYg3&>%u&0 z6-)EmKC4R<-kH4ramtgr-!78#ljU#kSNteaZE;xn-o|;y8^7B8QJ?!hy`j|Jc+K;P z$+rF1PS{KS+$<R1v*YiR&tGNNymqg6Sd|o0=dy195#DtLA7%@^FYIC8llWhA)4wD8 zzO*$jmnzKpJME191L1og8p`MV+t%}ap4w;88^_PR_t<mHF7HE&`<_Rf;rq3Jvgd6K zJyrEk<s)0R{g#N|iHGj#KKc8l=c~<{<)>?;wr@P<yymY^;@jzmPU!dkIoI*st;Y1x zY{ByRieFV@*0-0>N%;Le#XjiHLn;3q2Y<dlUGaOSQT@4nCT(-mB@1IF-cNeZpR$j$ zz2X@6wt2dr-`+TOR^F?wTi-68Ilg8e+qLuWBR164wf~iw89#r2@dmqk@rQk1lVtu+ zToeC@C;Y~*<j(IE5B?r)xa@PUKF94}-jnL{Q-5(C`+ehQ-bw$B>*goM?muYvINIjn z$rJN?YgSMC8h-5dj$d;3>=VH$t)KgwZH3(R=J3O3Kc9bmk^9`<MBDeWuPdwdr+nOX z-|pI9zm4zG+e+=FYc|IJNZP;Q-SM7M`!(Vxf8FvoyH>}w@mq4sR(b!5C%YzzpRW1! z(?Iju`Sz6?&s|TLn|~zge9P8(%)7s={wnm1pS|LkqTWB3U)INU{{}qxP`%XN&#f-~ ziM~bRpRV#ZSAzQ2y-$j@KlbhW$tuow1*uQ2yZvi$Kh|&YyKZK_`6qs5`}&nvo^S4- z{qS4r^N&BCKDXnUAE#Jo`=0N0rGCWs>Z7~vpVL;2|9<)CuK9-hpc!}Zv0d`;bS%%m z=I@MuGp>XOZU3wF@#u5uhu!DR4_U?cl<pJCwiUfrRTXo2J@dEpm-l(yD~@Y_lX|sO zzUIxphA-}2ruK(t-G9*VQFq?Qlqcui_I=Iz*8b6KU46hc{*CM86Jz%uP(L=^%5M4} zzq4Pzx$XNd6<PoEj$hkkdHeoo`@(nk3VuzFIsf*_-_W+Nd!%DF-PgVLcix6~&wEPk z*UnF>y9+I44hjAaOnAFI=y?3JzlU!1+g__Hsf)VCzasm{ub(l(*Umq;+Hfv?>!kIc zStDL^Zv0l>$A519fw%L$>gN~qThIA3^N9GIqQBa98$L(Ds*F01Z|WC6?g-ipuQTMQ z{VY@dZ}92wb<bMS$INg3c05Usczu53wfzsK6???%e_r|4d``8&oc$kd-tF;R^Zad+ z?R$yWb?On{cOTj{-}K$h`Hb;z#P{9MU-P>#;V%FGvqAH}1vhM+Z?xv`jO4e+IrC%6 zD<}Qbvy118uh}Pa?Yz0fhPr(R+rEBgEn72R|G{3@XXjsr%ipfo`#U>{KfU4zFW<{{ zG5rdoPwC3{t5&bsZ+!3A+2p1Boz}c|kGQU1aQuhRaeJ+wkb>}>#QwzBg_GXz^L=&s ztL>WC<`#+ZbGM(a_?oe!;&|nq>gPMv*F3jPw#|PXYZUkW_OV^}6|ep6+3+sCQU4ov z{2TFWe_w2Tcf4!se6uxwpOnR%U;X5FfBRN>?V3lsn&waZ`0Hnf;<fYcXExT^xi^0O zZ0Yyo(PzUCN1rD@XswGa{3(9v{<-s~e({zSewZ%QztP)XrQ*QUiSg1undeE`KYH}> zQaq$GKJQs;`k48R_@w!XYweFm)psi&oByFJUAf-y)8qNmzvh0+HH!InsPazz_nqR0 zuU4z9dmfu?`=0T2U4O*)>f^iSvtRrBrF!Q7r2QX`)+OHUmw8>cCF1wXmaX&C*8JU( z@b>rz@zlRlcA3?D{5kK(qtCYYwI6@|Jhx`e{P$Cm{{ETC^ZNOD6N_c>(R&_x9{)e} z%jX{d8^2T||1Xfwxnt+}OZ$k}W92nJS>H*<KYG$R>AvL8y%YC8n)6Y5+kBOu@ASQE zckOF0{mW9_<NvWETY10Xr?uZt{!xA&B*}NLUM`;3e1DM~Kl{dc<t<<LaIg6tn{@X* z-|M<>5#NiCivMoa|Mr@B)4q4@?WOiYYyM72db?aI+ukhVcj0lq*YoN^uU$UB{OyK1 zySGiHdqrPYont>)zo}+_!Nzssj~oAa7yP<@>Aa|3LGN7GN6c|A+6AZkPy2VP_s`)I z-|bbtn)dJ)d=NQ#e#5%?$+7#78b0=~IJ|k{eu<yo)}Q=!EjZPdeSSep>0gQ0znJxI ze*bVi)$VKBj=vu&ZRF1HO}2f{{JQe@e#OV7`<d7Lo|}00yv*ymZ9iZk%=)_ShWx~@ zTkB=l{1r)jdt4&hzO3TWu1oi)fYPyKe9gX1v(CTI+PF`C)`ord^Ed93-&E)Q?D~2A z%^U0LB0Ii*KB}~4zJ6H3{5t)QE!KM;$%WS|ukRP#UUB&0eJ`88xhwS-yt`WP&Du>y z{Yies@ptb}Rs4RRQpaCzaZuT>@Y{Ezy2HOJuUTI^zxkWm%=rEFza#e7FOS$?pB}Nl z-aO*`>Z4V0!q>j<H@^0HTb=(k{gu~`y|efDtH@W-k{<JbclwTh?(5|HW6D4N-ZZbu zJmyd15&tLCEq<xbTz?ocMYCp2e|5~ig=f~!myb*Q`{#q)>({vlepTJxurIEB&HC{7 z{V8>}`tq+UmqwhoK3sK=cixKaM}OL%{_3;ikny*Fu8*$CpZ=w|?PHVooEN2kJA1;z z+tx-t+I_BlcKy_^PIn%19RIKOktu!0k!$<C@B9m%8E?P-?!jM`Zzmr6X>&N@@%oAH z_p4s}ykf&V_x`V+-PqU6H{X@{=TuGo)gPfp?#=hC)%*IZ;(+G7!nW@IQ(sMY9Mk<~ zf9&i3ooDuM((g@tuHR$PcOu`kPrc@W<o4NX(*G_!b3IvFU4D8+_t(!K{Uhw<>yQ2V zDZ_p3{PPX}y`Eh^f4pErot<0D*XO>5*XEl~wVGF@l~eEZ>h;EUcAH1M{Cn=-DEwt| z?(_Pkc4xnDe71Z?LQUJ;pik1u`%OQozcH>mCcJIh=dZbIUbk1I*0ii%e)?DI#CWNn zvU!sCAAgXXv|sAy?1}IH^<J57aPP3S--dVbU0eT2-9DePzo6Y+x!&j#zv<((`=?fI zN<Ue{c<rn6n%Ct!7U=)oKe^^>(vE+>KUe(Ve}0c=`~M2L{{JuUv_HJ8Rak3V8WHc` zJ*zHu<G0nH&!6$P-xcxO@Yt<<&TDn6H+&23-8!Fb&0nLWx6@^_?PVf<AN()A(*L~m zfvS6wa+c?1URQ35c+alB=6kc`>%S=ntL!)a550E%_r&I}bs-NX)qef&d+hc5?;IQc z)%Ekl|Jft``uy(bnn3-1E5!Bh^ozyRP5S+J|LI@6Wd$EJJKc9Ixu5*TF8bGglTX^p z`@@av4jA9-`4fA5^S<waEAx~0^uK<7;+N*NU&d>`n*TWRL(KjB)IXqR#h(|+oAw>u z*W1?p*5+O9pF5i$ei9bC{(0SodFB13``Oq0-utlO?~RS`mVfs|lx#e&>r5hkue|#4 z&)-KaTjkT&{FSKjy5_$k`{=LVnPzMD&p-BeOV9P6HfuhsM!fdi_^rG*`Pb5e(sHK% zFJC%8`PpOU<zJe=O0Il<fN`6I{3GFW8-qRVMRy!mSKe>@N!-S;?�YDR$2{!x|Q% zkA>gZ#)JH2_KDx7K<}yE>y>}c&#g9$`G369=7;~rdF36Tw(alOguCyBul;?q;ob8l zaK&-`d1~!le(~3JM<RY}9=zo*daZ8F#&3_8rQQ9uKgIU%UoZ7F`{Of@S>10cwfnta zHU4$shHJtP8|%6Ye@VW4E+oF=(7ANgdygm7NqlHBSFShzv{&Dw*7|Ynojne}w2$tp zYb*WBR^F3e_{Ztw{GLBIPi*Ilv`^mCyH?z@uA}rX$Ln8vkKO$KpxY>Z;q#OoKQC3< z{F+~!A923=c-6go(^L1n+umQgKX}dWnTdDLv%xB7!{odCuj^B5->OSz+qXviHav8z zU)`oC_T>MQHNSoz3|g~ae$BC8Kf8FZoe!7USZDXR<?Clj{$6|6e}8gnU9VlX=V#CQ zWqCyS+{Z5Nn75bytG~IVFDv&U>B)MxeaW%kR=1w*DKGq(^m4z%>tCGLzG}x9?>qL- zTczIMle=>Lalyy@vi*O(J!*H=HI)A4k?%QQ7=8NJ+KKf>pVIFb*Bw0Rt$P38EJ!om zBE6>P?R3w&%qQV)b^W%-_$!Xgp15E7=S|h6cJu9`dCjL=^}EMczq|Y4<)!BzpS(Vo z`?338>E3$<Rg#kH*DvRJ{d(oWTkCbN)y>`T?Qzdm`L(A{{kfHIcCC(k<G0|J@L!7$ z+|pOQR`+tlH|PGX@?mS9?@hGL|6UX{f8O%;uQtMK?B%^T-s8{e{rq{O+v7hqIY+AO zXKlFG|L64SUyQ%ECH?)|Che!RQ+{W>{^L?R{?|2~$L4E24(_%0jd?uncVP43^C$mi z9^st#p^tyhh4<IYJ#3$ze)?57;Vl2gXU}&e)-*PUd;B|j;(gB_*5f_#AKT_?d)V&U z*IoKoB6|IluR=A4rh?iK>~a><FHQV<<)7&Jy%Ouzm&oz2)4BGUZ{xi3zS8~NYkt=r z+BIMH+TWNDN9!JT|J~KKX8rGnNq74tUcaC1wc+2sm0pj(RImNG`qaO?8)<jHt=bgl zXFq>KZTQRclCR&dkJ|9>pVsfJN$cnLze)I8^MvE}f5pEtkNKuo91%VDZ}Ru$6$|9q z=KPbmp8Vv!+rIMBmFpAs^v@0Vtm`WM%k%md?>EW&k2oP6)pcw38{In=s{Fb=;(Gsv zb@v}P)$*@9`1Qfng5tBED@xWD=UY7AQ@-Z;+t}!1uj_tCe78QjYrf>Qzc~<xAKE2v zeC_Xsb;_@^?Qcf>*1Tfz{k+HHrC(li_Q!-5#m@A<e|}lS{qxr%>f^4z_>q13XHP_Z zoO$Bk%Dau;zpnmri#-2#&zJlLW|>El&vz`9=aShMb<JM#=iG_=AGCbbzPItQCp2tt zub=o;=+47aC)W4=VeIdbFZ}k{=ziW4dAGVc+m+|j<;@Sj{d)fKN8WR_5_We39#!3w zz4ke7!@KWYrTgbfd+vL;yt~vs8P?-*?=7`wTl3c>>FsjaY<szg`noyyB3@~K-Ib^G z?VZ-&_YVZ!BkK1T^nCr!JsreoJsk1ubzu|xp2xiTUq1J}{y*v8_nMXOTWV$gZa)$n zb4g#atlsI@a>Gy2%JqjBAE(<Szh3tI_3eo3{2!0~;J9wD`C8nqF7rvbRC>+&kMk$} z34I*%e_7$W`+H|znQnCNFgvW;v9>>3_fW3i?O)0h`HG}HrNt}N^HcXRy#A$l?Q3z2 z;l2a+vQ_`5JSlhESIr%nzjS@{;g7oKAGbez7xw()m)YlX*`LetJl}5DZ@pdc_3M*I ze^qsD{FdFcHC}to--zV5#~HKt_eT8AJ+A)i<pIIJ5AK6T05~^%^KRQJU$o}$g(Tbe z!q+~}+c@ue(^s3fw@?16vM<<hk6&rc_sJ5k|1LRFWxr~}z5cL0g?ew_rtW>ZuBQI@ zuiy96bM8k!;(oWGmi?ss#^%kxPyFC`{ocHP<G;GkLd*BWfhW!5C;Yw%nn9j${cxZ7 zo4bLpF4xFs*L9U2OW#o`yS^M;Ro^kJJNEIl>V2;B*SBw6C;zDDqu{oC3O^y0b(!S- z$BgUU{-r(HT|Vho+Og^#6^qx*Pq@2ZB>S)FI?4M9|9vOfOZ}WYvHn5F$7^$!&J$&i zxX!<E-TjA6`@Xza`uXZ;!QZpbKYoZlw^uT6|5qEi`rAAC4=?w4^sDOChJEwI*8JV| zs^ZuAovWW@6RNED&%Uz!xom>%d!E;o&mzumKKitF$Nhx8@@FF6t8<@|$iE+pTv@+Y zd^~&i$tp&^cY5cpd>4IQaZLB#2hQu?Cx0}yd&uhkefo}C|H%4Bbx$AsneaQZMO!BM zeYcSQ$AhmI9(p_b>DQ~$Kbt4+e>m@>wV&Ul_jkQtUH)3T=Jj%m^qRJ}+db-%pKN#g zw<PUPk9$t-@1MWSu6=dhV_<jaX>{se@X!UHdC&X84`wIhd;gq0QJ%a~KW$ID`5b7l zZM%1_?xC<;@1K(=_}}Zkj{b5r!D9dU$~$}C?^Hkh^zXUH|NerCF8KmEemRY6*MmF0 zew~v1_BvDc{&^d|CAV*t7hm&tThd!|^@(3?e;qt}%ir)?o#%#c-mP2Zv)24INU(h` z_`33a#QDz$s^<M`UShXbo+aWvyX3Xso_$~IE<JL1Zyxd9{aSNs-RxS)f-`?}j!578 zxbNiO7YEKBKl;x(#qRIlg^btk%g=h)_tCP?Uh(JJXT|%iGVSJl>=VCJ<M}TCn{(>l z#_P?^m&;GQRy{OJKKZPE;lUqV*6*kMxpzXo=MV4ip8FqL_O^T0?%LO1`d4Iq&-sF{ zdU5aF>aw5s?@0aAbJ*|G+V=VD^OIxkk8nO#mhI=>T4!(g$vWph&+k_2J>Z6+ozp96 z$@dT6q^Is<dHru`#OwK2ZXI8F=K6!f1>aA6uK2a0_;$bD_XLaoeV=#yo>AN%5$`X2 z?fT`$t?_H$PpNr(ogv%4E#kM~@mu+<*Xpbj-!A9Nw%3jLoqF_^{<(5b+gtp4_g=P3 zfQM3d9|8@fewHb*`Q9w}`ftMVDtpTf_x%6(yt@25u;FXnl?P$xAMQ@wQ_8>dzRHgl z?KSrPD>nYKNj#wW{<vGs16gbS2md;%-_-Z7v46<$oPWwk$#*;EKehNLzjOaqi^J+U zwULjE%RV--%ha!5^FHu;j>S(q<-YW}{Nn#l|NZtmwWe40&HwpFlw}@Dtgkr8{CoeS zFY`9ZpW{FA=hwe`M}PgZJNE0J-NBo+D*F%p`nT`cuYYw1etqs2e0|=&Y>oYYiw*z& z9RBaP(!YM6P{QAzUTysA%#XhfxA4F7SlDlkckNfEw3r91*3&0cOgjC`_V+dkzxop$ z$@Bk+`rr7OD7imLJUm|c_0b(iIr;Q8KZ=Uax!*Ro`efw}d!^5>e@pVe{ymfB_3xP? zuYb>!di{GQ+w0#m1+(paB7Q$SaEt$1@>03C?F`xbTO)q!9_PFs{Xlm9hFZ;={e0yW z2ZiGb|7|t0`y042J>h=X;hT?pEY_X4zo9&H=K0z^J^UNn#pgVbUB2U|)yexC&i{N{ zaVYNKzn8!5W$K;ISs$(Xe{RFQ{nBf`zZZM`xBAd6e&cI(8|Epz&bGf1@mul8t$e|2 zb=n2TzfQB*W@$g?p<MLzi9fn*_dFC#_n-31?OU$#9Q%_Uk6Zu9PM<#Y>mK#fKiGa- z?3i;TSmrTLe#LR|@5VKS_SIcy?Du+~(?3wDes06Rx+a;|@5M8Ef1K<%?^a{@=v#1V z?cDnZt?FCWo<9Bc*pC)(n+IIW<Mkg`$2?%PzOPXDKQqSu_a$jQFXg`4Pwc&qdDmAQ zzbcwy`~Ia-e0QykmC*XaCh0wo1#gE>`6&GEL!*0+t@E|h>r@^~uetv}YR&!sYHR)) zB)?^UJ=19Zyy?AP_c$rbNq#)kG2LyC@uR(h@r5V9=G@r3DQ5m}ot63r<mMGNna_Fj zOY4pLxt;Hx+%%eBWj4pg{gL{oV42v^XR8alq-!1sT8Ags-bwzy)98N-^PKqDW9d5% zS$>P@+-`A1eb0jhlYV~v>(lY|uTS4rd9F2o3l81lm%UaO(eoqg$lT{Af6aQIbhf{@ zBI$_so?=_mymgA#?H};<TkKnQq<qdp=IHB_D*n~1JiqMS-GYxy%6+k)_-zvYx5nu6 z6%^)1>K`^ex3ONf)8FEdaoonQN;Cf-Fw0x7{ZsK>Vaw$FDL;C?{=L-n_3xy=Ni*Yr zA3S)AU+~)Jo{jU;GmmI1-;@4$up{5C#{AJe?<M=@y#BcH?a8l8Zyc7CD{L0O^XOC6 zr2Okf^~XVjywjx$?@YM==)o_e`z^^fk9ni@C;wa{y}{1zp$z|y121_~|8R=$IDD>G zWnY5)`ietGPp8(_*2gB**56Hh+b)-Fzb4{0cp61JrLMO+;&G>-e{V(Z5ou++M^j(V zEGSLh`Hy+~jjE+rgzvdLV)QHMD8KV?#%r!RpHEl!K70@=w7qtw{Sn!7A3M0`+zmN4 zzo66l%{`w-Wy+t~ZyYr4`_Psgb3f*jcg-QuzC6Xk=U0vDE9L*yw0}MCZFsHDW5YM+ z)~|cA*F3kC_;|77z1tsyNB^>y*v+*+U{&81yiWZ%d)~%k?{CuID~<`r71U`<%KzW7 zX8*?g%@3cbgR)NihVQ;c^Z!mZvOB^TSI|-}^H^=dAHMP($3L!B-S>zm|HiJ~BX{Rd z`WpM@A>;Rm|2Ad`e?Q-7+bUnS=I?{QTh6S1{xsQk{gsY%w>^fB)(Xz=`H^#E?X<5u z<)p>8Pkqf_*sJ{JzTYF(zJkv1J(+gbWA}tzTm3O_N5$MD{B!xYZ=e3v>ds?1_3{Zn zx{CKC{_Yd%kJtZPZE;X}-G`>^n6^pw57_!Gc1%7p+5E(xU(dgbyq<qQd(Gbq32)n> z#f8D6YtpH<z3dU6ot5WFe>~JNzu?T*l8VFJ+uoh2dn{abPvtAmjiWDPQ)+r^*B!3h z+!JozHdpw%`@ZhE`O|(Ty@9VY)19>cq1bziKVhBK`4hhizj-XX{Kh{s&;pV75%qG# z34bel{)f$s|E+l77Qf`R&u2EyE6+aS?^a{>s9SLReYMxG|L|S=scchZYj{p+=RKk6 zI}W{zRlWC^fxqI|zuP<OzAZJE*>kw#vG4Q4U+<>u<(>bbZ}D#LJJrk2Oiz-R-*N1m zZAuMj=_sea#s1|z_64nL%THF_+dG5*_5I~rBL3T@LJKRanf~WbAFGO6DA?aqk$A*g z`JUv*dmZa7o>ZCbN%)?=WS?yHj-O>a|FM)?94*xO$oV{`{L^M|uKqLUi1DZ3oV(G_ zR&VT<ws|0UzT(i)T9y0%D`)OM#`x{M_D3Ffi{sjP9~=F5?4AFFeZRuz@ISQ?9eZ8w z#XpPqzt^Gh>wWGy8~#<l<a@n;dR)QzDs8`a`me3ur`2!le#`D*b8P9qT9dMRzkhYR zj6P*6*B@Yf>~8b;?g{&zn*X*z_mBNxxNfiiI^AOVKbh>mx^{;DS{BDo_;d3_e9xa9 zutC>Hm3Qjjg9csoJ!8)AK2~*)`PyH(jqkqqmD=;K`Tg?$rZe@>IS%)}Qv0Yie@iZX zoSwRW!?(vxTjdXbKlw|uG&kaZUB&TV-w)sWox11V`<gZ1cZ<CKt9Q6+e|Aqr_7Qbu zJL$sL;VHF{l>xSK{DN|Yjp8wnJ~e*&^|GM3T1G|aJ6mLZO1#zKf9yRLeJ9_4Yzxn^ z^>}vw1LyAjTAz7u9DdmhS)KM=QAmD6zq`#t+4VmTTy<96|8K#X_2-#QBIdJ8n4Y_T z+(+v9`((Z~&r@qXo?ZUTwc!{njrLTe9}&M(WUI|5uXg?UpIKKXCy2kdIQH#uYE6^1 z&7+>zJ@v8Ag8kbb^Ly7^`C0IdW9I%ts_Qn+_NqC+DEF~vZ{V~08~R_nd)0OMTO7W) zSmoXWk?lVY{L4S_NAdo9racdMUjJ1)UbR2-<I%rdufOk?wC<l)C3YwIxALZcEwXd! z+k5&8PJQo|klz6wL^^(M_tSrt59IQ}Q&amrYkR&I{*#-jf7Rk^y43Q2Y~elm8?Sr+ z15K;ceTjySBHg#^uijt$?q<Qy-KfJziFeP-zOJj9e8&D|-NWv`hjz(JUi+J|;ahO` z*7<5{{#qozJ^tW(%HNh<VS6s0m(G(ra-P3F{@Cjpxoba#)_h$O@p@(9(&xQ<k9+*@ zvp6Cg_hDh-uY<psSEe6iy!I#H@ssBj={1eB<EQ+yf^;hTK5F;f*Zz4<-V4;P-1<)< zy~lsUSMN#jl0Uajtbfp0toh<JZ1s8qh4c`ty$oVy`RPBhFhNU-(Y^+F!d&yN`aK zZ_Z~056Y~!pZc}bK4#5t!PS-a(-Yn<=gPLf7V*0<cyr$G^i6T@_VWw=B)oht`MQSt z+Rr!k(`(-5vuE21-g~)S!u-DG-`Gcd;THe&I=|moD$i!~;OvR}y*2Okm+YJQ(N3=} z5<ZS}y(fR;e>><n(!Zc<{Sx_)McWab^?zQ^g4egr-f!3MetM_*n&*3yZS&vfr{Ebx z%EvN@bRRT`)DygB|M?xq{v|KDFKzQs@b!D+c^m%OJ!Ve-vij@yEAu`7v)wqVC|A(O z|7+oax4)O}hfMeFNdMC{Ieuczb9oE@YsDMa$v>X+k#igS$@M*dZk>?daQug0e+78D z@4q{=qi#R<_~Wmju~K{QnDe_2SKZ^j_BU?hyX~L#C$0beGU@Jl32^x8ulXIAe7E26 z@t=Qh0v}f#ztzuvt<L9v=qv4&xBqSb{JL~QTz*UGK8e>gs@MLl+wiSC{|LMCzK1ja z-75Te_tO7+`@L&Tzis?)E9C#tX@AO&KMh~v!5yX+>0{?DeuSRfZdbK$UvKGOf#W^> zAKJK;_nUmO&Z!N!_WC0yq^l`E`4`)<YKy-U&P<2)ne-&?Cp^EdQg8A}UHN~2@x5D1 z=5a!Ln)4q|{m8nmE*3I+@xCtRTJ+1;*9!i0e*TfT<M)$Fo4;3fzV)A9Z7`?)na#VJ zur=TL#b3YHJa%h6=e4@E8@?s?Zk1<W^Vclt?RMF0d)bKJC+{z{dpn&Wdw)vAZ^h%c z_;tTou1D&gpFjDlYJb6od-_Vsa?&4#UjJQjsLDR-<FTDv{ukECsjqo%n7lUqU{wF# z`IFYawv4#mz2VvMh|k{2agrb9-0z%c+w+K5zT)`X??v^0_FTE1EU$iF{iB$8)!hH8 zkFTm9zE|&UqkjMRx9`RKkIJ3<09v6bHr-y~=Rfh3e?9i{EH|n;_SB!+@XyBL_^;0o z#9p8O?iW%2&s_TB(T>~gYu5kdyY}Zq$8onkN220ex9*cOk9ptFEI;M-{eqtIJ&EtT zgXYiO9KYkRdQM^Nzv(G^b{W6<-+#pY6Z4$E6VA+kDD?cs-^9-C76;UA9zQs3wEy(a z)`|m`*Zyj4cz2!ib!AD!`DDXKs|Cydg<rc~Bc5HCb3|A<?qToim4$zmi~cg(Jrs2B zpZcROxaI-#^Z)8!Z(i9et+y}f$i~l$js7=Qzlomy`xSTUA;xp^>W__M9?DerPyf;4 zZ1b2kdisQ)<vEX+P52{FZgJ?Nu!`N|nV;heJ_c0Q-i~+v`={nU&L*Sk`PZK}UYq`? z=k?hQUtM<oW81ysDD%7zP3bXqo}V6jpZqoV-QtH&vU@G^PQ({{NSS$l-<F>Kjm_pV ziLW)+^!ryFG=083>3?F;ymQy*zMtM*x<6^n^O*^@=TCK9cdIdYv{>+d?~lYIwZHf5 zW5}+Rl-qGnX1V{=zZr#%{x>RTLH5X4?Ce={e{+8K!!MiMWb&V^-=UXk`@G2LUrVfv z?cC3YHT^y`hRdG+nOkx6-P7~mPaobD&w1^$&&GM_;HD>Z?ar^NmF~~^H`Lj4ZoD=< z`MKmKdDeFyJwF#N|9O7ONA7hWyZ)wo+Wci(nSP<xcuwrWj>jE;c-P-3P5IMkd&e&N zns-5eFsMH;`&n__?q~Kfar5tqrq<onmw#QiG~&GR;a&H81@n7<q#Q|C&WrWDcKv7W zn$PVKue&!~3tzGGp3v>{Q(wQ|&|YoxNOXP0;ZW6|H>WP$H?j6M&+~A@M>|yOk3amJ zV)uTPk=;SNdxc$ZpKrE%_o1))-1`G3m7m!BU48P;u6V|4e@|_A2O49IR<1L81YSN; zTN)YvynMqs`NXyQ$D-mp-PdhDbdx*9zAEMs<8J*aKiZu4JZ62a_xtd^w{6|o5`}vv z*FSjXx@lg$ujKuQ49E4K{V8ZG&e`koi2a<U{p%>B`EyTIvPFE?KC&x*r=Wh%56=GW z6MtIGxf`}e;^*p#?_;80T?8e|p4fHj2btG>=*f<`QuLR3-N%l<)4gnV^sTYq?BD$G z!!9=&_b2@oN3HEXG~S+mvg)7tsTy0pf=@-A<`$rp>WUvZt1FHvZ?l<Mf6z=XUh}8! zH;ezmavyuP%8RY}n-K5+?D~#oQ@KRj^AfKsr@7UoKKbtUFX2i4j)zrcGJj^o%rCE9 zsejDwUP0H|@RL7y=6~qnUT3|x@yzl#p5^9Kek}O>aLdG>(lHOjtk+Nb(Nb&kn3LUn z($8?2N3TpL&3`N=Zt<tEbACaS^`6Hcjv4(w^wWJu&5}KJ+-si4CfU9RuZcN&i(mR$ zT|vIm>(_teuKg5V^HcNMAF12+2kRd5_0Q^8Kl|TPvi^Zk^u8;P|4li<{U+dh;*LEl zd-iucZm4;kCN#gW!CdCiujQ$GW#$(&E)IKS?Dw&WKj;3OsyOY(vUeVH_*)$N`8wrK zlk~azqX!o+k)IQN;!jna-nGwN;Qry7=aI>_=MSEc@BIU5HBJkPKl+vBM)ls9_%`P` zk6E`@99O@yr}6Kesb}tQ)?b_WeRhw<yA$>gfAkvN?=jw!@Y+{sJM+x*NBQIm8q;GQ zJvuw-{zIwnH|#xYjtbuU&~-Zc^e@Bb@eg^wNBmAa0P^eiZ0l>^v+w1m)b;+3c)U{Z zd{0I4k!WSR#I^ayqUM)INB)0Mm3wEO>o@fywtWSk!aBuw98fL0r}i=Sc=6q*Z&UWN z$hV!o?R`gm{~_UbAG_FN_QqbjUC>=Fv(Nd_RoRrf*B3ukt^3HyA2ENr+(tY7y~%&S zE3;p#w|{g$@Y(g}+01LsUr)1GI8WyNj-%hKm);Y8_n{~HUtQk8hwV?_zkU;N?RpJ+ zc3q3`vEvoTmrjhA{JHm-du09vi+d)1dv_n(SgyV@KV?t<=KB+V2Cey;9`QQA;N%an z-SQK`6Mi+X&uywZP$7NZ;>fPLmeRjW`91&ZZ~d;{`@<5v3zY%14Yl;j-mIDb3v+UE z)(BS5Znn}ggM()VYR?k#lS^(}Sat2q&B=L_n=k!)d)@P@`1G~EzsX<Ejx8^&y&*mC zz2*JqA>npwKmY%Kz2=LG`k((|yVu+Q<h=J#H?H9P59U65wa<T7pQy63KVY^0=uOd- zIpv{0>%V5~+%qeZe_dYHrkMD{YuY!yJX%!jyVAPc;$hKTvF{Z}?y^7q_08hfgo>k@ zW$RR5%NBOr4Ls&v(aml1Na(rzw2!vyK8XF6pZKfCdCvpR>g&@!%WUX3eb16TPxG2h z_MZJKzbrp^^z0Glb9qW~AG`W*B>(Fwx7gh`M}K+$z0#x1f4>)&xkuU`{B7P59Fud@ zbY7f#Uct|*j|o@ZSJ!QMwL0{EjQ_dipedB|8|SS*P_>V*zh++5oc)e=`NHcy^!#0W z<?id#{{+8%=#j2jus%-d@zu4d@7aRvjqV?RE1kNZCBLw1vbpEpW0rXvKBukxZ9e6z z_b2WR<?5dfKTbU=c~0NG&T8K8rAMp&_eA{Od;HdW(bvDu^**uLRo78k*HT*7SX!5P z_Wj25U-LdTq}x1Xot{7OUxoR!&s%OBfB4^H?@{+(ukN?(DM-J$f8`b7XY4;3g5T^3 zy>`B!U)tt@SbF~Cj|b1D{N??b`#~<<V#ksv(;o|N58J!>RrPAS$WryGf2T)XD=+-( zQrJ@ZU*h$@rJ?KB{1TfU^PaETdgnjZ{11%pH`W(h-e+XrQMvof`ww36&Cw?+O7ksu zEWJ|wEWh9nU!?wF$#WmOqTlSD{P!bYexB;<*MDV`{?<H+*#Dku&HeJZq3_rJO1YZ8 z`rW;#Z`Z%tu6cdD;(VoW%pUJ`%MY2ZEBuhR@_j)IyUpXXxheJS#cy@mw{Ly*W5w%- z-)uMCXUzX7sQ!Lx)r6B(miH3UC;VzK?GHTuxwhiSt@WbW`^~l+|CUTz`#fy#hF4&F zT58W(KW5y$J-z<T!%wwEwT5{GP3Heke|<ddb=P}^*DKvmf0SJ+XKa6vd)<ew>^pno zzukT)D_8J~aix0sq_3v^BCnq>J~qp}dF%b=Q2DjLF0NW1R$H9@>*Q~rj?%v};&Zki zc=!M0PivV6a{U#DjOP`8bzJvfZ&Uq(=Ue7H3zOY`W`5xh#mMt}SNHgDXy>;$cJ9Q# z9mkF1D(>m**tfane|7ofudDmJYv0s6&3W)c`H_hJj$`W2I=9|uF0)tp?7ruyd-lJq zv-j`Bo(uoHPwLTw-c50R?CZ84xY>SEc>0dx_uQA*Jrp=!ap<LO%759~*}Cc9x4ims z;18?0{M5gDs!#rq`)%=0;^#x2<rPP{-<^2huz0tJ{h#X7ziv)H!WdWB)vWJfdssPd z!?T-7XN!-tpFi=(HqTBwZtYJ$#pf4)D&BjWTGMjbzmxk-ZOpI0R~2_2+unQhNZ6?E z7;oH%XOn}@zf*oL`Qmnx<^J`d#|=O8R~-EJH|0+k^PRV;`$gUtv|aZ1{JTJHzp~w< z2mdzx`?&VfeBQkKYUe(DNGt5yyuBlOO=Vfc=Npgz>O5ADsd0W|<M8eJPrYkD1@rc6 zJx-RX^No4b^m^@v-<doA>GFNx>#sP-ZTH}pv5|fKf;st1^4U)xZC+#bZbto)i|MI* z7fku6dGCSpxedQ9za5XRxl*NfeSem0zMPN!ef_Tj)8z%8?~p#i+ILU=U5VZK?QzdP zfBx0-x%~7GN$dB5yZICApH)YE?)msk*2wN)b<D$wuh$m-t9*H!FTCQwKk+4X2L$aN zNs9AN{rvXj?TbY}4OaK{pNTKDK3O-r{qzrAzQRwgpAK8<ZTPIZa(%^_rSj*LQ}+H@ z_ekLNXX8V^{^)G5v-e(e{=D4l=h@w+{gSUg7a#of=Zl=?^NT<2uKnb^_B_4o>(9vK z`LA<7G_cz|6r3JE?Vn6xceqTQ>#NkGKVLk~+F3Ov^f>3|x$fS78=T+NgkI|}=;yY1 zAe0_I`Qy3dl)YVlV&2^M{nWF;&OUj~`SU`rKW{$t>(8B}v&rqI{j9G)e{T5t^QHZ? zx(`2jHk|X{XlHM{=KOiL<HdV*zwOhzZvTk)-ecRikBrv+lRukmKC}MA8cUnFSFdhu z`pmNO`<e2>KfIp~OZI*2@_thb%F?^Hu92TKzg=A>xwcL%V*Y&5YtPerzy92Lc-Hd< z(|+b_&z}pv{%l;aXpaB${0-;MZ?Lm3UUUAu*!!LL_{8r|s(Vw|t1eR${p#H0l>ZXD zD-Ld*wQraIw2$0*I=lTR{yJ5|Uw(h$-=sZ<IsNu~*X=l9TDD)k^!>_B{~G_)+_@8L zH=lD}McuN9`SVS$Jx_1``qT17ja~fWbI*TP9{KerW}}_Gx&P$Pne!B{*???idi{Cx zVaDsX9}D_d95Y@g_OkzX$<6(T<@)yNe`S+@vHJQ2|2t9N<|%%i^3%@l5zB7-315Tv z95t0IZ2l~Ns^b65O?7qhC-o1Ut3A5%m&vE|=|*=Rvx!fi_))h^Ut6y5XkGQ2{~q7s z3p?hfpR81qdDK%cC;m`WJmUHEYk%ab<)?qn|Hya#+MlDd-rGi>+nm0qzuW&r73a0T z!E2tcFFgB$jeY)<pUtNATb{L_pZK@`$+pdN?60q>{-0U3C1y*|^*!EeD!)hk-h1@c zd&z8j@il+H{PVtMzcK#Dk-EgU?p<5uxAr}+%i3_ypSf(uf44Q?lRwzpw0{;O{O)Jn ztgUtYJMHg2KV4C4|7F8I`C}3H`GwcKZx_Axezw%>?`Qd5|9;r;_3wv-v-VM+o5fH3 z{PMS;`RiYU!|ZnYmU(qL^Vf@g-*Nb(|B`*jE$40c9K2Fpf6CwOUvf9i*>_@9^8BC1 zavOdpN!lm!PiMcWe~j1f!?X0D`GsZtC-V<#f3u2=zt3O0=6yToweN>TUjI()H9gOk zJ&*a?_rrp(e?Po9Yk$;dbNz{*U;a+)`1;r2xZ(Ba2dw24M{VEL>HVGgp&@<FU#C|u zy>HIncXrz5>5-)|M)e2p>8IMhe`-|MT)XEuXIw$o>-dv@SgXHJvEi?1{~Lbd*RMK> zgj#!_jr-)&BJT4`uc_P?@mXf$KKXYW_sOrjR`Yynk=$JQq+0u)4g2K9c08`~miZgC zZvS!3dykaoZTv3%tF_$XcfRHM1-0Aed=Ha-KePV8(ZFlp<5eGL%RG3nJ;=YX#n|RC z^S#OU1;xKls%Z{C{p(lVj)TAIW+c|ypV_!iew)?&?}z=Kf3Dkc{8ybtVy%5i+~I#` z$~QifOsuu<*|<-B+K&@o!*=|g`z5`5dqw-?`;+DMJ}~aCpHTHL%JRO)eZFbk*CR`1 z4F0bumDv+_?0iM@YWq`ll6i%FhwVN8c4U8wE-c7DS^SwTGJgJi#cR*g`@a5+OsK6Z zi?E+>d(B2|&H3|^uRmYDd+MLn{lixF$A10s*<fd{J*Qx=soewFvVS^%B?|iaD~`C& zS#PwjV)2}O|NDK@PMb%T#u(Ng{TH9I_w4M{nhs~1hcfa7jkEWk`XlQ8<oO=gYqJYG zzW$U<tgRD^m_J|j+Vk{&(|+A+Hfd|lpJ#pj`SNdh%l>oUH=gs~U}qn^=KOi7?=kt! zkM~cMKlh;{yynQi`!B`i3Vw#2bC(Z4ef9R5U9*0k^LzEwKIN}~xy7N`^<H}q80vj& zn!EjUmHVgS!srvvjW1dKuTi>Y^}lA(k;Ai7Yg)hl+<A1?alULh?rYDVGrs<Oxt{&+ zgWqNctn82e`g3N3oxSv)qP@EB{;B?z{K)sd@YS40E!<IsopZ}iRqmPWvG<U1ZRtJL z($d_UmD{hFpDF(+=U#EpaGk%_@2NWui}v}cm43I~^l#c9n>imoSN44UIWwWQE(}t- zJokIP-|v%LiQW10@Ir0<9RK(2yw_}u*PK5u^ZN7Vqng)mKUBV#{Qb$Le$M=j#wY$& zzj^dX#Ax3!?%LA(>W{DXrhczq8fSd}@IlSgy%O#g2aVT#5WK#_cIuh$_w--?{!`i2 z&ps#N@8`)Pug~u`y*9sGdX4>izKYXz%6>67|92GcNqT-I=zfjEIq{Fr1r_HN_JP}f z?fpIP3wKYqzdvKm&&{Uy|JvWIKV8AEK7Z0r!)t$q*ZdBTO0QY_)&KOLxz~37b9`O( zSANQ0{wLeE&Z#}IX7}Qk2aEnCuFSs2dTo#On!oQheycuo>%3&P{oVc(ztq2R&%dER z=W;)b^_Rs#pP#FnUi+Qd{<ThK!@d50?y3Jfir;=b^4I3!r@BvnAJzCAdtIe>?W@w7 z@8vyTZB)OVTz!c7-XqTU1(i}e_lwUx|5d)k?wh^d^UFUOul-zkO>%zbU*n>B)2Q_S zVXxoVKWh6}z31neGx2MGo>PBfv#Y)%`IvjfLDp-Zr(gTS`u)kI`k-s!)zz05Km8P( zcK835h|hc*p3O~)|J}-d=S9t(S2e$k9|`|%^?xINt<GZp#LpS_PHVmgw|uSB+HkL5 z_1f>kL+<N#|68->{vH2!&)M?do&PK>eqOl$M(w^I9h0ATh1VRIeg5RHudA2HJ$i8U zk&O8rf7W|*!rr~-JHF#M_q-3M{u(`gS6uXe=ltn^OZT;x*7cP4_!s=DoEabaIXn9w zpLmb_hTp6+>o+`;U;Fc*_>&sO$L1ABB(Hsb9&!HuqnRIN*QwpC&p$hV&Chcxzqj|4 z7yfXaDW7=P{@AYiPT^zWKN_}Q`!m<H{@}UkFT~$%{O@YKpW*dC-E%wM@6kV5@ik-T zpG}ec`;w|Q#nfM2(;jcUHzIGJUg=+(tr7PA%GZ9E_J6I5-FUD6oX+c)e<hOk-d9@l zJ-YF0oz}*C{<hbCr*?m>o4N5GKlioY2Y<KCasT{n<FmO5XN`}}O7H#pd%?k9wFU`) z?IbtW&6n9&C%-mgfB&ZZQ$LG-y{Pq$iS^o-U-KqDYR-eVtw%Ne^pw_peD~-P+w$oG z{5uXPm;L-L>|V2D*PZ*?dFvGK)kL4${;5{%(YumQf2Ti|)hno~+9zMwSp8<6<11mg zpEhSL{%?BozRvyI`;G07*L&C=V|=YzapYWi>c7T)=F|4RpZWD#(Uuuso1eb>oo4&5 zG2*k&hG&t9XEz_4^_&k>XV~yZe6~qCYy3m;x#D?H&U-U|;-3!<(KZkHrqAoS|Cr6c zqF8OEwf&^O#h(f{ehxjUeaNuyW0UvV&2dXhW&TB6`@Zwt!J<FHo9&|X>awo=ZGO4; z>8JFd>o(eJD)Tlz1GQS3OwTi4v-vy!^sl3;Pd-b5Lh0AfGaH`WeDLDTrT=2*D~`DD zIsP^><xki48$Vm;s9UbS;{2wj_fJ7T_nrqr*W;&uv^^J@-#vHp$zMP9Z}#t#(V4#A z=(YRlyX((ZeUiSs`sqK7(zC_grspNI=W$=N*?a#~&DqPxXQelpo)^iUXL`*>dQByt z#bSHqxQ~L>>FV}-4$r=S^5-{;BS$S?n7=!@{J=+@%F-RjZ29&ne)W94#ytD(^sl$? zUygtKTHfdTv}XHRU-v$J<)2pjIsNkFS<j#MnEIDb{WxoRyXkp}?0KAi`ik?9bAjzC zkN7OJ;aTMY(Z7~S|L0s<|3JTJpFsYH7UP-&mU-t~_ZRxu$;N$<W52IrZ<F+V{igc! zReF8rbzk>ac*jrPf6?D2((ixXweZuo>t}p@Gk@9K`cCuX!E*%~y4htC|9=na|0p(n z$3BIh4<9%^S{r&l@+<56I_=l;1%IqJzMu21rS*_~2eZv15&bXbhre!L^1rw5xbC_A zx?csuEBgPw_ozLpSmvknd}cuhzr~@m<*9Xz)iIBLR0n<c*Lr>SiBXiEf7-R?$+PF_ zTwiYR`k|(;{<Pb{Gryh?Ew5L}-}7_wjw1(EPfGV!tgc&grQD)^PQ}5h{W2Tw>Hk}q zTL1TR7sKoM;Y%!jY@VZj*!s?cIsdlZh&&;EyrN%x&m&Rm<qPHSPyeZS?;*!>dxiX% z2SU5;r&RSb?>VaM=db;{@=NO0J+^Zr&->-yvTc5Ou&B^&^SkP0->026_S_q}^uFk~ z|4R2By~=+iTlQZs?!z~kqQC5OekA=rvuWQwe#UFR6_4JFPu<J&eaFFb_Dk+P66>#6 zxW76E)NcIPF?aKc%1`p%wns$!HvAV}DQ<B@bsngm?w&pW)E~+36$d{$zB(KE)P}Q` z`+Qo}#yj)wt~vhPpmxih-)XkC%QK(HEZe^0hkE5>QT-o3^jFFkyxpN*!}#O*w6AxT zpZxCFu-)Qlm3>OY`*yb1-<`X^{!Tpd(KBVg?Ys|N#lK$9aX<I?PtTsh9_2j`nAYz& za`${{U4MB+_vQEr=6WBR?#6rFwO&6*{eYd^$Byi?5AUb!xn)29<=&@S2Crv-o;^?3 zT-@OGMOI(^it3=3-x=N2mEUuptT?NEU{-k7<KL(MPI$;_9`U|i?Db!RM<1R%>2=@p z=$%MVxt`ARn{$F>9<}~++wpJelfVap(=Gm+RzBv+-}qnp(=o-k4=sDUPgL^VIDR(p znfi|7ntk=!Uq$)9?A^a&&2m5UWple{-ith^pLcDq_Y67R>C;VK|66+G+{z{U8S@Ie zjL*$~C~E%W$exd#mC;}KhQ=2@p7ed`Q=5M)PfBmMICL;`N!*Jc_dV;qZ63(2ujt-= zf3o?#hpfx%C+zzEzGxoP?;C#?UlEphAkx3%m~mdgSM{0lZJYj0j7d9vHuX$ZN#ter z(|4bqsrn?pEd2EC)3d*R;Y#}Z)Bf<U&q>W+pC1)_eZE`y+I;cv5%qB~8~^Qj5fk{W zSYPwLy5hC@;>v66^~H8Pe!gDF{rSZC$E)T%(zSaex%>IlkKFf?^e25TdGh%2i}O!< zwdW+&Pp#^8zm{F_FMm^fjcR1Qv;4Pdm$heqJy}#Lx_RHuRWENA)tau%p2vI5hJ8(C zAGmldK0539vsat;J(Em2YkYiGdZX!iKK?JukKg>cBwkqWW6xfPSMN-B)V+CV+gIpf z$2qUC_3!=(@^T;e^w*d_`1NN~z2xbClC_b#*RPwrKDX5;UpM`_+3R<uKJPa?vrIVq zxxw_j@->_0*QcsxJ!i|FCkg6Yfm+yaHay#T-1zUzf>Oqp(~E6y?mw!>r?2sqL*3#S zd(5MmHCi!$LqFYq#Q478oB2xp8#^bwDL-KQZoU5V>=(Dy4EJu9xp!dA`DwGIXMKI) zwoH7_CmZXGzgs@le9qT-%)eZmeTP-E#nGdZPk$M`sd~gV|3}R+b-Vdn>#QR4`)$8P z7goBhv^Jmk*<zl>5z)WB8~&Hy+<!n)?_*c>o4?M-Ks~RDe({(;!N;o2r+&V2<M_e- zOXfY`SYB~VTkb`5y>X=f+-1w=&YpcQ@?3u6wY|}^<aDQRH+ubV?a@%B=lmP$nG3s) zzwS1kW4teL`X`&*jh_=fc6_b7k-S$v%x3cXVrfhNLbXrFKF&NUIIo~F{8#j&bCxeT z*<XC@*}lW7^Gf=iN38AYQ+Jg|TlzQE)*Rq0^HX~aI%kZ3$HAK?Q)_0N{N-LWQ`daE z@#~9kedTq^%MD(il=WRdZF{-V>z8wnu6x$IeaFGmk*fV-)6<3fcdS?WZ`&uY8n^Kt z|GC%{`@Ql`du+KYA9wua+OsLXbN1%bKjgx{lz0CPo?w3NLsR&hr$ut_rx@KkZawFL ztzTiGmSp^6DRYa0rFIWE`YVndjZOW}Tl?u<TGf`Adw18ce>bp=T=zcn+TrS%aXRMT zr|tUp?~K^%_vd9keo*>!>}K~#>FGB#Q*75yuIl)De}3PFf4?uY##P2m+p&9tjlcSw z*ZaOt{Cf3V?b*+}BhJe|<bB>*YNPkDNjql0%ennWtNzJe`>bDayprEyZ^s<_o%849 zKf5#e>8pRwerB(Ee*a<LXC8~Hm2=)V1lJsKm$7lUcKvhyhI#gfS)ZTXSRH8jza#jX zubjr~mHiR#=Zn7nY0f|C<Ga&4<Ufc#uQ<YbE?)1k^_u7JH_od+#Q1#f$BxC5{uQme zSoASr<?Fw(NqhHKui3voulwuwt7jwX|KDu-`h9*S|HQ9f|JYsotX}bKkLEhFOaEp2 zO7FIxs(Qux`uY2Yub-dW`y5;T>-X*r|LoR_?B4ms`f&7}1l#)VuYZ*DEZ@tui%<TX zFXvZpdHr0`H;$FBpBo>qw(HlucE9|}!$0ea?%A&U(DL>BbBq2dU%&p*yY|`L;^7`c zy9aXZYj&?pvHjZe^>^~IUw<Fkb$u+K{JHAKk^Ah;U%z*&MAYy1So%0#{WB;~5}!Z1 z^q%qijruKb_CJl;`}B!n(DnDzMP7gR?*00m)5Kz5`<(OxlAyush~N8@Z2v#oxat3a zO6NJTPV1H*W&Hf-_{oZ|9bbRv_kaDJfArV)wR4Z3uKD}>n&Q3t!Ryoy{rX<cz2>?8 z#(De0i|^@v)6;xjKHs6<(mmU9)zWz};rI3@*Bns2W^ccK!@r+D!;aerp4)%;?BpZd z*S>FLzV_Ylf9Iz9I=;&NTpt9NSG@POJ$%#hC~sWl{>;MitV(&Qk3HXaSoK~BzmxRb zvuNIjEkXQ+P0BR~Sj+s>AA8?<EXQBbz4`L#A74&xn(rPTcKY`68DHP5Ty}T)OgSBK zfAiOM$yNC~Yuc`St&B{pjmz8k@9z`YYy0Q#N%;GF9s8R4`&a9ity%xQxZ!J^-^aG! z=7+0o9<-D`PCr@oiuu~}pMtMH+f;1pxxRn@&V#?cn;WmGmp3^6>pNrgn&<B~%&YGg zKWF{$SJ0<Qp83kZ`{!hDm}4LQ>TT(h&k+wMoKJ3lp6$K&xaec`J(bI9{-y0X{;vMi zuV0m4Hq^-_%gpn(i|3RpY~5Y#z4zGc&mHAE9{rQsP|vpWKEK6b_dSmmO#NJ$KINnI zp2L#&9?OW&>&Y+dwU(LV`KnsxA?NuWzw~d~FUmjo*~fp{>*uq-eqdcDe)=u<*{VNE zm)%d_?OwS*>eHK#J?d+|KkoTjS7JT$eSFBX>WTw~?{?S=%fv>#yUw+I$1(Rk!I$?l zZcm?9C%@*WyX^Tt4^)fx={`2Mu<Sl_e#5)@$#?f3wR~*-W=`m{_iKMnHoae|`8RP7 zsCfqJcKqj?{>8Rt=9=YkI@|TlUw_p0kuPxB=f0+ref^Y=?!WdPy!D<Z+rD_s-<*$~ ze-EjD<Nh7<zh$fZx%$)ps_b`0ykE}o`maRtUioU9qS(yyUkmn1=EW<2&u%W=EB3l- zap?W%ucEIj=Z2rGtGXw!`@i1z>Xz+04k+st%ANWl=l><U`}F3Mf6b#mi)Ziqo@MEO zxI#YvoZe^QHNTffd|zL9<_DX8#fE47*ZwHSN$!92PG-~pXQoAVckPets_!fB(Jy#) z`p>yp_oF|n#~9QdduN%t?{`#jJ^$-}hI|t9UsS}bJh^_`v-cm){9p`!KIt$2wLgnZ z?d#{x$v<4XEg~;I|Jq~o8Fo?cwx`+FF3x=JyR3ZLW^?bqKeB%Qc@kmouY2wH*27i% z-)+3tFMRFy#meqA>%Y(L{#w_);hw+Ywcjg`RP7Imct2hD+V8~nuXPa{p4A>u{`vF2 z^7G3!%xfx_MeOG{T63SB*Y4wQw)sD5ldpactNk2y(|$=l_q|WGWd}}XtIGe+HH!Pr zz2V*TsIO;MnTPG&`bzoQ>$}N&?}>)j%(t|*?5~%+7hc$*Z1a#wzMymV=~I7L`D4mI zmPN+bZiw0A{L1#Tw@iHB`{+3jb>lwv?ERlmzvu8%>(sw<{)OMDocm<sRjc~@dCN=h zO&449*CyfZ^8b2~_2=st_4M?gdlvQ@%fvXo;?4W{FSD>B@28#A+Mm_g|GI>aS-+q5 zS*D<)^gqYzf2?Kalz&g%aeS|7efHV;9}oOsO@BW1>z?l?Dog6+U;pFvd$Ia=oaA~r z9r5PK@AuQIj?DTlmwiwBTAlTO?ay29D_*N}i})S+0M;YV+4wE_Se3o5RowmS_w}Ej z+$mrCCSZNR@zNYSg~zq;o(u3>9RF1tobY$w%QaQ`SJhYBMVEg6pHd%t|M0H5p08EH zYv#}Aa<7rgvUx0P_lR+O_>}YK!P7qzuYBe|^;70t?S`0|6RRH2`RTqk-t!u_+pk{p ziUX4K3i?iKpZ+6gUeRwJKJiyq@|&87Z_x!E;W7{3tum_bH|^)lmOJO3V*B@dcFdap zb-pcMKMN=S{WB%v|KC|$yQe?-E%N%jvGp4J^E!|!Yu23m|5waee}J)Uz1~+5c8kNx zc?Czm`*;7XfBah{y`n#S&!d@N=k%YfT$O#|AM?AH*?$UGuKqr4vj2>)i=Y0RoMwA> zcHG8iFKfJB%}VbuJ<pLnkM)|3_nOLY5ufiQeV;VxdC%9sMF)S?y{wHoXM9m!e)`5Q z+6Q_4K0ccjw7#%Mdd~yK-_MopZ5}AceeBxpa4g?W`FV7t{*k*|Q~op0dr|x|avl5n zX{*oA{QBVYGWFA6#n1doJG6fCzqW|aXEr{IOgOvw@T~NH)AO9!^Ng?A+|@tz?^oTc z#9I5_j}!l??AW{Q%j!!%cU=2<ec~^^=M@LemA*8WJMp|@^Jnk4gVUeX$liPKf+^_y zhVxaHavT1q&U{~Rckk1);b(qbEBY0>`CZM~FXxKBm4fTQS?S%T=lQbdRj;Y^i}+lU z78(D!1U&rt?|8)g|Ek~Cxz|;+Z?EVt-?3v~PkccyzlFz=clFNarcd;52%q!lMbne^ z^g9`IzecTn{ABi~I*)j@(>J%D`IWQt-?m8p{mE4u@6?39`t{o<dd>dxB}ZmGm&=}~ z-KVcMKmU34rh84!YbxC$KI;^0p7>Msp27a(4|$jTkG6RzDgU8qw)Clr{E45x+&KQR zcgeg5Q)`0m#Cm*M{jo<nCN|;~^SOxpBiifs>HmKI<>b%Cm&Z2!cHX>i^PK-D)>PY@ z*(RJVJ~HdMVD>!iYc}Tg6Th0A7s#GxdCi6!JbU$K<1@>LUyfb+FDbwAcks>odpUnT z)b0D&Aw1`P$g%Jp%JZe%#V775+i{5bo4(f9=4+AfSA3m)^4IO=IqR3^KRoZlKW*{+ z*<X(q{pQ{*_y5ut#_W0SYbx3HPx?3uvza~Xd5fw4^Xr@HJ?mrMEWNazBfp^M`;H^r z>k4X%fAuPVy7^F2|Hl0Z@^TygOIyYtuVU=WQ+$2CV#)fSfyY+!PwT!vtMq;OGWXL@ z-=E#}pMB#qNzgdQtn@bU*u3sF8}~Jp?>0W$nRNE@&&6}xKl2oN*DJ}~3;GrMfMxoR zhUzzWy8aaOr`t?E-?X~hv+myfCpOIA3d;&tsz;^o4SBtN+TT0&r~fF|=Ij1m9r<48 zc)j6k_tSsl&sKdh-}r215@<jtz1j3UWA;4dYc~B6pJO&Y+xc_%oAs5Tg!-}XpNPfY z-Y=^Uv%cF{9lg@u;()Q-#^3xm_bbWs|DHZ!Ptu%+EbDh1GJbt^$ElS6FXnHmJ5bs` zZF2q0uX~?fvi!@bFJ@(L(fRUZQEAXhl%WoA+#NibQhT<z+4MXQIPRitF3#thzI}4t z+fuuECI8+oeK#lOTmQyxahb&blb*D*$2<}Z-?4j2&;6{qU(2HQH@}IW<Mhq{<>`w> zrCyu&MZNP+y%v0ZOL5la=rxt^Hay!2?jZU9H`z1~Gf-=m*jL}V7x-)IBi%AT?dP8F zRK5y4w>WfeE-0TZKXP-a>Uz2Iitfer(|`5UUW@+Fu>11K%B;7qU;5_j=ATQrrhfXX z<k_khGv{56{#luLaMtp2HOcjrzre#}7@>M&H}}tnviIghp4)z0QLjjH{$nX|iyf=4 zSkIa0-yd%CP(#JuCi%P0rurjQa`QgQy?>Ei6(+fUo^E=&@#}Lpec$Ume{S%4oxa>X zoysbU>TZi;;4v!Hv7=x2r7d3l$UpJ#pykt=hj*9!m$rGxBVW)rd-v%d;5j4PCHszR z|Mc0hyXVRCIo{vqZ|q)u+ROHk<m;mqN44W#RM+N3`oEobyd>GuW_@J5&i4Ol*A{>7 z1dmmzUc(%fbNF`MhI!5Zza1jWzfbv>{-Z<s&AskFAH@1Aj#SUNAAM~74rTf0e~RY4 zD4G=Bp)Hg6-+oD4#@w%7YsEMHd&abB-tp4+I_}~oubZF#aZ0<pd&A3%MTLSZv*)Q^ zv#AD;09q!WEe3^aU}XH~FB_lDOgL+N^<#})cFd*uY}MZ<*6~&}yYD&fzUP7H_3umX zOIyaf*n!63|DK*KFIUiReeHE&iG8H~?ip+3=id;&eDtWVyw3LN2CqM=`pWB;PoK2w z+0Ep$#fNTlpZ<Qo$JGD(r8Vd0pRbJg+yl01^PySkYagF1Zjb(D{NTgCOY52aJ_yPe ze0X1E_nh%bd-a@$Gi!=sVjVwae{8Ux6C3!ddhO<WOTMz7s`J(OJ^jns-90bQZK{t1 z4Q}eEUu*tvu=hBmocLrE2PuC4)*OrYtg{g`rV1K@oZF{<p7s5U^Nh>+C)NE7pYU1K z;y|7ztX^}q)BUD=GW&?+y~m>KE7EMAcNNWhb#T-FhU#BZ?m^-2b=AW`1Dk)Ro~^19 zy{vuBX6|aQ*v~wmV*c#r1GCaQP0!0^&x>ADc`o8}Oo>fpRruu3K3|R>f9kv>o-?nY z%bb5|Rd4d02dw-z_6z-d$SD7@EBsDu<gxs9dXP%(Fr-qe<ONr1H`cIUH?fUew?6fn z`E{{u$fRziSj6Wy8z7-N3pCjI-D=bRXD<_L<-5RDTJ4T6s}DuTJboe=bpN3Y|BXtO zzsHz=$`qD~p48s4ZqE9{(lW83uOz=k>K|wRwqE_S?7H3C_w3vkc|Xcec=NkE2fmyu zDsx^5DJZ~&{2fsI&01cp46D)38ejWZW9R)Q^htYp#p=%={hr%<9n;@&j9tbi>eavA zr!|s4St^cd_N{ZPt2owsEpq);E5AIY*X>_YH_f?wWzG3%uV>HvYGArIA}>#`^zZwF z+amr~Z&1Ew^Br6&zP#gf?XnHDKHG55U-jDWmB$|@BdW9Grz+l0E1D<w+oEpH72!RT z{JVCq_O3IXSJ=Ed+oSe?<m=vwqgU6a{GVfIx!vq;L|K0Fweo47Z_g^#H%6__wom?w ztvdS%uFk5fZ{DwE`U$Pht{d$;B>VG<MVIP&*>xWp{$_jqT~K<>I_q!erhnh~iuO(0 z*II638`1YJ<(l~Ew{y={)renaUsEZ!^;~_v(TUVO&vqUJS2Z%(^K`G-Sc9t?%fz#n zcdmXDe@R}v+J91=YejSNp5v-<1+A~uFXzilJkNK&;^4onC;0hq?4LbneezxgcmIiB z(`_zY|MSi$u69Grox^LYznj=b>Ag?A_P4s*^gP4s=f+3D*06!CLDXoPPi$tTcSQdZ ze)wVKrS(keJC3m5Nq(OBq`lha@yr^rnAqq~pFyixHuP_P?O}V->h;p7`dx3H-<$sL z()Vkd>g_k*i|l)!f31JoZ}u5qpES<+FPGD0dR_|47@Xs#{m;N-aMr(qA8eUeSGwbX zZP|Rqubk`^$CS&wAr;#7smK*t)v`D8wh`C(cf7k;^hsdzzU?t~SJtre8{0;%lhZ5x zTXQYq^PPupTc4h9XIoP#x8Ygkky+^<I3nXe-$^)Ye0WxRpXqrq|1ZlAKi#|}zWUAs z&ir}0rOzjBs_%*2^MK>`bx_+;X#I{ucfY3o346U}`{iH7DgPHu`WyVKXiJRksWt1T z{dS-I^^4)MxyNVSOFCQJZhD?Idmj6m%3uAHznYw9056&|UQ=ln@i}MXGs{QHe{Vki zw)4_@(fo~{D=hs#%@5))>{EWT<%=}`li)`>6Zcfzd8pf$r|?zs_nPew7|Z=9e7^EU zcLk_Eo0kEq&nBO#`jK+k_?nIQn#wBwi63Vz?>9XUU7H8CMrOk^%cQfPJNEup0?mai zKLwr(`J7VImmTxq!Ox)g1#Wh>aUWY2OHY!YxAC{hP5UHU{__?6<zGx|clCsypSJnf z8td~0dpFOqzr1Gmd7;<!_ir8kb$@#wyvXe}JueF$J(b&V&)<E`_uvmXmhnHBUjAqE zw>Zo`=kc>vqkTth-xd5(TKWE?m!0stJe8l8=O_M6fAi?ov7r8fV^xN6AG^eV#o32n z3*P-dbJs?hZE3X|@BEt{**{PHnoaVW%4rdw*KByU^C);4i~AaA8tcn^{omR17_Zp` z&)FC8YyQiMI|<vB?e`p2mis98Tz}$6?RO`RS2oU3-_`j<^bwc)jr}XHaIf7QxAN=s zQ@>`5pQ@6(zIU_C-V<y1r+pTmUAo@bHcBr}sr2mTBj5(U?lqhAHI;f1pTERuz0RIz zcg;o^)HVIQrqHuq>djuCU#1Uu<vz;Ee{2em**~Xlzt1`GiT)kwbCUn3J!xmZ^RVx0 zmCRnJYv<$iULU_De)_2PnO_HsetT_}`@j9=;-~ATLn|^!ksG>Z{r^A0+4Bs+wuD7| zUbFGp&fv@Q8Qa%Ssgo^e>i^Nu9De%eod0U}cOLVce=_;8*3>^yHV^pH&8Pp0d%eay zbMN%Ov#y``r&{|tE_>JJH~Y`6>7RD``s}X{c$a;T_&jIBvzIxkd!D^aI%|CB*Uz4f z&mxn~Zay^Yxmfl*>ot{n74G$X7PV8qoUUIy2h=r-*F~<v@)aw4Ee==jc_ey%&GS8; z=YsdTeUmTnkAH0L9lLk?oIOX^$m@RppM34|_F15=*&bhPU9+>r$7VfmGWE|_e?Id? zfB)(0Ui*daJP^r`Q~xS;e8)j<xefK+pAIwIJrZ5NWB1ga`+H)~ZQm5vvHSYzf9y6F zpMR2#;g8b|e{b^o;BL^EPx<VxXRD8ZyMQv_E}%8I3pi)PGt1<&muuI*SzlQd@wsQK zU%k-U{f@svAL_0v+%y%^DU${@7N2))j`of_sPO#t2R8TZ6RX;4ubuwb@b~-4n)cW4 zbo2jRUz4x9{Jr_>bL>9vb<+Q<mx3n(Q2Jzc8n3hG>0Pr?UQ-Ef6nt0vbl7^&(ds>i zkIqj0)0ACv;9&HUcl93dKG`-zpDbm{SLa_wk>~7kuBD&;>VBr`N8sh|r_Yju&fnLq zpLad!x$PrCaOZDb#OD$_z1QF+SjuZE#UkD>7kd5o%Ht39h$?LRsfzc)kSc68v<mZq z^vLe|!FptNp7mSTS6}W;{T`fFn{N4kOXPRE<f?$l&pW`A5#ehp^X#-C))a%Rfj0_X z`u(zhtXyWV{(E=6y8WJ`hH`~XpUY4D;3|I-{P@MUN!P*4`ec{XU9fsByY-)6Wc}|- z%XshmhxBL2>9|h@wMpYmUVohKBfp`}u0P^4&pw^Urr@dPHI>i6h2YJ^v&E1u^||nr z|C9dhxKTa*i}oRDna8$}Vei>>k2`A0Dvoh~d+$+Kao}J0(;C^=tQJ-MYwRBf{{CYs zx!x{9Z(rWEy*IyP?EKRf`F+3UHONTPXVAQJ!r9G7XMy}_d(9?%O{LZSNuM+3DdxTO zZ#n$kYd_bV2SV}%{c{`P{V{9Lx_jH7g8O6X(Eix_$$#&>KLP2F-8X$b_vw%7w7(fU z<Ihxms>R(OYeepk{f&Pa-p+j|`TO6X`2`K>Hc8*xH`Tk^$$fjTRC(0mFlSt0Yx=d- zg<lzO+W(rkM!w+g;-^oJr`6`~{L>l9Uzb(2DMoh5x=K*(m3X%Jz^v!c-mU%QugIbK z(WTxh=HL7;+5OTs56`kMefI~}7qeHlw|O80s<~oeHCKDe|3~7R>b>Iormc>j_4R7e zf9=il{@vR0SsJY`rtvxxT+ptmOpExOvjMWg)&1Az<8L+oMtu~s-aqxTiu|;yj$)a_ z=gN?p>rLLI@P6w(M=#!8YL`6!tC-D$cjZO%X6<9$Z@zcyo}bN;^L4J*o4kHF-{*Y9 z=a>!8UjB_dhFr{Ue0DPd>`zeo{ATA>SJ7_1dQN`7b4-oTvFAIEvGUpJedSAka`~~S zzr`;0pARK>*H8SbeC_jxp6?az@t60Xs`#2?`FnO``M<oXbj$zkk>&q2-?9CyynOiL z?;|J$EqJBndFE@sUv_-0<NBxioZDXU@BIgy=|38Z@6-ex+rHztY~S~w`;VvAsNK06 z{Hb`3@tg}ClDl{8ntnxj&c?W&@I8-O_gz<?`1Q&=PhazChbPbcdbFszXtUg0Cuq?C z>o3THt7*9n&tA?C0{6r!ms$Ls^2PqJ`<;aEm!Gt6uQ;N7@9`gFqq?KAKP{F|`t0(3 z+8(EvhaA6Kp_SM9Q@^CcuYKO}Ztl|;SJG-X%$a{|P4#k9+bBIhbu5*apFU>gRqKab zdDYLWo9_s(yzJnWSFPi<^XD|cl~-%}HU4S0jc0!Sab{WnwB4IMVn2h1Y7#+Z`ts_q zYuD@lL3*5=;2tL^jXs?AkH=!pl6dwx50vd5Jjf}sd)^K2fZYv;binTVLpxylC+p7M zO#MG;U*&UyyOG~&K^?I3nb(?&XWoPM7V;$5SMG}V+_Rz14x=@2@8W!Bzx_IYKb=>8 zu3gY@cfE)0QAW_dyO@do-G6_3$0?utAh*8asPSvd=Tkq2#83Upe&_P_ANQB6yBF<u zE*;bkJ+Nl^bMw7PXD{z;xMB(#lM)1vNll9Yc@)&@=m9t5?y)|#5&rwJ@Tcj^@FwXw zkIr6S8uwVjyy779H+hG;iUaO@9*LIoPx&~T`{d8CYuttA(8}u&xbhM=e0|W>*MHjU z-pKngK5M{3H5;E{j45`X{s&oYBLC9A>2|)yeyu%6P5t(1ew97<v0-lh#eACy=LOUG zC+%tSz97HnV4&t#p5JSxKW6+reacV1>vyB?#he1wUDM58pW6?bj&;J;`;tWTzK+dG z@7$X|S^nNb-FuH^_4X-07LR$%%(qXw^#7)!eLXcydmf3n^Q+n0Ja&7%=6aT_`t-?= zy6fLzQ0MFP?5`iVmWiJ}E4tKfZ+zXooWh@sA3IFX+d>N4iEEaFJleD2S!BZA`Ce<j z2lpR-@A-dw#UW$62dw7ZJ>S=<Jb$^d|M7co+k@Iam+YwScoP0t(tJnNiYx4MHs0$9 zkBN=^cK+q$Vo^}#wF*>u?VlC-eO+GF0dS$N47Mk4og&uCi+{;~W4k2tX@Bp^Pm;g) zNTT23;LrY)npW#iD+|9EoXl?5wn_N^Fvvb*?$^Gk{~Oor-@6Pvn79=*n3xS3OstmO zEEj(rHkf#QGVZ~|Uy#AXzb?N-AM*Bnl$&00P;_0r*Zx8mJ8PTAtiSse>}?Y4Cw?wn zBmUrp0%S0eH)YSSgq=GUMt;vr0nHxYSo7Ol5YnneDQqDFwAXCr&OTLXa$aEj7xhCA z&o7B*^(*M)-*Noh`IG$LD~=ie)Y(zB<jM29KIe=R<kP2K5nj7FZq?WL6Loo7pZmY; z-TLPKoi*jt9!G=6(x;`_?wlI&*=OUk$mFw|4}zAPpBDrVnXZfYeCJNox9gy8^Ro)~ zdagPDf_`0n$n^cj->FyBWgbZO-`LyxCVpan`(Jm@IKy)VP2n*QXMW9!`5X1EKTrEL zd(8I?%adn*-7BiJ-Yj?L-j|e}yPB_ndwAAsD)}Nl+a#R*++lkDLEol*&yc#Z^IrJ3 zpT6(0|J#m(!uMpBzPsZI8%Mkd8%M0}fsP~oU;8HhP9$_3Q5-amSiE`Oy{lV_&C%+v zcR0rp|M`K(5#K33_pH;0j3dgwFqb>A{K(B@=s4nW&)Q>luXQU9+_imbV_M7oF10GV zvN(UUUF16M&2smSe}R?@wV=jz@>%19v(hnovhVvr0~^KmmiOh_cl?;#bH1>bTjo#X zE7@}=mLJ!iSMa6c(_!PX_xiux*F1kH`Tk?yzGeIqKEAqH^vz-OJDU?<&K1SYD*b*i z>AUl%&&%4kTZF|&yh0ST(8j>)_s8v${??v4==`MSp%%RM`r`_zz5Z)`wT(NOe(0{S ziv9D2oBsF2##}||c==ol-py}*H-b+-5j5nWe6}hCQlu}Pg*k}me)8X}^aj)OBFpP1 zL&gyQ&4rC2{&$9qAzmz+_o5RzhUgijzvW!j(rf=UU+2pyzpjk=ey-?C#AdsQbMooe z_8y-trz`G1VHdbh5*{C6Hy=9Uwc**ztDE*cdx;3w>0g!~ytjWzJoCK=g6}^H>Q@}y zT6{`aFXOWdd>m07F^(t{X<t2QjeK^zj<~<sYxdJ`gU?j`3AyZk`tIc=>p&AmbFMrA z_ZF;PzqbE>WLA2QDX8Ntdd((#P35}+?|L?wc_F__4{+K&V&;>woL_A8>9Fm&4?cF5 z>*gu_+*)x!_S`(JuY0dW=3n}CGIjqm$xZd1_xGgFdZ+XIywU5$Pe0vEv#scRX?x8^ zeNCm+`Kcd&**(G60kduWa{9l&Wqc#^n|VR6H2DhJ-0wW-s)>4I@B2xru<d)rQSEt= z=QE$$TwR&+pYi(_(|`Klsj=rXK~rNt(`;)SUy5eWJIB3rU1eLA-FzH%Soaff9rhC1 z0rS&^b-@0Yz&c>rDSw_FE!qb<&~~odH~R;jcGG3AH;13T>V2l_L(t{PPk*JR)qXD8 z2p*dWpPb$}x%<TDEhsZ%;U|!5vCCeNTFg%!Qj1-_45`J=PuSBGe(I;yo`V;Sm(EL^ z|Fy^_;s5^BeTPfGPcz>O8bpjwy*4)-RE@<cL%LwA)y^ZP#p1M4yI|#P@Gh7nqzl%l zUi!ZjUX7hsLR4exr$ef-dkLT}*tIq7`6hcK^6sg8{h|D+GW%nb`<nCMN^I`=-xEHk zMf$@AW&=Kd&g=YI_o}c$?d5+l|B7SmdmcPHV|1^&d(QIXc75lSzOt-;^7%hQ_7rA^ z7)u5)s9=n}TQ2e^D)ie&1}<eSo=Z;rwJ&yF(U``-m7Mx!<8ixh5uH<4Xfy{o@tY+z zT7S9V%x`-|y6T0qeASDE`(LNl&)*%^_kV|7_WWso=bSwA_wJ#0AE$r*_y2$B_q|Wd z|J66T*Btoycg?*=Pr9c6JNAR`yhVM}+Ua)J{_X#MWGR1NxPR0&>EoH3*Q}qvr=<I_ zc<p10xOV@FL&v3~YLDHPo@wsC@#~}6Gn{J<Y2Lek=2i8cT|0M9iceVgQINl)T=n(! z4)r}%tKRP4wdv~hA05ee9_sq7PkLM&Gts|s@$cw3-gAZBf7v7cuCaRUyDI+bHG8|W z=fW>-|M1d#d*9-8o!9EepBhj9x+C^i&(!BFQ`0}_NUygskEm3Os9Y9NIW3|xETZz; zrcZ}YU9R5tcK*frqH({kfv4lD=R6cXS6FBYnvTo-(BXeW|0MtR8})s2*C*{|a1Y=9 z)&0)J>tA-7#o1Zkv(Jx=x37PBuJBt^>fQHeP8lCKRop%`y=m%m$Im})rp@ZNUSm_e z#wLBH&0g_al~d9?yg{RvQrFho-h0F|zp!_2_9XdrA3NMXSw8&JIPLx~*RpwHzbz^! zT;0BE^F6<>**EJ}i{Jj!xo>*k-|0H9r#}9%^z^SMJL+z3+E=?Xvhv-APdXbu*(5x@ znYHiJ<r<sBr<Tc2cfQR1FIw3aQMqi#Li_4HySIH^eW*I-@zv5bb`Kc(cN|_Fe&e6z z&ovwWC!E$k#(D3N<nxM@y-d#=-^%a3bdA5_-MNq7LQhw{x2XQQNp4Tt%Y%jgqE>64 zXVN~;rhQ&a`~2o-+V*FUA9UTe@6(-xr!!AHnVIx7@=@cz5R2XGzOFvbZu8*m*ER2W zZRFz~3ioaJFYxKG?zs;=#WA*5j>(6n?{)k7`u4xy*Khu_{$*r&E`I--^L?+)bzbi_ z*L%A+^QCgwJl?Q*>T7JyM^ygG-}d#(U&(~p`ga>X`E2-PbK*&4($kv{JO6#zv8VBC z_O*&Pdyk&mym#SM=Q)o!s?8VO-=R96N1cE3o~)RM%6)QqUj=`!DL<sU&du82@qW{G zz1osJmA5z9S?Jx{x2FAlMAeZ~pIfFr|25YvuJTtzW!}b5cM_jQCOoY?aBB0^lba8p zDn9gDda``phi9>6`{ddy_HX>CsrNyS-F#zJtMI3lAA8tkLZ7s|@2T4UR(|6>ss0_u zd28>^&DmpP<#%uMn(Ftly9(#*%a4rTdw<UUEB~qzd;d<KnY_kkdqm~4h)S`DO0$T{ zZ4s68O#i!XyT9b8#gB%$`g>M>t#4+Z^T62e<HIzweMd~!71R{3{$8OrpE10D!=F9; zxBe-|J^XWjjoibQuSqtKCFj4W{-_x3A2)Zpoduu$o;CAjrprfOTiicuYI@Jq^tP$# zeN&$cf@4lNY+m{ro4@@x|5e$uM7(d8y?6J}ulWx+<v+Zd_N2Xk$6?ubg;m<p_K&-2 z_VnMbTvWe(kJFsT9KZi3e%<?O&GeOWw|>p`zfqMvZ+D?gZBb;t?DET-_WiqZY3}2{ z%h#^6F<xVny~d_LqOvcda^8kdG4;o;h0n7Kn-{&traYoj%wn-U`<{IVeqDXU`>vp0 zz2=ayUY_rM`|Ri4C)AH9`)&L`|4Dmv%)_p)Su%HzUGuj~e|`K__v63Mr+?l2_!IZ( zDjR)U%XRxQN}on1KebGFYMJzO=P#kxpR3DyK^}cBrhPu<b9~DC+fJVgo4tQse)M76 zwe`mL9&yeu{E%urzufxMVN<^k9(J1N{-6G&UD&NX$L7+jy|31ouc)iO{r-dKZTrRf zuh;9vT7KKVZB2RK=Jooo8_R#@oA|x{9#P2`QCT&8!^cy_ty9xmzW(gl`031sPiHoM zvH|D0nnS#QEg#>NT@(LL^=(bH%!AOBud;jvP1ZFBEPqb9v0isQpZbpD#`8X&sJgfG zjm^*UTmN*|z0CgO8#cZA`0f0-YwE|pE}j1MZtApo8$W#s3k#p87&cEZY+m&mq%fVe z{*Q_L`u#`G8DEf>Prsk~_tqokdk@dbu8n&jA^(AM`;GtkpAPHJ`_Pjf^S8hEe$2IV z=9}X>R=?l&_k6{I^EbDDvZ*MWQ-6DtT+Q!G&5s`yo&NRgQ`%F>q^C2Jp6)z;YV)B} zn~$9;K5(k|$f@G3+x~w2yYtwue?1>v>bLFqBlkMIuYbpZ+wZqlH3#2GdjI={dEUwN zLnr@7#T^uVQzLrs!HfTE<|WMk8gwV=zje;O<Hgte%)?`@_4k#BM_t>it$)|TZ(UL; z$g0Ssr;&+IZ-S%nW$e{!;q$cD*eI{DNnT@Pyr=IZzxj`j>N^kq&dvG5DgL9U**f~} z_qR2iKUpe{S<BdV{rS+rziM;bN~?QuXRq<UJpI68=dVq6R(k6;u4%s>^Xtiv0^QZ- zd;iL5etakTj8FT#oc4K1ZU6VTw$1y*v++~UhEI2r?=I(gZFkjTPxsgV9qcxbjO`vC z{(k$%*U~pOrr!$xFo)fLG_$5`N7a_6;SU7m3+fhLjh?e1u04GY`?h+o`FmdL)fVj8 zb#K!>3%~XI*R0RqP|`g$y&Y7yJnxzM{8<~sBA<<)?j(c!2}-ID?#8bDuRQO=E4OX` zU*$b%@3%N6d+$+6Hnb93{PgMrne8{~d#;{ewfWwXU;MXz9hbiS)9aU5-n2Yfck{?= z{(Yxs>%IPXcA8!CQ_IAsl6USL(>~7|HjguGo@UrQ)v$S@Ve@Rm<}ruOyQiD;zvthY z55L;i#0%bgAo1Peu=<?GkJ?|F_nlaNP}wf=|Hdcn(QocwIv2h%u3@qKMo2Xl2Cl~1 zPyhPWwAA|e&9&1@|C^;fl}vcL^YAI-gQq?>Oik|u`7*tK>hqqd%gdv!`#<p%de$q+ z{9ExgyMMdI;q;i~_s380U#~c#{BushKa11(wbs`D-L-RU?!Ah>8kxWR*WKLxSKsFT zbGh$*{GaT!ucr!SbYD+?{L6a!*SF;}r#|Nc*DlOq^K`@J$%f4n4x48jHgC4|t$(MC zkDM|-%KP``<Gbg6g+8=h_wm*7wa`lJeOmpe$mIW=)53d%_Z&4o_whs(>$&<v-}?6* zhgM?M)4$#;{8oFqN<iP%;@ta`Qc&T3GvVpYq^Fg~P8A<IWxQkaTI3c%#R7ZLb9I+~ zU46_f_u<*{HSg{o{nr1HYkon?U-e1yd4+B1a~{9)H2b&0>b2>r|6AVf|6g~z-aTIJ z_)mVF*P9>zT6g-_yFBme+e`Z+D$Q1X{{5|G>T^kL|Nrc{wwM8`{_FDNPw8vojqQ@& zx4*HuJ%4LeN3cwy{z6DC*84Q{F_(Pdmz350H`4bC=M{cETsE(1AFKVQFBd;PbD#e8 zVByE)(^VDUm%6X9@sF5){_DA8+Wzv|=XJy8S+B9-USq=#u3pL_D*Y<{7C&j1-t)82 zI=<g|&;Ls4`Hz_17k=OlTVLR0XFBg=!{X%=<mEoTQqTF{@%3885!<{g*XM8f`00hk z&y}fnf4^KRef;Hlo!6`9o6e6<t>3%zSbO+vEEU<tcb8jA=QkZWC;o2{q8gi?Q`4Cp z^YF*?wf7#+tYM3>z53~M-MMe_AGx0UZ?0+)zSjM*C%tCT`=jS?SABXVKF!X8uf8zS z-cqkVGqS(#CZup!V^a*ST+G0gi%s&=m;bxouD4-cV^jWVeZ+rZn}^JCOJTLxuhnLC z$3%ZttemS}wd<+qBN_J_^=((bui6~9?AQ96zh=j8{d&!&{ISKat*LuU=luJ%Y2Uxz zrPjxP-`}zeRI;zx_{k;-RKrvrI#v8PdfUEFUp9WalLX2Sn-56-wS4e(ef0jQJqKmq zeQfcsICeDu!u$K%KD#~<ek8d3M!nk4hhpJ7lJ-iu@6UX#UL9>87BBO@K0VUjTCcu5 zvcEq6<;lXYzr(`knSwK|a@f4p_6fCr|N4VHif9|`SYofsSAY6f>9J^=hsJ#WE}VP* z*X-v*DfJ4K`6AEPJ`H~)7H&~F?P~Sa$ozoX{NJ+wPJBE6;<i=vuA(>pKW@5bdG23I zB>%sXmvY+Y8MXc2pU>IzDJJo$WMZuysI)~6(2(W!=~wHI|9bj==iK~$<~_e+SHCZ4 z5We$}`P|9nNB(U_uEFAORDQa@`EPX0<?BD>z%^J+<b0Xy^*h(R|CjX=RNSs#yUxZL zT&jPMs7#BfycSU@xABwA#!ohhPj~*4wm$DrUo+?LzOUKc{1xry^$A~@j{j)z-*NO` z@d^Izki$1(r1$@fw!VL+N^#x43*YP?H`=AwAOHN|W?|j=R9lT{ax&ue``6_EJGcg9 zQScg@@8G8VHE>Pc7g4$H-^Fj?^Rn02B->n^udDa}#ILE3mGwTDZHCle{VyT)*Jqve z58}%9apf0$sadUlBYm&P_8b4zXTSe=XY1p$_WG~gkMFM5e|<0U<*~vd`PJIzMYPWg zX`kl;*DIh9jnAoly7SN}<3p#6kDW3;{Mug8zW2EMoyTnN|6e&azo7BF#Si1vzyD`F z_dcP1!1&(77pza(-D?8oe)YQg@!P&_bsq6-$4~y9_Vr?6;r~?Ingf^AkGEfXb<p+C zU;jG~5!F{Cq*g($zWx-y{x8U1aiyl_(x3esKE7tZS()|b@ees@_4P_;KU4pYhR@<r zdyjIyF0DBBvpM(w6uV0P$X^dCzFtV(TR6uqCo<l?=B1eSc|Ps)oS=I0yl?7j&GQ0a zZ`y)u6;J}b_w?F-LH~-c9JlMgPKVWAU)JZ;^l5+6Ecl{ydi6oeyp8{pR?iPj-|P8x z|F*CF|I?mpzl{Fz9@Gc>9|5Yr{>NN<{67lT3G=|x2?NJm)mBI+?0@f9@En|6`j3|4 zm_NFIj|u)XsW_tkW`E0{g6_Znw|x!;jbpyg`72od#q_VdwEleA_y5Zy<Nx2kH23kF zz|*__XKen&vjNnap9yKrA33%8@TtwmPZb|Kb$R!NbBk@9V=m3-X#c*Y?(Ijh^dCLh zZ$zK8XWKlQSmS3=zw+sJyIYk}ZyrBM4ZFYUT$T0J|M$K{+a7!7|7CB<8~d`z^)ko% zqe0EK$J4*Q6+Uy&_1oW_hh_C3{jj8`Hxoe>HK-p}x#;bBa1y<9VZQCXzs=Bon0-|3 z0Y<sP_Hh4Ae~UqN*i)1`?0xEQ_ujSgAMVV3{B|<93Y(H@Tk+~r^W#66SM)c5igxKW zHqqcNl3zq6oB#H&Q=hYGpJxHboe=+*<%e$CNA9ocJ<fdZ&*rOp({KDU1b4;mPlnZD zqR=|*{_St~?Js}3e{uTB!h3q4DlGE!uXi`StItRN>iGIIX9FnHRvtTbxqjW+<>1m5 z+<TVW@JVOmr#By5>Z@YpAT?NJ*}S*4Yvdk@xZn6K{;N0o)5(HQUZ+<d6SaHvA~Z~X z<M}G}JC9!dESu+4TX*o1`|;U%k@7O)`Z3oIi|g7ZJp~uFNz&_WYQg;@M7I_aoDaY5 zp9JcMse=1q_3@AjtiXPCxP{_;sq+;FF0MYw-(T@H=(c^*UQ@Zke(7Jks%Q32cRzme z^z_p8@t}@aQe^zzOLO+zySF{t=CK60p0o^`#|rLm>Vo=4zfBVFE`JbOw(rBgYyWxW z3YyMa9BpU6@k8kPjzfi+U**m}F@EsMblUvyS-0ztXwTVy?UkTkg#Iz(c^^CXiLc-I z^~u$2P$x`33Y6v(Bm3jh!{!-=&65n9XBjrneT|JYsGhC_HS**0e`}v-&^|AF&-Mbe z3+4!~yb>T?F!LLgi@J5zgBF?oOMvvi{s~0ae|C$`KU-|xx7b|w_1ec@&Yu4DOV_)+ z@B8Ab;ND&@xVI;$eO@?h-s|nRex15}{8Vu_q=l9K<$1$({;hReE1IqEJm#GLp{Kk3 z;`{A4e=2?A{MZrvW`EzGf^O}Yjd8t;+i(9mc{}%SL~Y@o`s17G%3}5vNA};}`sK-v zs_j>&ruR;L-Z1rf$JFPqj{N%ddwxXaF>vqs%tp{)L{5QceUwbtHCXpc&U*fLj!&@e zSA71@sXLB}`rS+T8drKvI^@6k?e`zzz}>I!y0Mnu?(YY6zxZ`tA7r2YZsRAJ4WGW) zr97VcoJ;#W$Lr6M$xmk{KAoBTwDJfj*WG=<H^0ES{#?cP_t*6Q=RCjtm|^|D!>_Dm z9<Y@EXej=qx$(c->D7lh-+k;!x7jrBd!n`fzxmthyR&~u)gO6P^uFTv#njrOnEIT^ z`?WRT%1an~_Y2(Wh^fB>>3;o(b-$G1-LHD#pASJJa>ncK<vcbQ{+qN{AbjiF`eV$s z=j@JxYOa4TQ*D2o0rkB$pZ@i%7+2rxYvt|u%5@t*LAzf0(5~12jgYFV9$Ixp>#Tpk z4X(OOqHGV^y<WQG=)auLw)1wE?fLm=Q(eiP-<LMMtF3%_tnia4mYQqzwtbMcz*A6w zKK%NBqI}*5!S6c`{&v6lLs;*FBzyn1UH?tz&97myc`Vy^&#SKD2>aE@^&ztP`}08S z9}DJGyw0z=xWdj#PjBOz_UYixm*(o}`J3La7i!NpE03uB7EyUFqO$6K3Zz!y&^~W_ zt@hN0cfq}fx!3-8w|O8q|0Ab-pIP1Cvt{$7ep}S_T-{!wHeYt#y|kaA6-U_T?7#a; z*DqrIVdHc9xxbfxIl24HCHCX1uYx*X`%@$1<I7NLud~~4RY7_Sr;7Whrnf>8X?g$F zfBhAQR_Aa28{V&MUvtFp-3PJd{o6lUt~;^(z(s4F^=!{~9Q|j#wk~Y$SGBA26JE>j zzk4ox)%xktyGmtZ>p;!W>!+(~T9=+Tnh&ZcA6Vz?0grkmV0LFOemno-JlFd98GpMz zupQrVT+;5LjJW@z`xZ*`+4_I9{4Jj-pSQ7I)jIxY6=R=Nbo~+8THp0KkDqRS{5N#k z*RP?|-^rx^+w?B}?3(lG4d5)xqJ5q@4AjSy1^4lc!{*()`qt)@@e$R(odrKqUSF5X zFKj!%<Ji4mMDHu_bbc)_xc4<@&A!mNU&~h6U;P#xdl^!D#b<+Ruj}AJhtg?Za}zK- z3*dOmTbKP?8{8T&|B~Kc?!UP%w4y(IPt~rg>@p7o%PUH4Z`-TSH~n@$x$>yR!DySu zGW~19AN-NrR$o#ZZU11W_3_)%I<NOWe$#fks$lU__TxW$*RI2;xum1xEAzlZdp;XK z>3npk*P3H{8PfY&wslvz@NN5J+BJs+zuot)+i_HKUSZ$r?>B!eezoTMihnw{>+6G| zov*XgzTPaX{hV4`-o12hv|jCzQ=1QhNA^H{uP{*a3B4^K{P$(y&z#rSd-`v1zqPsj zJi_*fX5WVIG0=*u4q9<B!z(T(@CahGer?H~oo7KKh<Ur$v~Q1wjUax*GJ<#%)aEEY z^!hm{b3Nk&*IfT=AT`$qCPdA}4yw6CZ~hZ}z4l{A^_>gX@0Q=Hs4SUNbA8jhyM-^0 z75=(=`q!)KGk-HcJ+I%}XW{O7z2Ew|buOak)e0Fv{G$XNK$PF~XV3f1f8F;S`gs$% z?%KFkef_&FUk(;lJWu`mWXGP<o8)TuM}i9VH1JTR+{RCcmcW0;+wqlb5tZ*gygPdB zKa2m5hH!mF`<f%N?+W@aPrvm;GW^NoyI0Q%*WUQV1zJy9f8<s5)kyu|zp2~)J<Hp6 zZ`SiX8TZ?%ppMsrP5b^W1a-XRw_)jcDP!q)on9NSd+!0?_liU6HjfuAzi*!fA3qHI zbXa#?!O<$yzPQBK>h@`n@x!~*zixh9w0+HS`+Z-Y?D)mGTKl{ds6TXGQ2YE<|4sY; z{Zj{5($V1l5ce7z>8mgOSO3yp8=t=CsIcAR2g~2o+*^Hu|GLFN<)2F|{?CB)yMFF~ z^t=9E-FE-s^4$MD`_|T{y*#z?|K`(G&n>*CeSPqJ8npJRyLU|cylB`w!E4XK-Go!d z$4`Ckn3~=^^*N{cm*+j@>o?Z@+@AohyW+BuM-KnDJiYpm1vI-Z7kU1-tF?dK#JBe! z&DwWte@f}j@;!f_g6gjSr#9{Lo(^hM)LnfAE&vaK3&1vT0Vt>q@+hb+5Wo2|&zIxJ zZze!T4qvZ<j~uGNsxCF@{Xa_~-LAO&-}65@%-?+fLFI=x7XQzt+WuI(l>PY8eErw6 z_eO%}79uL&ZTz%m!>2XiT3Tk~r<lKeZ`a#gkElFWvB*BUx4!wS_Hp-^2XCd<-g_Xj z{YJI$-($KzeJp-Xc`Ev6-Q4wuq-APvz2f{9see@WTmAWG)i&jCD?a}|{p;e#cl^^z z-}6t;lToiv!5lYCMXSAL!^aJyq2q=ZU;UH6{Zr@@=ZBthi(foH9}3Ecr0)$X-SbyC z+J1?C^W!J})4yIU{Fr{a>c?~M`7-kV4!yct{p0t1i(38q|H9}0+<RGA8oc^^#g2_P zcek&do_j`-?|#<b?hg&$fAkpFRLt&O|Iy`t-;O_PzLu9fn?L90>pSO)Q}*<4pTGHM z^qRlr5x>PPQvWbk&)@!Yt?~ZiXZ|<R|MW!f`RQ#v{~Q0tZ|e)a<~Q%(^zqY!9Y0>D z+Wwin)P2n!|5cN}|H{wZ^XqN$*Xr)8=Vim}xoZmde>nR0&@1=$tNom>?aoGg&-`3o zW_S0vz-zl{J09+QRDG-By=<BM_8rG8@5Lv4Wm=z?o44WI<)pdKd-k4=dn7Ha7V~() zhQHbGKC>Oq-~M~<1D53#$5iEZ=!>4)m+*ak`7{1=HSgc&{%3w1USWLu4@dTYiR}M8 z$9v9y<dmQLv)A~)it)dm)%v&o{j<OEb4z{0*8hCwJ^mlwd7J%za7KUbPuY9M`;M>H zzy0Ut%=dp6&vpOZZjn&aV!h|MRsFLw>p!0Op?q$C((nFre<mB(m+M>iudjZw<Hzz; z+dt2j`uCl#)_?sm@#V?F+WD!qzxC5<=aogwU(WP;pWKFb+1;h`)@y!SB;MUF`FdaO zzpLNo@AOx^R_nF#-D$bk`$8hVFFdx3pE>NFa@f7qzi<6JRScR3_-c0}X)k}#n(v;C zU+pqC+;i8x_WQ*C`ETVfOlRD_=e*mTJ(usrul{}e$0O%wrhMm~Nvyx0_<pf&UO``V z%!9wyxi!t+?~1z*ufO%z&ib=@dff*G>-*C_O4l6Yy!KD?+COHyPj_}-x;A+)yI$>) zSK-Z9K_|P<**Ncb{e@3^7_U{9NBs5M@ayiXbGv@C->#CZOs)8<cY67;zpc-d@5!H4 zmXk}hd-&$!v#-uMwO4D`{JOUC{hX?YSNQ$*9%cQ$@bJOiZ|Zz34jIdRe73M`A7}fH zn(b%T7oI83l}mg4bn)Y}hu7An)OBoEkJdk`c`y0BZP@w^{n>Yt)-Rf0(YpEjtv?*{ z1wH2PH&?YK&v`5yXM5<{{R#i2zFQmvwg1!KUEyAS&*JN~mGkHRdUB<?e)H#-c6l4# zB{!wdQ$Kq3_uHS|cOG!Pw@dgc_x@u;xcru?Kkv8w@7(vbZ~Om;R|*gOVJyG#+h5xL zk?1-5l;5s9ju`Tt4_p6HO#Y)}|Bc@pdhH)dt^a@eTmHuW$^AEeDBDe}Z|*MtTzUM| z<DRMQ|2?APzpEbDrEk4_p2gSZVEwsO&#zQ3w@cp3zxUR;#orS<jOUpjdfk8P^ZSo% z={t^_+C5gbt2y(G{eSLn(JxP5RD8Dglf8Z>zu-^dr^B3fk0pQq&wjnu{@}kI2YJ_> zSby~5$xk+rvGG6ip~Ja;wxzF@2Ctt}_5KR`@^`kUzFq$r7V*40<auTCRr}1pCkh&i zWqiWyA4!=1Xff~K_Lu+5(n6WI7gs)>`6CuSf79P{I}QrptDW_yZ`(b&{12~;!}34& z{jsun$hkV+IBdUJ|HiLV(>tc7zq<0>Z*S47t-<nhe!aL7-oN#4=|0nIKa*rW?1{ef zP^N#!fuHGX?RnP~bT2+1X<IYrZTzL_*9yN>Ebl8n^ZrAN`kr5V*4jT-zGrjr+UtUj z%lbEd@U8#xd4rt%$DXVD?>o26`z4z6R5J1D&hl3?gV)dfb#Z0C-`^)!uKREL{KTfe z|L@It`Q6TY4m0b0kd*)Mu=|T}_?LwlIrcZJKV4#7H?#i0$LTqH#ng8kHlFw4*XcL? z(sOK%etTWm^*w&$M^(Lo*5>t5dyfmsedxHn{L=aFw|-al|NTBwTjt~SU{kq|4eB<J zJ{>lz|7sHbzvFtvZ^6~aD<0WI$JCuYH$U4>&*cB>u>1|*O@F`MD0cnFn=|w0{5*L1 zZ4KxQgP*(BPJf{MdwIp_f2!vs;va4;Uzu-{@cxYaoS*lMbN=%_4&QNH@Y+AaYyTwe z4DF5|Rn7Uo*m!^8v-t%_e;D`aWq$sfK1u$(e8SuPhpmsPR~%wbuX}ms{fAdUU%VfG z)Y-iM`mM?>^=-;`osWOroU`ZE?sWaPw&|dD(w)@Wzk5LKiRaO_&p%H|teuy&=6BVR zUF(&v)!HQ9J^qzDr}nP7{Of(W8{b{#du=Bg@%?E-X?)b0-xm_^wsUH~m(+gmoBlj_ zo}%5Pbgj>JH<I`AYpwb2+56Q_a>G4$<!iq+susSLzr3BZ{r%?eXM5Q9R8H)@{ynzi zYwh%;+WT)e?t8DbJkI*-!Ik}fwO^BN$G={`@ml}JbK8$v#rFl@b7%dw=XA~EM(H<? z#kT+GakqK+N64)HztAt|`)bE;de61en`!^}!=!EZo~4@AbvxG_)BL7)^xuwSn~zPN z|Gg;s{fD~K=0{$ow_H8HH~9RVs<&7A{c1~IsW0F6?&a~;GC6te^}2bL^JM+@ot(G* zfTZ1nujbKvk4oQpDA&Gz!(aAaSFHNtUS9d=`TF6bOWW?ZXxlt~VH9?My-9}4*I zII?<b?|M)l@G!IA{-ejL*Khk;yywur)JXp8PvRf{V7~UBG5*iK16A>B;*wv-|M|`G zx>n?Iw|#v?<+B}+-!B)n*xUQ|zQyP0^nW*J+FO2ZzJBYc)4X!nRCe@QdsVwfT>1OY zzIxrA^S5VTNZ;RsD<4n!Y5Hy7-D}bt`rYq5y81e2FXQ^cGRxWVk6ZrqRUA{k_WMuE zSG)NA^S=K4oFxDH^ZwFT*308SMMyrV2vNTipYij2#P`(CY}WT1pYwiv_UYRn&AyLv z^9x${n*Kdx`t5$k>*rsVmdWfnx$^O}pYC&F4qlTlZ1b=9D;pNS|K_#Z3G&~6bX=Ez z^0`5}=F$)DwROi{P5&<z9sm9_gZBBk!Txi89b7rxZ*TFdy}|Q0e){5O-G5H}k??ux zhmX3h&9_}w(6(4Ra_=!tyGN4j`CI>T{VFQiQ#m{M_-pOkzj*ULaDM;M^F4gy$A7mY z`PnUYXuW<o?@!d8{{lZ()-9|In!A6#@Selh!skh^u@PQA&+6-?mEnGS%U?AIf4`Uc z+ui0oSHHy};l9F`w?C)<=qTQ^ch=SOKMoY9zu)xt$;rZRd8?P7Ilr;IH2Qr<?VSf= z^FK74x7giw_WryZ^6x)9n-!*C(8K?uCEDgOU-<P+KX-q>@$1*;_bji^@8^%0KVRte z=gWzA+vQ%{o$<R{z5ea;st!~8qF2?+=UIN0dR^nb=5z0khn4;n<+;`WzW#n=Q~l=g z)zTOC_a4Z;D_n1NerNUmwc9TiTi>fa@Jjue`VNkq{mknMIv2l=+Itu@vZOA*<!kW2 z8nvVM+~0nVdGt%`+j-_ti+!th9{+24hWTFn<u|n_u3fj6-*D*Hb7rMIyXMU`KjuE? zA>VV0z59CYSDlN`H(OOd`R~s|o~6&`ho<kV-}d=w5C4Y$XU*!{x7Igp{m<CmqyMqN zI=$}wnfV`Qe!SQI)~4P2_CLjI{{*l7tBx_YJNz*<r|#_;d#lgxa}55qr0@CHG1vX~ z?}*>|AFEvV7c_IH|2sJI{-b#xW$)E@uQj*)oSy#g-{P`;6ROM0Vrp|D@7LA5y!r8? z@ad{gCzpm_zO?r7m*BAL&oleKR<0^IUc1(G-f#1SyT=7z+pV)Z`|r+l(`&We8{b_P zdu{hL;``DAyYzXl)n;sbcUV&UJ*W2j2gYS~Pj?<XWqhzoeo4gp=Ah4&^FwBx|GngR zl{|06`^6tNZ>x8?f6k~r&NlJy*Mtw2=c*U(eQy?VpPlpCci*nBwINntPp$m!S6lGv z-t*l0wfhf6*&niezgptA`G)V{OA{F7KT4YaC~%%FUvu!=`xmD#eoQNSwIi*r`LXoP zD)l)JjOT6q?;4$7oBZuKuO8@Jy8RnJ>gpA=H_wi!JtR2qV+ViyroUgG_o`l-Z(bI$ zpTBC&Z-eBgPit>p3yxC^vxO#&TPx+~{_0Sl_q^rw>|3AL?@xMenIxZ|pY~PP?;~He z`Sz;iR_AyAUA1=lD*e9g@tb#@|0A1M*vMXU;HGZQelhuicJu99sy>uP+aHszIm9`y zuxoYn%|AlsI}Yu>@JjkU*Zm(4UY~DvT4T@ewej6yxz~0f5tY{?z9$yES{xi7d+6Ks zKc?6I+*p}Ex2o^Ejeldf_>Iq|76)|SeUR+0IP`OEZe5k9_59;I&-Z<wUi4~5%D<Mw zw{KJ(G{5;n_ud0zzYXQl-;SHUE9kDCbLZT#^A?BincuE^eLLFrh_uW@x$hD6`^*#m z{+w5y8SP)WcEhKxIaP127%!h^{dIA0{+wT&^DW*>ikEMA{#ify`Ram(#V1}_?>Wpl zub@ABUiJ1(U!NTO_%3?QzF&UP_6OZ-4#?^i{)#o*ci8gX#(MW_yVtB;Zxgh}#%qm@ z)*73t<#raO@1t{T&&DU7?FU;UQ*<xp@khb=h0Xl;bI+F_GPQgBqq^c>{^rlEbACUu z_*=HTPx#sVk3D}+-~93Q`>kJ$c^~+`TO9oTe)Grl-v2E1_nOP+o3Kyc{`I@~hib0Z z^S}FjC`ewt{KupF^*a*wbFpuHd;c*9f5nk|x4!BBc-=0_r<YxCE0}n;f8(?CRmOJV zvhtzn``t=w4hcU#zvEBX?fd(i=KB9;kNExm!^DrA_v&V!wYT`}oxbn8?6x|0z1GJc zx=#N(Sy;I=)ppmWor>=zwBPfrzHb?}zu#h!Jg?kG&iFg}HHG`vCH-D4&lqN37V%y4 z;4XjmHNPX0?jGk{e{=i3Rd1hvelG8R=aJxZ{jI;NFa4N4^ZLHC{qZT^K62)7yk~B` z=DTlWxc-Kp>@`OqJ*XWI&c~<A*c`idJLc>;^TK%Nn0qJf;x+9a+1|T%^Ofkm$5QLx zC6)evwXM!CKI`~F(>YdrGwP4X%6;tcpY{Lmw)?+kZHw!ymHF3jwZB4PKU=!|=DIl> z{{8k(U-SRp<a*uc`2V$!7+&x9-veFr@OA(C;H1CrUzeQwb8n`7^56G|tFCYR{Gwjo z>`3%H^F!%-j^B%2D=)dOu-Cfg$jiGqH3hP_*Dug_KR)}w%Exnl@_yUC?H*_Qj~@0n z@z<|eKW31xyYS51=;zjo&xNNy_bgYRr5<B<QYPm7wS4*Xdk>y`d<LDpd3|4e{f2+F zAMeeyxA?sG_3eMZzV8i>_`k2bC3~Lvv5)){<^2l!(rb?V<G-wb=h0c-od3+VnKJF> zC9f(H>bf_}Z~S^*s$YH2&%o8}=9|7I?K!A^XO&;w+*cKc4j1dpmrOsex?lSCuh4A1 z!Y?|-T~nXSX`km>?!Wz`>9=`_a&vwzHm={g@vpi6#*f)|9!s3Jc`p`jpZ51;VKeuh z-PS)J@@%izySb`D`1bxK`fDG*Smr02KjZ#GIsYFm=`nwHyxqQ2^6xRlzPdxNWaph+ zf4!p4{!GOE`S;G|+RlG}Uf}g_<3qpx-2o5bM<&<S%Wd3e@1Fke<jnp1TIagopKo{V z`*X4P758L8=kVEQ{<V0ZoLAUyz30ECwEW#k|1K>*S6HLHypQ|YcZ(mH(fP*&zd6me ze<a{<ap>v!8-L`&=Rg14uvmY_UhW**zx$#R|L*JBP&fZ*#QyU}H7WaL^6RgCvwtLF ze#3iBUC`Y7$J4LwpL_rI_HCat>l#e!+iQ=h?>Gu=X&(3?mp*;--}Ll-<<i#u-}pZ^ zeiXbHbMM*vjpycDe)iv?HvP-CZTt4@y9=6b{C0X*J^SWQd>cO9dpM;|{YLQq4Zk9j zzHUA&{+&Dij{cguiivaG|8i`67u-@h|69a&!^6A!ndeo!dt{ya*S7v|)q&L~-<5ZN z{k`GvuUd=5zjkvr*2(AXJALi?Z>=L$@k=B2^M8-n&##?c_x6nZhC2Dai2eNG<y-#N z+UaNCc_aZkgKhri^WlBoF%LdGUu*Zc<+<Lz+utVdeIL2&K=d>D50cw&{AP{bf7o<h zL3jF`KZ|<pYu3LNpAg?^eJAm+-J6Yd^5-J<^Y4BC_|G3Z*dnRFc4s!!$zMD7XR&ep zkzchHhkn)W=zD7;dH(g|ulhGX=YM2-U(nB8bBw*_N9yeNcTZmPe|h-e$5-b-y)^y8 zKiW^)h3`C;JYO+))Bo6<ziiVhRQ7W{pTFsRdjHqo1qXiBzNp>5cKQ8w?KSt?1;L|l zlGolJmU;dC;l-KvEk654?B_opv7i61{D#k8ZSotw@6Y;s;~|r}#Shcf@&!HKHHTL3 zzV)m6SCCcQ{j)0{&-^L6uI}!)*M-gOdw%nNy1hv*?%~z^sJ(}tF4x&F)jr>hKVm<> z`<nahtL3+R{rbD0_v>#1NT0ax>+cN*e%0Opw^}7%e}CBW^|!$fMeFzjpYsaZg>4>* zJl~)CSF^BHTjuY!tJm#wUZ;OqTJ+|BNAPj$XV)tZF~_~l{VOQ{@s)e-UcU8(zpTyn z9gF^C{m2-Uu>B+M&wnkyI9@#RA2?lWpO+1r$9nDibH>-dH<vyuKlg|8_3z?izy961 zbNAfz&wCWV)nA`ye^l|_W5)1`L#BH5=b!zyzP4O{>*EK<=UUkr{qNYm-@ne{sQR0~ zjaRSRC%*o9<G8L}(tG1+?#<aXhkpIDNv^H`WuN)_^>5<?zdlcu1+NfeU-SOF(Cgou zi=TZz_eb*e@5{e6t^4=cJKKENBY5uLg<qSGv(I^WRz7m?vFMs3=`w$|ybZrFeevV7 z=PgR#q;9JNnXGH~_(^~6p8uP-#kDc-`6qnsu<p;T7C+ZKtv>ea-<%Em?3<5VyZ-$- z+w0%P2TvIvJ+=ABuYYSc?6a><|M%d`_quc6u77{d_4>DQ(A?*}p^!O|`mDc}kEF~k z{u!^%ue<ln{=)QQg+I9cWYy2)f9yG5@%Q<*e=X87e-^F1{+RdP|JL68Lbp2ExcYOS zK-Q(Nd4K+_{>@*%{_$+wXAfKaaeuz)weQa#oROdVb9Fp?{bK0z%I&M}AD(A_Tu|>r zhqTROvGAQ|`Rk8<(|_sx+QMtDRo;yI5AViLlE3#@a{a$kuRtq<m}DODl~*{|*}nVO z^Y!n`zq8gZ2bEpx?UmQOKW_`3&IMT|`1*G-Wauw``{x(GFCYK)@6Mx%&+k6`vwp2T ztKLV(_WI<nV($yu)n)#+y^UYFzVGq<l2;X9w{NTK@2@x}`>pQuv*q>akDWnFY`>@2 z)+p^~6#w&c=J(s%=Iyf&kGMZy@Y?tEp09sl^PRu`<!snz?|<&kX5;(!Z~ug@i%ywm zevsMjfwA7lg&Y2r{OG9O^S65S@%_oauYXxvzUOc3^1k3_{5O8HN1y*~EB*elXkK9p zyUgP^^11&S!Z+`Ycdt3J`aY=H5K-Iv^|`O%wfW(>8~^RmI?n!0`@^%iZS~E`cOD7b ztv)wj+;7$62mEhpX6-*XYyN|oA2ruq`}Vwlsqy}zXWw@u{%I%=-|+LEyx#Zt#JBPf zZ67D^`NcPTzUAlQ^na4_CsY5sZ=3%n-TQmrXZyHo&Gv@c?|H-SarRx+uQ{X|X0N@b z?%R(?bq~9Le_g(9|F4aQUb(lF&QDtNdrIa%)-w6xv-?fIi=Vgo{_uRxe%bnY@sBvm zBlh!GueslDeC@sS`}4WBf9-fS-U}DJ_B*Tp>u-a@ziK0_Klh*eBl!CJL(bRVAO6yR zV`DsDTt57N%KY`Zc^~-R|GW8$_1p)>_3v{_-^*>Q^OEQ53*Q-hT>9Dc9mi$u9?FTc z-_AcK`t9GOy8FjpslKbQ54HHa=;{5(-T!N4Yd-E@|2cfyynjD`iC(jhmw(v(UVh(? ze~Ev;I~A|_ANNA=y+wYrdd;EL^&6jCK34wr|JJ$d4;hyKKlS;s?fIwwdwZvAAOCkh z$~L{O;qUKTRn{_(SonW5oZoSz{rAm358g-2|Chhvzv^k_gTMZHY}{w>wWcmS;_thS zzibn}-rl|ZZG7=O(Z2Wj&$|nnl5HOIu<z+FzVi?=fb!wQ594#|Gv1f)-|#u}KSTC^ zSv|@54?cLA!A5J1_8m{RvHrYw|Mt%r{{^bUxBXR$d2D;_r*V2+Z}c&F(|=mq?rB_p zKiRncFMrv*o{x5M@mHVaZ~S&Yaqj*D(#PZr`lHk9o}c0WKe4W0z4Y;yd#8Q<YOj0O z^4!15Nd9+wzdYDsq4Rq7?-|8*{)*S0YaZRTzIx5?sKmR+rC!_dMSRaavMYae{l>qg z^RGpG*F3gMKYGpYNy&HHnbe=$PI!7Z>1i!wS>}&$>-qQCrPq9SZ2D^Fx$&O5>b>}c z_sh9n*P0~v?=$(n^{>;tbX~a*lG%GXv-duzGmC$IGhwd!!K!&8uPeRw)HUoquXX2v z-1mwDzb_rD{=WUMe~nz&jt@WgB!ip0JCbVp7O%ef2O4O7AJc6T|1*}^y#<dstzLb* zj??Z@v+@3tXXQJRYx*x|->BMbd|&&m%`N*QmXDR+#9xLs1+ry~{&le1Sbg?C_vb6~ zTbuM_zkd|y-mdT7`k(LhpSj11^&hj}tYWvZTqnP0&3m~`TfScaEu}m>?d#XW)AKgk z`O98=o_T23dgE)gcSE+#dzai%Dj&S&_qOA^@<p%JuG;wSazkmn+nV2-s#@Nz|GnYZ zE`GzXd(mt56oc1yg2Jc!tDQyiUVpVU-#tI%m)Y&@H;Z_`m|5>-_Mi7=|IYl*>E@5$ z_C2|8Yh2^jxaQJ%Vy|t|V?Nl;D)`_~XaBGLt^7k?xx%LGchy~wvv2>^pZjyF@&4nS zkJ)XK-`h|By?(>D{tv&bw#D~I&#?q$Wx?+~`5){yeDwpBLiRuPt>^pScRSwhuJ<}{ z@0Xi}KktWy-xCeHXB)PkeNA2U|K!hC&x?lHE7!oLG5W6dD_^V4+VJkO=VSd1zblXJ z>c9Pe>*u$Y-+Qv(ZT?;#*1tdLv-6tYvWaWAAGh*vE1f6hf9JZgo_xyp>Lczohi<b+ z*Z$S8_CHwrp|BwK-usBp<q@B`Weoo{xZkn*Y@PnEMg7?M9ed`z-Cwz7uK(}$h~LXs z8P~1<^)<I{snz-T)$5+`zi>_d#L5yG`RQLz7XF=)TKhX<^QU`>Ph%6l{%*MXUTpPz z*)V%`h=Z%<yscj%uXyiLzsPI5w;SIDx0cFhulfDL_TDxA8`}?Ze&2c2*e-dkdE#1e zo5p{4Z`komulcMJao=6@+V{ZD;7@Bz{wbF2uYU8Gar=$xMQ`U{nlJh-FX6lTp;_|D zXU~VE*Q~R$TXn9k_tlRU_G9x4%baiDub%|#cQt<Gym#lsGx<3`mm2RsZ1}jk=Fn#2 z``K?mY0&twa?ITu-|i>RwJ&~leMjOS!S`ln^^n>~{dVQIp6?%DshL4jp{!kj{^R90 zsy;p0QTJ-ozUo_-?p>aqC$s!~#I@_^V|E?=Wm6h4e>vytedi*+mtMYAW%ph4h_2tp zcemwU?+cCizVg^Ef97kok{jQheigZG|GUFdukDURROWB|bPlrGXTv>q-D|%e^n9(G zz2Tnv!Od@Ls^oJw+;eA-xpe)9rCHtI&}#eK!sg;NU%A$Nm0I(8OT=@_WZUyCUu_N+ z=l*Z}ev~bpf9v<?CVq>fv)d#09$}o{&Q-nxGBZ`V?f;1%qUZ9n=C9{~OifMiIbT>| zJiET+nfbXt!uO2+^_;&^zYiK{8_J7s>mM|=1NBww+M|zsx7gXb*4+B@Uhx~1Tk1QE zkGWUWde7bunVd>JCSTa^p8n7C@yYt@H!ExIIv+pEJ^kwkSMT{U)Ai%7J)W*(Yq>6d z*P8tJv}?~Z+rL(7ZFu*Z@%6rK5#Ki+-qkOBt#<atcekHC-M0T-a7XF<pf$fY9p9xd zdaZWF#&?Gsrhf03`hC^*zvfT2A3J4ytV%v-<2`oEYrmHqsG4sQ@qV$`>)I##4}If* zak`=UUb^zV`m^`SSBKxKSiAjLl>RZR^J1@UEU$eQi8z1x!HnOx9tqn$mh|6IwPWx7 zrtq6T{k{}8cSnD$JU(lF(pmk~W8oHDxpnW)$eYajyEpvyKkH-bKeQ;X`J24vukoH; z2fm&DC<vL&Yq35yzpyGh`h0yaG{_3h{b2dMedA9^&!^zT4>|sdvS-!nw}R@2`I7Pv z59j9o2UigPIOTV4f9bky-yXSX?_|=~M_+5cu5W9hcW=X*_WYQtBfo6sZm{!zZGN-j zZn*sGeR+jPYwsl9J<jxcpX`Qr(cPu<t=51R*iDywy)Wlp&hyK)92?&`cTN2+r~RG_ zGAaMM)*xvwe^~y;zhCVlllS^3t@(aY>~(F)5#CyV_vrNxYPTIfc>V3)u*9|D$!pCM z=B6L9I{#|zwz|W=6MD<1?wy~s=Xms<s+Oz8F~#?}W$vGQSO54-{@kDGa}4SZ8S~vs zdw%(!^qRlId-m;pJN*&c^mBhs&Ak73-pA%+_YYqCd~e^K`qr)WeagSAtnKz4eztnv zp5m8RcTdaP@F{QOC%fdY)rVe{f5?mW|9dCl>*xQBpOfA-RM>&HSc31^EWh$6D)Rr| z3+zi;<W@RHt>Cl$a=}^9Nq$y~T-6dj4^9Q4RSWrTzXTjv@TpkjNXMdsOv+qrt{k>s zJY*+)TJyZ--u1}rL-r51uFszSX7A?rn%{rko2?&r;phMV&*T2*q{jr`dBpX-qJ6Wp z#{T2&+VcbNT0XFuFgNgR`t?cS6{WeBho6d1d0y*z{loRH-xUWqw|z6aaZ7t+@A5-t z^Z0(Rx4teZ`(?*rcbkOgr=`w+`+6$=ano=9jq+J`Mmb+UyZ)7Ge_}qZpWXOxfA_C< z;U@ED*6@d$hx|9(_D?wHv3`MbUFT!zmH+Aj_eWoeub=uaa(mgsFWJirzrSAoPVC&i zg*(=k_vP-*&iQ;dVfOojuZ-mvUp@ZL<-b|{k260HnaOi*`>C<v?Q)^pb+?jl_seek z`=UPhuY1qyhV*Zr8?>M6q`x_Sb^Db3w>xj#>fX?+e#~s1?z$W6S>yIQ{@#An@ZMui ze~VQ6GdFf_{MP<IxA*)j_8YJAH@Mezecusv<-YE#?>`*=F+MjB{C}?8?{|FSYx^Ur zKLz>nR{i?9=wDf2{UP4hlWN2N&fNQc?ymTX-+wRfus^-+9^<yJ_Y!8m7r9*%e&bnj zd#+t|&9j<^#?N2ZU)_K9IM?l(mK)!KTXOB6-uU)d>~@{Zhl#)S>JR+gJYQR8zU%MZ zhp)x;uidM>?W2W#NVVBK)3SRj{>nU(O0VcI-cvdGQ?|@Tc`2JmiGlSoSIX;`e3Uu) z{lmMoTk+rk{VTphb^no*XG81vueh)K>b!;W|Bmp9uh{Lc{aEwgblbn|IY#II`A^BW z(~0@>#blq|ys87duWgf}^S`H`+Wzy~hO^~8xpu-ipCca_Kj)rr8Gqy1;=^Y0#@qgu z9N9L%X?}LiHu>u}z9qLtztjF@|8D+YndIkdZrzX0c`JJ3R`$l;^!{8M;j(j!zoo?_ z{}+E{pKi~7qjvMR?`-TAM{U<_IA3@3A>a4zU+u27&!@ktSEz5@zWu^K?u}pN6JOgO z7yTK%XW!CS=H0*EF8bGz{7Ky=@qM_|{IBnmU)vu%xAw|?w(C1$uB;dPYQ0B&|MA(; ztM@)$wC{6v@9|&pkLUam*u6dQFVF2CpI!gndi2Cx?0ECh$oCf?SrqD(9DB`k{@cSt zM<e|nzur8rDrSe(?Mxf1-z%TDzAm0~>~Zla&GW%cZ!5JnyuB`TyY4O|9a$vb&UerG zt!pv;*Y>|#j%}MCl=EBl@V5A}8{eFJbM0j|to`1QyEk0>tNeyKy?2EzZ*4j@&Sj6z zdG6Wx)+Te~T=(jn=M&5GzP>lsXMa;TkGG;f{CVKMt<RIP^$(fNlek^6?Z&(12g>Yq z<!-L8j@je-{B}!u#j(V-JN`>c-9Pb%b;l0f^VZvbX>R*9_2|j_k$=io-H)F0k6&zg z{qsGq>=o-<(`Axg+aJ1C-xt2|*X>7a&|tIvq(0|f+}q0Mmw&9OmyiC>d2iDGgZE@Z z_CrHXZU1rhnwTr)=hlCW_@8YvQU1}VzE}2#&HmK{)*s>hZML`Jmig{?D|fek=AL)# zFZbME8wv^^UjFe*X}R16TYu$k&rj}M@hNwI)s1i2J>_qXv$Vfi&UCv@d*j>fw%h(* zNxbcEyzOt%k!|r!-I+Dp<geZM=G>LL*Er|(-oxAGnQr@PlVHuymh=4Jt4FWypA#0p zT`81k-Jg{6Jg_<XneEq%{?9sxf8P93mEFE}uT*q3`|A4CT07H-TD$jAf0y0b{=0DF zUH=y0bKMf>E4st;uU3XVVB5aqS>=t#FZ`<Pmbw0|47|U;<=A#b`vdNK4qmJ8TKk`C z_x{EI%61(6yXaqH;QgITe!V{#|M1PZEd3+b>RZ<Sml1!Gzp-BYYQEUl+pd2F+n@MX z9JsgC#P0R|<Jan&(m$E+@VZjJb49%FtMar@)iU=(zxH?kI=9qR?zR1K#ou{xJNET_ z(w!Ic?Mh$$tFnh*ik26C-|lx;cTQ~FN9OaveQzsQZG3y3?RK5)hPTHBZ`YNqU+^t= zf8~vD(LK5LsyDs`H|Fl2a^u@+x!ZLwHoR?@yj>-~acy~lYw!9*+v_*386Pc^OSy5b zS$5m!wa3c#$!?hI&b;lj#-2&P`e$sHoIWSrU1m<~^XP)qr_t5fId7NVI5s&^`aIw5 zzgKR&d){DuZZ+fb{L7WwxUT#c+kK;Y_ai~SO1Y#rcYMzuFALlsaV7ukllLFx{JVc` zetLO-y=d=yhq{K(yI23`-S%<$jbrf-C;gG-d$;fxH0ZQmd;a6<w}6J5{K@-|?%H0h z-@M|!-mCr{s`ZCX?hU!W`_{`}KX3f<FL3+Ew*KAPUr!g+ZC&v`Cid<3H@A}>el_)b z{P@sSQ~$>o^XFH^?TDLyY;yM8Umb5Ni`K2(Ve6lr^V{^uws_+=8|N40{N8wM+kL5= z-?<02&3DWBeR6N#G2xB(<hK14O1{m{x$SR2LiBr~+g0y3u1#;xwN1}?eo*jsr9e#h z<ISH_df(c3Zk+2b85bM9etp&I^Hw6)AJ<u)_p*6pyX~7*&fBv$jujs<oA=;XR{hz^ z4aeB$JmO5>QPX~Iz3jJ}?@ix*NDQoxxDtQY;V=J_-4(kpeilxAZGY_Af3ff<;uW>> zSM$Zce((Mz+;?)muKe$X$I}0@-}rU^A@Ao`cLRPtt9$si*YEMcUjNzyg0HO;qTgTj zos$3gZPM)b9JgzZ-FQ~qeSVI9&9j=s+5NWjX7qF3uA8;-t@{6EQuE&)XSiLbQ+T+x z)jas$#NWLi-pTy>IX5{wzkBUow%Zj^Igcd^&(_T?_~Q3A{{6Ev_TN4Vs^8eT{@Z2b za&h~g-z)bnp80Q1$Ik=Vb0*6tegC&={zKlMKNr>YGJlf3^B7!j_~$MBxWnu`xA=CO z-u3yVuL>W2x^_qYb!=kvd%oK>-)}to-H~fI_x6gtyq`B8OFt)WSG;e-S@*tNyR4kw zD-Uj)&zAGM@W?j##kaF;G#}3?JY8q^=*gTtc6;Z3TD#YD+qa;c$0s-RrnlcM&$Pe3 z<0$)`$BgOk!~SW7{a5~d@aR$IE&G*nz9#2<-Cp5d*Le7T=-;xy`u!{HAGZ9FJbz>V zUTBCuxXOGrzDqhr_tkr`ui@njYOgJgvDouCvnHydeEH>yFV>sZmiOfD-G1ZQ?gM7` zb+`SzlRW!8=X^_0%V5{$U)z6jY&d(I?RK5l#<$D4Zr9y;cy;U7Ur#!0f91Yg^v~#9 zad-IhOaJ6_>vXTq*YT^4TlC9JPX2a<P|SvS^BehF>b+$iaq;gsEc<)m@yu0!i(;;n zzgzV&;;-qwN%BeW9aqgyd~F|pW&Oulf7s+dY*=Bh_iA_f<qEY=wlQ`Qe~;hz<-ehK z|6$h8a(83j&V1hbx_ZvB$<_0Ib>!}yTdkS*dhao_`-<Csp4)IXy)V};8`3`DzFpIE z<JsZ8+m9vxK6!ZC{G^=U)(5xkk6wMgcA50n=bPsn-+3sz?ORsPTiFf0=?Bc_U9G*c zZ}0qqjeF;Jd^l6rSNK)#?SI|8g2u<+weCHd^>@?pi_Tl>p~d2Qv|^DzwBGv8qq@NV z8&<r(<Nx>jll2AP47Q3x>lE%!+i%qGfYrs$pVVy<-v5Ku#l}CSV{D!NPVfG8bx~b< z;iu~E^i}m+EMC92ad^D(U$M-l_s?2i-w)k)bTa$ATb}-R_2$^UFnMS9<I3GbH=RYc zKi53|&F1QjZ`sYc`}uBsd)$$0zl%Tg_qO{sIlpy}ZJRHY^LyoiZSh_?zf=2j?MrTa zJKU4IxBtd9>xvo2u5Xx^Ewg!^=C;oYhs)&NY?!NVy6y9mhPO6S8|Jz{JbbnO$eyzm z4{WR-z3sZbygy*y*6qix#dYP{NN)T1X2V_o-nVsp7Ekv`>HM$VV|Vt-{`S~C#|8an z?w7Fczw&uw>=k{nuhHF;_iwkDr{h<@`RK;~9*{!OeI-hvD7O|`C{~JK7K+JNkPF4+ z(EHV2kP1b)<<LUW#tm91Ci{a5#m9b+FFy9S)sK0;{Nt1Fezixw*;x7q+?luBblYF4 zq}%HyzuoY+y!Tk@c3t*{x7!(S*GX-BtNy)H%Ku1J^^I@dJ-PNOH@-PH=I-^*dA;}e zwt2GKzRuY=m)$+*x#I_w-t*@sn{NB8a<oh?wW2iF&VK8!HO?PXwuTqZn_5wuH#fgJ z=WXeYTeCOzKJUo2IT(Cp-`(?4xBo8raiDVI!#{tm?w9R2V#@a~;v@67N;$SW53dGa z-G8-w<JJBT4s|`-`>%iA3JJQHiTjVgj`_MAToK>Tsxkb@IPU}7?H|E8kJoSbT>UD) zJ^POSD|xZ6bC<9DZ~IewPi50BSnJR7XY?Dpz^}7iL4|?IWc!D5)&BOe_VUsHMel8R zf9HPqzMat)^~W;r)IVFv&n<r5s(1bT+E;}SH-Q3)>-Og>iMQ9=Zu?txWSjil=PPV) z_h;w));+TAzERF^&EwnVXXX4}dT?7jTh8yqBirQfJkPS(c292G*S^97zf^Br+kBu* zZpw{w&9>V<FF9N`@63&Ji<P&1&iHK8tDh=&*M36sIb(i*i(}W~n%3@Rx?SOw^Y~`+ z?)iMT|DLgUw8!d^Ap802Kl&<q%jGZpoc3tq&oc!dRpxzn{aY3IKS8bjz{AO*^<UeU z|J{A#SAT(1T~GQRNO0|`>iTv2F`Iw)ug{D2{b%Z3uWWzdW$@K|Y`6a`y>Tr5q3%!X zH@Ttv<FDB3ys}>UD}M6+L+r3dpZcfmJ8EYA0=HXg|F^!XPl~_Jt*&p?Ypriry1U@l zq{};gUkWbgey(rbTV1bm`}33J>Ulyrze}5Q_fM-hUbkk$+v9w<>t1hsyIpzP-zOnb z{ck}@O(^NMzT~#Q6OwQ9n{NA>2X5rry63!pxi9AN#?J>1m&qNuac(lp?aH78>wd4C z=NDVjuPv=Rnpw}g?H}8nn85Y_-DKiD%i>r5x;s6Ee|h}0<T<gveji@FDoWhf6sJFJ z$HD45Me|B6ehSacH$L}4aDCL#=J3oKyST@E%Xd7iJXP?g#5UeK=8+Wp{`Ef?`L~!q zc*}mZ{%h9P{i*l%T%WTm?neFF%sufHyRCcK*IR#Ow4NR}*YF_ox(~0uo|><}dPg^R zeBYVr>3=uWvsUiz5bv(&K7D${UfXXk@(<lUt!el8YW~T8(kA<6{N(-6Vs25<_RRjM z?Ya*g<vS{teX8Dbm|e!syYPAMEBk}mHjf3?&s+P4!@R=i_MZKoZ%r+#K7)D;+vgmc z{OiiOXi%}X@2$zcs@*n5`}NN0pRam+SoEpH^6*L9ckHk^uf6T>1-(bVU26{A6uok< zr~gKM`!Vy2@eSL<!~U^-Ka=0J+39oqL$>z?e`JnU&w0dLHgENg&wgLuKNP+9SU|p@ z<@58Eb$#A@jvkcPc(=d%XL{_f*6r`@dfCseY;QgNea^AN-{)0DReaxfx#CNmC1*sw z%_H5ub;~Lm`F9-MCjafmx5HaH&Uf$lfBaSbj;>q$1!bYvq`!wx58ku0E#~2jpLZW} zez&OD_w0W|^_!Z8p9i#K{w)0DyyvhWU;Kfp$1M3Dd!+YhO}+n!E&rd(-|92nm*?$@ zwfOrW^UmMPpfpuqCiVUQpDXRH+vS7y)SI6-KPR>G=sVG$HtgFgHvOvD_A5MS-~azt z{ym(VrGMb(?kBxRe^$<n`s4rg^X9{xZ;#b4{C388+ei70z4kY>_b1q7zlo20>|NMl zZ1X^N+sErSj@2JfeJoq>AziBe$MiG$k6($M``2ahd495VeQ)})%MY|J{9wQHK=62Y z;GMr4Zf*ZqedCz?<BpGN6-U+{%{2ehajW}7NA5rMoX6k)Mg7!#{BdJ(Xs`Z3xqA<6 z^)~kIKV<fgPhK*=zr23kPky^q|GxLEj;;7?-gWz*-^RD^xvKA9tGoNq_T5KD`GSA4 zPp#+P+Wv9<hF<$4x{rGcJ}LI@KbC!q_g~oCnfyDR|CBB`Ru^CE^HcS4Vd00^Uj0LY zeIGlv-#8YZAYI>Wd~Eme)&G}&tgc`FPx@G>zRdr<kG|EY-I#ZtNnX;wv;6+$AKxv0 z@4NHxs?PZv$Lf!m{d@5E)&2B6*>@hEdUWOUzs8z7iPrX2InR$@{3O}`xqsFDho*7` z9ozq}{+NE_7$`Jyze?sOfBo<DdH<`c_xlP%xZCFk+&MR$<Mz)<vn9`qt*>A3(bVFY z?z|5jxqpPWeXRb!<e&Dj*N?x(J=Wjgz4xlU_We6a*8jiVIJckE+CIhpfz<E+i@trc zI51mYv%Wd^kLI?I;TCCotZg1D>%H9mBm8SRpPlCIpF56~*)6k3w~;KXU-R*8K|}VP z$IRP4^4~bN|JRgX<^O^{zkMY2Tx|Kh!iT>W{3@$6zj5w8V}DQjk)!@5b>HuY*#BJl z=Aq>A8^`7+NY^)h@7aE2_WnzMeJZ^7|6G1`{>|Uz@9zJz<;j^}uX4lg|BZy-e-<CP zJKf|T*X`%>vfIwvSLMvFm-+bc*ssbLf7*{tzV8w@d*^(C-SXG|rdk}Zd{@}(e&@j> z<yH0(cI*A!=j;twAAk3Jh7Iqxf<H<}llLs%%hF!I_FMS;(7!V)*Nc7o_t|7$w1t%4 z<Ijh_KKFb4vHs+@pOH<uw%j?dBa@=f+iv^%X2aU&J-N31H?A4~$p3o(e#h6tlCNh! z>iT)|!>`4&*30%4_Nvz$ICp<T-Hv0c&#(U9^R@8ftD{ltIgVQ#6TbJz_N{2e<(2zC z)L+?`|9MNnpVrG2`wRXZy}ZMI-meWGzIk`ut`fU(ZS&D>^Qv=RTP8-Im%Lr|D?aS^ zwt0-(zTSE8O)BcVi2C&@+TF(X*4_WtK3jcU((b|4<09qz4*h*B`mUfOTtD$|L36Rq zLtVZ2Re#v{w_Jbp_WsKMZ&tsuPmFukx;@^yS6+PG{yHiDeT7-+R;A5<qci_S-FYaO zzCEPokoKc{=2zp2Yme5-+;{NXP;0mG;J2SHo!75F`OI?XA>aGD=#O&j**`m^pI!es z?U?X>pU-=bsqz(maPLaDIAkeT*xSG3;BK$4>~|gss_Tc}`Md4d<V61UaSJQjxo1Cr zuW|oP?e{Bx58Zx0_gM4$`Bf1+=J-E;_<vs2k#9BrH|FKPXFs+5`R(K1YSeGcd(XS= z`R*g%YQk^Kd(S7oe%;^8k7dvQ7L>1un<JlP^Yi}epOKG++AV%qoEPsa=yQ*WwtIc~ zfgFFuSFg4AJEY(I^LT7|X<mEz{{{c}E6(r9t6Nj^c}Kyo$(Mh;TE6_A{v28V$M4Rk zMEmpKt~z$(n(@JH^H{fi)!DfAx!molvK!Zmj|sl6Jfh53x9;QFGv}qk|F8JzRoHAS z^S$eRL09&hnx>z}Y+oBccoQ16|LXsh|Jin1)NOqv_$@>KSoNDfPG8lZ?Txwd_i5&y zD2qQ=Gi#zMzQ4X)@gycfKc})*COP`N?zXQw8`h?G=h}Y1ajp2!wt2?ezJ59H^nd#G z&yo+M@;ChUUn8Ga*jl~k@J)N|{l{7D68^`Fl%HGm&-hw;Yxw$$Rjn4s1m_j>Ip4bd zh;jY-W#4SyRqrn-`F6QtdqG*><sIecg1>V=e;#ya9>}U3h*j?31m&N<^jofNZO-eN z4<0|=GEaKXZ{3|oUe{m!6aJ&Y+UB9$^!XwG1h3z)+<r{B*6X8b+}>$l5A&Y;&{7=p zZc6-P-nXVdIxc@+v42M8OtEwIk4^0K_gKHrw6VLtvY-3<^YA;@HXqzJk9pfynT>0g zS2JGS{`Jd-wdp;%w!%5DBOlyM|66eM*So@^3xCR|^{4OoWqys{+@e5IKJM|C%cuMc z5C2NBsGEB&yWKnH@q@2j@*k#sV|(*=_pSAMEB<XiGrN3Y@OAFr;?x%2glr1<r! zUV&`du=e?@q*L3!mTX*`-jZvZob!6;QE+tT6xQ0VeJ<y|=ia=6bAQg<_{)EGdVOK9 z{sG2!AKCgXjvh^)kuS5^4&-b0{Di*+{j&al-)H2v9zMV7FQ56D>_4;5sN3nxiFssF z7qesMlgygpmBH52r_IfN`S&naxW$prr6T<Wg|U{$+r2Yww^{7pawp>7)33?9j()34 zKk{_z)q5?rzdq|N{+PRd`HueZ>(hm84EIaN><j!H|41&}qI&WpS-pb(zvkL?M-<ES zR)6HF=uMY-^h$Tt{D*AME!K&Cuep^RyQ}r{>AA=L-naUi`0&xE<sZL_`q}Em#C|l9 zi}T#}-1^YBn&&s>z1Q9LeD}d`HQR5@doOtVvu$GazP;M3|KBa$T3;jcX^;AjN0m1p ze64@=Q?sx~+vXvkb@Qj{n8(WJBIMOCRD|VUty){y<81Sg=Y6LB@!98B?th?_b^htT z+pULJ&pY;=dwIBZ@9uE>((MIhyhn3wi*sH_CP$xVyIsY1<67~NZS&rlUfs8Ld3&yH za!joI>zNNsUq?Tf_EWR)Q~a#;w&x1k!~d`S(NkM<M3%2E`f>E$-miyw{XVuB$Lx#! zX>D^Mu6O(V@c*;7-s+z*z3}0isO29sAHKS^{NoF&<@3bv#fBF~pO?B_CAVSi^M+j8 z@aw^~(f;DMtK4o}Gd{L$UUW=c^y|n64PSFVHvYW%_)on_{N$_0H(&kV^yuH$o%J#g z1oI0H|5{>E*M4pFA=P^iAI$Cg{==i1eb4Vjzx4AL{40KTme+r8^o`vQGxtPW?7x_K zXaC2Q)j6*#4{V!v&UZ@w>z#+T%~Ra=wP(ZH^uAo%?KiG%KKR&o>pWe(JhlFcv^uqz z-dXzZm-X=fw)i*c5vSirHtYDbeY*3%uKdtqEwiWl>tS2Fr2cPnW@p+|?>TPzS?X>~ zVe$R~nYqWFx4w>^b8K?-yk8yeaq}&<2ifdzj9b6v<HNS1j?2;~CC~l%V|RS@{cBZM zZrIu9ZP+_cYE9jWZ*Db5-mNvAceYpb`-hff<Il4ne7Za9KhyUeM<N&hkQ3i=_+Pci z{KAg@iUS98P4-EyFZ>#J)gM%D?e{PIzHL=~g6uc$^zGKY)gM>>Zr#4!zL&k+;_HTj z%Hx-R{PNpmdtY(ebL+#9BqeqG^WB8%ee5~szjwU-Syu1<m%k?d^+VnB)jfCDf7tU# zOnk?2)pPq+{jt?6X#cxj(=OWXb@7qa-?R5NS!dT6#y#d-Zn0!95C4t*-LKZ~c%SyL zv`8vn{NA0fCi`~XUiqDSd3m_alkaDZZ64fv6f?P6qyE>W{5c=lGMe}1pVI70w>*4% z{wd46I@SD-73)6FyBOEFm;02epPpj)jy-$!9KL(?sg(SBb#sdyEAKoyyY{KA-g}Mm z9lP7_JbujlggMUMNp8b;r^+K2v!8Na-)r;u@bV|hW&eW9-mUx@Tkjb6@Jsfl?$z&4 z$i1u6_+9aDr%b~CHP5Y+>#kc^ZkKs<XsYs^xR}0=6_S<*-%WkO+qX_}xyAl<a~}RW zR@BG8eaiM5JDX!3e2sl7v|M`Up{vYKW#7r^U9YHHb0^{d$)fJb=_jgVZ2aF9{8rj| z{PF3h%w_TF{1&U83O;{7O+BXGVcy4w&YuLW>vgTaC;j~&7x(bX{8aJq`O|Fg)cSq< zXmg73n|fvM<oBl)_3D(|cl=!{^Z4VN=l03}Rc_d>-*fO{>r;{E(<d0m#QUB5s5oK! zjmXoiarYhc3agBF9=e+QME2b~mFpGzcHc?(Usu#Unf-Kij7`wHg4w5S<>qV2Z}^>4 zdElb_DdltVTK+rgrrJC{Ed4~e?4F+W_q4zFwbvK?a=dx;YTUPi@8+BOpVv=dep4S5 z_xOo%QAhXr(}H>Xm5x{ZnKmckJNqX#cl*h~bF9TJlJ}?Vu$w;T@!_9Ob<6bB#4Bnu zcOH2u`h+Vyd~*4Y-P>Xw|7<I2{u_Nl*DtBEzkBxy*}V6v-z#bwY7V?R{DjfHf1>*w z`@nexe@iNlwLd@M{$@`=nc13p)%69xqHZ3&Iy;rW{QZ<#oBi>88~#84B&9At%{ivV zr?22Qr{%H7j(!`gPe{wu2Kp8LE44iQwfw1!e4R@E#?Rb454_&IGyTncq2<pfYRAL| z+<SO#a<)x;Sl)*E)SX99nm)DFTc=*WV{hl3#~;H!G43v(!Y*^ySFW&5zVcA1*7+YF zIDU4_J%0LJZN&fOC$#s}&fTY8R`}cO=Hbh~Q{;ckPhz&I_lt|3bKjn;@X-6^E<2ul z7f;&r{P%kn|C;>02k-4Pc_(uG`E=twdsobP{4D5`Sbz8w?l*DHdW9viI}cgDuP@)R z?fcq_2esbK;d|=Vp07N%ttct3JhbB0%fFB3{rRT#+)jDp;iINc<kYWE;=i$fp3MWx zDeiafy38vOJ!$NhuPgu2YFhB#w*^tBgx~D<dmQ`nQO<{kxxcgaRmBM2Um2P1y61@P z$Fr3t_Ahsb7#=(KI@MqQw(Rs@+A>1#3;MQKNxm|vSUX+xTt{W(ql)~1-&^Z?EI9AI zh$z@(uJ!KIj4L~iGwXeMeoN-N?<xMRZ|6#UejRvj-tW))i+;~7EC{r$*#3(B&hvk^ zTiRFipSpN-%KN~5$2h+p?$(Zb^hc<u+kE<z<KI{A{Svh0d!6OJEpr}xO?@I%J$<V6 zn;)M9{VV<+&(e?Vu|N87zSg|Qg5o>=7$4Q%^RIa4G3LC&kBUdFW&YS#?wNB;Jh1M- zar?lU|IuCRqbom`=KkpX_0asuuk|9|)hq9uK4<>)iT+bT>m$4KHRJxaKKi=->70s> zvO5>o{fe#ht-tvF$=~+S`oFc7$G$!_{ipl*?gzVFNA3Q{)>rY_R_J|`JfHCSC#$W8 za$Iffyn-LGmB()OKT*E-cmA6@{?8lAm2Eq;W$rtbeKeb98&ezet?;{kQN#24>DO=k z&$m2sa{5ya@%vMf@0=@YIzIoD^qYTCeuX7|vNDqA&Z|G)v9Dv#(RS}stYv!o(<^F2 zcOH7V^@;2{r=7>{o_)%8e*4tuH}@m&J$^E;sJ;95Y1=$`CHW6OG%63g6MiD-?mt<5 zj`*jZ*WxGKYbs{GxfB2T{T{cthhJx>h%bLX!8T^E|F^>L%ZnPG@1Ju0#{X!`BcA&1 zJAO=&dHij2QPc7N6U}e@oi*pt3+tk`&+?}%*VXIge|)I(%P}b7`JJN9>+513etGnX zFMRsM>^rf6c^_&@cOLy$`qb7hPp5pxj-_`VfBW`{vA@$Mp<KD&qH2N7!#@u{^}IfQ z%Dtvy(i@wGuk~$v4!wSUQqM15rFuuz&Nq+q)Y&WQy7wIWxBIDpy8Hy?m>Q41!ry|H z2Or-*DgEZ(<~fgETrO(+eEy{6I`_(M{`(WS-_*qGef*_f)OtDoly%J9SbdwUJAd2m zJoxEU)cx1{MD?5)zjp<KCv5ZTqwOAi{Pn5f?(CDweR<0M7W*gLJpT3Wlc4-NZR`D~ zf7wUGJ^ZSiD!zQ@n}@GfZtCBiev)zCeEszs{|8wfIl1}?hj{*k<U9L({XYDVt8Bi@ ze@e6OTT$ER{S%90{x|PA+Fn1E`%R6T-p5~`i&`(QKVcnH>%Fe<`~ITl=Zo(o-M`3v z(bn&rYWWU}{dXRJTl9&sfBGc$H*t<~g(aqyhkkB-DtX;}O8*amljoQt|ASl-n<Bpa z`y|^KTZeCj-vcZUd|v$2^xJ%mc8luXxC-}*C;OedH=eFvKIK8j|8uF$(sllJN16AR z&wY6HW@)@7-_O10bkF^;J;?OFF8HTv*$>+(T<d?@w#oWAKfS(V*Umc+l<%MB*;Dtr z^6u5gyz8HTd-7fW+UuEJ^~YB(-E+Y9C;O@A+coNs+~z-F99JJu7SwzCR(av?z@v|~ zUw_ST-z(6*=N|6~`T0kGJ$$pYsHa>0q_j+IO#T+5H}?bXJ$m3-)Oq~=^m2>3&N~kt ze*Gl({+`}<i^}~r5&z;(3ZJu6l>hkA{^`|b6Z_wR-wOWuS{^va|3skw&)pvq>Cdm# z-Fxu(=u@8Q;gh!C*gY@i(Zgk*_`<hOm6o~hdM`O$`TLHV9X1K?Pkw5d{rsf6%s!_w zrnUQz?>V!k{_wB&o!0zm_0jwG{@>a4YWfY;^WVG+LXU<|ss7>dk1_pQUGJWvE59c* zyx*_5{^J*0%PnQ~O70f_mdZT-ckI@CPP=<S_Z~dpE9zQYKgD=XebBkWzmk>R{PU-C zzo`k;`}pg2QR`*-6V@?*ebyB|fAKY|`FZh4-EaB|?+c3zcOE{v^r_tT@Cp1CduG`r ze7E}4u~_<~@VR`&^E>*UD%;(0Et6YR`{+(|+{3T$PwDx|t5xr)>Us0{U1w3l^W~?r z-`I!q6@GHEJmji+d`IotIS)Rb{lvEW{iI--zZ>T~{B!=P%ys!`+kbp8+<D-f{Yllh z|FdHrmP{z$@oQ1c<9Dx%nxDTvrTeX3=Y8QP?wyB^-uzOv_4wP$C(LsC8vHl@`c@v9 zsPAvFf0NDQU$Z_5%CA$m=1={bueJWeuWOsSFI%6ITlY@w_m18DG7l`LUcYhviGX_l zbmbTu*S^BvK9&a`%b$>bv(Lq^@Qat_;h(Rc%3RN%y8Xw66Rc%XJCD5HKS6s=UC6zM zuO1im?yjFGZS#NkouvQ!pW6EE(<$HaW7(a@Wz+a8{;ae~_<sFU$Kv8wUthO;{(RDS zoxYO%$A^<PeKxrE|I;U~_3O2ETkM_o=AqV<+B<h+_&(G`SN8t3KCS5YPSbtIj{P!^ zzbO_q9d|z|{l?DGukeeB<>5-j=R4{e_Z)4Xev-8;PI-F8U$vcwURpnqU3X9Y`i|eh zI}d)2Kc)Naf7rdpOQu`L)Ofus{2lqT;rRcF>~HFP<qE&ZRv!Av|5Wn2{q+7H1!8;u z70aFbH9tD;p{e|t-4=UyJd#_dr~G@z?nN>We!lu7_51o%=Q(#G`U(oYEswo@`;?`> zbI-wdZhnPd94rt2Jp5GVdjHhzKR&4JJaEr%{d%t$-lA6Pe|KAD=ly*DEql+y{jYNi zPS%Uxdi2-Q<b1Ww-0*iB$^~~G|ET(uIq&D*UyS{K;=Xm<mDa33bW!(-r1>AYoA0vr z)IBM6=w$zG^XFC9?wWsZ?VR4qFxT$ed+hJkr!4QU74>a?eM*$?=f5>awfEUuKX~}; z)cnF??VBfG%>Nyc*l#1=e&ew8o5#9*ANbaPlxwdzaMsjB-hIzu*3ZW4MDO48du;n) zW<{m$(dPaazgv0V_LpCJefRAlRX_Wse{br5#+DBpU3&Fi%iq(d-S4n|dNpy@`7g7I z8rIfztgY)=`!6lf{;=TRCt}B=V;(Y<?>M4&{pYP&>qYw0p5>Rz9xb=nxvu8G>enY% z@4r@4ZgJ@LYwdkUUrV3Ro%f;TbwJ_&#cS^KKIJXTR|(&7+*U7d&5qCJtK{#w@O|tl zzH=w!BinNG$?k9N#(cBdT7H02Px+{~%w4B<9|YwKtG$n2uV4LbQ}jv6Z~3~{D-JNP z%UitTx8c?PeC^{q(qyk+w>~e~D0Y43n|ppgMW6ENt+=oMiog4p?a%5{-_u^5@BVde z@s|H<|0{+4SFW_2xAOhO`**z_^NM|SzGD;i@x!;QeAmi`=KYudsC0k3<XZi6(cYQA z1fMGVWv-9evORjsb)8$;?-qQ_)x7^u_HF4D_43PqWyHR^-?4N2_@ysv{nn}<4%P13 z%lBSgZ2I3UUT)jBJ=QDtc2;Tc`Bi=P{;V45_$?Xwkz2|a?DMZ|SH5*x<G!uS_sv_s z<*og^@sDh8y7N!5{FU*#uda9h(%tsiZrA2p+8XhXr+xe7T77uYUge+Fr_^l%_wVbz z_1%8m&s!=z^1I8YYTtP*Cte<W=O_DB{`Y$A6~`>|-Yu+XzFd7u`P)0y>i;Xg@mU;v zx>r=caLYvNnj`La?zw+7-On-kZuq$k_4YfDG0PQ{)*rp=pJB84?ECO7|IQ_EvA=FV zA=c)v$2}W|ukNpoS}e2uUM^ZbuOjZRe2l<*@sGCmg!ScCWZhO@UDucXD6vY4zu<%a z9sYUqSN&4<>on&Ns_lONp|9ds!qLskSJyT1AKBYJ=U8~f*Yj)i<>oK^CEM3Aoj<g; zJOAUfiZ2F7&CiGZHO=dk7yroVf8=)i>VL0}u8L3oA8)enjo|W+y!QmY8z1eSzG`2$ z_M^i}_a4uy_~>!9{9kG5vHzU%s$l=ht*vOjeEfv+IXUg>`61OmA3tHqm)D=Z<HS?G z>HF2?3%{~d{?**Ny?W7{hrc|FdS|PjX3m?hw443vef!Y9!tGPJ@7Vj^dwlrvQ%U~) z`t1LgeLI$ZLUZ0c&G#Sv==^NxKR+#eepu~u%c7R>^HaCqsGWBw={^4w&fn*!|E&+N zJ}7@mdQV+r%)<>+s%7>Dl>Kx5`dIv=qF<cO^NP<xI}g6}ekz!*KY8!@6?^~mKViw& z-g)Hc>8E_`bK=h)KVR#nVDf)@-M+VR3v2p63CXXN-y8JtYx>oCr+W(nt5<Gy|82?l z-}B?u)gkta{&-YNzMVQ{x_rpLyukej|Ei0=&&c<#?9RS*I&SShwm19T%KisGp1<M0 zhwXjlzRv6GL-!rkjuE*3M&!P2)Ys%a>hJcwGkN!5W<~$q<17Eku{R$J-!t!{(D_0y zo0{fFsq20*-V>ZJ{!viA<NduAzgC~$I4^&a@}8>AdydNHef*}|<zEqd`d_`_{)*<; z+qL2zp1u7<Zg>9lx&Ood%C+yPXn$nMcVE+d+IhPhcA@t3+27a(&-?Ii=O@8@ugb3x zfA`q$3;Fip-PEFn!`DwL%H7jBUh$i+vU%_KQ<CSte-hg*Kh@jjzt6pgf7d@@dw*`- z^V0s;#iwoa>{-*t@~zq3!+`)kiLtipJaeeYd~k_wB>CxT1#e?~|SPR4<Tu{A=MS zHuL@|#&_)e?mhY|{8Xqsy0ZOu`YB#JoA7&&AF>wpm#?439<x7gUcqOvpB=Z$Ppm%v z`rr5W6K(I*I`9?zPcQ1=ueLn)?e9Of+uwgnZhQZI`;C3)_1FGe{k8HuUwHlm={fsD z?>&CI`-z<R`^oGw+ds8@uh*B?{V)7U>-yjH|BBTX|L4d&_`qG%v3LEcjZgoF+)MaA zy{PT>=C}_%?B&^C!;3m+e?DbBC)O#ipf0kqe|z|Z>X;Z;xq?dH%0nN0pD6p~DXy>c z|Gt0c+BXls^c6L1cHt|m^S3;9`}Zm77@L4|1wR8SyH~rPQuUjsWxiwY^tyX*H_pws z-S#>6K-oUu8|StwZ~L74mF4dGx%qP2KI<MR+t+&I+;)lEKert$+n0Ld+;-h<pVuBK z+xK?E+<e|`pL6@)*1X<0H=lXi=iEbO`(!uH&0nql>fhU%&l~3EGj98=d!%gN(HrNs z^KSdBd$??0?#8+Ks@p#2w!f{(-T<1;e;(ccwnlox+<f6}pWk-8t%<hv{GR`OcKh2J z?hSME6}NrXIaW3=cf;It$$6INx7|3mS?G4<w!>xfOmCdqY`g9An&V~jc&DAOG)uHT z-*@BO=BtxS|Ci0Hym4+b!}B@mFzsvXo=3L5wYi;SecmhQ`AxptmDdui&wsmdZnNC& zO0xv(^J+QIZwlV7+*Z}~?*2Jr{@aye3D)PGa-K)_ytTQVV13>w=Xqr3TbtX7*5{>i zp5K(aU3o3p`aE0C^P4SiZLE{5&xiS6{d=qOS)%oMrkv-I9dB*AH_lC$&LPs;Td)4T zwfVfE)=o6x_a4n7-+l)4y#2g@ciZ`Vqn!EIb2irg3rhNJliL3FPsWD1^3^%d-TUAE zk=QU-UU=K*#~p9~MA-U%&wt+D{`L<?!NH$`N!IpBInR%?-TpZ-(b|67wDUg$ldSE_ zZk+2s_Nut<&BnR%w)1{kyD^{p_s%JO&%9Y5PTap~`N((uk5?~u{XcAfQm*f(wHD*M zAG=i)`Rv#J;obJ!{P4Fwc^hr_t8RN<-v0Jy?grca)j8+$```YQ-C(<4c-wQ(P+aWa zeebT%i|4=nSvsM*&N=6Ne$U&VcN41XjC0Pv7rXsAI;py@{KmZdY_~t}KK|{`w;S{B zGv5Aeo%p-vQAVL%e}t{`_x$<#6+h0L=iXqOuej~G?y+xsayQuKOKy9f+x@mud!uc> zb<X+d<exVA)j8**``=bdZ?Mf5-uC=$#!s94)#*k5_x%5`!8V`qTg5%6ob%B+U;j7# z?LWPLit(EomwS)ix<3`#UUM$sVb}GC)^k1=uR139`$pw-yZag%^MCG1e)74muW0sr z=50UaHlF?7^Y%OEob-=1AO4*?YPLT+=eOdaZSq2^&;PzCa=Y%t#@_V@%;ueXw5Gl{ zw?=o{*Y_Ld&R5>{Iq}%HJ(lOM{k{3#e8-Jr&y#QGXXpIZJoN3C{?W3!r#H?mX1e`3 zK2h4g_w9G}sGP^IH+-!>uq{44=lR;;7ysV;DcLx;-EQ0G%--A@!EN{7du-^{KWes* z>GsdKMC<k0IiNt;^JYWu^M<$Iecf^%&)nF1yteP%^}2aKZk+pVy6v;gp|U#l8^<Om zy|zDot-kT?o^>1MUN_zLzSug)KA!K!G2^7)KaG;5=YNj5JHPk%(QEtr*MgQ>E8n?c z*<d@bo8@~&bMo!>iUX48BHCr-KZ=>(IsWmk)q1B>>Thb}=0AU@vHiPzb<X>Cww(9* zeK+pqSDa7&`?E&x$hW$R=C^;pirxPGRY-hGbfnDtHFoPhc1XV|$lduX=6j6tI@#+} zvTqm6dn~1X=Df$wz5k2;IegE4&t9AJ{=HJp`|UwF@9%Tx%xAxH!!G{ChT6Eo4ZnJl z4+zVBJauX(TTJ>o!|Te|dsct0x$EB<zT@EK-zN69as?;<uspf_=g5s`>W9tjRk!`j z+;G;vKetXT=kw-Y&b`;49Y3sBX&3jHLA|24SmqIz`;McAb`Mz2-}o<lw%>baxAimi z<#+C_55Hk&pRuu4uHeA8e>KOxRrdd8dN=?4@#{D2`n@*P?wk6E_iai=ck!OX(X0Ep zZ5{~87q;52vtFlo{p|d#Ix~x7?$6Twcb+}pkXzSxt*`c9^s9flbxt{-Hy`}=^Oo4{ zKW{di^>5Dpm08&Sz2b;$*^X~9wd>f|30`l^K2`c8s$i|%lAkNr%B=tI{(SRir@QOV z_V?%3DdqfDKWb*ry6xx6jc5JabL-r4J{v#o{3!deYxQTPUi}S4GRflUHx8?QD{N-I z^SEyP&zT?O($Dz&>^tk<mRskQ^Z9bZ?D=xH|M=W^mi{&A?)<a<4Y_qrdzQtu2+KT{ zQQvV$`pzQ}`GU6eImy#ke-=D@{?;eEGj&OITBqJVukL>P{5Rw6^WP<JpD+Kex~u+o zO;zvP=haPbpI7(2eO}$T{aXLk<D&N-%gPmi=2YdbPs=`0dcHLIY5n4#Hy$zYpE>Wa z^Xz%S+kYzbul~LLr{%`8^yb{UZ8x5!x98S<yYX!Kc8kAqA3E6IL|BH;e|^OCkyWwp zPPv_8F>|NCtjn5H@ALWcLy_I_7k)mToc~!karS(X+kZrEJX?Ou%wBfePsxpE`#-z) z^uJ#nyGQB#Va9KTJ<M|+n(7sHfDSM@UUBH`aZ`KkQ{@(Ebw;P&e*PtN`#U@Dw)f0c zIrGo^-`Mv)GW3r9{eJcv`}(^+&b*)hu%#?$&SRc%i{rZY9x>~E=<$A2w06h3oqc<s zsUKvIF|gNtYG%)#^Vv9gw*2>}Hu*L3yN{XKb8dToy(s5%aN_LwQtw|ZKUjU|5##%h zg5@_J-zw(aDYqkU2Vczgn7#EE|GfFY^?v4j<)>!$_q0p?o7pRF`*}0rwtaNY=go)B z?5lG=8z;=3&)MITe2nk9a`^pI@hd;NR<s7cdBjw`<CxvMf=`-f&vz)>7~2c}G_%*- z_EU4iS^wVLI;)(|#!0i~pNH;}pDizc`_Gy}hrI&FEe=Wc6?O{WdBFRwuuFZ;L(%J> zF3+0JkbgG*%Fmdfy!ZR1PH(=y|G>9@*@wRU%l?}6ZvOrGoE!H3@7lQc|EUdo|KEBv zr;I!1;iXS&<7*CB+dP)q9V5Lid!6p}mhA76XZ`aE=NQ?upUSOE%X#mA_xg)}xpmiW zJX1gT?VrhUGkei(KQlq8_4}9Gj~V4Z2=d=}Y*oa&(=Wz6CVgG>I`?(fpBMbR`Iz(l z4Eg_`yW~GKgfIRU{?)$A-Qr)<o}=f)pNhTz*z!5B@V|GK{*Os}4l1wv@a}ZicZ;80 zZ)zgHhR08JzVlEffB&+I=Iim(-E9)zYiFIWU3;zmtJhz<DgAGMPx&VO#lNyw_*MIq z{*`s|2FJeLKb=$XbNiotqT9}2-t767eM)|T=Wo`h*Xml={*{XU{m=YroZrrF>sz<= zZ@m_O%iH};E$6Xp#`=YOj=M|0-+tx)uhp~e|G2%W)?VsS&yLS?FaPk_qqa{y@BVVL z3Wa&~;a_#<C4J9+W&dN|qi=s#w<kYhk9lzSyZ>kJJ?it$blv{GU2tCK`hVX|>Qv-v zVjsWkxcz;vu3u+<{eoX&=RfrQ_|@KZ`}<)#JAwIP9~sy0Tlnv_{K|c`^J5-%{CIYJ z`A3QT&fWHbclOnn6?oV5tAqBy*dPCQ<d=--{Re{K72l1IR?qnpQ?_sAuhst-*Mfqk zeqlxP_5D*oK@)xE{CW}p56`X_b;dr|Nf#;Eaojy-j_b#-+poq|gjpUoURPLbc9eb2 zyx6k+i+|m-d?F!V(0IC7Yv1vUJD*6(7k-G{dVQUUe_>f(Wqa*EzE6zP#RF=-+Fo5B zV|i#d_o?WZc#pio;>5~BZ(URV|IW&<53Fq7-F;H@oxa}p9}iBRTl4F1{wYN{eZ}V$ zyO!K}Fmu1L<s;?#^h>|vw?2;v`^g!1^M377z54H456jhG|K3siFYog&_ALD!O}9=L zl(^n}`unx%I&deB|Hy6amHTS%$0mPof2F_sQ)W$b@}s&1J8JFUJ!<-~q2qgn|DIi4 zuWo;T$vUs|c(`qEyTy-*$IKs#n1=`d<6Hhm_A~RhAJ$)2%dh^&=)U90`<UFqqxW}a zeau_?O+6;w`&<2@Z&LsG?y_zFBfE?1{ZH#=+jl>#C!O;T-T(akv9=!t%v<L_R-7Vj zb1y*dV~O%jYa@H}X~H#6-s?@h@$mhs(i^*XeoC*CTmNBVMf-L8<UJEV8Q1J+jd^@m z_vyDa&+Q)1(f;Ug=Wgteo=*>Ty4HXE_FLR)vCSd(W5UV(*+tr0<sWhEw!i)(Y<pNu z!Igg#D|~Dg&vV{$<aPM`?>+y@ukl}>m3?mO;=f$mcP#kZSM>b4)cKBZ{ea)bc{}8G zev8?@{o)VxIS*~${@xy1bL`%0llceNUy1Md+rDzYgmsK?cQJ4N@^4Bq4<7u#a<6A{ ze389{>b&UP`vdkIy8QP_eXn_p^t$NcHUG*h(wF^x^R4Ule*aAe&raR4@1(5A_WJcI zhi<RdlDjwY+t2UbOfvDoh5!A!ZtqWjl=S{q*ZMm5!r$M0`;Mspt2^Lx=ilDRg75QJ z{5m{0^!~rUljWwHhwj<k{pj2Kg<C3$xsT3vDg6E2z06`q@1yExXY1<M?^*pRdUm?a zow%QWmF#!T{$zdXy2ZZcPwlS%CjMyr#QFZ@e6gQz?_T}qQQ6yUz3T5{CHvj&pVCj6 zSGfOs_4U^Kvi<*Tr@jw(_vq@}EBm&eknh+%<$Hy9-G&qE-!1)Cdy3m;qI}Ri`+&bk zzlZ&s_36SM#eFBr%}(`e%s;vQ<b9n_-=C;YF~705|JHf2pFeA_)Ol5QaevxAMP7dO zuY<Ky=Lf$_{O{N`z5CC^3df&};ZNR+{oMU~<=?JPLe~6Yzt1Y!@7(_>`;_vW$@}A< zte0Ex=h~^0@+aS~o%8$ciT4`$g`Wg^(<7eb*N4BA*EnC`{%^~Pc>92#%1`a$>_gtl zYtEmq?|&ry6#E@J?~hldxBS=L?{{^db7kw_bnW}mPx9rK)J*>*5&nd|yXO1BQ{Oeq zHw3TvJK@u_)FS^H-=A{n`T;g^PsI5He+xdflsj=>=hNYKE&GKw2Mp^aRHL7qKN5V( zeUEy*cjd3B-u0C;Zk^Y>pSVA0Pt23${NZ(ul}*~8%DZdc3!Yl95uf<{>6iT{>;=|q zzAy0mH?L@YTFuN``I`41HQK9O*8jBFU2Fg1n%>9PSME)mSg%sIpyp8Zr{%77zcsen z2gN;p@HOkd$IidspWR$M)joLN!K=|z_g5|cw*Tqx{=j|CJG1L%f7<K%&*9F}J;yD7 z9#q<2wdU4$P5loZbs$Y|KR%waf0@mZkJYC0Dki?SiTf$|)c9xhC-d$<wm&(a^77qV z{%x~s-MrO*r+gB5f8u_S+~29M*!M60GpC5(x_(*3&J*&T;#1_;*!|q?`tKcQ*8W9% zjwt^;t7Kof=u@`#@ssnjZK_Z8%TEsX530+4`sBU+nu`3VPs*Q^PuXtqqXU%8zMaha z?@-xR{=~og&)487_CfC+UyWb=SNZAP@F$%=e10}8Kd-f~YVx=JPp|uj{^olsdhgG2 zkcZM%gQDj<IC?Tc(Nkct;%~vk`@-?T`;PPe{HV0QV%M#Hjq@A7TW;MS`J{dS`adn7 z7^Xi-@BTBh!s};8|C4-8{e6r7%}QVKcg`o-zI`Ci_|ISYr|A>Rc2G*Yw|Po@;J!ou zuD`6GSg#Nld@r*0)AuRu_GvZe!+z^Njjs8#?$KW*d#x$=1NR-f`F)DL&Zqt({8RiZ z6zZd%Y(F3PTluMJ-~RRA`lp=VKV|cCt@>p(&9~=k?5i?fUw`8J{N*+6MdH8hLt(jQ zhmw87lkoZlpj`7t=4zeC&u@Eb>Oa>!U9ZVs_&59M@$Q=E{8Qxv?Iyps%F5q-;{N3M z0rws>{mGd)f4{@q<x`edsMYU2!SD6-`IKr4+wNn*dY{}Mu|8$5QK;X3;{M)%x8YN! zTUf2Wl`r=5WcQT#V7Z6W{@6Z!=o(u8HR<X0De@=n=lOrEn|OcX{lI&V-uyWwFZT2H z^c8=ZpGwM|m@oG8^>nTJC3lX0WWDll;-{aNKDpE^t2yxYs7U<Y*1!IBe~q@j4~R?r z|LD|rv7fW;gZ6n>_Go`<cHI}Pd4KtxgMG`tIiH%XebV-$>C@^tv0)!8CdTiHdFwvq zd4)p#<`ew!3qf&nd>^P7F#b5@li>T4_k;5O*QI{;+B!dY-{IK~Z>M+v`4W0cU&Ft^ z|6kt3_j{v$iaynriF18x-u;L9<E&47=1<;>|9qN#rOx}OSao>l@4rg+Rck+4Yadtr z**x|0`)avPd5!rWCjQBsc>moMP;s_-b*7E`skis<pNU)kXXYo~dF$5y2|fE-{@-4| z|6fm)Ym|R{#s=~ZbK&$)a-ekf=M`_({pEWOB(C^&*tPDD8#rd1>-L-|zqjO1$ETS! z@7uEKVG%P495Iogi2331Z(7ms?`F;0HSCw)IehzehRsu@dX>0<x&y+XY_l%xx9C&V zdw0S>etEL?)OyYS0{?$?6Zcom{uF(x|4IAy;NO3h_ABpSyyqzI&%;Xfzst7PFR(cr zx8~b&*MGlcPswY3FZBF3vFP{T9&nBc{~qvr_4cg)(RF|QK=JZW_vbyO`p<e#cTYK9 z0ZL}O--qq<-`Q;b{Yu3l*E(=<_WjB6?mvsbg&E)brQgiE|9r4L<*&hC;9R%u#Qe_X zVSRh6SO3+0`n3JYv%OjN%WK*n^J~QEeY*TzbN>RHgVmq@+aK*rzLDhw+kYN&r}Ro2 z$IfrLIVC)4Ix^hS#}6IbS1Nr23|^RR*m(1r+wlX(++=0X96Tnm_o}3;tf=(yUAb?{ z_ZI)Vk$v(z|LYy+KJR)^`E292-PgkRKD=}6|NsA8{PW&!S^jt3<+<N<X3oA*yZ%$! z<@=MbpQ(<y_<qLo_zQI(^X}%)Ot1K^`SkFz{nh=yY>WA~e>v`3uXx{h{>IOlcjue` z{kC_Pz2W*o&-%o7^|8M_SO06QY?-dFWqyCf{pYvJ?=9bV@Xn!=)30nd|2y$clHG%e z`*y#a{{DJxdu3m<{_B0`|9p_O{L%31UKRWH`wI8J^gh0Fe{J!vbDpo<U(fk1`*%WR zv-hu)%jVYwd{2I6Xy$kAZ_eJ&$v6K__@!Aat-f4C-|TOvP5Qp$%73#iukXA5cT#0z z^ZS=|n_sri{bl-D_T7u}Gkfo6-~Y4Wm+t4*x-Y>`4==la`Tfl86$|Y1e%*90sXuRX z@Zb58`g>pY_OG%xEH7}bzxgHo6Z2=m+xE|&S5N-;@A~}h|7N_CKI0v8VY~U?7mr^> zpJCo}q1?RoweTzJGrV)o{F0krS^QYp@AvnujdzmoJ^X7rm3?+yUDLbwGnTKe{kquq z{`;%^Gk5P;IzQ(Z`==Q{TjplJtlRd|eEyZcQz~1%e;r*WU+?vIPGv`L_UpQ>FK^Ee z+n<v6XiDAYm+wzzKeL_pqWsL|>91;!rkCtbotOBY^;ElW{rAYP)z9SCz3iX;&uL$} zUGnSJUH19E-aap>Pl|gy=ieclpIg37KEJv?K`!xo@~N}tuiw|dwQl~!R?Hp#<*jeM z%Jv!jH~#E+w|jQoPu9ETGoD*4es5g9Z_VEa-LI<8)Y{ax)m%2;x8z^n&&IkRkzW`4 z?q4i#lwaU)fAh;_{nvG8Y>wS~USc2pzQ(=GKg2#Y@A2QxQ{BGxO6O<3|M*V(Rru_> zucCMLXNvDwdOzpa!|<!?XTIO?IrQ#(v)bbIb*F0<#$S>*`#Z1h{GOx7;=UjEwf{Zq z-TRs97EA5(f8D!&b^Z+N)i31>e$?*GKlD2|<+1Ls>iA;r{x9F}U---Xy*j7P|MyeR zQ=jLbvNWqx+I=UwSbnyB@Vt3zYG+sW)PCEWua#fFbYJt{_*072*>cJMub*0*pILwW z&H>Kf)i!?}|H>WTKi&A-wLJ$;%1_<C_?`Nh?3j)7>!NplE&rMv^DlJW&FIf!;a?8F z&)A=`?=bW4>Sw===l<RDzov&T-SX{CL;ejP^Y`Zen)~TX&#U(zUEck7+}Z!w{uJML z=N#!<+xM-0FI_pm(fJc|-2VJStd+%G->&aDWLx>i_UXOIFZ%@aU)SE7JwI~i$FP6D zxody_zBT{F%p2Bof0_L)y?^)3`<JuNRL|KUZ(x3YY4zdxUw(g@QGUbx=fC|L{pU2_ z7gU7p{L{G4JjMPvYo(QD{C)Aq^X~k8SpUo{?u7cBh^qT?)#;Nbf8G7F(rC}O)$%Xv zj_f(W`TJ?w{(EoQ?XT6Ht7*^v_PaFib@eXS{p;H*n=dO*egAJ+jn(`&4?k$^{AK<7 z%ij7^Pk&Ce-mxJ6ugiV&4|dGopRn(F{9A3$1ateV|7L~Xu-<-8{J&3SEu-ZT&YwrB z`R~|2*qZ-8=6CfowRJbm?=Rc`zx4jG*Z=1IJ5<;2{;l@c&7(j5{`KCk-(J}`d-2rh zw#r-k@B3KT&b6`lvuDTt1u^IT)a{u5xYg!w>!+`O4ZrCvsr`QC|C!u56Z|Xo?D$n3 zGueI5byfclx7OFK{C)7(=SLI&)@+W?`*t`!(>`_I;cKm5ZFN6?lc==WTNf|-nbCiG zfZY7R%8E$KBY#z&KJ+=o`>wP?aeLj`_b+$kC%g~3W&hx7wc4Bs=KC-Hn-#u8t-pTt zon1Ef+uc9ezVFpvUUMk>zFo%t^t`0kmtN`5uHAg`{`Xz`m)l={U3g%hcm2Lq?<&(T zzCWGxF5x%VDeK_MLeZTExhw0#p4xqndJ`M?_f7e)N~d23->3F}|7o%C{XfT_ziQ3a zom}p_@3?a1SNFH<;n#n2=fryQRpghNS{}-_|1<ep?K5kc4fFH9eLlPIXZqXYXT-l1 ze`EjjDXOZzT{nK+jp)y8x37oY`{VkZ`<W=;4S%txfBWY1zt2<n{$}k@Id=09xj&)5 zb&KWG-^{KLuG=y57k6b<aAn&2|3!0HpS<Zm<9=sD{{Gnd^Kai?E$@F2fBsCa&E25N z>awQ~-#(H0zJ1c!Vy*3kwR-Og_aEV|{9OLGhVT9A4dvN)wf`M_-~N|J{mJh7f9dZY z&Z;?hO|~@unDWl^)sL+;_&?mr|G)IN-e;-k?Sc09-YlQ}_sRWR{4?Dx67Jgs?VtZB zZ`bySPetmMwqD=fpZmR`JpHDrU;VB6f2nu27dqw5^ZR+`pHtlA@3Z$DRjw?nddvR( z%5R~ZyRLeb`KA0jk7eJtZvIyMjF0ced$Zq%)9RPqH;}(x{igr<wfD>S&%bA%@c!PW z!nnHq-zVMueDdYrRB81uvyER>e}7&6aMm7+$wki@Z=L_(vCsN*{WH03Nnge1*ayrj zbg=t;&-T0co^Q7|zxa1z&oRs2*Q~#+e_ne3;On}itosRa5BvUp`t$3r$@y#FpWdjm zx}UGzKkxAO#}n?@u70=s&h|pbym`Jmf5yC<`2ERWwfv7x^JEwPU2>tWX>a-o>wC8g z?T=*Nx8D8D_8Fhw4S%!WH`D5u$s5WSo~^6dQfL2r`paskJ=L8yF#(nTigq5BtSsiM zjX(F7Yx|R<mOuZ6XV@P${`oHE|IF$enpfisetK0N6RbR1t^Uru;_dcn@5Ik!$85Tv z|LyzizfU~gZa<@Jv%%ibeox%}=Q2zGow#!-cj=!EFZ-Vue`d5^A9TM${r~Tl-%odz z)-Rm@c+$SDFWH|5-cNa#{P=2({^vKgd*1JPb^EpKudmWms%O`^-%q`l@VfWad$Ye^ zYIeOh?l1JSzw_np=hgBC+dnqe?Rn|{MDVk1-~A=;J<b1~y7srFvhn+u&%XA18h%NB zmc6$(^80Du`!-R3n|?a&Um9;LZ@232yt<=%V!!tX-^=@TleKhz;=Bi~b^BjdiwEsb ze)r%>^RE5(zodU^{Mq6D>uhW3`9EvEX~)z=&AS=>nRWV??(U5Glz9*5{e7_L)L;GA z^ZIw|pSrgD%ldxP@&f<+rCahruKFvfe*eN=!SnHtYu~J`Y~cUW-u-gl={<+fUEdlX z6I1zB*7EST?N4XyHTB>4IriyE)h+Ty{b8Hm#|8cT;QTdL#;54uy;<QFe<l`v4!U)I zhW?x9Z}^{_UQ@UITfN@)*K(EppW`+1_16A2{mixfjr#P!cXC(y3qDI%rsSVZzjKK{ zrmpUp-Mky-`m1ezb-&Yn@_2sA<Ga6pM;3GYe@Xwwe@A}f*YvmM$6wTa)ZcmNnSJW? z>g;#PukWAgKAm|#ZQeuK-v^⪌;Pp80?8&Ex+hpHA3o+W+z0nNxSQGwP4uIi~sB zc;5MS|2ifsKk3<Ps$WpW_w=x6seR(ThqL}Z^7>Qr{Gr^szDcjY?miJ6^Z3Z+x|24C zs=wXU*5=n+QEeutci{WR?a!3&T{d68=x^W8L;DI%em}W+^eLZyUrj>(+1~Fj-k;pj z^6A;CUG^7OZzwl>dh+TN^XXHS-|X6ScCTsq#`2y|4_@6epZVJ&?f#y&PZxvh75mqz zyuT6sS>SkhnBC_0Yi(mT-H)04{r9Wz9Xs0QY)GG!zT-&i`oA5OZPnkJvo*ixFQ~SA zw@!Wfo3)j_)xX|t-8$cR`^Uz=lIrGH_X?gjpJx5-TFn9WUx!Oe_9xy;e6Ds&f8FXm zySC0r{2lh`$h}$e8;Yf$p8UFHf4bbm3ANvUoocsUmH+qw-=?2&e{KK8_Z_yLc;DeS z_jgb^*>1Xj_b=IV@6@XAM4u?P*x&vsZTW9LaIBe!yu0WBm&5$~!8dCwo1%Xm?A;=7 z@crYFeLv$*EpC5t&&f`$=-j<o(<>I)Z=P=?zwv9rTXXYke;)-FwVs_aXRqn|4PP^! z9`)T4Z+QMg-`@wzPVM%omH+KO0aWa^l>3LgyXW)Uwpe=koAVWQvwkW2&C}9<vv%jr zSMN2?PUUZWcm8$xgIRwcJv;UG`KtL3U)|hvc(3XA0$=-`t>1K?3EtcM{$5b!horlk zKYnnsOvpd0yk~j-J+I$(pId7myWWyNu{r#NY+Rh$@;7TM`+CE*^7GdIHvG(0tsg$` zj$7qtDa%9eHWnSdH_QA+_1aGlw%yX70g5i3sr~su^@{!XG(e7&JDwkAxB31$P;}k# z`+l~3Rs6$8Z{KX*{)}7irTF&ezh|WHNVnh7T=f6%uIG=}O<nu_<@>_3vUe_*zh6<? zyt9}8&3TKT8{YL+*v$i_C`P*r^6!@X*89w7J@;F;wf6cd%fsI|i_YAewfx4<C7+(? zflB|?H_Mfu9)5mxd*KJmoeBA8wPpBDo!y;fpS*9w{LS_g^y35fNB>qo^>&y0y~lr6 zpPIe<W!>35hjf3RygPOG{Sf;@XFWfixHs$kj-~Q<n&;2o*{}ZXY_(SZzU9^2KYzsD ztl0mr?%JJ0!hdJ}W&ZA-Gk4M7XK}mY|G2E%@H6@5(|fai-}tri)1!O0w$DtjI9?~9 z{l0GHzW%?#(EJs?=fB;p`-a;KPyOq8et)(7qbKD>$L`G%xA@!q>EXUz^9|k?oUJRg zuiLl3kUzXTX8nEFdyk~lzh8&uFLn)nzw&dJ|9zj>+g|^<_RZR#9pAs4WzX85yl+Fk z#4hs*>C5gse0t_n<6cv9y9N8zAFnwzoBicKm-UD4MNU!g{PZlQsO4_)DYNg_?i`)B z)TUy?H{WM=eK*bJ7uDFz|HWNd5?6Wr-mG>DyZxVL*5<!`IO*@Rg?s)hfil?yXeK*) zc#FK@`GWIx9}1rSTUv7d_+8Z}llGdfFZ91(+w!gWnVH=N`^Qf{?J|G#Q(|Xw{#o{# z1@<;U-=&|)mgz13s}vd+8+vX-t!QOZ{#pJTJ7;}*5_s#raeu*yeZMoF9^QI&dtrg@ zO&h=Z{{Pb-f3SMefAQa;zpa1g{7pQYzoM>v^V~Ou`HJ@+{&71s`}nJUhr!YIc*<_` zaQj1N&l*%`&wDVf?nA{}_A|9M8}scPD@9)2bF#bVcJ5~MXCY9z82n6mTi)uwYM1{$ z(kp5`Ydv}M_Ghx&l%5_H-U`iQo~L$KuY8~Uf8M8gdrjwmcz5sA-T&9>&O=H>`33(v zCjUOoU7ej*7f|p2l6fk>|FyrBfB9lI$J@B>{9EwVIL0=lY{zy`r%2uZ>b|4kVsW`9 zzuf9-GdVe3_BU%QTfToe8w1H@hM-*bf133kn?S#t(Vu0c{lo6r#C}hHCi-pO5}V4l zPtPQaTJA1CEn1y@FX8>nQ_bmF`xD+ho>BWb^3?I?EB8-uZ@sg5`?G2p`}m({>UMuC zI9c~O=dSsG_rF}?`ib-Rn#LD+?yumxf86G<?eBxdQ-4o=_j5<}(wth4`u^;959aNQ z&bXhD_n7&&v0vQ!YUO$Hr+?pw{>&i%g8%&G+Ub?;bFXLauh{qL)!L%Ax7$w`R%g#k zdY*e~vUrAlirm_?`?U){J-qwswnfGCm<`*XiSpfFe5YpOH{)mAzb$_=|16sG>+Y@p z4R_X6Ke0V$zhY12#&_4xXy4g1e~-(~--ch6@6@=+-HiS$x&2G?BxpYSA1GHF^<C`K z$H|)t_n_pnTCd+{y-WB1SQL}^nS0aey{6wcepP*X^z@c|V{p;<^wjS4E8i#A>$ksI zTiH_{|NQUz3;+6d_6C1Tx9NY?Z}D^5oQ>O`vHI;_TT`+0o%$K;n9cEj^zH8-v5l#X zUw8ezQhs6YWUt?<pE=&&ERSDm^JC|`n-xDa?;pQ6tG~kke$Ccz*3Y<qA3J|T{d}Er zdBxAE;Cjrj-v3zsRP*Dnz*%hlRQ2_N_x^bHZTRhe^Vz*wyKns7{OQ3~XgyXl_tQuD zSNcyb-hV1voh|q1$NW?2-}Y{8_x?8f`saVf^ImWH|2OpEqc25==6TM0C?vl1oANWs zcQ^U(Ex2Rv^u6ZJ+)%xn(VwNhf4OU(WuFd-w7yGw1<y~rvvK<~X1fdW_m=$T{mf_W zp0&SX^QTu#MQyp!^KL|cW-jXso43dHcl9$tzMJLuSJf0;*<M%>c=OMOe{%ozk3T$m z;{LL~t-nE$c6e62+h4x)>C<fA={~WZv-{L+x9_sgMDuR?-&=QQ@1$RXdFvW(Mt^46 z{-wD*!#?5N<IdX8b5AYK2GwM7z9_kD-MYWeVt2*I_^;bg8C&%2+MdJLzD^Z?f35DQ z&0*_r&EGZN=dIb-|M&kSP&Ls~ZXWn<UpTBT+qd)=XpI%9F8e9?*LLalAiFKQ?APCK z%>LH>U32^U1$Aw!Z=dA*e(la-)4xyt-r7FHz2f}7-;7TW-Yq$Q%vlAN%N}oeZybNa z{N1X*jW)ac?>u~ZujtghS<i3mSpVr+^DcSg^&7;s{q2_Q@qEIun}3q_w`+S2-fN!9 zemonJ$u<Yu*#-S=wW(@>WU}R_(!bpXM;QO>eLq>gYRB00)qcBHb5Ql~Bjp<T&phAX zu*-+Ovk(1VbH_Gvo87AY$HDEzzcaq^Ld(UQpmK5M>)$2yXPMv0yx^C=4$fxjnfrgV ze0tSd)b>^!n$28KO%~n)X)%5~)joY?{^JKrAuYz5okgD~BU+5BufD(bZ}pS1yUOni z>(+dF7Q8Foczwa?x=)!;-$`HXF9<%ZT%B$Acv{^DNobSNezi^2Zcvl)cFN=AU(a_x z6Px$q{2Tcl_DQQR)_u0Ts~@%V(6ef2i?Q}p_xWsSi*dmo>$qCb1}Num*KCgM?)zTv z_}luKncvO%AOCQEb)QocbM8j;XQt)q8uI&A{O0`3cm0ic{G;c;Wxf}DmE0M(zkAP7 zQ@?#{{!XfF`Tl0Nx)#6R6<cZk_(z~ZNAUg2+3^?aj@KmK|6%m|$xY9q_UY?SvwypG z=f{qJ^Li#vHIKjgw==GFZ@z~Aw^Os<pAszFuYCJXbg_8#at;1{%W5a@>`(r7cD=TI z{gQu9a(@HvZQTA$v9EqjP1^nF>K!Tjf3m%|5B<v}z8O}LdEV*=w>~T)cmB7&`?>IY z^v;z0v)Okpm+xQscMhcO5m}Od<n#QeeS1yiKQ#XPpnEreM){4O-QT(cE5GJj9{#rf zN#|Zu`-I~g{C?jLKDm7>w7qD3s@-^X{^JKen|^Zs1-BPBPpA)vw-@c(f61OZrw3^- z+Asg~Oyq9)jPM<)_CMzpec$uSeTSuaTK-w#JD2$Pt^eBqZh^>a^y@9D=Kfi+WzOd9 z&s0GXw)~8HPHe#MvrB#-Rei!WTU<Ro|EzURY*6J#=eOZ!jQ1ql|Cspcoy6DLH}PTj zHgA6>d@t5-=kMgV-_PXE*<}Ct=c!ly79XFq?lm>{zi>aeO7!Vr|C0K+{mj?jh}(ze z#e4td3BNu?_TAc@?b*Lhp5Kyh*#BW(?f2)Wn&-Z|S+QfE%!cjH1n*roPk;OSjQF=+ z>5O`X^Lc9J6+1iUY})?J(v0cpS^X0Gw7A6g%T7%Wo@%~*qVk)2QQ)zJ>g}O&e}aCq z7Rw)hGkbrK-9690tv0(BLvq>uNO)D2eb@Z`%DUF>-J8$fo29>FN&KI-_sQUH+4fU^ ze}lSZzfXm&hvqU{cvU91s#<xT{25TH0##-EAyrv>#{M6BKfStG)b`f>B%~@cJT=)K zQkB`K+u4TJKJR~(envOO-m!A$%l;><pBbZP{`$Hs{$J<(_R7BEUvHPm|B3wDwzHrA zOZBsp-Cyh;>%S^L!~f-U%+I>Z?_cnrk<PJ+{hN8&U;M88%;OeI>u-FyyML9v@%E1{ z_kS$;_wU;K`6tAGRm<2p-%rl{b@hJ9{gk)|^Y(3i*<K%hKW*RPd&Z^rZ+|&kzv8`7 z{EO>f%Fmpgp1FVdd*l4V-$_p&E}Q>1_&f76&a${gb!YbcP`UqI|EuydzP$e6d3nE# zD-YEiImdqWy!qc>_jk=V)Gzehf9H$xW1i)IzprVkZ0O~GS-1CP^zz{SNqG;Z)a`!B z|AhB5pZ}NNzV`Ne-<6*UmXTZk{$~EKf09?^XYAgw+}`l~{}q3kf1ap0boTs7^S{q} zcG(-R-(b5szs9Tn|Lea$d|v5)agMpL-K_TanOC#V`0lw-ZuZx9=h;2SPwIcMy|*lW zj_dc>XOeO+-<$oNyK>+8JBJUh+$Ep)>+~$+`GxNGY5T1IPh9?Y?$-3He>*CBdA%!l zy;M&RwojCMIHhjiOKbNP^9{FuaM^$7i~FahpACnVzuKA>KaVP^EW5jY#`B6@M)voY z$<J{HMaJRPEAHq2I%awG{S5gR-@kmHUFTk(a4+Hgs$KSm<?`CgpI`brv9jlRyQY24 zuXRiRow;-D;^C|2c5C<l|N75m--Y{+8~1H}8SegS-^o1({wbH-Pn6pez}FuHijbF= zr&Q10SDE)U_8Irz&VPBA-@p8QCR*m%p8Ct@&n^DV_?hMVm&d;Mt=In&EM~9vzy7!6 z^8Ay+&y?4_d_KFb*6!~48Pji6wY{4@yRM|}Yj<g1@h{WQAFtlpp7T}v8Jk($nmu>F zuzzZ+Y!CkRciH@Shws+UH0Ql|Z(jR9<170!J-+xw?`N7pBEk0W;br$@0=}m|6aD6O z*W7OL{{LUU3&(r>ZLRCb{&j6xetbmj<ei;s|6i<aul%Z3>7Qr(zVM6G(|gO}V_d)y z@#4MN-@C3?zt5~*9l!eb!)5y?u%Ai4bD@7``}<3EuV2(1*>mh#^pxeZ>xvA&3O^G( z_wxDdeZ_@ejh~6xUC=lGJ8@5f-Gd)%f6bfq^M~NhJuUCT&lKnEX`i2enO|>Z-QhdO zHUHLKHrHEPcl?h-{i6N%zgYib{>;|?{_1z1*>zQ!pbW8NIVeM%USV&%edG04`#whg z`}gy&&lB#yw(DL-&#rq4j)7~xOYSGmt8wJhU%l^aP5bG7&F^NlpCv#sQ2Y2XXnbhi zzVmyIYW^*_d|q$)?}Jvyh5uH}{rvad)2sb6tS$bu>{Gw{CH+&&&yMO}KbOVFJAOBQ zruxnA!r!9H{p(iL9ke-M`ghjPPr7fT!-MuG<t04#K6Tr-ULk)*`Hm&`^MCDJ{#*95 zh;;n5zkip#kB|J@xU)O>*X3pP3i31kD;Cw?_%eI-i+^YK9D3OKOYU*;uix?Y_8&v9 z#=mIau{=Nb*SD)z%xBKN@w@At^_ktB^XK15yZ89b?NfVw_wU&CZuc2uo6Glef9=j* z@!t4*!57)P{N{gKZ4&GrH`bl8`P=&KcJ?b!EZpk{#loZ2^9}k7eC_XlnVY?GzTx%_ zUz6{`qG3+m**kx2e_y}$x2v-6dGODlW`7@8y)r*jEAux96btKCgJWR<EEaz6zx22B z=NEI!z4PAnpGl7S@AxzEa{2o8puBKR{;Ij%dU#%#1Ii2L7iuS0Hu8UweReXk-|B6> zeVg^y+-KG?|D5kX&i(a__iFh}{V%=stM=diQvJ*Bv)J-4hkfmLPkhJzTE_p&<I;2A ztN$;pJ96jX!~I`uUoNwstbWG*&Sg-^bPQB7ZTy}O^2rOeSK?=wV=k|sssH@?`}@0A z{t_)_wszOZH~-tXC-L6HNp<^QJ~zMox399Rck}Cib(g<0gEEEROaIyb8f#MQ9(Mjq zyqur6?zi!0uJ-2_zDqFw|9Aeq`o7j*+<yhWe_88$f8WwyYQ@6U&#%<BR~Ba0)fLUI z?7z*e@!jn2qp)4?jm~c<-tud=Z+&_8*V<=tdN12&|8tTvn7^^m@ovBQ-*0BS<PFys zdfDIoGWqtZ`3C(TTtIOV@V94Y>tXJf)u(*xRrF`@?^qshynX$-e{W0nC(V02clDmT zUz%^PzHjio(0l*=FTK_)?i<=)DA!y4`?v4@#r6jI1)lY{zFf9`_3wnuv15k2KpDb% zrTzNiIhV}$Edb?d<B9rTzE>>D&;50EZYijK_)zpquKj0)t>vEXUuK_W*R5N4=k}Mg z9<|edI^Ey1?ALBzNDbj;f9K2Q-LL8n*c>$eJEO8Pc;EZHSMFzIW$rmt?s|Ft3Fl`) z(17XweY@YKzm}=CzN>%T^1kq0=f6#r4cWiGTJ2x^ZEf|ccti6IlJF?#0Y$<0OQ0x7 z|MlDV{{HR1n2W{T&98s=In(Q5H=+NG`knSCv+IOkgR;cEw^z*ft=RwnYh8`}SMgtp zpiI55HvX&cGqr7b>woioe$@DB9w<kATL;b&^FcY{%^lyrcbDzoz5kb8G5_>0hkff6 z`DdKJv19kU<Fo62Gu-W;aou9+{JdW$nXmfKaIaW2KmXUc<}2rCL|g1>-?toEJ5>KN zE&g5jMtxVj;rWkxf%o!$9c;duKjZg~rJ#zz`3k6Fu;vG4>H8PuXPEC;VxRl#rE_V0 zid^zzMQ|Ln!{Xq`{{NqU&ji)dHW#;>{eAUtSH6*c!H<C2{=<7Jm&w>U)z1FO_g;QI zC=T3Lf$Hh^^A^A=hC7$|&Hw6L{o7F4G}q_*W8eGLQ$Q8N^e>lv>(%^c^xvph^zQlW zy1yBqios%OeBQ5%$yfAeuvaXKH~t>K>U(5=D5!e=aT8V~Rrv3}|K;=XmvzT&j_!W` z0+b2l*Z(_k=cw-A%**zm@PA_y{+)kz-Dj4&^Jj+dSdw28S^xj_d-Zcy;$O7i(D?B; z_t!V!tL8IjTP(GI^iuSee8Hcho2mI{Yv=3<s{F2Ucl%899ZB{{ao1l}i(mWyzpwm^ z?4Ekj?|M%Es&*cHyE^rBVBVu=NuL_)TGKzh+5ffn<FC6nEW^GpQrC{(uYW*r=hrF! z&+x`f;=fU$e7~RlQ_uId{D8OzTj$${eiweGX%@G*Ztu-}^WXn2Z;3Z5-+2Dtyq`bH z?rzpwct3pmy@StYPYItMGS9R|f8I&{=|TGre@ji7{#&i=-kQIy?<Fhm_b7idy#9Ut zee(yC_SF2Ue`YCnqB&jT`~8*Qf8MC*u6eNcsCCL?mw#Vf?pG}Pre4+lRqi)r`m+4Z z>@(7HHpv@ow_EW2`MSDzxz8f+?=8J!<5v0Gu5#y^H+!P{^wrb9Oh0}4<L{~GEf&qU z^|p^c@mnZnlKc6veRc5->_x9F|Id`ZQPF?T{J|HCormoz4^>y!{mc2g^X2pNSN~?r zeLUrF(dPK|w%`6b{kAL?H-DpV@k?#Lyv@8L-#2Z4#ysyu|M|;*+kQ5zoxC;PHoo$s z?al+wG$A9)Uu&P<<leGBecl7v-~YI$mfHv3Kl-mf^|SV`>bPR*_jRl8)J^;*{%*EK zs{Ou2|LWe{Um^eKk@%+q)u+sr?bV+qR`b1=z4m=#x&F1^oA1AhYu+3D^o#2Mna3>@ z%e|jIcC3}Y{>1Km=}G22-_(EUeg0e3|F>fE{Jd|g|6ly={ET&5-OBf!ajWk9oGkO; z7eBa1xntq`{XO&N@9cK}CNA^O`Fq-)|FiFG+WyQ|?q$FJ^}n-zHk{p`y}zpU(;uE+ z)><11tH5&*Ir9Q5zj?joKO_8R^ZWnnKYh!2wflzk>YSR;^QPN3)VDk<Tl)9#uedoI z>>s`K_{uI54IZ^AESmD^UFfcS<NSi7b>Fg{USqxDUr<?RnUa4tIp$)2-_?J8l@09Q zil6=Velf2+_3vxl#CHjg57oR6ow8hfy0p#2=<wiokDtBTboejVZSjly{z}!xAN=hj zGfBVV@4m{nlee$G`ft|t9qRsZ%kS)-a!>qW>z-$Of2vO1Uh&p?{q?$&cMjciy((|^ z`*B+RlKY181&8WFU)PmI-qrq4B>D5ey;;)LXM2;soVmB)Z)aSSZTQarZclsFci-4M zDW~}T|DrdsGynMB0_CT)`Xi4`ugVvc@IppRzfCRAzgF8{+3fvo^Y#z_q*u&;@TjWd zuiht~=@wP1zbQXse0OvG41V+Lwy$UXsy;hYx?<<VPp87?u@p5P|38WUdeFOvkE}MG z{mT<=9(KQO_P+JcYSs8oi0`Sp-&6c)+eMI{l)-~AOYez4WUf43y*XM#{==>Edkg=z z?QFd}dxd@OH~!gwC;prFvmyE0>hf20Rnd2~KRmp)>8Gjf^*hJ7|GtU66~AMC!gsS< zpYN^RQ#bjarNs`5uz7_)(khSGR{oWHE1e%0_o&sTYW=5aHV5uyUonq*`ZN0}U%5r? z{7=kf_w{$L53WCCxXy0Do<k1{KgrFOpK|tk_WtA6b80;*+x9+wDt5l&Sn2XRD@*l% z8a$it+%kFg{I6U7e}64o{GYF=r~CG4OSydY>o<<to^yICESIM(9e>?ET-w@VXKxYz z?l(Uz4(#6A&m8kWz}(^xbKl1v;haw;c8l)!ck9M{{w-PPW%uBp-miZ}cXuzp^XP}% zC%NtA)A?^4IA;Fk_sJQvcN`LXx1rZPrY_|E{s%Rt_r+^^>i@m@xuf^1t@X2?&QB!X z%j-P9anRIH_^ItXc@_T~hmLvv`uT`mtGwVh!_C8b)pmRz_|z>9u<{i)E89GfIB#)K z&HwS^KcA1Y*V!BIpWkPrnqTnEqqhDR=iBrrhIuFN3QsBT54wNM_-CDI<;BnEUtfIn z-cHl~#-Ay3KJRO-`5y7L`cu=Mqmq^1GQY{MuWO1fdTx9w`jg=K9e>soJ?Ff|Z>KJP z<Hw#mkNNa1<flxpiSK%={&L=b)~BL7yPZF=9`_HcKgL@5*Y(|G_xMTdIkk~y^A`OT zyuK&@!0zQ*?`KXwKSkZf*4fPOnf>++&7YTRe=j&Zub+L-VPn1xCpX&!-hcf_CcP&9 zgXmMmo&D3Fzxb>9`9W(@=W6j2y7T7izrSu>ap>Z$$1^|Ld{|SVXJb9@SoqgkWxEfx z_lm#n>$~$~SzSMGUi_jviFtdR?mhhQw5aFx^plo$dqVU!p4|NC<)<~3Y&O#KIM0`? zf3IKAlfLi#-&vog-8tsD^!xjn<?E;N-#Bt@`<G((^?~mmK8vVqxBkXt^GHD7;*i<- z&i5Zb%3ZIC|5{pG?-Q5soVTj}oK5ZOn8*Kgi~4nK9{xCcir?bl&JQ{jQp*4DZ0uy0 zsdK(>{#dr}huvqDr(&Qvn6kb1li%$N=PUdsXBm6{d*03?X6wQ~=T!W%iJm8TzAydQ z=I6h)?yS52n{Thab>#i~lht?B?ElpHvt&<B&FuR92l>v~FR5w&E<eTDra=9Wao@(1 z#t&b9`m%53d+rU*?ECVMY5v^t&3@JQ>1S5Q<$bJa+H>5_?!hDBQ@!tBJU@#_YW3dt z_dgJNUK4MkRepoJ;Fsjy`@gmoO}}%1_h-ZH^DFN^?6mpY_f5U%`|eGDE5EACJbEVb zRPO!i4If#*K7OzH{p8`RPriMh`hMS%eVxId>P#!YF@KeQ<NK*ae9z-rV?F;Xf4{ZA zVt-;PcVe=<=KcE6zZ}x>6W7XXn}7S%P&?<pOJ!H{{8NhWKX3e8`c~RLSZ<>H3FS|H zHg=wW*``1Fcz$dAq}!Wq9@v`sU90=b{nYTicELB_r<R`+CS}L`b$IvjPi^HPJF|VO z_y2!XeM;75p3?gb-N|!m!z;Ul-=*z1V3}9Y$R6`ZGW^Dq$j>E}W;V?89<KcLSEZso zy3YQY{?zSHxPSgr|Mkzd_<6|BhRg9Mu4(@I`(^W+MDF(m$Kx8@WgfA*-*|TObFE$8 z#-G7^^?!^0|8r6}=1)}Rxtc$FW0J+cTl}8;iIboGXM^xO?(<E{KidBN!)x}jVQ>8F z-)V0i%zHn3<I#H^<@MKo+dg}G|EYj~{p8>`4~3rBhsGt(PCtD4`OQx~6=gQY^S<VP z`75edc<j6D{?L1q*>C*be$U>}{9M(x%m3cX9{c|FH<$0tgI^<`$lA?`?EA>~e22~C zPg4HrQ>x!Qw3YkOCwRW%&@-#&FF)CQ;Hl8F5uSI9|HpUsoJVZY?0@Upw)P9x*)wmu z@w%Xp@2`#A=Z_keNA&y(%a(i+;-40S>X4uBe?0FGzVo^E-}|4>{og&h`}51c&dN&1 zn=`)rp4B%^_RT}tbNUNxjxd)i**un*Z6iES?>zH;;Rl@QHSwR>YwNw@9zIp7sy}J7 zW67O$d%aIo+r-D%CCE$LUpYV9(7do!_fDd8zs0}B`|=Mee$!j^{bqg8yAA1&ej1%B zfBjec^v7F=E&FVqn&z!j`ChSek<CK}Y5Pm(!($zHHa|aoTCVKo=fZ|^i^%tnnA&$7 z6qGCINuQH2`T4y2?cKk>et-JvcbEO=^Yd*E-!z>v{Y>-RJC9zmeUdwWeLDY*<JbCs zes6!R`TavhEX4P+Pk&~;6Weh}^<6=$d(2~2^Bd1@e)>{bW}`fB_x=~(Cnxf6|5GP+ zXY%<Qdph^kC)wR|Gpk#4zr9;_&fTb=eEi!dCFeYrQQsaC_xPPyQNQn=<A;CARvf#0 zEB?7n>^$~)jOSa^4_*GD`M+jkr}Q1YUw?Ub9J8*oKN&wY`i<+3UCniJ{gp-jmPhW| zJ`tI{Bj){`*vjtNyH8f{xf5#lfFaucas2s-MeMh~#Jox7cfWC5^4>!(_8o^6<qBKG z-~932FF$){>-Rr(ZZebkSHEBVUjP5Pzb!V$MJqq>KmGdm>i3WH{%n}F=jZZY&zP_D z|5ts#;dj2}(^|7W`6d5aj6d0~JCXgkXZhdnOP(F9vA;K&eR;6mB<bbT*S4>?|B&H% zdceHT`$W|FgYVlf{?v5msOit9+wLpuA5Yw4H~E|Trx#~7{q^{|cJm2Exx$mb+2+`J z{k;^jU+H|Iv)sJU%I^|i%PW-PKlt36=Ue-H<12Od6N2B)>0RG*{^0K8tL-1R)f|zm ztmS+AT=IT@^rr`NPDK|zj``GM{l+HnH|QXscN2^H)%QGjrt$7`t?0ae(cd2xYrj9? zoMRukvsUiux!_dc_9uU{KE2uVO8kcP{5y{&cl%%7`|HoCWSN^qt#i3Ioc|taQNQb( zyUhgt9m@LsY5!-wdj7-VU3|dL#y<^r(^uY)@u=*1%zc`9o4%6t`LO!_+L+jopYz^p zZ}=SZ)!F^0$G*dYJ1Y&Je*AnT{)G3ZX?s-s_167snCpGw*ypYGk65Np4~cvHAm~%a zTJO`s_tPKzYu#o3VCJ5~+?92b_x=lg4SuuNqb&BR+|L%_nAot&-owc$o3HGvw5)8e zp7Ze0r&Ihj@okT%XVsUpKIPuouKkJix_)^5vFcC1x^CT{>>fVpZ1{@&%1?a!I}ZMw zI@SNi{;r(;LBEfMrapfD>-=5joq?L~PxyXf`~BqQ)r$YCul5&u<;BNVdhI*TtG8}p z&7s}drw(r1wf%w2_I;oD(yvd_-KT%>YxdUnvC%ty-#wUEbL{f#tMVJaTJ7A!_dVNk z$2ysW$5lmr);5p7{5mz)Jmdb}Mwy4qWk2?DzQ4DmZgcQ`)%68#a`z{E-|zZYVEdD2 zy;HV7v7J}=E&kNp?OFGCZ;W{$`F+Q}&QGlB=2LC==^qMSTz&5Bl;2Opw%uP>bL5=$ zQ^xiul?(n(xU+v}%me0kg~i-Y-|a5Z-?vmI`FB`RtNNbDzrR(~nEyU=m;Z$2xqJH8 zD=OySNtS+Jc%b;n%nx%a#B9>%@t%Km?bp4;w~4Q9tKuu9pR#|F{nj6zcQ5Rx)4mDI zpV(G@OZ*yrXM*~|Z_-82%RaTZ=hVCWe)@LmbBi6#cM>1>ePZOlG2`odds#XAWz|3D zUNJuzoKqXKvp)UlH_lDn%%3t%D@(<{7R$I5wV&O6%5QzOZC^o|`BT+<cVoBxu;YJk zzp}P}=jTexnEJl+CpF*MYfry%$aGt!nN96H-SZvk$BiHF{9r3OZ{ttRz3cb3@7u4w zzVM^#Q{m4aS8w{r{kOPiWAo<q8uuSQbg_&%JD20U#WA(>Ez5WRn)hoTgZqx>zvonI z`k&O@^SkX{ypg{9&ZC#NKe7Gxf${nMki0po=9?$yJ>rVqao9}m<B9J&6$ftqs{MZL zyY-u=6+hSA+n+4=C!nv;^rYpydWGvZj_a0Hmf0}RvpwJP{J`c1l1F~+WBYx>+V#Eh zyTvvBbqe$A7yUV~=XY<;-=O{e!qpbPwtf;Y-#;mM&z~T@!iMOWxvOgWpU0mNmiyT! zssH+WQuW-=Un-^cU;6HL<A~(8g4WzS5Bu()?~s1;XUhEd`~G}R*FW}Fe#-9e!S5#B zu27r*;i3PZKR13Zj=3}ccm1?-{t3!^9teHs4|(@-pV;>9Z=2aQzn>Jgnf$x+)6@J{ zyKnqlZIk%@ebLv1ugNlYF6%b@4X8Z++h@-I;NR6U6Ta_I)|XrM_uu_j=|3Fa*~a{A ztU0!>@JQzW@(I5LPbJIP2FY!hdg|EAEAkuqPrGaK|J*0zo*rOdvE@_8o#U!Ie@Z<4 zI`c~W3HDFZYW(Nfgw=jN^UAt^a(Tru!}rqRvtM2B54Ts;FLaf&cdRTn`|7+$-Q4)8 za%KNo<tyjst*|+;Tm7Ww`_BbMd|$=qOgz6s?ft*#-*0zb$^YOXXCL{~CC}b<o9@@| z7DuiIPkp{;{ehQ(uiE{C<0iZ>aL#*rYWL?A`42kx95$}}Rq}Q2=T-0Roclg7PT!M% z=;qI>`SVuRxyC)5W>Y!s(?6zH?iFg==dJzYV3+Vc<Ll?=8vTVIVs<{Owd2!UvM*30 z{{;UN%S!jSn3ng)Wh?*Kye)t7?ekOSd&%Dy-O`U+u;<8b@l(uk8y>E>zu%+s^!Ke5 z`(}K4bM%z;Cl+h*@OOJ%c2;}dJSg~c$E-a%SM>`&*nev1oqp=x(vtOuIsM|7?K$@E z^wW9oiwg@S-^zb*uv=UE>6z%B|C?TgS19twudGot{~!LXFL3{H&U0E%tKaPPGSgrF zucv%Rt!eGP^~c_cUh(e>wVUK_p;jKZ*k<RXUt;S{v|oMlGrLm$TfF-0dEfXgj-Guz z)!bs;Q_kJ_2WsZ`_O5<)uO<DH?YEP!&9m%x?E0nZce2@B^Zbck^V7QTKJ<Lvu3`US zpUCm+PriT7{`+10ecPt?&vRuS{pEckV!h+Y%db<n@7O<Y&v7xk2S0Y5>b?KsFZccQ zr0Tne?Y0%R$j(U=55I9(_S?rDzt;ZxtMl4!-H*RAANuxYp3PtNKL6gbzX`Sa_a>|N ze$ouEKlJQu%ImkM*3Lf7%vbP_@2Mr<J<aw#=Z}BY-I~A0yV9jjLH=a*r=QneZNIT= z>YP2%zrW?CJh$D$-@oI)*XpUq_pCqSyW;PP(0LQsE0nh1U;d|{`m1lweZO}bi`gx! z_x}?7W~VT{;-KdH*&pBe-OBF|wpU&sK3QEpWZr$(zZ~12Tx*}Q{R#8D!n!?0>X+&+ z6!w4rSy3PU^jP~;@h9v>pYu*x7rmA%YA%2B(S6H)<?Z%b=@tFaF||IGCx3Iv-1q)| zxL<qyiSpGa&-!buPyQ<Y$+psapSr(a!2Lb_zx?#yf9T1+Xa49%-K*>KL-vD{d41O( zMo>LJdw!VRr0+MX7W}%IK83yFd*sfiwQ_z!U&Zf$Q@P#of6m}UULW_<C69m8-vDqT z7oYMSl*kWX<=?-eM&bU$wmZKjeR?<Xm2`#D`wu?z>LP2^L+{%L_I+S{UwOY}v-|4% zHLiar?m47e`6=}2-{h<PAAIuOPL)5w{>IMl@1N{b$uc#u^D5@|e^y_SU*r7Kr%vJh ziRw=eJ6~=8;V^H`lE1BYEF-_oTk@|%IHo@MXXE#&J1RE)y10FZyWE3$cjTtNpTBBf zr}!s1Y5(APwb8Zm)%Pa`?@4&P{nz=MlGFXK*Zq$D>ibEG|H(_uQ^(U+$tORS-1OJ> z+kDmcANj=ZhtGTXfqm1_-(@?h`o5j@-08b-!uyl#pH>F!Km4rt>6i99_4D5R^Wgi? zQheu6#LmONweHx*|F(V>rg_|=u5-@gZ%2!IdG91mPd{$_;O1wa3O*a|c_011)bjFu zJoNpheds=Q^TIDhwddvfpV;c1JUu^if1Rp5zubwx^NZ*IX*l~obpOn$?+bo$S4QoZ zKfXTbzHR7FpS;IiHOJ0weAQp!ANMclw{qnN?VDA-zmBbc%E15Qz;CNLd;I?XF@L51 zM04HA^8KOruDz<RP?`VHB~Jdz_WKL!zF1oxIrl#G@q&8WD2v+3zm&~Rvfr5yUVowP zyVzH5n~CrLt*B9p-|#u?>ur0@{(^7mHy_n<o|F2zcfZE=ld^Xv$rt?R`>Jj;IlTKD z|C5LDso&?mnq5CRdCzga_pb~8<$dkmzuAA@!#<lI?Vld*ef9f?L)`)H%Fq5^_lEub z5nt4Fw|??xJ8ALv;q`~?e#(7+vbAv8<$v#6em}mV|ItZqj^ECYj!%!hOqDOUIQmm^ z%KM7=uG5dVzMmgh>G1ARW6i<G?N{e-ym<2K%q{tKE`8_D{N7M1W_ehdukwDw?*1(M z)V#VNy}~P}b@Tite6RS~Vzah(p7Hsf=Z)z{iyz+nsN-AvzN5J2!SANcTIO}j>urBo z{O!5--r)Uyh4&j;lV$i%72m0gL+$PRKhF8Jk4gT=zP9(TPuwn*Kd%1jZ}qc#+n+F> z+pihD|N8m8_L1K<G&|3kx5Vb?U-KtI(L0WQygv2&j>mhM<vz;kR~#`r-?{vJ@iWPf zHXqJZ=-Ft`dnx$qZ>hyG(f#t@-~6dluU+4JH~0ysbp3<xEy<r=$=otO$sIHC{kfGj z-)--5pF8^fPt3Q%FUdDIOo_f@Jz@G2*`2=k9yHq61^s^MU!rg6HLqUTeTPM8*}1iM z9?om6{v^2j33J?u&!02)|6BS_^!e1c_NOJ^)v3QfxBSlIdF}j9xWAoDfAcTy_t&3W z_aE=oW&a-%_pox}V{VQ6h5AR%#ZSHMzS%$TQOBO+$L?Q|*IV(gVD9Gm-`>BL^;@U; zTs%mwNdKtm&icfsf;+q2KXE?a_wKBCVExXCHjiHYDyj{9YWUr2k9xn%Q_jkUv(g#& zcg*-CHd}o9-Dr*Y6YaB4*jAp}D<*9oIxp7gw`65gZPD{dr_|rXdF9Eisg+jmv`KuP z_({&6f8uKI?EO_OF%MomEUJ)vdTsF&*?aauX0cC?CO>Jlsn~L7vULCS=sWgd=k!+p z)tM~(#@_K;UtnFuq&E+~b$;rZy!?c6*?gVr(=Y$~VzTqd*WjlwKAk!(eu9y2zJ7Fg zK;FIxvkxDfDi2ux-($6B^_1&pPG3J|J1<Vz|Hk2C@?YOi{<-m#Zp?+vh0U@y3F7B1 z_AA{#Z*x@g{_}Xxo$l|})ivLfH!{Dcx!j_rJ?7D`w?*Cjy?c&Z*Bp3ydrEZurSsN% zL%(fkFTatle?XA0uuVDUp+vdGL1n*>O~yH&Z9e?|@Uca>&c1i~{dm8)hfnwZs(hra zt$)J#&DK+IS8IH~uRq&D@qPH@^zhLA$L?KyBB;OPNv-j={>y)-RenmW?BDHYmmnNn zf6adWi;Aaw>t5{Kaac}nW54*FxY+yI#_J1Dd{@05uur+a;9KFndf%svJ6oea36`s` zuzxVq=KEIr$-l2pnmcd4y!(!w+dj!1zdlhsCqDd}-O9h5@9p&8fBd4kbJx6IiudCa zeqTOy__cQbiE;~-_zz9JbAP=I-%`J$F{d{0-HGIPrWOkR`77$2<Q~ttvu|S2ckf-# z|4fgWuM=JI-L&$E=6AL^6Q`SBt8<(8c-o!)ou6L3-nD)A%02e3-wLcwai801{<v+= z-kIOHKS}vN33T|Ed$&7ZPuhN>bIgB-ZTSoLJek+&4(fDP=lI?_pSSWa-}CL0_Qq@Z zfBcuesXqIu>GznNe=$E9&7TP0n;5?R;=i8Pw@-b1zcoJTv3Ze*R{l=$g!y-N&HdDO z=YVEq``i1k;_9ovp5A{-_uD^}^zwjv#rg+1cb2<9W!~AG{Yj9${@UJ`es_$|E&RWm zvG4!FJ-ar4vi;5#GqJrq&~DQE5A$jc-n1`0zhm{U_RTM9+dcHoE0jMG{w`%RVR?CQ zy;}dqLbtcK%fs&_JeS*4toKyx-FdbC9|!g_>OXmEyLJDB=QpgKe*fD$rN5#)-_mA& z5x;!j6!DxtuKz6!lfP>`&0SMFdCxJ!$`bic#jEA-ho95eX}__vA?LpT&ZD-%?oX<7 zCV$_da{k@=+W#4MPs=N8pFSm6#%j}lho^ksV`3)AZ}7HzIB!qYgm06(U&hTnarx;J zq36>lE@s#MzC-*$-<_(CqWO0Yuz%tUU-#}Sf9U;PtL{Ad)>ZV);HmXH)e5!ma_ejq z$~P3NzU{9#a9MdrdG6C{o7mWOaw~0~#5KOn*5D6*D)^JH{Rwy6hL0IvFH2|r|DbVm z*StFukKf5IaF}Q3_P536nB~sD5l`QJEj?d3Cx(4fYq|L}_c=xR2P7+hR=iDrVwrdH zuewHm-lFQ=KKJg2eJiN)t~_Y@J?+kf?fzHl9OE8$+EfYsTw9|5FaPF_Zkb2FzHR!O z@>KP^kIkg_g|7FKU-R8MKX1`rzUTf^_f~8AfBd&@(|;3i8o1{RO9MN<rN`LE_Q@~! zD<u7W;^K4-NE)bG21^6KU&Z~``Fgtjr0zE%%j#{P=2dh0o!I+aL;i$p%;bKHiVg34 z&p*AF{KU3TUNgKE8vo~mq46KNs`?oB6?+>`JDYetd3{*)>xY1%|0B!W+xkKG5}qI3 z^qJ?W*t_{ku;};R0*e0XRp0&w{p=|JuiSn<_}#<9o1d^sbN~O@em7k^KYkS?_P-c? zdcHz_f6O^=%OmHwQ-6z|(tS76Lg{|;>^;X-LGw+&^)K!HQ~&f^{Zo;4{mFm5b{@7B z7k|S3W|IF7_4RQ}|2}!TD|~;{yg2pg7WG?YWLMvL9M@o7^xE`PZ4tBl3F{cy)zyEq zuWrAmwS7lz^PIhYKl`dV=bhYpU7P=e?3+D-zm?@agcQHOd+K{d){|Gfr<~u3-l*IC z=|k@+<xjGFC&l+9JU;ts`SHyEAJlGUJ?*o37T3)D>5J|w^(ThsPQKl)RepbY^|{+m zel6bAT7LYrvfobg2R(aow#=XSe241y`|E68mE?cttNgEd^YBacC#=u!%r11kw=eiN zYh|zcrx&qL)L+E?$-DVuk<6oCn>YQHLyr97Tj%es{>%4#?VDQP%C7J6)Bb+fT)*>q z(r1^a>~|*kSGdRRjsI=D&R*g8;&0wLb5z`qYeM4w*8*7FPcB{mf5NY){7=NH?@!(Q zc<T2%-UUwYN>8yDv0s0p%;$G;@5{q?e$U)<{A2%<SIVcp-_b5`m8%N@jqFr^VokTd zX8ZW@EBQKIc{{b~`zKg`>a6CKJ8{{6h5h8?H!MPbf8#tQ{pQQ2&+1Rb-tm9x;J+jN z!6~jT=r?y|-`@L~_y2>A0I<_-{~z$~;o<lvtkU&Q?f-Ast&wlP7#jCCO~dW~&6zX* z;hg1v-+vdd{vVcCABU3r+1~zcd-ptk!tKo`HTll#{EiQKS8)C)>rckrPlVr{T&<sJ zZ`u9sYW%e2%1?!!KcBEzcxv?>?gIC`TGyTac@LN?+gBIAiu)h>buIS^-MsV4>DAEO zzi$~h_s4;A|Mi{EHSRxt*;MqE_o?D{uAF#}%8E&!I%?XTKe6iH__6HQ%hWCP7LnWZ z6w99&ewUJ&u>5|Iy<&f%Uta&IyWX$nea_vvZ&A*}yM~`Sg5U94DC_TE{I|#ExbDvX zu}?2PEy*wM+OvPxn}=UceQGLxcXo$j{{H2EoAw+NuKZH_Y4wY^Kg>6G%#(R^>wmrO zHUAgaOJt6JT)OnWQSQvETAP>3?mI4f{iJNuK7lI&Q}P20P8<$cI8h;3K#Zl9Kd@P$ zJwQi8;!5I`g$oso1=KirTO>*%|NTu{wt3Anf8T}IKmT~}vvU8s**RZdX;us0xfng? zVs_5HHNSs^-wn60RJUHg!hOeGz1`2R7Jpk-@n|Lgjs@RuEKV0M*?;7xt7V1ncTKan zsn4fh@&1-}W9jVaSG9LFDp$3xo%!w6(tFFTp9op6Km9TPm1(si-^+8NU)%B?&$;*T zru41X_37_@cOE_HXSsXTy-nK>NZ$SLymxW+j-~w;_m<i|-gS?^(I#f{<?T<p?rjc# z&?d)QwSNiwjf&{+*Lc4&-L5}Xwj%G*FWH@knX7r_UcQXqCI6DMtnBr@y({k}zR$l| zAAa}XVY$j9$GGm>+uU6p_iyoUPTS**wg2>M=ig&rJV$0iZO(r6=<Qd0zo}LD<!^Ld zU+C2zUh@9k^|+#FJ^s^z-}q0xlP#USS2OMcyUo(?JC>!Fm&(gsiu*VJPQAi%3(qG% zZA;ttO0IjcY~!>4|Cy%eJ!p)3_@?&lRsI)ye@={f^vT&WZt1&?;*V7CMy|D6eEUY+ zi+zWA-^r)E5B@6lUH<e<=T~;s+&Q(2-mMRuf7$lUWp|sT<VD{v2Hxe*PW^1Wa}oO+ z(F(VIxof{C$USJuvt49oyU4bB?woqf**AX2yel{o*PLASYsI_dswVGUyW?vAwSL>f zTNHhQuW#QZ_c<H3A7R~F<MW-ns;~Ojoh9{a_dY1RsgWxG{Qik+ZeOSD<$U)-_uLCp zv;9|V|Fpc*o|7|auKX3{J9X;2{Z~DI<NNVR^;bsmFLKpi-e}&{FZ{PfX3mA$uHNKN zcY^e9&Q6@3XSX=+BCpIv?Ht+baevp`TfY2>khS{LAC_Nd?G<P*N#9)MyuHxx{f9U1 zZ@-@3T<(@vc;UoNv9HtivYvbKkNcJE-NU-IM?Pl0mDGRrw;)U|$MUb|x)-+9ta&dk zhV6~N#GJEb*Y<5I#c$MkZmSP8FZ{c?M&4pl>Wi1~6DM-#a^85Hvh&~Rtb>lHlw7WD zV3%0%CbfS}AUp4D7e`(tfr5qxj#Pdvfs_Lc9if8F9d5FwN?9ROv=#-r_wG2#x>7@` z^oj<@#IOGQexIwnSM~RN>D<qM>c0QolmELkP2!x-iJY3u*a|Fx1=EX^;!?&BoZ z{%y|pH}JnW9KT3Dw}0P~+13Z%x?kXb`PKPD+r4V3{_u;v_ZRx}&UwGN|D|4ay8Ac& z<okCy<^HhdUH<C+@YcB(?0eSxm){UC>HRxJZlk>Zv7Z;`7sPMiwpsho?rt2X{M)X$ z=e2CMDe;$izZw6U@;AkN&i8+PzaJ^qd{ePm#NK~!?%j+0mGfQC-{Ak|V4rk<@%1;x zzn&D{F~2MPyZQM;P1{;7yL;SwPyV*4I$F2GysCSz-}U*&eomTqIsNyCeWurAfBX!) zkiPnW`tL>CE8{(`hq>nWU$B1TyX)+}h2~%G{k!q~`oW)7_CFGID~{cJTFZGi>AieI zZU0_x{u|lsi_EVbtNrEpp~Cq`LmuA))w|DYEo;x%SxG!U{)V~gR9y*2Rl4n+^jRO0 zf`3eSm(P>`g7N+87o5MpJhhPD)c?}>+qs2rOz%9GV|$;=y#3`zv4Xb+Uj*O3zU=*t zwf0b*mwZm==f}zgc8l5F54Bq_{QmN2*@ugPFOu)2U-*4{@%opXza{Uc*l#S@x9Ir# zhTXd#*SNfY%TylMY4@~NtoBIV2dyuXzvb?x&%d}ew(x!l=eNazHcR=xH}&_s**~q7 zyPIrZWBO~(UcdgE(@V1R@0eHfzPDzHyKtBJfv|M#!QW25TK3lR{F=1aQ~!qfmyeA* z)|bnCd#(KN>&6c+0xFKx9s4a*b?%<M+;7q9>G!O8o^KVtpkDHKYr)^EFWA4l)PA74 z_gSsw-bDGi9iMrBH`vvvp6i$SqI$PR_KqFn-wAQILFuDy|No1<$rqNdKmOD1_l$R& z%Keh+b-vx5{P5t(g4ye=3*PdgrH}oB&)wG_u01&W!ON8e^Yiv|+7(@Vz32Rb_BYwP z4%WHN-!y+t)8~2JzaN<&PES9mTvcFo$5Qm~gzu4Gdj3Apec}G5`pc|ZxA}7#e=l2} zSYKdgvvhg-;p58}n^(?vUw?!DyhHxR>o0#<TEt%}{&nVYt@vX77n`{sOg6rFdyoE- z?0on9`K|X7^DpIo<K4UP`?RF{`~~~!v}+0^ZIa)A+Sih|@%#B>`;OmR%Km0+@dfGW zkL~`(RMhC!IGEq()PHIB{`AY_Zxj1JCx2tKO|`e;-}_nbPWn84{k#_bm;ZJ@<h6ZJ zD{7lKe;@C2=Wm9!$L{TH`1^Io`C98e<=S_a9XCI?mHVRmp7s9vH^skj{?@E5Fh19> zf9PkAT+hS9{ENT8Jj!CXOQQD7Z=S0E%rCO54%NAt-}Kiz__Iqco~zux?X%!s@xyaZ zUs%59{G$FF{Ce*5H@?4E`%N--H}iMj^#|&{WL9{|*D=}c6Z$*ldu2)gUjv8vo3Fn# z{5>g8bw_@g==<H$aTo5MKcxQNA^yX@33iG3`-N*y-diI6M*7!};vMVDO~1Wff8cfb z!NvNAjK3M*n|`7A+dtDA>u>D-a&LM;+-|X2r|Zr;{`r1k{`DoU!gGCPykGte_v;U9 z4%;o@-{1Fnart8Xm(RWySi9RVx&HmIbk+OFfB)Rg_x0MvwtcSsW_UOC{hb5<7~kwR zKh!LLICs}S<{jVUzA)drzTEl^_pW1gUi~-vmFLK>Jy3hl_rn+Qg86y&9P)R2P>YWz zdoAAo75RR2`+;=+gUVGOw0C^A`{H~r{i5-==ID$4H@?3x{oP~t)AZf!ee%DX-5+Y& z&OLZs_>0>6&o4xOKV-iU{ibx+zv?;qFD+}oIo{hYRO>kZucX~ifvVZxTT9kD<=<~Z zB#*q~`~FLuyDs0x|Bv(hjoo|n7e>GN+n;bh`TZs4Z&5w>Rus;!W4Ec}vRg3Q|A6<d z^S_IJ&8YnnI7fan{|n9E7w5j1t6n(&r(wm$Qwb0M&zHOOH~pgdmxk}5Us`Ib#Lu<A zN&eNd_jBR5^AGL53xBv5Rbew*Zav?1A(RqiP2=as{*P+h`0ZHb`7Zqa^62P?i_0$_ z-*bM!ckzX=5+t(kv#9Mqo;!CXo-0@7Gw=8u`9<^n<`>G}n$Ishf8+ZLyWc(Qayx!6 z)BLtr_km{Z4$hiCm0yDIJ-=xC?eOl4&)<|*o!Pe}diJAzH^nRNmwjk@m&ZE)PV>G8 zwTiWe?)_7O7a`YntpA?+=6d(TuhSn)WITW4d_}y|`J2BbziEHd+q+%)Tz0(U{0m># zANsoY0{@H4ci|;SE$?&bZ;Z7TM}A)1`@(zI&(a;W48Iij-ebRaq5AyC@AkVk>i_y8 zZE-(c{&MWMbG2{WZ<H_p@E~A^?{)sR?E5bE4{B?79p1Mf`_1w%9e;mBTi9E%d}sZB z_;UDx+Fi9QHD7YSSiLuXq4;}N-iG-Xm%mZxf3T-q<z4rF=J_{2bjay6THklIe^M)E zn{0mqo<uCAzWeTP|J%sy|MF$whmYSc&far=arm2ddq@fL5nO`gu)ePr{?%kxZCmrn z`HR_m?w7YbU%ZuHSbk%6$?RI^{1<zfe*b%E5&vJpuEH2zgw!`d>lgk-=6*+OfB8TB zc=H3}T^r%JOSSi=Uq1e3@%)E>X4E?P-|VmJyJyM$edhiKaq$D~CHLE5>EoC89R8cf zzerXuPpOxCS6t89za#&0yZA!?-Op<!_bd>fekgmFpS(?fz21-M3$DKuekb{*$8O2= zFM@j)X74}t`SOhKFXiUPJDT70e_7RVynN>M>j#;4dG@~y?5OjaAIm-eV*8i4{KKvF z`kd<=*I({l{ZK7-`R$VK?-nKf?=Cs-a`%5>XS=-rrKs(K@0QMfi$C9g@OATx<Xwla zAOE9U|K}$E%V)hms)C+<^Zn2y=l(&m_E2PD+8o~Z+Pml3y?a;B(I3CL|K&~H9ru6B z{%YIny#D5E{>}SEe>3m;XjgIX=kJFHd4B8FeyRS^5_h>;_>Fr10{M$~?GM!M`siMf zFZyTCg%{`83+vq8Z`OYy`1|DInm@NU$X_b{_H6!z=WnE|-XFf<|G%fs<-1me&-MRI z`Y%;$3#`u_uXnY7@VWcNVTrw;&A&)hcfZ?sJ#3MDy~yv{sxx(7{x|yn_x+Wu{lR~) zUj3YT$=v?%i|*wM{r`8?HrM)mzxmtr*8|}j<u5s_-^RZP{>Hj@QTu<l_39S+_H6Q> zKKktXsrlva@r(U8%-<Y;Q~d2=(VO%yQ}+IspL70(wEuzZUp;v>Y|kgFUz}aDhqLyB z<(ItXdrA4_=i+|rSN;B6^Y2T;-z~-89xvZ8|6;rU;p{5c@^{B-KN`PK-t}3>X8G&$ z2XpQAGv3|9{`{}re>R&%yW`uJfB6zu;rRYy_O8FCUz$TdzBc-&RpYUKKhyS?YIm1f z?>WA>|D|26ll*1lDhK_SihCEjm-H4NvV5fTv&!Pj9J^<)g>Cz<>!-<IIR5?d>y1_V zQ9u4_zI?ZI`J3OX7tFV7_}f-{)GjrC^Y^f$`##FPdA<5zY?Z73?&tp7i{2bxoot^h zf06myIjI+i-+%sj+4$RD)5>|ZZtGwE>%Jguf1q+PfBy^PD!=(_TK8>d`u*<K2hI8O zeXrNI#8n&qno~Rf-3I-aagBfH$Zg~od-$j2Z-#7z<NB9>=Rc_VCiJalPfOk(k-hu% zeoflzU4E0F{l(w;$??nmZ%qF(qjrnj`^C|(53}z-@be(s2TA$$?()-K6jvR!TU`C- zFmGYqpX@jNFAaY`^uDP6M*CNfTz*U3Z{Bm&(ucF{<~hH&ZHc>n!Tb&T7s20?^6bt$ z_y2yAUH@?9{J$B(6;A748dq)7tjcctedp{0#@O33^Dd@;xtI0hd61*N{K20m#csUc z%>T04enI&*m-Y5s+h2(Np4WFTZT>~)UHe6UY1VErtvPVq_{C!T$Nv;R|J(n-?C#2k zcD6s&Z5EmTZ&+T^9d|*!-aY>E>Zu<NzN(0IE1A2l@cfIaUlZP4aQ|X>cZvTCG26wr zzf5{}LAvVfb?0Qe#lLH6C*^+lweyYomy5zbs_x`otbUWb>wMg8zP~#T*3F-{VSd@U zx;?hv(!V_9D|ox#BK{(CRnCI*FV6LSn6>Ub%lDsu*uH$qyc2%u_?zNi4<x@_JXiDQ z`2)tgN%m1a_mbi-Ggocm{?+sD9oO~w$7^rp6|~1)Q2v$DVfWxO_shqj*P?&aUbrV) zd*a@b<!^phFE}4|xbE=2r1_iH->9xmijSB5{VT4ZJ?_%-FB$n?c<<g~w~JwZ&i?z5 zvfX~U+HGpT=GZ09zqnO=A-|i&`t_{eUz$}X+kY|pEor;N|C_u0(%tL_lI`aCevj|@ zy_NZ*`kUW#H|xK6X?0`%rSvz)*&qJt`THSZ$2Xxj;U%-@$u-$MeSGvwM(i8$GwITY zvVTpmi(vk5!)fMVzeN1?Vc)7_#bSGx-2T$`?t-b``6HjDFUnS(d%k?X%-+*=4(4%f z$Ms*z{oZps-QH5<`Cs3S@|V=#oIQNu_#5dePk(-Q`x`vZkGp@^_wMKA_UHxja-DT& z;+9vxdA;uXM*GFb->ADktT}XV+XLgO|DpxC+H>?@Fv{n9$>%oP)u?`R{+7J!{JkpC zUkTQ4`d@JVo;7ci|Fneti*~yo{`$7?UWKX6qsN&gmmTaE``-}%V)*-qsfGNzmcJeI zHf_)OBKiBC;fGoCF80nXw6hSaJ#+l?%WHZs+>bBxzajmlq1L&->~Njy`5VWNKmIdi zZ!y!Jh5T{c@_dE+mMpK?Gw0p=zI_RHciH1Evwt}_>&Mp@?|SPz{J%HN{?hYYr^<8t zOWwN+v*%pwtk|bq<>3G0j}H6Z({(QI<r|K_-^;i6_`bCKP4hQx=LhvcHh+)n|IAqZ zSEa&D|D~y|o#fv8w)MXb9Qk`r`a=KB=#uWe-`u{OTX^IBW#(_eRVV8HsJ-!j=`4Ql zf^^k^<EvlX68ux;f3WU^-Ll(n{+cf???1H9oBw9^@<shO#J|ju+s9ga{!ry_lXss# z`t17WRkwHf;`tl$Z&<%6{&r93P5PIPz4dJ8H=Em3IL^QL+x>A(k=m~r{pJg$*E_zS z*J_uTe>wKsujq@vk0;bS%-?YSrQz=c&2QenUgZ3E5HaWdTxS2D6{f!*etcp4P4aik zyN&YmyFWj^o^*fl@i(RNwTJdCIsf8f<%_Mth4(Dw?Vdi4{BrU21^#<&cIo#Q|9-<= zRUq_j`InZzKbYqD-{il~xBi7_ti9y(%iI^mYxc~@+b6iUT=3VVz0UHR&5yls7XK|} zn;L(?_}jyyH`c#=rdse=WX}6|uK6E7N`1Sw^2PEu+TS#76XavsK3~kd@%^P__2Z&1 z!QYIloae_h)>T-Y+Z}!=+isrkcH1ve4f_)BFK>V2{p*R&o9ACNe?QQgbN*)kJ;wKY zMSq*tx}3jv@}KLETBU{k)05)U=gns~e<^i$X|>(2hIw<j`(M<a<6M4FvN&oE=knt( z_j8}uU$Xnll=J6zNY$Q-Tg<O_<nj3zlE0hsHukSSSebt2xi|lf=<A<8-u&+LW6HdX z(p3erzu*15JlVV8|BJgXiodbd9^1DpeEP?qm$!O9ocy{lZnsRHe)H`w|9C%4h`YdT zcW=tQ-9pb_zboZ@*lbrP_u`A<-Tl-0-RH+Ke}Bnb<x;-hZT_Xp<p;T|`t24^uaOho zyYPC++S8WqbM|iiP$OG4-)<TI3*lXE=U+VRt=Q}JYlhvU*U9_X_ns?ut}0>PCpUTL z@46k{{`sx!U*v3;-G1+Ezx4R_1L<FW?fr1?){kF4yZrj|-0YV~+n6tkE}8u9qHdMP z_LrP@7d|hU9(U2UYX0VjuM<nEzsy<hFZJ;2=bOjBymGGiC;0iI?1w3G$38U0)v?4~ z=B;{vw4(OdncrVHYaPzNklMSX+Wg^9(~|ae_uGzN&VSZ#f1dZn$Kw}fpLy+nD0Y`8 z|BJvTyJhF?82w+er60>I^s}@*H^=yi&d-`j>y}Tisk>PH@elVmM%!OJa{JTX)k)e# zv|Km-D^%n0{$lQ~zph{GZ1=ItU3{&7Ab6Lj{)>xuU!;GTbbj$7^Dnd3m0CXU*u0&u z{_o}AUkqy<=3g+aa@(GF@OAJdcaR_6*Z%qVeCMpab^7!6-+an{0PL8H+b<sfa`W#8 z&03H77n}1JzTe9dAOF#Jhu`&=&btm4EAMhOe<^o&QG3bkc^71>{K{X7-d+6N(s-X8 zo9#mDn%Y0nAAkLP6I=DGw#HWQ^MmvcQ{w)J#9b(@^8GIFVjm&C{`?p94~n%8+h682 z-dn!hzK#8h;d|bf!c{KkUkF!u_P^w{U6?&b^LdBy;}VPB?~lJqUN)^ddOh83{wDnw zf8!tiJiqIA9s7IU4^=As2TQ9yNAK|5{=&@m{kw(XCDZRc|6;*=?j+0k`-|F3CZ9k5 zkD>n0&DmdGzW-RG^sL$baPY3*>J^Uu@~zju$nD)Ox9^_eXHcu<g7Gdl{oQ~0AN@4_ z(sq9R!_TufU9Z$z+W)`nd9u;@|D5G7rEC{e|96nTym|lO;9VD=&JlY)<?`b*md@us zwtx6DIj?`-r=Ps}&hZ<rzdU^W!w=KX3mW;aJ-5%F*TVnO>h7}N{tL=qrhfnNrS08i z({qN;J2pT1T=+uu?&Hth_C3$#H}t>wWnA%RM&;gPzqsrF8!VmuC9*uP^vhn}4`0uQ zHNXC%cy|f=>P6)*k7|8rSa(^u>csJx7lf;P&cEc`yJ-0ryYyq31%8&g=jL2~T#|SE zwQyN=N&EWq50w9WOuxT)dG_PiD`&DxA7YpObiAJV$Aop4b9e2xtD0{Y%P)74{mYbh zd>6#Ol*In%iThL;@}({A@>ad)GKJsbx}LwjfJimxe^yQT(i8XbD`(Q4I;-<POFpMo z{8RgU(5ztkyF6b07cav;%(%DM|K+y6I=BAqE#J)!T@TM^y#7+H*2BCa&t>}ywY!U> zzf8!xEWFG4{7b#Pi>klaJ%7Qs$Bympg8rYsu9VFG+Y|SuVZC~i+<QL%mtO_qF8EgY z_Ln)^-<Yv~UU@73OXpp_{AG^sFLxjP&|vp$uVU>bTRz*x{x4K*mzjTQSy#Ahj`F#l z%MX3*x~}K@%)juPZQlH9^<SFRv-93G*Bz^=Vc4_$;nx%2+h3RT=3UzR_rmSFcJB*c zh~8cLeRuI3w&mXpZR}UyUc9@ej`eQ3{nGP%#~!<%X>UIqTvZ^kXMuS8q3m6L@AvY= zzn@V#-)<rQ3(45U)4zPsv-#g<w=BE;!N)WGi?3JadCZqNI<Imo%ezbNUmE20an<_h z$8)0Q>zp4oLRGH%KmJ_ufACZMP4ceX#~)wo*)!VRX!*a#QqFG4?2_4XvhDYlPTzBU zv3be-b%lMF?sMXro<F}JTXo|3@_M1#19cAO@$JX^cmE0bG3DJpNxQ|%mmjE}{pj`U zi>15XE;4`larOhfy>-eq3ub@mko#1q_N7Jca&eW@`d!am-xsINVS7I1@}o1Bw&y0T zcfaxVi(&ly7r}o%rpuSqvF)2TtFlI}W`kt?pV}W2@-F38mFQK?x3gxKyV(9^irk#u zeGA0B9~xJkd>(jFy2|(Zt~td=Zr+wTe|_Qim&v~x>=tH!>B*~=TJP^EfAP26y!d>} zs=3dzzP)RG;rr|`!@En(+7B7`?qHI;T>5LuyZF93xA}2w?{gd1=Q~_~`EKcp_LAo3 zZYAA$muz>r^1n2*U1<Gf&bv$6yWHOwr`6Q*R5{K6@b#m8^Y!!T?=QVBfB4h=%yRQX z!M_^fN}lIA#9zLg`r+WTKVN<1FTH(z@%EP=cMImn#k2FjNWLe1N&QR5yo>5zCdplv zu5!9=5qwTC{n*O_srg4=8!yW)d7NdlUov*~0q&}AaX+3ETi8GSIU#QI_TVp;)syq? zG=1jXyWsn~WB;C<e)0PJ;ko(;jlVUoKO|f=@!((m1p7VW?=G!ff3Uau!uNO0*<W5> ze`x&c$LtSHcC!wt#~=CmtFFSse?RN?{Vn%?^W9k@&41u`yqo>$hrGXk?Eaux{ha;7 zly$Z8cIOKA{ZPEK*j@X<;q?o)bM5eZ|6d~R;&T2&-c=ujEB=Je>G!H|k^jf@uBNyD zyu5$+yN&X9y7#4kS|7%{z82px|7-PaIX|e3lm78T`bGMl^Nam&@V|GC-+28c>+eZ+ z|8(EY_T3o&pEK^lV)qA=^EW-ORkTgdujj5kd~eD3e+{2`Z5Mvu*IWDC^UK%2HvjW0 zj_q6ic)l`c?GK5n|BP?G-`ri&zxSK$m*(Re*Vl{7*|E!C=w1Fmwf4a8qFtx$P8G%_ z%U@7`<9^>c->&!fnfHe`n|`>@$oKM`)`zxrpZ9giZS=2i_{{cu#=OntVGF*8AFX}I z|M05P4qyJ?1#jJ7wC`E(4=Uo7zXewvuKSZbr~mq)T1Up~W-Y(J<i!4Xq5i`6UiyXX zZ>D#X>=#Qj&zW!2@tM{3pXQxgBDF5-&6=$3o%282b+60ssryj;h3|LV^Ebv-{)hj4 z5x?PI-nP7?n*GO*=`UjMJ-_Ju?Xb|^<8jOVZ%&WRf3WZ7`VIECnd2@r$3C1KzxaD) zyvO+)xA!~Rr{!PD{>EFoN&4Mu+m^ov|9$wi{X<E9#WA}FwVZpC-v8(Otyn#M-TUUx zw+_E3-euQ3Ti@M&!Fj%x>0dg&KP`Ey@a5wB!n#9t3vR!0?_ZGr;+gz|lZF=dOQPKm zOIMxwtyOiv?yvIs&HXPWtDgscdAGXoe$MZ$V*ln8ckBqi`1ACl>F-SLr+-<;UpDu> zbJg|R)n~r*?S1{V_E~lFy{}*EKG!<G|Mk-GbFJU|UoW11{@Zfz>pQ{Ed)?pv`sl15 zS5aH@Cp~&^gWZGIQ~wn1^M4r||1Wpn{ENHy{l8ITzo7i@i<Lj`Eh(?vb86Af=hH9j z-WO91lC9hDux9<m-S=+Q?A!h_RzAo6e*2eo=gVflU;gF)Yxn<`FYn%Gm9lUB%h>g@ zruSceS;rq|3s&Jb=l$|8>(<}>xo=^4?a#iSb#Cu}-ShoiJOAF-!};gGD_33LZ9n6^ zZPj&m{&U}jtFHUko8Eu^W!?I8kT0tC&U(*Vb^Z4LIqzkwuCx1}`L4M4_2u;EzkBX| z-F)@>%-=s_FYd0}kg@Oji`e`;gZt56)_pgh`TqEqb^Y6Cy%(;!E`F>g{?hJ!=5yZv z{<2Q~o%Q|x7qRc<Z0=WoS=Zk;<GuQqb@TORzAvu2e*O5_@4S0of1L~t!*8>nSGV8$ zdT{3FT95a?uFQXLTy_1t-mLf9RoD5~&3SKHb$#~rGvCGbzWyuy?6=|G*N>y0{cgGU z^=SLE-;?fr-D~~)x82^??ek~7cmJ}k+}<8+-KL*)PVaxctOZBQFKe*({<?qO>-fIv z_w&zto!|d@upMmO7yi$+Zts8HjDP;S>)zMq`t#qV_P##*{~XvpxqbQ--+%v}aqsKL zZit=U5IcLHf$f|P3DVo=zRT@>y?E~P-<*42FRwoH-E!~i*MFb=ZoBvO*Xie=WU|fl zzBMS8j6t#FA8!pxPxJRC?pyyN*4`o)9H4r0-|JRg|D8V@9H9C$!2ue6_Pgrd*VWh0 zey^>%&cFC)oy+^G?|;Fm>%}*S7h}O*tom7V|HAIL{ptJ6U&Q9u=k6<i5i4Jly^sH8 z?EJd?edk}q+G{@p`>+UX+oxiwUGewD_P(yITjzAW|7EQF=VF0fb_>gE51!Y3@e35L z>CfiJgVN2_54AkIKZBA^_Ia>Bzdi%|^H$CL%e(j8E83U;B6j_IgZt87)@?7J3r;%K z=fO#b8Ip7kLo5zI3l7@k=hfZ!zW#d+j>_cnef}?E<L4OOpZ;at`?=QlzrT#N-&3$p z{^IU?du#T6e-SJH@wZ>OeZAV3b@Suw>+P18*VcXpd-eZ=n*0m9?>+nO`%dou!t&Y) zXXb-Fn+Nvn?@KlD7kAfv==~YDxP0%)_m^+m+IQdkYPWxV9>ll5AC%QPz5ms8fBUxA z-c{GX%gwB>1BLN_BXFwy^m|9ycTl?hQ5(J+l$130`)6GTWtHm(>X+aD3QE1Dkks1- zPEHAzf7&f6uif>vX8y(9b=w|;t>5q)oQq}7f>V<-*vpTVpMz8H#m}{#?|<Fngk;Xi z&wmT<eSJ6hd3FE2uNNc1#mME)&wkIj_x11hhv)z9mjBPV_x0b~U?V2)e)fCHy|0_k zpZhMl_cimsy@B6<DDHh7{Lj|^dis}j^FO{%-L-E~`QESFe;(id^8fYE?<048HrxC9 z_@C;D>-b;9&j0Y<aF^ZE^4gR8%U}GG-23|X>xbtPch@<*|0Vc8X8&v7s_Vz2&&~IL z@&Eeg^DlRQ=G^<5``^y0>!3J#Yh7OtN)^pu2dlfE`EL04f7L(BlJ7qZ_r6ZAKP129 z(|Y^r%l4o7<9=TM;>r4cf62rC&Z>v;51yqJykB3CT)g9}`Huwd9UF!2UHDz!etw%v z{KaC~dH<RJW&~G!^WU+}aL<Z|=XQR$xBtO6%MXfot)$;wI=i&s`TZN`&0|v)IbKfg zzL5Uqg;T}VpKUkh+qHfEsQ6*lySIIRKNWtN`~APO`NjShFBJ>=@5%6(&p%LCrg>-i z^63YXtBMTwEI6KA@lWNP`tbwORk??I+5UFCyU=`nf&4%5zdv#droWrd-~ZxX<p<5X zUmxBKw#b)dTmRzX!h*M%U!I<RC>Fc?_4x(w>uhqWzCRYcaCrOU8ZUmm2KDog_7tk@ zS@PTc!0+=5&-1nSzp(xFpwe!?_Qly>9&zpPKd;|@{L9PK51#AyGjw*&m$$4HTaf?a zS5n1Ru5XX`KD@Q+LzeyySNTiFzkHnc;_cN9_jgOlU6@^dD7fk`-;bWSSXTY;<3AHv z-mhmo|Gl;U1@kWV@^6m$>pE+{Gwks9|JHu|OO<_vqx{9m;t%)K$^M$OuJuEm{ND#r zKRWL1XS0jpuKoV{?9%Voj=i>zlHPkVK3>k&hU2;Vmq!j2e%D`o?5_OB_Jy@}3(vji zmbwbt8V~b(yyn*&=kF7HcVV{h1I4>b(!YGD_;S&^;ND(NyQS8GdzRgP-}w4<(*0e6 z>v$hreEmRdZ^?t?U4H&A?sdLs{#vlFT*zi=^!oPmFAkc0IQZ+v`#p<(t1rH8<}m-G zUANsWvA;9!&E0N(r0)A;=?lB<{>t;mcl~{LJ>2cRZ0CIbk8UrzH-D&Lja~fR%<cWW z&N`3xa_iSG<bUU2|7uSBes}pJFVz2E{;hxb=ZCc~lB>@BZMrx2eQwj=bKbkEb<TY^ zfBO01<Nklg_x>*|Hhv?1{NWz|>n{xV{{E=(;_mhNIp>Z?%db!OlwZ&OKELsv`~mlp z{Ma7_r++?weW~~U!=ElC{}q2snfF)B?qZ?cJ&x~Bznt`b$ZPxP{q<vEH~7sR*5~uS z|1bCbW=S<0|BK1(4>fC#Jr~bw{(RZDAl~hK9NYRAE7`7ZJ6gBNux5koo@!g)s;}%e z^Zey6CI9*%_~r52!hOHLPPnkUetu_}!~Fl8zd>!~%X8f?zGnOO*#3}o)mGJc>o+R@ zx+zxB9+$_*|MF<shXnOE-=*(NzohPeApOf1`40!r-6;Rhwf@E5+`{?WBx>`ecev(n zYwCZwmG67`L%aHYN4`7^-m!l{|9|fHZ@d0#&d>MkpYL}6K5y;O&&pNbA3y)wKim1u z^Yf4P_{(2N-u0PhKL6g``Zr~tH{bpEb;_5I0{8AS@7*t5<MO?%mH)fT{4b!ci(J3> zMfWe7wiSGTQ}pNbe?Rtfj@?b}dlwelK0J2s2LJbl*S{}>H{bq}6k6eM{e|Y;Sk~Gd z`se(=H>ZEeVOjs;<-UU4mcJ?TKN9SBY*)LpWO??1+N#Y~Hp^yz`S{Pm-kPJ<NuR%E z`j<xx75^FDJpX;Tn*Gq)tq+{5uC(v|^2zl>$GXeus~`R~;!8iea&4cD4gY0Z_F|{? z=UUyrXfCf+{Pe44-s0ur2V|>GSnlch-ec%nRl!-~^4+5O{fBwKEBowzo7pT$pVKU_ zuzp|n%FBP>A2i){RPw*X&kEKwulIjB=3VeU_wf9L@AXZYmlm@>RNi&`<^I&St@D3e z)11M$x5Bu_$KT?3x{|*CVV;*qC2jouEt`ucoIiJ{=f%^YdCSx1JiI+2{CSe!i_M=a z=Gi^na{R<=ZiCpWD#bes+wb0cy63sjnR}u$zNOCKt!}RT;`ih*gYmNK;Rn^f?76+0 zud>i|nP>mH*8Uf9+sl=U{#TumtgT?)v*i2z!+WC**v%8!zC23%F=JjywY<x`dtECp z|K*HX9DVnm`-I!u6a8K`-+m~$_tm#|PI31eUtYRw{gBW0%{RMNn_nzx?=R2zrfw+x zjji~#_KDT{NmgH;oVIc2-?cYifq#G7%?oSSAI{!YCtveR{>;h!hTOaUS=cN$pYt>L zM6bG`_pX2O^Ok>~*XDjcnQ#8Vo|j)Yf8exz{^k0C-ygd|4VbI;Nr3|;TUr155uTT> z^Pj)eOy8jQ>1MZ~a@9`0^Oud!6*xb+%24byf9?6tUo_Ld7(MywZJ1oO)$sh~V!w3m zCuh}W$l2cJd3R}XUcU6b#mV2cA1&E-?9IjYIg@24_HR4x^WrSuhZ%7t)w>n-%a3h& zF*){u+TG_@zPZ`mlfAs)clDvtU1w)TonUuQ@O$}p@dL@dkIwYDt=lVPyqtg92b;am z=E!x&)bpk}UhjKYS#>ybe#adPxywtJtAi7XHD~3sgli?AzkcXiH<#yotj}v+P(&+( z{j^+B-~S-b%cCp75xsfBdB5X5FP0wv{H4d(M!fQC*cr~+GPCoSm(RJ#dLmoicv<-K z&o;Kt=fw2vskBaWTCe}8vg)8@eB+*S%`~rky~mYRCui;vuCzaJ=EdIf4>q;OX11MR z4^Q@c`8T_AUR>d??MnK2$9P`+)cs&+`%tEyqw;6nnF(<<4WJMeP@aFcP4eRD+m-X? z6}FWtu77u6&V}^yPd2ruBx|`U{}!H^5vOY7f8J7kzVp2rDdUCL=RXA5e!qFo_sTO< z?%g^2`O75ZpIo2*O*b&E(tlj}tLJiz?ECQCsu^ar-)z3={+hA*naro!n|n<Q{2u>o z+k3EP58p4v`^#l7ZQVM7{mYcg6`V!=zm<2L{i}HQsgL=ie{3^?ckQ*aSsXs+-LVtN z?|y%o@LR6-P-O1Ozh@1kt9EGaS@znh=1^pj{X+kp@|U^&YTf5(Z$B(^Y5DC#yt@uZ z7V!Pp_eFB=!#dCR?^`~flbgZ3&;RaX^W6&NF9p-<{hx?^H~m!*e$Uz5@@w*h>F&vV zFE6VWG`}klo6{V=c>YD{chkT8+xTMc^<+N3BVS&e<t&&kSNP4}DX%boF@OB&>KAJ1 zC0gHO&&;r^5w3AMZ+UjR0{@Qu%eL>LzZ9CEKT=o0rhfNx1;6dnHTS#rl#4wNIwQF^ zG2h_(IY;|t{V&hmJW*S9#`5#T>tANY&FS8=DEs-rx!V(~O8WEW_TO3RKIiD|iRWJ! z|N6r8M0Iby;vK1EtCDuRT^u`o`7M@DSI~dKYP(mW(l6h_eY)~?y{Aj0ryum)b$(`C zXN}kVOOLfDq<`rut}*?j_}{v7!n)X2n+40~95X#(`>y|m+4uI6?X#~Z-{0RiIdA{$ z?-$hPJmo#1UFCXyy5jtc?B<6ae^0U!O!G3o&+Pv~b$KoKr;guxwP$ME<%+(&Klbm< z<{46Vy&p2~Iw^Ut{mu^YVyE@{`1)T2pZjy|;Gy~I74MJgyuADTt>_myd;jyhd8_~O zC-l9%`~3FLFEcJbdVWZCd-DB-<@43kFEjhio_~AVz0TU{_iFFWcILN~ty>p$?0os> z7k8g;-1(&=_`l7&xpi#C9`Eh+&n~c@bCLPR>iwOPmv^7PKI=lUU;F&zs~<jZv8nq0 zGhEO1k<7>9#cJ9G`(5AL<)2#edybm@b<KaA-*T(IR|bgHp2_@JJbhAp!u^+a?JJ*D zRvoackf=O$KK;_myU#T<OS(7zQ-1%`Htl`jnRlPNrM|gM|6H86>iEXz7j~aNzVW5w z_U}614lOs3uKHeSVjBDW`Pr7$Yqx*+eDg)3-;2A?_q%-2Ouu_PZXa7(zV4ZKpTnfS zv3*XE{c`Ts48gs>ZKh0^S2$~SlK#CJ-!JVx|9iuWWIylYahoKUIls5-KfH{6&bP7~ z-rEnAyuAB7)#A&9%QrczZ+@F0xA(UV_jI|!UAq(WPn}=?;pN@uz7=0Mm)8k=YyNA{ z{^i|g5uRO#Gd~n_9ctcazo>kExxtd%bDZneIh06z^R4<`$)ddLxaFhof9FTs*#6?~ zbJiIp^Do=5Re!uV!))*Gx__WB*(+AfxxaqD^ZR}G_xbW$yq&yZ{=ANtmv+Bze}BQ* z@A%QUGNWbg@At*;_3pR0dwGMoE$ijw<@f#XE%vwAyLrR(`F)nl%J09wyZrbZw*CB- z6^!59?|xU^FJQN1_ne!lCl+@b7FT`$du;iaS;Zd&_t@+I7g*-!Z_jc4h1l~cn~#52 z6#LTk+j8&2EkbuLKmIKwTUDxFG+VB~Y>x7~@AfC3e>J@4EMLSn-`(!fZz<(n|0JI% z+CG-K*L&yrKF{(U_KT|TKKbq|Sugnf*q3*|m;d-OqxhTXo2y@D-K({q+O@7$wEEoM z8FF_Qm*0;+3d&998|)XKe{uJFwC2mdzc-|R{JqYir2Ss)f6>`;1%B_3?`(>@u>1Y- zNtdP13GUbL{+eqixA*ry9ksj9eTv`sz6`GV{x`~~>S*SN-`jfjwHGT_eg7NXRx;iA z+4n-vmzsNj*XeQYeRAc;F}KI=JMJ$izklC#`RzFun{RZ#G~D~UPG7j{T;$)mzw3|Y zym%P@z%=$hJ94>I{F+y+?tkvK16y8hKKoFt_Dp0k-%q=%_sniwuGp8DZy+D};`QN5 z`Mowgd6#O>bzOe&+ei9W!}IK2|G4I??>k&#neF$t;t6;Bo&V4O`&@UKvB!XEkLvpC zM*nw}H%k8rea)2q<Mm$FXYr+p^7T1e4s1Qd`R{A3V)@7U26`LX{?Ey1{5juAx1i&H zPeP;bpVgO{KF6;Xvp8x$N&LoP{_jk${{-EBeqTLZ-(bJ0cm6M?&$nlO=@P$j??dbV z&xihR`F+k?yrA)JZ_D1^*2lgrhkaWYpKUoln|pUOM|3n7e`#W__QQME4oyA8dcXLJ z-T4Xc?`Pe-<M&Ov_3~TQ?_~nlcpnBm%y2)sc5X|xHFrAK?{3xe<$~|t>dn!#xe@#+ z=3&G8tvUOrUA(s`SbNTgzx{9fPJegXwx%~_e}KiCd+&cZ<-XIm?mhI9aZ)uq*K2mp z>0IvY-g&Z0cZ^$&TmMe?&Xduy39cxb@#NdXLw}W9mUH#@PpPSxQn0ij$MfADr-~0= z4`Uua2%XeFjpI6(y1VzgeNhpQf6urr-|h79!Zg{>5)a)Teu+4__i;<<KJm?aH-}hQ zIhN_{+rRnl%a3~}>!x%4?t3F$W1qI$IJn|d)y<7R<xZ9@uix|}xb=Acgqk0254|4# z@SSA6?!yBSkVR)F_4|pg6ZN~Nw6l8FhO%7+3kxdRo-9+pqcLZm=FakI8~%lX!eix= z$(vgr7q?6<ZVisNu=cO0+E?(;?BOG(ho9aa+RWX$y1V7|#)9IF1@D6%{!2a7e7be3 zcFR}o)?SB-im4x7$R2uT-MY4%^L9A5^>vQj*SV?}Zm6I8;m6%W2frSAX!P&}+ryK+ zhdx%fO!lzY>uIq&@<#po4Taqwd>)?st+G8|^xgjWid7#flzx6-J~Z{vM|Rb6I~AK9 zz82vYKQ=sBe7iNfb#lMQyJLrL$sTGw^w3?^{Jlt?h@ZXM&hqIS{uL&!{o8(o`~T%W zr-%POwN@ya+pF8`i?;Z?s9-AR>+f9F-#Ojy3!Re}cvtIRvBl}e|BVHo7JPW~{LroS zEpP90eU}%Sr)BeR?vumqt=g@RXFfUizLmY7<2e_5{e+q??hm6LJ_$T|*Pb)GUQADf zPy6KA{T$|8%j>7!`8|8XzMvf;7Qc2sxn|!|{a@sq$hYj1z4e^uMdtm9F8Xcw@ZaM@ z!L7&tPptXTQn0k(&ypv8$~HgN7pyDzFy+bO<se_BtCY_dy*5u+PlRv&^gB<glWxb$ z^&Zl^Uw!MD-2LgdHl6#vVOxxr%*VZpa`QgcF4g`1W8URy#;vvcwr@VmyN`d%)0u~a z_r-5HoBMCOHuv`rwV!qUf6n_gt$5%4eNUU-AI;zS<oBKEc^_>*=!VzS*PJ%JZ+*Y$ z@b{(L?>w%)5&iD--?zH_KklE}y5?i;J>Aze{1vC!?#ti%G~vB$e&v$&pAP*$YCqj) zU;6H|YuBo7|9S77S^1BB@2A~;o8o>uZbyK{9mk@}0(l~S<x}q5_Kdh6xg*3PN@wTR z?t=dJ8}sHzFZ(d%{n5P7bKYOh+xeh+N3`D0e=nw`*Ss$|EqXuvZqeEAG28Ea_<Kh8 z`;U9ir~R&pFFDP;@A;0@-uLc#k<Y8AMw{*WZn*!z;pV5=k{|cS=agr)N(Yx!wR5F& z{ciWnlhFg^vz8~{CLTH$c!=5CBHW^;^~vcIPr1)uu3!2@e8TNJl~bRnPriL8M(O9n zCuZh-(`pQNo@HM@t;V@%wz=28o=NB9lz-Q&Z2!Ge_a|4;Z1v+F@8q=C$g0O^$jIsM ztZXV+T<|OE<X+*{QuiGp7FBbfTzlPGt<IUw^;_LLZ;xZevJX#sC*95$dbb-C&C5OP zYBm=vEvQ-b<Xm(M`*P0bT<pue?W~-4gjsx4DL*fA?VOOF2;Y6JojXHz1X|ce7rmEB z{2TKy=;4X!cdYz(l<zB8w&B0o$zJZ3bT0pUdOK?u7W`Lwxbo2BjZY4DgOaAVO1Yk> zT#VWrZJ8LopD(yTNz?1(U5AL>UONITYTBPXvu>4cy<G13E>?F<ymE||Osw`!QLgXn zgwKh5yRW;ma4#ss8J&D9-SXR<%U@J(zx$^|l^9K#`zkw&H-C7r_t4Hm2X!a)-&2p# zo^wZQ=kL12T%{NdnL3A}&&`K=54~(x`JN|y&0Z%)OXjZL&aZ+G<8<a|zPYcs^JCz{ znTIA@t8TYfyR+ZBV%3Kqk0<flE5>Nbs86z<FCtgt1<J}>o-BTPXzQVq=_=*++G}e4 zb_7`XsG8gB-_e+JPj}~c*2KSZ4}%`Q=$;fEFZ8Z9(jxfAc@^`0N;bh2pF&T*UC;Ub zy(lQB?s{@KpCg>>_;=5DKCSHcMb3%x?Nj~vq7D=sw<qo9=g7V<s3*d=Uwh|FZgZ~X z_b1=^y>G)mW>7KL`s5maYqh=5IgxMmIy(b7KsnW==(pp;gZ{1ND%=0d1!-6QGQPR9 z{mwfV`{{T7wSM^cq%8f1_sQ&5dG+nquA0^t*SF*=ZnyroURC~|TZMknZsQ+opX@wz z(0NjS9VpoBbbi`C`F1WtZRgkOhxe2Z%{=r^UPb<2V1@ptL!WCxKE*tI;6JJVpH7VS zoUlpvo#QIz)la<hYjwf<e2`MFlkN55_qz9du=%f8xvt=Y|4H|)1#1gF>7U$N&#kYz z{d;u3=e_C}i{Kmo`=1;WJ~Z`^_Q|(%e@@;cY<B4MiMM^<<4^W3ZxNq-d+xu>lb&;} zSJ-ZS(s<KjJMA^Lpj2(Azw>JJ*H-Bd|3B*~ZZDTw?O_*Ov1!$lZ&`=_UTrOI4WA%B z@43{b$LloL?Dg0YVDZK~Z>{Q{mOUSAu1~93_TkCVNw>ROe!u1bnXGSfe)@^N-Rm}( z3FwLNU7vg>CVoet#a)M@eCNc!OAjqQbkS{6v^4j3lZQTu|5GPP&jtl{j@Hh-GYjgA zAI3ZsebRb8h5P2mZ6a}AdF48>pZ<j%>OS$-Z|Reh*EVgQbLU;lZI8TM?L94b-bvi{ zjMLmR>CU?+w@>@+X#QYSwEMB-Bx!3deYNe@f9I;47mahwE1xts^?0<-o+)?UMeO#t zcR#d3aOd5XQ*3VfmQ9;{dv1Zo&aJyY2o>#4exq2q^n+K??#b^ptslmLgKhivC&%m# zb)S6eS08^86b!fLrl?rot2^X<@@?PN{ZIZfw}?-?J=g2xWaiX#%bj;Q`6u16im2e+ zdDoC{;+~)i)tz@w`rhP>*W1%`=Uqj+SDuX8o*8%Eec_*O6JEi(^R8##1lji<50^eE zJ8tFmX`kwzjyvx{yyoq30z2)))Jf6nh2os@%6n8Ng>$V}*>3&w;wIsJQ5BLq?|zI^ z-kHb^_GmK9qsghqt^F!Aci!FDH+@fi(+8!Z-NBlbpQ3I?`sI}$5?0+V^HB9k+3||G zPr&KJ?_bqTPJf{|x4iN_lU2>{DS+K}U!!u}2d<*sllOR**@S~l^P9Nmf7(OWCuPfn zK0OJ6n6}*`Z>}0R5|(>IBO(2S-wRHC<?Yt@W=@h$=LEZLyVpGJJxzDssd%Rzw~hvz zc5dRH`gKsZJvx1^sA#wFJoTNW`@oT~$M=)h!>Lco)b~4-9oo(fHm!A%v^d1HjZbzS z(mwIluV~|&is>Bss@tuvEuHjTUpUSsuUt#jUvK){r%%e%_c#^3_5%BqLuI{4oM&G7 zr`0LyHSOT^u{XHraV$7W|7Ss?^oi8XkLyH1sclWbr+=le)V3QErR%5PiGq04+ym-S z^HY95<Q}FzDLdY+VxA`y=aX0d%iJ64(f3nj-;05xv}*p7ztOGG1o9o?Q$LmMb_(EN zlb-@jAoeQO4|BoE?R(<M-rKF<T<Q*s(}=P?)-BT~-Ijg5c+&UxB2b^&sac;s`PQ#u z`jczNTc=OBE&Es)nnEg-tRJekPM>&N_I>aq>G@({$DLM%W`*-7`o2ek9rtU_lfNO* ztnhQs8y)rS)(`JaijEfmr?+ZY!0Dgz`=JW<>hDcY+#soUzY;Ws)P$DpS<eZMhP{)% z@7IGS-x_B~^0hm;b|pAcYl5MX`hVJ+mhX^A-L7gbCjfTb{fW@buut1MP7j<<?@!+I zzZRC@*de)ITV;El1~}yGCqYB5UdK975uCN`C*G+Ds4(1l_vL?3Q7ZbRO#SD~Hx>5c z;8>0Kxc7f4G{ps-0;jltUPZ63AM!r&w(q0#N$u9~Nw??z*}h5GP6ce-{>gVLBEZI- zhsDGF(|zANJ_Hr*PX6oo>7P6-THPUu<iE<!uj$|<w*nS&`%n1&2#18+>?g;(9v*#C zw)}rNEXm1ldi*ySoE@@G{{Alnjn;p<;As6Z<H@tfpnA1l<@-E=Yx70)MEj&puKmyT zeIBTWnm_GMg&U}m5qa|M^OoP|x&1}uzK2ymTwVQeYt6&@_SK+DaJARFHEK2yu@>PL z5ze13&H~l1-74k358a9aHI;8onyoLEC*mjW`EIT9n*A=I7Fu{ww!)oge~aK7@$p5; z%%GZ^S9N>5)}8wvphkG|$@W>G)~eCTxxOvzv$>vgvCsCjyA`t|%;Kg~QMq@buehEF z-}Xs&?nHqKe7B<f=)_uUQ0-cMa;<Djbz8x*4f_{AIp%lBxK-WSJ5OKi-FqdQ(26~) zpB%p1qTTv<;gfUk4mI1h6t_0ps>;7rjnSU-MtA4#{tesK7c4BWm~`ikKgi(tqW27m ze;q(A0)>-nn_H{fInud)FMD$AbZa`7Ki||lc0N1mcYFwW_)%~Yf4|5&k-q*(cQ&@L zuNOEc$`_~k^F=5qEQC(pUEI1goLii$ef`8cTR`ojWR>rIqSyL`^+fynrr)u0-0?jM zR7bg-<aGyycIl+s>&4&ASFs7LsM+-7usJA{^QYXYoc!T{=s8h7zlk+p(m+j(kdt?} zb7U{)6z6JR?wvPJ@s7rvs!7%9T(8qXeZu^yccQfJSVvm~->`QtdK~j`<{{zuqTe<T z|NR6t7V{_9R5XG@x$NXz?pAhjP-8Lqq`Nq`IhVb2-v7JH_PCU(P3OArmREjkx~JWq zm>oeD`<#lNdnM`$-0Qh>@1{m&)zmi??**>i*NoA!shs{~`$X}1&#zA6UoW^$q;LJy zJDjasU$>Ym>)ZINp1-GS{e9ByxhKC)vOeA7ueRNKv9wCQNL|~VcT>zy`F)W~)E0Vo zS7nZ-&A!M_r%$|{d#+mbdY<6Do;&YMyyoroFMFrD-FkAgYWY3=8l#<eC4FI<<tNNN z$=;f;yxsaSzo*?U-yaS|yBF6+d~$mDq3q<@ehzc4^o>uxPr7ZbUheU(Cgev-(Qe~i z!Jph7rk{B0_tWs^%sZ+z(mU@;*2jFhsNTY_vfVmLsd8b#56_cl{W;CK(&tb66IWFI zJZ0yTZ|N<+=Yv~+bDkUy*%4&%Z_kr+cMmn|gZgRTJ?wrh0yUFVPu|t%&YoGYu;7R2 z$+PRZ%(<4wPrkD|JmUYZf|Uhdcu%hV-BKM4itO2wr0)yO)3n(cU-bL-p}*{)e)@jp zpC5J~ntG^EZ|7&*f?NOo{M`4X_0U6p74vXVkI#O}o!=8T{7Zfq^l-(5JHI*$-aCR! zT0TkIUU;6S&Ci`rzUjC8-Y+sw%SKOC{+}1fkz1df%Wq-d4@x(3lWM+LgVIgn$-6T* ze5-!w^zeh=$+Pw#qwA;KsSb(wKN;l6^pk77c6=8H8NGgzbUn!ENX?yp%OC!;2RZV; z=Fbgc>qPqgtM2@q|L|ZksLB3#QvW~Y80|SWiaUQV*>H_RoU8r+)H^j^I|40gql(^3 zCjN~C<s!?IYoE7P@4XuTW9p69TldQ?fAZ~o^s&_n@jo_C4+ZrvuBw!WO|RZ!s-iE# zcYVs8nu!IA3vNxX{<AgZctkWPYrxun{-SdGT|PbB1?sCi6s6lfJopRb4z@}CW+LlE z{o=HC?w+_|+s1;01uH!B_W6KvvrAEaaAK`7sF)}|xmLHOIvUiC+x6sF7^s+t_Rh;! zzH>heRQ_0>Y`@JN&UM_{<DJBzX4%%_*5<h?@^3*cW}Rtw?z%?Y4h3~ReT#}U?r6+; zr?+!^`-XkX3sx3vnf~P3y+eCzTZ~)()~cGjfeilbnfG4*j=ck@OX6^{ot-nB>o~jj zI~gS#1CYVfz3r?*c7$12`V@UHNPNoyiWHBNXBW3fw+4F`y%#<7OS{#(b#b_7p040K zS=~9BZ}vwOJvIfIyzR+1!$W_QTR{UnlWQvWfO>vSPtIkxva5rN%)Td!uXA4KQeQv) zj;&{ebtI^R*8Jp|G^hYF_k6eW&@W9;8+o?sc0bW~dtE`rgm2N~5>R1mJYoC(ddqol zc+P>kHs>bQd<lCP^)O}SlXo`{-LeFg>N_XR-dC`=plaTedp{q1vy{%C3~HS-oqW5U z`@6o#yh*pKo4QrxdqD#X-KypKg4gs|w|}4V<lFbZFN|(()DwRft2jsVO}yrJ9_E`X zMf%oHx>MQy;lbQPmOE?h9`05#Uk|D>)=#{%J1l}9<p0+y+wbY#*&PR}GSqe!HiNp6 zy_0Ug7kuXqD$LA1?e;|O2(qYK|KyzRq2}+P!fd;jUDf`AwFO2K@BD4p@XZkvvd)uc zZ|5}US}s5B&hFTV{~ZM@3q-v0?ke8-t+C^8;rvPB|6;%R-IT2J0Tp)MMb94}YWC-N z{-kw&c;}O6>Y$MG_k33y6%o&u_kQNY+s`e3_Pn_<U-VtQU&X2q7E`O;=1-p+_3%mF z$-DX-+229gAb!f7Ka)V+_|%hoeIovMfihU=$+hb_zrPbbC-QB--p-G_pdkOPvVHlX zzxiDLC*Qu7sqy}F@h_<E*sfYGFK}%ibKZN+lidGney@8Iv9sWPHK?QZ{pq}i{3*+W zEaDV*es+F%u)n4F)1mW+<-PO7Koz>b%6B=DYxP`lKPo-qepLQ2zPYhp@6P{LP;I6E zbY7sQ_0~ft*Q=D*>#q6hwnJh2dG%jwpImc3wD&$}bb;SH@63U>wxT=#?s|0pbl>NW z4-fc3`Ac3^-c~DS^6lT3?LFW9jf_~|;@!G<zenC*(L47p|DO#S0@PNO{}&4?dD`zh z{uf-fC2B{2#h>X<p6zdyF8W=w>A1>wd2zFU%AhWF%ad=DAAFnVs0`|8#-DWe2PIek z)3*1FH!Zf;j?t2_Rp0q_Pr>@_$GFcg`EATq@cVP(o~{oCp%1+tR+yfgd-dSk>r2-v zRxT{qvFypS?iT6R%ln?(i#qh{7ARqNt8N$L{C-XFoJia+p_8>mUk??3yEZjOo?|+f zezgCmjyv;suTQ^o$6-fc#m}}$%b$G9`4n{WZg0!h-qvu9m<hKx?^Lrsc)G=XHplvq z9ctUtSNo=_-}AX~Gp6FA*dgYk>TUa%g8aMdN%wXkxk<M-AG<c`yvV#9<)4#sTXT!5 zuif(dbnhD|ruZgFw}H}Y-=y2toZrjE=4si)>HI7Z2l*oW<lMV0?9LBk9wwBZbgu>t z$X0v3d#AeQt!|8l%sb_sJJ%O1F0h((=eB>u{rDXr7FG^LuN@xVa|9WDa8iDC#|Fo| zdYRJTPeBh~Je?$8w_<~9-uYSWp7%87$m#uz?i2WS;%(%2kCR&$w{W-qmw)=Bh3Bg; z0~k~=Zn;}|C;9VVyMN7&Z#Ev6<2e^$Jk9J|v*vNR>NkPS3py4h<(^Wwc1&*TQd5hN zPTO4*UMZ~V*t*g*%j=5RTGemwf1mog%l`Vy_y6PN`YUYDo4zle`{a)L%R856S{onQ zX?sVheElr;b5q|=O)sC&Zg~DqU}eg&eZ6z1JTIR%dH0FUN$+NC%&!h#tNHxNnY>SL zX0WHdxqUx2`&IRcowiRst50$ofBX6^>|WQ-E&ZQPR^BvEzW-(4scOUP--7LO>n%-^ z?>}I8x_HKHcCGn!6Jkt~?;kpNDwuVr^yePuDdA^tZ2y$}^rrLE#52arwfoQ9*j_l} z)1QY$pExSR&d3^n%N5_ctY*m^ORssdpAYoZ{&vsy{i|N|PNMSC#-c+#wR;~=*`A~~ zb&9`T+_$qg%>M>I-8&;&U1NUPBAHnm^9v?@3OsXmzUKV8O(1)nA}e2sfb0#P3bMCo z)~7cwKN&omnXUo0ciE>u=bxsy&J!&@-cx&f|AkqfB8#5oRF<7#HvabZ{DoP+EQ{X7 zR9>nC+q>#h*qNoDr~2=6_;&V&dF{eaac8!6Px0R!!FTqC`3{$#8qc2TPxW7a($)Bz zZp<p1(wTR9D~~-rwL0xh^iHSBZ(fxWpU<qG;-2>A_Kv8^eR}HaC#E00W0-vZ+xjib zYSzx#=?C@i^=#k2Y?kGVZB{C(pSf}U_g0X-_ci9%Onx(aV}8{#kiD~~`tNoH+Z*~* z<5}90nqRp^7kg^Cw`=?V4SRRY<}u&XP~&fF|M*pY^8?wt8*Fce*7^Io>hEFp?u`oG z=YQ^w{`tPjgk$^uvTs@TZ^4}Glau8p38%ez{Wq>s``IP=DgOT!gY5m~4~k#8FuS*c zp!i*|wnn7*^Z8TD?X|?u-q`*@{OQWbBJ*dG{hI4%ZOngK`1Gdwlf*N|)~A$>zvbGj zwD})y`E!bm_Om|8-`oB#Gkv=J=^0~ax~dP$eidu^eU8n`O3TD!`|fd{iZ+}c7h?BQ z6`Zb4gY5mct|rPK<li6dp!f}+;$I)CH)~`5L(``#uRqN@V|*_l&NTV{soAGC*Mj`J z9qiv9%Rl|OT~xFUX75tdr{z!1?1tJapW*x0*7AFP<)z=?_~i$stJ)PcpWHX)AKUk` zf9m(7b-Yvkf4hL~?FZTWUu*uqm7sKWDE(CM{L^=5q}MFmlXYz0)QO+oOb4Z_cui2c z`ndJeAM>J5(a`i<zuffc`=>qT{l7!&<jt+0HA?>e_WZ)EUvrA`^eQi@fIWQ&<mqRD zc9n4;PnS4V>OZ?xb87in{r0ps(mD%jM2d?YpI#Q9)SdPwIwGPnOAwT(mwZ|!`8!N3 zbY85F+%cQt!lx4@f16DUm=_=9r>A<pYiIJYeQ%d;T{dTu@#zzrljUY?%&(7JyHa2M z`LyZkYZX9=D>@Jq$zG?_m#5zEslBYN{r+f7wCm3;p-(UL)Ha_y<!)#m9&A@zx-;Y0 zzE;yI%WFW^YJ$^rWN>AfE-0+GP6egu2=~gmSj(OBpkZws6+BNX{o3^O<2DA#_y4g) z1>X-im#gCct8tD&a{T*tkastoQqR}<o%Uw-Jr|I7mz`Rks|NCJ&N`5Hxu0I%J_Y1m zjw#ExP1<dE{zg>g)t*}I+ZyNnCbt`&|1<H^iJn^N+gj)I)zzJ$L7l(e^r`HVGkwq~ zzVDg+%KG%q*e9O5Pl1Z8B9OIZ!FHA9piurI2TEGsLhN$&K}k9xA5>)h)|fwUI>_1^ z;gwnTAn*Q#6detp-ZX-um>nF&g&RKAIQq#cK|@(S+xKUHrEx4Y3%6(b{w=U9Z?Rda z0Saa7AW$d=o>G_B1$p;hCnyUiPw}@710^jBKTsC-{Q2ea(?ycM#p+hsls18ij!tke zTY6T0O9$Dj4lXJK!{*t$`pwgV+IxA+vYK6UES;hDrf2#7eO|Oq$^Ta?IGA;wuASkX zu07wz8C+!bf$S}w>TeCsN-MPFkKVC~|M`Xc3CLc(ka@d2Kt)Fqxaf#kWb@k_6uq;+ z!8~2-e7u^v{&Z-OB^njHFX&v1DzxZWy>(gK^y2F$CfBKg{JT30<loX$>hby@|L$`H zMQ`t^<)%+SsVZJu{^*@Qy`Ns1gNw>DA@g=cg6tIo+k0n~jr7UGGt%!ZvoT7(f9&n4 z&-<rNE{E1gzssY7_XVH31G9J8wJYzZ6@Nc5`Q23Ev^THsF5e?s{Ic%pWcdlT#@~GH zSL_ilUc0pBmUB_Yv3&>MPwh|2`{(%ci~T835&g%r@>L=ze%--U(xtF@e>dCoR6bh_ zF0%f(R@P0MQ{w}z8v3(+e{x!0o(N6OlcR$FubxxwHSdc#IQL!#rK@>?c0VIQ>8d0g z<lo{`%Wc8AcMmA{es+C&IUbyQD<Ugjy$2PQwqXDMajyI(S}9TdW!0xc*+s8PcS;w3 z<$Zc^_9u;JY4MdGbuIt;?-Vb7oBQ-(&duM`?{w|_(pU69cBg!C?E;(c^XB~6ey4Be zAG^=Xtn+X3Z%LCV{<84XA@`zJ$vd;%{Bu{>{BimAPkDQi-rw+@|22E(HCh(s)hsjp zdH(4W@gntSr#vdZd4nvU`svX1qF2%&%cGwjEdQkO?AiBI-S_sqn^-M3uiKuz_xYv% zop#GV-H9nmnsldcr_J_HXKX$xJUh1M)Mrjh%lUUYcN*>g^oGeq?(>PB<9A{Lvb(rX zzHEIOcV?^WmC4>&zDa>QWs55fpUyn`v{ABOJ<6#v(bID4lsmmUcWwEkpxU35r?+^| zjx}@Q{N5dniH@t>(e!4m#`ZH6XP!=-l70zf)2UORSD!c;`qb~t)RU(+uReKr#^S3} z#ji~W-gl>Wr<H5vhGjNgJ9kd|^ypSmmF!OO;@sG$534p!pBQa8eVNwx>(hT5UN;Yz zcRRo@M`e4m-hYS6XYHR9p1Flp)+Jl+?7Y*tbJNOCZ_+*)Jj*D4+N%5H%CsW$XITqu zZg|PfEY#h)EiCSq+qdN>|IT=AA2jdwG&lbu>!)*PXfOQq<{L;4`&W_jE3>}%73n{_ zb?(&R*p&Qmss81;$A0@*E;pTd_le_~-n&zue-H4_@_%}F#_DQK{%_OV4b8s?#+^C+ zvNqG^+&;<v&HLtGd-83%F#CzOGfuOwQm>wHjVHN}FDy>u#7gHU>m>V^e@lM)aN#EN z*u0}LRz5rHEqdoQN}gX`*mCVjchM)0ox;UWHNLXAXP?!0_RN2Zc>l!9$4}WB%lZGc zc)D}a9E-TT<1znMemcWxQq`7bv;Kw4TFp5s%j?w2lh@5#GjGEh(|ejl+RrYnJoWeT zlYNr?%L{jX`XgEN$p>Wa^HZBerEHar=Y@Y3j|#T&_M5N!J!#$90J(X})z2@z$e(>N z-p)VUD6~?b_;JnCpWIKLw1U!?>#5D+rw`9q>^()iPIGxyk@>S%OHVbQeiC@*?CGiE z>nB_eKecy8cDN@0`e}Q2-Ras{(p&UDyvY99udSzwub=FE{j}`NQ|T$`c~jU8&81F# z-al>f{)yIx;dw#x=4$dM_vMAf?Qr$Gt5u%7&h1q5ZIH3Ir;68WFMmIw+VFbaa+^KD z-`-7%Hk>}|l=^+$-_II%&%69x<NeW?-QkrL^WWUn-=3sryWmcJz`Nry`I_f#Rn+VB zL5ah$@>xH)3bC2~Z~m5L|2E92cA0l&8mNr<8wo1g7N1i8@A~cR<6;YMP+m_ywcJ(@ zRCU=btPv^RytwAqWN>q=K6GA{7pQE@2bblQQI+2$L1k(>xXOH?b>3cGeg5=xNHdT- zD!4B2+#VHZnL2&zvVY~4*C(t0b@rRFasBr$P%|)ms(+m?s4V}x3sjbyPw}q~2Gt=i zKxJx;_s=i&;IeJcS{u`7kiGF>du!V(@9zAuZjSVcncts0+5a^0%-!!(&Fv>-%b!}> z|K!l|qDqbG{}a3aPt~4(s`>nrOX5ZOD&qSlS;wE=ng8@!dC>#aJCX(a+l#*6lKGV3 zx6{Z|?u_p9XH%;=ciPXF(NicFpR$?z^jp`I`oNvrX4pi<oYT>4_da=c>C-DlMX8aM z8|KW3c6_&1gFSQS=1p@VL-Ty6U0!|qrs<P5{omQAwr+iL#i}SZwsOO~IkUCCUz^^{ z`}CY!(X;j<ZSCde6BqkF{m7c|Kl9dWrA=>V?KM-|cKX||88^<|&Q3|P&R*Z!`F6@) zJGE`@|L4D*w>M3#Zg1-P*`059?JZN=w))$znK$xoWM?GZ-hTb!k+QRK-om#h?wzN$ zZSwrjTMahGZohu@$hPlK|NpDH8W%6T-)_tG8%N4+#-$72uB~3{n<M`AtJ%i8o37tE zQdYI@$d5DrIqu(X&A#Dx?(_craoesxII_MP%s=yg|7-7WRdarPow!#`eH-_;U2|^8 z-N-IZvQA%rzVq$0y>9B;E_<u|d;anH+pSg~U#nK{^~?GG#?*SF?2XrDN!FXLzdKS^ z^z+F7FVo+e+I)O{&+Gs1*RIvN{(pX5h&wNQyRzEXKj-_~QrnHPx3a5~b|<WV-}$z0 z?=kgl#ow&v-^ja}U7KXR;rj0*Whv`_cD_wf`?&x7`MBT0``_h$*uOt+%lC%Pc==nu z4;(4GcaK|b+wX661{-B>RUbN1cKx2X+P35T&;CFEZzA3K-`eTf^)E7dnX{gkocq)E zGv>tE$#XuN8y>&U=X++!NA*{_#&LU(&Yt;r|H|kWKj&N)wB28Pd{0C1OS5O(Nq^sd zaXQzlws%8uh56o&&ll#Dth;}>Ch+-#xGKZvjg^JZZ`GceUU2T7zy8ZR=K4?P{Al}m z>BIICuIw|XEw=AV-ly}&cJG|xvxhf--t+XK<qOd{-Nl!*t;(M*3NP|I>$`le^ydrO z*2|wS4KM5yI`#MG6!Yid^CBH8vmKw_Y@M=QJWNh!(Vod6a(b)w?4I%I&ZAS+-Wuoi zSMJ$A<<p@{r`R>09!;IXKRq-q%I{}J<<qC4Q>wK!*Uu=d6VldRKWn0Tcxarlvj5s; zd-B}kVw-=idhzAu$#cG+v(6pWJQH4iZvE1)w#L`Ouch^Uy;-{DUcCQnW#enljiTny zSoL-B*RA{ZF!p|Z$-8x5`mx6^|2VupET8rFLeFvG)S1b(wZd!tb60)MHNH08{PM3y zVo~-6k3U}7meTh%alzM{Yq#!8J@)I6S=9Vl%f5EzZrzu4?AMtxup0BSw0&*GRpPhG z-_2TX5nh(MZ&|UL`}(JM%-6q-x!wEI>fFJ1Uv`~y{#<qL=-!#>b2k6J7(6%n+1HI} z_0Oa&mh&wzFL^h^yyzQ$+CS#!2TP>-_g6^t9-nVDXWpYbhL1l^?@#DE{$4!O=HEQY z{{2-d#Ww%m1e(azf0mHy-ygB4{)LT6`dshh#`kYn77Oj|EIz$wW%0N4sL3|H_s%>m z{rv3Q%FA1qg)S^yw`u=^!gXwEb5{R~JX5&t(B{lJt6#`SJzrH~*E?^aq-`(vjK%8Z zioJ60m85K2y)(Z2n11m^=b0BL<Il<1c4}vQ*>dKEXYAT}|JGj#-Z9P1|C5!JZLV>Z z*L7oe|L<;6{mbiwdyh|^<+*ryvYY?Uv}Z3q<}bV$+?(;`$-4y?FPko2{>l2;i<5Ue z7cb9u^Do$5CG>su@+>$1Z;W4g&b(M@e?rD~ar>3a|0Y*8wP$|eIa^`V>po+#`t@ZO zFV{>t_F2ou?QxXsjKZ~I^67oqr9YNjoATc)`Rs`v)2ZoO)IP4c7IHRXa*bp5tF$jm z;!^rd&xU90Ni$x5sp9Onv%zz+*Bc(sHmjI>EW0e@SoXG$Y{h%hit{g3oUN*rT7UD? zZIigo4|kjF+b3&$F1_-A%=63j{l|X3nOSJJS>E9I&o6DSR?fIM`KOC*t#MV>|HQs| z50}5n?7cGi?<BYL2YQYN|L?M_I<!9Xi_85>6*fnAez0~pfB2`&j6%EDlV|uB@;zTx zXy=|b=X{3TGmH5@D>LVucWFBIGiPR@oiVr3@t<ENnVd^MYGcRWZFKzc``O2zCmKF` z{CNXQ@A3OyE7f=N*xK<w`&bz<?dK009ryn8hq+9uytFS@*f<+kNv$?;_s<KJvb~og zW!ri+^UIR!%L?tJ)8?GNV|Av`Zg1&JQ`y;RbIu>CI#XyjSyJlx=G<dHb$nC%K3m?H zaO`JJo>PCk`0PuMueVAbUz>ZZ#`k$ban+w)2L18k(FXGS^|mcJzP`IbZol5Pg@tz# zs-=$S&Mv%jiSJC|oiBY~W}S;Q?u)+JY1udX=FDS-ztd#4Z{seOG2dw2`?&1;!ooW- z^Ntnnt<99#o*8#}=LY#=8S|a?y^pt@wa9zP@XR9bmxI*twc;5v=H(i_-w)4|d>{Dp z<(ZFiQ}r+Xxc_vY<p13<7D3ru$1~0z=rKK-ymi@+;I)#oHZCh^x|ZU%?D&3vgZ}#Y z0oP`|dl@y^zWLa!d6NA*OQv1xITI0V7j1iT)wL^UBPLtLXU`JP_WdR#l^vBPqg}r9 z+LtpCllME>9^Q3r%KE_bCwk`H;*FZTD=_<2+M1JRuFRSydHmG9Gcwt`wrBeOEBpH8 z%;V#CQtbZSFE_p?uT^e*{I~NnIjQ&jwZ`}4n|{xH{P$q~#UE9sXDe)Gw$FGRG1orR z_g$h?_O7%woau)0|KCrP>|g#zqS!|6f1y<W^7LbmPuiW7=|5f_Wh-i2WpsW8C@;K? zeD*@pZuz`x-mgyX^I4ppAh)0Y|6I$eLvp?IUWiuxvHQbjTPJU}c=<=Q&mVsm%y;Ym zF4jBm(CsfjYJb>P{jtjdsh|DxN9~W=D&Ot-mu>3g|22TP&QQtvBQ<~Q{&4nwZ$Gx& z<evPW^BH^WUD9gaF4CX*_^;w;(cbH&mwQZ?zMt{fN^)i)@09)NeWp7-xp(}plB=pp z`|{*@TA%69pIesYADeaPPgHP3L^fO68cn@Lrbmx%P0Kho>yl7ZuvaE1FFsA(qPCl< z_xV+`$Ca$wS-$zAYc<z+CHvm*c^>+0>#^C3Oht{aExC4eR-2^l%daz%&t@=7J>RfN zvG@3!+$E-8eKTXcSDwv?@s>4SxAfDqGuKPLZC`M`=-tdh-O%kRec77Q7j{fzON;St z%{)`6dv)95k2iu8dyj{iKmK^V{L-v1=b|nfB>N_tul-nleb$K{)0ul`KHil(v+!=( z_ryNaw|}>&-I;%ER-a^c5qq}pd7FRtk2h6)x}0gVexKy|)de%IiS%8W)hL<W<(}#L zF#?j<=3JZNcVX5<$?Q|=S-v|#EpL-+A!jP$rW$WiD_jODb%JfZvnQoz`=0GF-THZp zTIkhTyCkzWi5nlUPB`83{lFvDGauz<{8H`xexOhCedE7W5O?<^x&8JtKJNJxZg_m- zr~Q{^?UT&rx}N3x&~2^cjE&1~T$uI92V(!`Yg6(rgYDm*?fcVj?Z<bEHZDs#mb~uH zYSYf&Tb5-Vo0a9AJ&8XPWdF?enU8JE&sv;sb)WIrM*X(Qx#HVBpXdDJE}rxJ;Nw@C zc8-hHuP(fJ*>LglKPtsvzRX*6F}S`@ZvS~3|Ni^wXC8l_DL$kBetO)J>rVZZf9yUB zeg63S%;_&bYLD5}&97{=yq7MNe<9l9`k82}<@ZwLEUur8wq&n;7H!Rc_O^xjy(GJ{ zmcR8&x1D{#b3ZWsc+a^@yI=Y6XOxtkeW6pg;M_vVxlj48S{g0h{BfdHvHa@G(wAPW z`9J+wv46eSVq+urbN|xMT)b)ecxSj>df)MxKbzdrpKD9a-MK!q<lC9X;(yZ5UVPcN z^x{pE#hX9K7MCo09&_RE_0M%r-pPL6cJArU8TrrO_%ABV{hqY$+*zBF$}+jC>gSD> z?9YGgIa6M8PT%2i#JwhyzN;pWBU)Q$JdQZo`gEJ*aV_0LGRM8tGi6q1AKRGaZE$?! zChtoY*ADa~U!9)Rm)z=oTG_Zybm@%85kFHiWVAQx^d^^vEht=bsmr8qYFy{Bjl0rh zR!>UfOAlLCxMrW^@l|UN$sFejTUw}-&ntDj>x@O%z4X3h)nyl6<R6WRnQYlNHLCmA zMyoWL)qBi}Wwd86gy`~3?Mr5Tec9rg!Lws*XA0NcX*212n(Oj7!q>P@H1CYe^|I?d z+1C3xKOfkcer#*(%_)}a-kwP_S@(9I<n=PkfaTjod(W-1Ebz-YD>K*E*oyo13S-M& zxAZNty~k&AW|nL_(^y<q{p`h?*%u>kH(JW2Z&2>_%MY*mV`|#Jf0^a8$Ln9_efg0s zRa{sOV#|H`k)0)1@B2N)?%%9uFF#(tV)Jj-yVEs)Oz-x~)%zyry*Xd=$JDk#uHKh_ z$?^DL<GxU<7R$b=zYKemOV3z@{S0_!G0i^q-OR!@uM*D`u6gs&q|emgal~Gw&vWN+ zyI*>7Mt1F<bhmq!Zk6vM*7AFuvQAr*|98sIbLS3nM$NbMtUTTG_2S7>#;I%l=dZFc zO0NA|^7N(g7Q3ItPfLxjJwKn;SN!_yg2KGnX>)ELvfMbY_~|yu=i5r;is#%enR;yJ z+gXKqx5W*P7xU|f_<u`!nrkc<{WR!VMb5ue6S?T~J;%fL+FUDcwJ~_S@#^}NzT;wX zu8%kNo~g)L8*h00{nky_Fa5B+bfD+H^40apefO1HuV4OQ8&sm)dtX^Jf7$f{xf!+3 zf9alCZqdH|Qnpq9nQTjU!OvUge%^lZhi%ZKGO7LUSu;OYFF7;!%*W~_j+2jlcVD_Y zvnJly<Z;b4xrDywGioLrE6$nyQN~;MSw-K=_6(cjr{rIJEUuYx?E494<9+$MwufYn zXPsa4@t>HT+vC4$v-#!}_ZuJoefvbu_w6q@pH<YKF3;GrpLhPEi;@4Nd;Q*LS{1CB zaq*@7VVSwM##XD(8@Z?dVwIXZQF87~@vApY790PcWF@SB`9;pmi!-ZTL29_yuiBjN zmj2bWG%Y^-`GKBur=nl^{F&^Qepbdp{eD{CxoMK;_gX~HU0HweMGjwv&G$FeCRVqf z9kBdiUR=U==Ea)*=Vi{@{%LSa|DP;1_o!m;xrLSmdbNjS=C1sI;l-O77bE}a7ni8* zUvM$fe6g`@lAMM4nHN0!L!O`LIrl=ll<oe?&B<=*@2X2dWkWUh&i_?%a?9=6d(X|2 z?B8r+UTkx2qU7AEl{47S-P>bgrEF~VnX^>w+2V^gBPO^l-!IU6Zkc7lIh`{Q|8^T3 ze|$zQv!qOX`Nf&>O>XJ;Wh}&}rSzRU5@cc(omL_?J+03#-%@IBsIk@L)5iRBveQb^ z!UI6w{?+yB%$h~#rb*5{xaw8T?2D0e)||VNWnyKWW^?Y4NGac$7dmTKf=aHhTdzp2 zTMKg4)*h?i;LH-UGmXVnwa;F>nNetWT6)Fh`xD&Kf47^QOP{gy;!RWbb31!yE-p@S zOW(p-Z1erkG83!z=nF4&@;w({=6-QtW0KqQ{i4Ms-_E>PlXq5T?#tZF61TLHv~9uZ zmwV3DtT=b%8`$N~%EHpm_nbSV_X?DA?}pC3Ime1Utt9MQNP3dK)Lhfz2XpSswzP^) zv-$qW?v>Be%o4Nj%Rk=P%zoiT&TQuA4;-GokeP8Y(!RrTUUh{*@40{dnI&TUOD|fQ zu%BD{^327VRy}T@g8I|LGZ$ytbh)M9?K$`5;u+@WZIW{z`d_g$T5N3PHuvDiS8rxq zocVjD)$X*CY5oDr>y;o86%q!D&r_POzW6P@C^_@vozKfx8W&pio;!9v)24jC)8fsU zd~=NVC-j}0Cpq`(<{6I*)6Oruc++;eTlzm6skybq4`Nmrxu-v>k(#S(Y}GAp;J&<^ zv-jL8$+;)vjUdsK{R-rP{ULK_YG1u+wAgru*W6rVtM2gC#-YnE-ZXq%D7G#b6p@#{ zzLJ@BF>=4-+=sodWM*EB-0eAc<xCSR>1PKlYmJLb%FbBKe;R$|<$bTkn`^CmKTH0b z=9Ye4#^U+Agg#KLUVJ~}@y_S(mt3^mGutiwr<~N>dwWYBL>Ml<yuaVlsyeMC?0tIQ zxi8M(#Av)f928G~<gd*9q1+2fJv+C7)QJ6Cb8esH+^g<aXa4O5N7TH4^hM5#H>dH< zne1=mo_@OLdEB4XR>A%kU*y<1Ki-)>f9b`WX6)x2r(1%QUz?xY_gv1-fAQx3LcQl6 zoi?#*e|BK!m-1&Xe7<Isl%08@^S{~BYV!KaFV@VyIJ2&~^1&U0#l|(kbCr#)7Vooa zz6pt^>EL*}AGrL#9weT=?6Y!DD+#j;SpHqH_uPq|=kxx1%<b&YEHV4%{CMZ@|DZ~h z{oG9dnTt24yQP0MepWH(=K0K$vi(ag&ivm6$xr*z`pz9O2d7H0{i%I^`F*V)<N3i^ zsu&tq&y)JjHA>F?>UrkkOwSdYQ{B=H=3CuPD-nAho}R@hHCNWyio1J7ae`aACL~X} zUX|2a1`7SHORvm~n&p;$SjJ*`@EK6N?wme7aQS|n-gDo$OwOh6Q0hIm&a&X#+9NVx zznT==eE)OG#HxLAX34bh#TQ?$^<(c7HMaU|Is@#5tDv~L1&OQID>i4krPsxls$E-r z5tM|sH-h8JEF}GY&$(A_ug?5)n9FQzr9FFv@kY(wbF(Z9{PK>;%+)oXS6x_Kx@_Bm zi#P9d9V<Sc=eu}wF5jHy+!>2OeyEiyw)y_S-Nfp*V(+;}-ym_dg}Jz7S=o|{Hw_nW z-XmOGqE>8T^*XI&S$Q&~1S}5+<(LfqQnueKKqa8w`g7|f=RU2y`trT|;?3#D9_z?1 z0R_m^=BqF3X6*L1;h#HE^1N+*hn2AXvWqt@dX5!;=U;yDrV0DGnaU8qZapE>Z(Oa? zd+wcoM#;1Og%@v{v!82Cp1Ih#PzIbfj|vr+RH<Kjv1ZoAnKqr34`PfL8~-*2m2GS0 zuQ_)n^Ht8wi;{EJo!cfk_o(renO2iQMZ)n&3vW=Uc&D!}&TvbwZL>OD3@Q&cm+i9( z7QgT!X9n~0FRkFHs+p!Aw7lN1_gq=;v7bEp(Bkj(3~=#RVhM^WQ4_27@XIfBu4k51 zUBA$ne6+{!fB2b;H;or>uF@?oS(d-#qU6krGc&<a_3!#CpFeGG>8E?n-O+vZX6D7n z9iek;jjdKswA#FVB`D~hmcR13;|a-D<%W=Km9xsY)V%lHvs#mR)!*u*=H?bZkg+)+ z1M<Ubexu{X{Lv;>zv~xVjQpbvsjU8XT3QA3Uj|oJbuE@wlj|2kDywd2w(<cdwc}SM z|Ac~)Zn6K$&Dn10Kl5LGnZNAfP2<Of)8++(V)NAJS6|{5K*~S;RmLBMde6D_A1nU; z-w{&&tv7H772>Z}pZRF9dHux~Z}xdEHom_c5>MM_EH?h=4=Mlhjop`j*MpRQjGqte zOmj>BW($s||Iu@2_Fn-d-X9U*^3S<+ncYHAJ}DHlgOq>I!R6n7r@0S3K<>`Izry&d zZtuA^%L2dp<1%wWBO5=fOMl%{()(yrvhDezLc7DBCRV$j9k4VvFD~gj^P=ZjV&C(5 z^QXH#FQ}86`;;}Kq>B3zII8LupS=J@L+lJotIORNU-X=LaYkp|xn+`bf2v-Y88HbG zRYu^biU<Qo)#;VSyZ3;Kw6IIBeAf6tqH47PB&uRU=dL_yVl_MaLdCnt`EKdAWh~f( zp_$6}S;f1DQ6^TuUtfBmv(9~SaR%QUZ^>sbe0-NeGL>C%iCVqG;>~$(>A%_`HC195 z$j6I&uS({uJJ%;Ucc<=^o2H95?^$Z~x4|v_e9!U7S>RG;s{G2!x2L(KryMIjpTGQ^ zi^t;48<l&XzkBZji70DhNJQy@B5L=g7jNGAEjHfI-g|DJWr5zClQMHV_hyuAJM%*4 z-C3Er_v%cnR;QJyeNUIOxPIov8My`EvXA-dOiLF~ZPqNmdULK@`p1CMWy?T`?4t#@ zD=02?WFckW;wzGJkoLsmD>Jn&LNe4xXojj^<@cXOYVO<l7cJ&LJPC=W@H9w8rFjNa z&t7^VGtY7H<{GtLzxU4}6%{O<>CaefT+0V4=g*zl`6_4D#hLd0b33)bWx>wwE1*nQ zu+i#wT1l9GVEVV|;0ktU%-l}xjFM|-8jC-9J$sQe^W&ZF@Ri1;roHE$tp_##wwi#O ze>?gh71jFmzUOlH92Rf>pDi`_==}>XWM(lxzvO%7;>=l|i$Mh&XaLaXo*N{d%APeA zAMQE#VLPa1mb|<0+=7+hc-nmx6i+q%R)4EOeY}b}OWCi2`iGZ-mVXxjdEoiH|9h>3 z>la+SSux{S@pt)!kdn^~(sKGY(^4+|TcFh3yT>z1p2<UVl=_Ut#upcYO8U(|REkTg z{4aw`zM3{@$+rfSEU)c;b!N{BP|bbN8{F=ziJZG~KQx}!uL2b^FHKAN&c0Z)f8Dtc zyrq3-Uaa{WJa^@GXv5D8+M=jmdAYvbE&aEx)N|uM=8#Hi?|Dci#Sdyx*e|(gDRb$C zPQBCO%fI<$EyB*c`11cWq}@8-$UVKvWwEi*V&l(Ct!Af{Jlh|X{wNz<7!==M4XTR| zdhhzbYyJM+-_O2SbMBx_zp=G?FDRW&t(@_=Q0>{$i;>3c=T5Q|gOlaC<>#*aF|nG> zeeuN^Js(JA<qFAHwkKu!jbo;`rPp&x%{{7`S;BX=u{cxn*$W?CUvPX`9+jDU@@Zzt zwX-jL)*rAb$eVFda@`70Rdmto6;D_wsFipp1>9U;zs#?`RBEoRv0QqlFF0h@EcMIJ z1@$-1+wSkP5`KN@MUKzXk9Yol%`7oH+gO~&3@JbEPOy|qFH@G9dsnCT+@E76R==Me z*tvnTxJ1otDLB4%NEVk=F+vK!wKLeynHpPdj$RFlj9TeZP~-S?&pCyV^xHk>9({Y| z^VVVU=Hz3Kb>1ujg~-y_tCl8<H_r=B-!U81+PU^EC_O7*YA$c_gE{xwEv>B6=A18z zoBMB{iPdfP3l{SqHbSyhxd5c}<4fy%K2QI`3mG}D#hY#Bx-Bo4fW#JmI;8ok49Zp} zpj3J8jQ<r-ud2SsO8EFCaIfn3N^qOPF96)8=zMi%-V%_bE^40nSYG}&IP=flyYnRL z%ePla75}+=_svU_fA8}C`bgE6o7*=ZyYK&Q=Ev`oS3fs6e&7GxJnzTv)%nl-_<i#0 z=NaqY%7ncvo#XzjbnfEMGuJ<#vv0;Zi|=)w?;p)se{r{!y57>_b8k<-xI1UDcIF@1 z$7e!K{`D1J?%AI#6Svwl*7(|!b;*6E|JG;zkv_ak@_(HEXX&*k?<_LaHNKXTe|6TI z{H@D!kIj0(xAx;K6S?B6J;x{Sh|WHxEA_lS<=D@Dxum|&^Z(oSexCn>x%cyZqsM>5 z|DTX~ZvRzX>iPcUV?X)-ow0cwGt2d{P0yK%KTnU(cpQ^Df64Lx-){CCm;V!*9dv%x z@<ccPU8_xBif>gjO78tX>DrI~7iKM!%r;t|arQ&0RR8inY`w>gjUF48-w*SzGwYo< zPcqx<z438N`B{bMq~#5cTb{4=cs!?C{-VWmU*mI?50jr+JU^+}TYS&#@tkz~)Om&9 z_AmJOV|JR&zcU?{a?#JF*Pg8PPWHXtV|vt5y!8K9n=d?PBZ6mj|NLQd#{2R6|IdX@ z{{5>z-(%X!x-~7~*sMoRuRL|!{7WmZ_I#iD=FyptcE$#eYnSa~Dc*CwXWF8Vc9Y|# z9s4Ra^Z4}xMQ1)%{F%CCS*Bb(bLQHUKG$YVlg!?<I@7nT>)MvH5yER$njYL0b=ff4 zcgMVIJZ1})@7l9NvG@7BTOG%W-_Q7X=d^u7-}8H?FIBv|mu51r`f5+Is#$v9^LaPC zva{0Gc;>A&J(;_8S>CZ(SIVL$-wMo@N?YUkeu?SJIoDj$)_g3#ELE?+HgncSwaweF zrF^>#w)}Ut@3(}tnX@;lX=L~s#AaKit>NUqJnMtU+LyC7s+CW<#?!xa`K~=BGQI1= zcey-%ebw~w>rl&imiyxV3TM>p6;i+aqw4Azi?B^+Wa8KV$~1|Ke|b4`PnlHOnv?U+ z%Dgw$HNKbLWjy0?ty(Ex@AsK~lJAY57SDWKd(6K7*l(ZnJ>O?$O|`t2zNh;|PxA91 zspGu*iwo~elsw+6m)`gI+4=>AcaBUo>AP+8c*oJzGam0)xjIv3`!{W=<GObo{7c`> zF8r5mZgl)7zm&<j{}*~b&)?l?d9MCdSk<cHyFK#^lKSRNl(fB=d*<Tg9nEh3&-1Ek z<lZej{`{bg9shSD`T6a?Uwo|GF<)*z`{y4vHV0~I<m8qdfBx{h$vNkJzK?g<8uvy2 zH7=Gh|E<ycnD2~5-e3JQg?9?XdLQ?lvB>)(Q7mKrSEctc-@Ju|cOGpv>6`uPl11L} zp2ySXC-*)6b^fwN-ua%#VetulkJI9p6yAAuKT~G=CoS8nH>-P(U0<Df?E2~tR>d`Y zC*@!GQ8o3K$-cOoH@}2DpC)O0wBFF&|COwit*mj?pYJmkr~hEIy}I*@V(+{!?j}{c z(!PY)rS;AGr*2ZU==_V7=l(r60gbYU>`&;M_ol<m|F5=`ZDqdU_xOKjcFn7-u|0FJ zrpES+Xa9Qk&p)bWbpQNOHNyqUnGNFf%f<6^Uw-^LQLw7UR^{c#uL*MT{M|<K@%-Hv zAHP0mvrkSe-EN=Uv<1hnAHHPL7aiAltk6A8#yo1GW#8=h&SQnbnNr7dXBOUhbm~mu zohw=<eYXuC?>PACOyQj)w@mt?O&{;LSvuqK4rb%N==kZDebVdPAMa2$?vqZP@p#A2 zl_q_+?@l;YC>>d~s<<k-s&<XxW2==phh(mMrUgq~_slJ>s+pVPx_Ehd-2I)qOpCvy zY+rcM*kG~xooSX;ldLbiSUK~0IbT^yA9xCK?u^C9Z%=jePcN6UeJJ<k*Ewb5sztUl z7pw0V@11we&ZO!T`z45<_>%1Q$?+{ae%-lIE}s9{$FBt(pFe*6kX%(`JLCBE!w=6K zzkb;N1tc(z8^}YH=zRY8wLr#ppWK<puNNMvsj-#u?_b|8oGG)tPOJCvGQY)zclsoc z>&`zXbNuW11%-F!NgiK2@1)G}v;XECD`ZcT*<N5)EOXs{{;@)3<G$JZ8!Y=~@0f6` zFgi_Udxc=JjCrYH@8hyF7I{B~o>{#65LET2X8n?n>1r=mXZ-o;mclpZ@9LS4&ztW` z`(x>|^qfq6+N7Ora{q6hD>eDIw)}6E)c<SNdm8VZt4ulee(NIXnTLO}$y%w``R2dB zWAy$(%pcy*vUC4NXMjhlwYD1_-)JP*`>*cI*4r~4M;v@>(r0S&IHLEy(eaI3<rgi& z7I{97&^7K0-80*=Z|W}hcQXpteA;`aQ0Kp$)bUp{3)h@uK2u(F&UVGT2Z~Q+jr*?t zYX0<~KWctuMCIw8uYt3Fo;x?wKD+jp{wZbSYuDw2=hel$o-7L*ehvS>%I4>kYt{bR z>t}7;_h(Jf`qf_#Kc6yve)znana4__%^&ab{XZAfhkCq=xB5)s-M%wB@)p+{950pL z18JU{gPZ4nmRbF^1C3A2U3wGLE{yy+-D+=nMu}UR&AC6jU-|H4l$70DUMM@wZb6~! zGW#WkvSnv2womP!ad>8bW=wmn@w&seCRnaJ{6JXhdgA+FspmUCNcJALH()<^^!&`n zAJswi!tJy<lP`5x%GE!TmYQp7Y!!Uph=0!Ov=X-aD?z>5$JM22&!g_B{xMh1HMTnJ zd4~CUpXA)7J7+#FT=sk+XfC2q?0NX}3q9vPF@c<TGa_K_&ekh0b$u3Z-lt((w?DSW zEgclEM`teHoPF%^8XZs*ZL^B)KDi(htK!oaUc8yf{QS?RGZ$a#x-SL|LnKx{d*QP@ zGbUNqc-_WNr_L1i{#<=w$GR%R@bJ{WY#r;F$4t!(9!srWe@y0jL>gD`@%u)PKeA^V z@z1%PX7le+)R~Vzg0nAI{E;=5t5;kMYGN#13GVpC$IpGp26AKM?}b*uk<fO}3{bnr z=G-T>S6|GRUc7m)=~yxU_9c+<$p%YMGs!L5@Obh0eBZ^!TXlQa$KLK)ADe0Y?8mL8 z&QkHa^Uh3tJM(e&^qY;xuCL2Kc75G8<>H#Re_}Ij{w<U2x1XIXXR-ZE#h=!@Gai3b zFJJ!g2gs4{<j#Z_`KjKizmr>D{$9`E*^O_DGmi0z1=)4o{I}{yg>RwYT2FQGg2Sop zHQAEyUw?d9QN1JnoX$bh>Rl6KC*3>UTaa0mZ?1Lv((fICF@5KD2S&}icfI!y*O$6H z7VS&FcRzgWx%)QbyLmp&^U4?O?!PqQx$Kj7b3>1PIrjIPWfJ>tjV<Q|v@O!#d_K%q z=yxl<{qK#+47)2kv*#<C-QAfvU%YHa@mV|VHwDip)%x8KJZJg4XTzf6g!%ksONxs_ zzsr|fpSs&U`S_Q<vc)E?$F8s2ziiIo{E)kE)!)S(H`jPq*0bAPy62_rYd6OGQ+C`g zd^b<3zWDL4IlG@dp1b-7+tIsquii2KGdO?Oui*Q{pDxeU{w18h>sRz$Nw2K@_sreP zKeB&#SGH;Q_cN)_rT!V*yX#l@U7)_>Mc(p9_CK6m%B|1djed4{uJ?`)ci-}qe=mHv z`}WLt_ssv_*(tZ<|BmRRcZ(|ocih&$W7{a+xO?u?ce$T$o=e@Ma3{BIclxs4mvbK< zJ5aN(m{~us-1_|8&lQEcqp#kby}R$_-1lyV?(q-Ys?2{mnO8mQo|FCK(T>|k-^o2< zD&Ow?K|bceyKmm*`7^W6sm8o{SGIEZ?;SVpzBMX;KU=?i-Qz<g+qLi5wsYUVyRPIr zi(XCn?o)SfKU+Qb^l!z|-#e?>^qI<cAG^!`EZ)-mLsP-_?7Ms0y3gHRSNvT-eD3bp z{{Iga6>pcmvp03$#hoqOXYWeAi#yi(EN_3=cP>4X^54C?!wVPgyzTog?r`g~Jmb52 z`?$~E%`5xPre{*V`{-Ten2dL2t9P3hF5DUY<ee^vH+%PXkdC+S?i~b~Q~F&Gr1bFJ z>KzyEye)rsPd+|lUitFR4C1qP-+lVdIVR)XwUY0GAT`qe4<3D*_kBn8BBq`7``*3t zjEQ+yHh=f>g2J7*|Gt}d?C8@x{vEsfyHDShEBVf&r&pf6vsz4i`fl4N?-=#;%75?O z-M(YTowxk&<{duzG_StoyA4Qm@@{dE0f*nk9Xa|muYX51r}*^UTkr0**|+1)@jF)G zAj!q=;*Nr}6n>Y|(<`6-^qpl)z`M57TY9=r+<m~Kr&Qjo9K-RBP5hWR)7(R?5Asgz zHViKe+#&sG0b}L8<ac&i4)eb6*lk|exZ}3)ySO8)kMbhsA7g!#SD^n)N>8lZS?*C% zp}EE9TQlO0_cs3Ye17cpng6fc{_+0OG@q1zslIq|a^0<+m(u_BNZ;K4BK@w!tim-f z=AYS}CvtDu{9<L(*>(4N*ZpLD{%Ze>{V&`;@co@6t^E1)xvlla|94CO?SC;(dhYp` z^*biYUR=M!&}9EBnc9;w{<}Yj_Aa0MYu2&L?`C}rS$*H&{cgb;@5e8D&s0>MeLv&z zOVRzyKTf%HPv$YN&C+?2{)^4nKbOxvZ26Kg?40ZV=QoTVubif4SbzWK{Mr8w?9Bgn zVW%B`@tnRpd%he$*U4gAk~iDFQ1ATlKlbNl{(Sl0>bm$s`_ep>m*?j!cFmmg=vmSi z@pEgBX3lx^O~}NrFx^vX`HtNpy_auA&pMX*c6r8}QwNPq{GJv>N-ZziB4cY;t9`+u zwo^27&b}vJCVr7xzK^FY4PRC`>rZILoMmTLnfL{l8QI#IW?$Tq7i~EGTG+ew%HNaM ztv@ZZeCtNJ-pf*{FIewn_$?JLS-jP5?*!?$yf0eszR2--eEP!Oj$_joerJ}7Z~Moe zStH37DHY%L@R-Ryp2fZ|K2A?GmWppX^2}r(&q>{k8p&PJmwp(1VFD=*Gdbs(7A+M& z>4IIfXl2U$X0GLLjr|_JQom)MI$yWTCUZWwRb2l3i=5TpDrXm*w6iYWbIk5}(Vk;= zn}z($o*#;P{C$hxnW?*{roW%qZs`79OaG4g@^{mB8-~jV$k}N0C*^IM@?C!7>s-t4 z>6XUca!2k|_*DKYwEWp}r*r4e@TW(YKlyV1$tmrkZ=sbE#ou|KUNwKZK!5rDY1M|; z)3o*XYX4^5DZlyqTF{!-_fwufpYf$W{{E9WMe5I<-8t3$`NYf0r$_!2SwH*4bm}wr z>65Nc{LV~W^6AZ@PX^E437uN)ed=J)Cyi&%E}iPuw2bllHf?&e;q<V8ck4C2C+DqS zvu97&oXAkQBX?%3vDrUs&ex}jXD*67Z8d#*C925$*|S}zx?i7s*>k6Rr&(y_j(u<P zRlX;$`|nWsuDwV}dwX(T&MKSzGw0lid3Pk{rc-5^x8>HYpmplKpAu%-bnX19{B&yo z-}dQyWuJtdSt>jAxAn=VbLQOil{<3hMr>srzva&5Hg}@?zD?w=wA{KLq;dYIUnQ31 zGv-*t$ca6D;jyz|ij0hMe^Q>@>OB>6=IEW=JL9&xhW>nwbiYZ#sh}nJB2SlIPW{ib zQ@(ilqCKCuEvu)^v2%ZSG{!o-@@37<{SNPr$LtTNe5YhtxY6dv(mN|o{`7wOBo(yY z`spd|@RKW7Kg~OHb=Ri{Qk5db@2#G0ef{(U+s)m9c}HWiG|t~wQBOZLcgE%InxJ*i zv6Vl|i=O#a3KW0OdwR9{$%8n{zYTY~cYa&&>C*eu|NKSv&%PZ#b-Mq=%x!aib%R#g zdsn{Xy!rmb-x=2an(P1gp0m?kz8kdaz4+8={ZliiKXE*BciyL0_D>#&-mH&(cRZ%v zx$>#5<!}E=iQ*sYit-h<C*|!~Z}Y!#&Yu9eS{?KDqL0Fs-&fB0;gfe<rgw_?zA3@+ zC+}?sEkrLr^||<r>~GsicV-6XozoS6KJoJBQ#)H<KE0cN)4Ti9kFwR<SbFof&+@+f zqipqVo!<QIZQ2)plxgoY>doJ7G&!?o_tn_>$KKywEq&r(Tam7IyY%UMY9ND`o%(zB z$&qO$`?h}GzAU_$uij<-yBMCArgK(XU)oo^h1>S%&dt($mwis~m%1;e_Q_<Q?w9Y& zKdw!v5a`Wk?QXyDBW#1!++*9DE()6L6MZA&`Z)UFK|_;$qCPVIkE0#`yGY&VYE!@b zBWy#3R&PG*>hudg!VFe{bQPQR=CiUtzckxQU2nn1+=caqz4_dSrF!$ZyQg3Nv28<@ zXm39E;_Qr?=o>rx?%k>^P~O{H{79{8*5-Qdzsk=)m`UAt6MMg4d-1w^uI=Y8+J5<V zZtm}wvUAvVtK6R-k$*XN&R_QzbLSp*e;GTcyZ_>Dt8nX*bCy+I_s;J8%(Hj*=LeQm z{Lhbgf0=i#uK(U?%bKb8ZtwiTwzt3dtFG01nX}8jm%iKM{r<Vl5A(hIiytZfVo3il z{LA6_hu~id&mW0@Ie6~s`WH>bUvz6bijU7u|8wjA#?J|QzXF~g+4H3&y}s_3!Se#e zUj@&TCfOa@xyvH9q;J}y{*re(&hk%fBIeoMvYfcA{?VKDUh<D+*7?c5j+x^m|2!sk zww|T?>x;*&%dai3e`Rx{!|tHvM#I`goA*oBPAcA^`q%jRqibJIp1Uf4G4;8|692+? zZ#?F|vboV}ch+*Fb?vUr`^9V9if1f8U!wQU|NotxRRXbveS8b+AKsbiH~*=PMU&la z%R&>|!#C}x*&VmEnP+!>XN6MjyyCAZyI#r6^_u_wj%D+{1C;>_^-KKDEYL6dc7Cyb z8K3?F{X)GspZ}M3MlH@hck$_$rRV0ZesOvZ`}GUct<~2pUtjP|`peXF4}HIco;w=- zV)fkB+b@<rf5G=l>iN0YFYD*9f4^|uN?mTj`r>c$i`JL({r9;4Af~2e-^HE3H0~Dk z$t|vbd*|ha{e^NiuJ@nJshBqJ<r{nd`)_3Sx!ivg^XB4qtMB)geBAH5b)U>W)$0FK zq7A3t3!7K%?zcyKd$Jz?l=OR(*$vC>1LFP$@YzlJ>;I(g%-8=K>uc1~?WeaJmhTUV z`|HKG546K%e_-67u)Onz@Bja`TVk_(&P;E=bIRpO>&~pUnLVN1(EYRKdR>in?vwWp zeNxag7n}OI`{cn%pt3dSRQBl;4>xVvKIcx?&MkY2>RBykPO~-)4-2~&>$`599((qQ z#WQB_`}9b}GA8=lwTYmbE%e=bbx;Yse$Sr9IX449MWRRLKlY-s<VuO+EuEiUJuBMK zYSU%8z4y}*lby1~TjqXp(pbK2`rf&qvUKj$=fy=u<~xOpi~XM--TUOry`oBc%gy_3 zI(Al1`1I;vQNV=1&QI&ke0_OJ+x^7NMK(P<%eQ>G<^SY^gk|s~c0=>N@HpE*KEFxs zhTl)0dcFL_&fuqSBtIEEd*SnRYy63ak(<i5&9V3Q3w!E!=3?t9Zt;^VyPqDpTk_;z z`NGfczs#N=RQ~ezT<87^`%AycFMnUaH-Aa}^O!%<wabgYM*h-!{-Sc%%R7~w`)*fW zSp2@wZ;#vk*KhWF-hVFhZ}PtTJAdf^VtoEXH+WY59!sl<o6EJ9|CdOA?RoBl<nOP~ zErY*BzRUc*r|kcXpT}(_f8Y6f@%)b1?dOBe-%4Nd{akX~z8S`;^V9EbI<J5J{(+j3 z$#3)Lo7&Y(xNZ7-&HF{Qc_k<9q^+{&|C}>x%Yh$-OP)XeBL3`*&bgUe&qsa>dr)@R z&f4<B&b#sLoA)xN-?IzekuYC6X3Kdtxwl8-*S|YH(`tsX?cB@ulZ=aX(!a5sJ78yR zzUBP!vp3TpJbPQ57aU#`d&@5R+Ww1GZ;X>Rf3w`sSo<!!LciWNeg6GhwR3M*{*DPc zZ|A)w%Q$&H^Q}#hKlHEj=UjWU*&_Jc&Dke=#bxH7-*xtYUG;|K&0A#aYg0A{J}En8 zH+fwd&vxN&GmEFrzx7Ml(p$Zl&+nnmjps+do_kXEmC5hCUHjV1`P$Pqp8vV(*7GXW zx5ay+zRiqjtd+a|pz>Y(rt_D)Za$AU&1w5)85TP`^*4{!dAnk*P3QYh-FWW$)o%Oo z%=y2kY&f5_>gJhqdoTQ`*_taR{^Z+*wA#YF<<lR$(K$Ucy03VPQF^MVUp-g)<utp) zZ}**DRjcQ3bb8NL*7Oak&mXwYS(<AZY+Y=Y(^g%yJA3mU`|W#~EtG%%nSN&Bop-V) zBR8DS^}Lb3_DW9L=|5k$oqcqEr|p)=?dP>T=O5owY?yw|E;*%yb-VVrp9=p<@8sP& zbN>j~j&*LA?A#Zp&hHew@jQ_yDkgr@`Ar@-pZlADJR=15499u9&x)JQ=byOo-1JLa za{NKNa>c~?Qv){7+<M+J<F?MN=b}8h*WPYsyY%fQlWhLOk6$OvZZ0;_PggzoQvcA+ zEi>c0Zr9GfD!OBC%*4&6GmRs9i#Ld+XXc)}!ZwF@_CvKh?{4YjAI;6rKBw{IOwRe6 zx2JBN@Yv_g;mFN1Z98t~&OUm02gob)Md#VIRX%4qH|KB9`->~@th;gk`M2|r$}Xq< ztI7MG8<u^?FYeUMU9*f=6!Yah(7AbjlHbkq^?5gV-afY|&z<%*-JtrL@pR?iKTk^j zt~|Nd{bu-v`PFka%nyy(Jag-O<2&0Tx6Bu}*%G;Z{@2;t=D)pN*<Ne;TXOGW{++QK z=dXW#`}~pITW4;c-}d(QnsZxE{P^YR_bBJ=c}blEmJw4nZ;?pPl04UT*5K^hb!RvI z<q18XF1Pmd%*g)D+Xd4fvd`%}Yng4f`SOmt+am8wyzM;u>)oAm?=^4Ute>7|e=e|b zbCSLM<?Z{w+}SeIzHk4%?U7sOYtOWqS^1jh+ykF;2YJq2vYey&`)4=r?1xX!>^3|7 z%~)V>_DuJ0pSg{{edekb{M_{S&cT+yYYxmhyXNnl{oBqi`nzY}!n3>nuGzcgZ_eIx z_is$!Jb$8jRpV{Z-#^Rt{q@<k?ChSuIXm~AUG+C+$CAG?JEr~h*?ypI-t7n6-=@Av z-?;S6^W~;FZJ$++U-!SBR;id*&0Xx~U63~I$s66{krDHZH)^GyO)K-w+ny`7yr?>3 z^Sq~JRmtbhO`Bu+TQYocE-1|WpT0lzcG=lKad+O`UL$wl?UTkiYn?5dU(RV>Y~k%* zyzI?$dDEO}>r&=znSb3lr=YsTczL>g^zvfy?VG=C&a(MkY4SIH27lZGnOpJ4@^7B+ zTDd>>=kqzHzkh1Yv=o<}|NYmVIscmGG^bj$YZvu}J^iw*K<(PoH#w(n@>*2eKYH{2 zfaQ&;#XHoWXT<xRuVZsdvf4h`y{N4ISmcKJ+JPJDgDp2iZmvH)eM9~F@QwAW&3|1^ zdi*?aQ+>C2#gyBuzqgm|Ro^jpbG`TVpVHfze{V0+{k^?#@AMq|-{l3Izqe<b&W`+D zo}N3K_qTcMzQ5Az-d(?ApZ{O%^yYf|(5*9P)_?r?IOnF$js1yIH`d(TZ|`+;zq!=U znD~wLryt+mzd!TVncMppzr4NX*8WD%Tl*^|-yQmU`rCWYW9;SsHUFy_ru|Yox9;pI zpS<+EXK!x5smp!6?_tlrr)z4`KUbgIbNv13PsIgk&z`I~d-Ue~BbGDTi)TELIeY%4 z?va@hEyg=F(z6QBeVH`p-=#S-Q!O^1E@aa#Xwxoy7WVAS`csh+y_<KlrQdvh#^+(q znxm5I&c0lGI5Mi=c<RG9w|LARd^?bq?3|}wf8yq*$WukT_j9I~2A@kXKKD#)&QD#- z$&Bf#*?#rX=k}ewv+a)D&78dBZx5cE^EKAuaBS|}vl_?W{>huudDk-9O#64C^=A9@ z`)O|(PV;ZRllHbCkKMh1FW0Q=&N<nWFJ+I;w7s{j{@6|Xv)k$q-rN#t(S7^mX3Oa3 z#bWvod}2@B+!(p5=l09fmb=Zg!wYWjpSC%DbCvA&&DV>+<v&_;_6bkkGnrd!?jL;n zp?r?=?2B{noV$DerR{;6TOuna-0p0*j5h1;FR;G)?2OI1oBy=a-$kDL$316pxW(dd z%g^fz)#9G#+|=23@a>h^b7W_4E#E22W6^FV{l3szrl>E+ZGM6Ee|f+6-shH`JrsV& zuP*mz%%9?khg8lzxicqs_R`87bLUOpoV|I{gR&V<WN!9|JuRy<^vjn7Dc^L*Pw)86 zsF|C0aHVI3p6fgN=+?CL$ve+}+I8pK^~Y~+u1VW5ckU_6TP?=7rWo&+OiwC4H|^{r zu{(abCvR?yygTpqO<4<ev)9%|)^|FKQ;cuTy!|uQBDnHg-`P+5?tJ?WGU46%w{IBd zFwcJZa@#+Tb7wYgd&lCp{>)8_W@C%lo8zCZi8(ZLU(@DV-t>oVe(%%H9eX?Ht6F-6 z`STNLCH=YEbM79Vvo&(g!Q?s4vwM&4l(jo+xk=LY@Y_F|=iECz$9Hz?{$lH!k8}1O zjI5b#eD(R88#?>af9}`a9$7d4_CNMHwzIGD@0|O$WAhiu^q<=29#o(Ea_3L6ZhhUk zGfH!As#-LsS_o?wxveg03$|c?T~ucF<W2sm$lE=}H|7*)Y~IMay*Rq4iZT6in&OkP z!t`^O-fhd1KX>cjoR>_?>m|~kX`FjgIOkyJoQIlob{boLPA?W)HD_wKrSbHFZ@Jg% zPQLvTI_KZkZR-xs+&j~F$6Vt*-Nxne>HieZeL6hnpEAgCX4{SZL29k;@Xg!u$MW2* z&(roFu-tO9V&d(c(=GN+x14RponK^q5gh+V-`=vGbF<u%-Rw1U-gf&ZI(0{8{+h8l zx%h|X_Sf?Z+vXSd<t*F3@vGVC`$f0^_Z1tk|DUtLOg-H|{P~5nWTEZF#l=;h3(RuG zo;@wAVg$ue)17bPkKWu|qj%=*8>KnSv%jir|Lk2*<y~Z!D>m&>SyAS>ZD)hJZof>m z*lm`rU0}Ui>G^@QTGhPv%ySdZW~Aml4}a*h=G4u|xtq7JrQdq~=GGdYhh=HL=k}ew z6?KR2+Osc4b0(hs6OyNX4dj8m18=Xi&5@n`w0Fnd8!g6nrhrmR&+WTwElx)l|GHi9 zEvM>svGt~z_Zx3_%350QE|^yK)aSXyZZqxOh1Q#`)9<I{^5?Nv7gbfBJCRlwpQru} zRA{|B|Mm(a$W-Q?b8TBT=WqU&oX6f?#FuNftk}9-VtKhm`sHQyD%+*qi<k91T{G1} zSiK<3?~%;S`QNAeJ^gmz-=6&+iu0DAfA;2v&iM!1>iN>or+tmdOF#cu=Ej=vopbk0 z*qrr$zhi~w_I5Mr>4nzimdndo(=Vqz^vY9T|0w77mvvi9&A6}M-1S;_|IEz=-A}g{ zZOfgel(#(p@ta#~?wosj?e3ht>=vu}i_)U!Fwef}zGH6HoXxqLzp!k-EPL)l=DCGu zj~U-NXL~xbZldvDq4eu%PgC>U*B3pDd;BHtdCsjje<$Cby4})vv*m9y;s4vdnsL7` zw*JYO{!KVKfAcr_Jof)jb^0tO$AfI$_o(b|^|=F&=bz@!HGB4;EM4yR=l_+KmpRX^ zJA2XTPM*%0nb9qmy^DT%7nS9PO?y<9mU-^ar#bhyKsiZtXKd`(|65C*{|`G;D0BRH z-J9gMH`KMJ>nuK$dr+lN#Wb?$P4elplO{gel5nBul-ahJmW}c)8|5QsZJN7A+1q@Q z^P>e4UVJy}D)!b_*~Q(j{LlXRF#B7*i0O&pyLPEp-Mx5+TlnUUe!l7jmxYaIzF)id z%kK+e@j4c_POq?kE7!GD`GQu0R<rWUQvxOJiykKFSobY&ewZ=8p>(EoX!XmvZnKvs zzrK0v^aq(+l8w2~7gsW>UD`h(Prmn@>Wq^aJh9U4|0=eqC1}lNl#OkDcQqrXSvS=u z)u4CV^5l<hCQdy|%Y|d-HGX>=F+I^bcCYl_>I-|sg>P0&;FEWHo_HpuZrZm?^-bI6 z9XYi5*6A0Pw`%3QH(#uh43^yE6#i_HHGl7Q!)danRx|p)Sw~FY8B+bS)@3&LhSG)K zjNUAm>w04w%h~k?6YJ*Y#kZX+pK<a<^{#C)e?>F?_N>fVw`6hXi+_E`vT`DuSMvN@ z_O^b*4zvH+J*5WI?(Hh6blzfqXcv$9vejx;(F=B}s%^U1$@lq1PW#L=?-%X;qI>IA zPix+-(;4Nrat~g*m+`iFCC|5|+IuhDmG5OXm?m5Ma)JCU_Qcp{Y#I7{4`&oBY~#BW z*XDllTtbd?+cU!%9{(eD?d>?%IpgFDm0e|4zvQCQ6SQV4zjzT-a{Gcrr|x2dsRv!R zyiG3Dk(!>Qv-k9iPcB>ZkMH7HzhL$C1?HS*PtG`Tti)=M*xc6!lYh?MI{lySmQro8 zcNgN^$}hc(jp(|ti$7TMj(@nE<MyUwizQC?slR-nxg~kc={wxFyx+UtT9fp8^{vw% zOmEF>&dp!&Q%&tseRp2H_}uRXlP~@*$z}W{zxXTXvQ0ZX`t01lbDM}IpT0ih)C1{T zaa~8*r0f%P_R7Efq@R%<G5z8Cl2X&E`UN+SxS0K0c)0%JPk!T>@%{g+JHK3CzLs~x z2F^62TT{x+4_^w)$n9Opvu&aF?Zvy9rL2>5tgS8|T$k~_t#syX=jxYlJ!jwDc=cLb z+wY5h{5jSFXTyA!+@GCiFEQ8IVDd!g>uihaEu!2Lv}QNIe34PozQ9l<y6<oZ+wsfa z3Uuc69MhEWejl={M(tL5Vr*Ob<*O54%x!=6bH<4_|90`k#!|*J^)vPV7H%<>;||Z# zU))f({?H|nEz6R$nvG(2%H5T|utPBUW}&w1owjd0Yfj(sdAqdSJojGnTK)|E!|a*Q z6?3Y2&K@_M_)llo-^`ME2YuYmU)rkmOMjuMbnwkN&hDJMiZ9M+*UeAXYL?19fBX@9 zMoq`YFG0KhXx^Hi7~97E@>SB8eJ;hEYMVAr;oI+;E}3TZfAY6W@qW?T8$QhbW)$VQ zd-aAd>QVKHTFs^<M<49px?4<Y*Fv$=eY_R?MH%@Wr895O>06q9>6zG#U2DG?y>YRw z%(=~ZcCO*XeR=&`%P;QJPBVJtc7504{o+y8$y&2*U#w8ywfDje`RHE>TFv{m+~x27 zd-1~AwGma!75cB|O{-Ojo&Hc^mz9XE_}Ro6Cyo_ZUFp?c?vZX(KkM72>ua@6Ki*r= z_svMkarg72mrPsqj)br+e$n;LX=idqvd%FhX?OR>7w0ax>$2DX((jbL{s$wff-{57 zXA8abe|(qAaOzUYk~%fBQs<KuE$7Z&vOBh<>z{YV*=yyJdwg!MfAC+zL?Kp@9enm1 zLj_~*joJ?)rLqS;1`CK+TGa)_o;du;B-Wuo!I0am>On($z+9oSX2ID(n=>A)GF`*C z?XmM5u`Np;v~hMT%3QkfDdjcK1*r!)ONyFqP4u!pdU%@6n#qU0HJIJ~{g|iz%kRf= z`@erLdsn{y@84(7e*ZnP`}5BKwU*TzY8a+A&yYFvh4)NfQU%Z6#}a$mk7er2Iq0$F z+@+(%H-uhm&W&~Wu9P*mYWw}^%M(_zhgln3XRi%>@4fu5fwanXUiVa%XU7vVZH_Ja z?7GW_ad!NnYbiVPq|}TJ)+U)r7jIv<DEZ&*h#kUPcN+@EPF{DXdF{~*9oOHAI<`F< zU)VTJuWwoTLU;M<(lh^goG;eQ37dalS;qQh-q{9X(yw3KstU2;aj7+)o1dUDD>|ii z_nPi!d{K8heJ|c~ogQ}};)~O+Uqa97+V`z^zxeZSLy@!0B`*bzExNqq^!jA2s`i(! z1kdbkym&ifZ}ZXejjFefzr4iR<N91>?c9SdCFd_qEuK-=aj$Ys+<_Ri*E{>Z{jdLO zbSAcM--_}@pXVBioYj62q~GJJ?mxXcS*uF6WGnx(Ur81WXB$`W9a@&Lx+K%!*dpVW zb$y5Kh+h!@da<OAr)^Q>qN^KcWW4Y@a!TclOTJO;+@_t<7sRv8FP`GzaY<I2JHK^d zMqO`M`srUWCi^vCf8L;Z%PRJl*s(>$*0F!}o{25ef7Sis&s~YGWuB|QC;VY9nU+wg zxopw)o^^H&JLMPY&+5PQD*1-UTlvdN=O^e`X}|d7<#T<(Z<Vl^Ge;hXZ~15P?0TZc ztk;=W4=>BeU*LT{;g`;?*&8-V8gIO~AUissl8^b~4KMNfUf+w~US2ZmaZR_5z1O(% z#oHy^`yHRVhLkPdE52FaZ0!sg2irr}9M|mYx~Qll@AkNVMt-8kEceXs64U<otbB2_ zq=f10<Q4M{Eqk%YJ$t#K;9cXAr#fj#k7Z)L11sgdpKnyXrhg%-?U!qa-601PyJd6F z)!v#Pe@k>mN1nLVn>M#{oi7JGe5^CJ^N0OPQd{-+N!7(&v-G|lPZ6-vn#P{2RptER zRlpfu=lCn_m%j=|NOf*}(X*&|<BI;ne@rF1I^C>=H`;IpZw#3B+O^ndt>3{4t!v8{ zmMN<(ie9LF*-%*8{Nh&0jPQ*W#@mkk(%oXseY<{(x7;RPuiZWR<*B87zdjopT>NME z%6m!f6}h7&qGs0?{qxrO!!_G_<39Gk%n^1P=l8e$65R5y<!7+OTxaXULCaU)dT;)! zwTyA=!5KX+*+N>EFaEWvDo;4<P_M}LebHWttEm!p9aXZ$+83*3PTL=^ki8`xSj)$4 z7uEPB?#%n+FGRQ8cX=zJ#<@&B;hR5?z0>;Q?cZuMN?iA=v(*~tB-Ap9wI_wRB%6dC zTU@7m%sk0R`10(F`$Ru)Uue!R{rO^i!$BpU_lHj$dS;Yk+wrmJ%vy(hQ?qM}_RBT* zO5`1UQJM4ZKv=^~xi9f<e@lD%6aT49JHOy3W7U7R(xC6({;u(J+pV<j=%vjoau46) zmU!d(oxyE=LK#bUe)3!Wi2u@Tnrh-3J{X4W`jWAqe>J<=ucX&mA#IDyn0hz9$dZWd zziFaV$C|x)<3C=WcL$#M>D=j_`upOhjT>d6wF4uYZ(YvFaVgh!yKPu4*sY(ad+3)U z&%HxWVs+*mIF-5OUt@25;_FTG-u_-x;;P$!Ed7FP$Hjs(Zi$69*VY~VCBm~TIq0Yp zulDB)zxd3=l3rJ@&~bgMXd>raF6yS2_Il$E$?WsVwc>2M4R5x$+9y0Uh;rX3nEYBq z>v@26|1sAR>+f0j=dX}XlZ|-OzV%>)ev$#(WX^~keA>YmXLa^Q-k8;V>g@_$hr=%A zhHm@`-#Em=6W(&Jv2Q(SJR|4eA+t3s8Gps3^^;$RhTL;`D{Jzv>)n0BD*4Sk-ImYz zwrX#@C*16xcuAi7@CGBHwS_lkbgVVf61}po>)6^C=Z<FBrIjasv@?0{{x$JSUDwU5 zlKD>A%(rH5*eAN$ZW=Ss_tT&EyFV6~WpsXH%Dmudm3>uno}12m9$_?H^F)l=jya!K zY86ZFx4!$PciEYEotgV@9FACDFE{t%i}>cynVYYqoLh8y&d~{$-I~Q>-p_Qt$yDyG z{8aV%Vr;`fr8OsQR3n|9r_6h_W>L4%{SNbs=gdM<-#ysx7M{X4Ve;p?f?1`(=iX=a z{atro_%!ndOCes~P|Nk(mR&xl8!@l@?CyZ+#qm+geJ$Hn^DnYm%7;9Wc~F0%>W}=H z)BASo{LT*kcJW^N&HI|0=3h;@pLOEjmA!G_>Nol4)O*ByN~nCpY<%%oO8kx1ztdJO zD4qJ|@UG;&>Q<E{))!uP_xdl6Kd?Z)?XRI!hp%X~vw>XO)$<Fjgf3r{=yOhIdNp}N ziR`mw3B{|vsP2FL|M#|y-S_R)ua#Z;#~0#KYb~2|cu&TUl0B@Z7c(!MO#AaB{lB=P H>S;CrydB!x diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx index d741599..75f3335 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -1,11 +1,11 @@ { - crc : 10053442714840703673 , + crc : 8192009927771390966 , ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , buildDate : "May 22 2024" , buildTime : "18:54:44" , - linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , aggregate_nets : [ ] diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti index 405d1122e815b13e5604b5c536bf5312533c7537..343a51141627a7928b6ef853a2308db08e65e51b 100644 GIT binary patch delta 248 zcmey#a+_s>6yMtLX{smEIT#oiq?s5PCf>Wo$;iOK0K!ZY`^6_;VT@HUXJB9uU|?7f z?CI|3>cYSTmR|r?15;P8z`(%3%D}L|(=Wu;-8BfTh=GBj;s5{t^&mx_P&0~B(=&@p zQj6R&b5g;I7#S9TG=fcIV_*P_F)%PN@Pln(U|<Jv7#JA-|NsAg?*IS)HK6<=D7_a< bYcVj~1v3~J<UrOjFfdd@=}l1j5|jo2e+((1 delta 294 zcmcc3@{?tPR6T?HiJ$w@IT;uj44D`hv=|r|m>C!r<m9JkCNr=yKma2H0|N^K1A_sB zAwv{H1Xxg+fdM4Hpg1`(C$T6#Gp_{1Wng4rX!!sCe?3TxAp--000YAUkRSsy!ve5A zkOT}fFfh1+1^@m3|9|fP|NkwZIx_Q0Qqxn5z$RkTC=b@f!0;ccZ=%LEPLN|k2D43O kXDsp1fT{?B(xp&(8I-;Ur8PiW7#J9eq4Z=ZeFaJb03tOoUH||9 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype index 57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99..6dc1deb65a85fafe2dcea36f677983510a180e28 100644 GIT binary patch literal 16 Kcmd;KKm`B*&;Shp literal 78 zcmcCwfB+^21_nk328RFt|NjTk42&!c3=GU5Q4kj<%*d#~z`!6666S$uWKf3EAPNAb CB?z+s diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type index b6c17a51f0760d7896f3e40ea46dbc6815759bd4..db7420d28ff2db72d51d1e280609f1c719280b04 100644 GIT binary patch delta 100 zcmZp$?l2cJVqjp%kzim@Wnf^ikYr%!klrX1#mD$(b1vUu&dDbvBqlEq;gI2HU|<kn sU|?WmU|?WpfB+5#28RFt|No!-NAd`hfyCxpQf^EF4iXSa2_Xm#02tB})&Kwi delta 243 zcmeA$Z?G1!U|?YQBgw#^#lXPuK#GB(L3X216d&Wi&AEJsIVXP*)L`XfU|`^$Jds~` zvVl;<WCIC_$qoV%taf10y+X!}&XWx##6e<=F%XuB1Y;};OMdbS5t+$35=W#WBp4V@ zNH8$`kYHdikYr#;kYr$(Aj!aRKytH@q#IMh4G9J?0I35pI3z(N0|Sij0Of=9gJcSz Ne3<+KH2wuNJ^+~ADTV+5 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg index 856343384d65338b1a24df88fe724ff26b9e29ab..108204ad90907a5bb0c3b63bb4666f56702be065 100644 GIT binary patch literal 12400 zcma#Z%*o8FP>2Z5%vFeRadKBMFl3mfdLrF{fq`KI69Yqm0Ro#aFfimXGN1rBS4cVl z#0FsoA?W}P1_lNukV>dH7nBVW=Z3ODYK4*5ASOsJNF0PgY>;|V%@F|^1hrQbi7kf2 z7Dr-BAh9Kp*iuMr5EJAckh?$_#)kO|Bt{E+k;6wC<Orz0WRTb(Cd@q`cYxUF;YO`+ zfcX(*J}e#^7#J92L5>iT4gj&|LfIfSvLFXR(}OCMEx^FQpbKS#%(F#e2SV8(y{S+( zh{^>KV82TTfQ$!WW)K0zKhz)$Mh1jD3j+g#1gCVspLzy{1?n*QVsKD^cx)g7ia%&T z7>o?Xq9Ch47?(UKJ%TVUd62t67?(WAT_B80o|S=t0fceMgT!GN;%Wv4WcRZ(Fff2H zNDZbuB78u0fcybc<ALOV5Fdm=Y3xUCHaNTl96=%s49MYwOCDq<2;-6mg$)Sfk_Uwi z2;-6mxf_IW$%Fh2!nou?VFSY0<q_c{2#p7j&v$?dKL(Kh5%Qpbagdq^q8I{fK&nvX zLHPrg{!SQR%JU$l&jLeCd0r%W2_sB-J|y`ASmgN`7#Q*)+88p7Aq?dF0m_Fk_X%L} zA19Le75bR^L1iCIzXIHU{owEbsTN{jVEDiR$$uG^AQ4db^uzNPE_qPe0byM7ptJ+R zxa2`;2ZV9SgVGKN<B|uZ9T3JP4@x^Aj7uI=c7ZT1c~H25FfMsexPmY)c~H6nVO;W{ zbOpk=<U#2QgmKA((iI5fk_V+L5XL1BN>?C^OCFT3Kp2-iNF0W-r5{k82*MyW*yKTV z3JBwp2PIGt#w8DGCx9?6c~IK{gmKA(+7lp*OCHq50AXD6pmqlc<B|up13(y;Jg8g) zVO;W{x*CLW$;&Y?Fn};Fd3go~1`x(2ufV{-0K&NB6&V;9Kp2<25(5JR2;-7hW?*0d zVO;Vm3=9k)j7wgXfq?;pamj<45+ICA9@LZoVO;W{suYBA$!jt&Fn};Fc`XJ81`x(2 zug$=~0K&NBbr={JKp2<2E~x#*z`%e@UXOu+0fceMgQ@}$#w8D`3P2c_JgBMzVO;W{ zstkm2$%Cpg5XL1Bs>(nZm%J$h0|N--k~d>uU;trU^5zT-3?Ph4-hzRF0fceMgQ`Lh z#wBmXz`y{)xa6%F7#KhpmprH`0>ZfDZ5bFCKp2<29RmXc2;-8sXJB9eVO;W{z9R_Z zk_R;<K^T|369WSS2;-7>W?*0dVO;Vq3=9k)j7#2?fq?;paml+eFff2HE_rtb1_ltu zCGWw&zyQLy<UJV}7(f`8ycYul0|?`i_hw*V0AXD6J`4;DAdE}imw|x+gmKCHF)%QI zFfMt21_lNY#w8Expn)(h`9KB+1`x(2AH=}G0K&NBgBchYKp2;N2m=EH2;-6uWnf?c zVO;WI3=9k)j7vV8fq?;pamhz8Fff2HF8N3X1_ltuB_GAWzyQLy<f9oF7(f`8d<+8v z0|?`ik7ZzB0AXD6aSRL$AdE{so`Hb@gmK9yFfcHHFfRE-1_lNY#wDM`z`y{)xa5-= z7#KhpmwXBX0|N--l22t|U;trU@@WhV3?Ph4KAnMq0fceMXD~1@fG{rkOa=x95XL2+ z#lXM-!nowK85kHq7?*qw0|NsH<C4#1U|;}YT=IFKaXvil15lq5gmLLFU|?VXVO;Ws z3=9k)j7z?Vfq?;pamg1mFff2HF8LA$1_ltuC11+GzyQLy<jWWs7(f`8d^rOH0|?`i zuV7$c0AXD6l?)6FAdE}Cih+RvgmKANGcYiKFfRET1_lNY#wB0Nz`y{)xa8{?7#Khp zmwY_~0|N--l85y485lr)v=6`6g1TA^9iRpcc>Dy>|HmZ{>idH*E_qPjAB1tqgZlm; zj7uKW_XlBI@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{ zOCHqs2Vq?DpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@aqhAdE{MBo4#a(if=j55gcd z*yKS85`=NdgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3np zc~IXUgmKA(`u-q{OCHqs2Vq?DpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6 zP~RVfamj=F{veD?9@O^-VO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMsm z{~wn;sP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y z7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgQ`jp#w8Ex`-3npc~IXUgmKA(`u-q{ zOCHqs2Vq?DpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD? z9@O^-VO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M z)b|HrT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B>idH*E_qPjAB1tqgZlm;j7uKW z_XlBI@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex`-3npc~IXUgmKA(`u-q{OCHqs z2Vq?DpuRr{<B|vU{XrO)JgDyv!nou?eSZ+fB@gQRgD@_6P~RVfamj=F{veD?9@O^- zVO;W{zCQ@#k_YwuK^T`jsP7NLxa2{7e-Oqc59<4aFfMse-yei=$%Fd-AdE{M*8j&P z59<4aFfMse-yei=$%Fd-AdE{M)b|HrT=Jm4KM3QJ2lf3y7?(V#?+?Pb<UxIZ5XL1B z>idH*E_qPjAB1tqgZlm;j7uKW_XlBI@}Ryy2;-6m_5DE@mprKN55l<QL4AJ^#w8Ex z`-3npd077+)Q5tt5BLFUkf8Pdamj=F{veD?9@O^-VO;W{zCQ@#k_YwuK^T`jsP7NL zxa2{7e-Oqc5A#2$j|lVs0#Jhs&HuRML4F5eT=F2lgD@_6kl#TVmpsVtAdE{M<aZFp zB@gmD2;-86`5)8=hWXzE)SyK3KQ4KY-$59cJjm}Lj7uKmcM!%U5Ar(*<B|vY9fWbo zgZvJ{xa2`&KOl@t9yIm?!nou?V?Q8_OCB`#1H!oEL1RB4j7uIg_5;GW<UwOUAdE{M zH1-3+xa48+4;tfu#s3d1@sCR$6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*W zAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M zH1-F=xa2`&e;|xY9yIm`!nou?^$rN*l82=~&=?vl{cQksSkcNKT=Jl_2g11IL1_<! zamj<y9th)-2c<m_#w8C*dmxNU9+dV#7?(UK?SU{Zc~IH|VO;W{v<Jet<Uwf<gmKA( z(jExok_V+d5XL1BN_!xTOCFT=Kp2-iDD8nTE_qPe17Te9ptJ|Vxa2`;4}@{a!_psU zj24#uGC%_&Xz34^JSgpfFfMse+5=%+@}RT_!nou?X%B>P$%E1!2;-6mr9BYFE{|9r R3mSum`F{myz>0x^0RRN|Oqu`y literal 23272 zcma#Z%*o8FP>2Z5%vFeRadKBMFl0FKb6>gx0|UbkK?a71NCt)%Q4n0k$iQID#K6GK zfB=jz=E5akL2M9aSh(aX2Ll5G6G#p!&IM(I%mwR*ihD!FLHc|c7#J9#;=WKeNc#W( z|NlW|g4Fmy#X)R;Bz6E2I}pl7);r3EgdwfN0TeGFJ7Dnz=98Hof<OjA(?c*4I|PXx zio^~>VuvHKBaql2Cc4`|ZiJ;z5SteEBB%36kRzb}ib7&XBe7$U*dQh>U&MkqP`z<T zY>>NQYT}W^L3t1+o`59I49z1TeThioEKqS!{!Ky>XN8J`)Mp`yvmuFRBZ;#kiRU1R zgUp4wCl@LX63c_KLFRKp^@7C9ki<c81k+mw73XJQV5o<(L2m4WvO(rdMq*DvVoyb4 zPeWo)M`F)FV$Vck&q89)Mq<xFV$Vfl&qHF*M`ABPVlPBuFG6B3Mq)2PVlPEvFGFH4 zM`Eu)Vy{GEuR>z4Mq;l)Vy{JFuR~(5M`CY4VsAuZZ$e^kMq+P4VsAxaZ$n~lM`G_l zV(&y^??PhlMq=+lV(&#_??YnmM`9m9Vjo0eA3|auMq(d9Vjo3fA46gvM`E8qVxL4} zpF(1vMq-~qVxL7~pF?7wM`B+<VqZjJUqWJEMq*z<VqZmKUqfPFM`GVVV&6n!-$G*F zMq=MVV&6q#-$P>GM`AxfVn0M;KSE+ZMq)ofVn0P<KSN?aM`FJ~V!uRUzd~ZaMq<A~ zV!uUVze8fbM`C|KVt+(pe?nq^Mq+<KVt++qe?ww_M`Hg#V*f;9|3YH_Mq>X#V*f>A z|3hN`M`AO83P@-@!idCXLSi!`v00GVtVnD&BsMz|n*)i>iNxkYVsj(0d63w=NNheN zHa`+u0EsP##1=wg3nQ^bkl3O~Y%wIZI1*a|i7ko5mO^4nBe7+W*s@4$IV83`5?cX@ ztq5g<>Ru%%8&vnHA+hz4*k(v<J0!Ln659`n9frh?Lt>{PvGb7FWk~EgBz7ATyAO#y z4T(JuiM<TU22txk1T?*^M`CY4VsAuZZ$e^kMq+P4VsAxaZ$n~lM`G_lV(&y^??Phl zMq=+lV(&#_??YnmM`9m9Vjo0eA3|auMq(d9Vjo3fA46gvM`E8qVxL4}pF(1vMq-~q zVxL7~pF?7wM`B+<VqZjJUqWJEMq*z<VqZmKUqfPFM`GVVV&6n!-$G*FMq=MVV&6q# z-$P>GM`AxfVn0M;KSE+ZMq)ofVn0P<KSN?aM`FJ~V!uRUzd~ZaMq<A~V!ws5L2cA` zP&SDA3nHL-;U5zFKN1_<d4{TC1U2HJY$hZ&GZLExiOq_{W<z4LBe6M<*qlggE+jTL z5}OB!&5Ok5Lt^tIu?3LWf=Fy3B(^XTTLg(Mio_N}Vv8fOC6L&XNNg!2wlor328k_; z#Fj&1%OkNBkl2byY$YVNG7?(_iLHvnRzqT|Be6A**qTUeEhM%!5?cp}t&7CggR(*O zg+7!GN=D{THi)tS5zzEw1!pe-H$y;80#LmJqCvQ01;``@21W*iJg8sgF=NTsfAtIu z1}kCm6AVD=K^WB61JNMdunHj$at8?Gk_R~jgmKA(`luj`OCIEI5XL1BayJO$k_Yu& zK^T`jNF0Viegk2I{}AB=G6Uofko*m3_%JY38iM#B3?9FFRtgR;1x--z79?6}2nrhz z#w8Cj6NGWegTe-camj<i2840RgTe-camj=H1;V)GVPS(y9^^|9Mwb7egWvzi@*uy1 zFfRQdzk@I?d63^h7?(WA?;wmz9^`ir#wCx<|H$qK`5lCj^&c?6?|)=@kl#TVmwu4n zK^T`j$nPMGOCIER5XL1B@;eCQlE>zMWcP#o4#LR#Cz#;(Ke9Z??;wmzKgjPOj7uKm zcM!%U5Ar(*<B|vY9fWboWAi_<`$2vOVPyRY7Wn;-ED!QK2;<TZ@;eCQk_Y)6gmKA( z{0_pn<UxK1VO;Xq{EzH@kl#TVS-*h|e*YuOgZvJ{xb%bk4#K$PL4F5eT=F2lgD@_6 zkl#TVmpnHABfB5ucMwL_&)|UH|H$$nzk@I?{UE=CFfMtJ-$59cJjm}Lj7uKmcM!%U zkInzc?g#lDgpu`MaK`U{WO<O^K^T{Qkl#TVmpsVtAdE{M<aZFpB@gmD2;-8+=6{&` zk=sww3=9mg_LIjpSo;Xke&AtXV7PEz4ctCBuozRGmw|!7;Q~ayU<sx?9|HqJ!ySmc z!ct6mQ2#^VE=2wUOr8;8KgfJxP((2>FbH6=Ux0yu!QdW5f5S3}`3wwwVE2Nwfx<`O zz$!3*fjcCAk^L`<<o}3G5dFyVVo34~n=$3Zk>pokk(WS{57>gKUlK|F2NroLB>4qf zG4+GO20W+2z`($<5mR0SN&f~c@}Tf(@D2cnj{ys2_<-aEd?4}`At2jO+utDh1yK18 zcI^5Md?ET9Sh34baD&KC;KVNP@TwN<z8T!u<pn?)iGhJ(0WVBG5Ud^+{~e%)ECU0> z3Vwt<REPoOewh3QL4-UgZ=>tqA&ig*<!yBN1EL6dsFP64KOv5g2jy*a{TCz=@}Rtp zE`LKBArH#m=<*L_Ve*Ll1M=SiJxF-GkjE|`pbwG%pom@m0aX5nGIsd_1BiYGHJE&$ z0k-hH!4E1;K<OvY02IC;H6ZuF!XH^46uuxexa2|M3sQqko&nlFgvks1Tm58lEdzsx z62yLxJaYKM<Ugb$<U!#Nb00Q&Q24{#hc3^^01XcY29)@ZcnDryzyOj5nT;NQ9WM~_ zAhXfscf3c)gZzdr|Kb}$9uz+4@)G|L^2q*&h5rm2i2p(E1Nk3a{|RS=Jjnm(@;|&_ z@~G)g!3Yu_55f@X1DpFVsDWltA?X8~`3>4I^8*b)B^@XXk>ej(9%K_J3~|YWYy!Cf zmpmk-P~-1LJHmbt3*CNXc~HHDZa=a-s9r?3A0`h<pP=}InSY?k+z1rb3)n!mf>=ww zg5nQmJ~nw!{K3q}CJ!<NghBp?$*))?1QKEZ*$=WCgmKA(>;_?M@}TqsazDs0kUT7X zEeMB%Do7sWHgtJ|7=%1X4up~QA4ovRgWQHL@4zVt5(4KhklR2UWc?R-Ve+Wy^MWxX zeM+=}QVl5mp~@$iK;$p<VVC~^mG792UB1B-qTgWwc6k9ai2RQg*yR^M<u`1^E^lBC z(VwvkyZiyDyuxAZ@&OhQ{TGg5mwy12PdJTTzQ7Wq|HFCg@(d0T`Gzal<tISpKRm`R zuiyyLzv2yc`3+F{gs<4;9h@NgCH`TTzW|kA!2>E4u*APJM1O)PcKHubc?mh}@(nIf z{ifLE1zaKWADpqvFM!H71YwsqaD(U<NW?CG04jeV1-pEJJ4FA9OziRxpz;^;u*(;C zK=j`z#xBp`36Wn=fn9zARNkQuyS#!IME`?k?D89+@(rEX<sG~s`W5=I%U^)XADDt& zKEVf~KVcSj`43Qeh6UK=8+;-97c9jtFW?7}cUX;GegRZ|#!l?=2L2HJ7W=WwAArhl z*os{~AONC&#Yyb)51{f1m$1th1VZ#n+{P}?5CoO~ja^;<RGBj{Fg*B=U0xv=qW=IZ zto%nUpEp3|PjF$EcL;&#zrc@O{sL6~h6r}~giwh72a?$3KS1SI$YGan2!rVNP{A%Q z5Dt-lp^0670aU(2AG^Fk1Vn#^DR%h-Q284!*yRHvA^I6SvCBVz%5(T(moJEd=obja zF3(^Ekw1}&U48;o{z4XZc?D~T{u}w&<u^d(ACzF1cd&uze^H5D{sL6~LmhVc1Y3yy zAI;e1KS1RfI<d<)*g^Ckn1)?mz#bxhVm5a92Wb%b3k$Hz7o<bvZ!E<w&yWF;f3OO> z`~;}{i}l#$6*3|EKWxD+zX2-$V<&cbhb)Nx8I!QfUx3O_IE-CBAseFK;|zBB4^a6V zw;=MM_A99E2Wn%2+JB(+*P#Ai06U`n43Y=65%I}`+L-v{L1y5SN4NigB!2tR<<ad& zmq)iBT^`+j0Rr};%cI+mE{|?Mx;(o59Rm3Mk1mgHKe{}+{pj-O_WwZY|AX|R$3MC} zy8Y<#ATyBTA6*{ZeB|*5kY0568R*~-A9Q(i_o2&!>_B!Ox;(o58K(H{N0&#pA6*{Z zesp<s`wc?y+m9{}vmdm!8<fA%<ze>Yl84z3lWz#dZa*%0bo<fuquURYXG9v`2HB_u z>dZ4RFckcOG=y-;AHX563)BA>yMCDb3>@-?Q2ieNu<M7(7r^A9<7+VgHS|Er=M}{u z-(dEi1bQLz3T4>k7eM7_RA84k=!57NsKzdT04m>6i(NjTAEKY30lWMIsQiX*?D7Q@ zAo?}>pz>((mjw+!h5y*Y4<_$HK)wKnd^OB`25A2k&3>4?2M+l<sQw=W<P{jPn-A0P z0h33M|EZAhkyro?A2fM|X%P7p>#)lofXYW~!Y*$Bn&e?%VEC{byF9}Ti1|16!{i}+ zSo(yGKM9;b$b<4Oy1c`AggmJ1Mwc(RhL8u99q954?jq!|jbEO~M9722=g{>#<RIjs zQHC;pydw`Gk8OO-pb#OC&3`+#L&o<&<8RpfXRr$)4{{p_gX#}h_^sH3kjLhKh5ZP5 zkX{f*)_>s%e)loFLC9lu-)Dq8Hv1EPA>^@z&jd!$s4S>_3N*kLz6UrE^4Q|%13y9@ zTl^}BBjiDT2VrFY2go7hvBgh=DncHc|2OC$<gxkxfiXfJoBsu@5%Spl@8E=x$L9Y6 zPlP-+|1StY$b<Y2!pQ!=5RQ<?=6{BGggiF?8>AuRvH3qC7a@<${}W0O^4R=;pc)~M z&Ho>o5c1gkuh4~%2l*X@k^LVq5h0Jw{|z$`^4R>pVLn10oBtmyL&#(EzrZ?#JU0J3 zY(>ap^MAn}ggiF?FF1^l2l*X@k^O(+6ha=G{~0bJ<gxkR;3h&IoBtCYAmp+6f5LNw zJU0Iyc!!Y3=Kl|05%SplukaTk5Ar(*Bl|yq1vKjeDSxo}zkwSekInxZgb?!B{Qp1_ zA&<@f0*VNEZ2ou9K*(eBe}O(i9-IFcm?7jreg|P>|6j00$Yb+AgDXNFoBs`b5c1gk zpAd|Y$L9YDQ3!c#{y&h2kjLi#4;ct~Z2ng$K*)pq4#LR(4=6{-WAlGQ9YP+P|2MQE z<gxkxK@UP6oBsu-Amp+6-(faF9-IFQ79r%Z`G3JmggnUaAdKw)3mXvf*!<7110j#i z{|5UJ^4R>Ja10@j&Hoe5BIL38|G*W5JU0J-xQ&p<=6{992zij-K^WQp0k079*!<t{ z5h0Jw{~LZF<gxkx!GDB2HvbE-gH~HX${%e0ci=<FWAlH3C_)~a{};$0<UxK1VPyYb zP)5jO^FM<&LLQs{4U7=-*!-VhiIB(U{|OEVd2Iea;Es^T=Kl|V2zhM&R|rGMgZvJ{ z$o>zAMaX0Ge?tmF9-IF+WFzFU`Ts!?LLQs{1*#D8*!=I%h>*wT|AG#LJU0I?=tszd z{0_p%{=YB{A&<@f4D%53*!*v>6d{kz{|Rdl^4R=8VKYJ=oBt2&LdawD|A&JJd2Iey zIEj!4`5lCj{U2}vA&<@f4c8I!*!;iY9zq_Q{~tU>$Yb-rz*~eoHvc<(LC9nCf5C5r zJU0I?V1jhiLH$dR-$5AJ{}(tB^4R>(Ac&C1=6?eTggiF?C&(k@vH5?38bThM{}1RQ z<gxkxg9$<&oBtJT5b_|ugD|rH1Dp}^*!<t%g^<VQ{|$i%d2IfF5P^`#=6`_%ggiF? zJESAzvH8Cs4<V1u{|icC@~HE(4^klGF*ACx%NL|V<P9ccmuG;^e@RToF8^RJME{OC z*yRiMLF8vF!Y<FSA0oeCId=I8Q27;Wu*)kPfaovSh+TdIRGy<AyS&3ei2fZ1vCCh8 z%0D=YT|VIuME{CY*yTS!<vT85mv1->(LdoTc6os#5cwImu*)xi$|u~%E^lxYqTk^u zcKHKP`58a3%Lg2T=(qTXUH$=7{suGl^<4$WA^JCb!LFa-1Vny<G<N+Hpz<>mu*)l) zgy>(Oid}vKRDOjPc6o<W5d9nUvCCh8%4djUmrpni(O+PTUH$`9zQPH+e8U-t{swpK z@&ace@*O_d<rhHZCj?@bH#i5;KO+pg`~j%^f@tjW0p}t5S0rGUe*l%=kcwTt-~vSd zjx6l*3>P8t9+ueUCqU&ZDzM8dT!QEiD8Vkj0V>Z?i(TH~GDLqx4|e$rP<f8o*yR(h zK=dD2j9vZ%RK8*jcKL>@5d9pxvC9iwgUHV~iCumHR9@o-c6o#A5d9AxV3$7tm7nnv zyL`Y6i2i_2*ySHU<vD(1moK;p(LaL|Ha?A7KQY{b$ZJSom!ANY-=T(GUg0)GzlSMy z`3+Ec20QHX4tF5>cer7fzW|jl@W(E{p%|iHBLcg;LkUEFM;dnd3s8BFQta{xr4ao$ znz74&fXZh~g2_YFqql!v%s|LPR(heXuTHp$kcX}0KyE)xcz}?HjP#@GKkytO4;hI= zmDl)zkcae?QRO54BjmBQ&pOy(`(GgY7tsB;gBKx>t$p@F1R;;DeI_A;kjK_OYtTW+ zV{4ynFh<B@Yo9%^LdZjADpCC};DnHe%mkv!R|G-i(bw0&_CF{rf`lJT9@K^f#UCzt zP#EKrhlMXL{h%-g=`UhnU;xek7c9hXKQ4Kg{kY^|_T!RAw?ASve*4ko(d|c<N4Fnc z9^HQA_4^>b=<*Y`;CCOoJi7bP<w15Jhd;VJy8RC}<F_AO9^HO)d35_>^2q!5K<-=c z6;d9}I0&&HUEbjvL|)(scKHWT`Ho}Q<r}_3^fR2qF0b$dB42SDyZiyD{D-sH<r98F z^k-baF3<1_BLCnLR32@8KqR!V`~jQ4$04u41j+U2>rY~!`Y#ZW|3N@rff-^xtb9OE z9|5YU<qNF*faO1QdGz#wE{~o*(B;wXU!a2Desp<s`_bjm?MIhKx8K7Xzy0X)==P(_ zquY-zk8b}AFZ}kS%cI+mE{|?MOrDV;2<!gL8S#+x0g?xq4bu->f1r_vkO!p=bom>F z2zij-(B&7DA>^^uzYR4Ad2IFXg~yOq3&?%g>feM<2zhMvufR8mJS_dg+y|@QkoR|i z<Uklye!=9C*AIfi2ZXW7GcpFj_g{jtE^K|-4CwkXkh#bhWDYvt6j=at<|!7w2^KMA zA&|Ld$O1UbfyE^(%wTO3WWF&G=9m+q#)1enAa^4x!^VfDA7nRz^dR%WoAyA0P>jtS GkQ4y7^#$ty diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini index 5f049d3..f7bc8d0 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -27,7 +27,7 @@ CONSTANT_PROTOINST_FILTER=true VARIABLE_PROTOINST_FILTER=true SCOPE_NAME_COLUMN_WIDTH=117 SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 OBJECT_NAME_COLUMN_WIDTH=183 OBJECT_VALUE_COLUMN_WIDTH=49 OBJECT_DATA_TYPE_COLUMN_WIDTH=75 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk index 46ae9050f79d9729623b307bd72622325cf237f6..f9566aa26f50111ff5699943af67924172d96100 100755 GIT binary patch literal 31968 zcmb<-^>JfjWMqH=W(GS35buBzM8p9?F(i~i84L^z4h$9yybKNuatyKzYzzzxEMPH+ zJWM@|zQF_$htV7mE(0@Ep9F}(z`%e`%Rtq^XpoygLLeGsABc?&e-MF)!e|Bo2p^=6 z6~t5k5ey6rXmqJ6L>xvV>jT>t0Fh@vqYXGA;xHOnA1G`BVj%JXIjHmku%QeLE1)z? zA1Js$`Vye}5}^8Ev;s&0$oWtj7M>tuK-dBrp6E21cNx(2ML_kT(=HHaGr(w&9U!6L zrzI&MHo849J}&zLp!)7W!x5df0Ywx80|Sf(*#WXI@M%d3C|p2nVlXt?8G@kp;fjY1 zP=CW{sPzo`IhjdjCi*!kx;dG7r4_mr7G}C;CVIvBdPZRDLGA+C0ZLQuexVFZ4GafB zVle$6HYh2Aj0efDuc~HfzjBFd%i_l_LJ{-cnZ0wp22uku1EdF}24pZuJv3@TTm}$Z zkAVT4Cn5fC1dD^jK#Ys2=W;<bE<Bl$fk6n1WEcx}aWNd?pzy(Fjw~oGVllsv8N2!I z4A{lHn6QiU;&4w14u7TLFdr1gur!J6+{HM|d56P27jT&W5{I}Bj_?V@5kBj2nDYaN z`nx#Xua3k0UO3dd;js4=4tuk3n6nFqxDO8ZsNqnrgTvkxIL!Hs!~I8bn6nj!IovqZ z|H9!;e;nzv42SviaEKqpVZI&?^Jn7lZ!8Y=y*T{EjKe(+EDQ|LCIE7FVPJ^&4DpRm zNi9lE&nzxUEei2<&dJY94M|MONo9zSPtVQIi!UxoEGmhQhbYCWFf%(dzAPgpCq6AR zCpEq-u_zOyKOUx*0WP1Mk(!(xUzC`ao*JK@7GIo`nVcG*UjSB+T$Gwvk{X|qTAZ1l z2Np@KNKGy+NsTWk%1=%$E>0~5i-IjeGbl4JCA9)(5LiKGUTRTEW@1i!QEFCdatT;x zVh+q?u#)2BjMS9UoYeS|qQt!7#N?7pkY_W~^AdC7(-MnI;)_#Dk`j}%4ZxZ+Q&Usp z^Gb75i!zhri%U}CLC#D~iO<X{iBC*P0jmKUn_8BdR|1wz%!w~ZEK1BxElDi`%NG}v z7H2?MsU`8nW$`7I1*wogD@ZKKh|f#RO@&C8<d($e=jCMPLHVgA@kPn;B}E_~CFhss zK|BODuL`UK$?D|9oE(t5!Qv^Ed5O81Agu^Lg4BW~iwmG(4-X1>P{${h#Fu2|rp8yL z7UhE#;|hS>(wvgaf}BdQB9PJXaMQ2^Y;jJ0Iap<JW^QQ?T7+k$CKkkJ=4FB+F0%^a z^%QuR6{Y6nCnuIbgb7-N5`*!@nN_KV3}8)QN2QhKCC7tf3MI6PQ%mBLi^_`QQ%Z9S zutf~mgd9-fC`-&KO~oF~xIzjgnIsk!C052K=jRqA7J<xxdKZ`8qEwI<z##{ALs4dN zW?p)HYDzjJJU}k5D9+4H$t;Qo2NNhUXQss$rRF9U6r@7ZXK`v?ab^iDWZ}^SjdZZ# zDc~egoSExXl$nyA8lRq85}uM2UX%$+!}0MsnMt734=NCH6EpJ|;^UJm664b{^AdAF z#^$ExCg&C~<fi5pr<Q=I<bq0uoXn)6l+1W&gy$E<XQvkBrRL}r=OalaL99cON(Qyh z%oyB#Je{25jr0sn;7nr#(@4*hLBF)PNIxS#H&s71uec=1P~X?pRX-v#Co``?zbvyX zF(u!~z{o_;P(KXJ(TDPKGL!Uk@+!<s^z!xf^gyVjpco>epPrnoYpG|fXP}>ynFo>r zHz2_+Hzo!~DnOVi%nXbSEMW6MZ9_%|W`<cT46yD4!<32B*g!q9B~Y;~U_LX$dMLkC zDwC6efr()ksPW0bzyRx)!1@CVlp)1^1hn4)6HkDOa}+@2Vd5~p0-E>*Xa`UOO+3I6 zqTc{b`~g(l0!_TY38LNsP5gl&MBD>Sd;+9-&Jchm{=pccJ_1eL!2}|nfF?cxDxQHR z{s1aofF^EW3NfbwO}qgr-hd{40V>{sCaz!xF=qmrcmq^?2Aa5m1w{P<H1PmSi1-RL z@dZ%v4QS#DRuJ_&(8L!&#Sfs1TSL^JKob|Rg@|836Hl;%h~GdHZ-Azg2Wa9C44~@s zAvCD(1L|u*cnk~=ki>alf(#4{FOb9qk;FeBiNpF%An6}S;+h}<D1HHT52)Y32NeTR zGx9(r0|PraXG7Q^asiS!C|`oaKzId`IH+$25(D84NaE0}2oh%4fg}#{Ggy#;f#Cp> zILL08_z5I&Se^vQT|g3t4pD%F8EznnbAd%5!~-O8Zio<=e1Rm+3l@P8ACScPAwppC z2a-6bZwnR#5zuf3xgEJ5$$=y;3{nTh0!ZSZel%2=K>|r!6ebAjV<Cx)A&F}siHjqN z8z6~GAc<QbiAy4hJ0OWmA&Gk+iAy7i2Ox>V#;`!r5lG^ozB5P+gcFd&<v;>ZoPi`R z4;2GZ1xVt^{n`p7aYc|qC~iO!M{d`5Ac;eZc(9HMNa8AB5eP8@NgO(429{WWB(4S) zfe<T@#ML1}U~&VJxCU4RLhL{i*MbOv$pc8@+F%g~aRNyk)YpcHGB8{~64!+Zj?$wc zFd71*Aut*OqaiRF0;3@?8UmvsFv3INGr!y~kLEWV9^I@b{TUcMS`U;k{lDPRe1zjL zIAs2tCiyWi{8vr!V_@KycVPIh3gTyg<X%4b|NsAg)hIs(h73?Q`Q-&LKM2GJb%9?V z0Q0>-d{Ec-<pwa{3B(6=d0#F7^Q}O9P}lzD1TfzS#0PbKUp9dGS|C2C+xW5o%vS>O zL0#RK31Geyh!5)Gz6=2Kg+P2z*Y>3Yn9l{`gSxaY4ZwUR5Fa#T@KOQH|K-cTkOAu2 zz7zoSKY{q5F8@mgF#i>Z59;E+{O}Lt-$x)msB8Q30hoUa#0L#Eyu1MBUjp$#UEG%k z!2DAnKB#N^as!xu2*d|<X<se?^LK&xpswu831I#v5FgZqec1r!uLAKwUDuZdVE!Ty zAJk=inE>X`0`Wmz)t3Qa{v;3|)J1*i0Oogr_@J)oO9L>!3B(6=Nna{}`Bfl3s4MzX z0L(7}@j+eCmkeNj7Kjh(dcOSd7v%pW5Fga#eE9&(j{@;QUCoyl!2BQ(AJoNsc>v7! z0`Wmz%a<F#d?yee)TMm60L-@n@j+e5mlMEzBM=|dg?!ln=4*lYpswS~0x%z>K5YUh z0fI0n{TjaY?7SG~(fP=u`N@ZX5Ld$kh9^CGZSN^EF#H!4_GMuBlBUNm-vUz3;L&UQ z)0ct4qn8yF&mNXPN<=-nS+Ds(l0N7E2mJC4Accpav`4S)Nw9iTP`r6){`2Vk;BoMs zy~n{H%pQ!#JTCq#5%K6|oeMR9<Nt#+et8!L2AF=3`x<OpeHj=SO0`2hnr*9m7#J8l zI-i#Ccy!yg`7kiN(ER`Z|BICW|NkFjjrC<<V2nM?FOQ=CW%>XA|Do=240jB340Q|% z_UOEP%qfDUxhjCM)Xt~7+M&DJqr2FrJ2<5I*Z<BR{4U3ue=$0Ceske>`EL2$h2QUk zOXtTIvVZ^ochN5PaN%EH9OBFP?`1y&1A|X*afC;&vxi4Fhex*qhexM(f=8!wMz?c9 zr*llVcMkvhgZ%5gQ!G!^r+9Y$_iQ{K;m*J?0Rs59IY;>PsvPj?&PKSP+dJmP=0E@c zcZ0O2bRN<U_TXP19OB5oEku;Tm+_Ai|27e&j^GH(6D303!8wc<I-O(q*B|0vf6DSi z-CD;kwrIx~$5_WW$N0m?oSYc=w>dd@^s*e_-{$4$!T7VQg>j`j14D<C)8Ppdu*?4< zAn)yg(C-K~zpKS!4p`9fFvMd}G87)*0Un)~Jv;w&J0-Xperr9j7nDl)JzpGm0vCRr zPC1=f1;?F0>k=3`-@lmh`~Uw=7Znzd&i5}g{`~*%*?DvysF3vNJnqr?>c!Dt|Npym zS0}i({&(f~{O;5F{l%x>|Nnb*I#+mf9{1^d=hOM=#RIUuyPnN&8;&=FQYFX$m(Jaw zl<d;Exr2d$;dpZobRg2B8?3~mvv~?Afps^7q&+&D=P)oZbc4k@n?bpzyL$lx0|Pip zyJs*kFj$_bQ+_#%0T!X%lR?sq7a)pZky2md(VZR8U7gWgoYNg#(%lU*>BWU#|Nldn zohP)NGx*m#m+)_MVP$aT-?oQksRsjtM|XBb_im8OyElUz-8~uP+7~lG`oNCS2D_Pm zJ=hm69W|^B-C*%<sMGjcbN>JT@6(-J;o0rV;MwiV;nC^r;L+*r;gLKW;=%hbI>7;V z6dZ2PJUVZ^Sn>P+|Lz(UmKXNF|NnRC{Qklmtl|ZzR)eRkSV%mh#&364f~(=%*0+xQ z9&bC}zc~N%|9{8MW1u)p`2~u@<A&d$5y<lM|Nrjngx0r?dq4@nh2Qh(|Fb@w&tGW& z0!L#3*g5}vIv>4|1SN&+isQ~TV3nPVK>>2S85AI(3g#F%rWm@N6M8-Vw;pie_k7XW z4GO2@;Glr$Sq+NR<ISK51L^VT2Bni`P*fq}eFZqCL1NHY?_L9s=_TNp=I{Rr&hEBc z8nE~V$Lns8b={jmk=i{OWJh;5DA~Mt{{s}kAZF(YZEzg%uLrvU9L+5MY*@?l`L`YL zOlE2KVf^v`fk$_CL3edUcX3VkWRUk>tOFSUPJ-Ib75wYLem>^H%E0v69h$!|v{d8J z!u(nUqy-XMj36c$cY{su?uK}<M5cQ($kU+o3vxCr{qpyTfYMQKc16Q(P_kj*Z<)uy zz<@|p&09d3rn4IqncZMcXY&S7cy=Fvhv5ze28P$lU|SJH_hgU)kbNNKF#GDA(f!rk z4U&H`_xu0<-C&au0m8q{JEOw~l=MMLy1PO4Se__d>eF3afN&u^*@IG#N9X+)lYW2_ z8YJ2O110;7KOm{y6HGsU;RsfMHPs{Bf6U2|5mdf*wOFjfS^fokG`;~P3vdbe&!@M_ z!SJo&H_%ca2A|IVFF3yc|8K)s8tl;-qY~iJ?HthU9nr<Y(b1v;N>LuYAu0i&0&e2} z|Nm{6OSM4CI1ImmblQFY|G$f&!$rjdq>2NiDjTGV$$@{$(br6dZ#!RjG#&w29Sy1t zVh>M1<X4ZzHx-Pas`RpF=fCc(gkIPGolT%rhseQAptwHX1WMhYY}C05<euYApu7ai zMV(C`laDunQVK*KlzEOffzlFK{{4%yUlGN4;x|wca0FfiFn$G<;o#EPvDcl^we|l_ zkSYA0Cmfsq|8wE@f6>XK;*tCkRD6hj14ULdD2sv%hG(EkfZ_Z9{~o>IgyGV;8x;A+ z!ATEfic9BeP&zo?3`&t8$8>><%8q7Gay#C90;C3%KEYY4v-u3TF1P}%3z~oY^XT+Z z;o$Fk%EZ9X>%rLB4O+K$y!i-JTk8S-j(bd?nm<KFz^6AyB?6WrK#>yxA_Vxif$i#W z0mW%{KsPw!bZ-U)X7^-J5O#NijC*nF3n)p0nA+fyjDJ12wgDFr9WE+?KAqn|rC{-o z|NlE$R6rr_(Vd;qy&J^p-V6%+?#ZAKhZ<LnWE@Bd|N3T-EX24L70|jTu!*l;DE<JI z&c{8vSA&AJ8ytY$o40^MWilw#payCo83+nv{`KH61=ltpk3xLw(K$!OfRTX#=Ia)f z0#M=t)q1!*e(^INkGH54GBPmubbj~fodP!ah0*u_|G|OTIY;FMs8E51Z;Ofs#3tNf zl8$5(mN3yo*aR~8#ffiVn_wY-6U2pveCHe$P#TAn`k>s=jTxaoKY>bpP=ta?eUKuQ zC~Z-BzyPk=vAFleUXWgJGSh}s6sWQH5LEp@!UW`u7jh7%Aw{YVNCcely1~YDcY~6) z<%trb?#bZfeW4R94l8N-`@H`C|NmO#crz%4gOWEQmHTvW2Bi#-ZXXp6k8VbfZcpUq z11Nie%2BV+psWcgN56r}(M(WigPIQvAcl>~iyxmrDnKO>sK7yOK_JQ_Q2P*C3_0Z( zo@{-~@A0zJ3DhJ6xvDd(;<!@{C?j{ie^LGsQL1o%0+%ZLKs{z?+hWBBP{C51<Jz0Y z=-T?taX-iaSANgGj?Mr6ft=0Y!teLOGx<HJWZC@@<T!BQfGAm(fn3}>85DOY4MmVa zE}g5v#UMDBf*jQCouloYQB%@gUC>=z;@J7eQS*#v=e6$O8XxB509V5UjyplhUQGP( z|39P@aOrl===RR({Hu8uIsJGruLf04P~}M=<<2?U&KbP{jI9U2?&kNn;L-Wsr}Nnh zmQVlx`*eQt=?1rkJi3`Yy1kHN2joOh?5qKM4-z}z#@*?U(8gWTM^JV5|3ws71+Hoi zDSli#k2`jr^XUBS(fQZ0^Q>?05?c;-P#%5m(s}5G^2h)GTMzKJ%mpRx-n|iO3=A*+ zzXui2dvEA5FueE-VZX3sV0iHy!sf7MV0dvG!WOV+V0dvJ!af0FAAS$=ppJ@9@7fGD zkS*^$I`6r3esbyj`QrHpP@En1=w?yz=-s2C0CvSQpUz(|-hKe-LQ>iTHs-lU=RJ`0 zIgrN2qaXu4IuWW+8@4YyKK%a=E6*Lnf&)A{KYQ*2tseo6ihwHK?=MW>{r~R)j>Uoo z8xH1DG0*?UATrYL{{KJLyZ|&{^V-R?w~op1+Y5G(>fUM%!*7O{3{Sq$c=!K*%YhO{ z&*o#y5KYhCf{bgvz{J4N-3{960jmDNhIsVup1{Pw;L&)vgBes=--Ea{?qxG5teTH- zL_5YoTH+8F!`*Aoz`%fTZ!5%t8DI+*FoDWx77mZ*A1wUs>%bAl>o3c|;E~MI=)rj6 z{{zq7I%dOfFZ?08Kt)Y=H>fB8`IWzQI;iQ_e2fL+cCEJ{4|}jMFfhIZRj!`Bb-ae( zUI;_<-T+l6-JlW=q_?!pv-ubwMBk@3Fn!D~K^e`n`IzYILeJhh3BzwMuD$^W2#U>2 zrT(7H$0T3Ndo~}F1DgR0i{)?r|MzS@#`Rj-v-ubsSn9=OxbY0Hbv&Do2|$Ev;KEF= zMLnC3NkfEF;leB)y}M69Lfn7_CBy~a{r^897?l3^C&B~wg*L>ch>&ft;b1J~Jl6by zg@J+jH9LgC<k7pE15`~l9=-uFHTvbjw{Y{45a!)}4fZ*vc{5m{=7AU>^Bf@NHL!wR z8U3;lVO}!AylyP!F@fCYzy>uB!~mHGDx5tU4{NYN%~M2}mx3_Q8jE>g|Gt2k2V#KC z+W>Lj1(<mk-@yHwiZJi>D@^}_-8X?9>OK$yWZnyic@^wX_cbES1MOOXB=#4xv6#mU z@~;I4)I1OaWFBZMkVoTT1rDfrh6wZ05$^NGVjc^~yazDzKn#$15s*}K0%qRB*Z==d zfaS-|e;)fmdjvoOsfOQPe0}-<zi02Z?VwJ6?{3g0rd^<-|Aj6nHTCW;fEYD_g@FMq z?$HU)_t_v*A$c7{f`?Z^AZ1kNf6skU3=9kqJ3yU*zL%iD1yweXV#)(vOqGBf(0JV3 z6Ev2$`vfc0BA?EGFIIwLxOX>br+`P};SH<|42G9>f?Vfnc)+9c-b+D71_o%srUx<~ zQLy>H1Ql%jE&o9U1f&Sz0jcN)x3{~&jc8CYz~6coqJqWn+lyB({{IKp(EC7x#NbYm z;Yr6Gpb=!pouCn$7ZEQ(_4)1{pawVd?hQ-~42J&^iot3ecYriQ)oXz4?1gx$0^DNy z_u|T{|Nng;p7QBk4D!@2&=~kmki4tm0iVwQpj!1DC{&t{u|f)kfES?91CJ6wia(ET z77mZjW>9DuUfK!L57!DQief;$td|`i`@uysn2%gM!_&h)P?AMN)YIo6s}K>z;rajA z%Qi?LfohPWAbCVUKYI=dXwbM5w5(nXQqkQE+UV5X4Jv9t0bR=G*?f%UwS#AG9joED z7xfS|7L1^322^~2)kJvq*0C9Wdyxo{y}=BUeZb7X&}_rOU+U!9e2g6u9uCj{|3BUg z%HE)MkVo(C3!o~g@h~WdgV)0%CDS9Iumgud++ok=W1J8Z{yhVEvl(GUHw(xA1D#+q zU#|nDXGr;422aH=PNQpSw&CCd<v&od04`ra3{d_PfMgnY`H=Vmn*TgIzj^EjMKmP5 zUsOE%|KGE_4de>P?mm!DyJa|#lT_!;7begD|3CPc*|EC~l<Yj2=Yf))M>mUvXJ;Fz z0P*bI_kf9k!L#ugsQdt@C7;f3kW>)&^4N1&3j&n?!S+`oy!7KKVf(i{gW2BzvW9tH z1;}?0`#}YcXYW1^X0ZLB@&?_0H@N+wj$xqD2LVvo+4<QMR18%iT$1+`)CKzhGMc&h z1&Hc&QAzMP?xK<bnlbX&0ZQYb#;ZrCi%LOvH)xls2XnUoDBe4LR4P1<x2S+h2C(AJ z78OuE4jPFN@a*08KpE6(`~E@-6xyA~x^q+_JbFu10z8hhsDLu7#}3dW5X^86kIv`d zy#GQ996D^C-F~3F*1-uXox3N1sNUTMAd4Fh7jQB#>;mcb=)CtL>e>JQo$p@=KmGsT zqwxsHqtT9W(9y{W(D9BCP#Azaq~O-8$Np+W7-T&Gl?;19&h2h?09nA?4BCYW@^S_! zC<-uLTLJYqXc`LSUkMLTtNh=Kx@Vx0szfEhqq9W?lnFh$T~s1K9jFA4&K?yBa4fW_ zfE?M~JON@Cq+Qpdq5zUS4(ZK;rn@}%fJ_I4k5A_ka2FWV6$W(!pq4d00kwjUx^(YR z0p)s+-YqIWKyA6>E-D-#1AIDDR0KSFYg9NO0ekQ<DD$~8cz}n2n=QD&A?v`!z|id~ z(An$(;`i<bZD0k}id+l~yFd;A1@ZSMpsvFA7waE`=>IR4gG_2X0t!%2Z!XR;9v<GH z`UsSVK@%PO>fxabs*D&xx{%t;TJXx~`J?~;9lP6)donO|HiN1!&+hi^o}lr?{{5Z| z46iex)y@7#p#B8B9sS@Dq!hdeDJMZK*0~@R-OV7Eb$5drG~g0098?NIN}w8uRkx9? zIt{VvGN>->?EV1?htB2?AgXtF11ORj58prv4)9Rg%g2vFH8{9f)qq&K0$i+uT0LM( z?GSBIc8G!maLJkhGO=5P!=t-|gTte9GT5Td%^+*LT{r|F0r0v7Yzu6>4xD~L8O^iv zJ17r>2b>|L8K{%D{2{17anEq<_J`E-t{(pngT~qJzvux=wcQ7Kv9o;zH>m2~zXDux z9G}3=zyK{d{&_SW0hter&X+8p$m*>I)jggdBYNv$y_c+qkWiNcrPtnSP^hbeRCKpL zU}Ru$?CuA((?B7>-})Pr9DA!lwLA+%2`C60yZb?5&``s{%go>U9HgfCFe}8lcOU%! zzaNx%_kpUIU7*yz6WkKm0V-mkJ!20HvpjlTI9Nd$Ho*hjZ~!R=aWg#kz)CPsZYVhJ zq5?`344^!VTt+>62+B%_QOYQg86ZuFlBwYVC<8Hj;tR(YryqigqZW`_)G$5l(R`R4 z;>~aOiSTCP15m8CBL$g9w+jdBOOt=F%4Na?XnzFM4uB+w7qjn!9N7%oZ`|Fz1Dw{t zjg|l&a7)hvRLD0Tw%~zAy+`N0=$GOT;U<Eri{>{Tpe`?{t^n=&0FA5|etY2rHrUyq z+uH)vJ9SZU@aTL5%GW<1|Nr07`~x(I)?2LM(Rdh?4h%0r+hrZX;A#L=j1)Zh|9=;V zzY|1&#`eI?T90VQxR+DzL!1CBKRot>Hi|&4K7J3>RRncgnS6SS6MTAicYqp!5G%k< zu<xLTtH1-8GG@5-7G7ZMLDC=Z!=#zu(kt%4q`~>^<yojRKur-aMhg#f2GHgo1_r}# zFO2Si0~$1v3~AY-hRWkdpiuE(1`QH#2K9?Q8V`f2FSykU+8Dv(o1OPwgx~-Fe;0_q z6GWf}O!YlTz<^ydVFD<89AWMKe;)fm+e@IKwD2w{y|g_C7h}yIz&3+NiG6w(gW5Xi zP1Y9=?twMDM`(a5aomw1#|E030JVvCK~#OmqH2XUCrs58h^qfsRB1>#z*OZyRIz)3 zsvfWt5jLtj!&G@dRPkd`wZg;=rb-E-N*s%-7ml7VRevCXB9BFthQAL?)isDJbu6kf zV*FvMHb7MAV^OstGYF=t3!=&#i>eo8p-@$jh9bCo@G|o5|No$64#6IszjuNbo_O?% z9@PfrR?~Cb3=A(a?}BQ*-6!N27+$=+17<VGGcde(c<2BB=))kL-~~v%rVBu-Z-Z2T zmLDNWw1Xr*-TnU`)Ouieu>)ivOi5@kNO$LNkIt{4>6eCGpn}(<*Yq7X$OX0_>c#Tg zpyKge=lvIdL8kZG#(@mn2omkJtpc%G;f7n^{{KHTIEx{`5o8>LBiKrhUf$gQAeW2! zf+<rTFlCzt(rXDar}>D&e$Xhxi|X4jXM+3(4yV||3=DAJfZPpo;wT;sfzc2c4S~@R z7!85Z5Eu=C(GVC7fdL2sMg}GZ&l~^$*WCF3e+?AF_`4qc|DXH#|Nn1~{{Po|{Qtkn z<NyCbM~3L7WESa{B*mv?7KP?zmc%EeW+ayBgJr-+u7JD&=HXUXTn0MHhJk^BfI86G zDxjlP2&v0W&1J|e&dkltWymcC*$?W6FiJC9gXRiAi^*Ni|No!E$iQ&r;{X3s7#SFz zT>Sq(1jN7e|Nk0B1_qZa|NnyqepIgg|8K&?z~FN8|9{ZP^_83d|L<X9U`V<5|37HJ zn&rX&{~^o_450C#Im`?UTOR)Z51K|0dHnxB2MYs3%H#k4Rah7pwmkm-A9RKi%ai~A zV^|m%LZ1BpU%|q_u;j`A|5I2P7`{CD|9=Au1B1)c|NqZ`#Gn5E{{^J(`Tze8tPBh# zFaH0pU}azc%|lFKWngf5`TzeO5dY=>|4%^ltN;Hc*ccd0-u(X`!^XhS^7jA#DQpZ3 zOWyzg|Amc#;miB~{{`3?7)n0;|8K$0z`*kH|Nj(r28Jmg|Nrk{XJE+w{Qv(Mb_Rx} zpa1`V!Op<2@ALovphE#4e*XVof`fqpG;|6IN5-lk2F3~jMrj^)jtPtqanR<0jtl?) zgTj@;g&j1I%>Y`^4;t~faQ6Ry(1bq&pMV>mgcm<|IY$G7y_B_<u?lEU0N7m6(S{Zm z{{IIJZGr3nVbC!aC7^x$7ytkN01|NG6L8{_aN<*N;?r>CGiYY(VeMt<WA0~Sy2qyh z7M1`j0nJu2Fff2tc7<H}|Nk~<#?28)uM?kvBcFvMpF=Zy4_hy5A4@-T8x!+UMv$0+ z6OvJmd?5FDFfcIuVPIf*aryuMkD!4G!e-rO!eJK3Pacd6412Eq{|{Pc&j2^ekx#>& z?*L;n)HzJmAU`UA{VRZQM++kZgTVFw|9e5ZW#D?jZU*_uoo@p(il+)$usa0grwfb> z3?aAw|DQoz_%z`PAJ93FGE58%EAIUN4;rmU_zCVVw6JEnhs{-><2_QC7#I@n{{O!e z8sCn55{`Td&CGpFOcOy&0Vh5VS3U(77#oznK<f(b-2MMQ9^?-vJ^>^>Z(-_O`4pJq zU>wldq6`cSprt7+_y7O*2ifJwC(z8q<Ozy!&_<d!ObiSbIOITiJ_m=K3Nr)40vvLn ziI^)m<YJf^7&snacS{8`1A`3~IZ*zZ!py(`8iNc4`2p4Mo-pr%;(8A=1H+03|Nk$6 zh7mYjDL8`Cm_ajZ4>%Vww=jbE&@=}QXag5i5e86rgUU3XNB{rJK+Qr5?*}kDk>kjb zPk{jxrl7L#%Ogxbg8Tt04>cbD{|}nTV?gys9?VRTx)v4&h6V!aK<Q`=3j@QA$N&F> z7Pmvwqa&Y!JKqMTW|ltYHYTQ*d<u@BvILs9Ku69z0F}8<{{PPe`3pI3Ir15}^DSTo zl{e6`B9IYOglNFa9B>8&g^da;1H*-<|Nr}g%m5cg;PeX)U#9zD3&8=az!VGPFo4Rw z7*+;`7tj9x7e_B&z;<t7MA*%gfMK;O-v&lzcT6Wa@_}Y<z+n%nCsw@p4;ss40EI_0 z6O%J2t%Jh!1}g)@ofrTAgZCmp!rp~10i5S@VPOwGj{{V<y!`*a8JgCdK#~fe@a$(| zDusIoT<+_zF)++{`Tu__RIMYQ1kB7bkTy_0wqamk2m$Ttd-ebSbwqeMfno++zQfYx zVYGA!G83AILG|18*Z=>6#*7(UL3y|Y<SlTWvVo0(Ve9Mv|3Mq!7(Dm{0{A$<;wRV` z7`DCs{~xpg4J01L#{o{)57-zO4!-{Xe<jF#S3ZF@CPzMrW@Z;Y1txz`HZX7km0Avk zd<qd@FMuN*q;?dKhQMeD;0yuS`EjuG;y_s)q!@fyG<16ch+hwC(;)FdXV`(nL2DI2 z^f9PBXrnHO4?C|Lv{4tt&u|5i3=H7>&VV?_4z$q~Bm-*8foM=a07S#ivjeqVL426} z*MI-PCk25QyfQE_fHoq7<PD$-Kt&dae*&~17P_hq#5aH{1kGB4_@FuuM1wZ+f@m0q zYGY`Co{I%4@<DP3pc1flHfXjMBo5l>3!)7`JBk??z~_vC_za*~WCjLM6CKP2O~rv2 zoFD>PK7yDqcYxR+{QEz|e~eJA|DgN>(1838<-_9X1C*ZtmH!Fl!@><@CN(kaTyu0Y z%|JVh85kJcpmZ3NPJ_~AP`V9DPlM9Sp!7B<eGE!pgVN8S^fxHY2HLsIz`!5|rPZLc z8I*Q|(qT|K4N8|m={6`m4N5PA(%Yc)F(`cvN<V|r-=H)bxL3r$AO@w?ptKp3c7xJk zP&y4tmqF<^C_N2IFN4zP;Yo-OJI4`S9qb%O*tw0ca~fgiGQ!Sbgq^zxJ7*Dgt|IIl zMcBECuyYb&=OV(+K~w;(PiJ6YfSq#)JJ%3)jv?&aLfAQluyY9)K+hqBojV9SXApj_ zAgF<e<SBP&XDbDb(4^A5l2QdjW6*(}x@M(du2G$liJpOpCR7+n6BZ^YzpyZT1g#WB zkp<;P(D|G-(EJTeW(=S;A=uQ*fhXko89t!J8%Q4$13!ZTxZ#4Z0<xfip8;0B!el(b zWvL{?2c&ZgL2{tJ0waSc1FZZ5iGeVv{Rc81R$ha|Kp3<Fj*&qGel93T41|}1?`y#H zFX+5-Z2rRKU(j?aBZDx5P9(@wRE*7>V_+ph46u6wz+46fhMQpjio?rQnD~3JxEKR^ zxgyI9y7EDSfdLvmF!i85Ea<QX7#~K17QYEHNHD<8HHGai0xf+LV&G?hr9W_=gMooT z8hm~?KLad1gZr5b3=EL-&G{K%=^5OQVqjn}1gnSHhM*O}=XCQk!0z7wkFhW?Fu34Q zAA>_2wEGN{h7cx0SPfwH{0y-C3~t|p_BepVnFJVM^(sU)1H(j+I0HY!0Z`|Gfq?;( zUO{rpq2dgnlNlHo7{FsC3=9l=!QvnlsQ4xh@z*%S|AWQ(8DQlLc#Hs4|ANme=VySG zE3j}D1&gEF3F67&5Vr-3^E1H84{$#aw3G`f4l8$H<_CktK_;T&5++c(LKOq?Kx1Io z($#Vt<{V*SU=U;wWPsf-0&V^<T*jduG-iR#{BL0O{0y-25ZpHf<q2^52e}LtOEZJc z4#vzE8qjn&3pC)vz`y`2h77^tsAhn8koyey8DRATxG%`SzyKQSKr7ln${EVR=I}Ep zfKL8kU|;}`+c7XO;5w&%9aueBD++NKEY8oc04;sqgo?xJ9aua*0gIy;0p>7*&&}s& zfYoE*@g)WZ1|G0DSP2SY$il!N$Rq(TZ()+QIMm}hm%kQj4to9D1vZDD0aovU$4VF& z7^XtSVf7`bd<WSLx=#jMdRPZm4^n}Oci<2|3>N2SfYqbmF$x9-hI3$XR5L)lA2`Hi zSV8xB@H4>9@dcH$AoW^Maaj8SJQl#fz+lP>N{5L03#1l=9l`2BEL5BZ7UySBfF2SG z9$#f(V5otLGeFZ7s2&38oCFo$08Pl?F=hq^h819OkP1}16^Hl>usA;htQ`YOSD(S+ zsAhn8pfP$-eF1A1!PG0Wfx-u*0u_VC@<Hl1K>Y<C`(<EYD8iwBG7j-IVE6DdxI^<V zc+7->fng3<JwF4i9R*8Q%faHPZUOOjfyMdZ?K1F~A_D`%Q8q|?{XnZvPl45gbfV&W zU~zs12YrY*cpRF6f#EAy93qBH{s)WmGr-z$p!N+&o{Jq)PTqjt9|S8WLFb)=!mSbN zUs%6Tf*pG}8?b}ikC}hX!Rq-LVC`N|I|*d36IdL?LdEf5aef8{XneuaTPalh0b04# z4Hic=1H@a1Lwqw1@oQjleg;@O8kVjeLd9Y2Z<zQSsQ6xJ_<+Z~K=n3AoQVgc68jDk z2RRHK2PYSm80zIS#K$KlWyY5zrZXfLl@ynhrlsj6Ga&8-i7&~GPX^r#Qp^w^pOPP+ zo|B)Hm=m8;l3!FDpIBPK0J_K^C$%IsMb7}c3eY71nemWY4^s0=iYgh>Kv!dcZd%B# zWQdPP;(@P#Kv7zfUyzeimJ6OXj5pLvW{8h>3v%>zjd%5P0o_az@9q~G@9F^+^l%Ac zh<Eq#cXITJ_jhv(b`6OSadh%=jc15=iS%>y^>hYbbx@3S$p+{?h>*l22H2G&CKd>$ z0fK1)y3?RIwZs8-Cjsd80nkJxw%ZaiVYi|n-_?M2rwYtIBXb6D05O2?e<+BzOf*kT zGfYm3FD^}rH^M4v2KEH_G6+bhf}#NBE(y#VRnRZkfLU*B1oxvc#9YWd5ZRfaOHgp# zR07j&VhPu6Vv2AT+8r(M%U<wZIRgqa&{Y;_mz{upiR<Eycu)WM;*yliy!g^$=tUP` z^|-HqfjQjN0MDg1@$o5%C5e#RZy2DLS3vIC0LKxQt6)I)d%%n~vOv2V12xHjE|Wov zW!xc$a=Q)YtsPj*GK5Enu{k(Ga9#rgbFZ;6SRF2}!7q7<j}P&6hGsiZWPw8(e31(F zyHLRLIIabXk54JikIzWVOUX%vJJ`ew!88K93GLPr_<b<Y+ize#Ff|0L23rUZBygHR zz1jzU0}U>}!fy0I){7_uj4T=A<CBVup$P*X26!)p!4gFlSTnT=R#C_eMBv33<lTP* z4^m?ba3F!Q7-*?R3a;B?V1a6E0#;8jP_f;%0}kJUc#}kfw6xS@OK?$U2{sO7J!mNi zsPM;n%?|itBA6RY%t57Ya&7@i7J|zfGsLInLGQOgzf%Yj?kFxWgajt!S|OMrrbY~Y zrMW@S3x+%)rhz>TN!rP<E0y9?L4^W5IE~C0Fz&>GyAl+35C@=?=H^(V7?S;9?t$LO zlUfY+c|j%IOhZU~fP)rG2?V_*2xg2iqF^>QWq@4R1G-)b5(%*Dui%!&qulw#pjTX( zTauW>pjTW{1fervtjxTU)S?0gy}bOARK4`PQoVwr{DRb?l1e0@q|(fs6y3}esF0(R zr!J^@0al)oSe(J2mr|KmT$u}@ONto4GG(bn#hLkeD4h5r2EC%xoJ5cYD61f+gh3DN z6}^I-620{N5(d4J)QS=Yy|m1{Oa{H8d~iJJrDi}I78xl;3~(MqE2M=1(E(#a%Si@3 zi1wu7Vg|kB{M_8sJkV+u^u`nEe9(LbXsi)7ZvfiC3Ni&oqmRpj)Pm$;7}VVX%`L$6 zgLa03<X|-V7!h>Z1Ed6uLBq1h`a#<(K@u<;+Pnn`fibK-4(5RNHA3ewVD`htMPW3$ z{pk9`85kJ8{r{g2(+``cfzdGgVe>TT?gyQ80h*(M>4(kZz~~I9g&;qI!Vab%Hjk3Y zzyQ9h7N#FIF9fHd^FT2Fg3N%iL3AMl1Lzo8xcg!ADlj?@q=<n5e2*@O39|=A*D)}F zj$wuCht1o-XwX^)kUn(xgTz3%7d&nY+4KeC!{(7-G;AITJ^Wz)2c4Ay3L@w=OboDj zDHsi##{%gG&0V2~-$KyX6O#X6^LQ{Cl)l04f)XIRpiD3YIv)%arXce{0t(Os1EWFX zY#?zM1}{cq0QK!4BA{_=Wc?eUgHbRV=3a<u=p-4^JR4|T8M1!ZxGaoDpKpQL4>NBY zn*U+rt1ucm%?48rzDpU-VPIeY9b^WwAC?|q<AX5z4zgk-cf*81$9N&@hmE7dXxKfw zF!ku+e+lY-nEet#5S4Hml<*)zU=lq%LGA-hT|%cr;PVQw`*_jS!}u^7bf6h%P8g;i zHjfbjT1bP%zc76;`U^De!SuuC1z`6pgAP#vIUME<m_ArMu7>&_rXMzs+W^hDpz(B& zei(-7htYr0^uy;L5+FKY<4_PDMmL;+89H$bvmZ9U^8u<KW)Dn1D6U~_5Dl8g0EwYZ zgE7GLYl9RaVUSu77nz2|JxCme6+i_mD4&4}R|W<KP(1`1`+&IzR(^oy!(eWQ=>^dS n(EH`2VH!Y!(6E9s!4zm39GiY`X!Qy@%mu6vO2FI=<uU*On!Fr% literal 245872 zcmb<-^>JfjWMqH=W(GS35HBGFBH{p{7(VP^hO!tK92hJZco`fR<QQZb*cccXSQtQJ z5NVit7=42YA`YWDAY2BJX&`+PAO-^i13E1ORR^O%ZUPB`XplY-8yhxog^0pv1_1~k zq>mNE3;+=f3=C-WURQ`iVKlNnuzd?4@(gJ7oJA1xU^KEmP}nqhLp<0JjY>xdLG&d+ zX_!8cEg*dpp!z02^}%QbkOBq<1{e(sPmmiy*aBh=13Ik$v5o;oqw9-+>O-epp!#4m z$PSQD@Y9kM5F6bd7$0U2jE3140M$2V5yT_tv<x4}bOr_(4YC6y68N+v1r#nIHZd3) z?F>Os`*6iW1JnW-4N4~<^YwEwlgv!?b5eA3GV@9+bSo^(bj?ikiu3i1K+@ne53&Q4 zrriBP8JHRv4uFh;=?Aez7#P6m93+2EtGC2O+`>UVv)ics?=HcL&kZFYH6SxUdO&JG z27{sj5;7nj0|SWd&A<T8lMw$mf+av=AjZYibGaZI7e3C!zyM2P$ZFoPV;5(_AwHcM zyZRqE%n#<ku3ia;`LduijqE@u+m02xIiLW+=C9>A%-_j^-JBC_*u_`i@Rtk@^=>%A z2NbukJOK3)n9|3gegO{uUcn*$n-RPF591II#}N)XIKp2JM>tsFFsB=by_0an%MBdj zjyUYC!Vzxg8L)>#2M%-Q;80(K!##O8#NBY1Q;j2h%5cP^KaO;D9*2K_;4nV}hkML% zxPKZBds%U~QyGW7mN??Q1c&*0IK;2u5bwcJo-D=T9#$OUA948WEDrTaIKrO|hdY&U zxHAohz1cX_U&oPd!*Pfo!4bcuIO1h84try8n6n0lIeT!Vw*nmQ6vq*sLO9HSh(rAy z9O`R17#NhmB`g{d?-}A7pORXXnx0u)l3Env>ztFHml~3ol#|L3AD^C^pBG<Tl2}v{ zAI|_*idA7|c4mB8PJViPdTL30K~a8kd~$wXNqlihQE75Xd_hSO16VdWKd-nXz9^#@ ztR}U%I6k!^HMz7THQpdTEwQ*HJ}*DMC^a2KC8nn{fKAOv$%#+P%t?(eODxI+xeBBL z>{N(6$kx)l#G=ah#Jm)UHN}~^1v#n2+mT$9nplz=pORXfnVttWwKzE=HKi2EjDn)f z{G!Z~%J|&UoRZ9foK&zfu&3Z^ONtWniW8GdGC@I>nVy%J1MyTD$O~nOIi;!bC7HRY z@djYMFrR}$E48>dwHPc4R-2rWnw%Y9l$e*E8lRsQpP84ES`nXL08s$)Z*qQKa$-q* zadKi#Vi80bWJhLRYEemMVorQfYF27;2|}WvD8C>v9ppzOH$tRA#ucZQ#Fu0gr6#6$ zro^Y^7sZ$66(#1S<mZBwKtm@fC$YFBH6=c!D6=fJ2o$xcdFdq?U?t#iNJ&jB1_dA} zeh{LWd1d+8sqty0dEkISNTg-vWfo_^A_*c6@<eJ`Dk$YZ!ml8)C^0v+Bo*RGkUNXZ z;!7$EQXxsAAh9GPJ})sB5*x)Oxh3)Wc{!PR5I)#d<%yXkpp+30(wvuC0ZNpKB}fVg zgbl<w$%#2RNr}nXU}u6%DoTzoDFOv`a(-zZG^9a6nVee?UzV5??-v~8UzS>wlL(D9 zgwIfnN~z3C%*_Oug-AM}BmlMt?0$l&3rm&(IV3kV7vvU5T7w$`Pi*mtC6L5em0FY! zwhdiPJS@qBWg%`UDFVlNd~$w4C0IB!FB6pDGOG|V91rp!SQ4x!B{e6t1W6W@9+DG5 zc?6;c6fkhBky2+oC@Cf8#24q}mxEOnXXcjXfRZp$HqA&)EQm+A0jv&eD^?Sea`Kb2 zGxO3xB?(w*QEE<pGCVO5atXMADo!l{r2~Wb;>@a4L$E1e3$dmmEQJLm=OXlBbtu&9 zAPWhoMD`LWg@fJ307^me;IIT`yLfOC2E__U7Rf+pY$oSI(<ms}BxRO>V>jL)z5<~X zQoiORa$#~&S#f+yX>I|wd<eE4R9b*?LTM^2CQ<V;IMkp;3$)yTQbnoIk{{$Atl^WF zpBE4JIV7;aZp}^1$;nT)0JFj7W7Q4O08Uu(DJhT)0#*&mFQG00sVV8HSo3dDW-%!B zfaJkefXac2;>_HX%%XU3LIM^1nQ8Gwskw;-1*s`uMPQR*p$Zl$PR%RMEXk}wDuYT> z3gUAUOOi7(^B{hQI~<x}A@v%;C`~F&^Gq{vhbL)J2!d*4koj<DgI$!7n3s~13M%ZA zQ{#(Lb5awFQ^Ca~w0JK{EzZv=MPyTuNpMGj-GQZ|gA_#!nZ=p8PDPoZU`$Uf2~SB1 zFUkZJ;qmb~nMuje)<kY%W*$R)d~!u%d|GB+Vh$)gAY~Q<h$^UL$jMA9O393e=KK7j z`0Ui8ywn`M;(R2jB#1pIQpupv2r~wEA5SOecq2VS6FAct!8Fn{Wzf$rDACW%P1g_0 zEK5wuH!?6X(KCc{^r5_*%q0Dsyb3cDy?lK=JrF7>D29mWrza=tTIw0=8R#cv=7FSg zKw~4I_7x)oJs=Z?EzEEc7B~y$63~bVXuO1(;Q|K(Y*>w9%0y64hlSx5RBQ{F&&==? z$}g45<OGeje1HyA!N%*LTn2|wkbfB%I-uimFmVQ`I7b3#<c5I(CJy5(pove2gqWj& zCjKA_B5r^tejyqnZh<B~Ar>O;fF{lm0TK5=6E}d02cU^JK*b}_#4kX_6VSvBpzhB= z7l*p108Koh9}*uGXyOl`;tgox1rs3ZJJ7@#CPKs~po<qn{5u0pd;?T`0h+i#DMbAW zH1Pze_y#ob4N&nNXyOcI5OWToi3dQ%PoRk}fQny06aN4ezkw#6&<XMH12l1mE{OOG zH1P*e@egR?4c!p+KhVSzdLZHqiKyw8p%)^~fhPU{DlUK~-p~h8uYe{lAPE}zVqnlf z7ng#F8=#3#fU37Z6Bm$%sCPgUH-MVsfhL{+6%RlYH-MTGfhH~>12I1VO*{cAo`EKQ z04iR9Caxe0F{c7eya6iSfF}L`D&B!6?jQ#-X9Ak|0;u>5G;s!bi24O+;ssFuu0RuK zP=Ki4fF>RQ72km-z5yzJ08Ly#5n|2>H1Ptc_ysia1gJZ2pow3As(*kczQGV;{s%Pi z0tblr4>WOyV2C&aXuyU6Q4T*?0ukpx6Aw595f?xc-@pz@&k|_j3Y-ve1vK#mJP>gW zG;s$uh`0focmY)00$m(xuLGKR0@QpDG;sj|i1`6%;to*p2sH5zQ1Jvb@dbhqb28Ax z8H6F?1!&?9Q1J>haRCvC`UW)d31SfO4m5EF35fUvG;x7ii1-XN@dT*&0yOahQ1KOL z;srGjb9SJKe@KLu+i2n!k|5$I(8LRpA>tR%#2Hc`;t$ZoCqTttpotr#Lezgi6F&eI z|A8hRkOooDkc?VBKY)sJpotfxL(~hPi8Fu(ghBJGXyOx~;tFWu37HUcG|<EivLNCH zXyOZUAmSEi;swxIDF-xhhdhXS4>WOse291en)m~#cm$gGh60HC1T^u6LWp<<nz%y| zM7#i9yc{B4fhI0c0TFLN6Tbiz??4luPzO;z0Zm+?6CyqXP5c2=d;yyHf-Z>q6=>oK z-4O8&XyOVz5b+&o;t!zW2hhY9^g`61Kod{sgNR>16IbYmh~GdHe*hJKfF{0R0z~}_ zH1ULq5b+Oa;tG=>;y=*DA3((!Qc%<Xg2@o|9BASRQy}63XyOV}A>tBf;t!zW3TWaB zra{zepou3;hlm@Xi5tv-h+CkEe}IZRpowpo2~qEXCSEWLA|8MyZZI1n9)TwQ0V<w= zCcXhQvBki^kbx#%Fc)G@0h+kMJcxJ&n)nB(cmtaFhWQZn9cbbO3n1bX(8LWELd0jF ziGP5KFF+ID09{Y90!_SNF~pn=XyOJ-AmTgF#6LjA51@%}SPD^p0!_SN8ASX7nz+Go zi1-aO@efe(2Wa9ORzTFhKoc)m2@(H*CT_3_BK`wS`~y^+Ar&?KZ&(dc&w(ahum&P7 zfF^FR79uWzCjJ2`u7D=KVI4%h2AX)mdWg6Inz+FRh`0rs_y?%C1Dg1TjS%%7XyOH% zAmRaN;s%=`;t^=#AE4q1XyO~TK-6cTiGSD%5idX&-v$w{Koftk9U|U<CVpWDM7#q{ ze8NtM_yjcZfL##r8EE1HyCLEW(8Mo5#aEz-PXJA{F)%P}Kobwx3o&O0nz+C|i1-0C z@e5G#6KLWI2O#P%pouFSgoxij6Mp~|e}E>w;1ERp3pDYB!w~TgXyOV-AmTsJ#2-M# z8PZVG|AM0s^&Dv83CAGf0%+n2$06bpXyOl`;tFWu3r;}PYoLiIoP>xQpo^b^h+CkE zH=KruJD`a#I0F&)Kofs(79t*iCcfYtL_7jbJOQ-efq{V`0ZlyM0z`cVnz+D4h<E{- z_ywqV1)BJTOAz%9XyO5vA>tiq;sRG7;uFxsFF?g-poveo3Q@lRO+4TlM0^FBxWILY z_y#ob2T<`HXyOZQK-3>V6HmAa5kG+@u5b$?egRGV0aW}3n)rg-5cLnx#1rm7#9yF^ zE8K;Me?Sv|02Tj%CcfYvL_I?~YWh#O4-w};6IXZu5f?xce*hJiKoei^5TafIO+4Wd zL|g++T;VZ9+yG7d0aV-qO?<%<h<XP!@r0)kaSt?cg=Y})05tIjQ1J*f@deKz>J!k! z6J9{XGtk5pUP8nR(8M1=#VgRn7rcU~Z$J}IcnuNnKoeJZ0}-EqCjI~_J_AjB!CQ#> z1!&?4?;zqU(8LwqL&P_ri9djf??4k@@ByO!0GhbNPl)&lG;xMs5b+CW;s>DOH_*fz zenZqhKofWP0}+3LF8&uH{sB!q;U7f&2by@me~36k25S1B09yD58s9+^4}h*=7C;jh zV1kVENT7*dfQl=iiBDjLsMkOfzrX?!H$W4gzzPw!KobvOgNQqzi3_kp#68f&FF?fu z(8MQjK-5Q|i3e~(#1qiO1-KyM8EE1cpyCB+;uE+b>MPL119%|f4QS#5yb$pYH1P{i z@d;?+6ZjzNXP}7(@I%BGpot3zK*U#|iC=(<Z$J~DAP7;v15G>tw4jKAf#Cp}xPUN3 z{RuSj3sCV3XyOw@AnI?Ri3f;6#2=uE3y49)U!aL!fQo-W6Q3XsQU3!?JU{{>&X9?k z{u@{!<60bO;sU%7aRD^(3s7+hH1P?15cLXZ;sN{+aSe2F==h!inz(={M7;%?_ywrA z1Dbe&Bt*Rjnz(@!L_7dZ`~y@x0!@5_G(>#@ns|W>L_7mc+&~s0UVtY40V-aBCcXi* z@Rfmqp#e?2KptXF2b#D6bV2?EH1Q8m@fm318x$etEI<=4P=biBKod7mhKO%K6aN4e z-+?B+K?S1z0GfD#Dn$GQnz(@)MEnAp_y?%?4K(o$>Jar0(8LQgAmT63#0@kd;vdk& zKS0HQpowqLf~aT6LQVe#+7NLLG;sqRh`0cn_y?%C1e*8;U5I)GH1PsGh`0utxPd-I z+yG7d1614sO?-m^M7;x=c!42A+yhPAzz8B9fF}L{DjtC*zQGuxJ^@X<zyu<mfhKNX z3K1_r6aN4euRs&uU<Og&fF@pG4iWD_6F0Dch)+Nh{{R)AfhNAe5~6+qns|W~M0^FB zxPdi9d;^;J2dMZCH1Q2K5cLPp#0zX8;wR9=4eTJ|7tq8%K*evMiEprnsDFSaUf=)` ze}N`$09w$_z`*bUP5c8?{0Ex&1}BI)4B2Su-x(s#fhKO?0udKL6aN4emp~KW0NwAT zfF@qx1~EqiP29j8B5r^t{sAg(fhNAe1ESsmO}xMpBJP1EZr}wG4?q+D02Plw6W`zs zQJ;V&Uf=@}&p;D5@P&vMpoxEgidUeCZ}5YtZ$J|-@P~+Zpotp<K*T4YiGP5K&p;F3 z5C~Df08P9g2qL}$P23<DBEA7l`~y^c2b%bX5QzE%XyOH-5b+ae;s#+5@e63;AE4ql z(8M=@7NRpSFg!pLFNlDc^8!uWAQB?}0Zse^RQv~;_=YHmdWIad^dAio=RgxTh=GU; zpoxEgic6r0Z-|AcS3nalh=Yi0potsAL&Ocx#6LjAEzra_BtX<VpoteGLc~4L#0`=l z;sI#lAE4q9XyO}^A?g#*#0yd&;u&b-2B{G70yOatQ1J>h@eOGZ^$lp^1?dp+4m5Ft z42bvyH1Q8m@fm318!{p47odq3WI@DNpotq~L&P_riGP5K??4mZkONVF08P9g7b1QF zP23<4B7Ol)`~y_{2AcSWe2Dr7XyOH+jSmbA3@^~c4GJOZKcI<!fQtV>6W>q-QO}Tz zmi~(&;v8t=1|<-20W|RsP;m(~@eQRA^$KX>1!WL%4K#6sa)`JAn)nB(xCNT{h6;#! z2Q=}5N{F}znz%s~L_7dZ`~y@x0!@5FHAH;^nz%w8L_7mc`~g(F08M;BJw$y4ns`D3 zM7#k_T%i#n-hn3m04hEKO?*KUMEwjj@q}iG_yRO>g%*hT3N-NtQ1K0D;tN_K>UW@t zC$vGt51@%Fv_r&Cpou?#ieEqzUjW)b$H2gFBOa0tL3>bPYaBr%G!Kx(1wjH(`~pc_ z0xAZgJ|Kz1_OOD)e;|q5f&`#g0=muz)Em}@ih-yXNaD!*D>p#bQGnEQgA_vX4J2{U zzFep<!--gkzu2LBY+>RTki;P&29ddeBo5ID5r2Rr4%*iX5oKU_fg}#vD-09=fFuqI zQ<(S<Byo_NVB!pM5ZgdvoNz$~1`Z@~E+laQByk=jaS0@GUL<h^Bys2#Y_O~bk~lwD z1VR`fiG%hqLqr)EERe*J_vbnwi3`INGcYiCAc>12i3cEwiy?_eAc>13i6<b5OCpJ9 zAc;#Mi5DP=OCyO_Ac@1y9so%<Ac=$aDucv8xC2RC4kQ4@6OhE^p<*Cv29mfUNC1i# zAc-UIUt57Bt_)QRqBbCjtAGTccn6ZWDpU+a9Y7LS0|`L!2_$iKs2GU4fF!N~5`f|x zNaC7MF%b0tNn8sg0L3qm#6f$Ep~4Iwki>Oif(#4{Kaj-rki;3F<pU`F>m!MCAc-3w zi3=c!8zPBIAc;eE@<SvQki?B4LSRw@N!$c10wD~L#7!YWVA29f+zc!NAsmpz%^^Zy z(gR7{0xSX{0+7TlAwpm>0!iEoECL}Cki@MaLSQljN!$i30wD^J#O)wLV6p;9+#W0f zAsUdxVP|`QWIB+<9YF$6JON4E2`UDnW*~_>g9M;>0g^cEtO=0R3M6sp(H<aSh7CyK zpgq`NK?Vkf9Z2HtFhS5bFp{_jlK2TEaZe=i3rON#NaCZ+(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVEQA@G@B?w3dN8xD_d)|33q3?8iqN|^p%@Mu250a>v5-*gf$GsAz?DZI=K z{PGSA|5ZVJ@aB=15B~rE|6jF>mzg00G~Dv?0+`<f;)8}vULFARt3Z6v;Kj=gV15yZ z4;mtQxd6=10`WmZBQGa_`AHx?Xh`H`1DGEL;)A+<FAKo@AP^rk1obij%=ZHEK|>-h z1HgPI5Fa!Y^3nm!w*v7&LqRVMz<eVRA2cNLQUT1@0`WmZAuk2Md?gScGz9XJ0nC>I z@j*i$FF*VP`Bw<U2Mu|=d;sQif%u@Iua_6Vd?pYdH1zTE0GR)ahnXP*H01Ge1DO8_ z#0L#Qy<7n1zXI_=Lmw|EfccL=e9(}`%LXw27KjfT>UdcI=3fHwK|^XU6TtjaAU<fw z<7EJte+a|}4RyS90P}Z&_@E(<mj+<|CJ-MqwDD2_%wGlKgN8I-3V`{GKzz_p#!Ch; ze-?-j8p3$_;V;PllR$jXklV`#V15^f4;spNc>&CC0`WmZ7%vZi`Bfl3Xz1eQ1~9(} z#0L#oyj%e0XMy;jp^BFi!2Bc-A2dYqvH{GG0`WmZ6E6$E{2&k?G$ipd0nGOT@j*io zF9X1QClDVr1o6@V%(nvZK|_Zx4ZwUO5Fa!Y@lpZI*8=fDLl7?oz<ebTA2jsvk^#(@ z0`WmZ4lh6a0r_7D#0L#EynF!WbAkAvA%>S1z<eeUA2hV^@&K6si<_At12m-Yas!zE z3B(5tCA?e!=Dz~*K|=^HCxH2nKzz{9!OI3P{}zZ38ZvlU0Onr;@j*icFB8E0Qy@NQ zh~Q-an12Yw2MrCpbO7^rf%u>yftLng{w5F~G!*bs0nA?o;)8}<UkZTvi$HwPkibg@ zFn<<^4;l)1`QbOn|C2y`&=A1O2Vi~|h!5)ezq|nEgVd)%cL6alfXWxcx1OCB<2*Va zc{D%y5D?;Oc);+aN3U(00~5o4(PD09hA(M){PHc}V$`G8)=`p~!K0Ve3Pf4{C=vDO zW;Nr66iuA}AMndJfD|5v(jL9Gf*^&xrd%LO^PflO2akjA>^%<tVD?}<=5g^~iHJux z>t8O20UZAyr18tUFfhRMgWT6(dlq#3XsLFnN3-oME@lP>kItthJRaS)&$yTwUTFUR z|NlkG|NsAwu`ZQhW?+mx%rB3k|7H3A|No)xaSV41a}0G13HIo`e9S3=rMW7AvDD6| zyV{|<+M~PJr#m>L`Pcu>AN(%Intw4mc7Ai=clmDl-G$%pgG=Yf7jJ+6|L>w*?BT+{ zzBt5}@!w0(HY=ar;s}pkXAh5V4v%gJ4v$Xn1dmSVjBe+IPUo0z?;QU12l>}~r&yk- zPx0*h@7Z{~!(W790tE1HbB^%oRXO0(osDoow|C5o=HLJScZ0O2bRN<U_TXP19OB5o zEku;Tm+_Ai|27e&j^GH(6D303!8wc<I-O(q*B|0vf6DSi-CD;kwrIx~$5_WW$N0m? zoE#bWw>dd<wOHKr7h&jday$&N7)l;<a%6<cKf)#-3JS1bkH$Bk{ZbyCmpwcG`Seyf z7``?9whL6L_;mh%q4E3we;dZqV2{oil>m=!=YVeSh%Oe6jusVAGV|ySQ3>$r{QhF% z|NsAOm`k-l$~X+afpo_G{{O#=p~FSR1Eh)rq$(Sviphb0%F)+MhHpDxcr+dXSse}X zP3++bAm>mJf4aY@5r40Vh`+->sS<x4$J+w9K*wb;FnILt18uJMXgp@Y#lT>A$?)V$ zzF+_ULn9JO1$%UUZrFbvR9}|}dh7?4L!i3T@Y{<=-~a#j>2AKj#K7R#&CuD*zzt$J zuyi)FaDxo5*6?UN`~qsSN9Vohmk+-G|Bq%Ks2qcuHwj`M2Q$bRhR)^<aPzi6%uDcS zJUoLN;!?1A6EMvKm4i_8oFL{oz|2eF0T~Q(UkVS%eY-(BCp;Ptd+;C`Y5N^50MOhE zDp#TAKl%pte+A5Z0bZE-BD`SpK`VJZ8V~<KHvjH7H1omfFC;j?qw}+8=l^}6Ea1_3 z|3xdv@x7aOurn}tH1B=@Y7RMewS%Ieq^RY9=N?db4RS{bk7sX<3bWz27s22D|M%$K zeS!&Ow`rgo6NBNU7kc0S|96B%AD?IQ5f)HBdHzBTq`2`g=<r^TUQ-pYVvx1}Kz{1{ z4r&g9vV5Fl{L9ln|Nlp^kqctP<FCl}-E;-px9=O0ePE|C8h(4R1Edsg+d8mPkZlu? zRfAl|1Tnhf>;M0s_yt%aT$vbrdRck?|Azz&w1D{T)A<qEg)4s`g(+Hig4(4Zt4u*2 z>)mX@0S?auP;(L%o-Qp1N<=;Og9epBA?ne5oXPOp3l@l*LFf5;^qMlk-F)Zk|NlOy zAq27?6hbf)N}wTh@XP=I9*u{gMr?Nhg%HRsGruAQ60hg~BM@It`ttw3PcLg8sBQ^A z08R-wJiG%bk)rt*)b0k^;R<qQ@8%sK|8_S&08y<6N__r5fTspgkNrLj3=B{&vlxDR zAqa7A0jNIgHRXr9_a(?-s9xUo{r`W@Juv-nGoc<o@fqxKs6oe^VIE)b1*^wreuhMM zp))wTK~eTP9*gPV^aP5V3>5R@;O09Zn-5A)%#e_^fLb5`vEb)F{OKtYIgg^{e^5If z6l9?C?-MxxdoY5sJws>n0eJpD0?Gdsj0_AOjfYq8B9$+9KOv=6P!S7?Kad@uihLia z6$&zaAE>(oipILnNFfFe-S025KK=ji(cOH5m4Tt#BcQp4k%OVc%A<St16EMZ7Y%n} zVle!+6I77Ai2w5cf9rt~N008^Amtvtrj{VtOAuMcZ~y<lX7}i2RdHfs=;mmC$mr2M z8DvT)SGNO8^8-eY?rt#Gkp&*Z+@8I4pe+6LBiIoFY-o;<U;{hik|V+qw?4xi0aEVK zYq|v_3w1=zSEwWAJ0ctbG6l&IU@n>?K<OX>;s_6PM+86|;R~_`8WN!hM}U-j^qT5} zWTB4u{srm?DI`aLOhIx4n2Y8JP`P{i12`la&>hhMal{D+L`Yot1P=+2a*tlqRUlcY zBZ^>-nCgHC36LpBjsSDf9KmY%?L`>G5j)TwaRA~7Z;&<6knl!00;Jrd*HjlI3w6ZX z&(M&NKyn1g6eLH0xoD1HGyL}A;(KsNd_Z@^4~Qd<*&{;Y*hhFsfRuamnyvuJLLC9h z-{6Ef*&Y!RAXAVW0p_APg5B`j3m=FhG}zG+rU9rX?G<$dSpy9TM}#9l$~}5bl|iyl zM?C%n4GBIZM}SO0as-%*<On{`=40TN==T>#-hl%n0o@%L5O?gfLj=ao5AeVMDfj3# zoeh$Ox+5Cqjy5|)V1P_PatD~}$l}p>*n$((nYj;c-M$p~^#4D&y$x>Q2Do+}ckDdp z(fQe<^RHv)S>N6zwgtir3_hLDT{;iFxD0j$e@hbsC<pI-v7Ce9MLZ}ld-rB+;9z(W z3}Igo0$E_A;?a4}rSp?Z=g$|fKxwb@uxIZc-43vE&wM(6y$JaTDjjuHd^+DFl-j=s zRi4j1I`4s$7=o1awpu)6XFyRk6O^qRk3wuh=!RAK;C@K#%i<5<k`&^<kYHrbb)N9) zUBbRV1n#%*@4+FQ<N@_d`@8@DoA+)273L)p9=&@RL_nT`c}NoCA)ii|LpwkMP*sgb zC7!c0z`f+z`2yNdihcR;!~g#<4!l!@+kGoU;qD8ExG$`E?+(zw5ZFx|qR4J)1%-a+ zVT7B$fCPH?f>kvhWq5(ZO}^-E!tI_N;&AsIe+Le)u;#rdKm$==_eh8%yXPw?c_X_g z1>zpCs>Y)N?{T<i?|ZZ`!tI_L(s1{fL)-(3KY@)L3@?tq0ma`7&>$DsZ5GnVZi_^B z+X;|B?_RK~#-j@FaJWqo-EE-y8Mpf$$iUq<{VgoKK7a<=z;1GoL3Y#8xBvfpAcvO; z#7$sTjYl8giof1>M2FW2S-5-H;qJKs8t4PNM?)6bJ*MdHSppL1-3wOLc=W(a9AWhL zEz$1TAP09(`5RdH8~_b8g54t^hwPrEZ_vU=1mYgBs>Y)WUg2<0F7fV}ArE)Y^Vcx< ztN;ykg5C22#XX|v?r8xD^zH?#YCPKT8i#xCz9Bk%8WiB}35UC90%)KW?4Aem$l=ra z8ZCUjfCPH?f>kvhO?ZRDJ-)=dCqohLp5w1z;Zp$`=mopygaWdAzP>_pPYT36U{#Gr z9p2(_&)(NK!pEiauw&;r&(7~2oqv6Lm!EjX&d|L7#xr&Xh7x&?-o2no0^DkK(fr7G z)2H*FOXsH-4zK_J_v}3GqWSqhsAP2M{N~g7tMlfIjF<oaH~(NPk%MY9Jn3q95+du^ zdE<p7#CMJ!y?bA<aWcI4`SSmN!;|1@5M%&I$uf}l8jpf(bu~QT(fJ;tyYnWje1948 z63ru^!eYXN39#}4%|9!igZ#7OIm|zxN(93{1+TFCXT}SJe?S`1{Nwo&99tm&B&c#S zywJq-&$XAJ9vQgm!r`Bm7x?@G8sH3Y={(wb+OzXJc*t(~gcl$mEqDR)k*sI$K2Q|| z_L1gI#+N>w|2l8ISnv|;AIHwOj+%EJ{~z_~d=9SpT{>UBIPx6gCq<}Mu%E!PuAMJl zl)V6XiNED4BLhS0?NU`&!vn71C;}VY`SOM7Gmrw%=*K<|eolrLrl27D%~-DA`nE*d zaR;dP;|O+*Pv>)(9!7*70YOfN7mQ#%Oyvg6f0>H39e02_o{l>~B$B2xPvI6x2y-&L zI0JI`Z)T7tW{@UOhud){h(yvf9id4<l#}7bbg(8CkR}$8CQ$dz5p+WhsPP4JUJ^o+ zhBzm~izKinR*)uEkS5R&oa0UqiDZ*5LX&|cC&LR}uqHN;CN_{J(7c-CP7sNt>Bkee zpDd&~8D9K&_WytLZ+4I-c916UXwyy*iKOW;LX(3mC&P=w&!D*m9%+To|Nr-FJO)Y# zu7(GEI=_QuTsmK(wcI41{r`_D1aB?j%s&M$L8-0bB`CFl$^%e^365Xn^1uM(04(J} z#8XIG1C<9Ljo`Ef$<d(9&Iw5up#0+@&&lxO<x{B75K5+joD8X^apWJDr}*+uNHAJ? z5bz4*pM+O1|9~o74F4oN1Lq%%@~z_u!apF5X#TN;qzRCJesFOzypY87&#|ZA@&Ke6 zhkr_*kmMhO*C78myoUJ)RAFQIXTnqL{@L*u;UADjH2-8mQXa@ZA2>J}UU)vih@Wpy z!2SVg#^IkOk4f^6z#EW%6yCu61FG;b{Bz(5cK^J1gzyhYBbt9^J_ct$kbf9>IT>D5 zKF08m8pJ;!%{csX<q=8#`2hA0!&{htKn(^A|9p6i-9HjY{sC!3^UujgaQ_4-ax%PF z`3S>5VUNM_1JaDcKP;sA=fYc1{5*jB2h`xe@Q(rx|9Ct^#1BX#nty&ig!?B#nUmqg z&4(ENX?q0r4@ff(|Cl@^DSkG*1Nr9w=$1Bcdk54>!SGMOBkb{0@c`i;kVZ8BXhQsB z3a&sAW!j;KU_XE~;P6Ai157{QXx}V&4|4y8_ptN@>HuQ6f5JoT?%#1A;eL=tH1}sd z0LKTq`<Wo_2Wi0J{tfpr-R~F%nQPexnsEoM8UYOve}5r*7c^YsoYC!F&|t&CTq@@I z{}@E(_nrU$k2Nm<)$p&KJbUXv1CP(|faX4`H4MLjX3bt$+yzaMlsI}e9|Mm#e}8cd zqyREE*4+)d3KKMa2{y!|cQ@$7bC1Tu9iVac&U=uWFz)5&d$3wD4yihv0Cz8FMjqkb zREPyLm>3wkyFph}@^53|@M!+Q!ru-$(9ffn*I0*%!6TWa(Sz~C{|BDEb)d0IeTXj5 z(T&~Rpo0rRe&ufk9d+s1d<;A{^!)`F*ux$y3=E7fL5IY7_SW$letYrxHYkLeLC0)$ zcY_X@0_iO+^K3rG2MMT4w?P2~(#QO=gMop;v-z0l>q5`oItjyXFSbH-qu9(;>hIZn zO!Bq7XY(;Ruo<whXutjczi0C?uGi9@&BxflQZI_(#xuOu@oYXO01=LX3p2eI^=v*S z4H0&Q3$wr`#TpMAuz<TTFk2e${{KG#H1_VXA9P4CByeAFLtKgo*#;X9#!}8>%^z48 z7?@wPLl{gRy}P0Fdp97aM!z&fm<Kvs7;4_`Ti|fRG;ang)I1OaWFBNP_HYAe93M1Y z`tT0ieV{{$q2^^{F^>u4J_k0ac_0SJJm~y`259&dWZp!Cd7wj!q2@_rF%RtD7clcc z43K#nAoCd)VCFd@%mW>23^nicO-%oS-8X?9>OK$yWZnyic@>}$QIPvS-iG@Zbm%eE zylO1wF@yXIn#*Gb#RrH1G7og}ibvyN1<+&{$h?IJ^FW6sL(S91Vjc^~JkTT=*gOye zWFBZ|yGP^U6EO1vLFPfqKX8A@wey%`=UH%<^|w#&as?FzhUWbSpp{M~3Ld??8<ZIs zphe(I7sij^KI^L&J$E2wVCPpC&9~q#kxS=0P`CBvi;cIy#b9Z;N3ZQt4JL+tphaOX zvhToaACNl7&KEB@K-swQFvtR*UREtlCI-iypapLpo%bQOxF9A-p`)csZ@@|y=t7QI zXd#2!KR3Ysc>(v2g$g$R>;Yxf&f_jv{PXZ8#6ND(iU;O=Pf!kPJPb0=r<e7x2FUrK zUKbwcKf8{@`OyA0EIyE;<A4q*Ixc_~et`x8b}Q&Wov#Uvjm}pu{@(&ehHK|nN6oj; z4l2l%pbn}s#P$5Gpo3gn-}1MB4mfT8%gEoJ#mK<m+WL*ZB@s0BhuPPB1zOlM<vOU+ z;%~9VqvpW1|NlFh+449URuq)*c=YbB$mL{s@#^~j{|&o06mT*y^0$Cae{I-ZQ3~dO zjwo%|9Z?PDfR<}E?0yl*4N?X=eDWpe1V>2O29INuelyrzf388?W%U35f0(R_3bdaG zR-tw6|9{8MBQ70_tKvBs9KRhY;BNtSa6Ni=e~9B`c;R*Z|9_W`#q4>U3@|5~U;qFA z<s49b*1OxFfRo{c8rTmIXSaI(2i2Clf0S@Cyx;{(K)ldu3z4`{#mVsE%QcX%!QLqa z1w}?6H^Ynj*Z%)+{>xOJ<k<X=sVD|Z7ai<k4X~d|Y~Z1m%gDe054B1#2V!MQE0}|3 zO$FE*=JHU-=6}pZ{*K_QuTeVnU~3Lu1qEG+KHTImuwhV>lfWD_ljXoBvy=xoHveNO z@^ajP(enqJoDMNr3mUoL004)|y{lM4<>XaRsIc<4>w?l&^FLPpCS?$72WV^nWrP51 zI2YJ({ua;ydyXh-P~+X`3M}3?J?4VOJ1F^i^zPPp%*F5`=qfng?LTpW;(fzME`}HO zSO5Qi2|7sJ0~+soVE01fz5O2|-bKL@kf3U<hDd;Y_~#1Thx{$s|Nj4fSp<;<CzpF* zS*W&o5DBohqhJYeX!E!9fwWEi2X~%Nw~9)`?iXLU7#P7pnel~-;l<=DpipBg&vk76 z$5xc?2%7w4Kp7eVht{3T;7E4ICC_silxOWeal!H|I3Ua*&Ox<N5o{xSxwT{SKlUP1 zN6=y(21n#^6|jw25F14?T>S7dwB!d@5TIc({%fEn`(aQ~=F`hMQyI17>;xHyS^&IU zdkGvWpv(%*9iYG|QG}-95?yGLE3t%?C9M*_|NnpO42#j2%b@rH$7tzgk6uVFb_WZB zqowq?NAGTMlo){pAyLwL`4>3u!MRxSGAQI3`P+{F`v3o>&L8l+9dx)9Tlwt?9s%Ys z0+rtqpoO(1a-O|=GYm1yZ&3OJ4FGq3)_e^f0tSav=gk*sAS-_`^0&4#Ffg>f?Tk@j z;co?<WDYCxz=MLI@Ott3A}GT7TR_)r!(<;Lmxodp!TG|qW9jd|kn*s^*|T?V!Cy{> z7j~Ea|99<JTG1=O;Mu$PMUMc(3vGyyafu*EXhpFg!wZQ^|Np<1MU9!mP;IUqOTkJz z?{vqguz>3q(24!v`USK?7Ry*B*x4pvXP2bll25tt|9|IU$BwzqUpX1R9WLN+0Uey{ z*}Heg7fyy3Z6H5&%+<C8J92@AAj6Adh|r5!V4;Xvf($PbF8==yaTODPJLvpmP%+Hk z1Ui8lS5Z9o0wgp+r{3dIbMidYY29zYPAiG^?A^=ohLhpN`wRd7J9f<V76-fGfS4e| zi#rgZh#s)eiEcrL7bh>koW)jV`x11%h$F-+jvaGB&WAa<&G-NR|F4Ch20C`k1sMX7 zWaDoKox=qxmHC@MC!E1bW~7l)a4?@e5AhG^78K9ky))!_7+##b2ujZ^{OzEl_hA}A z1v_%8nF7{WQiDtW$T?^rC@+8nf&<9-`Jnp$JU9?u{{s6s;g=x8i|Y^}4O1adnwnuE z#PH(Cc~~H@mMOdhwNV^9=7RJ%cFYB-gZPt`za3OOJAx|-NOHnnNjyCV@+T-cVV4($ zIX8Pc*tz^IpmVA{d-pz=#>w!)9O6FXPhcmn_$bKmLIol;Lk;X?4mBZ$7lKeHg9>2& zCRa8F23&<~IM_A(EucFLaH(lN3k|@{eP9=rBzpGl)#w9_Kb->yVD(F|Yd*XXWOy+R zBE%s9c1?x25W|b+bFctpFLQheItAIWV=l-CjvaGBt_B4l*rm;3|NsAgIgOQp!Leg5 z$WX_Qxgdi;3Y!12^S6U;5&#wP{7sMq0U9{REapM(Z9M==5ZL86pMm=4cPqp{CZIy5 z6*T^I7VMwH55WGhcp%8|;uu7zf)nhY7aT$iFE*e3|Nk|gW5-;OI>(N=AUTi|LCFe~ zYK6~(QY{yMJLmvF$L4<={7s;v6&*oK1Q{H6f_g{D>G#SRP=erZ0bNrB3Rqqqh8Gvk zfsEjQC4BHA%AFt*S>tT5Mvy;2GL9JXr%%KDd5a5FFqME3e!(p+h8G{tfc?oG0rpfw zxDdmOdk`UyNnoKJ6NMOFoIV4KH_kGJm!Q+<9XsZN^f-3R1*rr1x%n?Ae>>>H2FK=q zocv9YWCYqW<hT<=B0KlZX^=llY8`igWH9ArV9s^E1aYn-s0_Wx#qh!j;<jLau-i`f z2{F9ThY0Ox1`BC43o*QqfjZZ*`5#xY;!A(fYT=H#AWe=Pb3qD0?gdHmHyMNEc7P%R zGdf%$&a837Azyk58miqVA<hJaD#J-Gh8MF>gF|(;8`w<(ZbA$%Iv_$CrC^~MB|;1@ zN>9T=mAg#gCFrbw$BwxmJ)q*GREPoO%I3e^@WjpyOYES2C#3g@9IDe!fkU;%aR+w! zV<(}`oxBy|Tu@@?*viH5;>{_rb06D+-4<ah#PH%KL}*4DScoG{h~dStQ_#c?QU}Vg z5IGG{D%FC9c=KN#c<IN(-vlZBK>NTPcY;V{=RQ9Pc5aH}4v-9{yg1Cc+Gn^yX%=3Y zE;!B2@WK+}zUVdJ{CQ!uAj1nah!DpOuu#QyL53H?r(hw>3$ILhVU;N_0|SHOP7sOg ztN^gH_*)>ADM%JmP0a~tNEROkJFNs%nZ7v0&G2H;NpMImUJQ1F#9~2)7yS^Sij!cW z7bgT6Ueug~Ig78%^5q7QJ38iqyaFoaZU{mno|hj{nSu-il`kh@l6>Vgj?Mr0ipm^! zfVR;&?gWv@{^&RX4&E?a@;i=0{ZKUt;s-^~-n}0tax=WRcLMB(7rVd?j@Tv0@Z$6d z(7HUwj=3N~P_hJ%E`S?;pvgQ?`tfW$3TiF-^s@FzfSSmlD$S?!J!qm8RH>sj#9nqC zM{bbf9uJW)0X0Z9Ks&j><2x0`&<3dsY(&AO^VN$rr@%uB*v3OHo`8&pIDlOY8TJ7U z=YY}=s3&a<UM}pw$iV;~#qsRCf#%vZ$8e2=Kw8we-G2e>{s(aP8<=2o|C^II-7kp4 z{go%dZGDh=9*u_yxc|*ja^2ry3JU)jpq+Ez@PC2T{W`eaA9x&l`0qRcc0b5G!tU20 z+x-q^AomA=<{iN9Uto$Y{Bus=4F8G8u)F`~aj^SA<`H&(&Jl9M{|DIp9H5<vVE0Fu zVRQeQ<2c=a@hEopTSD9qGS34vNRL1LA12rR8_YrBe*o@&0ds8be{&3{`vq~hzY>%s zdv}A(BkcY+hsbq*h6Tv|6`&nh;P5|z)%`lS-5+=ad-(4JCF$PXAoB>jUx#e>D_DZu zZvfiy1$KXf1-9_dIf^s<CmzP`{-2;E-MbrP9%1+A93(gVZ-CwZ0`7hbOKk36a|EaR zFCN0~eoKh^LFR#WxUu3-{|Cr*{{$;g_%8tMkOPPR2dwUYa~P-l1#!5)5|kx-cZ19$ z?EW|V$#uVnHOT!DpdEN%_ph+R7XCW8-5+=md-(4JCF$PXAoB>jUx#e>GuVLKF96z! z4R(KmH8%I>9Ksp?6Axf_|4&eo?%fSCkFfi5_K_R@JHYNg0e8QI4L0|$If&E!7x!a# zza_-|AoDyL4^QC4pZ@of>;3{;Q2004GB7ZJ!~X(S_rE!S)BS=t++PXGlD)e@<`H)P zn?2;ZU&9XMehbjfPq6ztY_WyE4sQ1c?!zAbJ3&dhcQ?p9!tU20+x-u~?*9OHzk?k% z_vh@#8U7RZVt4;fP?GN54Kk0g`*U`a8~!uwLE*mwv<?Ft{y(s~f6YFe?!UMPyZbF6 z?gyC%s=vN~w!{2$0gvWmY5(sc*ZlzwAonMLPI3Ude}g@?@PD%xr~3tQxW5vVC3|;+ z%p>gnH#^C7KZhg8{Su&)C&2E{aKPq%9o+5@+>Jf_cY>01?{1KJgx#-0w)+o&-G2e@ zeg#Kt?$6nSGyEs+g1A4lVfTz=*xZ&xG8e;(?K`0}MTk!26Hq$u-3_wDqw%o7FT9B= zd<V`*!QG#%a010g2k5X*aD3dr>Yq8gar)=rPKbZd`@@<Lr-RHR?DRR?aXKB`A;#@~ z180!?9YBYYg55vC30r*J*@e^nj5yq%3Cg0qyFum=cK@Ai<huU_*!@4??)PxU=6)I6 z?swdQJ^WXKl6dcKka>jNFGIHb7r21Je*@_7S8(_<xL|XC%ubx)-?$yS`(J`mbMJ1D zd7$n0fAJ>$n62c7e}pT@{TZM`YQgT`fz|zUcHngX!EM;xuL*HK$UMUCpR<Kr_Y1gz z+^+ySwE*n?0#|I|e`h;R_cP*fe<mnP_U;CmN7(&$Hk0fA6JYn>fV*GA4V(LAaJ%1e zEB5eT2};tvyFum=cE1eS?pFu^g}*@ntUcP`kInrt+i-?|;}-1he+f#`y}Lo?ftJI4 z$DjT;ksJOu!0vwmcfUmdHuuljiqriEH)D6dCdB<9^9Z|t&PH<GKOqnl{tE(O;r{`v z`|oVQ>3&8W?#~2e$==-{^9Z~D&IWSb?-2xYe?$<>{VM{og})4L_d9OF9{wvqNxFA8 z$UMUCmm%Bz48b7x3k1X5pAdx2{V|(yhJWKm?CyUFO47Z%LFR$lb5eNwlQHYb4gVcr z_n&~fUm_Tr`{!)J>HdQou)ALq;(m~Mp#HoxUiZ&gN3Qz|LO|i)5CRMT3s~KMXCqGc zGvaW6CMZkx?gp7h*!_3blIwnrP>}mALSgRj2*DQqGPvFExE_1>uLLFO-rXScJQ@!- z@Zb%98M58~0POw`aQ8ceVsn4Y2AtvFxDLDfUxJc!?{1KJgxw#rhTQO<5e5qX6=AUO z|AE#0bJpW@|G~A`-LDC8Kgc}7?w_-oT=xfrgWR7G4s-v8Fl^y}XB|%WGvaW6CMZkx z?gp7h*!_1_k?Ver2$1_FB4F;%2*>7r8Qku7T!TIQSAvps?{1KJ9*u_!`0=NIvfY0G z?EVXI_bWtTbAQZQoZ;WN8oT>nf|7LaZjgC|-5;}(-0-i61ciS`BrN=IV0HhTH8|aW za20m<YeL))GLNwP=d2*t{RUAW_d7(v+&>`_Tln8ujnn;%INYBJ%96diLFN&5|DEOJ zy8i{({XgLD_lUygei_{EcU*}*{8xgKbnkAEc^-|2ZwTN||75#=K{P1*H$=n2pCKBX z`(sw&4FARz*xmmUl%#uigUloB{+MOthJQp1$o&~HF!%4k>i#(^ak~HDa_sKcgt#AM z9%w#W2ygh$SxT<^1!6(&SBQnVzaR!%_}^K9)BTJ%+@A@`lD)e@<`H)Poh9VDpCJq6 zet|5Q`x7#;xnBmi`yH2I5C4^*B;C6kWFF}FN>RMwFGIHbcYxi00`7i^ENt$NS&lRO z8<%2t|4UGk?%fSCkFfh=7LyzP1=*nRZ^(v){{^h>pR)|7`wuR`?tV>(`$6UrcK@73 z<hoxY2jqT>9GLq%vayB#ouxS4&xphQnV>A$yBlO4X#1Q5-t=>4A-V2<0CxWexceP) zu(@9bxBDFzL&D$3qjz_KIuFB(4~sE|(dUAackgbH*&dCDH9p`SOA)~07u@5|FLFWQ z|05R`{vNs5+#j<9XZSZRg18@j{QM;-N%!ssnMc^oF$>5I{||W}_cP?f+#isK&HZy0 z<8=SQh1lJ%32{HjJi_juGoM`d|HucqpQ8Zg{)l{R?!U7Lr~4UkxIYt=C3|;+%p>gn zJM+kOKSLqN{Q`wB_a_u!bH5C3_d70tgg+?#uZRGp{{@)oAC#nfcZ19ZZCU?;KmB9z z3-0vKQ3P_oL=nvW8HL!~AF~i=_&3gnxE~|^gOYUbZjgC|-5fKQ-0&AD2Dx9M80P+h zB5dxTvjC_256;8xeoct`LFN&5|C~AGx?iFM<bI73nENY=vAO@we4OrQ#Nqx-P?qf7 z4Kk0g`|r#q*8LvMZzNngk0Nck-mXvz@`6Dr$P4nIE!QOoFMtoB0<FlEg7}~_M}-Hv z<@!5hnzZxf3%j}Cy<MOMxg{zBKAljR7iDw)|95Qu!&s{4*m<m@*?Sjwi>`r3@9qOT zIT>EK&jIbK+st&B6SNhw;t(gp3zIqj|GyUVXg(qVIwB0R>-w-u2UtzR?i1%Y85n)K zOH>5F8xlRvaWcGMngiNd7jc;rx=ZoKB~FGHZ)ZcYAk-nC<-t;*T-Cd~p#;1<xZ*b~ z3wHac@IclFAX)jcXBP5mX4rX3;Qi5%zylvN{?Dg(zeX7-@GQ!}f#=z~ucH(>@W3Zr zzIt&Nls!5Rcjl<DKm+f;OXoX}&Rd-?Uoe6Ksq+XZ@SuV(>}Es5t=zZsk7L6=i0_0v zd-s8S=h%7t1<&mN|6MwNICiwP@j&)adiL)7!Og|+;?pdM*PlZy0C`=0?*IQ#mw7fG z19=_dtZp9_7T?YfXiK9f&cu?GkjfvI&copS;gJ1>2IU~{JCuXGFYDR6cS0G|`<gGo z2h4T8dhuWmIPHUW52EcaWCQuL^BBnM&%sO2U%1Qy`TQSaX|iwUGneiZ6&A;iRwq%I z7x(TE;bM5f5B1`AupZEIbz6`XjYmP2`}DH1zhY#7oj?X#uKp0sm$zqN@g;bw8%*Hz zuSEsOzaAAZ|IR4K@b8D&xcn;y@*RqQLuO*}?{8VSe+y)}7+%QFghVOAzrG+V8jpf3 z_vvL7e@WQCucs61U$pZW94bK`4yXiq7<3-Pf(odIQP%7KnFaPUw)OgoGa=<OsD6Bq z2-^Py^$sY7`gHyRrO?`0khRwp;LJJWD=c%mbbf^7O%6nH@bbenOs^ot7n=J$szC0K zsDinFMI|=(+u(M8;tYuU(d);PpnTH18)P16d>+4>ZLqi*oY0^IZubXNgWR7`4Rim7 zDs1krnTa#}7f#3Seolz{LFN&5f6Y|PFo!xFOyPEaL=DLO88tBX@2JM+{yj5ry8q!c z?C$pj<>21kAoB>jf6o+h-Jehka(_WB%>4&yu(|)wbe!&2gt{M;{!eg&(*HC_c1BMB zpxoKJ8)UXe<Kc{d_|yMnOuyg`|BO12`zz{T?mtnB&HXmG-JduWd-$IOCF$PXAoB>j z*#?W7!3hUS;C6pOJ;?nH^)UBesKe&|nrS%0f8iAD?&pNKA7mb3_t#9q40EW{!4z)y zS2Td!-vPRP3A{e!Mm;w7@0p6z{SPN&cfThnOZM&tnMc_DdnOX=ek|?7hDMMVCV;l~ zgHBc3{h$Hi1$g`L*A%c1u(l87CSz|OhD`$1e(?5Tbv(R%2tFc3eG<5R$boc3ipV7F z?L&|n_{j_<Dgq@ru%j6&phq+Cw}7GmdOQQT`3OE$;qXLAW<+W!egLJ_Ua&7c8V`T? z56g_$TZ%~&z*QIcyq2<wptdOFl!p%3DG!NYLC7f&4X{%le87T`QyyAC7xaNI!vZHI zFo8Qgbu@t@V+QDad2o7q(TIo)#Q8`$lfkiptv;SO5t5$3<xw*a!wZK=kRU)RkKTfk zd+%<L*`TX8nDCB0CG=yaE!^&(&<t|_f@WBL{?LTY{c9%SbpOQ(5ci|k$CeN`gUloB z<~4noZUzTDl)&x&87(09uK?|X1&9BSW^C?%GZClz1#!5)5|l-IcZ19$?EW{s<hp-B zE6DvDT4CYO(2C9dI=I~**pEH@cS14($UMUC*CE^eE80Nr-_ZthKSvuj_vcK&8U7Rd zAnpgHe+xNK`tQd~|DYt@yBlOSXzdz1{`B9285g+I&xUr8`wxIlQ~{?Sfp%=}U(=7% z{TF*7?#D>~5I2L&Bkbli-I#8MCVeo4+x<H_K<+;QI+_sdeu)lj?tjyV)BS=t++PXG zlD)e@<`H)Pn=W$Qf1nfO{tKP3^rO&;&HXyK-5=P4J^Xiql63EGka>jNuS2%`PjrFY zf1?ZLevK|{?$7DP8U7QyA?^pI{|^&E>Awdv{ezNp?{1LUpt%z+{OP|FGcItap9|d} z_dn={x!<50oBP-F;B^1RE{OXv(m%w_AoB>jc})kVo52YmO5k??jUJHuU-ZD-Z_$Iz z{cpN)x?d27`zt|NvUfMgJi_jO(@w7YAM}FU{{eJ55;*@l^kQ?r4sQ1cc480zouDM$ zyBlO4VfX70?|!uLwikUM_x}K$$5SHj*}Ko958-~smym(27r7v};23Y4*a2^h!p7Uq zwL{yX(DAkvM?s^Bpz$`1qnr$&@wPebu<^F-x46M)mU;H>)40XW@S>?5k$XHkZ-GWL z)^~!(+dwY!Y&`aYmjiOq%`5EVZHjGJq7o(j9qBv^9&Y^W)4TseKgjzGpc_2F=XnM6 zL%k0=J>j88=e^EXFY-Ydvh%P@CusOg^Nr*GBR-w~!NZL%oey731bG%R2n7**alQ@Y z{eO)7t)NofxAUK4N9*ks;I=rAXYbyC6`Tw&W`oo;>;;F6XXpJ=kOU}jUNk`@EKY%f z3?#baBqzg*oHj_PK!&VbJ0HB5)d32Wy&(5`HXa293iu!iNSM4p8=d2A#S$jatO=%Y zhR=@)pzz_C2+E$I^UfkBV1|!BDD&eCpF)s_P{L<@D`xmi-UtsLj*XlQFRDRm8uo&N z0Tw>skVt|^fQPQZp#~nc_Gv{ApQ?6n_<-C?IDFnV6CFNS`g07EKw%^>2^2=4@&1H~ z&@gh*d<pN*X@T6+c^qqh&JW}#$dEP4cz;_9D4^i|IWM&F{_qxXe~uYxyx*k-dw&k3 z2K#uwPz$J!1sM?sop1>n5r6Tw85*3BDG5*})B<Iz-rXR-fi6(xg;inLdvSA`aE|w* zjVEqc01CVVpd*;U<)^>`MBpL%UvFA*m;Wu0^3x4G?2T}6B`D1|9tN4`)5{us88)Vi z=R8-jCS1t?C4ZotubZ(D<o=3<pvVV}=bpgoejVKI4{V0GAHDwF*#hnegUlnmUO}f3 zm&?J~8~Hp#NcoRAA9xYS{RWFb?gu5_hJ}dmhbP|L7I5$*&9^{1x1BFvOl$&`|By)s zNa(*f*9c0t{}@Z-V6zS#&D)^mJm}m`P&q%V5hIBm2j#-v-5?iuG#*Y6hb1wW&WD(z z)>aMpe2hE37Tf{(cf%c!e?jrZa0lUEM0%@f274G=e}7>k_W0t2xE^F4D86(MuE#Rw zUQ>_H^(gaWX!#}LF39~EcVX_|fz|zcnsB=RVFPyedx8>w?{1KJp!rcbyzbvqN3Qz? z?t$E|a1ZAGg1gwl|4$=M_bcLXe<vsl^zH_kN7(&;YRPr~39$Qbz}>HL51adKaJxUT z9((wo1SRO+-5~P_yWfUv_cz=Jh5v;6u<(C?)%`UMIKzKo9d`F~Lfj8B&!h2hg(BYc zQ&U53_**;xx!(hH)IYesm~kIl`0uI5>Hdec*xm05%96diLFN&5|DI}c-TwjXeujsz z@DF%^&HaDsaJpX+hx<E0S+aLG$UMUC|5HV-`&T>!h5rt?`#BzBbH5F4_b1k15C4;( zB;C6kWFBGn+mP*kiN_%KYdnU9f5jtg?ysrE8U72avAdrW;(m~Mp!ow8{OP}v-0;5u zcK-vo`wbprbN`+iobG>E1#y39!|seL0?<_+FD?r(ykM__RLRh~9n|VG0p-))-5^T{ zdun+FPEX;kk2{`#;$y}WSbV&|>Yq2&IQ=6C^$&XcsS=b$dv}A(Bkc4y<v5)VZb0F7 zzr$0I`vacB+`r%nw)oJ&?f$?@NPM8Xe<vu3_wELn2WoJu;Z09EWV`<d*!>*OVD682 zip~8wRXD?cVg+{h{{$uR-rXSc2)jS0jNI_w@C+3G2jK1(c!tgWYbtTN|6)0I_gg~T z4>FIi``46`>;8=AAoo{1hlT$MtnPnPfz$nhINV<e%8k9dLFN&5|C<tW-OunE<bHwI zF!v|C!WRBIxZNLEhCTduf|7LaZjgDP{UVz9(?8kn4|or9f5Lm1`!~G9=Kh>=oZ&yQ z6ubL>f|7LaZjgC|-JerTZunb#2f5$lJIwtvzF~9!nlha3zgU9Z{gx2-gUloB{xwD9 zx_`wFko$N1fVrRJ2R8S=DaGl2K^*R{1ZBzI-5~P_yZ=ogx$aN+337kIPni1;V0FI^ zZubWkV-NqGpd{V98)P2g{-h4s?w9xla=*qenENY!VhjJA5}e^bu?V~Se}a;9?{1KJ zgx#N0KyLV70K5MI-2Dc>u(^LtF;4eiEX3}9ONjeH<`H)PntXEI-|-t1{xg2V!v6(U z_rEE^>3%^R?ym%8$==-{^FZef=;19t-{g_&euqCG_XqrexqrcLY~ini+x>wB*u#G( zC`tG32AN0L{W@g3{|DIp9DiZ%kNAVl{W*m=!+&BvcK81TCF$PXAoD=$>Gbi2e@-sB z;lJT8DEtq=-7oMLoBP)k;B^1RJnZhbgt#AM9%1*d$syPM8UH};ulNTG{}Wi<|0W-& z`vq~hzY>%sdv}A(BkcY++2p!k;Xlaz2B2$<z~d1O|FDI>4sQ1c=3)>3ouDM$yBlO4 zXgtUWZ~D<8+x<7d?tcMyzr}xS?$61?8U7P<u)F^!C`tG32AN0L{W)3WhW`WxMuz77 z3m6!o=VyMv>i#vkINg6S8@u~0A?^p6N7(&qGRbwn2P4S+5sWbRuV7%razEdj9GvbK z#Nqx*P?qf74Kk0g``=`c>wX3%koyIgVD3*~#O8h--0ly|!XExRK}ouIH^@BDc#tXn z^iQ_?cYxi00`7hZCT#A{$;KJ}6Em^9|0gI(_wELnN7(&2>Ewog0W&E48<=6?e*vre z*JR;z|HTaK?ze=vA7mb3_peDK*ZmqSAop9az}(-#j4k}%Wa4zcAP)Ceg0f`qZjgC| z-Tx+)T=zc!yZ-~+{SGYH+^>V%{ekJ&!+$3zN%!ssnFkubvcRAI$#(w?R#5n_V1<SM z53KIb$-o)@6VtG}|0gI(_wELnN7(&2DddKK02|2t32ZR;Z(zk1{%g{4y8mJ-cK2IC z+z&F3u>02}lk0vCc98oe*kSI^V8iDAH)%NCFNnkam7pxyyBlO4VfVjDBG>%~!0x{Q zcfSHVHuvk`c7I?B_VC{cO47Z%LFRch9*(fapZ>{qe+369{5wFmCWG5=H?X=tClzP- zPfW({{-2;E-MbrP9%1+AB$6Bc2Am-GJ8;6%&jb!^;lCyYr~5A^VRyeJ#Qh-i2)lnx z0=e#g0e1fnxcfagvAO?EGEVmk;&6W@C`<P42AN0L{cqw)aQ_kLe$9VAz3Ufnfr5Vn z7by7UJbU*sa6z39UOxt2lJ!C#=J<!2FaIC(>3k2~XW8ka!tx>{5fb<rt#3<fVfQ@3 z_gKDo8V|mrC>bX68gXCH!xs|qpoJGlT|3tO0^b06w4lVmvv(i(21uI(&<&95%D^{3 z?gQTdshI#>8icg>@33nJL`~=2=D&>PMXs$+N^()}ZbUdH0^%57T=E@pko%J6Il=CB zTnf5xy?5UZ(A|!!K(6hW_Y8cI<38|3j??2o7q>C-w@(Az@6`N<iN6W73>5W(Muh!0 z;=qBCgG-(RW`7s-0!M35e1I-+)PvX^2EMIvANaOLX|UbQWy&u>D>oe>4r~6)#NSp7 zx}K5Uv11-cdGlZ9@_fhUKg>m$sQ2$8oaG2{mM1Rx!dTE{QElfQ!xp&hb9l_j@M2cn z|No8+`yNyaf~Md+d-o+&3o^WDi30@*OL?(l^B<O?T-0kC5q6%91qX#MF8RMP(5O&? zT;s^!0*#6ppsO6^K~{InQv=`IxDR}9BM;bKR(Mpf!lD9nNfqq!UWEO=5c_jbFYZOi z*TU@2g51kk0*VUoos5gYp)qe7_yWd#;0qWh#De_HR;K(Cw93#C;;`nwtVmG-Qr`TR z4IC_NpkM)AhzYwT7h&zT7+A1imwy%w4VF!i%NgOp0=}S;1L8C`@NJCyz_&4ejR850 z9Ud&~uwX&HED>S98N~h^)H`(%@@X*pe?c!(1O-b0=rYBg7*HaaR|dX0aUb~R#7eN; z9Ee~6ISh2OBO--@lsEt700#>PC|Hp1HbhuEKN=P+*yYbhL4)NN<nBXwut4rVj0QW+ z4Sd<*KJaCS_o6|;!U+!+PFS!YUsi~)UmjwA4(erw2zh^){Z7!!3_-!N19X{TDa7t= z;F}Bgfp0EM0^7}n2o{jTKsPHQf(4|!`7akZShzsJf_!-)!rG1~Sg>H1-w_E7mM+NU zh45ejUtoAE3LGqI;M)rKfp0539t8>(Zg{Y8!-56*wn2pb91#0+P;Vnd$Xmedp9H;) z5ELvNpxX%JAa+j!UoW^1eD$C=*lr#~uz(x}x*8D?EFk60e|f;c!UGBx<XZ(1))q#> zf(5($!U$-vXu)nBgqAJfTL%wEf`f$(e8u2C@D+m_B0<5z3lA1vSg`Pdihbzyf(ZLR zMu6?laomAjUJ+)05%g+7P_Tfn7IcT$T?W2Ka3A;{K@+gud}Ycn!F5c>Jdneh|MDW0 zEg<F1fBDKQ9Gm~}6&0c028eK06vSCUxa7OSp}|s(xGiuW__n|e5#S(s2EHwDANaPw zxe<`t0_TAQLF-l_O#+v07ZsKl2ckeLm%%Lw&&FdN9N>m8=-!Qon1@T4ghSTZ;$EM? zApmNiNC<!$D4_L@8T`-&3d(r{Js>+fk7HZ!xG@6KL;>w@De&iEc##l^u}p+F3cOYo zWHxB8jx5;8xb6yR2*Ye^;dZ}(Ajtg+f-v_N2w-#nnMj=N!;j$*_oJ_`h>Qfg8Dt(| zH=hZ`bTfEi2$aC>ehDFv`!$4M?ynHU=6)XB?l;8Y{+SVA_k+wM?0z1y-LD`Fa=(Ev z%>4~Q*xc_EfiwII!?1_{&2X^$LFN&5zfTC!;SX8g4Bp@YK2Jsj<bDegko!UVTRMah z?q`JUZ|McO1;_rDjiI1s_7PAnh3#*77Xn(}{D-j=y1xaodLFdD1+;n|w7+Ff2yA~# zJKA|Ni$V~&2fDxId>A;xgIwm>c<h5b{{1bE!B~O<k^T_-6Tm_6-=}xGfhZ^l97Lf( zuz!LGmLTW?<w}$w0Bzxh9I3Mo<X^P?2_Bs>DjXi2w_ZF9h6aYdW9Ko)j`j|ShYdV? z_k$16*%%D!ifnfl<zn#c-48x+W?nF~D}r=H&|%jOuo}aY9^Ex60-)1&x*;}z)}gHl z{r}&y@i@rkKE15d7Be!y4y5@H*`fs6_kw!N(MyjYNZAO=qKNPbfTR!j`gaR4P~doo zfdj{*clQiY<iLSkdHNz3WIcHE2JE~c=o&fD`gf53A^TgPf-lYmfr12e{rfNIAvB;< z{XmD%%nE{}15hYKPi;94O5_k1fHum@BT7+h>)!*hBm<CF!5HCRM0$XEdAox+$jbrZ zFfT6<!{X(t5O9vhx?g1;$bV?*!Kd@ri)(=(fB#`Dbp)SJbpg`larWrl4es(R4+QU5 z*`&q=I-g2Ijf>&M6o}A-F9IMThA#pPFB${?|9>ru8ke9Wt3cXZIyQrpHtYs>n-C{g zf%{G#ffylL3d$?JyFngA2~q5uR-XIA6AU8!0?^jqdq{u+FG2zoc%b@pg*YPc5c>yg zg2ADOtv*c*gp`M%`jkPGhv9_)G$lY&4=B0s4FZ>qAhSIh4?j>sqy#K`2@L!(Qvz=H z2S|e4pCAcy{{{(c?ym{L>HdWQ5ci|kr<@QsgUloB<{CdtH-i%{l)&x&2q}>JGo)bd z-yw<3{d)p&y8od+cK3UNGF0zwka>jNzsHwc_a{h$++QFKbN>M;Z0`RPfYbeoINaYE z08TR?^9Z~Dj}Ni#$5MV|$bh_1Ap`OPXv@$EX@nQxTZXJ4KEPUj#QDLiRFwU0(|l3N zkMn5dN4hV#{Md|CeuO}T`jN^HM_=sa2S}Ss$7YaH?BxfCFGl%c3d&lIhe00n>1EZQ z3#)eEd&ocq32IUG@}l?u|H%8T(8`YjSy13L$bte7RDN8LK?EM6{P^RCJN|tk=@(Rf zOi&PJcyR&hZlv;~7L>hucZ1CKXgnOCfw%nl;DwnMaOb}YIgtB1<Y4Z<A&brZHn`oN z=mT*-diik@l%;xigUloBW*aPSh87)Q3b*?k<U#JAAP;l@137H&ukpnh{tLaayPp%{ zevo;D-CyI08RlSzLkZmO?@$1_e})3g{V(LPxqpujPWM0b!tQ=gP?6BP8)P0~_wVr_ z*ZmU|LGE9m2y_1j1#IsB<Bik(ia6Zg=?yObK<0tYH`m6S=Kr{p>;4%^Aos6Og1P^P zA~yHi;C6qaC-(3^2};tvyFum=cE1hT?q8q`a{mTpnEM%&vAMs-3upK*^uX?ZPKf(K z<`H&(jT^b)zd{A%{v9eX_j9OVbN?PsobG?<j@|v9pe)(D8)P0~_wR8f*Zmy&Aook? z!`z>tht2(eJaD>S5$b+W`QPCND*xRf^%ipZ56YgsyFq4y`qqYc^TG!gOuyhRe*_Fb z?pH8?xxYXkoBM5WyFbwld-$IOCF$PXAoB>j*#?W7p+zT{!tH(uLy-G53}NoCFu>;i z8h4!Gzt9!C`#B-*2bo9M{WZ>*VGedUl)&wN1tXCA4UAy!Z!pB>{ylCu-T%-9yZb#s zS+aLG$UMUC-{VBC`!$R~?zb?8xxd2*oBRK`;&i_v4)=G0vSjaWka?iKo-y7u|HqMB z_Zygi-0xrlbN>WmZ0@(g?fyh(?BRbBl%#uigUloBejBpgZ(#~@zlSNz{WDCkxxdB* zXZSC4!tQ=fi2Fh25q5u#1G(YvU<Pu3fEmpF3rw-Oe~&Xx_dj&R?tV{Dmh9aPGLNwP z_t=x`eh+hy`y<R@?q6Ys&HaCzaJpX+>V8o9Z}CQm;l%|<%<><UJ$rY9%m(!Z&G6=h z4|bS-!Cn3YSb*H0U;%Ug26Jrgx54fHL<j8Qe-f0Wdv}A(BkX1yEN+GtonQ*L`y(tt z?$5A<xqpWRHuu*!;tc<V_SoIe32{HjJi_j;vBeB?u*0DQZucixf!tqU1#|xaOKk4n z<ABrs5ACqK-xHK2dv}A(BkcY?HsrcL!y4rN3Tv4APgr4d{~vpt?pMU&{!UPq?A;AA z&!h411xvhX{*N`e?k}(bxxc{%=Kc%T*xYY}+x>~Q*u(!MC`tG32AN0L{WfH~zrq&e z{tjE1`)}A_bAOE;&hTGogWdg{5ch-3BkcYfD{{lX!4Bm933f2|Kd{B-{ynxh-T%-U zyZb#sS+aLG$UMUC-(yLx`yCuW?hkN)xqpE@HuwLr!RdZQ9PaM~Wy#*%AoDyL4@-Q8 z9kYw|%=bSQ<htL(5#;^|N0|FpIAC+X4Q}@*T44|Wlb|HsyBlO4sQ!f?6O7gUHe|bh zfg8yE8{A;-XK=&j{u*nX;lI!lyZbpI?gyC%DnCW=mOnM-_`^S-+pol@+byH>)WKi! z2VY4up6a}Q@IULp=gc0*SyUJp7#Li;c`O<qJz!v9(7fo<dFt}3P7xKy?ivf0&L5Xw zxNx3te#F=*qH_6t^8?0C7ZsMyHWx+)hUVvto%=vZ&!e|a!=v$-1|tK5XXgXMONJ+3 z+%)_DKjJX7{-J^We_;0iU}Ru`+W!E_{zzo|gFQMw?*pwp^XR<)f*%xEy}NHPFfc^F zykrTQr13c37QqAx29QzvW-x&6IXLFP#J~XZ%FBD^AT?00fT>`Q<~IT!ou9k?N<2`5 z_1eLItOuXLgSFen02Zp3-*?)mbh{X^Tz&xxHyagbP<nJv2Ax*s(OUzW0Dbo20Vpl@ zZWdq$*}jtz<d)9kFS^YB|L=BD;pl7zNp!oY@N_ndFoT?1tpPgE5$aZt&U-K7K?XD* z5r~F`{)7o&|AQ8>f&Fg=9@zrLTlC9I79hX*bhm+m!?By8v+V#g1A}9?150Py5wQ8N z;8+26km1Rf|4l$zz~KNR5aD6t)9q$}l+2*v;n>Y$;MiSazyb>mkIOGSF28Gjz}WnZ z(c|DV1rN?+9-SpB93H)!J;2sZKVZYb01lKFa%TVkw;U)j1o<BnXw4PO3=E(k0CQ@< z%E6(K0CgfL6f{A;goOgw|DZ*7VE>zf{SR8A?a^z>+rr3Tc<IF}6R;pCP&|4!|6l<J zK1j!l2OxubcXP0UlFMR9Qt0kG0!nq=Z95nl7?6Am%~UW?c8geayI8PXes%dp^CL!( zYo}?jF)(zt>9B!fZeIn+kj7&IY#`eVPrfWQg@$Z*p9vEKgGYCp1`~<q-vFC`2V(vU zi1`O#=8GcCUji|I2C3$Eu!G#+!wz;oD9?B_9xGsnx_>JyWpwv}(`I)Y2Q!K8x8MMo zZ^HpL{{+a9jmH!?pyr1n%x?k3dUqQrC6E;UFTm!%ftYUrGNkd?1(^9?jp5<{1tito z_JUOR&)@{Pe-0<u{h%!4(Ri$Z6YBn{2=h}|!0{WwLSp!MaDmMC;R2g40WzfVm;o2m zd{cz^S3pwTZ6`=I{|DIoKM?afK!!9PdjK>4su47NsG8pmK(X9A-N6Bt-}Q{p@;fM< z!Sj0zSUEVq!%ApSez!CNSAmdH51ijYt5ZSwUEUC!wJ(55(q2>925^4=WdIh06at$W zzy-%nW(Ed^7au?d_3j20v(Ya%LqfE>uLqQsy4xB^&F==F2xv0_1!OOzs%$(4iZ*b? z(rO3|&+a}@b>`9C_JLIMAArq&0x^FB$dQf5K#>kMUln0~4k*;R+Y(64?-N)->1_%t zIK3%=3~4-8!3s@pM-AZazXOu$Zo5FL`3~Un$^}whO#m6vcnnlVg594AGau1^^=N#P z0j}l0d365X2U;NP)A{{{jsE}tp3VC}?qlF@kp`7copV$gKnp^TwN-#>cGqsd63_0k z442Lm2Y<*Pd?D?^c%t(-tPSL%;sL7tyG87pA3Si>Jm}JS^75<B5ETzlomAk_S)&r+ z((Pi$;&}N*=LJwX?xN!1(s=;F@^S3$TLapf(7g{-k;5!tJmJ`R$noGWR>y;nm_3fW zs3?G}aOyS@Z+`GV^Wf!ooh2#?AfpqUx?RLsI(<|!F2Csf*!+;O(?><2^B{zw;@Z6q zbeNTA_c~Aw?b7-3;7|F3FQr`=UpjW4aXk2k)$!mHu<;TQ<7-qInjb!J)I96b`SSAn z&Kebo&Y#T>89h4JsDO?ScIwVi1=%G5l78Fy5@L&tYxg|R{JTr%O_=dF9Xrp14LWUj z-SOamR>y<SnLWGbffjy(JR<<HO~l%z^Cmc`1Pre?KVtOgoTCCdd&Q|c#+s!wL`A@b z^C(EgYtFmPj~P2-R74EFa~=h?e`-`Xnx8U)=JQL~I6>~@02vF31{W2M<_C<NFG@L% zyQp}8nm|6CTU0=eQ;-^PIDP|3fR=Velz<e0<}VpMdfiz(I$Km;fFh&U3C#WgX6LA| zc=pD#fEL6mxOA7OsJONsC=qvU{Z=aE*!+OerPD=)!?F1p<I97f9CX}81ss?j-7YEx z-6rgy)BuVt36Jg`u;JY-j4qu$DrXoN7(jCHSd)OonheOD;2`qo4pB+)>71ehTH)i^ zZ6nkTif5321wdg1ic^p7IVvD$cFPD~ehrSSP9GJ4&YO^^5dj$mD#{pqx_iJ;=+XJg zxm$+^5`j6OM&lF}P_#I8i*Q|j1&dOS&WjKOct8edfTGK%dkQ#m+`D<iT{>?%UViD) z>7$}y_!Z<YkV~ApO$1#G&pI|gWCY7_9s<SPJI+td&lo#PRCEmga^8YOngOUk5TasI z!p3>EGe^Y$WCu9RS}&CfcDtw;G(TVjM-0f@3?Nx>Xnb=~kzjP`h6Eir_CRg|sR0E7 z$O#_ZYrviXYX<p>q1#7ApxZ@7r1P8$=P^(dvGb4%=cmpP6`m4l&TAk6$L|+hIgdFi zUi*HL^Ms?~F_+E~6&_H1@%=)piwXyS3#hLGazg+p6hJXj(9I$ai8&XQ0FduNNv2yw z5R#z-KvJ(DJ`4fr16?P_0MeJ>*v$fo!55t_Dit2xTOctfA_mb}aT%(u2BggaV%-#Q ztT}eGh=8IDq|u=}M3e!d!vUtl1*F3QrUR6R9Knvfc^Ra`qFY25qQL^D!N#K(<oHe& z6;LbLz@yhk#lol8Ma99V*GHvd9}5Em!!8hEc;LlZo&W!vYg9}ae7YgosFW8RM?d)a z+dwN9JV4D@(2)lW9-S^K8lboUm7Siw`@l6yZ;6V=%l*)t0nU9eb3D7JfojBVu5Krm z&ST%NrL}%6;Rm@(0cOT)$<_lfc8M@ZN(EFDg7Wlnu#Me5Dhi+++4%wDKcDXxJCA?A zu6UjkS`>PK0tTG69KIcAEMW(kA@N$U^#H^;8BlITF;1e}MMb9dWQlO=fl_`*9?)oh z!1(ewr~z=?MFpG^yNg)5tvJ6MUTXaR|33pmsZZ-SkQB(E5}V^LDxd|v47)(w*M^;k zzTav+!0&ni<PvaB^6cIQ@;4~pHNai87q_(j|9^S--~a#JAu5P0+j;H#HRTc&0f%qL zz%CC_;dm|BdI{w65EY)|E-Ik*2g7mDX#ot~IVv38Au2qb?>NtOmZ)fSUgtdCS)-y; zBF6a-#0MpA#UJ0Va=uc$(CMP0)9s?715V%?C3&8ZmPt1U#JdLF4lIz+G(n0(1H(%% zm^J?Y_vm)k@Mt|yBH+>O-~eK<A9s)d)sc>nx{}eOmv!$C(11l7s6zE<JOZk55jXrD z_U&B<>U8^b=BOn2_U;3<VfX(?;b7Rcf`Ng-r}N*7x9b1@g9>6$g@YpF(^;cZ@Zy|0 z$nYbe&;+L@xa&dAe#qbafFE1~x~Ql)f@^J*aSLdN5~LXtktjXv8c<mQ>tffa2tYzX z1YSe8foo{QC<u~i;4&7qzh0xlf#|S<DlG8e2aiW@j*0{*zj1)_o4`I$*zE!lh6g-4 z-@l001h2mabsa#}dxl4EiHd^ZB}nUXk_K2(0n}gvH7g7+fzyvi=erl>8vp-8M`JvC zMJ?GG7(m8rxPq37zxU~U=F|D{#b!|a9d}VN02LIT-P3k}x+%RiDh7t%3{OIKQocB^ z@&CV1=kpitYXASEg#L?AHBh`91%(~BD1;_spWYOe0B~8c6I5V;^m1$b|KFUV62RY_ zq7uT7KN`Tr9D2YxAP1ZSBpO_Zi3V^Hh;Et%vS}6&(`<ZtYg8&g(Eus-kfXt;^Z$z* z>i_>kL*1u4M<oNi*Y(*8L5MIYXG1EW&VMids=-6vr?*8Vfsug$6zbh6psM@1N9Q|_ zUeT4TptSw*g&`<yA8&!=Tu|cz772U6LP+u84pQ0q{>6S3<aqGtE>S6XaaskG1&(_h zZ&3mDOCYfc?p<_yFm^kzHbb&O4_LOdMdb>pDT^mFw5S{bnZdxofEsjrz~YF|gYZEC z*rIX*Y$mkniZf%hsO-UEGDsZRWDp->@&Qmu+q*_30#s-8_JB<Q1uV#o$k7DKEry^p z*}DboQCM_!zJHOW3XVNcG=X9-!l&~+D31iIqQq1HG^RSA`*i;E=w)RAXRAY?oYJZK z|34^r!IcbZJb{Fe;%UArD8KxFA+C%WPs+;hc-jID256@7=-s0N650jY)&|ZuFRrQl z|KGd>EXv=!L}dp90|VZy)1m_EHh_#pk2H`ta?pbKkf7Z_WTY(t8H*)3fW(na2Js;# zg9>kt-ZkL%wnuM|3P=VNX&}4NBdtaS96O-?vQKvkC~rOY=-mSL`HKz8U^#HiK_YFQ zGD@U@#@N8wD+iRlKwIKGdRe_dUB6Cn%$-+;#2jce8RQFiF}FqqB!q}LQ1W@C42irb zMNog<Ma96QlSKtoGI$`jfI$8L#kx=De~-?WKD|BQy!v9R^8f#i-F=Y$6?g;`X)Fm| zHMFSA0XYLpV1mSv0~5rD1SY7OaqR8`^{G6&+d%DD>?TjaVKPV@*<=tOVlsGex_6BV zXvQ2AO&}SN_ds@|N7Gd$a8!V!37kb<2t!0bkpnLMKzZuFB1-gtmSTaTr}I6iEe@)} zAq5~PeSk8Sff6Koz+Emx#`5XiqXH5_L=UJCb_OZ#eE(v%Jh<8eH75-(`E>q!S@az` zbO0Vg29MW(w#|aZYx3nmQMntGOgwr`bMwICHC_-w@Q7*eX3)T<;iaAYpz#_9aLn&+ zU;>TLGAn_NMHw#udBL;un@e{eXc)$)yG?@y+;4;RbWb{Vo_0L=o7M5)V`gxV_oQ>T zjf8V|hy)9$Bk=z6E63)aa&@MTz0Mqt-6jk>L5&s{&6Ag(asG4cb>?yGmSMR3nDcVy zjphf;oi{In`qZrl_+3tPetj+8d9C>wbLT~f;5UAkbDjS>FL(Y0t8ISF2vT>0^K_}` z#dn5Zo1ZdrzAoW{H49(ogY8v-*vo>j_W|c|h`lTfmmhE*0*y$(tpMBm@8UbKVDlre z1s4qeK{{|8oTtG3CN@aF2|Aw6d7=3OXi|s6vp1UMxQhy?Kx1(1p7sYc_IVK!N{kmf z&ma8H>e8$77nEX~Yg9NGe7o0uU}j+8ZvkCi0BTc!+hZ=B4;;HoSQ!pJXVv`GdGRu+ z<1FCOe1gTXJBO9w^4rcF6_Ms&GId&xy`WBaw+k!8W11H)Kj8e(>7pXw*nEV?x!Xk* z<T+5^N2EDLMF7+g5#a~f0&ajicbhPSA_ru;hEul)GbEBaLsWF?G_V91$Y2e}=EFSB z-7FH9A8^82&>Em9b5YS~PEpZe=5JvJl|m?;A&ZxyAhFIEl>(p65)}uq_i|W4V=S7d zIxk*+3+gddfcyt)@Pc|xuH7N5433vyHUE-v>Ac+eu1*E&(-MSFpK-ne3Gz60$FMS7 ze(b_|*{Ab(^JD(b8{aP|egL-!4Zp46ciaaG>{1?A!*8v(9r>pobLsrp{E^YM^Mm8} z3y#|vU0M&8=DN0ibL5|T92BN7n^3~^DAXnwR))(D961lUa9(YG%HRB#pMS~$$8U%D z`KKOKIOxc6yz{gR$LA6`!*9)h`5pMD9OK`1n19<zSB~$loFBel<2<K$%ti4SXpfYG zXXg)}?hus%NB(Wczh7(pz~ABts^~%eCy;wV!zL9@-6k6F*zaxukHtB4vuIp?(fmuM z(?vxDlmL8GI2^lcc;N})Ip_Dz8dw4dQDwONi1Scqh>A$Ji;6(EkBUg=q2@>Ypiw1I zQFyBP5u@R^=7*rpf(U2;hu`%RsN?V1-L?TVKjab6T*Ju0z~7R@!oc9!y${k<4pHGS z{00u-7wR&go#Ff~r#Qj#AWfjAI>+l|lnx)bK?>^WS%72(AbMZR{Qr+;QHd>*B^EGC z4oO2SNkz590^06>ktF;7|4S|Kbb*VC#Y+WF1_tMD9dO!y>)dU_boo7~5a|5Z`MM5T z5XeA+3se9-=6nq)2uv72g%PNiS<2n~n9&i`S!XCUcWnMCUjx;KQhpqTXakoY$2s3O zKWF4`b!BE?X#URV*!drn%fP)MXiVyWViJ^bIXvJoX`*rY9VjMCRCqu!iJ6r_IhO-D zD}%BiPjiY22PiA^@WXSkbGHZ+B=^F6h~m^^NVyhL)HQ<#qcmWJ8z|3v^zyo9FoLHG z!JPpO<eKosIcf0lhYbUG*u$dv2Qz=0K4^>>C8rBGcK3mbJkRcFpkfU%jN5q(Hm3_6 z!|k>)f(+q;hVc}-bBtKP#hOa<PnkN^UN??z8KxbeIzsbA=fTU5Ie&M?s3<fa<LMSL zy8MU}G_0)BoT8!t3I-K^M@X&Y*}V@`D<N5W^x$9CgHM^k7ImBGcZcY+Tz+@?6{seX z?RDkomSIP><6iSoo^Bic%g;G~K}vpb7$qQuQHn<|uVgwTj50tS%LJ4#S|tSzqZ~*W zWq`xT3^Xc_5=I`~J}Mr@J}Lr+2fiI-1P7;&v5ShxchI1?OY0B*7SO?;!~`UGKnEot zCEx)mgC`&*Py$k>IYmVR6p%8s4afkbfDG~I<-LCIXgKnA=7P3VAzr8r(Du!1Jf z!Sg-7-F@IFJqWEq527|RG*38p%SbwRhe)z?9=!be@~h?_a-G*Y@7BpX_Ih(TcI$A0 z+Zmb%FF)nH>)2b&<JfItc=;LUHHUA<m`b^upE5b_12yAHZJK|`*FZIyz%@PN{EVdO z6S&;wymb*YJjve*8k%VS#OT<0&9~Q?1vIef(A@<}`##;1z-b>bKynq54B<tBW4DP` z^P>lv7r_-fhhuk)7R%+=oiQprph#2i4dnn0a_<5se^C7hDbhKbkAmE<b@>_Rz0ML9 zlwv@J8{~iIZV?V}7{L6G>J>=MEeUV#Tzn0gPS61R2-J$Y04fK3dRc8#AW>rh8W}(> z2abt@(>O{w@DMbBf|AAsK&75TcLyjuJh~@<!vi{J#CU?HWdYP;P%G7=dj~l2AS|Y= zJh=D{Qfelkgjb4BFDq*@B)l>};e}czOcMi#7fP7`p65deF9K!42XNBkZz*8}<qX0l z>`*BfU?CcS5~3kKy{x+vAt4$83ef<RQr1Nj9HKFhQZ@oy%7Tt+M;(ASKyO(XBDE|G zU@eObVMxn@8#Eo-y${xWF?hM~JE;HUqGIs!61aPY)*RXf7KAp3M0X`IGQdm}gPOP# zq5@)~>5L>shL^tI|Nlp66@lCOpjMFps8z%PX%$@+{{R2w)*om}!E<^br5+%q3J|5M zKuXvBL{kbL)&wav04bG#D6I!6efbaMDOT<zMh5UGH*`>-lM6Iv{D9H3yARa9>vUu> zJmArI1k~k28n7npa%qqq%zx0FgVp69g#Q13$?+H5&jfc~Cm`Ri=h65Elsh~-zj${3 z-3L0U2{fEKM*uWGy+;MKkb!}}C7%N{mbgX*)R%WW)}pcjG<fXT?W0oS*<GT7yh`u# z!QZS0AA{$26F_Zimu?<8aPbSByi4%t+@b>NnY(nm$bsf{3mh-MaOpe=nFmO4flWxJ zICl4dN1Hsm_o#pdCy<6CkcxP4TesUpA8ZA<gtzeM+yfaB>RbbE#JhCI=(BY8fDLiH z{2Df4ZQ%l&u(SbL1sPIVqXL@JL$d0^!5^##AAr3Bj%we|M=qewBG^91%kMxlcLpw< z-<zL<C#=Ck0HBGs?hsa%&Kebi&K?!ek$#t7!6r9NT)X$EfJRwdI)6gySr^8ij-6+~ z{rK~)hUZ{2<euHTK%+3AdJNowbn0eNcj^2Io?O##G(6V)fDz<V(BOwtcaAy>XdcW3 zH2?YY@>|YVkV#J+*X~szjG(cD7qB%1FC04$f|m>&bv*c!)$!m%W}nXMzTHirNo$Z@ z;L^;wn}q{5^(O(b%egy;17wE;!gLu&!*4E}w?Na-pkPpd%s;A>a5!>a0}Fu611ExR z7ZnB2{P+d%{5WW|ngQf?&;S=m4LB0Nxu_&Cf(CFvT~bhQtpYrw3K}(H08KoD7#^U? zzq18AY~#^e%Hr7@#p2mp1DY#$WC2Z+Tex(`sMxr+egpMwL1S;A)CQUi0?nP@2F;y6 zV+1)(!m;@=<4a*sM;SIZ0qQA$(j{ne6>L}ME04~*-7<{bJ}L#5K@A22keeY>zmSom zZX5Q??>qN^r>H^WBcL$}gU%nIzMhMU3CL&Qm;;#%3f^uJrfx_w1g}5P=(b_I{2tW! z1?kg(>C*w}1IGervKEw}9J^VJx}oU^)Jc)>?K}ip9RUhQmu?qR2GAl8=;{jzcm@K` z=!04{44^;-B@@SP6CF?r0u4bZ7~TMR6y%$38BN0vkjhp8B>mcjy9MkeN6s6NHj4_# zN#LTz88qMC`4XJbJV1*CK-0b;gFs>J)GZ?jGsy##d|ty%@G-pL$O#P?2iO7zmlAdt z&I6q;Dh?p?!P(2T^#FJ_9yI>~j((84!L#w;ko@MNV!#Ma8$R6-H+ppM0WVYl&Des% z1LRjw0s?CWISMozuK}8Q1kJ{O>nu@`0L{kV>I_klfzHNDIDWt8%K6z*@iJ(_(oylS zOJ|IV3}{LgJXtBh-?9`sSOA_k^5~udF26vr3Q8y4ETEo#0XSbefT9dErq~UeUq_uS z&j6K(AOpb3!9|n-mL5RSngP-bN~>_q8L*l29MHS~=!$NberU1~LL`e86_7l1(jCHq z=$@hi8gK=La0E;@C{Q7@`;g)!qFY29l4v6!dO}oUKpMbPZqDGfBQL@J1Ft*)g{o7x z2zYg<i%I|}qCt)H&JdLlP?y31G`_?EVr76vwn25H2e@4U>Ul)?^!9)q3SQg13$(!5 z@W6}vJm5x#52TUd(fmW6zYVlM8?}+4;M2WC1yp4CbVDj4&)z*Mpm9}D&8zV8C^VA5 zg$YclXZI8pQ0)eq?LYYaVk;M9vflz`1Zc7!#s<xCyQqMs(m~P60H5r)=q^#Qflc<O zpilNEfC37fb`g{P28hXi6HxI2>h-{lGw60vF+rW|2bGO4&w{3)j=QLU6FPjdzxn_F z(opbBKPcE4N?eb-sOW&qgUs|>p)?f0xgFv4Enu&MB0vGsE_u%N|NqN<kSTveF$10Q z*8mO1fEFu+s7N5D{1J;4AXEM^DiYlxDl(n-z*GJT&?$cv=#;+#C<iJ2_<ou57I?~E zrQ1bC1)Pf%N{T$YTfhziw}v`>R6HOJp-vYSALN+yFue4Fj{`JV<%(<4zXja>0L}Vm zd<V^TwSb!`9*svpQH|7!^6lNC0$QsHT8jlL6g+#ks7zpCVA$^v44wOb@r4~U!Q2AQ z(IDvz(7M6S5|xVm9gZ+H7r<)3lhEMA1@j31HV*!64xl!SMW>634YWDrg3=s<&&j|d z7H#rh12Jb(qXJs=0a_>lYL9>>u|O7~HGPoG1J@C#^KdmP63FIBKr*!q$UI2<rUkuy zgJd2!^`M)lfNUNpop!pYsCe|osDPL6D1h>`1Zeq=#y-%T%`OlDT2pZU1vlsa|GvF@ zR6q;UK^YV@eh6Bf?$i0-rxVhmdtnZW=H5Lj2H-%iQ7JIIv=h{@1g(yh=77)fc=U?i z6@#oT@Bq*AeD?qyApP(~I0tBA$3+EPE`zc<XdNjiy*nW0bY2vJ6m`CT@rw2Te?+8$ z`gj#So!?%3W(6exaO8qZXHb}fk`ezl4@UlN4y>S6j-4(lIfP;tT)3i#UIcRJML=RB z1{52FV;5ZZqMH|hY+eAwybzFigkrY^yy^>7{-YYX2P}>-6~YG@+@kUYR3P=v0T<Vx zc{|XGKTv!JfZ{s>J-($unWVQwCByL2PG~&82xkM&=7FNrr@IC;AMqa)wGM3Xs0Gaf zf~MG9L8spB0XNqnb9Zk<Kpl>UFG|=zQQV>enwSSU9}&xYz+y-d-3e0K`ThkX3ra+H zetW^s0*&Jq@Jc<1IgrALf13vr|27A<&K|H-XA5{875>PEtk(pYf*zRQMS1WIGkd^G zFCl^X20RN6>(R7;J0nQl40twd0WTWGW;SRsDRM-C_z<%}kxyJA0CjG#nGF(0HXFo; zn0*JD2v&e<*WMm*@d1i7knNzl9OPs4q#yvwQoUQi0SZeE-CMw31}%MdWI?0~(CqfT z7y2wH31R_sjYKyjLHK|az4Pd0y&=TF-~pO(fA}I66r;ymR6xrqKpsL)79cUCWKjfC z3d;YC$jJh<sHNh?H%55E0IzEU4<o=61~dOQ2lh_L%zI~x3J-WZ2;AkxQN|;d#-Jw* z(2^PC00!|P0Ss9uLrlT|t$qRd72Rx*II`IwKE!O$a$n*S259{YHnTzE$Yz815VJum zV?282sLX&S43G>cVSsE0B@B=c(G$jZCeV<`7VuIASi<Q1{=$F>o*+O|`~{#gLWT(? zNlbtw3E$3tu+$)WN&r$q1c3}a-l77UXa{)#krd{D#SlpWG*zDhQr-Ff#hw5E{)5`6 z4xlzFX!S(``sxc%G6Gw66XYFG`SZe@3AFm62i$!J)f1rpIrdBpT1f+P40>FF#F4`j z#D|0@Xe|;bu0Y*&klCOf0(P@O3u>^L4H8E-8^nj04O;aBimMK2T!CajaRss+d9)7{ zK*5Zlbq{+~K<oYBtDFA4=wW~eM?m(Ofm)!TXewoZN0UddC}_PZ$Xw7$Q)orqJqO&h z^60$h)A{hlG6qO#UI5Y$&TLauK*QBOy<1d3Vu-i`6%;!_sypw$F!=ZHKdglaT8r`R z<?XL1gIN=x`vpPk06>1Y{}&XwyFn?!qu2DV4|qMsE{Gtgw(;oQ3|c2@cxk6RXg$UT za9Y^C1H2xim;r1wj`bKhEDQ`j-E9e@>oLIV*a@u10L=?@;$M#enll((kD)TU9s^V~ z4W9KFp!vpb^z|5^lFFkOX+6gDU;qBM9)PdM0BHhsb%<Jz5&iogXgvl<56mL)dJLE) z@bwr*P)nfeF+dtXmVo+Gpi=b3rQiQX*JDs)J;qiy$SfF^^%!=){(-impv=Q!UylJQ z@;tkzfr>Sxr4ck<j{&NcJiGUSY9%B~DVu+V3<#sI$LMs0gb|kY7*apMVT3aGO2K*z zf&mE~{y<vTLiu_O+65%~dJGR2NI>FRj{$OtZ+9O!N{7mN3{aH$bWZ}O{UN*_W33Y; zYOt)wF#85h<0$0-?)4a;@bKuK01gktvItt11<>^vpv2?Ry#t(h5EfHb9>7))V6Mj~ zb%cZ$mh~8HU%}ypQYKKk9s?ArcuUx!QZT?m6mva>wgV(Yv8>0~@);bWM6AaEH5A}2 z3($HDSjz&w9^=MGNb?1{9s{HS)Uv>`9s?u=GmF1v8@T7T4`QCEmLp<4MjO;b=z0v0 zHjiFY4o9NbW4M0$N9=kGDUed))?>W?`0xKq=z5H52gG^|kPnd7V}QBjuE*#G*+JZT zj0BKUeCshleSh%!|9LK;JzU-UELcHv_%~p4_%|Fo4>%tD!RmPM0cg3Uqvm1vZV`6p z?htks@Gj|Bpw+3rW$Wx6dmTU<0NB9`^ISA<UVi4ndCjrc0ki{v9kv62z4PY5mrS7b z7xyoM7S@8+rk&t-`SDs2G&jxOc@Zjm9Yxlq^IGR+-`)fk(8M=8Vq*a3?^5~B4+mc` z8Gg9<&hS|CGe*wOCA_dLuP^;UgJ{jaW$P3nZeu~X4Ybr7;x-m`*s?kHgRhuiD;Z%2 zKRI{XfYxq+PbYHjHnF+<&awHoY-foIOPxBVp`1TDSyYgW1ug1jaXk2nsapm#JH-N8 z1j63QqjK?e=P_`ObTvHL{D2*FWRxSn%SX`c9(YF1xtj%SD`=)x!@1kW2DHxkw=8JC zD`@?`2WSB#KRleDavtr>QPDuM_XlWVr`ttEquWPCr}KF8bN0>}6%7}|%Z7(RgEZ%x zpEEW;WbXt?x~NDv^1J-(tWlAH%)bY4UIXu_4Jl#c{M;F%62M)e67c;Rq=_bR+(ktO zG#=#GJ&gx+_QeHAGGV;XdGO#*)?OXZ#3Dm;jfw<l{{sUn0|S4{BhaYsaTgWvBtz#5 z$o7Yatl<3tpw$5ZpzQ&U-8HNX-~$;#ntw^usrR~aIAU&o2mmjXbkSwF{D2eW#}NK) zE-C??E-C>aMo6cN3V1_0cy%^%7;-pwi`YQYDQJfWEDTK$VfYNPdET-40B8>cC`o|! zK!CQ%b3h`9qd7%|hn>HLAGC}EG-m^v90qR)_jsuYI@aTzV|R=x1870=q0Wn!UxU_y zfTngmIzNE?2U;Qmnn!o-_5p2wc-j0*!lm<E=lwbbuup4PA<Nb^FJ6AmdB4|{2OLVE z9CXg3Ge;%Dr*nx4Xd)f7$s)snf7>z8c5?7MtKnORmhX<cKyd=v32~|QfFu9ZLoS^c z!8;+YIDWt4xQ)@J^<im=YwIP@CJ9jRs(N&ns6_O-p@;F|UN;_au!FWj9CYD4&A;t* z<4@3{W&SCL9lss?&p-8m!VO1`d!2_}I4+kc8oq_dI`B_9%D?S2|F#3J9M@bquYAAC zc~tSNi{ex8_BTiVZO6V}ZGFMt0$OkYO61^L6Sk%SX)lBXNDLHAu)Poxoh~Xe9^E-A z5q0XlzSy=yNPv?>h%Rh51ZXXVM7NKM4F5LJnpg0wBxEl{<3o@~I(<}RI$2a8yCGOW zgP#Xj7#I-s4d|*C&?+$S>|W<1*y2CQ*O2P#9Uo{n#2yupCXdc%FE{ZqFrZ9}gIBJA zrUk*v`2xUk^y2nwaQ_>m31(A?m1p-puqC}ADjqOvHobya(+;*~A4mhJmh^z_hX{J} z@BeF7&{(nucxKzPdm6|Lq<RI!ed)@_z~J6(0xClsFTVo?Dk$+db<0>>ehn(W>g*t8 zmkFXkeCERW%@JH!+pvSuAZUdUd*@Hc&Mfe@sjsgUJvtw}Jj2Vt04|1+_j0*(e(SvN z()ksvtNA&2U)2xJ^QDroja%<a_>QxHj*({o$L8x&ursih%f}$j0GG>$vDtL-9at1r z4Y(M70~JmtDx9Y~V^mnc=9jQ?-s?0`>1I)B{>*52as?y5%jr%Y(Af&0E!^NWKHxOi z&7!gbeDr{eio|Q-4U8=ZO2MZvAXXxPv$<z)iwdZA1m$ATI5udmA5=|(#6iU%XcbBX zhyyC|K%9*35*2VN>^#Bwfxkr!w2T_I+yWFGppwC<+Xl3A1hh*+10)7X_mG_<5}@-$ zu$6+KQcnY%<RPUXsD{x26@D6^C3zr*4yf!0WirfC5VUp*k`P2JK}VOo0!0Gp1tRDg z7SLWJ_RbI$j?Pofk3bBb<_FBp51Bh5dzm_WR9ZmAelKKIq)#vF5mQJr(*rS21D<|& z1m_S?pfY4Jg}%7>;@^Ld<|6{lH7Y&~psmdr;B6Eh%|F=r+jMvs7*Ln#1o(9C0q-OR zbw(n(IXt^V8IVRLkh(vRrGdy>Qxf1iDpHz%O5oa>l7O<KA_Ww}37sw~2_QyFr;AF8 zV>iENw-|Oy!6&1jY~!eaTUyinQx=z{6)2Y0bi1fjbo;2(zyiGj7U(tbKnKmTboPL^ z7=v~xgSbAutnMa|KzG0&=rPaXf$joX7UcjA@8_U%&XDRl$V@UQZ54p(n{Ei-*hM8^ z1!JjrcMEt^w6Tjy$O=aA+Dp(Z3j=5?T=OH)!bS<ji=dM$x=U1KI!jbkTECU(gGw?5 z&X0<q0e%(5i?3xs0xF>FT%w}T{E!hAz2KD4$$?nm!`}j0lSDAq!AodRBESM30XBGI z-2x>7Y(S9>T6SXrV%T(o*Wcidb@1YE6iWr*mWtqsbpaGhMUc1kfpVAtEY?M!vF@T` z(CMRM0y=NQz^9ir$p{qLd%y=5;E(T|r_lJ`13sjQp;Vw7a^3+r)~A9_|3is&&`MRz zni8}F9$r)SLe?q5>akakA@$gPcBJaE7qS-nWgR<cuLHYpHyfzY2s*Bd@t|YpDcE6P z%?}@Fo^bEx5peDf5n$;&=y>@hXzPn?owH-F7pUdS0dDz%w*I(qo^|Xk0_~kK0PXz& zt&C>x{0QknwEpLJIp6vIwYo<yWX07>A<*HB*P5Tfdl{{u9mg)6XFIRCbe`}0-29lm z`59yDZGM-}oaagf558qG1a(n3|AC9B(wycWvY<V9AUBx6-SEtj^COZQK7n>Lqg!z9 z;5(+y>&?&ELA{Ir{4UpB49|hmxQPmQ$1zI@E9bRN6P4yqjD{z_v8XWeyPWF8QdxaF zh;(RFcL=nCImda8zr~P+fx)-eiv_gXB%+(sw>uX3+)em`59qou$8H^C@S1Y)#ykzj z?iynjaNAo4lqJ-A!#EtfWrPvClpb?}RwQdQ9|MK7F=#I(sCwex=A)tkIw1?h&;fNO zKnq7fOW#0+gLAhDQzz&cw=Lj>HqPB5jNn-8oC2;H>U1HIX#)>P&{inO8Vsc1yw<q| zth~DiY)UtT*9oq3rhtV&T}jY_c*9Geh=t1gsBrMR{OYVx;Q=`bw5ko_BpZ;E-a}V} zId_{dUVhj7L$-4ZxZr|0NeAwvr<{K~*MOIjI5r;w^$P^Ro3J6}9JKW7?g4A=JO;5I zWY@tLOrTyCDAt>wfje4IHK1z41+)tnw6kcl9w@uksDRh2CV-YXaUgQ7;ei+CkHCX3 zh;^#${B584Fe*TTMId;+8cJ#8056SPK=F-ZPo@J(Y2*Sb`5ZvYr$G$RiEu8C-Rz)> z4?bYrc@DA>6r6v%WsE?!fcCEW^t!QJehbPx(!G8h-8NjX?E9SacW)g}w~f{1=bV2* z2eW~C_Molg%}*IyPx8CG?95Rq0o68;Qd~!@^B_3ES~zyw2wZ*-in$sUn>uBXsWNa= zA&C`SfU_7u`-wK)E-Dt?J}Ne_8q@+BN2efNe$Yl~P<I$qv@7ttT<Z)`QSs?z<<JF1 z(H<30Z3<ddtN@Co0+d*q_~76FX2?+|kYW_17F>)L@uJ671t>9s%2H5b1l8&An$tz4 z23l=$ABPlHpxHK%a?lDFkn(R(;S!JnkRV7wH)siFiHc138gQWxJvgrOmEteZF7VFN z;QqTvi3X@r72v$Z`H%CZ;zvbLD_KPG;%o3pWFnA#6at`Z1gc&|zzb*v_**1F{oHOB z6}*iq@KR)yv}FK_b#N@1;7MBsC~3<Cl%xzgT~rJ}43kb56%!hzEyziN@Z_}yyzH<W zlCa>3st%k?krUKikaNL_={UH9bMP$_Xv@nQ@RGZO@0nm7p3Xfgprv;{y{y-?ASnmD zW1$yvEFx%S&j$tu29)#@cn_R@{(#~bTn&SCfYVPbH){H6Q30)b!mNgi86j0HsN?6+ zI|Zx?Rt+cL0ae2#HlS)6(wE!<k%t_s^@91{zyHvF<P;T<0f>%d4}=dLp#%*QBCU0H z?UwiLW<xy=6S@9&)I9CnEd!~*K^=|Pkg*s3zRRGMiM>vsS{yRq0U9R+@8ER;)h(cX z`qx0K-x0Mqxa;};<t7G{el{dGfC3G4&=4q3fx5{S;EMW1?wx=CU;3bL$pa5RfjZ^^ zAf?cA>6}1HcYH?K*am6U!psI0=pYRV5VHkA8h(8NEiHpY$jd$d{{P3U^gHi%UhBLM z_6m5Q4>ZpCf%9Cc@WHoC7vDqL72m-|mga+cVW5TuC|KZ|`)^);z<JoQ7Zfbua|pnj z`w<NbaIoAs_<{*+^~KkaZI<8oU9K4(294v%Aet7JJ7vghTAbj#;M@6?za@|v*17<f z2cRk^!=u{(sn2s5u@MhEfZ44h2O0^3l-C;FHF8Mp3)Nm{j&2q<MDyYSCwQE*`7lp6 ziyXAX#^0wkVFINp(BL6xWRDS&x?mkyj9>)ykvWiRaPY_;=%@vD@R%N`n1!`kKx@N5 zY*37IfO;4V;7%%NftdKMf1u;5K(fruOTeuXW{+OoH)^1qUZaB9uLZ3{2KQ@yJ3nMG zhQ9cA6WZzqb#0M)wM#M1S_ts%d;tpNh;9xLmEqAXfE3nr>(t_~luDi2<`k6*Pz2Pl zgCYP_ZGy5KC;~uiPy|$<M!>Wi;0OT8f+7I4&JYv<$*PbD!0h9qM?mQfcm$wybN$f{ za)1;7poRx#H`llG9a%kHB~TrV+|vcuzABK~7u3^5?cDl;RwzMgU;HTm(lkOI))+b+ zT+qQqpcEj&4hm~<Tf(Qe1hm<sL`48K?q^;52Rd-kfVnwE#RL@VrOKdK$I;nEjrf<` zA3-Z=*?qhDko%j+hgxAdZ9=w_$kQemOIe$rGM4H$|Bxei&IISJ=0}YDt^DBL8t7;V zAC-u1PS6Px89v=YNGovRn~ovZk02i_VWNUKRe}Z7#!|z2s)UUSc$CltcBljk|27_# zP97CdXAQKAhy@hp;K6F=ZWGWM6QI)619r}YY-fmy4`_x9bk>Fq{MZaoAFW2k1A68R z=)4I~S?bg6q5|r8`oLP(9-!_IWY8Tl&Ex}~q5-$7LFa?)Py|I@jfw`SJsp7D9`fuw z@xtyZxZ|S(8Hv&WH-_>sIzAGhd_k~)1doBDG*CI<4OAXb;Nj@}aDZBT@B?XhK<!cv z@F7auKv#>nsPNz$^&`;Z!a3@P*5m4SQE}+@QE`EVDrlQ3sOJkl)(O;Gap{DVRG<#a z6mT!pqn9^Efsw(dm(^DR62u9hRwt+pgB;2)ZeIomaS9}e6Tm@ig4SPw4CI1R38cV> z@QqzmB%p(RpaEWE7Zn-kU>|4!Gy`%`{>??Df)U(b0TtQc{z?nDE7c7drv&+nu`@)a zpwmaCr1d0!3p*nN1Luim@ColFpd{p?Qt<K<YQqmYjfmcktGe{>|I3dbP}*@WDqx3$ zlvaSM69;f}>xDl^Y0)PXrI4l^sQv*pCqeCOkOl*Y204(1mM<t8a5&@h#ee@_+M}NE z0Er`**`TNZnGJ1D9s+5Y^z;A!=1<_DIPRiS0#e%TqEga%@cTt&AC&^oun)H*_%s_= z!~d^&Tn+z&C;5)McK&ne{NTd*gTI9vG{HbjeF5EfhqRDQp*zM1az>2`JVWRpozMgt z1j#`<p$W8`Sp}3K6gpj06hI6W@HRcrhD*>Pka))6u?>vEEJqBCVp@(E7zJ65IWP)J zE6Bx-NGD`C6Ou7N8&f=bd7WiJIbsiZhX5#3fI890nF3Vw9KHbVje$1IL3;C`o&`8} zxT6h)yQo+YRRDo&HIxF#03P0m9x|qv5j|v(m$CGaK{H06)+K1pqZWD21KJb^H@~}0 z<hw)USs*84$=1n&THZQHCu2S3d<SZE+sMOC#sUq1c5VUh(*uoF9^iMm&^ZO%OZMpH z{V5GDT7F4G0ut0y1qCEVpSJcqI25t;X>~v+xuFa#gY(*Pq)l#+tZ3|_VzGj;RH_?t za<8$Aip>hfQqb53Xb%{)ECDec6_2^L{x9Kn<^1QUc+64p_-kfY!~ZUwKfYgZY5h=w zly8`kvJ2=!6C$Fo1$+bvI6op<SbM;N&`6vEKKvAvZ?r)to1w=eWa~J*F1gh?1+1Qb z8^q*J2nFJT&L{1J9HmOrm<62|fE2T!8Cj2BUUex*lxi@7cE0w2kGw$78_UmvV->s~ z96VA1QVh-;?Pwhs$hJbF!WVRm07m$N1mWQeI!^#k_=41;gfB=vC_q6HosgpmKwOY; zXA9(X0;H0P>Ny9rOA9G{LFeRq^z!bPfP^pT1PoA?0jWX{U-vWM@CBXL0x5eyioxL< zjnO{=ZJF$bY_B$kg!T$XP+`DOD%{<o0@_At+@b>7sR=%L4keEqcT_wE&LfWBue-MX z<8J}oO-xi=fldv=h%1mFv_-WBeE3ZBPYKX*86elxf%63VX@7IT_dUSl>{aI!us%>E zfeh<x0n3B9J)o+;1uW}`QOx07U<xYc2ps?jE#^RT`$&<+0_t@2@=A$AA`7%h6BJn> zRcMj*vQ7%LH48HJ?AXoj+xgD7+n0eB-3umA_kyX^khtyzco>MkHFmgnFfP6T*ZE-Y z!UpfrIvK&DplAelGF(*9IvFQl+&lqp0i$#>j*WIQR2;B{EY^<3XJJrlfcJ?oB$yLG zdoe++TjZ?rqUbm{m{B?!9n|h<xEg)~_ccIe4nv91aY!!%+DHHm6Lt51`yJrU6S%|S zqEZ6dN(CC#<~#^WNiHfSkbXyjW9PA#pfxfmi@LyTg+Lt%36IWakX`}Dv48(xR(wS1 z6+pU3pfVEFjR1`lf|~l!)8DQi{rCUnTGW1riwb!B24ph$_+04GDQiGV=YB;q8`M;S znGIrt%!YPRszDmIenZm$+BOf<0AhpApa-3)2JwJ9NKZI=Uj<aN!1RFFAU&X}6rx8M zq^B9PyW(p2&8720^9ROO7nOn%ZpZ<<u7=-UGrM+vcj^4$0$KzmOi3RF=@eO<OLfpr zkp*>4sMAM*S&rzVpjT=!Du_M`=m=ZPJ_@#cg|(kDTL6@yVEq(GM+P}hd3K(BA$<hg zR>0CviJ@XY1?Fu;KLyj<h<*yl+obeUD67E1lPb`j3b+;kO|T&KRebrurIQ~&BrqX; z70AIP$btFd;vsNIV(F{c(x9($+_m)^sIT(fQ4!V-17#dgU*!j`Yy-L@l&JO@C~JeV zE>dq3+E;-@<Qj0_1KyTKkIOmWeho^y4AdzBHN-$Blh{|GPV9nuD=4uG?XQ&cLZTJa z1p(DNAa%&m3d$qj4}fD9OMhhwE&404Fa~ur@Psj_EJX=pka|!UgCt1ouTUq9L46UF zFoyP7xOpI94C;n}!Wg6uJ&Y&s2Zu41K1&M4eHNs=0_)d*109~%`klYU64X*BJlqB8 zN?_y(P&Z=;_E}&>9;iQp5@FDOOA;3(!XW*Y7VznHXc6}E7%#p0Ei9mZ3rndHas3v^ zG93Qa#Npm?!M^SYx$EN7%Q}Mt6q7Y78lXi-C|wud&Vw)H_JG^eC|#EupqrhL2G(3u zB#5XpTvUeD{0R22#q7NJ^s<_<gPRBH?2v#?03A7o(Rtar3mniWotGJ)Ml4c5Lz?^) z%#sX9=f!*HzyB{4Na(ysfiy%C)p>cp<KO?69VB#K_JK4kA+7V$1=8b1TIVGUq^F9^ z&dVWCyc4c*2ws6PG&?WYa~5Xz#iy6`4l^iALAo!XT!lW(0xH2`wu74uknRglH)Jta z^AGm2Jk;GC7B4}4XhJ>lx^4gdzvTOk*7*hL1l9aldg8ty4W8(ynPcsVD{cGtpQwTq z+dL1XNtmND82e8L-!Xw!phKp5Ahj=Y56Y*Pm757%MDj2};uF+^0>vlBY)}7IaAZPy zP@u>JsRTu)2y$dXrgdKHAVnrb2o^_ZBN{8W`~%-l2%6&oDd~o&CShI(sr^WFUn`Bc zTn?GG0Xqw0UI-HDL#VF>PYIw-6lzKU^|pL^SveUXNdVN_0wn>ELga=eC`)&42B!i@ zZwr(PK#H-X0?;_rYkibd01^U)B`BwY*q|XNP>&Nb<g{QDQ8^GY(*rUFrTYu&Rl%G3 zpsv=C=$gT!1k~q3jS^7j%%_(%?;isLD7-+OGf<R(6rxATw~gQ^fppG5Q36toB}zcU zd}vVu5(0%K$O;e}6eXabghUDN#()1wnSDaai5NY(cU14mQE}D@=S(bRJ#TpQf_ieO z(F^Lz`Sh|*{{x9$P*)BVy?(JQ_I9KE1}LK#Xy(F;-xiry^Lau;+qCi<%J5=+pE zaL|Hp(28-83fPKq!*!q)<NPgbmq3SNL-#pD@1K2n^de;4Gf2bBBNx$XT#zU%(SX>X zL<8z-LlVu6b^rdqEcp*IwFSI;8om+Q9N`vGufGiN`&O2%g*c`9B1jX=DW>Xw85mw# zgI&TZ4P(P@8iCL#=PBL*ZDi>D?AiHeA1`Q2YUlkII%_}+L3hsptrO&Lso(^i?YRWJ zh2mKA2asU5cZo-La0X)0tz+kL$AkY_9S=Td1}$*{<pq#e-MVG0zzZ!LFTZx_gq~j9 z3E2wg*6m^ix(Nid_z8UL$W71;Ll5|bW9YpJJ>dE1?ru;+$fJ8Rr~`s+4WNq(c=M`D zw}@Ud=x!;;%dcEILsY<*o7@0hxY6yS2eJti=-`V_E`n_GQAvQ`bdu8D4Vn%1=xzq( zW+XeVAN<b>_7ikLQ@4o)*b4BS3jv*<njbNO_B@{e<x=RjDVOdX3zp6hm4Hsj&BczF z-@1S{?=W`ysDyNPgNhqOxOE-}2ak*4J*Y+9&7h(R)L#OxJ?s{-0AEkxVR#K}6=c8? zWaR-y28Qk$uuUGWoJTu*z}tK;zjx&P+x(odvqr_orF%2z{8E?B50En`To^w%b{+s< zH*ySiV2MZfWY8oJ$UboU&Z(P)$))on_<jKk=(Q$OR6xgcI(3IIfvy~}xcthI^D1Or zu8m9g>>f}6cOsvLas+I}Vc69v9^I2cN05T705AM=>Sj>_txgB6X?K8I0qQb3b%!W{ ztZ+cG!o|h#nk(m7P+P1-CBm`!DWgkgj!F#ZvI@|G%n0Pv2I_t^KVWp>d;wkw14@LT zNiL60&;jYkp{e8>q$Xtaf!_82x~l?In}YAC09{(a06G!<0cg`muR9AUq`{{xcdk*n z0E*<^S{BdVLKctSY!=_%02bfg92FLq?i!VZZWoml*Vg|Yom*5qz&UD<iUSh^Ly0Kp z5)H@Z=Zr3$H7cOH$6scHq+#cMfbO3FEusQ#JqK9|y2fJx$fC|Q;M07&bp)ZabKp%w z0Y05;R4kZ4gH&r&Kn`>44iROz3|b@r3S?M13xHj413vBpoRUBmfieTgB9Kp9ASOfd z#9L6HdUT73gH37yM=9tYTF}J+Fr$1xF$hj1AfrGj-m%*T<T%h_x!~QA7TqFZmtS@M zgyb#@m_{3rMsU=7cHRPAs{u;7F5M!=-H<d3&8`lH;2VQMM;LdPm@>E+UUzJM#0bjB z4wv6?Pf-E+kMk2GA-I5y1RwGax?%*BJRQ4DG(ZU#k|;oN3(6llnjo>ahTkFDB4AhX zfG^YlFJ1@f2c<p8bu2f*`MLlUMxa3U>^#-2qY2Yp07|v++k8q~4BvB4Q2~V&B)?@q z@<dJv8|U@T8kG!?6Ty3GS}&CfcKfJgG(UuFPYnPk%Hu97;CT7wq7s0S7=1ghg3}`? zZ!&b3Kvxfca^VDRT(jsr&w0GFM#aXbdx{D;t$?oJU}Rt@k$}iMe!uR*`9tx?_iLOV z6;FV6V|Tl#*nGdx>Y`!+J)q^diwe~Hkir;LlY^2U=>8PQWH7i0C;&wlD4D@;K`DUU zNdn$@2tK4AlpG)_s|B1#AZCCP7T65%O)8+Q05=2mCKXV}22@vojDe&o7I><9(Fy6+ zfSdu!RZt@!f)JNX0bfxMDh5DxDa;^H66<CWhNiH~Aag*{pv(fA&V~$p!wdkeqX8KJ z?-F-Iiaf_|76DND0qF+GId+Q(L3D$7Fx{YKzM!~<ET)BCaRRx}<t8`)D!_RZq|rsC z0-`HKr3SR$p#XFgh5(3_0b+50c4C8q19S{FXwDma<X8o0asgx^Xsr#%6Z_a07#Mbe z2*U#}43~q);1EZU+4HyYg31q2q6RgKz(?>ncy!087=SJ#0Sz~J^zH_oxD1*fcX&A& zq!=XY(LMPCh}~PGk^o*zyAzaWUp!d+?|-+CN<w#tN($%*Dn{rkvF{f_N9-t`;{;cx zkZKzg4B#a1@a-5Q<Z_n)n5C~JTMxk4kjq^{KxH5(FB}KEv)e}{p!H;lc(;p6Nb7-8 zen=?-TGag#bb=X@^E{B8=eP{i4~DK2=yp-@fvyVn0IdqP1O=_dYiFeH6!d-yaCmrh zZ-)68y7oDE>A(Lkul@twj0bTe`UNy4DgoV~YCK290+gpZUvYlw3{i3DJkEK(vqr_G zL=2n*9l$y7=l83eFTnZFrQ1ct1)TpJO7c9qn?bgMw-t7R_BS}NK+;AGQoKYMUV1TY z5$I4H7ZvOmuRvO2pleqWzJbooZw9s2JQ|OHnsZ1y5j}cm7l7)UZqVKKy(=z&E?m*b zgI=Zry1u<((ZBzoS`L(7_an=AcD{R&43-4n#{q6)frfevJh~e|9za~U0y^&_1uWI= zQ39qtx*d?Op+nkV4=(di4`Q!T@j#rEUZVou9O0tk12(9;1Jd>bxxTvrtpSK+EVy1m zH`W5#SWwjqDhi>-LYjLJW6|1tNXCM400X+Q4#>uW(r2fOii=Ngj!FP%I>G^TD~APW z;|9`>H^T!yo$p_?E{1f~4SagR$3cQJU;_N;eZ);HYeAt7iLf0rKob|8kY*qBLKdIS zcQ3jY{ri6$viHTKSM-e_Xj!U@N`$N7Nzcx^pbOeSt!j_X`=I+fx_wj(UhD*!i755K z9-m+UGQ1ab)yp@-laAmL<HZG#!p`?EJQn=>k4O(bou5JX_JCR{pnF=p7ySG0*?A0< z-=S3i*g$ByK)tI465s?92Bbg+mENeKy$8J55fR`JJ}AGmfY&`j3@0j)KxQJaSph0s zk*xsnAy$CPc2G=ocMz9gz?A^17iv^05Qf*NRDeu&0hRx2z*~($X$G^12VLC*zrW}G z3-5)XGxSmKb7^1j?>{U>bVCw|5BPG97r6`Ii33!3Twr2g0AB#`-ly}MM=$F>eg@FZ z9iSaa1}_#a0F|FDDxjO0Kw$$8P>*hC(%1tQM_dH(V$*_u|3RBQwdNtG4$$QT7W1G< zqD2LCKLnCluv7uN+65#HszgAQ4LEEGrVa4c8PMJdl>3_YfNzvQge`;*3f>l#8o1#^ zrVa2>JXov%i6dJ9;zO(`L0Cad+GtS$9qtAS7S!ai2P}?k1xOvliX4y?y=zoXfJ*S* z9<WMKjDj2iY7KzG1$o%Rqx1a>h54YQvIQIo(6R;8#Q_zapxYP%=OI!}=YQYMzo0t6 zW*$m{IRH&C-Fv{x0>PI=ee>uQZ3Qh%1eYe+^B}2412h-_8Z-dKBT}jXi6f<&nt6~^ z^JO+ls_BNDck|-!Y<SWEU5Wt;BWN;&B^}u1FCgj878OvR6Rd(zebb@>?$Ke1SCBYz zpo933Ku-Y$I><l7)jf#YkkI25bV~`c6(ByuiWr0y#3dbYmjR0}K;p<&fcOwAKs|rZ zL06!AG(aO{AQ@270XYJcbU^+^PdZQMK#~q9lwnDy^ZpCDIY>$7Jt)2K%t1*np!;XR z1<ZeN0V4`NnE{+moIxfYZ&3j?H<6+hUb=w9k<v-X97sAjGZQ(TfUX3DR6OrqT$%|= zD#yW*4{wKffNp-{>FiOF0G%`0q9OyzF5nm>Sh9dSSXcrUB#s=gAU-5ueGqX+Ow*)A z1$1m3$nWUM10;@Y1&9x^!UbUkamfR8S{^nlK;p<&fcOwAY(Q4@u2ES53aZ{76_5-l zd4L=NN**Bpq9>1IvmnU>6v{}+<Nr)}@&FYyps^H4!{f!%nJCF*0jyZr0xed)`*i;E z=w;R8M5>PjL6#hEQ4zpVvVg>qQjF3pP>T8gV%Bt&6w~?c#fs^mlyVd;rEv0ZbKnKt zA_Yk)JRt8Amr_7$p0R~7NE|tgL3~IUn}EU?Y&em15NJvWn-w5&WGg^?h!vpeB(M*O zYoD}$H!fkb0yJfXYz2r9u>v&51u96u-HTqx)+bO(0XYJcQb7JiPbqU|KvD_^69WS@ zrGO5q1Jyx3o&R5)osLK`;3n#e-P2K0$^>Xi>HO}~`Oc$Pln1mR5nP~rnhvRW7;q#H zkT_EEV4eX=9`9dNPen-{(257tl5Ly{>i!%C2O^?C;o{%sz}MNM@`I6qp|eHh4?HFb z7AT-OBy0f;5=RbT5FZl2paEg9^~BaUpaEiRR)EBjtpM>MR)8kOpjHr9pn#@Iuvq~T zN45gQhgbocW&tIW4p5Hj?E&8^21+I%M}U$E$iL{xq;wi2nSeqWmP|VTzgRaFo;*OQ z22`Lx8@5xXq9l_BXfo+u11(VAdvx9d-A@VX)_{}CVNmQIZ&3mDS3&*(2Le(%1tgA? zT&{qWciw;DGZ`hhbbbR57rY3a3@TENK|ApL+dR1Ww>j{4_JHrb?QBtb0~&G!&5Sr> z?fgPk9D<yIUKN1GKahhJ#D@ecXub<J|IrM}KS*;E@O~=f@?~rWgO(Z~8w}z@3<fPn zz%}WCWH4wo12%&};>ZSr_z;637gerNsemQ~kPIjxfUE{31d!j*6GF}uNJ0SJ9}G<h zpsS=o{(Z4vG9ooVx;K53QBnbDcmv$}fOKy}?=vwlcy?a*=)C#j$Ye-q5dkkPCPTWs zYruEZB4QrYaK1hnR91X{;Xe`N7#9`r;G6<zNDkCD0*%i}fH<J0Er=rk;(*#OAP#us z4pcRPM(#jIw1DOw_JI};?g9~@?*Dg>&X=HY03|taI506VyeOXh?>}Tp$OAI*gFSlT zi#*W!KOk}BSOD=Mu>d+|tGgTA2<&bKRp!_Y1}!JR7QG;GWP?F`h{2%pqq`f@0B;5j z$zwMdG^B#fV30Vn!5}`wV9=&aPyvzwO*bGJP<Vl?289>MZ|Ld9WD+=SfNnU2r58|{ zo;wjN42pD+FsJ|lwOYd_qNJMyXz9@jo^}8Ye}ONu{RK)m-Jmo8y3rD32DpDS8I*89 zF&hA?)8NA;puX@tkmAnwFU0ym2?sp13b`21>J9kzI_Q0Pd?0f=@4q<T2UZ4}wD9OP zJ$n~aMZQ=C5d>ubkKWCo^lEr%r#<LCyaf}$%71|G!%Lp{@Bf4e6Hw-FL9q+DpI{C6 zKD>Pk!1v)H&&ZA5PcV8v0b~XY`F?^D76yh+y!R7iu#DbMFnT{h#vs3+0Cc4jY_SY~ zizH~~zI%@fsJ!+-+)q&11z9WuyPp80iTL{oth+%|F>N4CFq^>l6M!v2zMnt{Y7O*$ z0+0sKC_nsuf=%5R_Y;83KpZRC2jY(2Pe6nF2|%?YXrc?W3mSAk0jQb;T}c2c20?8& z@cjg!5)Z@~y`KPlfFr0u2AU1=>19>93R-f9?HotY6fP)G!S@pwc7T?SjowdijHerZ zJR14;6D+?B33SY}8&Lv%Pa8bYQ4Ve#y`SI_CurOC(72!A&_z&W<2bSrCBDzJK;xUl z`w2kR5v=~=Z-L!U0IG37%UnTY?6767Ud@o|5_UfUNGE8$DoD-f{RE@;6EMIo0~opY z69}IJWmnwy6HIReugn^~p8&Qv3v!HnXO0Tp?kC7N1BxQZEH-GJ1(y2>KGuVG34l() zft)G^S~vqfRc!Qr0^FBA5j@posNYXub_$YmK%>^6>2uKNBWM&7G@6Y(3i)DVEjayv zhSMSG2c!d>e!#Qf;5AjCx*OE<04?nV?KA;Zrif}7c0U2E8V0F?Rl|p?LDewiegcp# zP!$Z8zXaJdl3a^)KLN-9L`Sj*+ym|$iuV(ottR?@f<+*uq})$X2+}ZmKY_}~yPu%E z5_~*9s5=Wf9v`%{4|Gtv&oNL=$JVa}js1iBwb1(s!YZMy?$P@RIzv=yNWP!oTRAua zKou+~0zg$RC;|>2fkXgi9~V6Wu9m|i0HvEddOyLybZ|+ypWs(1+WiDq4}oGGM`sr` z;z#c%xCgpVdl21EAaM{BdElc%ebA2%J@H~*3Ap2fa{kWf{RAN@;H?74$FO6byyMZ! zyJtUWEkEnp{g5EWem{YAF*t}(PTm>P_Y>SIB>H}W^&q9B+)q#k(m>q(1i>Jsq})%S z1kx~iKS5`V3gYZf^h4frkk0-D9oCO|_9tis2y({2JUGXrmv`|VP>z5coCE5Lfp)SX zcf~;W6DSvedt;!5xscu%XuJ~K8ymf!pqDptH@Ikt+6@UvP)`*UkQja1yLsSH#L}l7 zy`KPlMkwe!O3<nz63_gk#?2Gpg}INw_s4@ybA_zV<!#>yiBj02T#zdCyuqFej#bc- zVMyKpDF)|_(fbK5G(UhIc@J8zige^Xc;##_ulx>3_<~lkg0c)q6?*tC&jyDtXgMz= zd_juA;TuiyS)WLEc)-qT`agO<0r&(@q{sp-mi6f6t=a~OEYRX)P-LO6IQ8s2F?v72 z_s#*m01ew|-^ho2di3&c-U5n7a3=%H{REa7;1)1SCu8(}f)En!CkWmQY7OwZY=#6g z_WKDgrGbMPrK2%C?kC7d{YTpU1m++O#NAK815!%L{RFpD{{4SR;{626L3&8MpP(3| zXY_soj&AhB-Kls#!SD5;3<c|_V85TBIvLznz|v0{y`KQIOum<Q?K*Jjv~C?FFd=;v zEcX)_CV@i|OJ8O5egaDRDwLhz2Rn)#)DJ;9iXGZtxxNMxt)MOlXp;v>9cp{}<cshG zaLi)quZ-SL0P3&6!Wh&SK?!4MpCx-WB#c4b5KtI{)S-v*=QwZ}W9hSu-cP_l?K{<A z5eDjyphOt7-*RXrB*Gy57RYWvv<Mr$pMZ0KJ1*Ey`bO@$`1G>=SPqIwT=x^yMT6Va zC|#G)`w2o+9I%Hi<}8U%FYB~r;O0U5GDtvUzn?%f3LMZVotNQpKf&q<67MId0%;)b zegc1xQc~_GkOOHT@qU6=;s5@>B=LTNZ6H0P_Y;uQdBL8uFsE63dRc82gR&H)`vO|| zhCa;#I?Q}e7`WL0>ArxDR)$Wqkas`9y-*VGCs+&8K-~QVO`(t@*CM((Kzsi)Jh}yt z4&Oa?@E_~JXUw2u%!c3n1lbG0MP$xGNPL2NP@wq4nC*EV0**{b4+<2SAeEqVvMIWs zfHMTL^&Yfv61)){a%Sh~{R9jQRKBVgep))H6NP$OI;gkh)61GUACd$>y)94@04YRn zSc0<j%OG$nfb_ONsQ{!HODX_u$45I1A0z~dV$fmuAU0@`Drj~LvP6|3h^QP0Ih7e? z%!s(3;M`nDlz=*CpeRA_oPnYwED#(ekj@z>N<fOSL<#7m1hgmt34y{A6eS=wC`v#_ zQb3|4H(>OBg1@W>pE85bUhg*1?+(#tx%}?(tLC3XU5*XUaiE?YYK{YS<$QWszt4t5 zFQ_XAie8XH^c+|24~|~Y!8t_TPXJo~4O=x1xt{>E;2X4Y3v_k`Y{mFQU(kwi#Qg*y zU7*+psiEk80t-K+`w2j%!rcPCp8(<((N%L0_Y<%|odUa`0Hn#I*R*{O;(mf^7#ngg z0gObPZxP_qdDyY@yl3Y(&(6Odz3UbDSQ$Jzzjt2iJmu1P(6jT!iwR!;{x|<%EGhHt zU3!3zmBF!juK+(Q14D^4II+8E{$#xA(Fr;m#-;Pu3nM@95k4-OzyBZh>3r_e`Ol~G zQ|HYWQ9fW(N)0?4kM4ND%>X*C736-ylW<jzoi{w1k8mK))_u9u7rY)7N$4=d2Oh`U z1Xw}&50nb_fe-a)JobYHbkc|6$(QH6!H0N2l!D1%kLEW5-F;6$L!aGkpbU$2stWW9 zg2qRnvQ_h<OE-&!OLvR~i{s@Nj+b9MHa}+c=$-~T1f*Na#qe-<5Q_`vt<LM;uRHQj zJ;-@k@ptoMM*b-W4F7lD<h<y>KlQka!U-4tZ6{niPdRe@DzS8Ie!%G1{F%{}^RSEJ z{nksJH7Xn~ojxi&CF-rWOO+k@w;gifJnyP_6D;VWcti0=r;7^5YfiYrZWk4f&b9-r z3=G{aDm<NSM_9q$2A_@7cx(kLs2Sp-!eMyH@Z<|7PjHY4L_<Rg?EMKI-EE-LSh_hn z`wG|?7`h!;I@?M>ljgm38Xk?uBG@1y=eQ$<fq~(r9Y**$hC7Bih6D$AfUdFl2Rh%B z0d&@7D%i5!0-(cG`CB>}7#NN<XMi}+6P&s|SiWCu<tT~r>D>)Fas_lYnV=V_x^m#~ zXgyHEe%t|kNcxLC9-vfn#N#+PPCa@jgAU|GK0WC8i<#d4{u_P+`Oyb-tmcCk6<+`T zzn1XmZU!9~^o@bB)Xf88%L_kG&|v~@xQ=xOxe#=$bHIP_vCbgZ!_r-xWBlQ74lInN zR!A<62l=ua&Ba`3E*4Fl&cN`}^Z)<<ApQI;1^@s5M>0bin;FO4QGG3Xb_N5(OV<DY z|AX@<DA7tlLtFrqay#$8`0Ngf-rb;1HUodlZ6;8Ne}IH|Hz*vs9a%aLeZQnE2+o_1 zyZ(b5bcm6^6|||v)$n%f0Z0C+2O#HC`E>6F=Y$gnf5;zvA<cNA^U%RxtOp-4J9gWM ztAaDbK}WDTdqEp)E<ZK=#=rPH=%{c8@T&b{OGo7#aTbI?iH1jaGdP_IbbGKgKV<xV zsq@753yK$3FqUwFH|iLke9hE(g7buH$KlRX-!HWuDrN0F=h%7DrSqf<=grO!E}S<? zBsmYdbl&=Y$%XTl;vvWH7hO2dDPH@2&9U>7OY6lFDQF}gX8~Uw>CtQYW-0^2%l)8} z!JyZe;5fz$mcP4247zI!ST4W1{J!%a=+G9>wc#5XT@5d_UMSW2c8HOG%E8ue{8JAo z9OO9I`mIFVfq&|;Z-*Ei`KKJ<IOwSG!O`G=EC03&{M!z?bYA;@4IDy_J3t}bdW^qy zF9QRE;xAXjliv<8f`ev1C{34?;5vm0t@P>EvFHx5V7dGje2%?GcQYv5j5W9eSU9gj zLl?{g-Gy-B`vt`ph9{dJF!H-z?7Z6il@SyS43;lSSvoIvUgtay3jJN6xNH4U%Ies8 zvh!5uMHkMCE}bV_I4_ilaUOK!{N$*3==&u{&Wo;!7ahM}bnQIh(t41;r4e*wC-^EA zkM7B!wi9Nj4w^!`S&X_vj94zexcsX50VC)RmE$fdpybNn(cKMpJY%PeiU4;Y=*kw6 z5;o35ogpd$=&@L$A^^(Tjyw1nz-KAHh;qZ5he2r`l!yQQ0q0>*e(-2MA`$Hv=NKP* zc!E!N8|XMi$8LtsHU)MD21js-rvf_VuoqTcaIixPy_e^m(W{FIj$z=kZl54D+ts*$ z&xnE?-f3Dj8Ke_*5LO689CS=D_(ZA~&JY36*;5b!BZ$Bc(7kTp$}R5Ye>V*K!1>)V z6ja2b)yEEk==Cv!Gq@%N*T)Y8!S%6$5YGB|5hxrxk73lur(D3Mfa~KI&mr}3nd`s* zc<W<PH&E$=Tt!1`8Qktq5J7jpgA>?hu=^QA!R`+b#p(V>uAnj!)BRjX?w5EEasM_K z0`3oWCE5KA;^^*ga74IYK?3al0tuY%SHj_bFGwtc!{6f@#Qoo#3AleMC^3OkQH*0O zG^v9tc--N?KnmUc2OJRYcaR3Ve}Xhl_b0hv5C1MmEP~x%@fYHLGeYja>Wm}&J&w1n z0M%j);D!w-J9#u7o4^dpW`-wU{&PgnFah0tps_KJ?l#a7hPc~u-69s<E*31E*Dt@n z`~p-?E<RPn*Lt9Y%L970|7+dOgUufqTYo}?KxO4?sn!GhQxA5ssC2rhu$1s{9OU12 z62$D}QR!w;>1>N&0XM{0I@@AE=V12k1Lby)#$ygFpmPx)fXcBK)%O4XM?f>jgb5P@ zh-`b(#JwDlh9gU78xN%62yHKaKyvSCI~4bV+Iyhphk|G4caQEiP%+-^!2+tXl?A>X zVl3eXjp%{;UJS1z4G*-Q<ez%Lv%4R3@?v)&3#d|5HU!^L!U?W@3{Sr1G(6dQ2^6ZQ zI<JH8*5T+p$9b%?M1`kBg7Z75rQ3P@`*qIair>Fq;=HK%6VyI-?Dn_u?RGans(O$Y z_&Ro*NH}(fNU%UUmNIqnz0Mqt-8Kw66c`v7G*5IMy!@Q=U-Mxe$8H;m%g;G~H9uo- ze#G8cq9V{4q9Wqa8>7PE(_5k<0lH5@U>^$u1Hu@kg8%5f(sIf9!xKAqpQ7=vHz zbO5Jafo>NS3CGTM33hNIka6s6mtkjM@a)|WI#buP@i+sxS^;bK>HO#0`Qb&rEm)@l zxN?G|321xVqq`4OO?3-)d$Je{aC@+Dp6Z--f}Me(v+oSJuBuD$Xgsz7Vd6_=2XIyh z_UWDmYG^?XaO}2n1ocb0IUN;$c001T8Xj=$JnYDMxkSX3^QL3B6z64bM#USBiiaH) z558u0HN5@(x?{H!3+M6X=lso&_`w&idUUseTaX-zKf4`RI4^)2Vw~4Kx~G9fqgc45 z6n`t;P`v5bd7$%@3+E}9&I6$4nkXlzk><*I+EMZF_lu64CtMY8fUG#sIjw?&fx)G- zt%d`XtHB+O1P)M^1_w^`%W!+JUqA(zPj?&W5(RL5t-%SauXRA@R`>3M76$^HAiahs zU&`CS^?~$)Fz)j2fGkG&XN@TT66C<;-v&9{<)1x{^3N7*3b_3HVa)^X&zjj0D8H}T zfl~rze-^j<A1I)^p8?7J4T@m*Ur@y9{zZ1!-G9mk;eHKgi2KWI3AkUBboVo;pu69} z3T!jD{$HR1cK-(zobG>Qi{1TPNbZmDg1CR14FUIuLUJNz_&bJyN;_C*O9)gAb>4r` zW(A6_-Jt6t82DTG89|*b(7jg-kp2_qWrpx`ec|`o8^C%U;QQM+5GS+NsBnNb<+!Nu ze813oyd;|78N|>=HR{R6H7WwgMhZZT6!GZY4Jw>L2jdC64hG*PiT%W6QrwATD2L&t z7m=2D8|a{L0yWT2{R219L174Opo2<4)bJLDhPRmIXm}&i1zLE&G^ZfEahESQ?9lV) z0y9MZtgr{?&lC1I^QV&)_WYS;0X79(J{BB@<WEri;_Y8-1t$QK`WHVO(cS;R6l^oN ze3{_{cK-_}obGP|C1Wi8qgCc$Q^4+Da1P@BFbe|Z%U26f0w&4*60Yd(S3q+A4p*@I zIoxo%|Bwat@P7q~MX>uXT!pxQnmGaYo09H+3lDVnCzyak4jleBJizYP@WknUCLHe9 zLUKRDU5NXynGtY*sX58vAK{Je{sqPe_y6z)yWhhHr~92i*%C|o$%4cpIQ$KsK-@1z z$o*T*NOpgPAG-S=7$Mv*;SY9yhCfdCH<@8iKdT_I2zGzMYl!>9ObLYlS5uPRKO+#` z{R&9#w+I5ezat2z`wy97cmFF$EP~xX;S<FD(@Y4s-;{Ls?+8J6e}W+*{3Ala?q3m# z)BQ|1+^>b?{sTWD?!RVC!2P8rB!~ZvaCG-CFhID!A_DCG6A?Jw?_`2K{Iejj2oC=b z47}j>u^1uuZ#5>_{Xe46-Ty!z;r<!XVE4a>#_9ehW9;r<1&Kwl`xQ7K?hi8}5dL3{ zNOr$O9J>1zkleo`4(xu8c%1G(WQ5)QuOP7qc7Fgr#QoC@3Ao>sboW~%qPssq4-x)1 z62b1*NW$rUCLHe9LUMnD7{vY83<$Wt)R5%xk4Qmx{{mfv`+uZ>-S3f#)BR3{*uy^y z5{uyQ-yjQdzZfC+Z#5v<{T1ox?th?zaKA(b*!>w9INjf5fZhG8Ah8H`{{t0>`@{4J zg#TB4lHETe3*G$+Nba}D2D`r_8>jmZ>0@{QD@ZJY-7la6asM<u0`4~@-Tgaq(cPb* zjR^mUJh1y$<l%Hb6At%lA-Uhd7~=kGx&+)`sz-A8-zY$L{{k(9`zs2;?mtn8)BR3* z*uy^y5{uyQFR+5RUyP9Zx9XDY{vXBY?th?(aQ}=Fu=`(>;B<eJE_U~?g2W=&{R<o+ z?hn%;5dL3vNOr$OIlB85kleqc9PECM3Y_jgq=Vi4uOP7qcK-zri2J8$6L7yN>F&3v zLU(_H1|s}#RDs>EQH|66OgP-Hh2(yQ0EqjqX%TRLsW!>sA5n|${srm?_y4E`yWgV@ zr~93>v4?*aBo@KpZx9Y~zZfC+Z`C5%{S^)9?th?$aKA(&*!>xeINjf*h28zDAh8H` ze?lC@{b8B}!vCu#$?l)gjP8B~B==jifZgBGg46wnG_kw?6(knH?w^nfasM<80`4~@ z-Tgb-(A}S)iU|LRcCh<bwBvL?6At%lA-VrR4#fS})Csu1RD<O3ztM^A{sk%s_g8d* z-G8DBr~92Wu!nyZBo@Kp|DhP-elbGs->Ocs`+xMHyZ?bQ!u>OP!R~+2i_`s0>e$`C z3KEN8_bXIG+#jY!ApF0ok?ekn3Fz)uKyv?%31IhgOvLH_Lu%OF{|XX|VD|?!LEJx0 zm4N$ANq4`+WOVl@C?UfC#$>SjHKyQnKNAl3YazM6p%dc%YbpfXU#d!S_(x1bcmD!K zg!_L?1H0d2I!^aHsbUZREJ!SZ!+*mBi2KC|xqqt)$?mV1iSGUf3JCX0%mTYVV-`;L zH>qHE|0+l<g5CdMI>h~9$^^pyt1`*%pD_pB{R&9#x0nldf5%*$?mwiA-Tkj1u?Tj* zz<h}NrzsI|zbWbN-!UKE{R#4j@Q+vkcK?b6INi^L!~I%F?sr%QasM?%0`4zWB02nT zEJAnx0y%{HD;9&@e_}CC_d6+J5C1GkEP}(oU@gS`VuakkRgq-(|5%Fd{s*!M_s>`c zcK?fIINjf*h~53GAh8H`|AH+L_lGGE2>-7NB)eZ?CA#|+klepxCD{EOt8lvikOFr1 zzk<Xf*!>rFL)<@2o`CyJNq4`+8g%z3$RNW1#u~8uHP+&EKNAl3YazLx;V{Jg*W?Je zzf_*&@Q+xJ?*0YR2>1V34|c!D2AuA9lE)tYS&&!+hrhuoi2KC|xqqu1$?mV%gzo+a zQV91;YzDhOV>3?oH_2gl|0+l<g595R5#s(ZSpwnzRhDG;&)ACYeg!1=TWkZnzhfIt z_aBnQ?*3PhSOmL&!VQS~r^yg-zbWbN-?0PT{RxtY@Q>IDcK?c<INi^L!~I%F?muuJ z;{I#W1l(ULLvr}v*p2S~1riANSL^}1|HK}g?st;G9{yR7SOkavhi4G?ixG1FR%w#m z|6?Dz`yYrS+&^PK*!?f|<8*(MG<Nr|g2W=&{R;0O?hlh95dL4KNOr%(A$0dEAi00X zA+Y;74&!wHAt~(ce+7v}u=@kPLfk)1l7Rb7Nq4`+QFQkwh#|uN#!;~QHICtQKNAl3 zYazM6;Sa?9*CYtIzf_Xs@Q*lw?*0X$2>1Uu0d~K~Nu2I?lEfbVS&&!+hyMm<KFItR zA@^^UAldyDr_tU2Km_4_i8EmLXPm+5{w4|R?q3CoMX>uHa6#N3CQcyyzlxLW{u$@c z-LHV;ev9*9_jjDf>Hb6F*xmmM5{qE>3kX5nKTV8)`%OuA|Bj33?oSX#gnz^(u=`hB z!s&h{9PZaba=(Kl#QoPq3An#hjO6gYaRuG|3xp8vueb_!|B0(O-R~rZJ^ZsEu?P<T z0tJZs#R$27t0>9t|8X7N{SO2Y?w@f3?EV)waJs)q6ubLZL1Gc?{srm~_lJoP2>-7l zB)ebYHoE&2klerHHrV|fcW}D@kO+47zk<Xf*!>suAnu<gOu+r7q`TkZ9=iJz1Q6kW z;~v=k8uxL!p9zQiwUFG;U<PsjH6a4-FBK*^{39NsyMF;c!u>xUg5B@&2&em<gt3Qz z79<wI;cs9IalaTL_iq&<+5Hty(B1!l58-}^r(pMIJjLn$CL!$ZUj>Opu=^8SAnp$n zBoO{z1xa@QjOXa?S3q*V#S5_eJ6_;){~<x_?tcY|MX>uPcthMjO@M&=O-XnEj#udJ zPvAv_f5dCB`&Yci>3$|0?$<(c|A8Qg`>*j6aDS-)$>D$FExP*`@F3h@@eb_%6Yp@k z-$?*__-FBfO#z4hhe(L~#R$27D?iEZ|M3Cc{SUaoHiOq6&iDv+|BH_}-QUEI-TkW| zu?Tj*LL$WdVSEI_|0^HK?w9z2?tTR%_wV=uc0b2gobErwhu!_JAh8H`e?SJr{nK~} zxZjj?_gj2NcYgvGBK&WB2fJV62Tu1h;c&kelKUI-A@0A%L%{u|yd;Nz#4mLBFW^MD z|Hm(|`#pZ+biWfX_VCYw#3DHSH<Ur#FGk4yTX{%!f5l&P_dno3xL@KQ*!>y*aJs*V z2fO=ML1Gc?{s*-X_lI#42>-9#B)fkG0~^|T6ADP~w_s!goj1|J$cF2@i9_7j-Tw*_ zi(vN)v_jlJjf;T$O-XnE4rX-sC$J;JKY|79{uL}Z-Oq%>{aQ%wcj$q*{~9L&_m^^! z9R4@h(A~d)4dMO@cCh<Tu;X;U6BqXI&w|7vIQ$DHL)<S$$o*S6Np}AaPIUJ_U`4op z1{c`<FSu~JzljsO`&U6?5$yg2vmovd;~)_JUpYv2zXUJ3`xTJfzk?U-ehxmI?mxtV z-Tkj1u?Tkmg@q9JPh%(GepAxjZy|v0{sb08_}>r!yI(^Pr~8?3xL*s&{R}H1?!U%H z!2PA{B!_>5FuMB}FeBXmLm2FS4-uU1cVfpL{#lS%1c$%D28jE`2)TbN8_Djk5JPwW z115y~CB(t*&k)Dy{w6l;?q3CoMX>u5wnN+>#!4Xkzp|3-{uz?!?pHu^zl9Xo{T)&` z-G7J`yZc{3ViD~A3Hu=KpT<JK{idY5e}@dZ`x6)u;U6IjcK-@lobG4B;eIV7_a8V4 zasM@D0`4zmAvyeS$fLV|0RzJQ6$)VYpHRT*ekT^};hzPGMR53kI0JFN7$Ns>WhUAE zKa|kj|KR^$_<2<`l)>(Qp^Vf0P0ZNczX}qIVD~Frfw(`6i9q;&Wg^-A5^Ct~S3q+A z4mGg*In;5w{}2;)_rHR~BG~-_w;}GI#z?^Zrlh;yLKEHn3I7n`e?t@Oehn?0?q|Z` zek~;TH#~y4{~7}U_m?t~9R3kH=<Z+e7vcUNI$-yE=;Cz06C?KU&w|7vIQ%!fgt%Xf zko&hXknH{neRTIf_=9l2gaO$783s7r-^763{i`6c2zLL24-of<{r`*qe3-BQ|Ne&^ zrA6fVBr}ZA-LHV;ehXu;`#X$ry8qDszyCe4?7w>jiAAvc1%5!>KkXj@_nVUL{vD?1 z?oarQ2>%E(u=`h-;dDO}4)<#zx!>VG#QoR)5^#U%Ka#`$h6TF&7yLrFzrqsi{u7os z-S6}dd-!KTVi6qv1#J9~`7c85-};wi_y4d)cmIQ*2=~vh0lWW&4Nmtr{l)J7RghQ& zyMF;M#QkA^2!#LFKP0<f!XDlI3P|qXVGnjchXYRcANqsc{jVUg2zLJk5s3Sz{U+dk zQ_|gU;e_t~gdd3Tzu^RSzlJkT_cP&ezZR1F8Dt>tzxIoO`%8b59R3lm=<Z+e9pU~T zu3-0jxZ!la({JqIp9P6UaQGW2L)<S$$o*S?k?j5o4|MlG_=a%5geTbj8J;-Z-}DQ+ z`&U6?5$yg1Er|QWei8`(uRlq4{|s+*_bVW|-@*s%{th3U?mzSsyZc{3ViD~A35F2& zPy0c@{idY5e}^Bs`xCw*!au?v?EV%0INi^L!~I%F?mu7wasRdN1l(WxgXHkP5s2>o z1z!;EuLuIW|3nZ@_dET-9{yR7SOkav2YZP7#R$27>vxjf|04w5{SQ7P+&?1}?EV*_ zINjg$9lQHiL1Gc?eg$`k`@_Bw2>-9&NOr$O1iJebkleo`0_=W{NSy9J^bNcFUqNCK z?EU~hi2J8~CE$Kj(%o+njqd)0Pl)ip5e;^~Mhs5(GvRQ*7LxlLLLu(I_Jx4^OTUsF z{t<EL?qBc`;r<_SVE22(<8;5%SM1@R1&Kv)_-}}TxL=Hr`?r1}+5HuX=<a{;0pWg$ zB(VE4l5o1e=?ixEuY$xP*!>TZA?^?ROd$NfekR%dGg8pquYlx!i&U`tJ5q7F|Ilab z?tcY|MX>t?vLWuD_KAS|O-XnEj&yYQC%i|5e?$h@{VOtXx}OP$`?ZkV?@$DB|Fw?< z++X^M<nX_dh3@_Z?-1^<$OgOrL^e+MJAJ|){#lS%1c!e?CB*$=gxtUNBgyXnk&EvB z2X7JXpOFW4|BF1F?r-{t-TkW|u?Tkmf(D5D!#)rQ|F0iNcE3a+y89K7+`pp`?0$|S zobEsL0lWKOL1Gc?{tN98_fLCI!2PDAyWgS&-Tet~5aEBL1nho|Qk?E*!r^``B=<A) zL)?Gu9Rc^3z9%{SBg)a;zu+~({XfdV?)Rv`>3*m8*uy^y5{uyQH<$)-zZfC+Z+%Cy z`zxx@-T&Yf!u=A}VE1QK<8*)1JM8XX1&Kwl`xE9u+#mLqK=^-sOS1cC)S|mz0m=Oq zbzt{*)Zujhp|{xG{|XX|VE0d00&)MeHw4^oO1k@ZG@!db;UyycBO1Z(U(txu{Y*IA zuZ86P1FIqKzxJAd`%B-D9R4?&(cQn`1;YImEnxSbXu;`zr#INcKMN9z;PC&j3F3Y+ zLhj%Cnq>F?XhV1ZgXakM&u9m`|3y1a_cy)9?*3JfSOmLYVHd>xVXp{;|JPR}yI-OU z-Tewk?%&Y`c0WfqPWK;rh28zHAh8H`f51VA`=`Am;C@rm-EYy0?*4>li15GB3wFOo zA5Ql(;c&kelKUG@K-_=r1p)V$z9c#PBPO7`f5B6P`+rOTyWe9XPWL;##2)@xkXQtV z|AzAr_lps7|JD~IyT4*Gy89nILAYOH3fTP_Q*gS!=>>N8uY$xP*!>T#LEInqoIv=0 zeNM9bXG}wPzXFo`EvAFr-!UDh`wu<G?*3PhSOmLY;2y;N)1DD<zbWbN-!T*2{Rxi| z;U6&z?EV$AaJrufhx@gV-0$!d;{Iz-3An%X8Oh;)V-C9e7d%3^zhW-f{U_$)bidOx z?BSmUiA8Yu7rcSEUyP9Zw>~A={XgcTyZ^yMg!^YK0K5Oi0-Ww|dWzlst01umcK?FU z5ch{YArSswpOEZ+iN)ydS3q+Aj>Ta2b1cE>{zFf&yZ;p=7Qybn@C)MpX^#oG-;{Ls zTP#C&f5HPq_}^FtcE84QobG4B;eIV7_cJgFfai~{JtE-#(#Ir+f5b|3_b<4QaQ}~$ zVE22h!s&je$JoO^3lfXq@HgOuxL=Hr`?o$K+5Hu3(B1#w9>V<+Yr*c%Sc}vBO^>j< ze-$Ja!R}8GfVe;GA%XD!`jBMz&sdM{eg!1=TWkQkzheVV_aAzQ-Tkj1u?Tkm1aXM_ zr#&FxepAxjzhe`+`xEXW!arg&*!?Ru<8(h04)<#zx&MG1#QoRq6L5d&1Cqo4##VIq zFSvtnf5kSi`%i4c>3*jN*uy^y5{uyQ|DXzSzZfC+Z@o{l`+w{}cmIRi2=~v}33mUB zojBd!bRWC>S3zPC?0yAZi2K9t5eWaU_egfX#2$3_D<HXl#~!fzIrido|Dk)>-Tw*_ zi(vN$m_Xb=?JfcLo09H+i~Z>CPq>8${~P<k?$<bg)BQ|1+^>b?{swD^`>)+0;QrFP zB!_>*A$0dIxQTH8k3(ShdmP5;ey6+G!#@iWi{S9z-~@5M7$Ns>y+gA5D~_VO|G^D} z`z4Nn-Jfv`r~8}kV0Zs2NGyWg|G*RC{;=Bw!vE`SlHEV!1iJebklb%^672qtlQ`Xf z=r(ruzk<Xf*!=>55cf~JMZo>0q`QB|X>|7|Tt|d|#2K*rSDeA=ekL65*Fti?Lj=VA z*KQJUf9Wlf!~e!PboVc~hH!tyd9eFWoX6>Yr(4*=KMN9z;P5Yqhqzyiko&jZB-#Bx zE~2~t!BvF&XIuii|HUPo?r*w@-TkW|u?Tkmf;5Qx!)_1=|F1VlcE7|`boVPDxqru1 zu=_c#;dK9@8`$0d3KEN8_g~0`xPRJp0`4~@-Tf9f(A}SK1rh!?Zh+mdaTBNenQ*vY z3(5Tqr4aXDyGFqMrPpzUKj?NB0gukl-F`Mc-EIa*7luKvY;o*nF>vfIF<^n+*Wz*c zg~#Q0pc@UJF?t+)rr^PO%%ihJg~OwFa|a{nKBMUtjvNdgy)`NvFXXQN{oit+#1M2( zE9eH6X3<psQNJoGoDG)fyg+hi5P{Fo3QH^XR<yLh~xvt&odagFQMw?-PMugTjC1 z?|+Zp-Jl!3J$g-fl|g!5yt)h)1l=<1(QEoa2_y)<dGZA)y?gZT{=vw=5dCuTwZH!- zOqkH!7Xq@iyA5=0Es}51FPns1k9PUh<rmG57(uR`Cc(_W(Ag#fx~{NyANUfP#$yby zi`Yu9fK3OPe*|Ja=w>jI%s&A({|v-@=*><WVCIX$%*S(I$p&_`{bC<3BGOyMMt0DC zu@f8FaqSnIbQODk*>xFg3OK)b%n$<iH`A^VNN=o=IK;e92)FxZ>_K<G0h0SI_JZBt zu@|TNFI~az{$H06?mut?;{Iiq3Ao=Cl+sAMPjJV6boUor0NV`SZyRv{?EV!8aJpXz zhx@IN-2dS|#Qo1M5paJiC{vK;{u_tT-M`^H!u=J8!R|kC7^nM#E@Kb>Do8AX(~rV) zi2KzDx&P=Ta2Y^K`uTAb-TfcVA>2RX7})(Uj^T9wq)XV{zY7wJVE1=?hqyoOB7yK{ zCEfiJC(+$+faLxiC&BLLIEB;wmo8#=|1U@^g5AI4AH@C3E)a0P>qU~o-{K6q`wPw@ z!vDq@u=_R6;&i_d4)<Fjx&H;LFu44AcAkLyTQ898{)qGF?%!|*;r<`z!S46CfYbd! z7qEwa6(knH;V;1palaZN_a8k^vimD8p}YUXX@vVFE`!~laT%xkC!NRc{#}q*1iRlu z1mga*a|FVlm2~&dxQgz610?rbTm!qm;~Gx)Upj}~{l6fw2zGykG{pVO&Ju9H>p7Cc zf5#1U_ZOT(gnz_Mu=`is#OZz^9PYP5a{mKui2I+NA>jVjvn0F!#%*->Z#ao?f5jcJ z`%m1#>HeUz*u%dH5{uyQ7chpnUyYFakDejf{Xg!ZyZ^%pg!^aQ2fP2peVp!}bOyWo zcR^wi?0yF;i2Kt{69|7+(%mod2;Kb#NbcY92<(22$2i@8=`?ot|ANFK*!=~L5ce-T zMZo>8r%4Wfi>K)BFF1|}{~J%i?$>yR)BQp?+;4^C{u_Z1_dh#H!2PYKaJV1TpJ>>B zot1%sp+wMQzZNS40}lfOgW<Oqn~wec@6+8J;0n5Al>yY`Z!T~Hu^m{zoDw%s=Vtc< zc@VWZ!VT2VFg*EUHJUc2&Snp=HV>AIFCgrTFPk4Sc6R$f3<z)r8(`qZzyQ4i_(eLJ z87!U63Q#i+zF>lI4!&dpo1y|SWd+C?jfXj)&hY5G7yVM<*x&zX{?kSH@6u7Q|0Iz7 z=i!dzKOc9HyLJaCfT+y|?oj`oM$-mz4p<w+e+upl3{d~6Kn#!o8PIr`18M-+Wo<{H z-J=O;?lVNV&j@1C0~e5+AmOjz0b)bLU&RCDu-ykh#`kXK@PN8c8%-NiXY&sv_kq|@ z_x*vmuLER2<KYL$?t69whx@V+?wfQ39DW7J?(;-;pC{OT8p<GQGlwVCecfo<K<)#3 z3le@mJmBH?2jW3c57wi1^8=U%z0eF`>1@6LH2|DAKpa@&xB@X^hY|yWN8{lgP$R%m zzzp#sTKI#mAAzO+!(jheAo=eHvj6@-{5J#QzXve?twz(v)Y*IitPSEn5E~l)S0Dx? zfDC9nyaQ?g*l}rxafCnUdJ(Amgdi3%AiK{CDg6I<fdYQFg9?b+{J;wu{@iHVK<)!; zgSyWP9{yJ#25>+O*a0)()FE)V!0lz}Y+eC1037}x4lMlFK#aHm@!|xi5nwMi9|C(3 zE&L;S;N_1I#GVt*AU{FU{{?Rl8=C&Fc!NB)+rth-ZQkJx^`ADHHm1(z6<}=;|AE-h z@LvNlKmcSw<KYQV1Hg`Zb`b2i2@}xsXAHu9lMaHzVFI%Ie30Ge19I5z4<O@vH}CL) zy005e8_0cNZBY05z{7tH#DE<T117)>@Io_yrL(yKY5+L=K^$24w?K?&02$GEI0I?~ z*o({%FGB9u_3-HY?AiIxW4|#20|V$*b5Qwz;O~ErZfApTZ;LJ#jt&<U2anE2KAqoR ztUUJje@8QD6v?Nz7&KV*06bU)9%FLc(IL#h;M4gXG;o!0=<oksApTAe0TJ|QKH?GW z822&-V*LciaF7c+KYQ#qL0D~m;P3xq%?p?q7?^x|ixYf$cXu#>f)8wktKk8k&hI{* z|6VX0f+=G*yabkW++pDbwjLz?@E}Z@2`;_j9!wg1$NI~?P-lRy1_xu1YntD{!^0fm zs73oh;n948fq|jB8#KCx8Y+{If<ncEnSsHlck=<z0A1r@1MqMa)MX3W7-0e9e(>-A zT_FBW5P=#n-Unbw58|G1$1ul`-~d<zSs@%Gxc~2e&+ayx01*a{&gKtbo55p&KD~=? zKy2n<U|;};*MFbRXD==s0BdkTXfV9A6Qsm(M}{05gHI<|)hdW8A1ta?Xmi3;wLnyb zU{R$Z<p5I^2T>J+MOB8nGfb5QL{$nFRVz%~V5)>5s&cTXdg15^Q}qTCC?!}_Y54oV zR2_q;s==ZvBgP-5Y5_!53l>!?GJ{~MsvxR*u&8=b77A4b+v^_Z82{39|KI<g`Q?5E zdvyNZxg9h*+AC^$7F1Z6{^Mg{c##Q8XuZ2%OyppAad#hhFjrv`2g8et`~LoqJ`B?7 z(fo$Pqu2BTNcC-yismC6U<r_s9=+RNYy%BA@87YEgCUDCi_vi>0|Ntt;|>rBX6^#9 zUIc>e*}PyENb&9u_!X;w6gMAH0M*1hz#24`gH7jf+{unG9mIr~{u$)1-pv6!!HOl; zfED9%$}x~)i0KRv(*y8pm<rO+yLrNPu<0H66=#4HLrgD#n0^4i26K>x-pvOfZvTN_ zF&9WN#B>8lP#Ubo>)D%o!EJE?NL)gq%#?+ff#HQcD3CkvzcBpw|35gkUaW=3c_?UR zf}``dN9R{i95#SM*`wDqjTe-1Y(dnE{@s87dvw0*y#K-!WJj;<H68|r7c)Vky|$k~ z?ApD5p(ZQu{`)^PIEx_wIdypS@@D-1|KFombn0IaWf}seZ0~{eDuT>uKBBNcg@J+L zMe%N!Ns%DCKx3=1hZz{)$rF?$LCI_skA}c#2#kinXb6mkz-S1JhQMeDjD`RSA;1V8 zj(}>&`r!a(bsUD!3=9m{F8}@CdFAha&}sp%D}Vn7T>1N-5v&N6fQe0D0u7%rFgP$n z=n5VPy+IU0zmS8_3K|f4g#m<)Foe(w0T4O@G*ZRDz%U^R!mnt7&<iF(=!CgKU<U}y zgU~aUL1>TV5c<M;2;Hy&Lce$ep&b;3!TK!RA@mIaPzRlX!9fT@uMmdNKSUvPf;faW zkb=-Nq#<;N9E8qLfzSqe5PF6_goc?1Q~%*VsO!eS@IVA24|W6t1B1k8h`7NQ2;IQ} zk%zhCf+~c+;Uh%8;x~kbx$A@ks{99eR6a~UD1Jb=qBt{GFD0``za%L>Ewd;zFS8^* zDK#UpOdl*$l$w*D400Qohg)57SxIF<Dgy%p0d>hmWyL9_xdnvO<)-E`WEN-U=H@cw z7K7{u_1hVxnXM)G7#I#QGBC8P{rlgCkAY#!#=rkd_!t<DZ2bG*1jOI;_kRx`1H+Te zfB&E0V_+!R^7lUrKLbO`*1!K9_!$_cZ2kK`gr9-o$=1LB3-}orOt$^~-vbig_V@n^ zeg=jw+y4GP!q33qvg7Z60RaXElbwJ6#|SVmOxgAK{|W&HhA+GR{y!qXz!0+k@Ba@V z`2&CdTL>~RTsiRfe~Tak18AJ>f*=FKmxF)*%Lp+rOgZ%Te})hPgUaE*|F;M+Fl;&e z_dkO$1A_}_R9%>X;mVP}|7Qp@Fr*y)`~QhB1H+f2fBzeZFfbfB_V<5{2m`~E<A493 z5Mf|oIraCyjwl1el~aHJSBNq&EIIx6{}E9JhLSUX{|ksQFu0uk`#(mEfkEWl-~TJb z7#N<M`}_Zk7z4wS^MC(4h%+#xT=@IHN1TB{<l^7|2gDf|N-q8V|3jRC;mD=G|3xGi z7(_1r{cj+_z>sqJ?|&Z&28Jz{|NhUAU|?Xm^7ns>1Or3JmB0TNNH8!gx$^h_9tj49 zlB<9J%SbXXm|Xk&zebXQ;mhs6|DQ-QFtmU+x=ArGxIF*+e}xnS1IvrQ|2d=?7>>O7 z`#(dPfuZH)-~T7185mq%{r#^Y!@$7u`tSb^83u+UumApkA;ZAX0@^4o%fR6B_V51{ zvJ4C?@BaShkYivt^6u~d3^@jdmiK@EpO9l<aQX1}zlJ;m1Ix$1|2yOv7><1W`~QVJ z149ewpbZ5E2A9u&|F2MBU|{+3_dkas1H+LofB$DFGB8Z}`uG0{MFxhDZ-4)5C^0aI zeE<8uLy3Xm%J;wjUnns!OaUF#q|Cq&^7HTi70L_@BESCr2aU*I`StgIh6)42l;3~< zpHN|72>J8(zlJIUgUH{%|2tF}7_R*N`~QV11H%;1L4Rrt3?cvj{$HWSz#zi#?>~n+ z1H%=DfB!So85pK8{`-GIoq-{Q>EC}14F(1g=70Y?G#D7JF#r4iLW6-}3h1C%O$LS# z)_?z3XfiN}u>Jebp~b*(h3((}3@rwRDeV9LpU`4p2;unmUqhRLL4@<){|;>ihAW)^ z{=d*>V3-0rXk3SZA%y$i{}nn63?e-L{&VOuFkIpJ_di3Ifnf^ozyBw685lzN{{7d` zV_*>B|M$N`kAdL||G)n)^cWbXfG(=gXJ7~s{P%x_J_Cb@(7*p21`G@#!vFr~fM}6_ z|IZjOFt~{R`>$ijz+fWw?|+XW1H%`wfB)YYGB9ir|M%a=h=HL*;@|%@MhpxllK=km z7&9<@k^J{R$C!a(i`2jWXN*B>0RR2hF=1dZk@@$($Ap35i0r@rA50h+TIBxy4=`n5 zaFPG_e}gFl1B=4H{{m(V3`Z3H{Vy<MU}#bN_y2+!1A~jwzyAj23=AyF|Nc)fXJ9y@ z{O|t<a|VVMm4E*OEEpJERR8_oV8Ot^qW15<fF%RN5w(B+3qZ8`zyB9385o{u{QGZU z#lXO#_3!@#D+UG^?SKEjfcQH9{)bpIFdWhO_kW8u1H%^GfB!{n7#K?Q{{1hpVPG)P z|M&lj4Fkg${eS;WY#A7~82tM`#g>7g#PHw$FSZN}CPx4MhuASNd@=g>e~TRh!xrOz z|3&N>7)nh3{V%a+U~n=0_y3AL0|SfMzyBr<3=Bui{{5fgz`)RA{_p=62L=Wgi+}$^ z92po`EdTxA;>f^o#PZ*N5hn(Q7OQ{%OPm-OT&(~7zv9Hez+&_7zlk#g!x5W*|ED-J zFtphI`~St6fx*S@-~SL71_l=UfB(0*Ffbgk|My?Sm4Ttf;otufR|W<b$AAB?xH2%X zIQ{!?;>N&m#OdGvDQ*l5EzbY`e{o}AaB=zfKg6AZfyMRT|1Ite3`bo5{TK0IU}$ms z_rJu0fx*T7-+$07AB)Go|0bRc3`acv{h#8=z|i9P@BbH11_l?efB!?g7#LW*|NY<M z#lUdH``>>NZw7`IpMU>Lycrl=eE<Ci%_On-{rhj?!@#g4?BD+xJ`4;g;s5^s@L^z3 ziTL+F!k2;JNyNYZJA4@!mPG#hFX6|)uq5i={|Y|_h9lAc{@?LqVAvA#@4t;d1H+ft zfBzTwGcat4`}dzAfPtYT{@?$E00st=gn$1J1TZjsN%;3)A&`N=B<bJ(mOuuEFG>IY zKM7=D*pmG3ze^AULrKcN|4V`x7)(<C{bvbgVEB^y?|(`#1H+cIfB%mJGcc5-|NE~J z!oXmX@$Y|22m`~HjDP>1gfK8{$^7@<C6s}oB<tV*C7}!qCfWb~vxG4)e98XzKP8NT zVN1@x|3|_Y7)o;g{Z|QRU@*!1_rE2af#FNuzyD9d85p+Y|NHL}!N5>b@bCYU2nGg| z!hio+A{iLI6#o0463M`@rRd-PBasXYCB^^#t3)v{n3Vkc-x9^Z@TKJ6|0hul3|mV7 z{db9GU??g3_kT$=1H+fHfB*M@_~rlpUx{X5m{R`l|A%M>h9l+w{<Fj|FqBmM`>zti zz%Zra-+zY~28JsY|Ne)7#4G>(FNtAbI8yoV|AZI@29>IR|ChutFtk+t`@bWGf#FHj zzyD`q7#Lit|NVau!@#hl`rrRAF$@eWHUIu|#4<3X)cpG|6U)GGq~_m$0}#LV-~WJE z28J!Q|NiI1GBAkL{rle#%fL`l_wWCdSO$hGb^rdah-F|fssHzX4@kcM-+!Js28NXW zfB!Y&7#Ob1{rA5jj)7sxhJXK0#4#{@+3@fG6OjD=fB#M585mrc{{O!c&%m%n{{MfK z1W@_#|Gz^51H+S~|NkE(Ffc4h{{P=0k%8e#^8f!KAUfs$|B^%o29ebN|2q;H7+TW) z|38w*z;Gn<|9^%g1_qX_|NljjK=JthzfBS-9{>NJlLU&#|Ns9af#UK1|CnS@JpTW` zCz*j^N$&su7m^tmLh}Cqf04|<z>@#}KSK%wLrTH_|28QM3`+|B{|`uEU??g4|34>% zfniJG|Njjje$oH`4^kKyOp5>icSvPm_)`4;|AJHohLqC(|Ief{FkC77|NloSDBk}6 zk4R%+2&wr0e@7YvgGlB7{}Sm83|A`u|F1}AV3<<%|No7228NL8|NkvA7#Kuq{{Nqm z!N72(=Kud684L_lYXAR_$Yfv$sr&zbM<xS<Nd5o+5?KrkSL*-&ugGFxn9}h7|BWmL zhLFbp|1Gi^7(|->|DTb~z;LDM|NkG^3=C76|NoE3VPFVp`Tu`M4g-To>;L}}xeN?f zTL1sA$Yo%d()R!Vja&wXkoN!oE%F!`L^}TepOMGFaHZq_{~vh_3{yJ)|BuLLU<m2@ z|9?k51A|ES|NjyN3=CJg|NpNjU|^Wi^Z);i0tSYV-v9qC3K<wg`u_i)QOLk>rSJd$ zAB7AIQ~Lk^k0@eb2$}Hz|BfOC29b&X|4S4zFkG4V|9?d>1H+U_|Nq}8W?%@J{Qtj2 z2?K-3l>h%{lrS({nezYtj}iukDO3Odk0@ne2$}Z(|Bg}y29fFi|4WoHFkG4b|9?dp z1H+UV|Nq}8V_*oG`TxH~IRk^ptpERKlru0~nf3qwk8%cvDYO6okEmc^2$}Q$|Bea< z29de{|4USY(&hjE6_pGOQ|A5uf1{FtA!Ppl{}xpY3?d8u|DRFCz;I>3|NlR#7#OB3 z{Qp0qnt>r?(f|KDsu>tW7XSY*QNzG+W%2+26*UYDQ<nVyf1`$hA!O<Q{}#0j3?j?^ z|DRFIz;I>R|NlQ~85pK4|NlRtj)5U$#sB|1>KGVAR{sAlQP03|W##|>74-}ZQ&#=| zf1{p(A!POc{}v4l3?gg(|DVyoz;I>F|NlQ47#OCk{r^9rk%1v(-T(hP8W|Ww*8l%6 z(Zs-TW&QvE6-^8bQ#Snnf1`<kA!OtK{}#;*3?iHU|DVy!z;I>L|NlRl85pK){{KIs zg@GYt%m4p7S{N8aw*LPw(aOMZW$XX{6|D>mQ?~v8f1{OwA!Pgi{}ycw3?e)J|DVyu zz;I>9|NlSQ7#ODP{Qp0qoq-`_*Z==J+8G!`cK`n`(ZRrQW%vL86&(x=Q}+D-f1`te zA!P6W{}!DL3?lpf|DVyxz;I>X|NlQa85pMQ|NlRti-95J!2ka{x)>Nl4*vfy(apec z<>3GS72Tlr^#A`ix)~Tk4*&me(Zj$Xa^(O289ktO^#A`qdKeg{9R2@4qL+ao<k<iJ zJ9-%yM2`ReFVV-qaOL>_{}p`<3{y`0|9_*8fg$AN|Nj>K3=ATt{{NrR4{BHc|No<( zfnmz&|NkQ<FffFi`Tu{%1O^6?v;Y4~Ok`lVa`ylKiir#iQ_lVWe`6v8L&*96|1Bml zFo<0E|9{3L28Jsa{{R0miGg9t#sB{!CNnUET>Afi$7E2u`u~54DGUr(F8}{uF@=F) z%9a2BZ%koe2)X+Izr|Dr29az3|Ie7pz;NZ-|NlRxGB8ZJ{{Mf(GzNx{8~^|Bn8v^$ za`XRxiRlasS8o3QUooA5q2$*8|1+jDFdVt{|NoZh3=Ar_|NlQRoq?g{_W%EPrZX_O z-1-0CW(ETT%iaI~=geSWICA&@|35Pr7+UWA{~t4xfx+ee|NnbtGBB__`2SyK76ZeP z2mk-q%wk|@dHDbTommVFE|32Ix0%hr!1DP2|2eZ67>+#t|NqZy28Na=|NqC#VPJ51 z`v3o)ISdRe&;I|HnajX%<k|oKHFFslmOTIef5uz}2A3EA|8JSg!0_b7|Nj?2@-P4Y ze>0bXA?4No{~Yre7+hZe|F1HSf#J#P|NkB4F)$o?^Z$PgNc`>p|1I+v7_Pki|9`_g z28NV(|Nq~Z$G~9y;s1Y;`3wxDAO8P0n9sn__u>D4kNFG?j-USjUooG7A@kG!|3~J7 z+S#C9A_D_sRS*MXg#e>84?D*MMu@l!0|P_H;=ljZKuTQL1wfPv0|NtS1%$!;zyA$b z7#JA%1l;%}y!g4xIT{%3rL47#Rg^&LKwE!e7#J8V7XSSZ=}Ch`85k@;3-}lq7!;QL z{r>?Z<isc7#3$jzr{Kh=;mBvu%+|x&%hJc(&%|_(PXjD00an5R(Yt|xfk9;H-~as} zy^b)wj(i&Kd<PhtS^HS}ncJ9{szGKcfXx+f<OA6cviA)G14G7A((MI#T!WE;L1x+C z|6tF7#v2$I7;G3B7%Z0k{T~2zOEVLb7sxUR1_p)*Mh1o)9C9U$3=9jF{rw+|(B})& z2MYTqSmZny7#P+tGB9W?|NGw^Gynk(6i~Q1g8XXG%-RD9wTU1u)ay=s9Iku@Ow&+> z7(ix$mc{6-`1}6_$PKv6N=DTPGAk8T2yB)M69dDA6@UMS<8xOUsz#7m7g2>6K;brl ziGktCO3bj)0QsMZfk9){-~S1qbO&*>BcDPu*x$@SETB{*;KauPTJgnjgNcD5X5HWa zcR~JxrxPbok}`1QvvA~dXlCzW>xCtl!yq98urxI69Qimv;iSOK!0=_=-~XV!YYfQd zK+`HB!NJq2BRJF@K~|xfl>^!%w*K$`9&|UMnw5{L)0NKvi&;CE85nw~WEKkx1H*;& z)bV2g3j>472I}~64hsWA29?Zu!NS0>hDv6cure@wppsb)tPBh$8>tgspgXZEsAQG| z8w10hjeq|i#h+GJ5R+C@*cccbHc@U?0s{jB=-iYeoBsY!1Fil;&W}!@Y=WMznQfV{ zWo%bI2N!JOpw)1o`h}f=;ldWm-3ux|64)6SLbg)JtTpTm3^%A`)(>_DhL~;CahD4R z1H%I<nKglffgxo(b=-A_gMs12_P_r@>yYr2-E)XZ!y23n3^6+>H_HIj{^4X`xUl2z z|D~Y3<-{l8$R`1+$C&$=m?nalpi0b@Pr(Jo2DP_Va56CX?EL#b9$LpD>3IuN=gOzR z6bIu#+b9n>85l}-{r&F`vdfWApqYuu6V}%F!^yy~0*9Og7X!l`9C9XH3=9Ihv6~sd z#lYZ#LoSDlfuRD2Tn85e!x}7dpf=<ZE(V4NyZ`<_1@!~CT?c9}V$JhR3$bNwTv;Au zJ_|PkL%<#^af{vj38a`0T7#Ct&A_l>&)@%BLAzH7msuQ)*er15b720)j7`Rs&w+sf zR5qUBW?<kr^!I-o7igg)tZfW#)L?7#JjP}i$eKD%Y%&ZCps)f>Gn$<F`+qZWanXg% zBz$o(fro)%3JuNwz{9}sg@)z_@G>xjoTXj(Y~W>J*g`||1^6IyVYG_h0?^#zIogE} zXsz)T8k%nan)^IYJNHij&8gDR{12eHS{j-k0Gi{yK)dkSAi%(|g@)z}2tww1X_o#4 z85l|~(k^^JbLdxSXug3E1B1yW+PQxMXzrhe=6?`kVE95q^8<t#7(y=p{SVrHgVN^2 z-j<k8YW=uDn1SKQW!i<mfCvMF$`#s~Um(K3&_YA=FNiQOJfWfa2BHiME>~$6J`+G| zRA^}a2T=wFmTR<ge}EVRLkbPe-yp`oaD;~D3y3o?s9dLA_!NjUFtpIn{0rg?3{Pli zK4`6z%MIFv&jip~DH@vp0kk&jChgoGAj!axLPPU6NHQ=Sp`rN#QVa|#w`dnW1yT$Q zEi^R$f)oS86B?Rt09u20n|9$dL7IVK2@TEvAkDzQa));A50GJCNTH$m8$fFwX=uKH zECYkeUD}0Dfh+?<3k}V`Aj`n;gofrDfYy-Sqh0t+kYiw2LPPUE$T2Xm-2eN3KXKz% z(@1Tf2goxpq}-=n_-p{J*`=ZR0tyTaDi3Jq{sILChL#7k3;zoW3=B_b=zar51_qah zv<sgJiVO@(Xk<QUjQE2h0|U#WzyD1@^9Yz@(Jp)eDD&b=u%&-Tz5u2SY!VERG2##< z28IWZ{{H_*TpqiM%_w|h#9NdY7#be`{r`kG^LLYKzKAjdgTNEonO~yJz_8#6?ef4C zWd;U@r?g9>CMpaJ2{bf+iV6e60UDbBMTLPu;Ti41KSY&*q2U?r!hee@1H%IvnlGZp zz~JzlHtq+NK_zMo3=5wB{oh7m8B~NlV+Vldscxt-Fr0ZoyEwK`XJF8INt-YNxqpT_ z149oD&Htg!!0?8K=0|8SF!;QpUHI?NU|?ADigw{Ip~=9&^O|<%S7<UY<j~Ok8=4FZ zXI|4T{4KN?7<AsyE_`NaF);Md(EJ}-3=D5*Xnuq?1B1_7+O>gpXfrUpdHeUj5cV<@ zeSPCg>_sK^Wl*5<M?!~zVa+?*m=CH0Ds&haa^BOn9ePKHfx+VgZPs;w!pKIKfnmi5 z+U0>cx(o~)AOHS;N?bd452<ndN0)(N#z)$@KSqy%;Rg-P-=oLC5b=q2?w8SLVAw%J z^K0}$`_^ga{yX{%3>BYgXTFUA1H%m(nm@;Yfx+Sn?cD#zfPrBK4b6`+WMKI5g?4kn zdkh&EGQQF-d}NFm7*5d8{2C($290mDbN?M928IqAnr~yw!0>{G=Fc%^VDR`(yYTsA z%)qdMhUUkZFfefZpq=~om@qJ8(9nDtQwD|;G&H})lz~CxC+))Ljwu5}2Mx`)F=JqO zK|}NBm@zPT{Gwg>{4rx-SV2ScW6T*CIDXU4{d>$A7&2&RzKjI}!wDLiUt__*pz()x z;d94=fuVzj=G#~@Fub6l`Ex887(D*cE`0u2GBB*5q4_aZ3=ACqXy^VtRtyXoG&Env znt|a24b88yW?<0xPrLBBW6i+OK|}LxY#10`(9rxjHVh0N4F71h*Wiy01H%d$njd4! zz`(&sJNNIgWnjplk@=u88W}qVh7*kc{?7qzpvIglL?2^1gl+r*w4Y=vJ2n}}Tp{Sl ze-^HPG@FlqV8_6a!bQ6{aIj}!I6_177uYi}sBqKH{S2Tpl(_%VWUdyJh7ueY7*u%v z{g=Q#PKiF>yb4=N0nInNVUvK)Hy?3eV0gguk0#?}AU~)$GB6bI{`+r9oFBGhb1KLW zQP?D)e&}#yVDRCiT|Ri>$iT3Mk9O(E!-;``ho5%luW({u$f1$>pg87mW?(qO|L?y& zg>jsKCysNR85mXw&@PV8I5RMC2+}SNbX*u1GH7Uij|&6C2^yOJ#)W}FLx^_a<KxP} z&_P4<*SIn;yr7}^JfJJeglQK(Ic^LLD}-s7{@oZDI7DdYejRrPh71~--{a1}aDs;B zzj0?^&=93v`1p7*Fm%w+{52j73@>PCK945@gNGRH!Y9X*fnkLh4b#6T0|SRR?cA^9 z#lVn3L-TvQ7#L2_(EK-E3=A3)v<n{}Zw7`A8k!Hf2<`<9&F2B#!X`<(@X7IEU|2yz z^UwG&FmOoG&iy*R3=A1GG9PqaT8}RS!wIQ>|0|*M{Y>S293b%}z6=Zo(x~E~v$FR1 zGBC`L{`X%Fs=k>i0(7(o1L(Z68@>z-bEN<Mhn-gjI?L^iF9X94>3{#1L)E+RDKs-N zEdU)r!T~am$B%)*Lk7Ef8h#86J~IFQ8-nyQFff43v+-kKsF3;he=bPOkxu|(-ZZ#* zF@6jTKV<&>_l3$gGcmb?90+nB=uBE2*?<3|L2?WXU^n-G%tI4f06M!CO>B!F1A~AZ zs@@ZR3=A=7VxaSGSD=Y~@MB>3f+oh|&%odyk1!A9Hwk|Rh8}s`ezWjrVBk@}?za$- zc?t;gK>p1DnTIA;<Iliw15Ip#KLdk`B0{eZ0|Ubne+GsE#ee^=@iQ=B*;DM!w}2UW z(Kd4t*6|icz6Hz-;4{{~fDVH(#B@8zE&<RPz=r?+r}E*j>i{E)U7vZeb$A^44lpt> zfXoA(DI8+|@BbmtwE>u8NbY<eP~Co#1G{-2pl;s~z`$@N5WCyY1TZjg1X0KBb3kc4 zkbyxX;otvDPB_A%0oCmt9DE(jj(iiCJ^31#nX?&@dCyRIdN_R9z|3sSjI6C3McaE2 z&y}wMbcG@V1H+y`28N79|Ndvo;&9&tHk7zJkM&#^N4^PckaWQl#K6$8A2V!0VWAPk zz_4fk;0z1UTC5X63=Dr5|NjSHH;0+d(8nq#VoPPX_8frnuSPHfLk!b@l=9Rjn1P{! z>Hq&PpfU_z{=Eg4e;_?E!3+!$%>Vxzf#gAFVKOi<6a+Icv@rkwUjQ0sfSh6I#HWCE zhT|R7gApD148Z4Rt_fyf*unDuzdbW@d^_@KxbQi^j=p5pV@A>pIr|dimKVVc413uB z!^>~bIi7!l85mx$|Nox|av$nBRH3kAq(ET{I>+09gEnCcG9Pq4_yii74?1`J0}agw zoo61vNt^Hi#U1FJ^bMSdv<GSv><DFGIK%lLUY9d1g|rnego4JqQN=-LpS}oXVEDuJ z|9><h-8q7e7;|Wb9G}U-$mik67vRa~Pz6ek@H1CI_N#<3Fevc+|8EOQC(v`nEW#KV ze0cu<KM4|poGW%1R=0<QF)%RjBGMWtjx)j-7<71P6GkBOPlPcr^w7|Jjc^8rH#9OI zbhc?nIB5L$|NmTQ{5bMSxbPV?GxsquCo#e@BLf2iNbQzz28Idzgw=w=<v}<D!xaJA zg}Vdj41Ph{nZF={fnf>_&1V4J9Y90#6CxQHLWF1+J_kT|9?;Nyg(wCF5n<Z7zaff& zp@fF!KZs&rxI#no9ikZ+OhjlGJ_|s1Qqa(R(4l@`XlQ;y3<E=mDDA@MKnw%J78;tb z0J>{KjCSq^-|<01^B;ij4xyp>4si?&CgQXUp9OIY3{z-mK0`bM!xtKwpAgT$5F$al z@Hqgw(}jlSD<m*5h)B}T{S65W3?(!)A9T0P6&jikx--W_igw|%Ad!J#3JuK%-R1Lz zhUO<EF))Nk(=L1tfbJTiq4^5Q3=ASNv~zz0=#C^Bn*Sh~f#C`b&36FZ%_K{^@L7<; zz%Ye|<}-lql%k>e38@SWA#$_}p98533|nYuz5?hjEqU6xzafo*p@fF!KS*O>xI#no z9nu*XOcZDrJ_|s1m(kFCh71OVFElhiA%lS-M3Hvkb0C9(VG9k-R{-4wr$jsVH)Jv} zl+e)p2bl~ES7>OyLly&ri8AfNX94K$JsO(Nkj=pGg@)!QWHT^?sL(Ea4rDVhY@wm~ z3ZT0TRcYt`h8zZl5*nKSAcukB3JuM7$Yo$KQKMb>ECAgdNkj7)@)#Jt(9ryZJO+jk zb=rl`fjkC=Ei^P=0dyCq2JPJ6kk7zSLPPT(<TEf_p`rN>1q=)(nzRd_1qBQYQ)p;D zLm>mh7aE$MP{_a#qD8y#IZ(*Ju!V-^D-<y>h-lN!{S8G73?(!)|3MK0!xb8u?@-LZ zV4_32@L2%5yO)OMGn6nee4(NF2_*~+A-c2+p93YJyXI+Uz5?hjWIfuM-%!fHP(nlV zACxjMT%n=)4rL4sCi=7sp9P@1r)g+DLpcM(7aE$MP|m;*VnDm_IZ)2Pu!V-^D}e6u zHl&^V8!8wWN@!^Qg9-+QD>O9Up^|~Y#E5p`vjB8=It|TdsA6FFLPPTtsu&nTjA<7> z2dWqtw$RXg1<+meCbV;ZLp1|K2@TDEP|d(_g@)!k)G#oZn9?qM7Su2>OrfFq47Cgl zUubB4LM;PBh#Bp|=Rhq3!xkEvuTaOpAYx8C_czorFqF{H{0DUm3|DAqzC%3&gNX(0 z!e>D}1H%*=n$OU{!0?5J<|i~TFoamrE_@C&FfeSPq4^4p3=ASxv~zz$BLhPT4b6Yh z$iQ%ghUPmoF))}|(=L1#G%+wtp`rN<%?u1*XlQ;yGXq124ei3`Kr;iw78;tb(89nV zVoN*sH?%M?l+e)p2Q3T?S7>OyLn{M=i5>02XF)3i!xS2t&(Oxe@P&rvC$upzgxJ$A zd=9iRFl?cr`3mg}3?dG+bALlS149W7&419&z;K0z<~wvSFqk;fE_@brFfdG^q4^A* z3=CgrWIkvgX+kFhLx|IVoO@&&IvE(QIQ_@EM|Msp0|STif0RA48$jkEiGlWb9O-0W zSl~>%I8f<gU|?{eo%t<Y3=9c0H2+B#1H%Ctn(xxhz@XqtyYN}k&A`w=L-SdB7#JSV z(EOAh1_lQ=+J(=N9tMU5G&EnOmw|!7op$bT>1AL@prQFsdKnlF(9nFBJ_ZH_588## zl0F881{#{r($B!~fJWv^FfcHr^fNFxc>e$Y0I^r{7HF>|NUouufq}*A|NmJCxhXKY zIsFU_9XRB6^fNH<c>n)@7oqPuOy8A$28IjX*v<UV&%hAkgIyob1O^5PU+nrcCNMC} z@x{~!+DGg%fq@~x@BjZAkXs=8h+X&+KzkRNa$#E+LHibSCNMDU@caM28LG|+B&h(| zfXY<L2j6oFGIPcR1_l}b|NmQ|YQg&<A!e3=v<W!zae(%<9+<$u(Bl99|8?lTNhgpo zX#1&|4>Ka|iFN`76Zjm2KNA=j<OBczw*uMW$|umwR05U*?XQ)X$iQG5`2W8oNX~;# zAb^hpv{j11U?Ky9UEu%!n?d%0^#p@$%;wW@;xmW`DU@*HQy_^O58g2j*@Hh}A_K$3 zp#T3t;l%(pKMCw669xu`H4_;a<_7)$zY^poS3ZF@CPzMrW@Z;Y1tx!x2MwG+yV@NJ z`4l3+K?K?T4N^RcM?+vV1V%$(Gz3ONU^E0qLtyxYfI}$MPf!}vJOhc$0-asXz`y|G zZ$;x@fbu~{Yk}1Nf%17k91sow5ey8x5Q<^LR3<PB+%9BbU}%VD2J@xCBm)CfJA)LI z-vN~ejRk>(VDex8{m%#SL1%J-XjTxxz`*c=3&LQ7Pz)y^#xp>L8G<<>65J39+>Qk? zML`4-hH7Kj5DQ^JT+P5>05urY#sw*72N4Vm4B}9l!5+eZiAz8YhPnHNCPW_U47mNE zz6?YLLjL{_@gE~JNd7_jH=q{(hw@?Z@&U@Xfy)1c^0&bhK#ZjtIRP3k=mwfW<J%2N zhe7EyC|w4n+o1F`D7_3yZ-dgup!78;{R~QfgVJn)5SzuIv>KE)gVJtLIt)suLFqCm z-3FznLFr{sdK;8J2Boh->1R;-8<b`Xg4z$I)u6N)ly-yCVNf~^N|!<DHYhy}N-u-b z+o1F@D18k|KZDZWpfp=B)P5+f2BpoQv>TKTgVJeGx(rIULFs8wdKr}72BnWd>1$B> z8I=A8rP)HD_CskkC~XF%-Jmo?74alT0wlko>zEJ;5dob?0%Bc&9(*_<7Q|y<V2FUw z22i>IN?(A|22lM5Q1uD@kR1I0N*7Fk@EImT>0*d^8=$m6DTJQ@r8hunhBAnF0F(x; zw*-lNfbtVMA?7)BLFflix}h7wPw0Wr480Kg0hDg&gYZFSfv|uiBqIw*LFfrEzBGhy z02NPw(gsj*0U3yV0+c=gr4?i$;tf#x0hD%-gNQGH(hTwtegV`x1_cN|07`Fw(gKPQ z@d7BF0M&N^%HLoJkq7w&gbN%Xe1~8N{a^`%4mbp%H?TweufPeR7w|x62Q~;@0HdMm z5}@(|0uXrzDE$FSFA#)?GYCUy2PiEd0^v^(gU}2T5L%!XLMK4!15mo41|kmf0|<Wr zAO6O`a3KlAV_+yqhR_TtP#Q{2fbtDeAp)R%#~@Zf8i-_I0PV8{u?o^bBm)CzUnz(+ zAp=A*FeGF`XoD;Wy&wld7vw@{hdc-^0In4o7(n}0Kq4CoKqLc0Lm`BAD1y@E5L%!D zLSKN=6Y3y*g-!_l07@?a&0#PwFeG$C#1(oV^aCip05rx6lJA3vEA&I?2T*#!1PDK2 zB7|0$1fd^5=>?M^{DdhGT45@LegLHxOoQ+frbB3h84&sdl-@8C!Y=?11Truf%!cqk zK<N#jLl{Bl2Z9e<WneIv2bG7?8|Fj!1q&dw!9ocA0ZMOJ1mPDfhR_B}AoK?)y<sVY zU$6{98-NdqWnlOKr8lgAh!=ndpBNYnRzdh5p!9~-5PrcL2yL(yLVtkL8`eSi1?wTS z!3GHZ0ZMP!2;moOg3tz=A@m0*y<rQKzZFVvgU}DQL+A@TAoPTt5IO*S5F`VGz-|ct z0+gPx2f`273!w$}LFfxmI^h6>uW%4TKY-E;4ng<{hat4W5eWSNN-sDH;U^q}&<e*P z^aCip-~@!906s8}fg#}(gx_!)LN7Q2p&y)u&<oB%=!EkSI^Y6?7PttZFF@%DmmvIr z%Me=N3WUA@r6*j4@B^+vXo2ex`T>+)a09|mxCx;ZZb9e=P<p{_2tVNtgjN6#I5RLj zfYJ-@LBtd8Ludu?AU6ZU11P=VAw)dk5rkHF451%D=><<9`~>hN#|#V#&mjB<P<p|0 z2tVNkgjRS7p&vl$1+O6dgx3&S;SGd-0Hqhah42&JL1=~d5c&a>Uho0JclZgR8Gb?N z15mo*H-zu-2TK2i&<Xz_bijWIJptOo2w;Si{{l=9`T~@mzzpTHK<Ejq5ITSjLJP1% z=nGJK0tbX2zzLxRxFGZeC_RB2!Vlnq&;q;=`T~@mzz5+6@Iz<;0SJ8oN>31k@B_dX zh%+z<2t)W6p!5V02tPm+LJNpN=nGJKf;fa90KV9VfuVsFQm+W`Lg))ndIBGWAHWZ# zq4kx3D1?6jN*72%_y$rC`U8~SAPwOc$UtZVSqS|BN^g*Z@C)Q2w1EPI{s5&nC_?xJ zN)XyW8A5-6(i>DD`~p=7ZJ-9BKS1dX>JWZ`281@ygwP+L^ad>mzd##88|Xmj4^Vo8 zE`(p82cZr0A@m0*y}<y&FEE7A21XG21C-ui4B;1;KxhL~2>k&{Z!m-K3(O(3fdz#A z0HrrrLihz%5Zb^RLVtkL8*Cu_0$T`eU<aW;K<N$k5PpFJgf?)5&>x`m1}6x=z!^dt zxIpL+P<n$agkRtWp$*(2^am)t!2`lC@PyC?UJ&{Nl-}SC;TQNoXaipe{Q*jE@PqIR z{2{bK0EGSkr8fjZ_ys`_+8`K0e}K{(LLmHtPzY@h2BAMd=?&o!enA9;Hi(4KAE5Mx zC<wnG8bTYyK<E!pdP6LPUl0eO4dNm62PnNE0m3gxgwO^_5c&g@-jEF87o<RFgH#Cp z0ZMO3gYXN|A+$jTg#G}fH)KNi1z8Z<AR9t|fYKXsApC+{2yKuDp+7+B4fzm$K>>s| zD1^`-p!9|!2*02hLK~Do=nqhOLn(w`PzIq5$|3XzD7~Qq!Y`<V&<0fy`U8~SPz~WL z)IsP6P<lZ<grCp=p%oe-^aCippb5fHXok=VEfD$vlwQyZ;U}~~XoYqN{QycY=z#Fu zot>=|G(wX~^GZq;42|`S^$c{)O2J&CIwKQ30~1ZCFr*&9MS@C87KV?|+5({pG>*c^ zz`{@i?KUbvrGyz67_g}ao%PSez|SxNt$zyA2NFMkCT`5YzyK0wfR2knjc4!#kBLb# z+{lGk400bx4y25cL6iYDZUqtpVbI(z$Q<}M8%U6WfuRFzjtG1l3@Xe3YBpkXKdAYK zO&phhLCrcw24RL7P7rs(%m>lf%z-q&gc#;5g2W$49SDP(H;fG8@bNH^7zl%!0gMb{ z4Cv!QKNuJogc$f4VCezcoMZr1#~^W7J-Q!c2<VJ{J_ZH_&}bN1{~y%N1*wPi1Hor^ zGcYiyGJ?#9YDS_=!Q%W3uzCe%uNzbxRzE|V#SCFkaag|+cHcuXBLjmVg9L*D)IB?( z1DM%h^+*;%ne)No{0y-23}){Js5q?rgNYx6io@z(nD`~AI4s}6#2<sjp_U<0%uJwg z;Aeo<&oK3%W&$YOVErwae?iqYNF3ImfQ3J(ngokO`#~`EplS&u4y#{a=7WlTkT|UU z0TTxms~~Y$IRg_16<;86SicfxKByQ0iNo5lFmcc@F-SZC+Mk4pFM;|OR?foA-wG9n zjgNrxIVhM8K*eGGE0{SKpyIH8I<y<ha33lTt4Cnwe}Ia^+Ho**7?>g94;yy@<y(-w zyijpiy9Q>C98?_Eo(12R$H2g#2NQ?3gJJPv2Nj3)hhX;lLB(P137GluFmY)61}0tr z6^FHxVdjADLI8y`tX%+8KM|@PHr@cOCK*8E?I88A@f~P)nqe(eJ#732d}j#*1H(?J z_yp)U5BOdT1_p-vP;pp473QAzP;pp)8YaGi4;s$U@>vZO$P5e&|Doz(<4(|FK?WWc zkb0;hB+3OW&d&fFhl36mFa$!yVf}oVy@^n9*f<1CyZ|Z=tEXY%)(91c_3vQnCql(x z?Fg9qMNo0rxEd_n)<VT$<0CM0_CUoyK>P2|?jOS$s5oq#)E!zOU4x3l#{Xd9^B5`) z8<&KI!w0B1Y&;OA{x4J<HqHtwC)rs+;mpqfYmdSFB>@&k3V0~f94yYy0BgU()O&!% zp^A{G1h6<i1FU}y3x@)*IFdprvlT4P&j1?_f#svAP;uC}4a}USP;uBeAI!g-pyIIc z1n95`!y%|RY}^i3Zd`<l!}>Wee?5YV!`fFc@y}3kSpO8}9%eRBJqYy-5+%e24QJ?h z7fih(OdQ%@fthav6^D)2z|=cI#bNDxnEGI-xB;}?4iis-io?baVc}2=6^D&y!OU-l zi9_4FFmt9r#bM)=(BU42#ZYlr`y8fz3rrkTaWF72z{HQj#G&K$;Pq_`3=9{b;;`{^ zSbDw-6^D)I!t&QEs5k?3d>=Y|$M6*@4jadUr5{#y1_mJ}0r>nSENZwx;tc!@uyHMz zdTFpYOcjE528;7E!20(ve}zEB6F_%AFfcHH+H)XXSuk<vxGOB2E1}}BaV(g4CsZ8P zzlNDV3n~s9&x5I71r>*lL&C&&!Nj5C+tA@EhLccn*f<Kzzc-=cu>Ky*-d8YjXg?Gt z{u?R|>vzM%c{m{H95((2bB_#EoFNHPuz~LsVqjo!f{Me&<)Fia3_(zFSU&}3elk=X zHr@dfFM*1~<_W;}d@wLDG=jxJF@cIF;}G9~L;MI>oSy;K&xhH26)FxJmxKBDDO4QR zKZL3O1{H^mZ^P8HaYDikHqQl}rehF<io@m;VC9tpR2(+m2Q$Y2Dh}&E!|Zj0io@o+ zKv!UaLc|9w4q~C=2(UOm18lq%W_|`#95zk_Grtll4(sp3#Jiy4uz3nt`7;wL4jYGr znZFV$4jXrYnX?Nj4jVUy4%;!Df{Me&L15}{!^ENU1~BtqL&ag^bujgRpyIG`K$v<S zE=at<=BHryzez&HVdGaYbF`r1u<=HiIaW|{*t{6{{v!qk1~;fUY&;b@49pM)7DtT} z5U&(0&d&gwkAa!r0uzVM<G{oxL&ah93^4J<P;uC}G)#OmOdRSEnD}9+IBcG2D|Ere zC9pWiWvKWGSe%~$HZB4SpRZ7H*f<nSoQ)e4&ZuU9cuHV#eufXwc^;U1j9}u>`4yPB z6I2{F&IdC;7%C2%PleSZaZqvCxD-r%K2#hwz74+noPmL%9x4u-&x6&2eK2uI2b=*` zuFivs!{&2g>1Q=J_IAQ?uzHZsQSm(-;zB&w!%ZJ7&d&fF*Ms@X0V)m~zlMcd08|_{ zKLZ`!XGnmG!^Y`h>I<Oau<>1(`HfI<*f<nSd=gX~HopZkXAx8!HV+3A-^2szzY8)j zEP#w-ut0<3AWS`UUJs`JB2*kU4hggO5m+3m8Hr-$1%(?w18h7Urd|vx4x1l`wI`Kf z;?VJan7zhOaoBhn%p4c6IFf}>W-M5op8+<G2~(d36^G3y!OX9NihqF4`@!O+4=N6u z?}MqI2Nj2nJHyOh4-<!uXTrn}K*eG6wJ>wegT<kaMxs80#rYXv<LWSTSfKqo*f=#z zTofw40J<&!R_|Lw#bM*{F!eq#ap?R2Ogt7U4x4v})q^=uao9Qy=(HO{C3rjv$>C7u zWT<-B{4>nIi}*lya7r*RK*x)q${E%})x*|Zz|zAWs5oqW3aq|91{H_RBf`wT1{J?M z1(Ke@`x`+0Xs9@B-UjC14`6YaD-g6WKP274)@6Y2#AIM#kcW!H=1pPt>O;k0^Jy?~ zd#E^UUKmzh`9sBF^W8A@@i1}ddIVUxmj@Mx&4<9;Uk??Bt-pzfH2WC3pyIG~4={6P z!o;ETL@;w!LB(O?;V|*tP;uD!K1}=!R2;Sr2)r+bfq~&VKLZ1-&x;6628K^i^|1Nx zHmEz91R&uITYmx@7vhJC!{&Km;Uf<fhpoSXr4t>fIBY%(=1yCvIBb3ZChi9nhpi`q zwcDei;;{K0nED*BII@o*>`t&aKLc!j8|KcLP;uCL7??YkLB(P7fiUy8gT*0cB9k}3 z;`|J-`5u`1mtb*Zl@RtnusA=116uz`L=Y0ruz7r#J5`|KuyqG8aTBOGY@QHiuPay_ zViz(Q4HoBTfX(;A%*lp|!{$$5=G1`2kxhWGCxONJ8DR5+F!hUJ;?Vh8nD`c`IBY!& z%-$nVaoD;bSo*&V6^E@Kf|YNNz~T@$Ad~;W;`|J-^>DEAhf4?&p0M?7uy(#ER2(+% z1#_nwR2;TW0_ILLm^f^`9kc>=fQrM`UBTKjzEE-4yco><IG8wez6&Ov4;6>4V}RLP z4;6>4Lx73*L&ah9STJ)IfW?tR2Ex7w7UySxt#^Q#^AIZT09`)?U53K&0V>X51StSu z<D6o`knn`9r+}HG1{H^`AApIQ2{SMVGD*Pal_0KRU~qz}hs~eD+#d`TFMzItgWZ*y z02PPL)56pjg2iE`BIt==aefBaIvJRI7DB~g^LsFJHbKQ<>my+54}rxI=E7Kyz~cN2 z4$$=<&}I<BC#X1Vofym<MiEH3!RE7J_6kA8Ve{iKab>7DZ2bUC+yp8PTc-vy-x(?n zTh|5?4}yxr*1@$w7mg>2K;i{`eQF+9J<OK~x&<uG4_^-m%a4<x;;{9QuztjRs5oq0 z2F(2%VB*mEa+vr*s5oq$7|i__q2jRleVF=3P;uD0H<<cQFmdQQGMG4%C?x!0>m*?2 z2t&nT^Ug4F6{t9DeG<$Z6R0?BejcXY6)Fx}Ujh>kgNnn}&%n${gNnn}1;ND2pyIIg zV=(bHm^gGm2qr!aDh^ve1G9HISR4_5FxFPEI6r({70jHYU~!l#1pOQ=&d&f_KMSiL zzd*&cCPUh9;Qg-*3=GU-kZ^{rI|big%D}+D4;6>47lPR<4;6>4e}akYL&ah17GdJ{ zP;uBgU6^}(VB*mA6R>cIg^I)0tHI341&bs631dwMi}N$U*4MzySpgM?tt*7J4|hPt zVe1@V>Q6$&Ve3C(_TGSs!`89E#9u(gVe9E&;y<9`u=S26km8tuLmU#$u=Ol3dnKXb zu=ScSb2Opiu=QjxaZ8vuG$6yF<~u{hVe864^ZlSO@`H-Q*8RfDfmo<GY&|P9nKI-+ z#bN8$q1zo8%An$~b%HSWw86xo>l~rOE)3J4;;?nzQ0Fo%hKj@1=fJ{Y3sfAo4jX(Y zIs*g40jM}^9T!afMX)%?hp6~BSe%~$wq73AeqfV;gg<OOEX@64P;uD0CYbr^P;uBg zN|<_cs5oq08%(_iR2;TG1|}W}6^E?@f{ABA#bN8v4+%m1Q3e%<tsjTA+nXgo{X4{Z z4~S|8hJL7e*!nM+`3s=pu=PPO@r_V%*t%GlzYaphVe4sO;uoRfu=SuY@rN*R=z4Eh zJMaTk9Ja3jHV^U_EDm!uf>x4*#0zYlA<Uh|P;uCLIGDH#R9qk&Vg_{iCqo2O9JW6K z7VpVWaoD;im^mddap*cXn0PBx9JVeK=HIDcafqGB<Z`e$KSKa$;SB==1I#^pq2jRh zNig%zLB(O~j$!8CgNnn}C&JXf2a6-y2x0#Li}N$U)}_JJ^Gboj6QTy0bOej@Gr-p6 z!PEzV#gSD)*yUhxeg@e3TbMiBVdBvJC9rUw1Qmy^V}_|;1Qv&wiA-L>A<ip}-Tf*! z#1p{c{0y-5gD`&;g2j<-gs>-r#rYXv>*ZkTmq5h>Knqup=KHon#bN7|Vg5P_7KfOL zOg;mP^E1HqbHM7;Pf&5#z7Oa!a)$p<aoGAyn0o|dK;gj809zjl6IX<a!`27G++zq8 zhplsljk7sI#bN91VCDot#bN97VB*P8ao9Rwn0N_P9JbCKR<E@{#bN8OVfE}3s5oq0 zEX<sxFmdR5U6}Z1XnMXr3DQ0RpXtHCz_1Ob9=g65rv5lg9J&wZ3p5h0K*eF}qhaCm z1S$^O#{->KWcUCUhiXQm<YXb?4_n6$Ge-|Bj-(LEbOej@Gr;zhz|;po#bN6sVeU_a zio^D|z|<E&#bN70Vd@*9;;?n%F!d9m;;{AZF!6;@ao9c>nD_>$IBXvWO#FZ>q<(n- zT~7%07Q<1fdf0k@nEI<waoBzlnD|qeICNnSO#CZM9J-Df<}WrmNI1jxkHN;cgrVXW zpzG~n=}H+Y4qG1zGsgrf{s6jP17@!aR2;UhA0{3G6^HF(g4JKiP;uD4Gg!N&04xp* z6$IS^7UySxtxtxzXDU=2whkR8z6>f3+s6ZQ&o-zyY<(+C{c)%`Y+n#e{dK4~Y~LHq zoll_Ru=Uz7bG|~wVe6$~;%xGe@Q1DAhKY+q#bN8<Vd5H4aoGMr*!Z3)R2;S*9j4w5 zDh}J{16wZ?1{H^`Q--Nehl<1YTfzKW1{OzzJB&32EY8mW+vfmNzXU1{+rI%5-vSkf z?MHywdlV`T+jjsHza|fv$C&|Lj|v?|Vt4{o4_ns^Q~wnz4%<%#vzJ8y63(#wP%v>3 zs5orj7ED|PCJx>A2+d9mhEQ?X`f-@OPEc{!{#5988wMY!IBcIKES*Gx#bKd{p!2}u z{0y-D9x(Ikq2jRp0x<CjU~z<g7;86JoSy-<?+50u(@=5PK3Q1*;wn@ew!abP-$zh! z*uD#xy`N#?parE!^)-tkB%ERUZD96_K*eGE9AW*S)zE&iAhcf$I~PD1tRChY1Z@o# z=VyTJ_k)@51rrA?WJPjMG*le6-wvie8!8Ul=LQq6hKj@XYeJV>F?2!2Vfzwb;V>I2 z4%_DiGiS9Ts659U$J+!|58L+&8~@n{6^HFZfSG?DEROI$jP(>O&d&hbX9u(QD_9(+ z3PGzWLE;6ruK{MhIaD0BpSTjL#RV!3+b;@RcM%K~hwZb4)fWj+aoB!p@EK(c3=G*| zaj2n4R5e(fp8>XC0p^|_s5oqYA<SQMpyIIot+4uHHCP<UU?}qh4)K>b#F><#;RD^b z19OisR2;S+8&=*bK*a^1<pXTJu{Km3wr>ySeru>WY~LeH+#4ni%@8p0D5yAWpBhX& z3n~uVZwyPHl~8fmzATvgyI|sI^9!@V;?TfCqSk}O`59pQW?}a3hl)2q=U<@PdKs>Q z#gPnvGGF5m|BFMMUj-8Wuzi*=d*#96P(zU@Gq5;618l!0OuZXe97!RR83`8WXMpWv zgsIO2i$fJ5QH@}6eg@cnA6R%!0*fOlgfdrw#rYXv`-foacSFTt`zvAgo`#CU_M_WE z&A9;<hjNgp|6p-`2H3d+uznwxDkR)s=MBKbMWN!b{jsq1PO4CGR?q@_1_lP`c4P)E zs5tDL0a!U;4iabLVPJq&6i_$Wg2Wm48DRT6VgB-kio^C%!o*{t;;{XqbD#&J<buVa zh9XfDafmYnCl{3%>g6-U$0sIb#+M|fGb9(46ql5yrRgOzq@)(5re_wHq!z`O<i;oG z<maUpGsMTI<j1Gy<R>NO#HW<x7Zt}RmR2w%=jRsWq?V+n=ow&F5ucWsml>Z}RFqg5 zpPE-vRLPK5l$e_upHiBeTgea~kHm}5%*!l6QCgB;kdsrE%TQ6AnHz7Ym&_0!?-u0f z>l*Ls=Mo>!5FhXE7aH&C0TuLc31WzM_wjdf^ojR(a|?D2i4Spf@^Ou4h<AzfbM*Ce zW+*92%qvbzF3HT#i#IYewm=auHZf&Ltw>ESElG_xF)?Py%+8E2F3By4&(F)r%u7X4 zYiMj{f+ApSVhPu5Xl`K2kXD+P9Fmv>Hl-{lKRrGpF)t-2H9jrBC^<F0C^aWFu{bq8 zF)t-Pr6{v3wJ5$QwKzYg6y!7%gAL71P@QRLZft<6!pIC&g^{VH5yIZ&+=BQ}mw?oi z^wfC2;2{69)S{fk0yGmWQBANw<r<orp{h5uMCF?qqB+UX2-O55Q#4<go1>UuWPxgf z1#0LTS{j(ZgVzMjE>j~EqtIg2(82)KDaNKoaH9-O3{c%+Xl#yZy0M`ts_BM?D11XS zhZ~xjpgG+H&F97#frsWHQ$r;8nIJjV(14-1G^wB{KRrG-J~7!KEz!Uz&BW3&$<jDA zH9jdh$rvOSj~X0?W@vmPb7bSq5DBF?IU_ZtG$%D4IhPb?rspN*#HS?|m&E7g#}}oh zgQhsf#=H{pYY-nVR5=5400b*&2s@@1KEf`vuBO)73vn6Wm7@C<O+yQ49niwMt zFfuns_OP)9Tp4PLGc>m}K!im>QGP*UdSVGmV4(QQ(A3g`A+tC$*QqEIl(o`ROTtr< z!izFXQj1U&8krhG%*{y2i7(15&df`X2W3VSMTTZ*9y3CeMMfrus8MKy#y3F?Z$o1f zRIeGCnxjU6v5^r&e0+LteqMZWNn%k+d_0O{&<X=X6Z8l+N3+ljEz=m9qqz_*#tn^8 zJ!EKUgeqW)>Rv+&BUB$5TACZc<I%_%!8Er($}a|H2#yh2J~uaK09Q>Y9yhdrMir>M z#+n4;b4zndG7EChY6AlkBZkb>)YSNbc*{id)HK87r1;{}B($t(ff~m~X!+jI7(JNG z(aIWQ)L=p98l(Hs2vxnI8Ct1qXkvyMu0|#%L=`cp@o$7y!Wo$vq59O&2q{N{>n~8< znp~6$%3vv}pfZL5Tt|XS9#G90pIVlhSCX8VlarK~oDI%D8L5c{;3h(5VoqiiS_GJ) zm2yUws0qr@5<RdDkV;up*P`bhOVltjv@k@JF2<0GHa9URCqEgjSTjbeB#qHS-`pJ4 zk!GmTZES$bH#Eh_HmD9aG()Qj4GmBu%FqC<>0oSvC=LzH(JD$q^m5181mSTL6I8bw z8(4y4sW`PHzPK#Dq_QA2J}omRHNGIRBqKgAF&8z$EKrlG1)4ieQG?D1P2LDiyE!Bl zz)7eyFR`dHJ|DFVHnc>GR6{ch3$S~^dO%I2vcw#m%_Bq9m^Cy-Z4(%pSR$$+OSDKc zM{CL$qcxRG(c;hoQScj@7+5gGdxrSNBU<DkzRsZbct~PWPAb>~pa3sQjxQ<7Ow5T- z&M(a?0Sklug|$Xc%E?d823103iAC|nsU@HcX24Jes-19UKd?Bo*=%TTfSMnS(L=)m zHS&znL(dqkb!lXZRxlc(WduVbGlqCi|M=pPl+3*N(&E$<)KVL*@-Q?vH9-o4)ROp; zjH1-U6wee;<2$}IuP8AuB|jI<3{ylD8iHE?$bL3PFI~)FHh@AcGcUEM1Qhy3sadJX zC8*|FpygR3^t#jxEiIX$hMplt;8+@>hQB4Grvi@flvGe>EFM&Xf;tlMnR#XT$%!B) zs;f-U5~ZP;F>=9gZjol1YMhjqm~3ugV3?d3Z)BR3XlZC-5RX=&m>Qs#0){4Ni36$m zYGiH>ipCIMP$LrDyF^LL$l+~i4(d!MmLx)&C-Cas(8L&#J5a;P$kd3TI5W32C$R*z zq%kzIfVAtN)lE`PVsS|-yw_D+Qk0sPUXp>Z!vHly8X2ONO~yv(85}JLj8WxHQA;{Q zQ&Xgt3u?JyifWUgF<Rr-$N(*L4K2(N<+qUuLwtO4MIxwK1Fj(*+<iQqoa2r3jP%gb zm^o5fH%Dt3fr1Ox3pO-Cuft5xDiA|UGen(WWNv}#cSAEXNKORx>><@QB5i^yca&6V zgd8#^Xu)o1YzXbDfU;b2Mrv|)d{JUvdTM-rT6|_+N@_)XK3b>N+zb-&@KUg#C_g#1 zxEO0o4b|aBCa8Qv^b*R%2;wntu1ilXi7!nlz--kUnV=_9sFT3jQc@F(K}{b}en1Hb zLsN|Q6Iv-^h?Ypv>@hI~mwzdhd5O81pt=Du>H#X}5osOK1~NuVdlqQ@S|g;v5kwv| zG)LEGiPk7Jw6s9YA4Zm_HW?eBmMKP{eib-;iZelDOYzWHi-#pj18kj9<kG_!EpW_` zyUk{)mgXkOh6V<yMxY*aT6}U+l1Z9@S#msTj2l^^=38SEP?;3s>kJ)xLblM*+!#4S zp${pTSwhnaDCL6Nweg^6Pt1ugNCb_AVRRVLMlg)b&|=>hIyeQ2OGqQDEU_pP)FJ>S zC2-M-lAesw8g^(=YiNd6qZp$17Y&WgQR^JEI5kI2=H{lL;=4FM9x~(wPK)3e1!a=l z#FFHU%)E3|7b1lpdaKt6t@1TAM{9Z-qW2cf&>MS(sBvkC-p?{YH^CCUiGp5VTA){a z=p7DYw04~_dU=LnB5GY=XlMj!)E1}a6=#;9cX*7Do3Ehq2b4?Vlk@XR;)_d)N|Q_C z3rdO*`P{_BfT6r7v7jKe2wVWiBkCX%v<9m&T38sP2c8k4&@ePXAM7$m>ns^TD*$j( zg%`xgnFpE`igWVIQIdoqdJn@8ZIsi{60Ns`*5xxo8!Iw0N2>+VMsN+y&=R?!5o)qF zHbM$Dc-W#f2hb`Gq>ce<{2HO<86%`lrJ;#2YIZX;H$>F)h6bq4GBh@U)N|l0hA)gk zgLnvcqnm=->@ft5AtT3=5qj$cG**DDz|ays{49_H%+LV2)&Mo=<CBVuq3t^qV@wTE zD+EIew1_oC3pzuzh&4iMg&?);jm)8qL2!BmwFKkK5_3vZ@fXO32518uh9>4l3}rc> z(H?UHGc!ZuWbkMYq9ugUN<#1Yo1!IVV`E77861bXskxvYsR7o83TiYNnW2x2p>>rF zLBrjk(Uzjr98gyPt#J$*y9L{soS#=*5?_>2OnQB5Xa+B;O5#CNH7S`z@nFk9(=3^3 z@kOb*prQx0*JfmiNYZF+1|!gDT6ShU*kM=`bY6a5JiH$ZDM28$45*65sso}3(sY1z zdgF^Tt5T6h{9t{7_~iV8N{D%&fk1-hffExX9hGF}rpBX2k)fF>@=yb0&<on~gHlDQ zsMVpN1^N)IAzBq}1Z`7*djH8qWyPTRv;s(505RYKYcZKvAVx?H&CwbYhNfuE4MP*O zv1nto`qmh&fn#KfR%I9&pbh^T8lV?wXj$6W0JTwQXo4|lfZoYBGD2!IV2nwaAS6L` z6et7WPEF9X0jh%HQ&Lc4&&a}(0g@kacBjzBI}9z+x^3t^ehaip6I6a9mw?6ws1=n3 zD%a4|oFP6n4=p!gC_)QRwDe<WVu`5CFnaBvz812Jj7-s+jA#RJ#%K|aGR0;B$zrhH zc|3H$7uf<sGqe_^p)p#SV2C!BZDfYlZ!kozY)nkh!wlU6=4hP+Lk#nbk){%i%+c!{ zQ?xd<p&42m(-Li*&(aiJqQDCiP=hVC7*yP5<`-p_RH8I~j7?E{BgW`;BB-y75`dry zRKL>PAZRJ?iIzM}(Y%Y+=rc4!OD;x;!r9OSy^m*sG>L{(vX~f~gS`mJXwY$$<Xp5~ zxdB>XVQ6X!?bzciYvCn*St9DNu8}38EsB`rGcrM+qcuVEy&-z1(->{a(gdT&1{$pZ zjg+E9lO<Xk3ZvbI9&%{&Wax7e=IGNgrWOqGsVRviiSTBdA;u7pDN^e%DYFDzdBq#V zSD?7m&>X1_f~P^`6a*~<L2X3P3@>Jtgfy9EXpGjjHbq|ifIM{x>BE3iL~?#!aw6*J zsF4AB#Gp-7nu2<gIhjexkcMJ>ZenI0yc{-$MiMC9lqZ6gj^w3)2DIbzQY%2+Akau4 zyx<3ogl4CLJ3pAip{7W6iIF){ZHYEPV}xExq4Cigp2lc3t1()kggzBuXk?5OOG%|^ zo@oZ|AYUNIlc53jsYb{sFs#almM*Eq;L59@5*}!VNPz}REhs6)$OI`DL*gvHI5{x~ zJ?p{7AV8rHnR7`*uahj1+O(i$K*bsHP<Nr&XlMazl!MHI43J>WZiW_U>rjj=kvkQ} z(0T^8i~(v_d_fVUghkBV!a~UyQGB4sjin(2D6t{(ow0=_19<uo#qGvu+0huS|7Q$} zEzmk5G)JWt$0wG=gIkMLsYUsaaud{eEx@|)fI+XgGPfi#i9xTpqzFQ1z*w1iC8<RP z40?I_C8>Jpd8K+FBT|b>Dv^YeN;7j(bTd<+LXJ+Jx}Y^*VC5N!#Tg8GDV2G}mAMeQ zq=*45Q<hp(oSC18!ig_p&?`#KNd#$tvI=ra81z6RybO8;IVF1O`6Uc`C8-r940@nQ zXV5Fk2bW-asTt7KUKuGx3~(MqYdm;`7(@q*4IRE@(1U1CDlTTwOU}>DP0cGoTH;0# z6Leo1XzM9tw+;h?1oU`k7!A8G4Q2^QEsPDKl^GZqKzEeE^jkpn!)VZX*dTQ<3|+1V z<1(0`>5qWwhtZ%rh~bLCXK^F*7{KReBij!<zZ*uQ+Yej!4>JX{{qWoW|IpKj7{KS! zg5*Hw(}HN2{m|>$;P=Xb<Ukm-{|Iyk9L)c)d*WcU0?2VtKZ3#z=6~2dZJ_ITKwgIF zhuu2|qha^Vf$RcdkQpEvhC$cyfbMXE>4)9h2BTr?dSUK|se{p=YkEL;!om2kd*NWT z1JojrH$Zm7+z%CI03GuN3R9SV*gbVH8g@?|%zl`DnEyf7@PPC?!3>1ndk4G!4t7r- zNI&RqJaqk_<F`QW0?C7ofUPfu(xCJW7KaibyP!-k1v-`wS-%2QAB;9Y(;xuW1zM92 zVSx7aBI^%;o+}KaVeW;fhF-$QfS^IgB_Qihfa-_Qe$e|JVESS9!}y@%dy(~TfUd`d z(a__@VagfMyu$#xh6kh{7JsmF&S3N$xCF!qbo)Wq?jY-jo%ar-W%wZaU?jTzplfrG z_3zjKu@FW-nF!Mfq0z$=bR7=J3Q!&bses)B+29QkL01ps!)Va{8ql4S5Y-Iu^Y%N4 z)DPOP2ugb}{jl@vCqVT>uRj5oks$ZM?1hCR=(;A5|6%%J_e5^+g@{0}&j4u!W0-yz z4LSw|SwH;TumFhu8)yjty*vb6hXXPTqM8AIUd#>XeU%_Nu*FaUWEYePra;%@fW!>I zJOlyLuZ<7_vp{M=3}hM-;~-H4=7@v1NC9-=Is*d(s2&2HWeal;to+~voqd7O24`tZ ng6Q9%3Ks%1VD^GJ44^$>44|XeVO%(UV>?7Y^m-n+IJ$lSk(3N> diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log index 6dcda36..c3a580d 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -1,7 +1,7 @@ -Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 45333 +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 47395 Design successfully loaded -Design Loading Memory Usage: 21704 KB (Peak: 21712 KB) -Design Loading CPU Usage: 30 ms +Design Loading Memory Usage: 20176 KB (Peak: 20756 KB) +Design Loading CPU Usage: 90 ms Simulation completed -Simulation Memory Usage: 103960 KB (Peak: 160972 KB) -Simulation CPU Usage: 180 ms +Simulation Memory Usage: 110112 KB (Peak: 159444 KB) +Simulation CPU Usage: 120 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb index 48c54abb716744ad85987502e6a582b80c7dc323..e7410017b911dbc97aa8f820af222a7cfd24c6c5 100644 GIT binary patch delta 48 zcmez2^utM1C`X=|n}J~g0|Ntt!;>m`W{!KJCpL;cWMjT3dSdfWwolAp#yhSqF#vpH B5pw_l delta 48 zcmez2^utM1C`X=|n}J~g0|Ntt!;>m`W{$%@_iYq?$i{s5=f2H9**-CY8Sl8d!~mZ` B6R-dP diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb index 15451a9f5bd947d440cff6dbb94c3b14e3249350..b5dc6b73c679390425b237f46215e6831fd66a7a 100644 GIT binary patch delta 1299 zcmeAOcpD%plq1i~&A_mLfq{X+;YpP|Gsgtg6B|W;F-~S=_n-WVQBaI`aZBHdj#Vv- z8y0o+_h#D7;izV}(b^ot^oUXVB9{Pz!zB=L8AMzG5m!OPHLl5#ESlyQxC9s&96%(i zLp=ingBSw?11HETCWo^iW#_mA7#LAy7#+@YP2R~8z;vE#GB@iy5Ve|h8q-;>$<A!9 z%o9{kOzvhoHJOn;WO6RMJ<}Vm&Fk0;nCjnxEaG-}4>I%vi1-L1K7okOAmR&%_zEJv zfr#%Q;s=QM3DW3L$-uy%33HpnJCFp#L@8~UC<7zJbUQ`{28RX)1_p!-1H<IGTqgWr zd*RYLlLLi~`E-%Af8?61$nC@QiEDB`w-3{2uE~43eVD#*P3GqDVfxB7Ig-bR=^NMN z<vc!2-?=7#<?-PMJ1_)hp9$DNQzQd_a!sDdYYz^S%e;C#Z$Qolg|)+LuF1T7mY~4# z;B#V16XF(NVBmHT;TB+U5CsupAVM5ONPq}Q5FrI3q(OuXh>!&lav+W1z_EZ?>mUr0 zfS4#{1rudpbP$>RLBN+$bh4eGFQeGx9>Ft=;*%qVd>JJsFBkG<l$`uk$d^%Sva_%+ zqx9s7!oG|$lkW@rGRjWY74c=1n_MljALNhCwxaVH8C@se6$@ZG$2D1Bd<Huxi8088 zOnx9MGWn6XH`67q$@&r#n67Y5J}A+}XgAqMvYg!><W89gu$p_4sq7A5ek7P5CzZ<X z2<AtD`S+wk*`2`rXfWSTI+WcR%#Q){_euLQx=iMgnagyIYw~Itd&Zo}uVuilv6VID z*au23+%kz^rG2uBOozB8Z<N(wy2v&8wyYKNVJ?Bm?_||Mj&ziBV>-e$xl_)B=`z>k z{c=uBN4X|5%Ns!*n+i4|Kwg*W7}w-_c{8Y+)4;+P<aL>jb4~s)Zw7UGI#@VBL6zwQ z*W_{qJ*W>dz`_R<RGCh4O@6MR2lYrMSlB>Ok?9oI<X}ZDMwiKTioQ&zxh9`gv|+TH z%%x<^bcSoPy^@t7D6=v+fPKZlz`*3-3X0tvZUF{n2POsq28Y$4G_?mr2!g`ML2&Xa zB^OXkP5!84qx=gbArI0rpMinF9hMpx9qd42piJUEIZ#+@a+R_Gqy6M=WoJf*$>)`w zxj^v&&O=^cX*U%CE>M(!g}lK+T`B^M&XZ@WI5WCTzOP~`<pwe^7vuysDOs3342%vJ zxhCtW`hdc_M%4!t(5F;SgVM%yH6Lz}-yA^Mhf@(8AR+1klXnT~PPSJs<i7@TIY<s{ Or_$s=VWY_()x`nlgEdqD delta 1370 zcmaDG&=DXilq1i~&A_mLfq{X+;YpP|Gsoed`!<UHV)SKVaCpCOtsEnSvSwoP%jU@N zOiImi@XPM+XW#IF>B}K&CO&6ZzbwB@M{iFr2S3*|e)GjGeHI<7S{64f>gew^*ZICV z#%bC*rOmBOj~Jz$xdj*;TtI{?h;RcD?jXX0dvX(trnwWh00V;qh-7uBXJB9uV_;z5 z1i6gK!5*Z{fm?uq5mkoK!I69NBbESWM{a@1f~;bby;<AA?2W8qlV7veGud-b&S7(9 zKKygv<SlHc_)vW{`2@QsQylkZVU7Z(^mvf%+zyE#o0338GKfe45vd>|4Me1ahzt;s z2_mvUL^epHLnQ+PgC@+u4hbL$h>23#Fi{3ZheYnluef}elDH>ZaQiSNb5CyL_F+ol zo_vMdhaYT92qOc7LjwZ?gZ|`)+{SzcNP5$_Cl~PeFr{-(-pAv^l)*ijhu4QGlY4R$ zuMble_v974K1|u%lfUuWgMDkkr^gcqas?<n9b&mB7x7tw{JNIUiK)H?<YaD#HjsQf zi0A+jogktMM0A6Q9uUzBBKkl?KZuwB(g^me1<Yj*tsn`AiBeWDQ3ghc>2@&xAY>RA zCg%y7Ft$%#Ea=PFG5Mq58OF}Z`-FTMyC(Ap`!aS<juQ4|?3uhm*q5<)@;6~$#=gle zBEI}!`{BXk3<@4z7bNQ@OnxA;ALRATi$v!$GH##jBp$%*z%4MjP+V;CYVk%UXYR>* z5-IGU1jry0I=N6*Wb#^xa&}NMWRM91^X(-I88=McC|S<F5#&*s2(YNLR4V%>Fh3H^ zKP;8Xz8TDq0`r}vL)o{0`O#qhX6aD&tzdo(n6E73%eZZFq0C$+5AMkVvi6MkCI`qu z+*U6uHhHJ49ESl&Ik!wASp8>NMP?Ijfyr-W)j^JSkh5Yk<(^z4rvY_)GFa7qIb9|* z?#b`uOxQu$i9sd>ENm;U$z;wwIYZuvapUCK^6tzQ+yax=%Zp9^EiccwX|l9}JF_LX zz+`;|vB}j6@{F4&&sMN!wgRb95S#p2L7Z{RWNt-!W@~PN$?}R~lam$28MjWZR<vfe z;TD+OuP8S8yrMAsHjr~=vcMMpRW#<Z1tkGcs?C~QBWc38VRF8bF_Rtl<Rwa0hM*kI z-~jd@0|Nt-!*-C`d)xvH%nnQp0t^nTxda#-^gx2mAX6QhC-W=2fZ}m-n6iyj4oG4m zh?vj7z~ByxZ$^g=lh-IaGj5#xLD`uL6gl8b<2kueMSpUR3OD2C$rUQjj9Vt}QE_J6 zI!V=;3*=<58sEtexwSNz9Cm;Vy$`aIO-dGKE(4<jxRin?TUk&#<C8;@cj2D=P}PUY em3y+j+G!>??#X}De3;z1Cwr-%2F0(qMi>B4k3O&f diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb deleted file mode 100644 index 949b56b44538c80c8dd70af79cc2e47a534f205c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5635 zcmWg2k!R*+U|7Jwz`)?}q)MKdBjWqMbP$h`K|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu&uBIs3UVIQTO#F#LxChgb#%hE;s+P3@h$JuM4Y^R8;>?r7pwu<dDC2om6H z>|G?^+tR#3fVZu8Q9o~IM_)&eqEZ{r;=YEK<`s)Ocsdp>Zg1)0>0it%Co3o{Ap=*! zyRf6Dx0{#tHn1@;FtC8U&kzh_Ie-Kh9Zo{|AX(0i_J+oV9X&0J*%$UJ@Gk6E(1&S+ zgbM?M10w^&1_p=s`_{^Vje`*=;ttFV0t^hyYD^qZ!#Smmg+(lF1!avG7#tE9Zg4UD z|IfsUE@_M;$pw|<nzn1!)GZD>W^SH>B+Ctx-L-k*t{rHy=6q0DW+OvM1_lQP2F4@@ z1_l9U26KLxjG-}_i~vkV!V)6G0MjD~m0_7RZ}TQp<Ak7695Xj>*adYWl5N5;=_KDQ zhonr0B(UzLcn1cCKTHhfB2Z~gNl`&raa(b5d9XYqNd5;CgSi+~o@?IZc~cxFPuT#q z9_})66a^dR%$qR-Yyw<?1ge6`J7&yARUipfz%DK%E@>+(j4CUIA}fL>D+7~V+}C0Y z3+d)a2L^^SObq6-$g;4|hRcG|4k*EKWcj-~z{3?TEf3Yq1CmAwTeuP>s1jbN%Z+U% z1&qZ|-LH(IMpO`+8Wj{ZBEs0zsG_Jbl)<J(4XTC@99g?|Y~JNCW#X>ss1DMADiQ=c zTEfV}SYAL7;qV4n;AukD@q^VFOBhNRVNs=ps!B>k+7OE>ZKx^%u)}8VnmB9IPK49o zR_Z{NL7Zl6C}AvTfz47qs5*#07tWix17TPr%>DW(iWXy6WPqY*1$IS-D2i5LS7Z!T zBn%EN1zBNZTO$cW3q)YR9cF@}QVEYrQxuhocvPC9s8q(SlF`ADfq?;5Br-TGXk%o6 zh`~xi1}Gm^_%S#LsKdlyMIJ;9QtUD+!^#kb{|pZNph6cSflNZIfW;fkI9L?H_^{Z3 z@nHcE;s-D=Fu=l?fsu`Y5oG*-MhB2MEJV@8Vc~}^4ht=WILI(CW@KR0bqGNYB1B*@ zGB~g?2rxKAgX{(?LWCKd#mL~mj;aVAkPL7ZBZC76sv>yEGQe4k3=W)dMa;1Jgn<FZ zasbt$Fb;!52gp?*KQJ;laDnte1(;#=4Fdy=1=b1Upz7pC)(NYR7{HMVDl5S{;le0( z@*wMk)mID*Fcw%RjDu<?FS1Tpb;iH|V}W(TIH)@LkafapItB(93#=2yVQ{EJ3L#KB zg*l%Yo`4x(EU-=(hryu+Nv8nZPCl3dhc-qA28SY$B4${H$-n?(fz5((P~9&GH;aLR z8CH`rFu+(~oiGlnP9bESuqu{;0mcIBgmF-H3M1=;)x8W1Fcw%Rj04l@;KP7W!2m8o z;7XWLxu6utz`(%B;2?r*5v&?#V1Ti}7Qr|u79j*dWfhzUu?WsZSR{&M5jb1IS_KRY zFc#P{7>B_j4HQ)%0~i?`#6Y^i0${zcHUk3#j0M&U<DlvlN7V~!Q7|yTSYW*{4ys-W zRK2j~1_J|(1=b7Wpz4)`>t%+uK^PcdEU-Qp2Ntl9D3Jo`gOv-gmI(s`j0M&S<Dlx4 zM%D>yuP`vcSYVwn4ysNWWSy{93<Cp<1=b1Upz4%G)(LCdFfhPaV4W}ys!lm1o#0r7 zHF_8rU@Wk17zZ_U<w3d;DFW6IVqk!=z<OaERJ{tQdST5Y1_l@ltQW>X)vJiA7uINE zV1Ti}dSM(?y-KKhVJ#^J1{e#h7sf%=tBk4_QA@*EV7)L7s$LaTy@(ne#scewaZvTD zqUuG|{xB9;FN}k#R}ED!qNM<1f%U>TsCv~=^}<^f@b(2*FI*U<w9r7+3vYQaz*u0t zFb=A{ny7l=trG?q3#=E$LDj2;su$jZVSur~dSM(?z1ncS))vrqA_D`A#Rp?J6oV?U zR#3^v$mrn7fKZ|gQvzz>ftnU50-*BK+7fOqjKv3IV44e)QHC)<=7Jg?C<36e)!GVf zE{w$oV?ZihWcR{klwk~zxuC`ciU6qGwYG+v3uE!Y7?|#b$tc4ZAag-Y4-^4VnJj1v zH`f`;Qo$5L9l&s41-Gt1wUGjdU}Q0|gX(2qfU}h0tpCs|Yc43Jz|CK{6e9~G1H*q4 zd$@KuOBv4k&)@(G0SAP3xD-UYjssjfsQLx9`WTeqV*ep+U=z3$1DvG{XJI-KF2x8k z?>~cy6WkIwOBv3>bR%2}s$b9*t{;?AL58Ye3K0lsCKETfDR7oDob{i<0h9)jLJBSg z4JmiHb`Ka!87}r8(h)F$OEJJ%%5WB@9dIeAPZ<QgVP-P~LRl)9LIk|ZY65D(fr5#F z0nSo}v;H$UfRZngSK(3+XXyAst!7|=vy|bi|InVwK?WpgghB=rKe%o<OBv3>v>Yx4 z4QJ3mD8%h>mNK06AKI4!Sq>LuK(I_eJw%9ZI7=DM!n7PN1@SopBMYS02kzB@du^az V83O}+EEjF?7L<oU*a0;B3jn;YP_zI5 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb deleted file mode 100644 index c02de1ac750fe2b2a73641b47a3bac2cc30a64a4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 172617 zcmWg2k!R*+U|7Jwz`)?}q)MKdBjWqMbP$h`K|z7R;lsW&yBtaxHgK`<IlKC0`DHqK zdwMzexu)?hZt1h=Sk<z)VNpkaFN1?W0|Uc<C~)v)U|?9qCock0)7jUuaA8Md@1lO* z_U3Nh#zhNxJ3IP1dK8t~coz3Hv^1|++`-eaXmNW>7f=6UUO8DoVF?+y65fR!J-yw$ z)HhLpfq{XEfq{XM(cugO0|T7va2koH3=?J$+O=cqyj?TrJItH7dFQSfJ2&sz!NA}U zfTWf|LZvvh5)=vy$9N!SGdM7?FfcGWoMd2N;Dg%7+0ow6xUi$AWik80eg)oz{R;Ym zP${$^Wnge%WMJ69;P8ImS~*aRz%h!r13TF94%?X+7|dm%MzOax_O>_LiZC!ZFfec? zIWRB^Ff*8gOab|seR0Rajz#FQ@=(3J9qs)+4Tg(X^!K%(t5JZe;a=R;(rwt>(A$o# zLJ_Khcj3a0Ma|6ui(4>FQ9@I*s1Z|*GE@y`&k93qW~d;^V{?ZpRG!@go2(jCR>0Fg zVU~-(Yet5rM?!Lv!v=7G3BdwP9jc7k#8wtnMiVN-)wF(5R)&9q$GUxB1q?|J3=E*K z)<P&)h*g0OQ~}TIos*|J_;@7vc{of(HA5GwL{L)7LdaIiLP*3GIbPv*=|j~CfsM+@ z@J#mdnwae2?}~1qAvT3su9ymqp$hrHCi-UXz^2F)st97Or(cq<<BqJ%BuvxHp$Y}S zre%4%y6y0COz=Z@oh4Km+)`|ctf7h^!L}8f5?d4{S)Li5$>>(uL6tCyqlUNxRGee> z&dD1bwnEbbJfNMR(xOrpLbA3UeZ9hn(hZtI;A)+rYIzs8uUOb&w77kRjIE#{s&y_< zHT+7=eV}qwc5(ZP9t>5kP*p-riyZtN7A|yfb=bRV&sK-cJJ8ac8;ZikxD~piC|rSC zp$Ce>Rk#&;q9|O6TcH<<!qvDHdZQ>@f?J^vio#{M6@qFdQ1J%|$ECOx`k|P(Xfe(J z2UU6q6BpuE2&yO$3K!#67>Hu^3fu~VP!z7htuPox;Y!>JLr@g1#;q_EMd32s3d2wo zF2$`d97W-x6*vPt0!86s+zKO66t2LnFbYNCD%=XAQ53GktuO{f;cDCpV^I_?!L2Y3 zMd32s3gb}}F2$`d0Y%}WRX77Y5k=ub+zOLW6fVZCFd0SR3fu})P!z7htuPfu;Y!>J z(@+$y#;p+407hijCAbx4pqRJ}x57*mg-dZO%tBGPXeG{=$wpDQ5Vyh{s6xU2{I-3z z8n!LAHp-?Zwnm2LsFhJJiaITv>he(3Y2s9ukD^W+r@8{DI!Lh$sos`gtKJGx6hf*u ztO|=z6hf*utO|=!6hdk(tO`p|6hdk(tO`p}6hdk(tP0Ce6hdk(tP0Cf6hdk(tO_eo z6hdk(tO`M$6h!KV)LK{-R-u>(skN{wtVU4?sbjDztU*x-sbjDztVL0{1YC7sRal3j z5K<XoRag&I2+0PDpya5DEgLjI)j^UYq#(m;U?Yk`NI`~GVH1i%NI`~GVKa(CNI`~G zVGD{vNI`~GVJnJ4NI`~GVH=7<NI`~GVLOUKNI`~GVF!vrNI`~GVJC`0NI`~GVHb+R z#o!VTtHN#+g)49?>_Jhu3b(>u6oo5sE9^s2xEi;@eiVgEa4VbuRR~G9;Nlx=x}Auk z4qSX=RW}Jm9k}?$s%|ohIu)GxWeQZC7`S(`2->Q4@l1BW(nFaFSG>@{-+_SQX>i4h z2`iosSG<C-;u&zopdJ;ZbXm0rhnr`@6@%PNK=CZNVvw5&D4q>h401C8#dF|_S2_4Q z5Kuf9u6QM3#q;2bR})q|AFg-_Va1?fMNmy63T}ERfzpt&X=leGTP)3wg;0Y<|0~$` z+2U8b2(DI%pxVW7wTcAQE`h5BHI=}11WxxZg{uX*7r)wNaJ3-!;#a#It`_88{AyRg z)oR%G+2U8b60R2HH)XtWu?nsh<Tw0kSHsnU{Dxoc8n{}J-|(wl3s(zrFSz`{85ir| zYC-PBuXa6LEy%t2)oy^R1-Tc$+Ko`P$mQS?TxH58xMEP50x1V^D&7oN3@TFyDBc2B z3@TFyDBcQJ3@TFyDBcEF3@TFyDBccN3@TFyDBb~A3@TFyDBcNI3<^5PkQC0K+XYt) z3Jn5^cf%Ef+)P069=KwVn+Yi13so%oAC%_6Wt%3ha&RA9Ehx?5SGymo7Lw*5qZ~NH z;sA<b$VdlH#RpLoLq<DrDn5jw7&794Q}JOG#gM@aoQjX2D25DZ;8c7RMKNSh1E=C+ zD2gEi8#omohbo5DP2h41XZ)Rjs|Ce1ezl;9AxQlQifjC8PobC#?oQ)$185ElVJ?2P zpxG~oT2LK=U+r1A8?<cuZ1Jl-2Un{}Q0;lBT4``QK><`Z@tZ;?keVAh7GVxnT)@<! zfM3T&OdU%2bzH*Kp@?6{WfUFYyh}jG6{rqTep_2m!wA*Wr~@=tVQT-|@S85`SiG>M zv%?595w{RCe6B&&$}}x<aM-&DTn{CCW@Wg#cy0zwz+_;~9$bfNk^RqaYiq-=04|^i zSaSnKj{;G8ZldT>B1+FK6g`SW>A8)fN0}%+cTn_b5T)lXR1YMm7ZMZH_fWJfCPvGB z6fG-=(eeOA3#5NTAPqc3(Xx^lTOOfkSxt<V$0%ALr7i)7Jweg3j2K&<qG(x4jFx9m zEh0#L*+qMNGqL2B=O{`cV~jYIzCck5X)54Q`VvJcWIz&!(pM--Aw!Zll)gq$3K^8d zq4W)kQpm6*4yA8VltKn3aVUKURVs{JzW1!aQf|G6s)WV>c-#+%p&w9`LWVJMDE)|{ z6f%&BL+K|JrH~0Y97;c<D1}VH;ZXVoMJZ%}6o=BUC`utiq&Sp*Ls1GD62PJKJBreU z;F%#DN`Igzh0L+xQ2G-^DWv_0L+LN5Qb-a2cUAdKu_l4vP?eA*02u|vVdx(erI2w@ z97_M9D20rK;!yezMJZ$~6o=CPC`uutp*WN>FhUjuK>`5W*2571j8K)30Dz2~;xLp6 zMJZ(L6o*n~6s3^SQyfZJP?SQ(PjM(^MNtYFLB*kz4Mizr3>Ak`b`+(MQB)jCIZ%{B z#!+!7<wQ}s3_RqDLn#-E(xv#6azm9u;uSnTiz8lnpemv9x*9aKhr@nes8Wcb;K5uR zhVr4P1P|xpP|1&?65M#lp;7=vC3x5ahe|=HN{E-hrS;;4*egsS6s6z-2$xb}6s6#~ zQd~+!P?Un>371k)6s6$M$E8#ZsuU9Z;C8$+_QFaWMJ2dc!=X|FMI|`@<4`Gyq7s~< zaHy0*Q3*~aI8;ibs01ey94ci{RDzQU4wbSfD#6JFhe|mVmEiEy#vY#XC@R6>i9@9V zib`;J;!vrGq7odQI8-X3s04>64wcF%D#782L!}CeN^p4MP^pTd5*(g5RH{K$a)G8S zAk9<MuA(|rfdsfA3z<`gbOIr&(H4$rz?Fl>)CelqgewOPND@@81y>Flgd?b28?GEQ zC`nMc4qQ2CSdyS}UAS`4ARj^HdT`~SVLpP&_2J4v6HAaK3V6cZ0InPq?gW(^!j*%< zouG0fxN=aq6I5;tR}Knyg33*x%EiHLdT^fR2M-xwZPb~<Rf9(838^-Ns|Jms5mIdq zR}C6PBc$2_u38y3AB4x<mT=Xe(J?}1TftR>#>WV$wuY+)jgS#iZ39&e$<mP3VtA64 zEsAo;Fe@JAb|}gr1Fd+J+oLFl47K7>4qC7d8e@dG9WvO8N4X=4>5wHDc$7P#D2FV> zz@yw5MLA?S1|H=uaOI#(2cF(u3>oCXT9mrNm4h-JLFI06<>1l_)Fi}bx;tDsxbz~V z+yky0TzU~w?g>>6$<p9qSjdzc)-3G>R}IS2gj9RORfDoLA=N%`)u1d*NVP9qH7H9H zQtby<4a(AlRQtnKgR(Rs)d6tTpe#*Dbs$_dXo?f8S{ru}8U$Aj4{toGgW;;-;f+Ui z2wXKhyz!_Gg{y{#Hy+htaMkee#-lnMt{NWRcvMHgRl~y@kLpOMYBqmYheZxas7<CQ zsH95MteLxJ%$z@e!;JYm9X4PrQgPTaZ$4V{DH^I(`M<D)v7m&UoUEXng{>_5guJb# zxE$J=%NVFmRaBjVw#wktfSBOH)GH7N)ypg_Ckfvg#K0hs02LR@%J6q}m@<3kWC!rR z3-}fjxMG21s8Yej3;SBoHkcq(r9oARBUC}BDPS9S5Nb1Vs)cRhL8#4vsuf0<i?rDX zp)Mb)PNoU$qrHpvfc=BA;RG~m3ezD_gr;F3Aq}Ny8Wt1MP=Tgl1tAU9Xc|@#(olz{ zVI?6Ajc6KH6VlLvreO&o4ed}3qKNnc%|5}mfx*I`L7)q&5;bOF8?g}TdZFr&(jR=g z7DCkoOjYm=YzS48p{j(CQwewv4@%mYhNcXhHZYaVL{kP%5tz#6peX~V159P}(UgH> zA5+;PG-cp8$5gfyO&K_*F_o=AQwEM-Ol7N~%A~-V3%u_Mb5k55m^VO`%Ym9U#A(?9 z)x!H9T&VHGR^1{D-H)n50aL|sR252?DlVX^P{dSm6IBI#5j?^jkD)5~njk$r_%17i zl9zBLkRB2iCGX)%Ak#uvlzfFNflLQsQSuwE1TqbTMF}GlWJsB>2{QeIMF~4x31r#_ zixOVA63BE97A3-PC6H+zEJ~!|N+2uGu_#f7D}hYbU{RtCR|1)&!J@<%t^_hUgGGrI zTnS`S28$90xDv=@3>GDBa3zpQ7%WPB;7TA1&#@>8hATna|Aa_Vk#HqwJD(6r;^9gl zQ#V*FN`)(dOxa*jk^@%)nX18}q!_LQvOoxnk}9|o$g&_TN*duxAd7*pDCvYNfplfD zD47gb0_nbDQ8E{<1kz>2qGSbJ38b5fMad?(5=hq+i;_KXC6MkY7A42vN+4ZOEJ`lG zl|Z_kSd`p?D}l^>VNvn~t^_jUg+<9bxDv=r7ZxQy;7TAfTv(JaF~iCi$jlZNCEQRY zy#K-Ds#wZYA*c$zCdf<{7DHs<N+2^>Sd^$jmGJ%tkIG`PM;opJJQ|Bdg&|x8coY_k z3Ujy$a80FwS%=u6s?fqz;fAULzP28bnf;(DV6_Z<4+BC;1Y8NEmcgPV5v~MM%V1HG z4OarGLa-<)g)4znAy|~u!<9g)5G+bM;YuJ?2o@!i;YuJ?2o@!C;7TA>2o@zv;YuJ? z2o@#l;7TA>2o@#V;YuKdKNcki;YuKdKNck?;7TAf?^u*vf-8a44_K7kfhyts51#D6 zl3bocRq!=I%6=?{e1I!~l>Jzg{Ddokl($%vFtWh1JEV-mqJ$f+1X9LfQ6dUg0x9FL zD3OONfs}DrlxV`0K*~5QN{r!3AY~jDCAM%SkTMR75_h-~NEwGkNgz}Sw2Z?NMd45t zurdydA&GD$kTMR7l5DsV$m~28C8cmBkXd;wO6uWCAhYpUlyt(CKxW~wD47gb0-1fs zqGT>y31rqCi<0F~CD2kEOUSK-s{ohUSX69=s{qf4VNtOQuEGW~k`LWof+$W8Ayhz? z^kJwtg;1dc9)iPAaS5S95xa_82o;cFQw%d6Kvf7eK^D0~*5pC=Ng<s39I8z4KX_6A zhhguas)U*#<qr<SzCx7=LJAlhhW&x70?(x4P{qs&DIo;^gX02+DsHGM-v2fl*h5Mf zp+XD03TcE2#2^^x91&P32`EBUz`_!;j1VI%HQ>r1JuMu{^r6b2L5{;tGpH(|CP?1{ zhhf%mWsv>{4rLB-Wst4`4rQ)zWsvRx4rN|&Wst@_4rTsuWsn9w4rL*5WspWb4rP&W zWsrtG4rOt0WssIU4rR%3Wsuf94rLioWrF{~X%$CY<wI2oH9^|_I1DR+D}%KCaVV>V zDiiz<Zad<zvl*&N95&Jc9VbPsVTUDO27xYAwOCfKBh*epRf}caIzsI%RJB;vpCi;R zgsTM?G<emnf~$p=vUvQq385BJ-r`Za3!xTL^5Ri@2%#2I2IEnC3aVD7Y44&vxL3d< z0^<@w!$P7o+(KwrOq7O42n{QU((nqQVHHstJ|Q%$Buc|Cgof2bX<%Z5Q~@$gdzTQU zfeWfZ7PM;t&$a?YL<k{tD3}tZLkgioi8vif2px*V>Ci&xP$o`?5kiLsaXPFJI<$z> z;e^nkNt_NZgbsdF8{Atq5b+U&(4jz_jwpl<CE|1>A#^Abry~oYLzy@oMF<@l#ObI) z=+GigM-xJaCUH8t5IXoxZE$agLBz)-gboGbbj(8NP$EvpB7_b_;&iM+=ujq3$0mdh z4dQg{Lg>&UPRAjH4o%{8oI>c}H?_gN)d&$Emk>G>h|_Tkp+ku{9gh$?6p7RE3ZX-p zI31r5Iy8vW@e83ti#Q!j?2zh1_P>oLaXPpVI`~a(aBt&6#D@?<hXQdrq!2okh|{5j z(4k124lRTZW#V)gA#`XEr^5=NLyI^aP6!>E#Od%t=-@ZC!M#Ne5g$Pa9SX$hh(hR4 zB2GsVLWd%8I<gQtl!?<(gwUZuoQ^7l4lUwzG$C|o5~rgJssqx_g{(}&vY8GM9g`3m z7Vg<ggoarN4U37=un3`H1yLGSAvCNaO2a0EhLuEV*oDxrnkWs25E_;crQsAp!=gQV zaqj6v#KR?ohJ{3FxP{QLm?#a85E@nxrQsDq!z!XQd_rhgNtA|P2o0-=(!j(4sW2e@ z4I+Y-3!!1rp1n9X$|B-H2%%viQ5vKW8Wt0!K?$K@1yLHb5E@nyrNIcHVI@%-tPmPj z6Q#ikp<xM88oUr17VX&!8JxshE(IYpEF?-p6hgydqBJBSG^`*>Ll#2ADxx$LAvCNc zN<$Sw!)l^5G$AxBAxc9RLc^jxdvR{*M&yS{2n`E~(l865VKGq}79ljOAWFk3goaf_ zY1o9&u#zYZyAT>y6Q$u0Lc<cGG@L?cShQy^&VBNTc({bnu#hMXw-6c@6Q$u1Lc<E8 zG`vD+SVfeEPY4YwiPG>3p<y*q8kjhd>jxr&l?$O^(Vo4K;a<Guk`O|}LZUQCAv7!| zN`n$Y!wRA_XdyJLB1(f1Lc>a;G*}@ttR_l>6GFohqBM9RG%VV)7w3r%i2M+Q(6Epw z4N(XUi;2>ZgwU{pC=FQ%4XcRKP=wI1k|+&T2o0-=($Iv^u!JZLT?h?}_Uy%ZOa>wz zCLuH|Buc|9goedLX;_5Nu!1NJs}LGi5v5@hLc>a;H0(lXSWT3MLkJB^h|+Khs(~Li zcLd*bg9!QyP&ES3`D3Kr;|N99;ff#&gRm;P16Kr@7R9RQDO?d`{Sa0~@8F6cD~PZv z`T<u2Swn<X5fc}rC>LmgtRljyhzG6+vW^I=B2l;^$Vwutie%u5AZv-RDpG?hf~+RO zsz@KM2r?~<RgooJ5oA&rt0HH(BFM@ltcrZ$iXdx~uqq0JD}t;Jz^W(#s)!%5HUMdp zKO#A0K-CC9mmgs@s0gkIvH%IIq8hj&$P6`BMQw0Jkojq>iu&P-AhXk06-|dK;)hHV zWA)rzs2YJL$Vw!v1}%pxf~-Zts%Rrz5o9$IRz<tviXiKeuqrwVR|Hv+gjLabs3LyI zx=XB{y9!k!09|>B)u8)uMUZt#SQWj5D}t;{!m8*qToGh#5>`cj;ff%uldvjc=Y|yo zko8Ge6$!!>K~^YXRU{2p1X-hmRgo%O5yqJyh-{z_SA=mI2ttu1R1rU9l`7U)v4^S= zXj%k5g959QJfVvC|AUuBVKpcat_Hj$0jrt_xEk<+9jt2Np=t!0FwXBl_$(E!2;<}q zgraP?B8)RT5Q>W6iZD*=Kq#t&D*}fR@;My{MGa6z{IF$GSZd5RxEk>CN~H5C5a#s2 z)qvNNU{x~-t_Hf!8H-&r;A)_2l(DFp4_5<@Sgdv}gR22YELJsZ;cCDUi&f1QxEk>4 z4y3ar5PshUR|5_otZELx)qukXtD0kQHQ?~Us^$z_4LE$Ts<{kT0}daoYHq>RfWrr? znul;T;PAn!<^^00bVUo6_<9FbBLdwKgtk!}5s+Wu3Lz`DaVq=+RVWNewU8BySZrp7 zULq&b1X(+b(?AZmLdY^QoC<m23Ly*0a4HmnD}=1-!l_Ukt`M@Q7^gxRxI)MRFq{e% z;R+#3z;G&5gDZqA@4~528?F$tzze5B1GqxS@@Je1P2mb5i=c5Tw1O*yEQQ9Y&=IOo zplR<S$b=01Yym_H^F%0Gh*MD@LJ|5o0tl1Bp^8MBK%4mX;#QadRVdK3cLh!>GZ2ba z;Z#%rRmA__2C^ayONy^RsDZ2m!=k1Ep$4)742zl$gc`^SRV-@yplXC6y)B&TrbE^7 z!`8B5F>5|T4P-Sd7B$NeY9MO|v8Y*(P=mIK9T9a~p=zM+#;I-}R2~0+8x`;hTP*e+ zfvRB^6tsfdFv=h&1Xvguh2x<cHW?TtmEr9F3=X0U0t_sSOu~t9c@bqe`#*z&ID-I# za1vZnRvFIz&)^^d)tmyC7g2_@|1&snfi$PWC1sW2Y^cc~o73U)BFb>~e+CDBkmd}y zq^vTW{hz@>5^8f6TwX*O&i>Efzz5Qt4VRQvhO_@OI7mS?=fdShl;Q0E3=RSy&3SN1 zS!Fo;KZAobRC57bUPJ@VR)(|wGdS=t2rxJ}GB7Z}1sNcM!i8|XvKnx<GMx3F!9fP5 z7cR&E5d?);F<iTd2Ar)7XCYe+7i5H5T>{rDs{v;#!&%5y!vz@;R+quGi)g^v%5WC4 z)o?*3sMY0gy|Nl`wlbWBY&Bev31M|5T)T({oUIIJAzKX>WQJN@1=lO90cR`2S;$ty z1(^|6*TA)lXu#RZa2B%Fa6uNR)wOWFvKnx<GMt5MHC&JdVRb!RyNCvytqf-&TMZXv zg<9PJ*DI?5XDh>5$X3GzSrJw@!L^HMz}d=h7P8fFK{lw>&2YW48gRBUoP}&PT#yZ6 zbt_!Ehz6Xk3}+!*4HsmGTHOZME2{x#E5ljHR>K9^5mtA=wTmdj+5Z_FctM3iCtOli z8P5LC;2;Yv6uRN^BFb>~e+CCZkmeq^q^vTW{hz@>4yw5iE-#`CXa8q#5CUoLhfB&T z!`c5C9OR*zC&J}Ll;Q0E3=Z5N&6D7gvdVDwe+CBysOBkfc@YgbTN%#!&)}d0E05rU z3=l!#sc^lr8gRBUob{i<K?J53F31281eIme;o3zs;A~|$3)yP8AS2Z38F0O_8gRBU zoP}&PT#ylA^(?q{5e+z78O}ns8ZO8LwR$#OudD`~tqf-&TMZXvLRdW)u3ba}&Q^xA zkgbLbGDEGN2iGgB0cR`2S;$ty1(^|6FMw+o(SWm+;VfjU;esqss~5uc%4)#b%5WC4 z)o?);gw>1T+C?<rY-KnL*=o2TE7a;GaJ{k`aJDj>g={rkkQHI|GPrgT4LDmF&O){t zF31M8dO2LLtOlH|3}+!*4HslXSiKUiT|@)UR)({Xt%eJ-L#<u~*DI?5XDh>5$X3Gz z*%4N+fom60hO_@OI4FY(g|%==S!Fo;KZAoXxKLmb-UyRtS~OJy&QXT5{xdjih2?Cx zAR|OjcoSUn!l@c?jxwButQjuI4As0Du6glP4LC;`&O+7<7i5BJ-U8FiD60%-L;cLc z$Sk}QCeO5JsxqAOpTVIM6ezpkvJ0nbz&Xlr)_(>EMVP1Ig6t4M;oUIJjItVVwlbXc zpTWV35!xh#3o<|iSr}P_55Tm8Tn6XBTy_vHyKt%ooTCh9A-fDN2y@vXxaP%EHQ*d& zI15=bT#y6mt-~<QjItVVwlbWB>@Bz;Bg|W@!Y5$bLFow2QHHaS?S>1&9DWk6dEr!L zI0qIcr{J=Sr)t1C%5WC4(QrYSw@$+~ub8R<=P1Kj$eQ7ToX{{i1JleXs{v;#!&%5- z0vBY0g$bMRMVNL_n7}#8a2B%Na6y>EFTpi0oT?1xz{2D*Tz2tP4LC;`&O$aCE(i<m zD{###rfR@B%5WC4X1E|MOs>K;ubQd>=P1Kj$eQ7TT+jf$2Gh(as{v;#!&%4y3KwLC z1t`1lEtqytfWkS-a2B%Na6y>EZ^Jb&oT>rmD8pIEn&E=5AiD$Cym+cIoCAxEyKvbR zQ#IfmWjG7jXt*FOqVK^qubQd>=P1Kj$eQ7TumHUe*SvD72Arb|XCZ5b3vxqa;{i-F zqpSv;tqf-&#|B)G1r{63!cSn@L9qenD8pI*85~q#r6^pG9U>_F6s~#UR1G*s8P598 z;J^+mM&W|&5JBN*FwKmz%5e671_w28rN%7$3MLP78JwdGXCb=`F31LT*=xAwg;O=) z9A!8Q*=2A+HmJ+qz%(<;D#O|T864E1F8ctJ2e}N+QHHaST?Q9qg}Uq`T=T-I8gPy> zoQ3Q%xF9RkWuIW08D*8>?Eef78c>&ggUN$j2InZlS;#Jf3$j36_8qQy;ZzMcM;XpS zb{Sld1?sXNFwKmz%5e671_w>3%l^RRK{Y0v^Pj<CI;bxH3zuCuRT<9t&)_fzB>N90 z%P6Z1Xa8q#(1M!H!~~h<WdNBC=lo}Im;utw43}LvRT<9t&)_f@B+CMmWt3Hhv;Q+V zXhY5BfXRc*hI9TiIMjl4bHZg8PF03;{xdkt1j%y2WEo|Z;q3nm4mwb?`C#%Ov*8?= zZhpAz!l}w|4$Ni&m@K2LGMxRN!9f>nHmk4*Ode!5oC6C{QMl~FsmgE;EH=d8vWurG z!#V#M9HxPMB@UNeF;yAP`On}m3nVK6lVy}uhO_@OIOsv$B?FTOxeLyL#fB_gcHvZI zI0qJ!a&XzjQ<dQyn5*UCvMZ)4!#OY?E5KwKWtHLV{|pZLP<N@o<U#I&b6|0y3YT3t zRT<8K#f2JNcJWkYI0xoxb-3(`smgE;%*PrqSw>l9IQu_?g8|fCIxu;VyWkv{yL92Q z3#Tf>IWTwW!DSauRfco^GdR?NVoDz_yJD&`oC6xKVH98xHh{@8$|}Rz{}~(%!R}%a zHi5~5+y&==#)3h*P2sW&rz*oapgs^t)(kGYc&Y}RqYP&u7c_7|W@tfU4%5sis|;uV zXK*kAJB(S_8YT}a0^uBGI19N5gbOl3i$EK==7m!=;2dQ*3)y9GK_;lnY+;%iWtHLV z{|pYsP?tHv<UuZjbClsMWS7AO8KEw7f@@wlRRhjZhO>}e1{Y+6y384-nNe05&i>Ef zU;=fSJ4_zrGB`&W&O&w>T#y0kG7q@sg;O=)9A!8Q*=2A+2B^zCVVW6bmEr9F3=XDX zm$3-@!sJ2849)?K@qrSOA6$0fRAo2^mW2J`vWurG!#S|z9{`hOlvRea|1&t4fo*0M z4uQ#oY=(1SHiyDx7fw}%bN(|p)Pu5C7)+K?RvFIz&){GVH9HC>4>B9h`Oo0c0MZ=| zmt8nj8O{OClz{vk1CwQxRfe<wGdNg4%}#*HgUp6=U|BB_F1v85GMod;wn;EqMp+Fw zTN%zmP6lv6W=Jvs^@`JA+Cf&sIsZX3D*_C{>2TSFQ<dSI{|pXJAWvt&WfxCXhI9Ti zI5>l3GhwofvdVDwe+CCju%}ssb7Arzo8g@Q3=S?J-Fa}?g;SN`oc|0Ct{~Zbxa{Jo z%5ctq1_w8gYynJ`QC1nw1~saoHkZKUK{mrV{}~+ILAp!fvJ0mw!#V#M96Ug>WpLTW zQ<dSI{|pYEAlY)5ETgP4oc*7{!5V6FHB264Go16E!Qm!IcMV*2;Z$Wf=RbqP4UlXt zTz2tPWjN<QgTpP5Y#mINQC1nw{?FiG1Gbq}xCtf?vKh{SMu%`STz279WjN<QgM$~y z>=wA};;G7T&VL36Z;)&&Tz17&WjN<QgM$x9whboBD60%-|7UQp1-pw~xC<r^au=Kf zi^*=d?82$aa1JaL^uT2oPgRC<U@52<F1uo?GMocTyM1ukRa2GW99X*Vhs&;<sto7A zQuYLxETgP4oc*7{!4B%DDKL4EpWqx=8kq{0T{u-4&Vi+`X>i%aQ<dQySlXNpmt8Sc z8P0))#|*gas;SCw4lF!o!ev)ZRfcn5Aw3Hw%P6Z1Xa8q#u!s6-9!wtOCpZU|`sTxB z7fw}%b6_cV0bF+RRAo2^mg*P6Wmim9hI3%yu?Q}^YN|4v0}GGEaM_hpmEjy%NH2lO zGRi8$+5Z_F9Ke2J6J80E2UVePjxwC}pTS`ptRD>*WPu0@uYzk{I8_7AQHHaSM^WK| zup!vhaLtRSYQQ<la2B#=xFBrscMV+gim4iKjxwButQjuI03E+w3)j4Ass@~+3}+#0 zh6}<5f7ih@Gs-H%+0Z=0!pJ7P872=3P&h{!&O#1QxF9PuK)1j(FPy3Y=P1Kj$S#8m z!o0N=u6glP4LC;`&O+7<7lZ}qHn`>$Q#IfmWjG62Gh7fBCfnhfS54J`bClsMWX*6v zSUT7N)66KV3}-`&C{Tdzg~@{g6wXnGvycN6F31KA(0y>t3#V$pIm&PrvdiFturS#V z*SvVD2Arb|XCZ5b3&Om00Iqq(R1G*s8O}o13>Sn&<w3aSRZ}(K9A!8QSu<P^78{3P zni*x4;cRHR4GPfXFnLgb!a2%t7IJ{X1(~4%dIGL_;ZzMcM;XpSb{Sj{7A7a*nio&i zfOC}LEM(1aL0D{@f@@weRRhjZhO>}0!v$dhdK#{I)l>~QM;XpS)(jVf1?U-=W=2_M zI2&4}fCBU)Odb@VaE>yZg&d%8L0B1j39fnJR1G*s8O}m>8C($Nt;=xDppkeOM;R`R ztQjr{3(zYt^-Q3Vco;_+E{v=hE(i;gt1$IUppkeOM;R`RtQjr{O9$6r>KSE~;cRFP z4hqoQFnLgb!a2%t7IJ{X1z~0A9k}L&Q#IfmWjG7jWpF`QnB0YHUOZI;&QXT5kTt^v zVcxn2*Sun?2Arb|XCZ5b3&NuEK3wyvsTy#OGMt6187>HmjR!EzjIzpbHndp-3ecx8 zc~F4DIm&Pra)81GnV?zz8C>(isTy#OGMt6%GPoctOrFCvFP^Fa=P1Kj$eQ7Tu-JG3 z*Sun?2Arb|XCZ5b3&H~QC0z5WsTy#OGMt6187>G5&{r_cjIzpbHnhbB3efj3c~F4D zIm&Pra)81GVP)tCxaNgZHQ*d&I1AZja6y>2KEgFGo~i-oD8pIEn&E=50R05lyke>b zoTCh9A!~*U!ouV;T=S}_8gPy>oQ13zE(l8pUtpRUWtHJ<Xag4%pg&>qpa6w)l;JGo z0EG*}%FthM%?qb$z&Xlr7P8CWg0L|84cEMQss@~+3}+#0h6}>H^#`tb#Z(PAM;XpS z)(jVfMde?(=2cTQ;2dQ*3t2N<5EdK%V46Xb3otgc)ej0#X6Sk6pveUdI7b=ILJm;4 zAgtNM0@u87ss@~+3}+#`3@!-s7Asuy;;9;NjxwButQjr{iw!oo<`q*l;2dQ*3t2N< z5EdrvaLucxYQQ<la2B#=xF9SlIbfO@WtHJ<X!jqqs)Gk64@#kMjxwBuJjw(Y<c5qg z3G>1=FPy3Y=P1Kj$b*e=L2l?^BOgpNqpUKV{hz_X7utsthRK6m2InZlS;$ch7lc)p zB5=(Mr)t1C%5WBPn85{MVI~UKym+bxoTCh9A!~*U!lGIXu6e~&4LC;`&O+7<7lb7; zak%DHQ#IfmWjG62Gh7gsP9$KOL6bc&Hgqfply_udVxRzpbClsM<N$>WvO}v&Ik@J9 zQ#IfmWjG7jWpF`Qn8?F5FP^Fa=P1Kj$eQ7Tu-H(5YhE!`1I|%~vye5z1z`cI2-m!7 zss@~+3}+#0h6}<1R0*b;QC1nwh7Ny$@{Srz9u%N(jxwBuoOj@YT+qCu4%fVJss@~+ z3}+$d9k?JDH1BA@G=t`;VC?@44u0Ug!z`==69c&n&QXT5kX;5B<b=9R7p{5XR1G*s z8O}m>8C;MP>M}i;W=2_MIQu_?gFn<|MlgAh%itVkI1AZja6t~J%Z%Zg7f#iHbClsM zWS7AOIiM~xfoW!xRfe<wGdKi5U1kB32aSWlIsX|P5<sJ!mT=jHQ#IfmWjG6YRR~;= z2f8Z63Z|J+Rs+sfhO<Be*sz5ea6wksst^`odzf~R%itWC%N*dc3#V$pIm&PrvdiFt zFqb*PH7}m30p}>gS;(5<g1k^~Il(kD%4)#b%5WC4x8Q<oFmJI6yTi1Dk^-Eg3}+$R z4Htwt+ykz8;Z$Wf2NoutaM{IEHQ*d&I1AZmxFF11UU1DTrfR@B%5WC4X1E|9G)%l< zni*v^;A~|$3pq^Sg6yy`VHfs?X$OS~oTCh9A(zo`L0B0b0N1>5ss@~+3}+!1c5p#h zF&hZiym+bxoTCh9A!~*U!iw1-xaJj8HQ*d&I15=bTo6_}1j9A2nyLZkD8pIEn&E=5 zGCBmVdF50MI7b=ILJlyvAgn<c3e(Ies|;uVXK)Av7YS^_kuZ5sY`{6na2B%Ra6wpX zM8P#LoT>rmD8pIE-hvClycG@Cym+bxoTCh9A!~*U!U8k~u6e~&4LC;`&O+7<7lcJ+ zEL`)dsTy#OGMt6187>G*L~$_9jIzpb_J0P4AaH=P3n#(kK>-TqD8pIE0SXs{#a1$0 z^TMebaE>yZh3qo8Ak1YcaLtRSYQQ<la2B#=xF9S{QsJ6cOx1vMl;JF7&2T|jY^1?8 zubQd>=P1Kj$eQ7Tuyl|P*SvD72Arb|XCVg|To9H5Ghmt-WtHLV{|pYn(Ada<$%A48 z&QXT5kPU|m!eS#Au6f~94LC;`&O-JUTo4u;d2r2(r)t1C%5WC4X1E|MHuB+`S4`D_ zbClsMWX*6vSZox)HLseg0p}>gS;(5<g0R>qglk?oRRhjZhO>|Z3@!-kk`%!-Gs-H% z+5Z_FLZGox29pQH2Arb|XCWI77lavJ4%fVJss@~+3}+#G3oZzYjS9Ht#Zxul9A!8Q zSu<P^78{jt%`2vAz&Xlr7P4lzAS^bj;F?!W)qr!9;Vfj$a6wpXRKqo|oT>rmD8pIE z0R|U@4X)I{G&9O7!`c5C973V7(EyVN#Ri<C3}+!54i|(O-U!#caH<BJqYP&udkZcI zi;X6@=EYMr;2dQ*3t2N<5EdKFaLp^GYQQ<la2B#=xF9SxTHu;jP1S&Nl;JF7&2T|j zY_!5Pubip@=P1Kj$N>fygpD1x!8C)`62RF1863i(vC#z+1H}fMqYP&u8x9wQ8Qu-o zyl|=poTCh9A$toh2#bv#xaP%EHQ*d&I15=bTo4u;y>QJdrfR@B%5WC4X1E|MHu~V2 zS54J`bClsMWX*6vSZwseHLski0p}>gS;zqf7laLsPk?C#t%88D|1&s*gJXkDcnVAm z6dQ1kGMt5MI9w1`QcZ<xUN}_)&QXT5kTt^vVU^A_xaP%EHQ*d&IO{)ygDPxZA1=rO z5fq*d*Sun?2Arb|XCd1R7lhTNGvJz6P1S&Nl;JF7o8f}YP@8AMG&9O7!`c5C93r3r zIu|An3Q#yl8O}m>8C(!n*vx}#UN}_)&QXT5kTt^vVX-kEu6glP4LC;`&O-JUTo4wZ z3*eepOx1vMl;JF7o8f}6s9XrwylScjoTCh9A=?ZWgazm#m}W*<WjOmkgF_@VK$pSf zK>-TqD8pIEE`tlg%8TW2%?qb$z&Xlr7P4lzAS^akz%?(PssZOH!&%7Qf(ya|bR}H# zim4iKjxwBuY%^RC7L}{unpaKLfOC}LEM%MEg0KKx4b#jhs|;uVXK;vu2IzX2JSafn z9A!8Q*=2A+Sb4Gmu6f~94LC;`&O+7<7lg&eM!4q1Q#IfmWjG7jTW~>GfNp|oUNKbz z&QXT5kZpzw!lH6BT=S}_8gPy>oP}&NTo4wZTVR?&yD4Gp{|pY%-~eS8-T@N>1t^@O z3}+#`3@!*OjCR5`FPy3Y=P1Kj$W;Se5LPwpf@@wpRRhjZhO>}0!v$eA+HSb!6;n0f z9A!8QSu<P^R-^5KYhE=~1I|%~vye5z1z{EOUbyC!Q#IfmWjG5tz~F+g!e$>#Go!3B zoc*7{AqE^9EW(Fi@}LnmI0rg*E_@g+yKt&9oCBRi5k3N!T|8A8&VddS3m=8aGRi8$ z+5Z_FV!<}E3!j9^gVF(<qYP&udkZcI^VTW2=7m!=;2dQ*3pqgHg0OUO8m@WqR1G*s z8O}o13>So@gEMf=E2e6|Im&PrvSzp-EFGMMYhE=~1I|%~vye5z1!3vn99;9tsTy#O zGMt4RU~oZL#yJnu%qXi2Xa8q#h=az)WtcoDHsBm(I14$;!vz_jwfq&h=7m$0;T%{Z zx(b(FJXHhEQHHaS-3=FnMf5ee<`q*l;2dQ*3)x+8K_;lXuERC2nyLZkD8pIEHp2yB zA$9|<dF50MI7b=ILbe$$$OyIhCQLJ<tTLSapTQv>8r*kb@}S^`bClsM<dIgmAZ)AU zJ-FtDQ#IfmWjG62Gh7h1bM-!4^Wv!*aE>yZg{&Da2-~^(0Iqq(RAo2^wsZ9%Tz1t| z4LC;`&O$aCE(qJX`UtLh<x~wgM;XpS)(jVf?Oc5f)66KV3}-`!#90_wg<rtrLBS2@ zD8pIE`3^1!%Xcr~nio#hfOC}LEM(1aL0EC|3a)waR1G*s8O}o13>SnI7q8)(S4`D_ zbClsMWX*6vSSj}grkPPz1I|{4vyhW7ToBrN00r4cn08Q*!8yus7P8%NL0FJ|f@@wl zRRhjZhO>}0!v$du{|wi>c&Y}RqYP&uYlaKLg6s=i^NOh&aE>yZg{&Da2#c(*FwKmz z8gRBUoP``@a6xFZ2NYz#VA?@J2InZlS;%(71!2Da4cEMIss@~+3}+#0h6}<R{s*pk z@l*{sM;XpS)(jVfMb=-q<`q*l;2dQ*3t2N<5EkD5V44|aHQ;PzI14$*;DXR<gN2b@ zn280kWmW^uQHHbrGdLW8b!*{*><~d=X1L~sQ#IfmWjO0UgTsE9X1E|bL{OLou6glP z4LC;`&O-JzToC4KR=DOBQ#IfmWjO0UgTp?U&2T|>h@dbVT=S}_8gPy>oP}&NTo4x1 z>~PI1r)t1C%5c_y28Zo1o8f}&5J6!Mm}bzD7#RCMgF_;;R^ow)ff6vBqYP*LXK*+I zGaN3+4iOaQg=+>aiGgvH;llqJ91g=Y!v)zPg2H?-^-PPWYQQ<la29fyzy)Ek!4KEG zVyXt5qYP*LXK*+Kvl%YP4iOX<fNNegRRhjZhO>}uh6}=CLlCZc<x~wgM;Xrg&){$n zW;0xn9U>?!1k=nYs|;uVXK+Y@#)cS79uymJjxwC}pTS`#%y76MJ48@e9IkocR1G*s z8P598;IIRx87{~U5fqkyYhFB61I|%~vyj6CE(nVaNx0?}Q#IfmWjO0UgTpbH&2T|> zh@h|(T=S}_8gPy>oP}&NTo4u;(s0cyr)t1C%5c_y28W|Co8f}&5J6!Xm}W*<WjOmk zgF`YjHWXm;pxA(Ol;N!Z3=Vr?hQkHfA%en+aLo&+YQQ<laMphYhdnUOa6xv6ps*5L z^Wv!*aE>yZg&ZbuL0D`k!!@s%ssZOH!&(0s9CpKOh6}Po1cg=LnpaKLfOC}LEM%ME zg0R?7g==0pRRhjZhO_=NIP8Mi3>RdF2nwsgG&9O7!`c5C98#dMp#_r%#Ri<C3}+$N z8*o83XuY8g*Sv752Arb|XCc=ca6vX`y`clwym+bxoTCh9A%_WE5EdJ{aLp^GYQQ<l za29gC0T*P0)*E_o&8wzrz&Xlr7P8H7L0D|)!!@s*ssZOH!&%7n23(L0T5lM@G=nzb z!`S~B98#gNVFD8a#Ri<C3}+$N8*o83XuV+y*Sv752Arb|XCc=ca6vX`y<rB|4BEmE z<0!+0k;4Qo2#XDKn0nBL2{=a?&O)v?;DT(>dcy*)dDT=6I7b=ILbe$$2#XC%xaO5p zHQ*d&I19PnfD5ug>kTWIW=2_MIQu_?LmD(T>|pYs*no4C;Vk5O11`u0tvBr9nio#h zfOC}LEaZ9vF31M0Hyq%a7f;oIbClsM<S>B?!eYY_u6e~&4LC;`&O)v?;DT(>dcz5> zdDT=6I7b=ILbe$$2#XD8xaO5pHQ*d&I19PnfD5ug>kSu}W=2_MIQu_?Lpn4zJYe#m z*no4C;Vk5O11`u0tv5X3nio#hfOC}LEaZ9vF31M0H@x7Q7f;oIbClsM<S>B?!eYZ4 zu6e~&4LC;`&O)v?;DT(>dcy~<dDT=6I7b=ILbe$$2#XD0xaO5pHQ*d&I19PnfD5ug z>kU7cW=2_MIQu_?Lk2iDn1zF2@}Ss&bClsM<aLyAK|bg@%3!$Wg;O=)9A!8Qc?Bn2 zkPo_oGX$oYQC1nw{?Fi02zD8}a0E;q<T5x%8O}nk(cprt&>Afgu6f~94LC;`&O)xy z;DW5s8Z8R0dGS;YI7b=ILXIuCAS|6k!!@s%ssZOH!&%5R8eEVSTBF6lHLseg0p}>g zS;#iS1!3tV7Or{aR1G*s8O}nk(cprt&>AfcrWv$c3&#G>;E)N8jU<>DC^q06WjG7D zMuQ8oLTj{SxMt9DEf_}`E{t5G!39~NHChTxJ*X!H=P1Kj$YBB(gvCZGTr+677L20| z7e=np;DW5s8Z8Z`9<&7z&QXT5kZpzw!eS#Gt{Jpk3&v4~3nSNPa6wjRjg|pZ4_dAT zWB+Gx$b!a34onOb8*q*?oP}JY!39~NHCirQGibRMjH3(}My}D|f~?RQEf1z16dQ1k zGMt4RCU8MmY~;f=gO+Q-ILdHg<Qfew$O^5|3SjC%u>t2O!&%5S!v$fnQ3%%zTCN4- zD8q%3Yc#kZE3`%{f~f~B*MhPCGdN^JW1|cv28s<hM;XpSuF>Fvtk4>*9IhF(Tnolg zh6^LtXmCMRXpL3@QxA#_I7b=ILJkwSAS^a2;hI6qwO|}&xG-{!1{Y+7)@W5Q^`O{* zbClsMWSilFu-K@EYX&XXf^n4L!pJomT#yx7qt(FFgO+Q-*#8+Ea-gx%022en2Arb| zXCc=ca6uMmz0nBQ3|g)Q<0!+0k?Re(APcnKXo9H+#Ri<C3}+#S30x2s8_jUdpygUH zjxt;rx!!;avOw#N7MOZaY`{6na2B%7a6wpXw8AxmmTSQ{%5Y)idIK)V0<AaNVCq53 zwP5W33=X-_*yw_Zfno#BQHHaS>kYUd3$)(ohHC~b*Mf1B;ljxE23(K@T5t5g)PrIJ z&QXT5ki!Hn2#bwgxMt9DEf_}`E{t4nzy(>L^+q2|Jt#Kd9A!8Q*=D#PEH?V#nnBC8 zU>s$*Fmk;C7i59f8xvsaLCdvZ?Eef7dC=IH0uuwp2Arb|XCc=ca6uMmy)hN88MIsr z#!-d~Bi9>nK^ADeF%7036dQ1kGMt4RCU8MmY)pr11})cuag^c0$n^$XkOf+A%z&u} z#Ri<C3}+$R3>SpO#!R^8l~Xm~9A!8Qx!!;avOw#NSuo9@<91-|{|pZK(Aby<69dHt zoTCh9A=evlK^ADeF(0mZ;ZzMcM;XpSt~cO<EYNym0bKLqsTy#OGMt4RCU8MmY%GLp zUNKbz&QXT5kn0V&APcnKSOnL+YN`gDqYP&u+YA?k#l~W|=9N=5;2dQ*3%TBa3$j4# zjU_P6po4;7?Eef71>o3V7G41p1H}fMqYP&ucV^&%ywJ|fO1S2QQ#IfmWjG7D8wD5S zg?6J>!89|<D#O|T861kBE?Wna2e}N+QHHaST?QBAfx2uxT=T-I8gPy>oQ3Q%xF8SI zWgB3c8D*8>?Eef7#bB4Q2ycPOgBHucIj|!~x58x?PF03;V8@kigUc?Ssto7+XK+{# z+GMvKCd(+R3}^pma3}%W%qqMaE-$DIXG5=365a!oWSTic8P0*;^dY<#Cd+IjE~pIW zLGSVq-UpLr6xM*VmEkPpZCh|b=n3?~`{8;GG~jGyI1Bln0=OXb5)jaQ>0!8bL1j1_ z=BXnvNsy=D9GIt$!eqgog7aXWItG(w6xM*VmEkO8Pr(JD=g$ithwC-afU}k1EM!l? z1sNfiFMvFC8m?VX8P0}z>I_U0<S94@=BcwVS+J+zJea4>!K4|5HQ;PzI1AZRa6#w+ z^1|ogdJQz-Y-KnL*;8;qCWNOh!?g=4!`U!TU4co0JO$^#JarW&3-%P82lLc5m^7oX z2Ar)7XCZqEE(kruUHCd&uYm@ftqf-&dkQYdjPTTLxOPEhI2-1vJ1|L*r{Elzr|!aJ z!JdNiV4k`MlV%jwfU}k1EM!l?1)*o53*U$9HPC>wmEkO8Pr(IQV4h+VehAafG<AkD zoCCeJP52R9cEb!!IERr%8P5LC;84mSz<?r9hAvQ!E>Hm~!ydy7XWKP({?x5Al;Hv} z>z}|>FioAM3Fk1fD8t#%b4^hMKu4LP2!Ku}MG+7I9Z32VW;mm)CW6hR0cR`2S+E+! zhk=0sF6aQdcMZ;CL*=r7FVS;QVGv-zVW2f^A2Zwt1_lR^pzt#m=o$w`LrnymMH$Zi z4>|1>MF4a}D~bT<TvZLY78EHJ*fbhk8h#imoA3)3sO_Kx0q6W@aA*a^*-N<Wh8dc0 z4kL>)oc*7{p%E0_$O2910?p_GEg-{R!3>8b2)F<&LA-{kV46Bh1I|%~vydYSE(nep zIFB2Z%Yld};WseTK`WqOY-PA8Y(*I;6~G0-ronj-!{J=0;h^hWgx|spWHi)7uvwJh zZ0La=C<35U3Qz<<hXbGpK=$Ob3BQLK4~jN82XxaQD6M~h%WjyV3Fk1fD8t$R85}A> zk%BBxg)UHyE>HuCwvRBwVbKN`fJNIUm<munhI1HMl;LdXA*?6@Rv_DuRe(;AMNt7d z*j4y5%y33oO$3`o8P0|tD~=)nI!PQw09<GwYXKD+!e8KK8)_ohEXr^;^b}VV0nibs zC<37KLs0}Az^ybk;cqbGL6HXM{AY0J1BL5%xa@`*ns5#yi!z)I&6OwuZJ<y?R?&_w z&;c_12h4C-q`?JXk@gd&0+iR_9A!8QIj_M5!Px=MV@Ks86^g>YV5T$5Y9iRo8gRBU zoCV8wpz;<j2wh={q6&1q5)K1wK&34x<H8L9I}OfbMCF1D1qKI@F5%yBml|p!*euF$ zHuUZf6amnc8z=&xn=ViUAQw!q3IBx|4@v`Y4zyYo{s))cFhdj0VPsK;v;Q+Vbb(?R zS)dzTpa)%`7nBD6!wiR|0k{Ax4KT36D}z~@a1JAjGMo*)&H_aMbO!~B0O;Zf6amm} z55kNv!x?2Y;A~|$3pstk1;Nn-=W(HO*}>5Uu5^W&;D#G&BG@d-a5nUA2NVI&r3xql zpxX>k1R&Q4unM!lj0eRUoC6CsR=Dhj8JchoBa1Se4b9^y0{x&!LRK*WU0@<8*4SW% z!(t6C0JEMQrUF#l!a0mg8gRBUoQ2%Kg$qLOEWn{&1=M^1<t4a!a5TVqOsHINq(FPy z959zL8fqfgEXr^;^g;v_0nj}JC<374|4{@Wr|z=~^T3T4RED!*Ep%R(B&dZB=fGO% zd@xyX3mwjbwb1!t(u~3yaJDj>h1^1i3qsGO5f*^!HPC>wmEkPp7CKxIdM6>MgD3*m zE~pG=!#pJllLUDR&VhMK3?>Wq6r2b1lsHV9QCI`cR)({XJp~tpo=PJu0oQAw0cR`2 zS;(G(3qo%@1bIpZu3b<W&W3qP7A6Vu6r2O|lpIVJ>?t@8<|%oYG^4NvoUIIJA$tlg z2t8ItSOKorKm*QJhO>}81s8<gqX;@SObMnPR4BkX{}~)OKy5H(xa@`*ns5#yi!z-3 zpTS`gD9a-YOhy-&f-W!>R4Ayx42Km8Z~<7MpbAp~Diq)xMiyl_8+yV5iU4SnKZ*co zpE-&EXsffZ8q9D;Sxp3+MH$Y9j%uL@fNoGi5dd9#gdzaGjYu7CwxK41&7urvL$?Q` z2!OV?q6mPtXQBu|wimJqYr>2NMH-v~Z4L`-!DTnh(1ddsnU&#e=xCk>TmVJN7S!$l zHC*7*j0_GSK@?T9K~bm;vkDf4Z~<5p>cCWhq7cquWKo8*p(^nUfVT3Xr~vJ-6V`<p z&M2#iU^6Mh+0Yw_Pz1nNQR%^T8fqfgEXr^;%vHz&VxVM<ECAYAhN1<s^@&Z`0A@TW zg5VrzH&fUUF1uldCY-~_qzq^OXK<JYvJ6>ZJ}AJAU|L}T4i|trSlAe*0u<nI4kNQN zoDEfpA)o<Qfg%O3I^ojbx)RRgMdk8<>rP06#suaTMp+FwTN%!RHIhN~GF%XB8l1<6 z%7q#Z?wp#!4L8(8uvwJhY?wch1wbp2P*i{h<WU4*<KX5n<3Z!#aL#`QhXtT`uz<@h zoT?1x{AX}j2$Hpg$ui1nz}d=h7V?%nxFBpxo)uiLp$43-3}+!vroaWEyIer`!q~vH zgPaZL{AX}j1ag=yTz279WjN<QgTrEwtQ}02QC0)aR)({XoedX+?P0Ws>owGXvz6g2 zWM{(#k(})a(++Yrob#W-VF}1#PH@?UQ<dSI{|pXGL9)&;Sw>k6I9nOcLUuM>5Voz} z1+Ldn1I|{4vyhz)7esQl8%#UM*>KK(28U%Jhq=RL7fw}%bN(|pEC<PYz+@R^HQ;Pz zI1Aa?a6#DSbx*inLk&1v8O}m>He3+N+1@biAZNol&`mPJK5*HEQ<dQy=%x)}UzjYT ztTLPp%NBlcNka`dTN%zmb{AX_x;YG#cmrVCLGFTcpc@o~1L3j@rz*oa&;|3tK`>cH zS!FmImLY@Tl7<>^wlbWB>@K(<lDk4-+ClDubD)dEg~Q;o3#Tf>Inc$*!r?GkMp<Pz z8<rm<;F5+KaJDj>h3qc4Ad<VHVA?_Mf^(n?bA_YfvY@-IU>xW|QQ;Vv0O)Qj7#mg+ z#KHs^4K?6wWjG7jU2s7pcg4fBgWLt@K$jj0C%|PFPF03;piAL|6JfH9vdVBatRP5& zOB!mx*~)Mhvb*4dNbX93X$QFr&Verb5>AE7E}W_i=RlVs38%qi8D*8>Y*-PL4wp34 zfU}k1EM#}V1>x>u6V8Nb2Q?Sq9Ox*Ca28y4!wgM0hmlzs&i>EfumaRvKo(euF0cwz z=w!ppg0&yu0?^u0I0vQz)P8_-7+I9zY^X{Ufwdsp@Tve$0q4RDXOz`|vz6g2<lz&z zAh-np=Rq0-a4t7eYa<VCxS=M3&7urv|7UOjyA!X#I*?D0RY0bvS%eE<#)A?MoC94K zC0q!XT{u-4&VjDe5iWwsf|eV?*syA%7$(4Ir~zjy!&%6Q2QCOtJS@VcFzq0B!8y=1 zDZ*uN*@aV;;T-513E^^>ETgP4oDHj>D&Ufa8gRBUoQ3QzxFFnJY{FGA?Vv;r=Ro_5 z!qsru4Kp<197ZN(IQu_?!)j1mAPcMkrQsTwR#+N_3qVt*a4k#)C=J6oj4aA<HdG~v z0-%#ah3jC3Gs<cr*v!gsHY}MT3xHD<vH&<c)WeN3)I_jZl;LccGm!<ry%1yp(D9on zS|BGtG7GoBj0Y_;fpe7MEaVu33&IxWw8AwnoT?1x{AX~G0>wcaOcu085XSz`;IIKa zOTZ%B4HE-d4d*DsS;$ty1!1d^df=KDPSt>Ol;JF7&2T}OyL;i97f)4&b71c7gUK?= zD#O|T85}l(-OVaI872>MH=LskXCYe+7lbBy;VE#<3#V$pIm&PrvSzp-%+pihnio&i zfOC}LEM(1aL71nf!8Na#sto7AJUtyI%P6Z1Xa8q#*aY@8v+!(~Jg5YLbN(|pNP?nz z4qSHORAo2^X7*f|ETgP4oc*7{VKdllHsM7uc~F4DIm&PrvbW%Z(59;JVz}moQ#Ifm zWjG62Gh7fB8%yAt7f;oIbClsMWX*6vSb#2tYhE!`1I|%~vye5z1z}OS46b?ARAo2^ z7Gld`vW&9IaQ1%&hb`a`V-;Qvmlsrqvth%GYhaR~VMaIy8s5TdVY1+1MmP^P%(xCF z%_yu4XG1fs@OrqUfd-td3}+#G7%m76EzscTX1I1iWjGt=>MbxykgMSwn5(zKWWlb6 z^I)#t29stKR)({oSyp&ET+%=T&Q^xAkX;QIghms{)w|)^1(o4!n5*}|Btfo*b6~FC z3zG%A8qR~cdLK-hQCJzyhUPip{cuSG4LDmF&O&xITo9V9L9RXw*Dk0GXTw~51SSb` zHJk%;^--8C*wt_z%+<$W(u~5&a5gk;3Ll3{8fd`T%5WC4tKovsoD6dHX}ESlWjGt= z>N7A&kgMUG{|pYYpd#ojOcv~FI1lFPb1-SpqA?g-87}&t!9fNz{R0}(gbOl21clGT z)H51rz}d=h7V<)QxF7>$p*+Y_m*Lt4mEmldr>?*xL7swhV4k`PlLdPU&VzaC8cZ6r zXbi?yhKnM53NFY9_0)BkdPV~cI9nOcLiQ9~kP+dj+i>lI%5XN!Q+HsJAWy+LFi+iu z$$~uv=fOO64<-#-GzMcU!$pxj1s7z3dg?w*J)?mJoUIIJA$tlg$b|6JW4LxfWjGt= zsV6W=kf-1rn5Uk?WWk<-^I)EO29stK)_}8>;VfiN!3CM2o_Y?~YoGyVE5ljHo`MT9 zBRusQu3b<W&W3sF4NMZ`DL4n_skbm$u&3ZWn5W*sq#1=Z;A~|$3)xd}K^CZ|-oy18 zXu#RZa2B$s;DXT2C!jp_8LnMW8P0}z>I+N~<S94@=BckRS+J+zJea4x!K4|5HQ;Pz zI1AZRa6wk6r@q7W8fd`T%5WC4r{IFnotPj`{f287RED!*p85lm1bGV1fqCjLOcv}Z zI1lEje=uoAVGTH28O}oX6kL!E>Z$*5y#^X^wlbWB>?yb)^uPp=r<mEGODz}$mEmld zr&wT;AWy+LFi)|<WWk<-^I)E0gGn<AYrxsca2B$s;DYQ>PqD-G8fd`T%5WC4r{IFn z(}O^s;)ZJ%RED!*p5lQ?f;<K1z&ynZlLdPU&VzZ14<^khtN~{$!&%5%Uf_ZZ&@C_g zaJ>c^aJDj>g}hM*F314cr~~qpFkHK!GMo+bln6``<S94@<|$E_EZ9?U9?Vl>Flk0% z4LDmF&O-JST#ym!DRH=70}VJ^8O}oX6kL!I;VEgjc0px08|En)m?X$ka1P8<vM^b& zr{Fx8r{rMLjKUglwlbWB>?yb)6Vy}kaJ>c^aJDj>h3qM~AQQq<%5d$1%5XN!Qz|e? zkf-1rn5R@>vS3fac`#3@!K4|5HQ;PzI1AZRa6x9Mr_|wk4K(0vWjG7jQ*c3Mgr~IO z+69&2Y?!BXV3HtD!8tHb>B3~eo`UmWp3;L!GYV_K*~)MhvZvsJux(`eaJ>c^aJDj> zh3qM~AawH^C{G!~wF@f4*)UI;z$8JQf^%S=GKI;4Jq72%JY@!xW)#+dvz6g2WKY2b zVSB30;d%`;;A~|$3)xd}LFi6!kf*HS+69&2Y?!BPV3HtD!8tHb*}`POo`UmWp0a~U zGYV_K*~)MhvZvsJu%l1x;d%`;;A~|$3)xd}LFj=kAWu2NwF@f4*)UJJz$8JQf^%S= za)rr)Jq72%Jmm(HW)#+dvz6g2WKY2bVdsFk!}S_yz}d=h7P6<{g3!~;K%Vl3YZp|8 zvtgd{fk}cq1?Rv#<qMMqdkW5jdCCta%_yt^XDh>5$PR-GLhBk~f4E))4LDmF&O+Xe z3KwL6>_!E7Dj2R^P#MmKc`5`Z3Gx)21M^fUOcv}ZI1lEjFqkx>um+s13}+#G3N8q( zYlOq$dJQz-Y-KnL*;8;qMuexL;o1e2;cS?vVqlUWPr*4bPsPGy!JdNiV4jMDNizy- zz}d=h7P6<{g3!7~I3BLoKm*QJhO>}81s7yOcq$pLT~Ha$hIuLlCJFKsoCEVzDohsa zDL4=2sWg~0qp${?tqf-&dkQWHt!sqS;d%`;;A~|$3)xd}L1u)fvf<hVmEmldr*dGD zAWy+LFi+*eWWk<-^I)FJgGn<AYrxsca2B$s;DXS)MmQg?*FXc#R)({XJp~tp?(hcX zsbaWxL1j1_=BW~xB*;^64$M=fFj=st;5?Y8%3#ur!WwY4GMt6%DYziCt`RPW>ow4T zvz6g2WKY2bp~szoJXH<XE~pG=!#q_3lLUDR&VhNV7A6b!6r2b1R2@v3QCI`cR)({X zJp~tp)-}TQaJ>c^aJDj>h3qM~AoNr+kf)mA+69&2Y?!B7V3HtD!8tHbwZde<o`UmW zo@#?hGYV_K*~)MhvZvsJ(7Hyr9j@0v1I|{4vyeRn7la<s3G!4oT)Ut$oDK6-4@?r| zDL4n_sa}{Y*i&#G%u{_ZX+~iUI9nOcLUtHj5L(v=_rvuXXu#RZa2E2mbGRS_WZOB& zQ<LG^1(o4!n5U+|Btf2nb6}pD3X=tU3eJOhY8p(MQCI`cR)({XJp~tp)-}S@;d%`; z;A~|$3)xd}K}Lk9X2Z1$D#O_@PtAczf;<K1z&te<CJXiyoCovNJeV}2um+s13}+#G z3N8q(YlP>+^%`iv*~)MhvZvsJObAachHDp8hO=RwS^|><c?!;fd1@(47VIfF59X<5 zFlk0%4LDmF&O-JSTo78<2rq~0HPC>wmEkO8Pr(J55uREN*Dk0GXTv<T1||ve6r2O| z)LNJ<*i&#G%v0-N(u~3yaJDj>h3qM~AhfO#UJuu6paEwq!&%6lf(t^AascJ2&2a64 z%5XN!Q(It?AWy+LFi&lT$$~uv=fOO+4JOSftN~{$!&%6lf(t_H8sY75y#^X^wlbWB z>?yb)^jss5r*^}&3o66eFi-7)NrF5D=fFI*7bXk#6r2b1)IOLr=uiU~TNy5j>?yb) zw5}1}4^z)*paEwq!&%6lf(t^A9|U>oFkHK!GMo+b)Df5@$Ww3*%u`2UvS3fac`#2M zgGqx9HGr{|;iAZ%f(t_H8sXzG^^67@aJDj>h3qM~AoMI=kf%<=wF@f4*)UI?fk}cq z1?Rv#brvQI_7t24^VB(*G-!Sb##V-lB0CH&2(4>`&%@M%=BHq6Ww<Ev!7Ok=2FSrI zAWvO}X<-yphO=Rwx&o5~c?!;fdFm=m7VIfF59X<BFlo^I6pXD57e)3ITo78<2w#V( z2hC5x*vfEGWKY2b84;ek4b#FXs0?SrJaq>q3Gx)21M}2fm@L>+a30K4_h8bX`6(D% z87_+KDYziCt`WWuQxBS-g0Yq1qR5_t3o;=*^%$mwQBWDqhI#4<OcLZNI0xpbr!ZNt zr{Fx8r=G#2LGx2EwlZ84*;8;qXk8=x9HySpKm*QJhO>}81s7ySc<MD=yPz_h4fE6+ zm?X$ka1P8<Z(*`vPr-RGPrZXlgXX7TY-PA8vZvsJ(7HzWJxo2Lfd-td3}+#G3N8pe z><E;nKEt&OD#O_@Pkn(&f;<K1z&!O8CJXiyoCovNH<&bNehS7`hKnM53N8q(YlOeU z)H51rz}d=h7P6<{g3y!yK%V*y*Dk0GXTv=82PO&f6r2O|)L)n^*i&#G%v1kh(xCY% z7+V=GitH)4AhfO#{tr{nXrKXSE5ljHo`MTP59kGXikTg0ehS8hd5Q%l0P+-^1M?Is zOcv}ZI1lD2HkdSMehS7`hKnM53N8q(YlPWh>KP3*;A~|$3)xd}LFj4qAWw0_wF@f4 z*)UJ>z$8JQf^%S=;)ThAJq72%JjDl-2F*{w*vfEGWQV~8p>>TgKTJKNfd-td3}+!9 zT?Q9qfE-;0@{}-KyPz_h4fB);OcLZNI0xn_QJ5^)Q*a*4Q(`b_(EJpPtqd1M_7q$Y zTGt4R!_+exXu#RZa2B$s;DU?@Pf5eI3o66eFi*+ABtf2nb6}p5g~@_F1?Ry$B?prR z%}>GD%5YI+Pr(JDb&aq*Og*E42Ar)7XCZqEF35!Nlrmhqpfa2d^OOoq667g32j(eN zm@L>+a30K4YA|Wg{1l9>3>QWA6kHHm*9fb_)H51rz}d=h7P6<{g3Jg{X~VS(D#O_@ zPwBuUL7swhV4l*2$$~uv=fOOs2a^WPPr=yAa8YDW!3Cjpjj%pUJ)?mJoUIIJA$tlg z2t5uEl&6g0+69&2Y?!A^V3HtD!8tHbnZjhjo`UmWo-%_;gXX7TY-PA8vZvsJ(7Hz0 z9HySpKm*QJhO>}81s8;#jSKRWHC(%(GMo+blnqQ0<S94@<|$j4EZ9?U9?VmAFlo^I z6pXD57e)3ITo78<2;0NdGa6{X*~)MhvZvsJ&?D?Yo^pn37gUC`VV-h<NrF5D=fFJW z3X=tU3eJOh$_*wBnxBHPmEoeuo`MTP>l$Hqn0iJ74LDmF&O-JSTo8Jn3&>O6aP5N1 za5l_SJ}^m;r{Elzr+i_uU{Aq$Fi-ixq(SpjFt##W6xm^LL1<kg><?4VXrKXSE5ljH z=X1gZ86f9#f;<%r*Dk0GXTv-d0+R%J3eJIfDikIQ_7t24^Hdm28Z<uzV=Kc&kv#<$ zgw{2};V|`#1{!d-GMt6%DYzgb!c)<3?Sjg1Hq28oFiDW7;2fBzVqvmiPr-RGPsPEc zLGx2EwlZ84*;8;qXk8;54^z)*paEwq!&%6lf(tSsJe3UBE~pG=!#tG&lLUDR&VhL< z6($Sz6r2b1R2obgG(QDnE5k*RJp~tp)-}TEF!hWE8gRBUoQ3QuxF9paQ`vCsg353< z%u_iqNsy=D9GItaVX|OP!Fe!G<-w#u^HVUkGF%kdQ*c3OT_c<iQ_pCi0cR`2S;(G( z3qsGl1?8z?xOPEhI2-1v5||{&Q*aK<Q>8Fju&3ZWn5W8M(xCY%7+V=GitH)4AhfO# zE{CaSG|+&vmEkO8Pr(JD2f%|oRSnlJs0?SrJXHge1bGV1fqAMHCJXiyoCot%9ZVWD zKLuke!$pxj1s8<YHNy2U^^67@aJDj>h3qM~AoQLVkf)mA+69&2Y?!B7V3HtD!8tHb zwZde<o`UmWo@#?hgXX7TY-PA8vZvsJ(7Hyr9j2bqKm*QJhO>}81s8-~S_bk|H(a}* zGMo+bR1ZuN<S94@=BZwoEZ9?U9?VmHFlo^I6pXD57e!u(2p5E|Ef?;Gsb@6MfU}k1 zEaao(;erg1qvJuInhe)2s0?SrJT(O-3Gx)21M}2Wm@L>+a30K4(_qq|`6(D%87_+K zDYzhXZMpDtn0iJ74LDmF&O-JST#ymrso8Mtg353<%u{n<k|0mPIWSMng~@_F1?Ry$ zH4i2YnxBHPmEoeuo`MTP*Om*<hpA^Y(15d*;VfiN!3CKRo>~moE~pG=!#uSFCJFKs zoCEXJQkX2*Q*a*4Q_En|p!q2nTNy5j>?yb)bZxota+rEX0}VJ^8O}oX6kL!Q;i=Vd z?Sjg1Hq29NV3HtD!8tHbt%b>gJq72%Jhcuc4Vs^Vv6bPX$ew}=Lf4iHuZO8;G|+&v zmEkO8Pr(JD*D-+d)MmJLL1j1_=BX_(Nsy=D9GIuJ!eqgog7aXW+6I#b%}>GD%5YI+ zPr(JDYs-bV!_+exXu#RZa2B$s;DXS*i9nv(4c9KH3}?eUwFf2%@)Vo{^VD9LEZ9?U z9?VnwVA7!ZDHvNBE{g0axFB?Gx$u6NdeHn7jI9h8MfMb25PF3m$Ww=5S{MbD;cS?v zj=&^Ao`Q2=o;nJX1$zq4gL�Od2#l1!F72MUg!P7lf`Y7d{SC51OBXv6bPX$ew}= zLhsWBdFnJw3!|VioDK8T8JHx<Q*aK<Q)gkaU{Aq$Fi)L>NrUF6U~FZ$D6+%gg3!7~ z_&iKKXnqRDR)&irU#<cdWPn_*0`k;lm=@6d6pRh?)D@Tj$Ww3*%u`okvS3fac`#31 zgGqztr(kSlxG1ux;DXS)M)*2RJ!pOk##V-lB6|uh$cXUNZI~9&{1l80^VA)f0LW8t z4$M<`VX|OP!Fe!G-GfPk=BHq6Ww<D^r{IFnx<>duOg(6R3dUB3iz0gpF35!N)MJ<y z(EJpP4fE6!m;lIAa1P8<PhqlPPr-RGPd$T4gXX7TY-PA8vZvsJ(7HzWIZQohehS7` zhKnM53NFZu@YHLV7Dhp3I2-1vH!w+%r{Elzr{2P3!JdNiV4ivhlLpOC!Pv@hQDjfS z1)+6~@Ozkg(EJpPtqd1M_7q$YdSMYLPkn}IVH8w`vtge40+R%J3eJIf>MKkZ>?t@8 z=BaNmY0&%>jI9h8MfMb25L(v=e}}0D%}>GD%5YI+Pr(JDxA%cO^&6&zQBWDqhI#4_ zOcLZNI0xpbzc5*_r{Fx8r~bjDLGx2EwlZ84*;8;qXk8=xAEq8OKLuke!$pxj1s8-~ zvJ3JQGY8WA6pRh?6bnoM<S94@<|$T~EZ9?U9?Vm0Flo^I6pXD57e)3ITo78<2(!b~ zgXX7TY-PA8vZvsJ&>QDLp5lgSVH8w`vtgd%fk}cq1?Rv##S4=KdkW5jd5RAv4Vs^V zv6bPX$PR-GLhBk~ewcdD{1l9>3>QVdK@2X)0J%X7<SAj87Dhp3I2-0E5tt;%Q*aK< zQ=%|gu&3ZWn5V>G(xCY%7+V=GitH)4AhfO#7Kf<^%}>GD%5YI+Pr(Hl5uTEUX<-yp zhO=Rwl7UHrJO$^#JS7X01$zq4gLz60CJmaOg0Yq1qR5_t3qtD}VR@K((EJpPtqd1M z_7q%@3E?Sam=;DsWjGt=DHWI`$Ww3*%u}i`S+J+zJea4{VA7!ZDHvNBE{g0axFEEy z5mtw(2hC5x*vfEGWKY2bnGv4ShG}6GRED!*p3;Fyf;<K1z&xc3lLdPU&VzYM4<-$o zpMtTK;iAZ%f(t_H8ex5ydeHn7jI9h8MfMb25PB;gC{G!~v@i-P!`U!TnZP7Lo`Q2= zo-&2Wf;|Q2!8~OKlLpOC!Pv@hQDjfS1)+6~usKXUXnqRDR)&irdkQWHy`mQ6DQlP( zMnPpb8|En+m?X$ka1P8<wlG<+r{Fx8r|e+Tp!q2nTNy5j>?yb)w5}1hhp7k6Pr=yA za8YDW!3Ci=$%8!Q4Aa6Ws0?SrJmmtD1bGV1fqBXmCJXiyoCoui8%!EBKLuke!$pxj z1s8<YHNx&N^`QAF7+V=GitH)4AUh&YdBe0Y3M#|dFi-iwBtf2nb6}qGg~@_F1?Ry$ z<p+}n%}>GD%5YI+hrtD*b&aq;Og(6R3dUB3iy~hY2p434Tonk4sbH8EMnPpb8|JAH zm?X$ka1P8<p)gsnr{Fx8r@~;;p!q2nTNy5j>?yb)w5|~jhp7k6Pr=yAa8YDW!37x+ zo{ENPVH8w`vtgc!fk}cq1?Rv#6$_IEdkW5jc`6Pj4Vs^Vv6bPX$ew}=LhBmgc$j+7 z{1l9>3>QWA6kL!A;i+Vp7Dhp3I2-1v6qqE)Q*aK<Q>idnu&3ZWn5WWU(xCY%7+V=G zitH)4AhfO#PKT)n%}>GD%5YI+Pr(J55uVD1X<-yphO=Rw%7ICOJO$^#Je3QR1$zq4 zgLx_sCJmaOg0Yq1qR5_t3qtD};e42S(EJpPtqd1M_7q$Ydi^FSPZh(oFbXQe*)UI) zz$8JQf^%S=Duu~{Jq72%JXHph2F*{w*vfEGWKY2bp>>ULIZQohehS7`hKnM53N8q} ziyGvqYM2&AL1j1_=BXN(B*;^64$M=vFj=st;5?Y8>R{5K`6(D%87_+KDYziCt`V+> zsRzwZ!Pv@hQDjfS1=$eEuNkI=QBWDqhIy(5CJFKsoCEVzD@+#bDL4=2sWzB2XnqRD zR)&irdkQWHt!sqaVd_EiQ!utNTol<;a6xv2r@CQU7zLH#Y?!BdV3HtD!8tHb^}=Mq zo`UmWp6Y{1gXX7TY-PA8vcuql(7HyrAEq8OKLuke!$pxV(}fE%KrYh-CBMlqEsTQ7 za5l_SQ(%%HPr*4bPfdl%f;|Q2!8|n$CJmaOg0Yq1qR5_t3qtD};ps5-p!q2nTNy5j z>?yb)Bf?X&VOkgkmEmldr{=&UL7swhV4j)_lLdPU&VzYs9!wfEKLuke!$pxj1s8<Y zHNx{@>Ou2UFt##W6xma7K_-N!7Q?hK3M#|dFi$OkNrF5D=fFI*6ebJy6r2b1)H0Yf zXnqRDR)&irdkQWHt!spr!_<T3r(kSlxG1ux;DXEuPpyV&VH8w`vtgcE1Cs=K3eJIf zYAs9_>?t@8=BafsY0&%>jI9h8MfMb25L(v=uZO7z%}>GD%5YI+Pr(JD7gU4t)Ml6# zMnPpb8|JAkFiDW7;2fBzw!&n=o`UmWp4tYJ2F*{w*vfEGWKY2bp>>V$c9?q5{1l9> z3>QWA6kL!M5mUQiS{MbD;cS?v_P``To`Q2=p4tnO1$zq4gL!HnOd2#l1!F72MUg!P z7lhU|!uw(BLGx2EwlZ84*;8;qHiV}R!?b|rr(kTDr;fk`K%RngV4gY(lLdPU&VzaC z7)%;8KLuke!$pxj1s8<YHNwYX>Ou2UFt##W6xma7L3V_vPQ$c-=BHq6n5WLb1VEmG zb6}o23zG$V3eJOh>KsfOG(QDnE5k*R9R?SK)-}TCVd@zTG~jGyI1Bl@dAJ}0<hprK zp1KUzE~pG=!#s5bCJFKsoCEXJRhTT;Q*a*4Q`cb9p!q2nTNy5j>?yb)w5}1p4pR@B zpMtTK;iAZ%f(tStJarqU1vEbeW5Yak2POdW6r2O|)Lob?*i&#G%v1MZ(xCY%7+V=G zitH)4AhfO#z7JCmnxBHPmEoeuo`MT9Aw2aMrUf)V1!KcJ^#mpW@)Vo{^VCzAEZ9?U z9?VnEVA7!ZDHvNBE{g0axFEEy5q=I+51OBXv6bPX$ew}=G9x_o8m0v_KLumMJoN@9 z0P+-^1M}2dm@L>+a30K4?_koP`6(D%87_+KDYziCt`U9@QxBS-g0Yq1qR5_t3$h?Q z^%<rGG(QDn!#wo`CIIpjoCEXJSC}l=Q*a*4Q{Q0Hp!q2nTNy5j>?yb)w5}2U4pR@B zpMtTK;iAZ%f(x=DJoOu<1vEbeW5Yc42POdW6r2O|)L)n^*i&#G%v1kh(xCY%7+V=G zitH)4AhfO#{tr_RnxBHPmEoeuo`MUqAw0#*i8Mb2W5Ybf0uumv3eJIfiWMdc_7t24 z^AsCQ8Z<uzV=Kc&kv#<$gw{2}>@fA9`6(D%87_+KDYzgz!c*KZEui@+7#rp(9+&{g zQ*aK<Q@k))u&3ZWn5Xz)(xCY%7+V=GitI4BAhfO#=7*^V%}>GD%5c&D3=T(NXV}68 z86bk7dP*3k1vEbeW5YZp0uumv3eJIfN)#pw_7t24^OP7&8Z<uzV=Kc&kv#<$gw{2} z;xP4~`6(D%87_+KDYzgb!c)>PEui@+7#rp(8JGabQ*aK<Q?f8wu&3ZWn5X1m(xCY% z7+V=GitH)4AhfO#mWQba%}>GD%5YI+Pr(J55S~(oX#veo!PqcQslWt4o`Q2=o>GO$ zf;|Q2!91k~lLpOC!Pv@hQDjfS1)+6~usTdVXnqRDR)&irdkQYdjPR5;Obcj!3dV+c zN(UwY@)Vo{^OP=37VIfF59TR7m^5g93dUB3iz0gpE(on_g!N(SLGx2EwlZ84*;8;q z7KEpaVOl`*Q!qBnQzkG0kf-1rn5Rr(vS3fac`#3z!K6X+Q!utNTol<;a6xEYBWw;+ z51OBXv6bPX$ew}=vLZZX4buXepMtSrp0a@nfIJ1~z&vFOlLdPU&VzZ%4kitnpMtTK z;iAZ%f(t_H8ew~wdeHn7jI9h8MfMb2kPYD}XP6ey{1l80^OOrr0OTn+2j(ePm@L>+ za30K4ZZK)k{1l9>3>QWA6kHHm*9g1A)Pv@yU~FZ$D6*&Eg6s%SdBe1T=BHq6n5TST z0w7PpIWSN8!eqgog7aXW@`Fi(=BHq6Ww<D^!{CC@x<=R^rXDmu1!F72MgKE69EH_W za6tx$AgG=ShG_xKPr=wQPldn)K%RngV4ezv$$~uv=fOM`29pNOPr=yAa8YDW!3Cjp zjc_<jJ!pOk##V-lB6|uh$cXS%G)xO<ehS8hc`61b0P+-^1M^fYOcv}ZI1lEjIG8kO zehS7`hKnM53N8q(YlP!r>Ou2UFt##W6xma7K_-N!l3`jv^HVT3%u^{a0g$KQ9GIt4 zVX|OP!Fe!GrNN{@^HVUkGF%kdQ*c3OT_c<hQxBS-g0Yq1qR5_t3o;`-l?~GZnxBHP zVV=r?34lBW=fFIb3zG$V3eJOhDi0<NnxBHPmEoeuo`MTP>l)#Fn0nCs6pXD57e)3I zT#yCfsbZKG(EJpP4f9k9OaSC5I0xpbQkX2*Q*a*4Q)Mt|(EJpPtqd1M_7q$YTGt4d z!_<T3r(kSlxG1ux;DW3OPgTRTfaa%QY?!BNU;-dd!8tHb)xu=Ko`UmWo~naMgXX7T zY-PA8vZvsJ(7Hyr9;O~NKLuke!$pxj1s7yPc&Ztu1vEbeW5Ybv0uumv3eJIfsud;+ z_7t24^Hdv58Z<uzV=Kc&kv#<$gw{2}?J)JA`6(D%87_+KDYzgz!c*NaEui@+7#rrP z9+&{gQ*aK<Q@t=*u&3ZWn5X(+(xCY%7+V=GitI4BAhfO#?uV%d%}>GD%5YKSgL&bC z(6iP-_0(jT7SQ|@j1BYD6qo?WQ*aK<Q&VBGU{Aq$Fi%Z`NrUF6U~FZ$D6*&Eg3!7~ zcsfiyXnqRDR)&irdkQWHJ!>80so5|sp!q2n8|JAwFaeOK;2fBz=E7vbo`UmWo|*@f z2F*{w*vfEGWKY2bp>>V$e3*LB{1l9>3>QWA6kHH`);h>ji(y(o^HVT3%u`EX0w7Pp zIWSKxg~@_F1?Ry$wG1W=nxBHPmEoeuo`MTP>l)$ZF!iAMDHvNBE{g0axFGbbb&#i4 z!?b|rr(kTDr`Es(K%RngV4hkFlLdPU&VzYs9ZVWDKLuke!$pxj1s8<YHNxv*>Ou2U zFt##W6xma7LFifQAWv<EX#veo!PqcQZGj1ZJO$^#Jhc@j3-%P82lLc6m^5g93dUB3 ziz0gpE(on_gtx=ggXX7TY-PA8vZvsJ(6iP-p4tu50-B$Kv0<Lt0}}vw3eJIfYA;L{ z>?t@8=Ba%!Y0&%>jI9h8MfMb25L(v=?}w=e%}>GD%5YI+Pr(JDXRU)gbr_}vG(QDn z!#s5aCIIpjoCEXJQJ5^)Q*a*4Q^#P^p!q2nTNy5j>?yb)w5}084pR@BpMtTK;iAZ% zf(t^=T4!No7CsHr0=nf6&iT*aAP2f)>I__V;Z$Wf=RbpkG)VR=OqNkr8P5LC;BX#% zXB&&~MVLJ3mOD5{8P0-TYzn%93NFaN0J$ao5?u4bsmgE;%<9W<*~L>e;2dQ*>pz17 zJEH&t_?A?-AOl2D_zFxjqpUKV{hz_%IN04x!Z%>@pfG`R{xdjS2l@IYOqNkr8P5LC z;BW%0n@#vGOdjNGI7b=ILcYQpF38B>unlDRJ-FtDQ#IfmWjG7@Ix)B)^b$1T`*6*R zr)t1C%5WC4X1E|DgTq#k%@5$3S4`D_bClsMWX*6v=v8;Z58;|uP1S&Nl;JF7&2T~J zEoj1zV44|amEr9F3=Suu0s0Ik4+>B?M;XpSHXJSpGyFMR^TMebaE>yZg{&Da2n)~` zaLtRSYQQ<la2B#=xF9S*U&1x7n5qHiD8pIEn&E=50DT45ylScjoTCh9AtxfZAS@BR zhG}M$Rfe<wGdP?A2PnJndzd^ZK;ax^I1AZuxFF2%4{*&3r)t1C%5WC4x8Q=X0R0Hp zym+bxoTCh9A!~*U!UFUYT=R;l8gPy>oQ13zE(i<I&v4DFrfR@B%5WC4X1E|M5q*Jc zUO816&VdyOUtzM0vdVDwe+Gxs(BS?FlLrMioTCh9AqO{H5Ek6O;F=ds)qr!9;Vfi# z!v$f%{Tr@%@l*{sM;XpS)(jVf1@|Ag<`q*l;2dQ*3t2N<5Ek5j;hI-X)qr!9;Vfj$ za6wpb|AT8@IaLGBQHHaS0}L+61T8`T!!$F>D#O|T863_)V}qFsI(r9-4LC;`&O$aE zE(nVa7P#hxQ#IfmWjG7jTW~>`w^-qt7f;oIbClsMWX*6vSb(y@HLsYe0p}>gS;(5< zg0QG$hihInRRhjZhO>}0!v$f9hy$*9<x~wgM;XpS4luYN12i@`VVW6bmEr9F3=U_( zvB4(H3zG-M2Arb|XCWI77lawk2iLrCss@~+3}+#G3oZx?P=2`P#Zxul9A!8QSu<P^ z7N7!f%`2vAz&Xlr7P4lzAS^%y;hI-X)qr!9;Vfj$a6woi5`t-FlvRea|1&t80|zLJ zuoz4p)OLY${xdk-09EqhaM^`ZmEoNK3=TIzvJ!CF#Z#5xoc|0Cw?MLzFj+=fWjOmk zgTn=|&FsRmFnLf^!a2%t7IIX=1z{OW4z79OR1G*s8O}lu6SyEOK;_|@7f;oIbClsM zWX*6vSUOOEYhE!`1I|%~vye5z1!3tx5w3aFR1G*s8O}o13>Sn&r4n58%BdP~jxwBu z9AI!kSlU;HX=apFhO_@OI9vqB2Ai-tOdb>)aE>yZh3qo8AS^&N;F=ds)qr!9;Vfj$ za6wprYQi-yo~i-oD8pIEn&E=5Fwuf*UNKbz&QXT5kTt^vVX>hN*Su<~2Arb|XCVhD zTo9H5bzqtqWtHLV{|pY7zyZoGYygu7Wh^)cmaz=svJ0mw!#S|5V+5C7JXHhEQHHaS z9R?SKIm{TYdBs!>I7b=I`p@8?3Y%Pl3o=3kg-zg^S54J`bClsMWSilFury{0*SvD7 z2Arb|XCd1R7i5CkYzEWJD60%-|7UQx3=K~!m^>&v;T&iR7Pf}VE}W_X=P1Kj$msws z2ur>;aLtRSYQQ<la2B#=xF9U~+QK!jn5qHiD8pIEn&E=5<ZB1lylScjoTCh9A!~*U z!ji8&T=UAQ8gPy>oP``$a6wqJ#{s69QC1nw{?FiW1sdEgFnLgU0Ou&fS;&UN1z}0h z6|Q;VR1G*s8O}oX7F-Y(CT?)ei>GS9Im&PrvSzp-EGpgMnpaHKfOC}LEM(1aL0Eu# zz%{R$ssZOH!&%6h;exPq;0f2fa;gTLqYP&u2N+xsmUFydni*x4;q3nm4p+gk!6xhn zlLy5HoTCh9AsY@Cgc<G+*Sv752Arb|XCZqFE(i;g0J!GGQ#IfmWjG62Gh7fBm4R^0 zE2e6|Im&PrvSzp-EI@<cnpaKLfOC}LEM(1aL0CEnhG}M$Rfe<wGdNrW2PnI6I7}WC zpm2^doP`{qa6x8hBQ*l9dErzII7b=ILUtKk5EdqpaLtRSYQQ<la2B#=xF9S{qTrfW zOx1vMl;JF7&2T|jR7S%!ubQd>=P1Kj$eQ7TumFvLYhF231I|%~vycM}E(j}ZVquyY zWtHJ<Xm^-}kwrKWCJ!px;hg^r4*ZM)48lop*@aV;;hg^r4k94gWVr0&smgE;Y}_ve zCd(+R3}^pmaJUUl2W-NbFnLfqfOC}LEM#xN1!3OGf@@wlRRhjZhO>|Z6fOvh%51pi z#Zxul9A!8QSu<P^7L_@0%`2vAz&Xlr7P4lzAS^0#;hI-X)qr!9;Vfj$a6wq|&4X!X zlvReap{*JgMmFJMm^>&z;T&Z+3pqgHg0Om{1g?4ER1G*s8O}m>8C($NvQoI_#Zxul z9A!8QSu<P^7NBKt%`2vAz&Xlr7P4lzAS^1&;hI-X)qr!9;Vfj$a6wpXRKPSd$|}Rz z&>j{jKx<+0pa6w)l;JGo0EG*}N~$`z=7m!=;2dQ*3)y9GL0Fj7!!<9SssZOH!&%6h z;exQ(Xn<>8F;xT3QHHaSHNypA0on-HylScjoTCh9A!~*U!UD7jrkPPz8P0~btU=Q< z?J#*zfWkS-a2B%Ra6y>2I^dcYPSt>Ol;JF7&2T}O%R1qj7f;oIbClsMWX*6vSZs8` zHLsYe0p}>gS;(5<g0KMXhG}M$)qt~=;Vk5aIb0ChB>)B41ekVEkij|1a2B%Na6y=_ zC&D!^oT>rmD8pIEn&E;lhfjiQUOZI;&QXT5kTt^vVL>(-u6e~&4LC;`&O+7<7lg(1 z6qsg4Sq(T_8O}luGPoeLF9Vt<n*q}f3NkoH8O}nU%Yq9sLFck&!Zk0Psto7A>a<xf zSw>l9IQu_?!$WYL#x6V$CJ(Y2&QXT5khfXG1!0Fw%!g}UI8_7AQHHaS&k}(P!cJ0J z0N1>Dss@~+3}+z+I9w1GTMOZuS4`D_bClsM<kPm`g0Qm&7r`~JnyLZkD8pIEHp2yB ziEJ@k^UA3jaE>yZg?t_*To85|?-H11Mp<Pz`#*!j9cXN<fXRbm1I|%~vyc}R!3AMU zDp$faFPy3Y=P1Kj$SY^zg0Ou9tKga!Pt|~Pl;JGoFo6rgVq-O2^NOh&aE>yZg}k=} zE(qIMw+60x)l>~QM;XpSwizx6i;cB#%`2yBz&Xlr7V_>(xFBrr`8t?pMp<Pz`#*!j zU1)4<g2{to1I|%~vyiXGgA2kg2HgzTyl|=poTCh9A>aQB7lhq@y#=m$@l*{sM;XpS z4imT_EH<{nHLsYe0p}>gS;({Ya6#yrCE;yw&8wzrz&Xlr7P8H7L0D{ThihIrRRhjZ zhO>~@8o&i%E3J0GG&9O7!`c5C9PUA5V-HLo6dQ1kGMt5c=r~*udgX%fUbyCkQ#Ifm zWjG7@v~##1?5>A>aLtRSYQQ<la29fyzy)Eku^+B^#Z(PAM;XpSz7GU02)hmG09^B` zsTy#OGMt5MGh7fB8wcT<S5DP{bClsM<Quc#g0TAn55Y7u$|}Rz{}~+aLu2C@Odb>) zaE>yZg<NmI1!3p-9EWROI8_7AQHHaS>kYUd?6A5MaLtRSYQQ<la29fyzy)EkaT2b1 z#Z(PAM;XpSt~cO<u;W}$!8Na%ssZOH!&%5S!v$fnaT>09<x~wgM;XpSt~cO<umjJ} zz%(<;D#O|T85|yfV}n`v0!$th8*q*?oQ2$gfD1B08xR-anio!0hI3#Ih)Xb8Mp<Pz z`#*!j8?e>v!q;H(AgkdVWjG7D%7P2R7R+CVYhE~21I|%~vyiJSxFBp-*bTVm#Zxul z9A!8QIXd8iu!L|Eu6e~&4LC;`&O)xT;DWGy)3@N7S54J`bClsMWSilFu!L|Mu6gBD z4LC;`&O)xT;DWG|Mee{fGs-H%+5Z_F9zkQ{0Zbkg8*q*?oP}Iv!3AO0K0kzOUN}_) z&QXT5kgF`XAQOYb4$utVBe>?pQ#IfmWjG5tOyGjB*mw-ryke>boTCh9Ay-*&LFkH9 z;U{p-tEOteIm&PrvdwToSZq9nYhF231I|%~vyiJSxFBpD?K7BWMp<Pz`#*!jV`yx= zg2{to1I|%~vyiJSxFGaG9pTq-%?qb$z&Xlr7IKvZ7lhs9^9HVY@l*{sM;XpS4imT_ zEH>W4HLsYe0p}>gS;$ouTo88G-8;DERZ}(K9A!8Q*=D#PEH>W5HLski0p}>gS;$ou zTo85}><5@;Mp<Pz`#*!j6KHIFfyskn1I|%~vyiJSxFGD1z^`!43#V$pIm&Pra+L)a zgq?)@4X%0dR1G*s8O}lu6SyEOHon6(ub8R<=P1Kj$W<0x5O!Al54h%4Q#IfmWjG7j zX1E|MHh#i2ubip@=P1Kj$W<0x5O$l&FPLUVS!Fo;KZC<lXl(q0$%A48&QXT5kn0V& zAZ$zAf4Js_Q#IfmWjG7D-hd0j_I)#O!xrf*o~i-oD8pIEVFDL~#Rel>^NOh&aE>yZ zg<NmI1z{(nFu^sinyLZkD8pIEHp2yBvB3=2ymG1roTCh9A=evlLD<=0EHKTCvdVDw ze+GwV(AeOB$%A48&QXT5kn0V&AOnNLPEgU$3D>-Ess@~+3}+$N8*o7eXuZJ&*SvVD z2Arb|XCa3PTo4u;+;Gh+rfR@B%5WBPy#W`5?l}?WfoonhRRhjZhO>}uh6}=CgBPxO z<x~wgM;XpSt~cO<u$?k|FwKmz%5e6728ZX+*bsurgJJ{DQHHaS>kYUd^vXP8VYudn zQ#IfmWjG7D-hd0j?&=ePYhFB61I|%~vyj6CE(nVaQMl$6Q#IfmWjG7D-hd0jZnG7G zYhE=~1I|%~vyg3u3&LVU9IkogR1G*s8O}nkH{gN{3=X?M$yWlVnNe05&i>Ef@B$he zGB9~iY`{6na29gC0T+aw?kNk`yl|=poTCh9A=evlLD&)2a&XOyr)t1C%5WBPn7{>L zu^|uFyke>boTCh9A=evlLD(e^3UJM<rfR@B%5WC4&2T|jY$(Dtubip@=P1Kj$n^$X z5Oxic5==9rtTLSapTXfJG&a;=@}Ss&bClsM<az@x2;0l54%fVJss@~+3}+$N8*o9` zfejjP&5NgMz&Xlr7IK)t1!1wF3D>-0ss@~+3}+$N8*o9`kw#i@&8wzrz&Xlr7P8H7 zL0D{P!!@s*ssZOH!&%7n23!z!=${TuGic!wjQyX%;T1GC3}9lQ*no4C;Vk5O11`u6 ztv3wenio#hfOC}LEaZ9vF31e6H;mw#LCc<C9A&sLa+tscVX<KhQ_loi_5|Z7!-bLS z4Y(k5vzV|6Og$55*%OST3>QYW87>Hm4O5tUCeX4c7)Kc{j9hQP1z}s<%wXz4%bsBD z{|pYV!Lh+CYy}eo#Ri<C3}+z^1Hc7gdt$8Nnio!0hI3%U05&jLMp<Pz`#*!jJFwO4 z!VWNbkkxRGGMt56Wx)lZ*K7(q!Zk0PssZOH!&%5x7F-Z^zo!#i^Wv!*aE>yZg&ZAl zL0Cd?hHG9iRRhjZhO>~XEVv--25c9&=2cTQ;2dQ*3)yD4AS@xc!Zoj)ssZOH!&%5x z7F>`ST4lMxG&9O7!`c5C9NvOsgH_lICJ$O80q4M0L3qPu7fw}%b6_hdeBiQ+rz*oa zuyo)Hmt8Sc1I|%~vyk^j!3CM2d!zhdni*x4;q3nm4)4LvW)Tj8$%7IRoTCh9A*Wxs zAZ#8i7_NEYRAo2^=I#)<?Bb~!aE>yZh3sy)AQRNxp)k#ivdVDwe+GvSP<Kbd<U#I+ zbClsMWOu^_VZ(1xaLo&+D#JN2cSplz7f;oIbClsMWOu^_8KLfufoW!xRfe<wGdO$% zyPH`!0VWS}H=LskXCb>AF31ZVHcW(TUN}`5&Vji*2`0-Zs|;uVXK?rgwK@$Z53(B0 zQHHaSt%eKoK&?)PYhE~28P0)OodJ_&lvRea|1&sz23yS{oCA{wSq<kX!&%5y!v*=E zR_DSsFPy3j=fJGagUc?SssZOH!&%6&1sCLl##TN|Go!3Boc*7{;S1E=#V~o0yWt#V zI1Aa`a6wpXmB2MGoT?1xz}#I5mjx|{fpL`K!pQE13-UtUT?SLnD60%-|7URc3UzlC zOdjNJI7b=ILUuP?5Ej+daLo&+D#JN2ch|sW7f;oIbClsMWOu^_d7$pDg=uD#Rfe<w zGdO$$yPH|K5hf3EH=LskXCb>AF31i|Crxn83#Tf>IWTuO!(<s{mEr9F3=ZF+R=30C zK~}>#%5WC4)o?*JsMQ^C%?qb0!#OakJ7KbnvdVDwe+GviP^)`k@*u0>9A!8Q*=o2T zE7a;fxaNgZmEjzi)%`G8Mp<Pz`#*!jPpH+CVe%lW;T&Z+3)yP8APdy$DR9jTrz*oa zFsrA+WEo|Z;q3nm4!^)wvk1?G$%CwhbClsMWUJwVu(+ND*Sv75GModmdNy2k@l*{s zM;XpSPNQ%^c4!)%1JleXs|;uVXK?rpb@u|8JjmT}jxwBu>~6RqETJrfYhE~28P0*Z zdl6iA@l*{sM;XpSb~jv*4eIX2FwKmz%5e6728TaTcQ1#@gWL`0D8pIE?uHA(QrQZ) z=7m$0;T)K|SHfi%Pt|~Pl;JF7cf$o)q3&J<)66KV3}^pmaQF*#_d1w7$lY*`GMt6% zZnz*UiLQrhUN}`5&Vjjm16+3TR1G*s8O}m>H(Zbf>h6s&&5W|jaQ1%&hksyqGYfBp z$%EVt=P1Kj$nJ&<aznG$Hn`@6Q<dQyn7g;bWEo|Z;q3nm4*#K6?}o{PtcG)x;VfjU z;euRHtM|Y)FPy3j=fJGq3zKD(Rfe<wGdM6X3NV1yRUL%MgRF*gl;JF7tKov2P^%BY zH7}g14ClbCJ`9s(lvRea|1&r+LajaylLuK1=P1Kj$X3GzIiOabfNNejRT<8KS$z^F z%P6Z1Xa8q#U;<msB77Dm53(B0QHHaSt%eK2;`$t1^TMgha1PAs^KjY4Q#IfmWjG5t zjlu=Fp=tC2Of#dbGMxRN!GRg-?kg~Pkh|d=WjG7j-EcuzLb(dpyl|>AoC9<BHMs2J zsTy#OGMt6%Znz*9)ZN!%ni*x4;q3nm4lGc2--gM9+zsa_!&%7gh6}<{*&Vp%g;SN` z9GJWB!etjv)qr!9;Vfi#!v#5^?!E`p%qXi2Xa8q#V1>H-5lkNBZa7C7&O&xKTo9H- zAHy{-oT?1xz})==F1vWD2Arb|XCb>AF316O_fwc=Mp<Pz`#*yN8`#~f!Y|?Sg353< z?5LDiFiFr+DR2(-+z{c{Fj??XDR3U_sFXJ_X+~jXIQu_?gA8czz+1Safd-td3}+#G z2`&gd6a=(1`#nrM)6^NtaL#`Q2T{-%^ar@?h8dc04kL>)oc*7{frC+i0Y!ilU4RQ+ zfE(oUk1)g8c1@i>b?XdexB$%hPcRisQ)g+yIgBjIa5nS|5)=W@85$@8pfey)1i*g# z3^SZjRujQyQHHbsGdS>o9F8o&i!Q*2E&$%{@da+Sp(cXOq6}w4k4iuh0PWUC5ddu% zM-hN*cxDs+1~VQMX>blK)V{-IH_Xt4a~N5a;q3nm4gw%|BMS(k3kabL2!kT+2h4C- zq`?JX*8ha507V*{!^olxXG1qoqX>ZZ@S+HS_MoB&fFtb}%y33oO$3`s8P0|dCTYM0 zP^45qn?`*Y7#QHv4xod0;5;T&E&~`q&gS_Ix7kn=!Ddm0v!VMuQ3OCc5m5v{o8eFd zAe*_^g#W^f2Sq8I0}IoCaM=wrG~paZ7G*daq!JWi$O2;M0^;Zb5}+vk4>KGVrEmd| zp`c=bfd@7<J$05QoWsbX3}-{PBcTX@)^ei=fYxTA2!KXug&ARnGs<cr*euF$_J0Nk zNsz;l1*Fggq|pVyg(DN(Y(q^1n?)JUhK}-~2!MvqPy|5ZQYZqDLz7s9SzyM4#xvm@ z&;eMWaAk$dE}W_i=YWox0?D$$WEo{O;A~|$3wcWnToAU$h#juiPy^0ZhO>|dc;SN3 zJprJtYMe0bAZNol{}~+QK@Q`B%PyR%4CjFAB9JUMOqNkr1I|{4vyhz)7liFK<ALin z)PS><;Vfil!v&F?%?HyCayFdvpTR*9<S>4??82$aaL#`Q2PKfK08ExqRs+sfhO>~J z4Hty%Jr#uOHPnE!mEkO8XTt@NoGlE~4ste}^Pj;%8RRe#xa`8I%5V;-jRTSug~>9? zYQWjba2B$&;exPzQ(|zvh8l3TGMt6%Y`7qjvn62KLC%JApqm1OCE>CQrz*oa&_(LP zQZQLYS!FmImMx^=l7<>^wlbWB>@K(<bRP~V@yf!qgWLt@Ko?{S%fV$APF03;pbLbB z<zcdnvdVBaEJG^5B@H#;Y-KnL*<El!BzGynw1eCQ=Rg<33M<297fw}%bD%3Eg;ij( zjIzpbHY`7?!X*tg;A~|$3)x+8K_qvn!?c6k1?NCl0}5-vWfx9WhI62+(}Xo)vW&9I za5k(Y(1J@EYQWjba2B$=;DSi*(t&9QxeLyLuEY}7h089Ssto5qR|W~|!DJa_mEmky zL7)$pG}M5zmEkO8cfkdb++_&U4ssWq16>6pYy_8GI8_<Wfv(CBHipSE$|}Rzup-C= zE@`L%XDh>5$nJs*BDu>9rXA!iI0w1{LD(EFyKt&9oCBQ^7q)=OGRi8$*{~AG5-w?| z0cR`2S;+2!3nID88m1lOE;t7|`zve%mt8nj8P0)D2@2c7WEo|Z;cQshX9t%w)PS>< z;Vfi#!3E*&Vik6RYZp|8vtivaXP6|YI|k>#x??UdS#Wm@&I2WUP;1B)Ce0|U3}^pm za4-jz*luu10}VJ^8O}oPj==??J$leCMK8E^L1j1_=4x-4B*@ip4$Rd)Fj=sx;XIhD zePPm!!pd;=e+CCLkgNURk_H-ZwlbWB>}t3mbo>P5>L9pwL1j1_=IUUWB*@ip4$Rdd zFj=sx;XIhDLt)a4!pd;=e+CClkgLPsk_H-ZwlbWB>}t3mbe09w^^JgO2Q|6joc|0C zMj*o@;j$ZMXu>&+Ov-RJX!|6n)rl;i2GSY@(+X=)!v$as>S&k>P#+1-VPsK;v!N>S z3xH-VQB;8D5`|-6hBL})BG}BzaQ1%&2X&Cckp(o+1;8O33pdJ86TxOthO=SLL>2%| z45Fw2&A6cmK&G--g%jb%3o66euym6IlLVz3I0u$)l3}vobOYzX(oG6Xno(F8&i>Ef z0P?1ADqPY)1I|{4vyjsbTo5{|2ukglaP5N1a5l`<SujbEtKl4&tFvLUU{}L=Fjwcm zq#1>k;cRGZ3FpEk4K(0vWjG7j)o?-R94^S!g>dbH%5XN!)kQE#kgMSwn5&CnvS3%k zc`#R(z@!<4mEmmAkQ*p3l)@zqG~jGyI1Aa;a6#xg0g$UJ;o1e2;cS?zt6-8KSHn3l zS69Pi!LEk$V6Lu#Nizy7!`c5C96&uw;aa$)fd-td3}+#`8ZHQ3l>u^fBV4<nGMo)_ zbrVby<Z3tv=IUmcEZEg>9?aD(Flk0%WjGt!K^AU>OB!gv*~)Mhva8{O&^0h1S9ij- z3o66eFjsfMBtfo*b6~FShRK3m4d=mJ-2;<m6jp|_|1&rkf>KB?T+%=T&Q^xAkX;QI zgw8L4O7VV}c2Fq}=YYy3kl_>HvKwY-!a0mA%5e671_v!r*@rBkjV_>rE}#oCd?L(n zSUC?D09g-eDolc@0G0D_4kL>)oDH2_M-c$cd7}t`W};C9K=Z@GlVOH4%4#CmEXr{9 ze+CCVki(G$^w9+j&;`JeG6in7p(cXOq6}w4Cwox@K(nYQ0-)(h6amP*AFJ>TxbcF@ za5gNd&4fvUk{X-?OKP)Vvf!i!=fRTNY?w5ouri$epTPm-P2o9kNdpZyTN%zmPHJ#L z=oBm{gDik+7gUC`VXj^XlLWaM&Vjjl5lj~BYB&$(>cuc=Mqy<*`#*yND7J)`z$Fbd z;A~|$3)$6hLFj}x$ki+0+69&2Y?!N8!X!bihI3%9UImi{yBf}exq3BBno(F8&W0vB z;Wcnc0}VJ^8O}m>HCzz7ya43t4RGy(%5XN!)f-`wAXmdVFjsGa$%0)C=fPaP879pr ztPE%WXK=6tW$7(&NdpZyTN%zmb~RiOIza>~jJCnFg9;-!2U^4kZ->inn4t;hFtRAa z+0e!(ihwaFgCeUiK^HIuxqJuAa9Cjk7l0K;J7Fq7g%O;?$f68qL#M}41VEG4C<36V zWE26=1h4QenBk1Fng}+FGMo+VYM=;!dQ2z+79g)7YXL{fZn)Wong}+FGMo*atwj+4 zO@pEcfaVub1Yi?)`{Bk5D#O{Zq;>!%2}){k4lJo1gvo-F8k`49YKLIbjKa!r_J0Nk zkT-=7!zB$g;A~|$3puI51)+1OER2l8N8#G#mEr9F3=U!p0^nH-Fu};JEW`jEyZ_JN zz{McIpe&3ezzq@*K@#8r35X&I@PY)ykOcTZ0^&#l{2&1dBmn`CfFzQDAV@$8Nk9lB zAdMs-3=)t*5)fe!U|@7O#Kgeh5W>K~APbX1uoxlUaqwbffGU!MD}u8a85}@fL{cOV zR|I1@1T!!&z&M~#a{x^c!3DrGLU0~CDi@@jfq{XM!2uL-NY*I8t%0)`85}@?iKIvo zt_aRzWN-ilFp?rAxFR@<k--5J=tzo`;fmlaMg|8^L?9_rfh&Tu7#SQuk%Od26|M-* zVq|atMHrGIHMk-;i;=+r6p0Q|@aRy7D}u4WAr0ezf({(gZ~<`8!g-L8hI2v6At4P) z8W3xkHQ`pkSYRt)90rFDkZV9%86gweFac&QxK0=gtP{pT)d|W{aGlz4oiG+yCyaxt z6O`fLI(6VWVJxst7zb6SIB2F4>U?HhxK0=gtP{pT)hU6jQxC2a#scevaZq)F6BW!( zeYj2-3#=2yLDeaVY^MQSCyWKw3FDyZ1gCbGorZ9oFcw%RjDxCE3fWF0xK0=gtP{pT z)d|iQFguOmI$<oZP8bJOC+G?oczl|`b;4L+oiGkeCpeKK1VQu7a2_O)!?~c83Q6SP z;soYIQ@BMi7T6*f2gM?UAjl#(4`LCVi?B!rITX#{7Qt9xi(nj>MGz-~3p1D#&EYy> zEU-=(2UVvmvYi%ioiG+yCyaxt6I@uq?6idIgt5RnVH{MQpsRi0F=qwW31fkE!Z@fp z!DS!JPHVVM7z?Zu#zEC7kL*tyxK0=gtP{pT)d{Y&V0PNVb;4L+oiGlnP6cE;?ch3L zEU-=(2c{F8LJ@+XgahaCflFmLmmQLF7#SQCku9=^TLfc)ErM}SEJ6r^EQ0eODHP6y z*aNCr!R0+HEF9n#!B}96U>p>S5P~3!;5>*$a4y0kC1f9gv@n6?U@WjjFb>QjNLB#X zd@v_E!JP<Wfpx+-s5+IA?R19ggt5RnVH}uFaC9LAK~946AkhWqf;|9kpMY1sz?|p; zw+O}pTLj~vScDJ+Sp?^?fYSw>i?9fME<4O3SGYwm7T6*f2WAl@6xEQ!!VRty#scev zaZq)tBkOdB>x8kuI$<1Cof^nGJ>WWFEU-=(2UVvgvQAI9P8bWU6UIT+sfDc53$7E! z0_%ivP<3h}>-2`}gt5RnVH{MQI><Uf?ORCNhOxjpVH{MQy2v_x;da7UV4W}ys!ly* zoqlkgFcw%RjDxCEA6chATqleL)(PXF>NG&s835M_V}W(TIH)=ek#z>bb;4L+oiGln zP9tQUL2#Wg7FZ{YgR0XQStqC)0*MtE3#=2yLDgx3tP|8jg6M>?z&c?ZRGp^CIz!>E zg|WaoVH{MQX2?3j;5uO}uud2URi`<!&TzO+7z?Zu#zEC-fvhtEt`o)r>x6Moby_0p zjD+iivA{ZE98{fF$U39oI$<oZP8bJOr!}(9Xt+)o3#=2yLDgx4tTP6#6UGATgmF-H z+9K<Wh3kZ|z&c?ZRGoInI^*CvVJxst7zb6SJ+jVtxK0=gtP{pT)#-q&GXbs>#scev zaZq(SBI`_q>x8kuI$<1ColeL)li)gGEU-=(2UVvtvd(0<P8bWU6UIT+>4K~?1+Eju z0_%ivP<6T@>r92~gt5RnVH{MQZpb>*;5uO}uud2URi`_$&UCm=7z?Zu#zEETfvhtF zt`o)r>x6Mob$TM}%!KQNvA{ZE98{fN$U3v&I$<oZP8bJOr#G_BY`9Js3#=2yLDlJl ztTP9$6UGATgmF-H`XcMhh3kZ|z&c?ZRGogvI`iN<VJxst7zb6SKeEnzxK0=gtP{pT z)fs@SvjDCW#scevaZq&zBI_)K>x8kuI$<1Cok7Sti{Lt8EU-=(2UTY<vd&_-P8bWU z6UIT+8G@{{1g;at0_%ivP<4hP>nw%qgt5RnVH{MQVaPhm;5uO}uud2URcAP|&T_a; z7z?Zu#zEB?fvmFvt`o)r>x6Mobw(oVtc2@?vA{ZE98{fA$U3XwI$<oZP8bJOXEd_T zYPe1q3#=2yLDd<9tg{BL6UGATgmF-H#v<#ih3kZ|z&c?ZRGo3iI_uy%VJxst7zb5n zJhIMuxK0=gtP{pT)tP{-vjMIX#scevaZq(8BI|5~>x8kuI$<1Cok_?#o8UTOEU-=( z2UTY>vd(6>P8bWU6UIT+nS!jd1+Eju0_%ivP<5sv>uiPVgt5RnVH{MQX~;U;;5uO} zuud2URcAV~&UUy?7z?Zu#zEDYfvmFwt`o)r>x6Mob!H;#?1bxtvA{ZE98{fI$U3{= zI$<oZP8bJOXEw6VZn#bu3#=2yLDiXqtg{EM6UGATgmF-H<|6Cth3kZ|z&c?ZRGoRq zI{V-{VJxst7zb5nKC;e!xK0=gtP{pT)meb7a{^o^j0M&S<Dlv+MAkVGt`o)r>x6Mo zbrvD(oCMbiV}W(TIH)>{k#$an>x8kuI$<1Coh8URr@(c>SYVwn4yw*lWSvvtI$<oZ zP8bJOXBo22X>gq|7FZ{YgQ~L}S?6@PP8bWU6UIT+S%Iu`23#kM1=b1Upz5qd);SZd z6UGATgmF-HRw3)01=k5<fpx+-s5+~Wb<T$Cgt5RnVH{MQHOM;Wz;(h{V4W}ys?J(u zopa$jVJxst7zb5n9kR}OaGfv~SSO5ws<R$h=X|(M7z?Zu#zEEDfUI)?TqleL)(PXF z>TE>Txe%@s#scevaZq(OA?sWO*9l{Rb;3BPI-8MoE{5xbvA{ZE98{ex$U2w6b;4L+ zoiGln&Q@fdOW`_UEU-=(2UTYqvd(32oiG+yCyaxtvmIIIa=1<y3#=2yLDkuTtaAli zCyWKw3FDyZ>_pbN60Q@*0_%ivP<3`8>s$rb31fkE!Z@fpyODLShU<i}z&c?ZRGmG@ zI@iE;!dPIPFb=BDUSyqX;W}X~uud2URc9Zv&UJ8|Fcw%RjDxDPA6e&mxK0=gtP{pT z)j0uK=LWb=7z?Zu#zECN5n1O(xK0=gtP{pT)j0`S=O(yL7z?Zu#zECN8CmCMxK0=g ztP{pT)j0)O=N7n57z?Zu#zECN6<Oz2xK0=gtP{pT)j17W=Qg-b7z?Zu#zECN9a-mg zxK0=gtP{pT)j0!M=MK0|7z?Zu#zECN6Itg@xK0=gtP{pT)j11U=PtNT7z?Zu#zECN z8(HUWxK0=gtP{pT)j0=Q=N`CD7z?Zu#zECN7g^_CxK0=gtP{pT)j1DY=RUYj7z?Zu z#zECNA6e&qxK0=gtP{pT)wuv!=K;7*7z?Zu#zED&5LxFzxK0=gtP{pT)wu{+=OMUG z7z?Zu#zED&7+L3GxK0=gtP{pT)wu*&=MlJ07z?Zu#zED&6j|p{xK0=gtP{pT)wv8= z=P|fW7z?Zu#zED&99idaxK0=gtP{pT)wu#$=Lxt@7z?Zu#zED&5?SX-xK0=gtP{pT z)wv2;=P9^O7z?Zu#zED&8d>LQxK0=gtP{pT)wu>)=NY(87z?Zu#zED&7Fp+6xK0=g ztP{pT)wvE?=Q+4e7z?Zu#zED&9$DvkxK0=gtP{pT)wuy#=LNV<7z?Zu#zED&5n1O& zxK0=gtP{pT)wu~-=OwsK7z?Zu#zED&8CmCLxK0=gtP{pT)wu;(=M}h47z?Zu#)0Vs zubV&!f|fJDdCcJD3~(-DIm1?Di>|^gg0a9B!8j-uAp}7d!FiC?CvYypqHV|)U4vT$ zV}UJ#aZoHm2!bqv^B@+%xd@B4BU^MGZV`+Hwg|>Su?QguvIx$DSOn)HECSuX17C7= z18xzF1-1yrL9qxS2(k#ygIEOTLIES>)NZ&%H{lk+SYV4_92AQXf*_0FJcvbbF2W+v zx%_a8Zow^rvA`C=I4Bk&1VI+Tc@T@>T!cltk>lt#+#(nYY!QruVi7_RWD%SPu?WsZ zShNS(qC0SlU@WjjFb;}E2tklVa2~`WI2U2jUSx~z!YzWaz!t$cC>9|EK^DPz5R2ek zghl(1ExHG{2*v_i1mmDsgb)N-1m{64f^!iT?MJrgKHMT03v3aLgJKav5M&XY2eAmw zMObtI*`fz<i(o9UMKBJEMF>HVMQ|R(A~+Xe(LrR39>OhxvA`C=I4Bk&1VI+Tc@T@> zT!cl3kS%%yw+O}pTLj~vScDJ+Sp?@nEP`_p79B>m=rP<P7z=C>jDunkLJ(vToCmQ8 z&P7;s1lgh|aEo9puthKqibV)PkVSAF#3DEsVbM`!i=M(Qg0a9B!8j-uAp}7d!Fdpi z;9P`7$B-?02Db>t0$T**z$}8S;{%<J1TQ6@!*#+~V4W}ys?HP0cD{h?gt5RnVH{MQ zpflg#cD{t`gt5RnVH{MQpu^$dI$yzc!dPIPFb=BD)5xxU4c7@{fpx+-s5(I>y}|8# z1J?;-fpx+-s5(JM!ohXEh3kZ|z&c?ZRGpx+<KQ~q!F9q|V4W}ys?PJs{(KMD31fkE z!Z@fpFCgpu0M`j)fpx+-s5(K%!NFbo5v~))0_%ivP<4XNjf3m_1lI{;fpx+-s5&nr zyY@3&CyWKw3FDyZ1Re7RxAO~JCyWKw3FDyZ1f2&5*ZCE$6UGATgmF-Hf)0*@>-+}S z31fkE!Z@fpuOs{OJ6tD>1=b1Upz6GVtn&w4CyWKw3FDyZyos#yCtN3t1=b1Upz6GZ ztn(LKCyWKw3FDyZyp62$H(V!-1=b1Upy~wOdkqiUKX9Ee7FZ{YgQ^pBM>AaKU${;f z3#=2yLDdPmPZ+NAA6zGl1=b1Upy~wOeGAw5AFdO|0_%ivP<4XtX@%=#V1#ZI1g#fm z0Ieql>x2u#6oRC|_q4+Vm>J<ZVJxst7zfo((3PNYolJ0@Fcw%RjDxBZbbTdUCo^0p zj0M&S<DlvUU9||;$pY62V}W(TIH)>7*8;+Ivch%3SYVwn4ysPj6?Sl)Y;c`07FZ{Y zgQ^pB-5OjcJ6tD>1=b1Upy~u&4F=cA0oMs*fpx+-s5(K{SiyC2!gaz}V4W}ys!q_A zOK_cBaGfv~SSO5wsuOfQ5L_oWTqleL)(PXF>I5Am3D?O3*9l{Rb;3BPIzczlz;*J% zb;4L+oiGlnPS9DEaGiW`oiG+yCyaxt6LgIXTqi$VCyWKw3FDyZ1f4_)*C_zk31fkE z!Z@fpK}S}?bqd0D!dPIPFb=9t(3wJTokDP(Fcw%RjDxBZbodZlr!ZV6j0M&S<DlvU zofrhyDFW9CV}W(TIH)>7M+?Dqio$imSYVwn4ysPj8ANcMVsM=>7FZ{YgQ^pB8wOmb zI9w-;1=b1Upy~u2>;cy)0oMs*fpx+-s5(I>fWUQ1!gaz}V4W}ys!q^RA#j~iaGfv~ zSSO5wsuOgX16-#xTqleL)(PXF>I5AG0@o=6*9l{Rb;3BPIzcCfz;(*Pb;4L+oiGln zPSDXJaGi2+oiG+yCyaxt6Lcd0T&Fx-CyWKw3FDyZ1f7il*Qo&431fkE!Z@fpL5C>7 zbt=Mj!dPIPFb=9t&}j>Bol0<>Fcw%RjDxBZbf7(4r!rh8j0M&S<DlvUouvTRsRGvt zV}W(TIH)>7hc3W%s={@`SYVwn4ysPj=?rk4YH*z}7FZ{Y1Jel};YA37I=XNkWM>?l zi|FXGGYY`;Gcc>eErPMY7Qr}hh2TaI=-_j>6E)yEVJxst7zb4+=!A5*PEEK@7z?Zu z#zEByI!Ya`Qwy#W#scevaZq)F&RmD<)Q0PXvA{ZE98{g4Q_$f$b>KQ-EU-=(2UREN zxOBKqUARsd3#=2yLDdO5R~@cX53Uo&0_%ivP<4V1V2A6}hwFr~z&c?ZRGpwR(cwA` z;5uO}uud2URVV21bhu7KxK0=gtP{pT)d@Of9j?;|t`o)r>x6Mob%Ks#hwC(k>x8ku zI$<1Cog&C7-UO}_#scevaZq)NBI`7T>x8kuI$<1CouISG;jT4<>x8kuI$<1CouEU@ z;X2LXI$<oZP8bJOC+Ku@xK0bWP8bWU6UIT+2|8~auG12(6UGATgmF-Hf{u@a>$HOF zgt5RnVH{MQpcBmDI<4V4VJxst7zb4+=%{nJP8+yR7z?Zu#zEC7iyWV}aGfv~SSO5w zs#6YGryX1;j0M&S<DlvU9WoAgtvy^Pj0M&S<DlwPK(^BXt`o)r>x6Mob%IU^hui50 z*9l{Rb;3BPIzgw0!*x2rb;4L+oiGlnPSEk*aGlO@oiG+yCyaxtQw7<dE^wVN7FZ{Y zgQ`;%S*I&pCyWKw3FDyZ1RY!ocdZ*-CyWKw3FDyZ1RW&`*Xa(|31fkE!Z@fpL5Fd| zb$Y;c!dPIPFb=9t(BY(Tot|)=Fcw%RjDxBZbk-kSC+O@T&_D+R1B?aM3FDyZ1RV+p z*Xa$n6UGATgmF-Hf=&;F>-2%^gt5RnVH{MQpkoH%I(^|fVJxst7zb4+=-@xNPCvL# z7z?Zu#zEByI&%)L(;u!A#scevaZq)F&e((N41nu|vA{ZE98{g4GY8>11K~PhEU-=( z2URENtX{azAh=E#3#=2yLDdO5R}HQ+7_Jk>0_%ivP<4V1V1w%nf$M~^z&c?ZRGpxc z+Tc1v;W}X~uud2URVV0}X1LBUxK0=gtP{pT)d@Oe4X!gBt`o)r>x6Mob%Ks5gX@fd z>x8kuI$<1CouFge;5sAWI$<oZP8bKK6Wn-52!a~#a2{lq3eH6|-mQ=eJkZ%wkn9X& zfh~e@P%J_Sf-HjbAnQQjT!cl|$QDJzeFS5HErM}SEJ6r^EQ0eO7Qwj)i)@fBih)}M zV}UJ#aZoHm2!bqv^B@+%xd@AFku0)`gIg32Whujjn1$s)r@1jOGCJ5Xf)1CnN`R_i zU`T?pl;J`wQ)cf3?HK_nw}&WChALuUNQJVL;X*94cTNUv_W&t(fGAIcDq>*BfU=a~ zLdeP;A<8qMiWnHOp)6&%5VCS7i1Hk$A_j&$C`%bGgsj{dqC6j}h=HLH%2I|4AuD%* zC@+F4VqhqNvXtRM$jV(I%1fb&7#PZ-EM>S5vT`?w@(QRT28JpqOBpVNtlS-<yc(*A zfuR=4Qicm5EBAmXuY)RLU}%7{l;J|i$~_^<8=;CA7@DChWw;QsaxaMT7N{ZyhBhcm z87_pZ+#9029jb_dp%cndh6^Dp_kk$yf+}KQ=z+47;X=sDeId$wp^6w7`k^dkxDc{( zKZx=PP(=(3lb|eRxDc{(e~9wQP(=(3Q=u$nxDZG=XaPGYy$3*)PlGCAV3+}ADZ_=3 zl?OtU&x9&sV3-YMDZ_=3l?OqT&w(mpV3-GGDZ_=3l?OwV&xa~vU|0xcDZ_=3m4`r- zFM=v!U|0fWDZ_=3m4`x<FNG>%U|0@iDZ_=3m4`u;uYf9IU|0oZDZ_=3m4`!=uZAjO zU|0)fDZ_=3l}A97uY)RLVAuj>DZ_<WR_xjd+Iz&vD7+pj$-n}-rp^I$dJJ5Mg9WtN z_CF(|LnOq^tx&~`j1HjXq;ReSXjv+Zrwn5-aLdRUTZl{B8cWF<GBAM6hRZpC_SvhL z7#3wF7L=6c#FwPygZ8rjXV?w3LwOsN!N9T@?%M5eF}Q0HLM&_y3?RowK^(gWsut>4 zI2Y_#7*83-z~)%E98Sj`hT5UL7s_Bj3fg^eF}P#lLL4lhed!?AMnhbC1gaS7S~wT% zS{P3m#=z!UxE#c_hL}Nn25N`$Q7D6fWfeSVkHN*@u7wK;urz=+Y=fK|199$IsA{Nl z;asqDVLW9R1DkW<ayXrP4Qhw-IVgjHWhLCX=iy>-=fZ^)SQr}q!yFt7aqxARdWHv3 zmNHz3Wi{N`8&FB4>;M;X0G+DF!oa}L@c%#D_&A914`KQko<Uj4a3Q4h`v@wDWIRF$ zmVV<QMm~qBWq1Q+DZ_=3jC=u=L^2W~1T!)LV&q$xT82+hmNHxj$;fw5NhBi?LNFr} zAx3_Nsb%;9Whujjkc|8Sl|(WUAp|or31Z|=m|BK^P?j=W2+7D_P)Q^s5kf2+kg^~d zV&s3AS_T#-$T|RJxDb+&pmhP@CN`olL<qr*Oo1553N?s<feXq~h6^DX$p)1~awS5D zg%9G&REUw>FtrQ<P?j=W2+2qus3ek+2q6|uh>>X!BL!h<86==AWw;Ph<`;rWA{hx6 z5@4wS?d}I<{&a|;k}%Z_3Q(3ZTnNcfDX1ipp>QE2Lo*<TD#BDVXh2!Ya3Lf^m7tPH zhQfseSmZ#<F+ncPgczy`Q_WxiWhujjkPOv=N+KBw7ZPBZ2|B0;WM~$|P(zq%26HG& z87_oW{2M_fkqm_kaj<~4a)ZpwhL~vqQ_NrsWhujjkfPoaDv4w!LI{?Pav(<9!PGK1 zLs`mjAtWR1p^`{OB7|T@=0c2gfvIKigtC<3LP$osLM4%mL<qr*%!3%|1yjoq0A(q| zg^&W%8!CxpBwPp)nE4Ph17V68!k{c=xDb*vgP@W~W+H@O`KSP5WH?MMLkyIq3>QK& zG6E`zWF$fe=JP^`k+Cqf3`tOyGF%AB$T+AZl931@n2|*gBa>lj88V?PWw;PhV5UGN zk&J{3aj<|+KL@4EVu+bpFvSc7P?j=W2+7QBs3ek^a3O@5B@i<UVTu{bp)6&%5R#ci zP)Q^+;X(*AOCe@fz!WpoL0QUhAtW;^p^`{u!i5lKmO;#{hbd-ggR+$2LP*6&15^^p zOt_E$%QRT=Q4TS*9j2OL0+gi;7eZ=@bU-DM4226pS|TsR#b1cOcma#A3W)I&Vfq+m zL0QUhAtd7`K_!ulhYMjfz7k^mY?wZVB~X?!TnNedIZ#O?<KaSBjjw_jzZ9wu+OC9i z!R<;IPZ`F*)~<xhIe<=PQ!&TrV61~$p}Y*rKyFqphl|0Rm2e>imiYR=pyjHd+))j2 z?|P_usC(gDuzO)VWf%jSd*N~r_hPo%cR;OB-T-AFyLTg84DMdIkOE6+Z7t}qOOShO zAnx4>RS$J9oC|g@jHe7^U~?~A4&q(|jPM0rYXE9QDer>Xh3wwla51=h;X(>5|7&YO zOF2RAt%bPvFjPI%y>Kqry)d3KjDgL)a5;#3Eiv4C25N=!5hw%My+`3<aQDK6P|`yk z#Jy*s>Y?t1bHVO~@swc<Z0?22LELMC;ofUdE0oVc8OZKE4;O>G7cPX79_k_Py$)3m zbuXL?b}x*l3}aw(FI*1dUd;6S0BVKu4JZTIy*J@vaQDK6P|`yK#Jvxp>Y?t1bHVO~ z@swc<Z0?22LELME5x%dWRwzG$GLYT-7%m2PFI)&EJv2hx`x>eq>Rvb(>|Pj88OFfo zUbq~@y`~uM{Q|W@`3;nT?B2I<F}Qo-LMZ8>3F6+bQ1wvv!nt7g!g$Is1~&J?<sk0G zOuzr2Rw#diGLYT-9WDlUFI)&EJv2ky`yZ+v>Rvb(>|Pj88OFfoUbq~@y_n@02Q#$C z$N*&^yO$9z26r!92qk=5AnxUasb}DWvXtRMNR2)&s3cPLi4cM{`dT4I@<Y`^g8<G2 z2LX(y3}avm0=OI`2rMv?m;}@cWdSGyIS2&dV(=h<3!!9*Hi&yAq3WUTg>%8~h4GYO z3~cU&%R$_0jNx7-s1?dmPzJJlrQu?5_rirxl2|*$y~;543>r|DGF%8L%2c3|NKu9m zf<;*e#7Iq;S_VBROBpVNWTX~U63Iw}5X{I<h>`j*wG1XumNHxj$w&jJB$AN`A()X} z5F<@tY8kAcEM>S5l96UmNhBi?LNFt{Ax2t5)k5PQ&IQLkjHe7^V2gXW9Awzg2(!L% zg4&^M17#qO$=brj;E@j(LX64wKwRq#RSb14oC|g>jHe7^U~?^84yS9qp>`;{KpDtm zvaWD3xNG4;NMo|S5a;^9R5OG^S;}xBq^7wqR1zt^;6jk5d3pTTyZ__A!kS`z5aYvO z`WWJ%EM>S5(!gvuR1(Q}xR3%%efeF`1|d)juODJ;JWM@929%`?7eaD%0#p*oShx_x z)&Kwh|6k600JLcuWc&n(@tH7v3`J0uGF%AB_$;U-lJRgMi18f@xRlPUu>#$k12TRh z#Q0*EK86}7OBpVNWPAx!63KYD5LV+SL5#13>0@YvvXtRMNXFMeC6SDW3t=^WGQ{|H zm_CLHP?j=W2+8;ks3el{a3QS5Pk|Ue5vGq}CX}TN7eY!glc17F#>0gaSP~L+K!;?2 z!h9;k*jX_342z&FWw;QMv9qC)NXEj26j<iZzYMyX3S{gwh_Q=d>KRr;S;}xBBx9FA zC6SDU3!xZ09b)Vnn0kgSP?j=W2+7#BP)Q_X;X)|J&VU%Z6{enHAC#pG7eb1<ZBR)h zW8p%Or~@sTIKt=vx;GCL7&9Tp?}zDQI0|Jc!-bGWQw~5Sk&K56aj<|6#0Hr;3u5Lm zm|}+WP?j=W2+7IEp^`{u!i6ACF8^D9ck>^6(CIQD<7Y#RzW~$6a1+W>h6^DXe-SE) zWIS95V*DAKcXB*`3PHyOgN&a8G5!`zAH!oPOBpVNWc+QYB$DxPA&Bvy00&JXF))B< zE9XLte*)D9t!v<1a9soADZ?1p>KeElu2#_-s1?djp$z1z<{4ZJUe&;b1X#2{w{3s| zV;;n{Z=tH8u7z{Ku7&ZGVGL}ph08%)i`gyz3bjJ{9h8CW+V^lVxNG4;0xW)@^VC7E zoey#CH>hf;YvEk5YhgTP7z3MY;c^hyVz!X~L9I~!4rL&__6J-H?pnBz01F%FMhK8= z7eHM5AEugtg9Y02fD0idGzO?7QbL0ZK@!@50}IxlKfeHU6d}m?g%IO8Vfq+^pe$v$ z5R&m+P)Q`?;X+uAUj#8;7^aUw2Fg-~3n3XV0+mEE9xjB{_{9+8Wnual)SxV7xDb-@ za!^Sm<KaSBjb8#WULB^7!2rrqh6^DXuK|@rG9E63)%c|l;|*c@7_6WyWw;QM@kUTd zB;(;iSdCu>G2R-ckHH1XQicm58E*rXL^2*Ogw^=v5aV58`WXD6EM>S5lJRa(NhIUp zLRgJo0WsbmrjH>6%2I|4A$2zbppr<&BZOexjg=51Lt$ze;-D;LxDb+y!=RE#M#6=# zx_A}D_;{E;h72f687_omd;(Mw$#}RBR^wMgjL(GWV<>{Ml;J{1#%Dn#k&K56VKsgY z#Q0*EK86}7OBpVNWPAx!63KYD5LV;YLX5A4>0@YvvXtRMNXFMeC6SDW3t=^W9mM!{ zm_CLHP?j=W2+8;ks3el{a3QS5uZI{v5vGq}7L=t77eX?A5>yh&c(@Q&<2OKzpAFN; zums9dh6^DXKL;v_WIS95tMMBl#xI5GV^{@cDZ_=3veGiBB$Dw6Ay`)01Tk_oOfAC( zC`%bGgk<Czs3ek+2qBn}n;}MSgsElN4rM9Bg^=2qo1l_NM#6;<UG^;yGk3rgGwg@5 zl;J`wGiS^Koe$2)D7+IYiDV{Rh!M2J2UKBhg&27Nrk3G2l%)(8LNf9oR1(QZxDedP zZ4e_*z|=CFhq9F6LP$oQgi0bA2^WGJxgBEU1(;ff>rj?5TnNd?i%>}<BjG}DBX>ZI zya7|oa39K2h6^DXc@rv$WF%Y&Zsbmgkq=;M8J<B|%5WjJRa-Z2o(MW_9<+LN7evEz zs4@nIw@{WcTnJUeZit3=P-P4ZU!W{yxDcv_JrE6Fp~@H-enVNxa3NF;dm$SBK$S5t zFtI}0XK*1@4f`M(n4!uT7&xFTWw;QkhW!u?oKR&93<6M=GF%8L;JKiZNC6KQf(QHo zh>?OYwG0wamNHxj$w(onB$APEA-ItTAx28V)G{bQS;}xBBqODul1N6vh2TaWf*7d? zQ_G+ZWhujjP($i4M1uxY83ThJl%)(8Le+2tqCp?3jDf)v%2I|4p=vk^(O?Eu#=u|& zWhujjShc0BEkO6WgA(j9h<a<NDh397C`%bGgrfd9M7;x46$66{l%)(8LQ#JLqTUs% zih;os%2I|4p{PFzQSSv+#lYYPWhujjST&K{e+r`BAF7IhAsEV1h6|ynKMheI0#(Jp z5CLT=!-Y`PpMj{4gsNg-h=sD0;X)|t&qCD4K~*s@Btcoqa3K`+=OF5np{f`d(xEJ6 zxDbl^^APnJP*n^Jc~F)zTnIHmT!3iEhbm)WD2B3>;X)`DT!g4EfvRF)sDQGR;X)|t zFG18-LRB#^)I(Xya3NF&U503AfGUIbZsA;T?-s^WhB2`9ZsBr}QEFq%G4(d69m<VR z2J)ipCb$^9dy5bP9Xtf8Os_y3+YVI=bu63<b}Wpi3}aw(EL;w!WBZ_XD0e^^$P)ma za51=J;X)js1`2pk<|@Rs{ZPeF*TT7A*TQ(pFa|c)!sQ^YHNXto=}<eACqNm<BL@@V zVsO{Og#=hY*8qZ@dkx~;88FohbD=C{xDZksZ6;I_sYFHy!Iq?6hZs2zsumgoa4t9m zU_50Q16v5d<#2|;a;P23^Pvpn*}4UAF?a~Tg%ns;fr9`X1~(uMUIA0jupY`%h6^Fp zN-Lp~NMQgMg4aqnAx3V1sb$y>Whujjkc`|2l|(WUE(AC77R1OMFtrT(p)6&%5R#EQ zp^`{O!iC^Q-i8=?0H&7VIFzLf7eX@fAXE~`NVpK($U6`tPr%eNoQJZM;X+78o`gyw z83`AH8+jLE<OP^ohU-w4GF%AB$cs=(BqQNMa3k+QjJyF;%WxmcQicm58F>>biDV>P z2yWzkh>;IqY8jqGS;}xBBqJX}C6SDT3&D+i05S3fOfAEEC`%bGgk<DPs3ek+a3Q#n z4<SZ=fT?Bp4rM9Bg^-N=2$e)K5-tQc@)5+yA278H|Dh~pxDb+&KcSLHM#6>QMm~lZ z$-o9_Br`CuLs`mjAtWOip^`{O!iC^QK7km?0aMGs4`nICg^-Nogi0bA2^WGJ`4nQL z08A}|IFzLf7eX>p5GsjeBwPq?<THqo5-_z4@=%sCTnNcXNvI@}k#Hfnk<TGUD!|k- zs6$!Ga3Lfk6`_(yM#6>QM!tX;sR2{Vpbup!!-bHH)PzbR83`AH8~GAqqybDVgE^F? z3>QK&(hw?%WF%Y&ZsaS7krptu4E9i#GF%ABNK2?Bl96yBxRI|RMmoUMGPpxo%5Whh zBORfVNJhei;6}cI80i61%is@XDZ_=3jP!&`A{hx6f*bi3Vq^eJEkihzr3@EBGBOY< ziDV>P2yWy%h>;O6wG8o4mNHxj$;e2kB$APEA-Iw6Ax0*^)H0+)S;}xBBqI}{l1N6v zh2TbhfEbwpQ_GMKWhujjkc`ZPN+KBv7lIr45n^NkOf5q>l%)(8LNc-tDv4wyTnKLD zCy0?1FtrTzP?j=W2+7Dws3ek+a3Q#npCLvzz|=CdLs`mjAtWOkp^`{O!iC^Qet{U- z0aMG+4`nICg^-Nwgi0bA2^WGJ`4wX11ejWe=}?w3TnNd?iBL%-BjG}DBfmk6oB>nI zFdxcNh6^DXITI?0WF%Y&Zsd1}kqcmI8J0s?%5WhhBNsv?k&J{3!HxU@F>(b=EyH>! zOBpVNWaLVyB$APEA-Iu0Ax3V1sb$y>Whujjkc`|2l|(WUE(AC77sSXNFtrT(p)6&% z5R#EQp^`{O!iC^Q{)QNN0H&7VIFzLf7eX@fAXE~`NVpK($UhJxPr%eNoQJZM;X+78 zo`gyw83`AH8~GPv<OP^ohU-w4GF%AB$cs=(BqQNMa3lXgjJyF;%WxmcQicm58F>>b ziDV>P2yWzmh>;IqY8jqGS;}xBBqJX}C6SDT3&D+KU;-an@dBon;XRb43>QK&@+DLf z$w;^m+(<@<ksn}c8NNeV%5WhhBR@hVk&J{3!Hr~s82JOHmf=5?r3@EBGV&)>63Ixo z5Zp*+h>;BJ@b)E?r3@EBGLjK0iDV>P2yP?`#7GX9S_Xb7OBpVNWF#k463Ixo5Zp*s zh>-#?wG84=mNHxj$w)z{B$APEA-Iuj5F;gEY8m9AEM>S5l97^7NhBlTLU1G5Ax0{| z)H0|;S;}xBBqJ4}l1N6vh2TbVK#bIYsb$cIvXtRMNJeTxC6SDT3&D-#gcxZ6Q_Elu zWhujjkc>2hN+KBv7lIqf1u@bBrk24T%2I|4AsJ~2l|(WUE(AA{8)BpbOf7>ul%)(8 zLNd}3Dv4wyTnKI?55!0hm|6yZC`%bGgk+>AR1(QZxDeb(UWkzaFtrTfP?j=W2+7Dm zs3ek+a3Q#nd=MieU}_oSp)6&%5R#FRP)Q^s;X-gD`5{Ioz|=CNLs`mjAtWOcp^`{O z!iC^Q3P6m^fT?B3hq9F6LP$ntLM4%mgbTrq6oeRA08`6Q4rM9Bg^-Lagi0bA2^WGJ zDFiXH0;ZOs9?DXN3n3X<36(@L5-tQcQW#=n157PLJCvmi7eX?!5h{sfBwPq?qzJ^w z4wzboeke;BE`(%cCsY#2NVpK(NKuH96JTl?rbAiEa3LfkCqgBWjD!opjTD0zIRmDa zVLp_l3>QK&awb#~$w;^m+(>bVkqcmI8J0s?%5WhhBNsv?k&J{3!HtxF7`XzbmSH`V zr3@EBGIAwU63Ixo5Zp*fh>;s$Y8kdeS;}xBBqKLMC6SDT3&D+)f*832rj}tpl%)(8 zLNanER1(QZxDeb(X^4>rU}_nTLs`mjAtWOYLM4%mgbTrqlz|v|0;ZPXJd~vj7eX@f zBvcZ~NVpK(NLh%H7hq}`u0vVMa3LfkFG3}ejD!opjg*5Jc>|`F;Xah53>QK&@+MRg z$w;^m+(>zdkq=;M8J<H~%5WhhBOgK~k&J{3!Hraa82JLGmf<~=r3@EBGV&!<63Ixo z5Zp*bh>;&)Y8k#mS;}xBBqKjUC6SDT3&D+4f*AP&rk3G9l%)(8LNf9vR1(QZxDeb( zWr&ds9Psufl%)(8LNbyODv4wyTnKKY3dBebm|6yYC`%bGgk&TqR1(QZxDeb(Rfv%S zFtrTgP?j=W2+2r6s3ek+a3Q#nY7iqOU}_oUp)6&%5R#FSP)Q^s;X-gD)geYIz|=CR zLs`mjAtWOep^`{O!iC^QYCw$CfT?BBhq9F6LP$nxLM4%mgbTrq)Pxvm08`6g4rM9B zg^-Ligi0bA2^WGJsRc380;ZP19?DXN3n3Y436(@L5-tQcQX68V157Q0JCvmi7eX@9 z5h{sfBwPq?qz=SL513j8e<({CE`(&HCsY#2NVpK(NL`4L0Wh@;;ZT+`TnNd?K&T{= zk#Hfnk$Mm#BVcM7;-M^MxDb+&kx)q_BjG}DBlRIhCcxA(q(fQCa3Lfk6QPnwM#6>Q zMjAkj%z&w7$cM6&;X+78W<n*AjD!opjWmQ9SpZYZP!44&!-bHHEQCrT83`AH8)*bF zvI3@-p&rUoh6^DXSqYUyG7>HXH_{klWCKhsLpzkE3>QK&vJonYWF%Y&Zlnps$PSoV zhJGkZ87_omWG7S-$w;^m+(=W1krQBQ8Ky&7%5WhhBPT*7k&J{3!HqP77&!x`mSH}W zr3@EBGIAzV63Ixo5Zp*}h>;6mY8jS8S;}xBBqJ9>C6SDT3&D-FfEc*~rj}tnl%)(8 zLNanCR1(QZxDeb(ONfyhU}_n*Ls`mjAtWO=LM4%mgbTrqw1OD91E!W?Ka`~m7eX>} zCsY#2NVpK(NNb3Z2ViO$jzd|>a3Lfk4?-o8jD!opjkJLnc><=E;XIV33>QK&@+4Fe z$w;^m+(=u9kr!ZU8LmTF%5WhhBQHWFk&J{3!Hu+o7<mJxmf=2>r3@EBGV&%=63Ixo z5Zp+6h>;IqY8jqGS;}xBBqJX}C6SDT3&D+afEf7#rk3G7l%)(8LNf9tR1(QZxDeb( zM~IOhU}_n@Ls`mjAtWO|LM4%mgbTrqbb=W91E!YYKa`~m7eX@fCsY#2NVpK(NN0$V z44m-xC6uKM7eX?U5h{sfBwPq?qzlAI4wza7eke;BE`($xCsY#2NVpK(NLPrF0x-1< z;!u_{TnNcXL8v5>k#Hfnk!}zpC17e9<e@BOxDb+&l2Az`BjG}DBi$iJD!|k-s6$!G za3Lfk6`_(yM#6>QMtVSu)PSjF(1)^=;X+78YC<KEjD!opjr4>VX#i8pU=C#|!-bHH zG=xeb83`AH8|eix(gLQI!5+#|h6^DXX$h4?G7>HXH_{toqytPXgFBR^3>QK&(h(|& zWF%Y&Zln*yNDr7=27f3^87_omq$gAo$w;^m+(=)DkpVEZ4B=3gGF%AB$Uvwhl96yB zxRHJkBO_pH8RDTVWw;QMk&#eIBqQNMa3lR8Mkc`2GNeOU%5WhhBNL&LNJhei;6?^O zjLd+kWypuJl;J{1MrJ}Kk&J{3!Ho=r7+C;Q%TNwwDZ_=3j4XsoA{hx6f*TnGF|q=t zmZ2WXQicm58CeOHL^2XC1UE7mVq^nMEkiq$r3@EBGO`gWiDV>P2ySEu#K;bqT84fo zOBpVNWMn5)63Ixo5ZuU6h>;UuY8j?OS;}xBBqJw6C6SDT3&D*HgBUpjrj}tol%)(8 zLNanDR1(QZxDedPaEOr$U}_nbLs`mjAtWOgLM4%mgbTrqjDQ%q0;ZN>J(Q&k7eX>} zB~%j0NVpK($ViBh8(?Y~wnJIUa3LfkH$o+mjD!opjf{dAxdWz_VLz0m3>QK&awk+0 z$w;^m+{kE%kq2OE8ID6)%5WhhBM(9)k&J{3!HtZ87<mGwmf<Xvr3@EBTF-kDDv4wy zLI}2=Hx^>#Ihb08D^Qj)TnK5;)p@8Sl96yB4i+Kk*7Z1unO9+o8E!&Z%5Wi;h47=5 zuR$e|%!CUu!Ip`~LyWuyQ_JuO%2I|4Asw1<8!CxpBwPsPtdRtWv5#Tu8D2wK%5Whh zW1m1Jk&J~4DX=gw!1tFYLX3R_Q_t`f%2I|4A?@aS3zbAN7A^$Y%?COirJ)0Ko-KGu za}vb(Z!mof|Dh~pxDb-@-=UI7#>0gW#&2%F1Uok&8DcyG7pwuw31un6g^-MAgi0bA z4;O+M4>}>mWsQ{}G<BpvjOT*sV-SL}l;J{1Va^SeL^2*Oq`=a!s0(zYAZQ~&D#Tb} zn0f|DC`%bGgp`m)ppr<&!i6|kU?+2?LClnbDP~ZHvXtRMNFgB&l|(WVE(8e)twmic zo-OnOZ8iisIUQoW3QQk^4wR(~7eWdNRj4GA@o*tf>VuybkO47P7p9)U49Zf53n95$ z4=RadEL;fU>a?`9v}x0(r9tB_6JoqMOdo>-l%)(8LNeY0Dv4w~TnJ+Ps#U92O<T2U z8r1kKi1CgveGFbumNHxj$#^HIB$DxPA&Bt`4NM&OoezSpb_a!dHpF;um_CMJC`%bG zgcRmJP)Q`?;X(>53{6c8P*>+bj17UQXNZNel;J{1t`3DtA{h%8g18zj&*VakkAvxB zNP)7H;X+7>J02>DWIS95v6m$eVrD8-F?1&foD1H`0plsd7}$1lz~vx^U|^n{m<P2& zIStA{-pG*-7lUu)fD56Vl$Q^2Z$4B#)V**n*u5~GGK_)Ey>K~*d(AM8iK~EGp<DoE zAiK8^E(UimTnOb@y8?)NE1~M4?uB!~?uGG`VGL~Uh08(Qi+R#x6VwXjDkuZlz146r zxO?G3D973rLfqR7RS$J9oC|g@jHe7^U~?~A4&q+SlXknIRw%bX8OU3kTH#`F_riq) zSmytPX1F4VYkQ!op{|8<!LEhzlwk~Pu7%4%T#K0+ra-Mw?u9atUE2p2gS!?kB)}pS z0d;LL#I;jlsu^ZMS;}xBq=wrxs3cPUMF@c|DgYH^B@iQL!_+b?fwGk0LP#af9H=Cc zk#HeMiGy}3Oew_pr7(RA>!2)UxDb-@%b=1-#>0iM8eax6emzVd!wx7*87_om{068b zlJRgMtj3o^jNb{<$8ZSBQicm58NUlEiDW!n2&?fG5aSQS^f8=)vXtRMNX8$5N+KB# z7s6_MCB*o%FntV{pe$v$5K?M62bDxJ9w7uvEmaUBFT>O_+<~%`;X+6*z5<m*G7>I? z)y35i<L|=sF+78^l;J{1#@~ZVA{h@C!fJdC#Q5hheGDI<EM>S5lJPH~l1RqGg|Hf5 z3o-s9OdrE9C`%bGgk=0Ds3el{a3QS5*FlW`4b#WK!VPIzE5n75jQ;~uhPZ14E`-(i zdWi9?P(v9Q_@FFhxDb-@Y*0xg*TaRd8s7jho*$-<K?2HBh6^DXF94N9G9E63)%Zq; z@scoo3`$UzGF%ABcqynPlJRgMtj0G%j8}%~W6*)Jl;J{1#;ZUjk&K56VKu%PV!SR) zAA=c`r3@EBGF}fViDW!n2&?fe5aZ2Z`WS4WEM>S5QbAw=l|(WgAp|Q3S|LW-!qhUj zL0QUhAtV>uK_!ulgbQJHaT~;VcbGl~KPXEXE`)SJf(KL*$#}Ss01F!@wDxR=80rsG z%@7J@DZ_=3TE78MNhCwzLWnVn4v3jyFvScpP?j=W2+7QFs3ek^a3O@5oe(o)VTu`& zpe$v$5K?r-K_!vQL<qs6s|#XeGE6N)29%`?7eX>J1uBVTBti&gWH-dfOqg1Rd?-s9 zE`;RsET|-sk#He|&wC(d7QhrUltEd_a3Lf!3!#!oX2OLKX7)nNEQcv(sD-kW;X+7e zRzM|@%!CUe%<O}hSqD?h&<tfM!-bH7vmPpmWF|rg7M%SMBU@l<89JdXWw;QMk*!ck zBqI?*Fe4{GjO>D`W$1^pl;J{1Ms`Cbk&HwL!Hk>;F>(S-EyGkOOBpVNWaLDsB$AN` zA()YqAVyAusb!c0Whujjkm6@LR1(QZxDX<KCPU1e3scOn7|K$H3n7^~4=RadCR_+% z<`jsTOJIr_RzX?Ha3Lf!mqI0x%!CUe%$y1_b2Usc!$v4e87_om<{GFZl9_NJgqhPI zW^RHhX4np8DZ_=3qG&Tz63I-25G;zOLyX)3Q_HXy%2I|4AsM+7Dv4wyLI`H$42Y5Y zU}_l-Ls`mjAtWRBLnV=nL<qr*oCz`V2uv-*NhnJhE`(&{QK%%6kq9A}k+UF1o`R`m zxBz7-!-bII=QLCj$w;^mB7SB=%)AIw%y1pbQicm5nRy8+iDV{R2w~<Nh?zHFiW%-f zS;}xBBr|V9C6Ua83n9#$3o-LPOfkb#C`%bGgk<Ies3ek^a3O@5^B`tEgDGZs3uP(8 zg^=blo<k*(%!CWU=Q8F)jC==E%kTxtQicnm9&57zqTwr483V&_C`%bGgsNd7M8hAb zG6n`F9%#E8E`+LK5kvzsR2c&UJCvmi7eYBoX)#1S2UHaU0}qs?3>QLCzXYP57pjVZ zK@iGPh6|ynUkXt#1XabrAO>YA!-Y`PFN3HThpJ*=kcP69;X)`U3oVDJmw~EcU{HXv zl;J`s>Q_M2D?(K<FsMRV%5WhR^(!Ij)u5^v7_^`)Ww;QE`c)A1+E7&t4Ej)(GF%8n z{c4DM1E?wn1`{Yt87_pPehoyuDO42$gC&%u3>QLCzZRn23aW~M!4Aq&h6|ynUk6cd z4^_p$;09$W!-bG?i33y;DVM;7;JIWy#7K9TS_VHTOBpVNWTXdF63Ixo5ZuTO5F`Cz zY8k?yEM>S5l92&WNhBlTLU1EDLW~TDsbz?TvXtRMs5xyDL_-`@83RKql%)(8LUKbq zR1(Pza3Q!GHbaa|gQ;c6g|d|4LP$oYLnV=ngbTrq+yXH&52lu(6v|SD3n3Yq50ykR z5-tQcax28hGMHM1S}02yE`(%cIaCtKNVpK($ZZfK>tJdbTA?guxDb+&^-xJ9BjG}D zBez40Y=fy~=!LSB;X+78wnHV6jD!opjobk-vJa+~VG5L`3>QL8B0C`(rb3l5FwB9n zl;J{1ZkPs@L~;XM2=0bm5F_Wp)G{oAvXtRMNJh?sN+KBv7lIqP8)D>Am|BK4P?j=W z2+7E0P)Q^s;X-gD_dtwX3scLm1<F!}3n3Y~4l0RcBwPq?<X(u8TVZM$c0*apa3R#d z-v`mK2da#L;V_h?3>QLj!(ON)k{jSca5wCS7<mMymf<Lrr3@Eh78JAsO{g(4IvfD2 zW?<xY;9?M9aNq_JJRpJ>MDT$Keh?u5A_PH%5Qq>45h4r%3=9$9_oai);&XQO%ks-~ V^!D^}@N)%CVuFMj9E5V@nE}q&W?}#U diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b3b486eae8782917e7ac31b809fd54d9bd5152cd GIT binary patch literal 14699 zcmWg2k!R*+U|7Jwz`)?}q)MKdV}k05bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu)?hZs}Xmv8rWp!=jG<-b}kW9M$YLS`JYR3=IFFz`=)sfx*iyyFbg-f2U`* zYnEfOXHvofKQFfh9?2OCTs-|feOD}Y@6GaeboI*2^6d3Y&hm5h?oG;CFn`00Su^Lt zl`P2c^i6PEKy?EZ7#J9sK%QlAU}Rv}z|6$RV9mtbym%ppSF)p*zhhR$3LDk-e6NU8 z5Mj0i*Q_+pEIDWH!vDt;R6)VBR?eD<#naQ%m2cJRg$o@#TwN0U9K5nJ9xr>(aCoue z17=2uDu?&`*2*y<bCAR#OsGNJ&5IY>HnuG4=vmRXY?;aho>aZl5Cvc}7#R2+{23S+ zWT0*bITwT(7*K>+e3BDVzy!Jk$gyA-GcY(TWME)`1p;b}f_N72_+?;lU}6wpU|`^O z$YWq&P=?yg-rCsP-e}tbr9~XtnFSaa99ozK7?_wC87vsUs#RdB7k4b|SY+G64xw?V zR)wnO?P%}sX;`>;MSow5Z3~2F2;qr1%*JMq8dN9u;;xqNh0P7U?Y1powjr2}!#;JW zF5ZO;I~FxJH!N-e+XvwZfO$A{YM|*{)QGGT%)_Bm6RMN5XT?IW4K18KD-6Lb96Gd+ zbbx&W)&XYW(4h_0!M+5ns)gMIOyf|k163{H>7U@`;_sS~;pvf(oaB%I5}1V~umL5G znHfwVajXl~&%DI8m)XQt7A1zjsu&pr7#!Af2{1Tp01+EO#3m528ANOW5nDmTHW0BL zMC<?&JGlfH7?>S`m<1UAJ1k`dk*o{?4F5rb0n7pn4vSd@7#x^EhOYxDbpVm94)sVm zgn`LnHOQznTmlS?s4|QWYeAZ<WTDPyVAO*%!Q}!21BQS@0|NsCx+-vn0ux{pv8q8U zA<(!CU_XkY8~p!20|SGV9KwBYCd_^40${t*1;I`O6A1UAt3fV!kl762a2G{a4sjW* z^k87rgEL_+Ll*$M3|$aZc7ZS?jzD3Ht^!^d!x;<?pfn(gt`OuRMp*sD@ZSI)Xo^NK zCZwQe1eNsQ(iBV}m98Wd*XUZId{8+l=HSA>z+eoul*iN0)jQcUE5p^rGa1C04dXaO zvw;d#Hb#duMga!q|NjkO4i(CB_0NRYnGS9q$&Mf)L^TQ)VqkDM4@%jfH1BYZOMpQY zW+yX~4igB2qJqic0!R^<-~gB6AW;WCMg|6i2A1Zv3!1?MND_w@aTG1gOzcb`4AQ^| z_6pb{5SM|$fgMdP7ZWoR7r3%THXP(As0Kzn8bIL$HXKY4p#fwrhLZ@|0JjF&1`QKv zJn}E<SiG>Mvtv<LM{lF;qJ=QN5twge2yq*@_H($+z`&qk4%H|Ci|;INSGNp@<P4a= zW{AM%9T-|Ipj!DAn)|>lti|msdTbjP!uYaazMvu4kB}M{Vy7icqY|1%C?BUrE2u`^ z#qBE=b}Ry$-?$LMGg{ofLdF)0ZPrjtJXwC38J@{rUXBhP84%895NE~?3<ugkwXpeS zf`S4}ZN^k)3suIF>X4klvef~L5<938z6^-fUXGs04#^o%?nE$m^A2#<VqgG=j(G%B z3oE#Z03jJ5WdgJi0Oco0>@zq#;u2usVu9%aa~T*M9&-sWFoBCQm<&`s1GHfSYv8m% zYC2GnhM^L*;nV`I7#SEHdKefOKuu_HK4D-GLt=w10b7eMh~3%;0t^fm=t`M66*xiI zAre$|#xn~rFtSBM!vxd=0J#;354IDQSd$@%6_I-30uHx8l_;ab3<d@Ublr>&v$2XU z!6N$qKLa()ut0YMGbcYM2s=c8d@acaY6gUYn4nUE(E%b*#lXN20}TpJ7y}#tuz*1q z<nHKf?y&9bYgyQ`2${{m2#zLn<<Leltg#G<bB8r70t^f+keH8!TF=11Acn*SI|6JZ zx*)i9i`>$MvK_z;D{u-x*8+-t5Jsc`Cbl?)y_`sFguUp3L?#JFhhzo@26W91wO9l} zWk1M1hdf3G2CORhLDHb803|kXdCA1UI8e@ox`hF^bNQf3z<C4WT()?ma7JQ-Rf6Le zU6AN-1_dd)X0Wj+0mjI{$ce5BtG#Sqp8hVb8BhvbghSFax^76f47HO6<2itv_~0rP zM1UG=4CX1&AmD+P*$&Ab{;nt-+{G~;H>fy<ncx88GB7ysbHj>bm<-J0*vmyw5r?4? zPjQ?EbulPYBC)}ifCCR*5WBVD%!sZOlo3G~kr^4;K!Y#P;vZ~05+7_Qw0d&{S8wpz z4#stO2q}s|2?AXwSUUp)1ET||tjmD<ixb9xNrP=g7ep@KklE0(4qZ9nvMv*9Jt$a_ z*kDJ1U4Sl#wSNxntvi7Gkl-Lj*9Hn+5JnE-EQH-)tC09$`-u-?be&-B@F32H+9L*I zz@)*pq6@-22p%|SLFGAs`^R7pqH6<r4up|B2yRB?KrIIaFcKT=1hD<+f<*Y*0ptR3 z>5Q%!UOG=@1hpx05tf7PLgIrRK!jZkj9`n<b%M2n2u8MiG)s{9AO#FWSt5q63+!HW zK_ZNDNB|9ef$|-?W_aB;ml4$4V=xCP0%dXb#>NKQo)rsWH149$6kPPd^f`dI3=9rt z@S+bU1NJuq1D=*BhDtm|A7~H-<W5i$LSln00S6PhAfBQRT`4HZf-q9DWdjw>Q2W5f zBk{p@g8K@|8BogMKBTAvwK~yNLRy`eqM%kMy6FG^3>N5Opw=P?qqi6#MQ0;4;c>zk zVAp}FT97&Dg2=@sG8<ZSqAMp{bT&b)2Sp1K8|(;hxS<Q83^PIp938-eZJ_*Yfvy}B zEg*~>HO&Y+!B!yg!S+Jq1WF-C4Z2E5)L@E&q6S?Q6gB8#plAVM>`~JKb*C7N0d^fM zYS0Bi0RtWt1&yY{+RoqsNN~WQD+dJ%2qOnfE7U@m6-a!r)zA=uQm}vlr4w|OkZv<d z9~#PWxCct<3=HO7P|Ki79Xyj0vY_4VWC#a$5fTY5LSQC1fVd0{4pHzT1SSJ^HQpiw zLnWRf1k|C0W@#}bHrNtyLP8hBQ-q)^1w{o2BS%LsG=yN$fy4*f2^mfRjVU-h00k<f z(~7PNl14E_L1`3S6qH8M#X#v3gt4d5KByZxVGOX#U@k@%L@qLr+0fzxT{+?6qaSKL zsQ5r)gB<~m5_CcM3<7i}!2vuv56&UzN<q;9!bnj9Zj4MovJ;67wv!WG0PF~KL1>Z( z4JyG#n2>V^q_Kdmi4AN40|Ph%LAx<vccUu=xfz6!+|4!-;byRlkoaI@F_MxPx(ZHo z0j%Z_^ckoVjbRd~9!D31_c`@pooI9w>|mE82M80Q-GZ(d-frmyjZ!nRO-2h4BtF<! zi~!+8R{{1vx*$>hN7n>y8=?zh`X5~d7XO2t4w~4!1R5m23?i<8h^rvt8i=?KB5r^P z(10Mg-wAGkfy+=v@WiOYMNnY^CLuFSuyK3_Ch)8*j0>9R0Ac8yEKC?YPYWg(7?36~ z=0F470X%mGsxx33ppIq$&C()f;Zb=ETn>|vW+52BgAg3B2?7Sl;0&A#H4z-H=z>r= zq|pv^6|m+%C%ObU#?b|#;|fp;nlC}A99<z+*TCZtp32d+!QBfQcK{pD;V_?pfdMv< z&%o$#6BM1G5QU3DHG$@5P$p~O9B}wCFn~>hjqEcpLdJJMCc(u>G6^=&&%o$#n@fO! z6V8X40uDfQL1@T9DX<SA(TT1Q9DwM8m;s2c0%|t{*kmxl0rfa+dVzt_;SR{Ta6VKE z*tzI}P<KKpuz3*YqALVD7hMq3x#%jOc4KiaY*K@P(cv!0xo|#I3)s2nf>3utDJ18j zD+D_iT@aQP9N@_lT?N!`EY5{Zr!X*rYb;JUAF2iHTy#OGJE0VkbI}!oor^9g3^N+; zTyzys1z4O5o62Ee1h;EB;e4nTuyfG`q3(oINX|u92zD;IAf|KCRY2{=;#}C25(6W+ z?Z*k{L$!dNi!KOtCzL{RF1kXnbI}Dc(=NISsNGnc3!C0zU<429Ie>=q;9_uXpppk& zlzmZ0XUF2c78nhl0t1i5qpJiv8eI@>Hhe4|T?IUigQl%Pb>|`m1_n21Z3Sz{BJsf{ z!lp~nr%z#gaGA`&z-S872x*(ccwjRa7#IXN(M7=VhAzn2+|k$Bve347F@nXw1okhw zQfU7KGVOpa$pN+3!HN?!QN!tu@FiFVY&p6h!b$`SYB{=6X0R#HIS+J6bjv-kS&lA< zuoA(7T8^$1-aZDkCebC)E%(G`Il3UiN(2jPIl59VWS^r;qFe5T&2n@>gp~*u)N*vC z@DvR4Il3ge<=)sVM;Am`iC{r3M^`F>>~nNUbjv}DC}AlSqz|0n&;=1zB3Mw%(UnSo z3<2d)kk8R2(JlAI=5usGgp~*u)N*vCQplF0OQKuuhs|<yL4=hE7SwWdr6`u8OQKs2 zT4IS2?C63BD-kTH<>*RLf*oBF-SPlzK1UZsSczakEk{?1lHkxK(Jc?eW;wbb!b$`S zYB{=6l&pp>iEeoiHp|fk5mq8tP|MMkq7*XdlIWHPW3wDx5Md>P1+^SqDN6B*E{Se= z2sX>n1rb&vSWwH+m7-KE=#uD`hhnoFT@Ya<f(5l4T`4T*fa_ayNi@sB{VD<QDhw(T zpylkKKAr%#0D}Xlc~XY(BDe@e;)4q%&_Y*?m9HoQ4xk083=B-BFs+OhFc#PZ1_lPu z7!nAB$C1R)WwF{z%+wNS%nBTo=qAEPJV4WKc-@7x0)_z+AQfl<g2V@V2e#C#7rGP- zoe%D>g4$~^jf|Wy7Fa*1jsdk!(FKWK)PPMhF`;z}6z|}rJy4%kqWKhw4|XX(bZs2m zr)Yd|R~O_{m_|lU7z?Z)=2LV*qFTI=g%#*piSZ|R3<KGpplN+*&I2bkBtF=kki~qc zOZ#9vaQ_(ON0=r?F&GQ17v@KFLG)CC&IhLwhXByrC@4NLw4((zdQ^ae-r+E401}dF ztI^^Ti4S%sfzd>e4`Esu#b7M3P6h@BPILiqFro{xd8WH&cqT(B=vqK@MFhjzVJQOx z1G+A(_7U(BRy}NPSuXybeo%^m!QmG(1GJC%fKh<KK@!}XfsFlv;sxCy(D^DT1@7KE zltE&_98{u4g9uQuItwj6koe$G1#bvgV%zHgUdYeDXbMxn$O&VC)xpvix}ZRELY9YT zHezE9h5&f00VEfpYepN92CD=Upv6esQm}0-pd~Tjoe6fJadS{`AY>qp<7{l{>}|Jg zUc3;&f^39<$TKi7NWr$bfJ_5#ae$kKkb$U1n1NuSn+Dqk12PS~kP2iPCqfir5;*SB z1u;ShLjW8GkRdp9&ERSVT@Z5^16>8gISdS7lfeY6mInn5Y-bM0GvK9(AkTo^j*x+v zfCvx-3q5FHJ9a>(ffxS3O+&~KZyIbD56Cp|DjT?I2pQr{gYE1AnFgL=hnt3wA>K6D zt{;$T;Av^NX$TqOO@r+S0+|M$!h@TJkRjeQ*p4BPX`qIYLkKMMAY_O)4Yq#>WE!ZX y0Gq~%5QUfoPBQ3%7^xdW0Gu8n$sJuYIJu(>VkUQV6%gm(NbWF61nmIYW(ff0F~Sr8 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb index 988b29a53a7bb466d60534485de0cf5e7e4fd964..d14002bd99dedbdade0254811bc7dfd2dc675e6a 100644 GIT binary patch literal 7426 zcmWg2k!R*+U|7Jwz`)?}q)MKdV}k05bP$h`K|z7R;r+fdyByLPHgGZUIlKC0`DHqK zdwMzexu$Vtx%y`&yLvk~XX#a_hqupP-RKa-z`*by3LHEc7#NznSMe=w>9bhW(q-GU zn76&To40Y%Lf+1fzK$M6r8b_$eGM(mD;9U~bSzrj-qOX>znE7}R!~?%2Cjs6VMkAI zH!pQflVM<B;0F1T!GV#1VFL>jBZD;)bMxYb9A3$eUjB|*87pj5+w;95PC<m(5?r&= zJhSASxeNavPf!Jg%33*VCKgXmPglNGs~0YG@NjiW@N@9W%6Po&J;UL}iVv6>A*vkS z?^`R!gv>z_hcKZAaW^ksXxrGbsH0~^-?C*Y7kE<jPD2zh<I+!pC17_zjI(A!i%Ryz zEqyB%wR9<e+~eA+#CiatmVw2=pMilv0vcAJ-~nL<1{7fypX7uTFo7-s3LJ0<F)%nR zWME)`1v*l~0Wo(%(hviK0~3P)C{7$0K{T^N5VHWoe}|>4Ad;0qfZ;y_1G7T_vjBs` zVpahL2WAEV28Uf-0t^t6)uA5Au?$QO+qnc79CmOCFfgLZFgol6X|j@p`ip^456%Qx z&%nTdA>h!!z`%g63hXy90X7k<8nncL#$^EeQ54<a|Nj{n7_6ia?t?R7?n4&<+l?*= zb{d#KxDQ<oa+*VCGl0Wg6kR#QWw3~3VAO*%VJ<@#0J{ub5R{BS7!pUIutiq^&xddZ zg99iHh@vY5xrh-~Vln)eg$J6VJd6p+<4jb}MBqF^3p2q6Lox#=xYSdCdIXkBK{Tj9 zWq^1LlBXRmfD$#ELmmSIgAz<bYh!PFqiqX2gcfmVX9ne#7G?nkCMHG(0|u~aWti&4 z9Sb`a*|xAlXdJ3lpsIO0+WUJN7A{`V-`8T>0^u1#cp?t7vDu>v)hXoV>gSm4>6hf| zn30v4<nQX>3KrT87TN(3nu^`6YEUx-z-lwRJd-`M{9L^q5+DLQ-~t;^+`tU;t2$J_ zXmd+@!zzdunmZPCfa)IGUa+VYSkw?MDvRP0kV)XA?r;$j-j_k8%oPxE6+~PE5!XS) z4UoJ;B?AKkEdCfAE`cN<8l_;7#K6Gl05-&qk%0l6TM#l}n;1A7TRMB&ZJQS_M6e)^ zg2*#4Fi6275o8+JHE`1qG7!}WGY~9v(_oPdG7ao4kZGI<QHV)k$D#`gBqwBfcxEH& zLkt0M%rH76GcYiqYj&u`BFGN0jln@56hPQiKou~6O$HMjFd+s8DOkD#c?KLkAkTo^ zj*x*UM+69hg&s7p6bLd69M2()3=EtIQHV)k<Ix2%LIOho>~=`dplb#P4Z0v^(4eb; zIEMj8(7>_-$TOhy>HzW#*zE`zhzW=QL9oz*23`moz)D^LL<`Zu)y*T>5hMVu?jZsU z4B&DLT#Y-N=MrGh(1PYr4j+#MKMx0w3@Bs94v2LQpwi3XHUk5LhBi!TmS=`%GDs<e zfkmkfR4E^%+<=rZ9vKiWw8)vU104JeNH*v~wFx5?7mzZpaUoa?T3A5D1Pw8qs0TGb z5L~D%gcmA}3n3ziVn)yq+4hql|LH?Diy;-5@B+>w11t<JG~vRieg_$2?gur7Q^6M6 z%!9BXRS1I)Oy1!usKjD$=wx7EfQdmOpMk-_n2~`&4?`4GbE6A_n}T2h-1q{gCUiBR z8VuClgwYHRYe9Ci$pk>%3bz+z1G*rzI)hbfEe;z&#VR(H(6j?jL>?Iq??D#H1Y)xX zT@YFk!YafThfOeh&{c9k5}ro}oZ;{RY)=q2d(Z_Tl_zRd3gbC!hS`a(3zBxBH7G18 z!?_M0!S)AZvmad$T>E0FkWqykw!mD1t{<Eepp_{kCqQ!tnwY~Uu$w}#xd~kmQlDc~ z@JIp<TVd`&*9*y7n3)Y(#Njj8Euq-lf-VSd7C`+1&%uz!0*0u=Hkhl>O#x?DXpq9Q zD>N5l3OjrOxet;p7(m4{D04D_dq@teK~}s2%Y#Tr_XbwxGBAOAXD}|Pl?}qsz8Opy zoIk+?0|Qd)IUE`s;2sz_=fX6=G9;+O2JQ4CF&Wq$Zh{;&pMik^R)8}wg7X^K6u21F z7(^X{U_r`G3v{K-ocx?1><|I!_<<~d75fZ~;7kU#04_$*0tN?=8L;|*ff1ZhIN^M# zez2kFf*92`h5)z-ht%Kbn!)uqx*%r#jjjUf9@P39>;+ie#J~v7S6~;z#h}^{p^IQa z!x>#ED2zcE5zer>i-8fGSvcW*sNrB6(FHMr1VaERjL<cM!w6jvGmOwxK;45Sj9@h= z10y&;fn5w2gK9&B41xs-BL-H7MGOoK#?VXw8Xp5yGDv)|5x6QD21ZkuQbvbnRD-}8 z#bDB49*M>~To3{2*ugBopo?J+sF92=$O^Ru90=%=Od0-68U7B37(oLtU|*Y{`5K82 zHV9tR!5QG($iTp83RB8#0b_x6FfcHH5*P?W5*a8=&{bg3qQJ?_sle$F3Gykra&U@4 z7ZiqC2lgqtB$7|Tk%BId#Q{hKkS@A%tPZdw(g6$%;Gi}~3u+`j*dWsCR|ZB?m;sPv z2jhXwVqjnpLl*#t6S^QtmLexcbc4Vlgf5r@bqrRkk)jA1LRM%Ygv19ML`++VfzcGE zjoV=kXn+l2DA*9L=0#A@jKl;NSKyRljiw%K2)ZCw^Fjz%)QrRg8={M@7929@f)g3R zj)9B>pi3f!4A@CF*qnqeh~yb0Cd5hTYQauI7mQ>=auT{Ex|3|NISE}5<c@`l7A;%^ z3MDWNVnUpRt`_VhbiqteIRz^JKm{_oB)XIAusI1`5ay(X2q!IE)C_SFx>~T4&;<<z zkwOVw65UDm*qnqe$khxAp+yT9Ed(XVg^NG|wGiqgbhTh7p$q;*b`rWIx|1BRISE}5 zl%^LgT)1c<$Vp%t#Ds(rx>~T4&;<_)A%zmUB)XFvu{jA{5aJ|I&IRW<aFD?w4qYwS zN$7%|(E0*g7NJX`JIM)~lh6f0X$j;YNW_6zi(nClt`_VhbiqJS-409M=#uD8a>nK) zbU{!kfeR*3@&+>&!jm_;TCkJQ1tmq1A`V>=-AOLkoP;h2PTmVai3pOsAxubGLRSlR e61w0+WGA6ZA~}hH!QmUIw*>J33h4lv{R9B74q9FS literal 7426 zcmWg2k!R*+U|7Jwz`)?}q)MKd<M7XY=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}yQa`n$lcJ+2}&eE$;4{x8py3rwufq~&a6gYS?FfcTCui{(W(r2-#rOUQy zF>iZwH*e#jg}j{|eH}fDN^Lxg`x;uBS1j(}=~%S5y`_t%e=)C|te~)j3|tBC!j7Ka zZeHq|Cd0tMzytClg99T2!v+>6Mh0sp=H|r<IlPh`z5E@sGFI5Aw�AoPr3mCAem# zd1lEua~J+Uo}dZ}m9=u#Oe~(Bp00eWRxe!W;Nj|$;OF3#mGOAldxpb{6(2A&LR2}t z-?vtd37LZ=4q-wK;%;8N(6+H<QAf{;zGcf)F7Tx4orWl2#-*PGOTg}c7-!9d7M1La zTl!WkYUxt`xW~0siS+<PEdz^#KLZ1U1T?Hb!2`k!3@E}ZKFJ9wU;<qN6gc1zVqkDs z$iTn=3v{G}17hxkq#*_d2POsqP@Fh0f@o%kAZ7uE{|-x8K_n}K0K<O<24;r<W&s9= z#jFAh4$KS!3=X@v1Q;MBt3y4KV;PtnwsQ$EIPBmOU|>X*VRYCD(qttG^%n!99-IlX zo`Hb@L%^Ydfq?;C71(cJ0&F5yHE4+gjmrS`qbRz;|Nk>EFjz?;+y`gE+=ngzwi{g# z>@+Zea38uF<TQuOW&nq~D7tcp%U}`7z^Dgj!d!+f0CpL=ASfAuFeHvZVT-N;o)6&+ z1_w|Y5JguAauFk}#A5g_3lB6!c^DIt$C;>{iNJY;7G{DChGYg#aH*#N^$0ANf@n~I z$^h{gBu_hB03~WRhdc%b1|^t=*2doUM%xy42rc5!&J4;eEzAN8OiYXn1`J@;$}rW7 zI~H~<vTb39&^T19Kvna0wD<QkEL^;zzpur%1;R6g@I)MDW3xvUs#D0z)z2~8(=W-_ zF(WH8$=}t%6)dzHEVKh6G!?sB)u3hwfYoMrc_w>i`MG*KBtQgqzy&s-xPckwS9Pd< z(dL%+hE)(RG<PiO0M$LVy<kx*u&5ziR2Ic0Ad|pJ-Qgl6yf1@FnJXaTDu}oSBCdmo z8z6ayN(Kf7So|?KTmnfzG)loDiGhL90c?mJBLf3Cw;*J|HZgEEwsiKk+cqy=h+sh+ z1(9c9V32}EBFHqbYv86KWFV>$W*}JTrokc^WE$98Ak#Pzq7ak7jzt#~NKVM|@XSWk zhZq9jm|=8CW?*1I*X&S>MUWk08-s&BD1fl3fGS`Bn+zs6U_uNGQm}Lf@(eh7K%N1+ z9U%izjtCG03q5FHDG+2DIG#fo85lSbq7ak7#-j^jgan2F*zJ&@LDvip8gxO-pg~sw zaSj8Hpn+uvkY_;Y)dA!gu-g$b5EBpqf?%Nq4ZILGfR(%gh!&!QtD8r%BS-*R-9rQz z7{KKgxEgmj&n3X1p#{yM96lZiejW}U8BoTI9T4jrK&6+%Z3YGg4Q-gxEYA$jWROw_ z1B+4}s8T*ixdAC-JTf3$Xpu8x2RQf{kZjO}Y7<5(E+A!G<3g|)w6K7P2^wNJQ4eZ> zAh=Lj2rpC`7eYi3#f+dKvh629{?mtQ7DFm9;RT#W23QzcXu^e2{SGq5+z)CDr-CiC znFnD(st^Vpn7qSRP>IFh(8<8S026~mJ_Cb;F(U(m9)>8W=0+C;HwD22xbX!}P3USs zH5jP938NVt)`IM2lL>&j6>cxc26RDabq1@}S{yclidAeXp=k%6h&(bJ-h(WX3B+a( zx*)V7gjI+w4x3>1psVD7Bs`A{IK$xs*q$J4_Mi(wDo@m^6vlJd46_qm7bNXMYfxBH zhI1W0g6$8+W<R<hxc0?TA)^X8Y=OB1T|YP{Kr2&7PJrePG%<%yU^j(ea}&BCq&~-} z;E@Czw!++lt{0NEFf$vnh{I>FTSBq91zix_EP(n2o`WHc1q@M#Z7^4%n*z?R&>)3p zS7<KA6n6LmavvmHFo23@Q08O;_mCV`gRFQ5mIslL?hUNWWncpL&R|?nD;tENeKVLa zIDdi(1_q?ob2v0Oz&$W<&V^}!Wk^tm4ch5PVluEh+ypslJ_7>-tN>?V1m`udDR42U zF^D<@!Ge^X7U)WuIr%w3*dYSc@dH@^EA|-}!I=zf0bGor1q==#Ghp=r10y)2aKiae z{a{1U1u?2?3;}Qv4ynJ<HG}JKbV1De8(js|J*f3J*bA__iGdNEufQ&bi$S#^LKne; zhBLZSP#A+SBAj7$7Xu?Wvv9)sP{YACq6=aK35Ebt7@=zhhY`9UW*DKXfVu}u7{O{# z21amx0=pP42GxcL83YRwMhvVDix?OfjG>tVG(HBZWRUn^BXCtR42-5QrHl^Es0M*G ziovA8JQ9s}xF7=3v4dHFK^MauP$L;#kQHhRI1tb!nKJyDGW;D5F@gqQz`iy?^EDD5 zY!JMrgEPRnk%58H6sDBf0>%RCU|?VXB`^?%Br;H#psT>5MS+u<Q-RYV668~K<=_;9 zE+`DO4(wBONhF_wBL!U^ivy4fAYF9jSRG(Vqyrcjz(H+}7Su?5utB8NuMCW)FasdT z4#oqU#lXNIhAsdOCv-uQEJaR?=mvp92wgA*>KLq6BSjH3gsjj)2#F6ih?uqz1EVQS z8@Iz8&;T34P_Q9f&5NL*8HouluD~h98cjXe5OhJV=7kWjs2Pa~HbfU)EjVP*1t&6s z9RnE&K$k=c8L*RVusI1`5Xm!0Oo)@v)q<UbE*Qy#<Ro-SbSK$ja}v5B$Q=t8En2t; z6iQ$k#Dq8rT`ky2=z^J`atc)bfeK`FNpvUKVRI6?Ak0Y%5l&jTs2SoUbhTh7p$i%c zB83vVB)XIAu{jA{kgFLKLW>qIS_n#z3m1U`Y9Z7~=xV`ELKpmr>?CwabSF7ra}v5B zC`~U~xNy-zkdwePhzSWLbhTh7p$i@qLJB2xNpvSUVsjF@AjC<aoD0rz;2?uV9J*St zlh6e_q4fp0EJBw=cajq}C!q_1(h|r)kcb1b7QrG8T`ky2=z@Wux*e9h(IwHH<c!Tp z=z^e70vAl6<PBylgePxwwO}Wq3rdP2MI5>$x|3Y6ISE}5oV*u;5)mYMLzs}Xgsv9s eBy_=t$WB6+L~;@XgTpsaZwcZ76w(1S`w0Mi2VWTg diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index ca1ef8f..da18aad 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -2,8 +2,7 @@ 2020.2 May 22 2024 18:54:44 -/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd,1740569012,vhdl,/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd,1740562757,vhdl,/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, -/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/processingUnitIP.v,1740562757,verilog,/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd,,,\operativeUnit\,,,../../../../AudioProc.ip_user_files/ipstatic,,,,, +/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd,1741170046,vhdl,/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/tb_firUnit.vhd,1740562757,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log index 8969597..7c9a669 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -1,5 +1,5 @@ -INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/controlUnit.vhd" into library xil_defaultlib -INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/hdl/tb_firUnit.vhd" into library xil_defaultlib diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb index ebdc7dbc21a66ff374a08ece254a12a7179d2bd6..47e82540ed381762ba2724164e87e2a93b8d8c4e 100644 GIT binary patch delta 56 zcmbQrHj|BsYvW{gMh9j;E}zL>jMkj_1*t`eC7ETZlRFso82u-2XVm54=i-G6Z+xr4 F1OUc_5k>$2 delta 52 zcmbQqHkFNuYyD(yMh9jeF0aXcjMnVQ`FSNp`8kt&81)!^C+}v|<>KYyh6--{sKEpP DfP4?G diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb deleted file mode 100644 index b155e40..0000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb +++ /dev/null @@ -1,4 +0,0 @@ - - - -End Record \ No newline at end of file diff --git a/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp b/proj/AudioProc.srcs/utils_1/imports/synth_1/operativeUnit.dcp new file mode 100755 index 0000000000000000000000000000000000000000..2d73eea3903c3ce591b598fe610cb588aa1a6b1e GIT binary patch literal 43722 zcmWIWW@Zs#U|`^2aEwlkN^6v9yU57EV9UzDz|O$Qkdj=WSCN|&8p6uJyj$%=`q3-V z{j(e-*dDz8rL7jTRs1wlRE+s6Hb#D4_QQ^EMI}>LTz<qO$+qhMzFmDcXC$49&3|6| z{NZW##2;lgPZqeXIWnb3B{Xcw_QLdxcNgD<I~qPrC_QcB%cQ3^rB}sOs@#rO{o|pH zLi%OuWeheI4$3cAU%JlD99y;T$>ke`lfF;#IBYs2#KOOE1*6visig;6)fZ$s)K<QI zwf+>tjxZJz*VnN#Qy)Zcl;liq&7Khy5MLC@I{)jv%VMAP7ja37?|r<E-_-Tt({JwY zmwsQ;HBsP+sI%g(t9E=s#_g${<<}Rb=P#Q1R#n@YgV%k^)NHYfPBIsm3{MpHCN=#u z-BQ50-O@<QPyFAKQ=2~jdbj?!=y&7ELVbPPHC-MZl3mQVDp2p-(JPLwX&o_grE%9{ zjkZs-d?K0JUY*%h%(5uBFmi^hj!gZumOz_{v!3~R#pOQz^`|IP>S6hw`@vWDUAnrv zKD+qzPxY<-pUZAt;@~NNY;`t*QPSqb^Y@?a6CU1)xYau;=3QdrEluh5Gc6{Seb3D{ z%oPimwN+Vco}`KJj5iV1${TvpnwD;u`$6~1-Y0^S=Scoc_l(v{&9%L8^8Gez&i&OF z_uSO*Z+S1Tb@+L|{No*$e=?&+|M7}5Pm>rK7_KofFo-a4GUOMe7A2NsmZgT~WtPMj zmy{;ymBG_OZQ$v=Lk0qSo@;-*;U%K6>er)|Q?1USEV-c}p(k!gZ#wkRZQJBS_pkGD z2u-NkxM#ER<C~@H+4W=I=&Uv7-|pZ4-Msl<0z>?glR4G~hMTyR*CvW_@}<4F5Vm5M z=X%YaSsHtqC$4IlxZ=UoC8-k0u0nU~-7dbgRc4<dUdL{^I!O7?r1J|BUOO(la)`@6 zM`7uONsCHe9C+Jv{sQa5^lKkkj5MPJHe7PbOE?<sar010)bhDmB76%4&MC8`o}S?| zf%}Jx_pIv=c5D&)ex8+mVaVDQ*$*9Na0G^M)hd)vb}rI0m=*RT@kG4uw4lv*T3B^* zC2k()p1J5t@kDW{<du;tD%~<)s0M65**}Hr%Z}{<UbnSQo<IFZ!zNZ-wjx?=+Tne& zGr!K@bxSF<<=OUic7exbmRBL_4}L$~`Tug)4HfytW(VEwnbn-BJ;%3DKSqC{E6a{A zf|l}YF0K-8H*DvW+WNow2|sG&%u_t$kif{mAj8bSAdVw)GExwEwRNI@Hj|;i(f7YK zpG7Rx(@Ki|zGa2T!KA|6On=4MF0wr*C!LA<e%oAoZN}fr(I<ZI{cbcfO_*)l3K_j{ zmbc4~$8Em+s^kH)>8!i9J-r=@SEbTSA0#f@QxvWGctvH-8r!WKxmVsPQl8QxE^_Wr zKyIK$y|zd4yB(_&Y@~W8t@x5v-?jPY1m9)nA2VieufA(B*JqMOP}>Bp4Xs6U3Rd6a z5n9mC5Vux&M{@y_gz>_)5zg`#4k)Vsycm<QOj~N!q9r}~Tx<Ucys0&r;h(<n5#y4- zMkxzfd*kPCi%HXti8=4;wUp=TKP|;O^(;YQdFxjE7QYi>ub&khW+83%<f2adwJXWD zC!Je)t5zxI-vkHqZ`G5QdvlfF=@gwL6k^3X@084&M>Uh@oJfoda?m<lboS`1r)A=| zinF&qQnPg8Gt%CX;yPoU{G?ckr!%a0xt9L^WH-l#!*+spsL<1_&Si26o%<M3W9OZv zj)^WK1A_)5w%931EK1BpDM=YM7_a|}$f*c3v~YD&00AQd0}!QPXlTGS@tEodq2;rd zti8AHk9*-?YZDR1N4lLK{Z?xw+<vfZ<9wOTXKIc)o|@q_&rE&6{C@W<j~bFM)p=R- zg&lR%eK2{k0K@%4i>_e)60uHQ!L9v9XCgDgCSMBYF3q&p{u8ITy6D2L7wmsC(%xS$ z=ytpQgmDiG$1i62SMwg`1e*vhe4uyF_1ZH{g@mjF_pG1Y+T0Q>vecFJNb$?$j8vBN z8&3=qYv)&(JmclQq2c~y+4ILU*#rJxXvkcfUb=8|qr~6*EvfUvR<fiXv+Gcte?{_# z@a2BzPi}_|UY-)`-+Dvnpw4yWCujLe7VxipULr6@X!!||j@_jT?#Qyte{H!@()nz| zHzV$q+IFi|m+E_cvM`RwNk<K8!GGKry(AeJrh70j2%~2Nz2c0-f>d}RwKj%xMvUv$ zdDZF5mhW0#z3kaHpJzTdW?k*jxoo>z$0$4Pj80+|n~=b)2|On~Je-8WwsSTLY!ccg zAj;$Dc;)+_d)0Q+k0+&k3}$p>of^t?v4h)AF2N-x?r&)6@3O0J89vvtd7k_E`ikXp zKi%!G`VSxNoHWVa_Q71M{GyjnHk}SWEIfVbCU3DdGd#ZPMC^U=hjq1DpLeN#eBsek zCy#oEEjQ|Y`7Gr5rKOrH*Lkg-6JfgX)1^*Vb(x^3prE2HKej*lQS>qTSBKjPRaM#F zR#PtQ+#ApN*RSPm{o8+kr?PLZ|FvIc{jTN3YmBcOTNSvyFIcy_ysT+z#l?%~7T!6e z%B?oN@L9#j!j*avTMG^@>SQV2o8e!!E^A%d(zvGwJi_x5mMkydP+TXf{W&RUdX}m7 z^|LqcU7U9>$F#d*s<M|@?5;^`4y``-`qg=r<hZTVn02IXytJRJqxL2w;{Lhhsc}=e zWE~0_f2K7nZ)V?>9dXF}N0^Z3rd0=~e|x_%YyGK~Nj>6AR^PG-Q$F+OipdjB536r$ zvm!2eXLu+YN3JzryxaKp-J6$hFFrjrf0cH|r{L-JDmQs1zPRIeadGyZ_3GBuYwF|X zT&?y=+I+mlNlv)_nH$T2$Y$~Dx__7VGtYQ=bgPbT)(yu+B39K83lmfh@_k!0!)WEz z4bCpR{T!9%$ZFL{9Y~DZb74`}(mfG%KVq-GDOkg3+cj~WR#{7iUO@j9ZMSb9ZUoL) zx9(2lU*%@oOI<fE#;whgeeqg5!(&r&(^MrV{@$|hVVn6^h%PVfKYMCD2e0Z)_EO&T z{<&9YOTLtQE#tE(dG9NSsaria@GlfHm@_+fb?Wg-n-iPWjW3zj=h<4{^On-~d}w@w zb6&c{_ph8g8t1;adh^+)rSnXyP9~&S%CAq(Tz~Ay(%(G=`4%TSFIBAZ>?w`l30~Lt zZsWy+l51{$u5y{p8NOL8a_Pc3S*z352A$8EyV=a}WZmx*KP1xM3I(t+b!_5WAH(pt z_UoI&aYBb8@>UpIU$b6SdnQ4>a^9iM&2!VgWbJ61s}nXQZclH-4AVDL7(BI~gy<{& zJF{^2meq;!h7(IB&25u>eZKU2IUoObzGp{lR3p<j*q1JndwnYZ*q?-5PZNq_nUilG zY<w4Yu4>PY^$CI3HpTTnXS}<%>uYDLwLwjrPtuyUvu5l{W|jLb1sZu2-u`T5{<)&F zqw&l^hiBq^OB#8rN?RlD&DyM}fA79<&U!7gH%B*p-t4S=_(kMVw>=T{+cvwKc$Mm1 zndcX8dpCUJy2&{r3)_np@2$PtT)f0{QYx>{T;*g7vm;p&@2C7cdUJ<G(T07lafM}V zK@(C;t%KL7%w4PDXgd3ODA!4O2i4i{LwS0hJUjbVT)unro*NnQ6JFm8XpXUew)%wP zv2!1l4o$S#a?Vuye)!>{w5O~^a<A@$NBm?}Q_`y6_w(y(*>|0rTH}5`_z@8wKSg)a zr4It>!f`QPJKx-R;jmre3Ts?y`STYm<}7G@s1f~U>Un9&xAWvvz4v@t__4C~ZJ^o8 zCEGN9@0etzb)z*dv#eypB;BuCyV#F!jJDYAVRc-6TXw;c;x$dWpS_N<o1L3h?X%$J zwaS+{bJm{FUi3@+x0l<NHD9KtEnM?yYT3dy)4YP+tahlZ=U|`yi%TZvnRY?J&h=Z; zlizUZD@|Q!u{-GTq4GJkPFicvUizs1xvSuhX8g&Hck`#+jh@}Ebu)brm+H3gjhgOp z2A5`*UEJTbRx{w}n)szxe7KLr9=#^EV$s*ErQ4Pmu3*`HOz@*y$bFXR<tCY@Z*J`i zUupIsApQG_9~;(|Z}6KMc6yy~xcpX;E1SfURBu115ZpS`X4^&1Ya#Z1OK0XxRz8=% z_q*HCi5F%|zZS1Q_fCD=O~K_0{_9G~yqwp({+Gvfo^3}zW`)dP`Vp}0>PM-TpA8){ z??h9tTgTm8!H{*stdQ}wkn_2htis9W7IBr+cgXUn-|X!RzdCcS{T<s|*3oxQEPA7M zu{7_yL%!(lNKx-IZq?t;u$6T`zS?(2_B1a~cSo|)q=>SYG4b;0w_R6WS+hL4+U@r1 z8*BTHTeDXc7qb^T?>tw@92xk~YMZjdX1h~2(_PaaYA(;$DScDSw{J>X<D)m9`@#+F zY|<;9oJpK6GhJ3T@pjqW?fWmKPUM+=tNeWP(>+c4Pt-qK%lzYQzyIRHSHAk&5AT=# zh-%;e^n>=#e%U&?`a=)X13g>T-v7p6Rea2=f^+H;ubP7&S+9$GO?{ws@MEu{M>5AH ziKcCZHPXkogNciLvG*5pAL%YEy~|g}c|vf%*N53c45AyW<R_f=a@qN9QB3>i>oS4s z!({`vRm<Ev?C<nY>|?vkzTkiFE!*!u|M1?L_35Rh2CJW}Vw!gRNbsSF`<=x)#gvpj z6y|(iFZe^m?%4f;A0KB-IQl1INuj3vqr-a|^Gkmi*39V_Z}Isx@!wHnk#+mb+7x;f zGo*84UP(JoI3}>9P&4OMlIQLRkET0({yhCZ?~2?ArQF;VPaIZgyzI=$;`g$ezx|`W z^`2?=Pd~)fwf;z-e0cSby?pkw&6A~V-hPm66^?v3{oUV?(Azuq_0BF@ypd~e>x3sW zmWU)Mo=o+Nv5}G0``5af=k{Ntp!;t=h|AP%JzV_9wS9g^=y%!n|LuogSG2{qsa9@2 z9A8%9?)a_qWy=5ck;02sT1~r<9Js$F&SL3>x8KUI9{n@-z_NM2yEG0h=6nBmyM@ik z^H2XK9g~~J9<E$}=iy?Trni-h@|xTDKeGxr7m9qE_d8(De8F#D!r!$k7xwtA-TCn6 zCyv`6;|~@0y#04~-`}T)CMqAf?_9Fvhn7ot*Z$TBt)naVI_XS)WVhgH&>!9-9U}F- z8=bnU6^u0R_2mU>?qe}gJGwkhG-;{G{5FZ{kIpNoE%`D3MVRVQ^@cF-kH-aeiq!L^ zn+E;S%CY^paK_V)HNqPY{hoGuZj@fX(v<9Jmm{vlmCd@Xzsh>+HI1YH*_KwAX&g<y zJ@1S6M+=wGuJpJY@25YSxj^JR`_dnrpF);a7-<}RXZ!na&>tg>qu;K7|Gl(AmhEG? zG)I}BSc8N|W}U)y3Fb;=i4)}k5~dT(n=NY@R!E)5Tacx|&&GU{ueoEso2$>=AXla% zPdQyKS%j)hD){8N#&}W$ySP@T`lqXNs!Hx}UaHl(Qu^J!*XkdiFPP=OC{L^NdQ;Xv z&PA)9$v0=&{e4!qe^$S9$kiH=MXR2P2SjY$@J*>DDx$uDed~sMjyqT1UwY@$s>bAl z8sE1b^Qf1Y^4p#DwVKBF#R6A7-v9reD`<P^xj=Mp$=~W}(#KYI1it&~CdAL`X(Kb` zx1U9rr;U)x$F&La{}pC@{(aw=Q)2n4UX^vmlYY2PIC5`+P=B&lsE|Ip=bkNJJ?%Tg zn^fvp1JC~K7Pva+y;D}!x$lc+&-y(7(E3@Q=N||=`?Gt-i|?D8y?Qn8Ch(*<Z>TV5 zvwqRGVAkv=*)K}Z0(qafEyz;PXRN)Rb;u$#F{F6$#3SYTb(j1fiFIgo-d0?tlGnUq z(hokDsYmLaLp<-We~g{nP}n8unPW8RhtReOUXL0TI!zsgf*pmjn^oo=R15LEQ}TY@ ziQVdqk3+6_ByFB}WUZs>JFZDLL{&E0dL+3|I3nKcb?4{2sSSl%X(}6!dOTW|;Cn}A zO{eXQ^r=U<1ww_^vt&Pdy*;=0h03?R&u29huDaxrw0hzZ{tui&*JU<tpI`r?J#|rb zrpq0c7_DHx*U3lc&v|8V_^I=nKR<NBSu`#(t}|z{nZf_zlKE%GJDF@A5{%m<8Sfoq zo5oo7{xtgm^F%AgRXq&m5{&z%%O5=F{)zF_GRXzEI0_aEKFI$m_~y=s7I}v51S`fJ zf)CV`55zYyY=6S+vP^QpF^+=Gf)9QlRQYchzo;vd?L!a4_8Ia_woMwhe;&F2T;qSv ztbdc+{=aSeAOB3-<m=Wy42v%F1Z1=9xXSUtM<5}&jw|UhPe3}$jYO6TGx-Ng^X<Qs z&QVixcxu9Y#(=5j8pnqifrRurmXDciE6kZ{&T)M3s1tl!r)SUJnaQT0eBk+6*OvT_ zTWtAHm_vMx9hwCnm?<Cl_dw%UmYu^_6Xr7}On35FZUh^!`~PJ8VZtnv!t$d@@WIyy zYi>`HckJZ|_*ci&ATGqnH!n0wAYr-SgM|r`-k)UuuuSrSfbs!Zw}$p+!8a$_J^YLh zEOcv#b!*tq*8cMAPs<f^(;5!<FqBI%-s9lAyuhXOGS37frkaZ!AJPOKy!+!f&+sfm zyENmz6qX9@9U*%r$vgfvVU|f`*>RfVLw~~JwWs?VmPtPFP(E<it)aZBx2(L5h4(T~ zL5P6E1Ho;tAO8LpK5f%orzs|#r(0BB3g6WEDJ0EYn3S9lki!$w)!P`n(Qtyc8QZj@ z#~ilbkf;!sW@b!IPDsk(nbOnSID4bv1QRp1ZO4u|+`b|4Lt2`7VsdhVkO*6w<M9*U z&n8cb-e5R^r!0O0uNm8|gU1fo-;n6wkY-j+OkSXt!z0t$+qh<f;RZ1?wqJ*i9Z0?* z!NDudynd;{hgdG=gQ>|0yK;D@Oz&;{&6Vi-r;&HF;e{kKwz@ON9H!iqkT8~JE=@~* za3P21Ov|AI5gI%qt-Xz_HyBP3Gh_R9=$J$D4T&E-(##tZlM^g*ctYBH8$WL_oFH$; zCU)eQ1Gg4W$-+Yi_-{#cI7u@nXCyD+%jMa!ptsRyi{XV(F6Q2)1|P!2)Hro+^Z88c zZM?L}@It5=+oaRS4&2w|*)#8u!;_m561LLJTho&t{K(<?Gq<<#>t;g%e=cUlr3MB8 zW^7ugjves4DRDwmn)zc&@`BYlJbxx1I^eCz<1(eUapES!fM7GWzEj5z*x!_h(3WQA zU21S4n2T9HHCbU<4iC@N-o{m%3@-$jv3)vq?0}mlkIvLX4&FB<erQTF2c{+`tjXcY znbO;Mc$49TATH*}r3M><&Ddt0I(8uZrbLIfG_z)E@`6n{Ja?uXIuPmUGbw~kP2{v& zkRKPbsH)*fTge%xV@|snd2%ryUh?_W_scU*f0?VWfzfe^fq=WvWS@zRJI`EE?)n}m z@k8M%Kg)#1ooBX9Ea^Ms@OeeugHoO|sk@F<N}s%>!Skkf7557%=HkfKROOT6t&LLz zBX*`F@^IAm$FFb~S-!}iKv!t;ldi^{I$1Y8R=FfD2new+P-A<xX-2BDq(Ez<WZ+?D z(WC^u)mw5@HZC*}kXt1$!N*)2d1uqan$|-Hk~89ee#|_R%6Xg3q~(x<zlYB!LAGa` zv`)Ki;^tz0y};lC58Ja%S-07iG#+wLd-2isDab23Qk8cyv^FXSR5pni7)-w!VfLwW zy@AbN(YE$AjXTe5&izmnena+WMa3cM8K);r>#dof&BI@Mm0!P@xj1rds`CAtT!(*0 zUsXL3oUFHcbIy~`k%<*ytLrM<rDvQ@i!l2Xy2fD7G*z|v$Jw53sygjvS0-8(E_ZnK z^#d_(+j#G7;5vLe?D@?8<%ZKuXILrx-2TUBPgnit8GoYxwFP~%WwrivLuYDx<W8F; zttaRDPyLP1EcbL;cQ<S2qO2!2>ZxB}r}(}~UB=7%iR0rBhrFVjUEX2o;xSv2ULLvW z`+Uiq9aR;9lK=ANu2y~S>Q?mme4fZ!<Es)jc9Wtv7X3Wb8M<0+y6(HkeK|_1IZus( zx4kT8IPo*YVKYP4m9~#sY(?JF^&?E=-#wX_@|^K;)z^z3gfgObY%0FEXsS}wDbH1h z?{+iIkD0so$)YL2%ggqKuarrj?i05`>fw{;To1+cV)uP;N>K^la!Nxvc#5|J<65It zf1a#ZF?sdp!1Ps%Z~2MXO?vgi!O6%%=uuXu#{S$*d7myPeGgZidVKZWD~qZg9!@e} zxWo9WVy3yGSom*8)^_Q2W=oh1j+?$>aZ3F#S!MN7>p54qSx-?}x6dI&Ppm~c{Jv;c z2CF>xsta8E7>;adN}jtY>fq<DBqz<@)yxyMO1b4+MXu{wBxx>;{xEZ?*4hVo4p*ZN zb~?vsq!w;HyTVQT$CAm*&u%ExTp3;9xghFplQh@WOxEYBXD_5#u&j*J{&7ek)3|2u zN=NM-QWt&JKA7vv&bicPo!pI*4PgZ>mlbbGpR=|K(Y849!eH%#ltoj*3U)6&yp=ho z{w2rS2Yue|T&hCh;^kIe+aHK|xo5LpkF;{r-qC%Dy+l6dQRcL~gSzwWR*1&rzKmG= zAkItvQf)!u(#I`9g5leftm0-rT;;F+LTnG~3zxg>;&oY9xbnVExmNpuWnoW{%5-O6 zr^G^6r+{Lwwak0UR=R30@LCb7{h(@vueO5didgN6iGl5Y?BR*OHt=<s3(sA0`QYIW zziV817fZU#4u{V4yQ;f`|FZiQCZ;C8%Y_F{1S}78_#HSs>_B$l_J9qkA0(E@y=7SM z@ak&ehd+z$);{QU-@LWSUEyms>v}7x3y%+OZm?Sw{XuSlWz@msIc%je1}!E_E;F(^ z9(L+Hc6Ik`w-WgYMJD018$T|-ytOIayf-WVfsn@P-wzi0ChuZ>!`S;;>;hX!TOMP) zL;9;Vj$9XPa@oupo@KN8*I9XMS6sh*a~Hqd`!9xfo5HQ<E*7;p`!Z(jgSUQ`Ya48q zd=6UmAz^+-V?a`&>(UE9Z}I$5(Y=zUFd<{28MCmf@GYJz;;{>&53IhJbeD;#XW9#~ z4R$6gqaC;}9^cC3H%;$Kp~I6)L2DZ-7j<uue4!e(Otc_;ON_QcOJ?gk=3_JTu5oFk zMy(Y6aAAv=w!*5+tzif9E~`c{uJxUs#VVs5J1wZ1&qY0U-Xv|8O^Zuf!yLLVO^sr_ z?=jzFWny#5BL7z|2X!tk-@>%2*KV!ohr?eaS$s8^W-gOi+c;~f>4im{t_qhzFC=lg zwqK0Oc*^M-aQSMT{e@d4z3Uq<EGyl|c5A}AuX`H`mVT|1Uct8O+;`5VX=Xoi7ZhYB z-&5b>yRWUBDYxyJn#fFt=mnuFSA=J6`z6Jpv`a2ZWuXS!Ej82afiZ98;`H?jf81`* z-!s)hb=o!i_c!+@JQRBEZe!f*T7P8oIbBUnOP4>|dM*8Le_V^^tTf$I{@?t**OX1V zez9w`tY<}+o^*Y5d;aV*OE2%~i7V&tKWnn@$*1(vBirK>R)>8qs(Q{KcJ`K%Q@w42 zYxlMl3%2toTwJ9q@%Jf%*a;D_z+3Nw*0?>@U#HZa+O|_oZt;J|=6&-{_^onR{d@D3 zqWj&0k4x6SQp}&mYAaMyn)y-Zl2y0Xuie!dYwqp%C~C1{*~&}5X6*Q|O8)jK@rjq& zEVk(%y5tdg<$@_Y$K4gR6<_$bU)UZ$LuIY@TuJX|>vyoeymBdYsnzX2Yj-Tz*>_Fi z=C9^Cj<b1e7Jsa|6;V~NX5E8FF%NoP%x9nBxWAhDukX~@dmFfSom;X!raE)m=jIn@ z1#TVVUuNE;_2a5#i<z|L@9xh5yUrc>t6C|s*1VuzaoLMomlRe-C9VBaP_;YZv&NQQ zdB^%vsib*(Rg820c>MA{v2UilYq)5S$D*^ne=>HNwU~WO&S;K4Q_lXMVeRI(vyE!R zd|NN5{d0^;UVJvQPG_zDtKD<!JJxC5n)LAa#jA?`l65w*;b-E`+~fT6ebLs;hw7K? zySJHqcKhYNBI~V<@B5YuV)GVmU3m54iP<kcCPnX?Ykv9fx*IC2yqRkz#O#{6{X*?E ziAy&)9;IY1eJ}d?+L7BC<%RjZd*;e%+)`0n*Id@(b>ob^PjpwAz~>U7yCHj4PMq&! z-d3~qLvTj+#+MmhpMx`tFHUp&CwMD`Kb|e~`SOe9Ap8BQHr$Au^Dy>HKt|ZC3Uk}2 z5}Bl;>KB{e^+arax8T7vvwPm#+a8A9bH9DKz<9o|dHX}Ld;Z%+_-~(Jf6*53^VSdd z%xI3>r^PRRJ<6N)L!ox(4W^SH6svBmYmWGN_)BxZJf$}rwHq_$&i)beYu{a^>1l2A z{rW}xS557|w60)E+PtOfMc-dMbo@nMK|hcD^7SI`FP=HSpt{Q}b=HrWCFM6F+2^~L zw>~Vho4-$_E&69<NqXV`vww1KNxr&x>4?2sJjY?lI=;JdZPGt?e)(xI=b7cMv-7r< zw9R+#Z|%7(Tf_KQgQa`%|C(Qkjr?zC=`Z=edHOVqDzS_6o%D{>&0JvLl2FJJ@36jY z)9VM_FSjQ?Hi>uIzq!|VZOx=QS*P1Sj%Vg4o+|3x`jPu(<aV=Z8e4LodB2=_!Q#>% zr7G1Y+ve&op6<NOx5o1A#=F}-h<@>w&Uq?+SwCk(@A@V4#T&BvKZSnT!n^Im)h|<c zw|(?}vE1y&DgCAMTbHeAj(4-y)LFBpD}s0W<;jUVX2v_(^Bwm6<5i^;GSlrJ=db%0 z=5#*(J^4$1;*QSxu6<IglH2NM#c`?LJ!S8_Ur5hqORvA>mqj~FBX4f~V9K{QtYh`j z%O}<sz4)HEXYTsa7v`<+md;PB+v=>}@*u3P*ZF+w1F?PQ7Cv`AceG)h=(E1ud*`lO ze_72GQM=9RM=9T5-ITir%{wMqEu3ifsaNT!!Mta)U5w5-?y$|a+x8%8*Ug1nAEn9u z%INalesrO|wd<@SKeu09*!#}W^_<?sFJJj;&*@%UJ2k&JW500!#y3x1b#BxS^ZD>; zQv|2JXveSf<zLb&B2C)<PiXt`ZU<A?|LJCo(~l<!{=EF!`OeC|P`$Y}`>T^)2E9~P zoAspsfXU||G3~<_c4)U8{J4>)e9DC*GlgbVhH&cIENcmilMA(9ap9P9RMvCRMS|gJ zao_%<&cT$k`>uTC#=vmHje$V`V-BVw4?Y3I!0zy4Khivxp^1sPDQKR{#4Ooh`{Syk zdYf16-PGrBeRs*;muaoK!T03@*xyVEYjaR>-Lcr@l60c@TE|?j+2^)xX#PE+F}H%R zB1=iu=;Lwj?v@X~jukJsvmmT_vCo%TPAzNdwC9RlSpBbLw(6coN8X6$6j@JnUTgo^ z|5ftGUw-@e(oQ9`x$Hfjx3uW?cIPc+ooS*Ae%+Xss(Rkn^{DfW+h%H4Pw#Dw`^t4@ zXQusstG#|930WdF)!*~ht<}E}t~bFuK=;Itz~#ABwf1xONlZRvU$HB#TAs6K)$-Hr zUeiqiKhO6R-KZ;UY4+KS;e+KV<rjOx0;-jTE}z~ow=T8pUdS%P+s4h$g)f!9PXEF` z<wAPI((cw(d>8lM2`Q5{>Aasgr&~txpos_<mrZ#g`^W35_kR>FS)S0{Ip>_4tsvjl zrE&J6??oJrPU`q=b0;)(CF}o*-I?oB4#|H}Ythx|d+mQZ?O$`+Ic2YVzh7N)dh$i< zGM|^XbY5(_&dtpQ%ct&t9w?eNW0L1Ajmgp*Ji~J)zL?7=(tp)y%bL8Yj6BDsOAf1* zBw8+3JKX%^)`qo9J9b6LOP#R&C>Zv8O_!V5#gzF`+n24i;B~lVQ7uw3DdhBNU7ejy z6P9`H|Fm$kw*CR#?S*Zx4qN$NbXeutTdOL3=#kS(^X!noEB}{y3MN)veYi`Q|I@)t z=N(rU7TSMZ9aU_@ukmkh>DIe)9n3kN?&&ApljIjD`e>w|G11(;=;h~<QqxKHC$~3k zT^e3noW4)DiM7gUZ(^@ay85>@`y}H<ICVB&yRi12@yZFW(ks|a9Nx%$`@>dyM?dLy zaC~j_@`JgT-~Wk!cx{>>v)7s|eLL9|9&Ex)H@2Bih;0zI3HN4mm=c-I-@(=X$?rjn z(97SQAK!fqRs8tZ<$t7RLV#w-a-)geU59Gel$qvuq+fqDWk=;b_1klNdEYOSR$Ke+ z#<MAv%by-zwz@Pnc=DHu0&|_(gE5O1IVpZVc|$T|Q=n$u0*3eQ4(Dti7=63Z;4!Od z)%(PJ4Zf>9KSQ27o?E;`TPx3b(%P@pp>wmRpPS=--r(^~x7fIZnCT@3jJbi_&g;b< z@ojsywEYox{i7qRliK1JpOR`l5xv#tSHptR&bAX*f686>+S+vfv}IAVz>?2Omci_; zg_gD~d75oq)#)Fm?RNWK@%D$*t$zs{r?Jo7RiNtOuzLo_Nui5Q$}2oi?L01a{Hyh& zrr*B3N_pF+?))jZPqMdR&AhUGll9jq9ogU$WpP;Cbmq;Ec5@Z0_51Inv3jkX*SfMU zea+9%oCC+6UgBYA+^Fxgvi693%#I(A(l&S8<$P@^X}VQ~_n6_dsmqo}C;Wc*{q{47 zgU3Eboi8_7U!2psaHZw!gJK+=8}^rRuYWCd@J;FRMGN0-jo5flL1)k2^6Jh@FS=j5 zo=<(p;8)DfJbCNZS=n5_JN6kcxV|+>Db;Si)0+BXk*LwuTQLzo3is~o+G}=y^)KDR zHGhpW*C#P7a*42+Z@Vm^CG(2S*$WE&u6vJ(DVNN>bl0Jnm%~@-@u91l`ro4q=4@zw zc#+TU=dX{-o1TSi-*dK>{YFr9-frdAoeqcl*UMZf)JV+VAItyVgU4IE&1tG@{1f#g z%k?H{5`}gXcda<CxaYQwS<>UHkxSe!yVeTI>Gl>BF68I7(_FTU;Y>j3)3Tol=Qp}F zZ;p6=LFCulg&(!9WpmA|cJmed>>ppDAbxIlU3=2k)nEQJJPz8<9@h7|^;zv5<~vLu zy?@yT%%AbJ;Ki&Ttb$RGPVY3Gm>zZGL5YOU*1J<fwkAA$bj{k!)HUX0+=I$9p9`)! zO`Y(P$x^=6{Cqr{zog#p&9zH{7$fd%$eJAbE&AoX6M>7TMVrlX;4ci5cHb~5y2Pt6 zE$~M|`Q?KqA9l4dUcAT7Jl!PczI+kunp)8(9ar<0oLc;;^@)}AjmfuON<>e+_-^99 z%K1B|$*UcDaJX83qTrr1-sJ{TtNUA)Y&*-F`fSySIUS4{POWuEbz4_RC<Gt;?j`L! z)6?y{^2UX_&GSmueQ1-j-JQ|wddE_4&fJ^3{u>_>^2*$Bz%JEzi)vrkQ~`byZVS=R z>wmuwp2WPUwBwNGMjhApg3g~frKUC*<~k+snfO6<d)Ny7&%arp#J+sIr?4!zXyw9` zf|O$xM_+neU-q7%!=<L=(FToEi_(^{SM~U6i8JW2P2XF2&))I!)KIphY_^!B)yxl_ z9p3Ica^qTkx04y$o%n^H5;nTHHwT&iS)V-hg~7KAsmoUQx$=h;ocWi}b@xf`-pwqj zg>H$nWuKp^md*@rXnK~W#lqd{@H|8};N{eU9q(fneN&A%x$EsjrdKVM7uPN_WMcSr z^sPi<h-kh29v}YD0%p_gzXR2BAJ6-2Rmyy}W;@fvYB%moo6R46A1Xh!T6=Yk)ZD+m zYK76t3P(<UIAfd=(G#3*BHOw3%bnOGhmsvO@Jkz?Iouw1wK;h5(Fr95O1#Q^b+yKa z{`^VVJw-{UmTmQ}p7*61Q&+XO+}8_CV@k-|^@!!ZKyYH3i=3CA_MM9cH-5;o-2F52 z&J69DN!<04`)?LAJPQ%gSScs^;*_!}+u~S3U-op>8<TQdqhHN%dH7_$u7CJVwaGhz zUb=Y9RZQ9+`GPSa{qL0fGS5V7)MREa&(HC(bzT*t-f<<Zr7CcNTTAj%FW=kGbk3aj zim*?Zq*odxV9oCTZ0n)DTUM#>Ii6O!V)+cQxmhO9T(&%SYT#QW#KU*YzJfXb>xT6P z6U)QzeSFY4!F%@8rcHa^emThQQ{b>KlBtdDy!xeu^VlM)!m=KoT=0r*r||YXwhuRt zdM?w6J+<rqrQ*^jpG;o<{-_;iw%d93ly~_%Z>aJ9wXu!f^uOV;@eP$6OSQGrzHVoc znR9*bhQI?#36~`-`&a%vdugrs1@DxfFXN0ZE8cH6|C4C%U6)n4eUsiHDMiDLeTAZZ zqH*5k$M~F!z28^12R?iLlkZ^0mX|IoS6MAs9WR%<O!><B83Cf}|Mk9G#=xC;;hg5T z4>zuJ|5;Z5$V%sk<Q@6WEz>N196DYcnfm0*8fD(izB_8d|40RBt*c5Bw>_;^!uQL= z?dKDn-etl{XG9|e*UYKPT4Hfg`=DJ{=gNrkIh&SUvK5HqSorSCao)0pf&V2AM#w1U zzPhFCD$o7eIQq}s*`Jqb$Ng`XY{_-yWlGSVCV8ZB`@v80R?mKHdXRfsC&ZwAZ`3rQ zj@~Vo`h&De*!Eq%n)!bDtdIASr!C)9w!5~feqK*c*MSY~o91PttP{$e{i`Ux^^b%b z?_o*x=l>V?X8vK=WqZu#eb_2(o5><Kl-T~BTbB9sqGtT1>igpVEo%GL2|Nt(NR#-X zpU&!KotN>JWsm8;peGwODxcc?$@nBTH#Np+%X$B4kC*kCaIa96nt1=Cl(bRLi`xn= zrtZvTjG0er6y*e-e|ajIutMv3#M=p5<7Qp_IOF99b=D*D^Z9mHtIeupepvn?WJ&M2 z2+b7{dmcwEJ=CE3#9_w%)7Qcmr5~<d7C-%`jjVE)Zt<e;aW7A-VGCka`!_vqgMeJR z_{2pga(`|Tx@VT@ZuZ(_Z|F)%k7s`zESXMhX9>LZwC+ny)71RHl$M;U=bT?HQz$AH zRzI$=g)PhVr)TcEUk)oJZokMmJ=a`h@s$jPUkewr?7bN-5~}syFu(6)pOVd-+xdro zcPws^*y^{EIdG>()GB*Fk9qYzZP&6syenSHFCm|u5NyTOcJbklGx1%K<u1<-&B(OT zx;pQ%*M==~+oiwE(YZ4(?r6dLCoh&I$2E$o+}fZRr^bIx+~~+=?RiSh?v-a=1U+)r zNo6QL*UjSME1~V-`o%YqwaY5xZDH+{Zlw#?SM1qxugu`XCm%P~!^evg#a*;`J0y-A zUHFIbMp2n!ar%K&OWucdbJ;w(JKJR*?RMGlr+NCt^=Z$lG<R+}m3%R}F|&e$L8;RG z`i8jqOP?%y9=mXLi^w`(Z)2_>9~A%B<(a3YP1!Z|h2z3nKR&)Un!UBF_B>_~U$Xb> zz1E{L$;#SxJAx&)?=L8uRQ^??DSfYQfKB5eIg|XSt@fs8e56XGmxuj$A#_MYVb;@T z=VPrm9%ak4eBiX;v~*au=bPV@RkOZ_Ei-m=YdBi=;m|K9xmKazk6Yv(m#;U!m}>B1 zxewb{`>gE;cAi~2D?k6mhd1Aye=HFE_>cL=_JcF}d4Dvxy$(FN|LbPS{1ZYh*_W#~ z2KMT8Z#aG3sM7CX^WsN6{O_u&SLMItS|T}J=j7V*ce0$*HI-{x|3-K>XDrc_c%AI= zTWQ1f-CSF))c@gVG)eDUeK7BZj6s8f@*ES^lMI3UZ8vO|^$5R>=nCUseIe6y@mco= zw}p2cmlNZ9e0B5G=7n}Y_HOK%WV>$84ee4V<-eBUp?6Y`=U$rc*j=$qZ+V1<&+>Aa zkgd;dys%z%Z~1}Dn%|o3?;DIIB3*82_x9YmwOh=AGdq#5-I{g!iJpF5$L<w9cPu>D z2xW@5%sW<L`+LsW?@C<LnA^_(UBNZ$+THMPNA{%sx|i=On*V(7Z<iyEyC1KUTDiV2 zvtQ<Un)-%cD<aLl{SZ4S@xVgs(HzH^U1mGp{x1KuaT2T3)f0NtExPp;E2q9rIXkC< z>-W!3uJfy7(mwW71<VvrdpW=FD~n&+66qx78gYHE%$p4z1~m%`cK2W4ez?%x{lV{y z2hP6}7OJM|WGT)*7=G)@WPR4QMS34|w|dQ0KeRBoE%BLW&Ebz$T{hdZR=s`TT)bt$ z?#*jr1vK(Ld^g~~(U<T@Z0<ByR?V3YTim>F@z1}_Ki4Nchwsk$rCVqHo4<2^?kcXU zS6Q~Fwd{Dr++@}AK8t1Fg32|<WlEbn<o6x(+Om7Ef>pa7n_%iz$Hgw^Pu{upVV!6y zo8gPC*}YCn#U5XNG<EMbS&Q~^=U>lfTuYIB=brx5Vo`mV$UZImn^(Oa-j3hDtYV}4 z+UBb}Om1D1nJo}~M}Gb0Y!_+cpj`Py&w~#&{hHk_J7sZ`4U6XF!T@)z$QUQx#2H1` zerVfvmEO^O`t`?`E~YJ}9}Yb^w{NNV<=5?PF16V#3q1dB>!~S@d${)HsmKj32JS(X z8ZonE*B*)qf0O%ce|-9H{hocn;^tgs(++*UT>0Rr(LHsx1s=h--F2RIaF%tIil?3b zWVC7Lf@(#d$a_DoKHgBeF5E?6{`VKrrK>cX<~FOR##-2vJYU5aRHCf2$KZkE(y0^A zZG2n3V8Zp<V`@|P9%-Dn()8i`J(U;I^>)c51a)!0Nfn)Vbo*HWozTy2g8Qv*7(V*5 z@Wqr(tHR6s*WQSlpt||}++DXd{k&wF-`B2bRQ#m7e=(cgQg!d8C6x<0s$8z!{?zy~ zdqGED;iSn|Ty&TA>+@S!f1I+|zBtXPl3mmE^oJs&^c@8ai+oe|Zg_IW(BYZn3+YYU zZ_6K$_nv%m+wlVHUu#=D=Y+oU+#dMj<>&L$SblqRpL9H0tNP|yx9`*)fq#|C;(FxS zj2ACvUi`qRw$yR<%mp#bM|9Ul)_KZY;ck-^H9zdT@%_OLDdSgdXWTYCpSSeq3k}m* zyU&P3wsr`4%`%Ug=I0aSw=Oq_O@gl{`FCI)=jCrp{>EFHoBT6eryTuuQwz%srh<G% zuX_Hg{)sypoEN7*be8tsm9glM^8<OkrFNmRtx|pN-+$@FJ(XO&>00w=Rg<9A9^2&S zPl%o&Qm(C5|1kc2Z??(gv#eH=Ya`p4X0&fSByr)*Hil$(b+&|j>6@2>CYfj7{%PEN z@Oy~wmB@pu_ip^ish+HVtEDMjBD&+}r1scS?Lz@)UdZ>qZvP&#!Efzm#(IW6j_if} zuW!r`&(uBB{<pui|Ni3>t79e_Nq;UsqwkREv9EKhOHY(Q-0Q%N%~F~7!gQ9r`=inl zzv=XS<Lgt;Z)fDq^O4i7e#7?CuYKt=#qycwS&rS_reLsj&EvzfnmjjuDhT=IHg)sE zcXvAf3n}WKk<+LYxi8Yp{3wbsd2@3A&Htjm3pW<%HeYV}|AzOa;<TN|y<hLG6mc-@ zeCU7gxwg*?CS%nNmm?GoI<Uu{(>wS25S#gn4b#IFTfOf39^K6E67_`ZxX0za{0@bW zd>?Pz*R^`aUK44@E~Yk#4`v!C_@>`y^k0|GkR18o-K_hEWzXGL@2#<z>9nKsja;tc za+g=a6&HW<`{m?T&v+j^>HH6!IZ08|7XPf}-1bBEEz`5p!jGbxUQcX!UhL1fY;)%( zo;NxtUH{rKCaCZ!S#)32QL9~jhULA$VTndLhWPJ~?f#x#-lja~*wYok;Vj?3>|OgS zYQ~i#nt7kz@3NBeKE@KJCH?t;@-f|REv_loic~k>kqUZJe86;W*xq)@-9cRSySHUL zeloM_TvUGt7kjhu+l2xCe&Ld9cAr;d{+-zM^3t?bru=iOKX2_`yfkcS<fJ(bi-dkY zSx}i+8+xB>XF%xo)EWAIYB$!4eec`zQ72$S@R7Qp%~c{DY;~JCWOUO0ZK>qy7WGy4 zh<V1MVEFx}x|(@T)-CJv)-L?pkF>ORq%*%S)O_N7eP>c;$y~lQY5kfS8nJbP)BRFC z8;<<sH+E0We6>FM%aT84+^dso%gzWNm~r3fp4a^cUZ)kxduOB^-F<;2?bE}M_g1gs z#TK_OvB~&xwA;~C_a@87m9i<h6aJ>HFTV0_Tj}iPna%4i-w27e+OuC@VcLVR*L}`Y zcZ4}dvb$t%+hN!wS^IJiljn4f9%p6E7n+L;P17=Y8<yC~%9!RxPyNl8q-RzBlsn^S zSlY{K!A~5DpME@0@jQKwsfRaC_d8$Q{mA&gx1uKeYMHu-;o@BOV&z#U<~=(+VOPUV zPoZC5ET{a{U;CW>;ofuV8$b0XM9$oC^ku<=RjK#8Jjz%){z$g^nI~K_X85H!<FKIN zEPjVuHyZ@Yw$xZH@)K|@W|TacYwVt;Ycq-SqN(QHs5j50IlfK3!1TIZHY2O%{Eq2m zn<V2pTK+HB6~Esoepo2^;UovGH%47IH_cRklF6Mjv)Szx8-MgCj$=~wJvvhk<jtxn zPwsV3j+GUvjd(F}>ZE#(=@-Aa?3i`BB>!!Z&PClRXV!9fe|1^DbT-?LBMDbrHwnBh z_c7{ocsTu9Rn<1}f_1OE*8J|z&%IK%X1?g{z~F0&GHXAxalKKRVQulZ<htIGIIX1t z0dmaqW!04`FG==W-<{=Vy*%aN9;FvMitXyUA2wIr3A*#Iutao+&)yw%d>hX)_y7MM zS$CxQ=?T?luH|n}?3p0?_U8w-$)Z!Ie1CQ{W&LB@Evs%k3wLg7N;#dmNbb?J;8iQ< z##yfw^zq;DMmni4Pg`KGLCc0+MwWTLamH-(rXP_~nbMx}kHLImEr*cCCC;6TBRDs1 zZ%HgZx3>SyMaClUA9wtN4V-_^-L3zZ@7^Yc^H+{9{l;jhxzI62+U3~Ur6H+4;Sz@L zFDsnyU#|Cm&uh8Lqq#deUw;+9@mKA@p9_4QLVT*5T$~qvKJoL#v^i^4HC7*(z4>pV zTFTEy{rT5gnVXt;Z@z1`YyJMht?>cd`YTrwS1UHVu+1~Bo@d_H)wIK&M_JwM!oHi% zT<pm`vv0UxTjBFiL2p_kW3uP*?DL&ldkiia$jU12^<~&Ht!e$dOV4~Kr)%X{dk0?3 zy<hicweJ1pJMO&BOfla0x&F_)?bDabGVc0jep0_Sztc*_Cj9O#vA?zL)_?WxOp7+- z=HT0V<-xPM`O`(0KdD}GaE*BSZHwzg&w05^Wd)8$zR2SemJhF&Tg%!yY3h5qYg5Xr z3#81xKDl@#DW>(S(v_7ii%TrFeLOVnL$`su!}a=>h4zPc#JC<~^U2t<Lo?3imwD^y zn@cx`zX_S^e&pfy_RnXOPgX8DH|6{PlPNz`zi`cec0K%{iT63Jw>w_n77#RMk`0w} z3t6sW9{8y$jZb=wseOlRm{f$QtcBX^i)&VdM=VmAlWLlD;98yH5xzyQUEK{&T$5RB zVfObu|K^*K{Tdgvw=Y)uuw<Up2IXVVq6_`beT=w$YG1=2*FY_$6Q|yOJAKiE^J2Ah z|5^SLS7rXs&u2C-xe_78x<y3GC-kbZE7$9-DkpZ{bkX46cX{fymH)Dvr=K)C6{8_2 zE}6VK&4xEr;ZXGyLz&OlCP{Pfneoli>0Ujh!S`HI-uGj-yO~d%Sy=!5$g^KR&3@D# zjqThbeo<W4{FV8Fu-PoGC)PJMub$_>V7ll6o;;4y>09_W^p<=qk$!aNeCAyDpU;mU z<&!ft(BG-pwO4(K>9m9`Do?KH`u>@i)tPdB+L!LzswEs#mm4osJgex!^t#*b@`ddi zldjbM6yR4oy)Q>jKH%Clg~RR_EE5{!j1O78%#&SUAJQc(5K(dG%%$CeLa_ns*_Pd$ z7VA9sZI}>`$;K(neo{w1h_Ef&J2^LBL9uFST$20OQVGV{O)Ea_->AyaY2k2V`OCXP zkI$TYsAzrU{Gk`T-0CaeEEV4Mch%QP%Qo%Q`jPTew?KdPzmL%_<%;VW_${B@5nQ)6 zsV1iLb?c4zM>976pRnlH?5|=IHi(6~hCln}dZ5$zlU1dX&zHZdA6i})m}~CeA^R$# zVaA3wqiYvbHdgB`R%Da>vg8Ec)n;{;mFHCZ`%Mqc3%L1NAbEQI-V5(yUhk}5VZpR{ zfA8jdE@wFPb&o#Nta#8iXReLvV;)5-8>Yxz;WH<%(A{v9Wvcuyd!DUx*)1NgF5SJr z>Pu8;!LEHF#i9o%O+Rxn=z(2Cab3RCT&p{4%a1WQ{#>`-Z}Ro6+k01R%B*sK>-+Vw zl=_nWQCIoeCf)UON!Ac|U^qXyb>`n+4lA+>1s%*EuF2DrEe{c>WW1%hz}nT?^Vm%_ zi_~`Am1$Gn2tR7!Q=8RLJGVc_)NUh-T6LG-sU!1du?0@kTXVK=<yqIu4=;rW&XwEs zX!qPM_jMI1Th3g0`bhi8+~l1G5-yzkl+0N>igkkfdYBH2b39sEs(<y_`+(k0tT!Dm zFwFcdFqQpeHe1V0mQbatIpKTMj=fu%b~M>FLd~LkP26<u$cm2GY18ByEI#|aV&Jzq zc<bA<U8i5PGF=fe{?j`%qqxKB)>V!Vkw%*=A`kM^ntbp5d@cMj&p$6c<#@+)vt3Pg z+!fucEbmahO0;g8Qp%%p@5^p4cr-Rz>^rgY=SAi<n=kGV&YjKemG~}xd#CoEBY6`Q z%C1zqDbJ6n31!#3y;>>i?%(^H)5T8seoaewy6M3GQ=9IZCVb3%@^piVR@3!&D|E%= zUr)Y}^MCy@opqJx&R&y0(EA~>uK!0@UAR!uEc;D@2YO#$&5^TeoZ%H%;#qakPwAHh z%c+J5uU4GsvTJ_0<ZML8;v2`6x5mzG+b!w7>8-#QkDWU&$glmu_wt@o$+a0*mia`h z=xR-IYqQ+?eAh3g`eI$~+o2IHiti4Zs90ow3NBrx)bvl9X<m);))@yXRisu<&ONeB z{9g=fhunofU7t&>e7*Ow#P7*5wfnJu#t)9tK-T|S@25Iv{9U78;uBG4@A>VL8`I>K zPdJ*LgHIlDTwM{T$*2)LWziSe%i4t>|GTeJN?Oa~_mLr{>*wQbJ0sFoR{Oo+dw*8v zZ7L^EKzp<mU&8Mf`qnRh?i2Z6AQUUuJbxFP-^cBDpKUjcE%sl2`YF>MF6A}*_$DZY z^Stf}yl(XTZx83|yKZ&KoK6=$wA^>>yYyT7_r<Sws{;?ecl<JIVZ`@<P3x~85EGui zVAHjO2Wlq7tmD~o{bZ{3j{R4iX6P*3@AY%_TgQcQe@ok<u7|(gvGJGg(S~)4B0k)* zI<rk#qILg)Eqj));1Jc)dRu(!+0#U8$;g`;x!&x*6SV}xuKQRju6K^#wUh1HW=qH7 zMWG+1Y(=ISE#!Fkt>Kx~qVFf184{LVnf`c9unp&r#_Myo?Oi|pjNNUus95W%uNUZ) zE@l38!**JNzJ*ebMANjl^G!{{?FC$4?dq3o?g@%N|4#GbmVH8&@w=3><L0EUjgPnz z{OF%r;%c7!`%nA3wn-@T#7(x1(1_;Hkuqh~7HR&cJtIPcSM2-k<|#HuJenP1-9OkY zxZZch{l>8?1=rU}-xfbn^&$7#emA|d!fR(1h5fs|+48^d!FyWF6IW-b&$(A3KIh>v zk=oaP1X}hLbS~3PoRE;zYB24YiqK?!Uk-tsey0b*%76bZ(Vng?xaR+<7tDLvqTY7* z=j^)E&&Y1Ux?YVTTxte`)h_p&Wua-yHShW=&IvtezEtnVT@#H%$65AOt(AykoN=*p z>4oS&N3t6ahu?p0{V(c^8(Wagl%^#KI*X3Rh0S8GpD$rvxL9}c=jV)C+in->PxCu^ zOh`ImbLagAxzFnguX5adxaXDFwy8|Do9|bO8TxH~t1Pbmd&V25mMf(@cVsOOE=>-c z^mxt7J2PFPp3M;|&(T}$ydte_2E(Qz9^0@F-9{@`u5I~lf8(iJvikAgedpv;`b-Q| z5`xs$9G@9^zxvb^#pMwXMK)jk`0fOY{pV99mlYo^`s1RK8TK(b?aTJW)${u|Y*P!I zSg*D_-qW(3dv#v@z2Aoa_1}dBTSqo8yQ4aH;if<4^K!Z6%eoSN8s|@FQE}O-w60u} zdD?^TKQ}o=Rn?aqUbk;f$HV)MW$WMbbTX~m=2I7xcXif|MZbH)zt7p5b?8x&Cf6;) z0*;K|XTokdY8>Gb4qhDlwIcfZKa>8FpF5+^vPpL7I~YA)vvbp9hdT<6m-hDZMR+FP zJ~7v>^xM=3rKKx<&H577x;(gG@um24Z{GA5FF5W+NVNxi2vz<3=YP@ihL(`_9k(K< zU)tGKSK`;PK3VPGjn)+(mIzth%-OZdOFlKAt2pF_ytkyUg$qBQb5Q=9xtY`6MqF_V znRh2Bc1z!)m?K9IHT%0=f3A4<)~m(Owr6a5=COi%q79RJ>e&d+)aoPriRTsB<d?B2 zTzRCr-bR}tf45@Nt*Ki*lTBhD-`~8hCGn1I#KJdu&)dUiiAhXen)%@FpXpY-KR=zl z!?)%{(^H*wQ98NOF<18<`!u!vdo1Ic{nB&dm%KYX!PEFr?BQRFN;Y(7EWc^8*xft+ zSm!F8(>V<nwlI5ePnjm4Tk-oOkHYhUkg};|nLA!w{dGWdf%~BsQT>X05Bjn2S?C`4 zc`MI__4n$=(?W}OUa5QXc*S)QxzZ`>Z<Y!b-wulSl;V)P@#p#~1qYqCjfFEbE-GvA z%{s1YAX&b4YWte6D=vin=DGOVZ%(6TL}0}H__cKvr<Y}ZF^I0%=zXpAUd_yJ6@e=S z>g9jWSvF6i(!O9%l+b%V;X?N5Qxi0%9XK7k<pZbROv$sJVR7QQv!%W7FG<kq=VTSv z5E0Q`xpx}>y{kH9w{G66SirvY*X_xt?qtaa?7pC-y}9dN_w<-++pCxSIIjNWn_0(M z=^h=G$kkChAJ&QU>r?4_qao^Pl@^=*=@)+^(U^FIn~NF`A=z<Ai2_Z`qwT_0Jzx z=9qeA)E`<nMSpwQ7K@bk?yT1%GkDBDRyFR~_PzZ^_4}DyKWhF9pSVn6Zo%^pZ=xBv z6_*!>^|~p%y7Xt~Ua6d!%YwMdrluP|w{n&^w&kbTPt{}o=WF}#%=odbnd|SQ#y?7o z)^jww_iSk`4&+_%g-8F7w%kcqTUnQ<^1Cf_YaU%O(>PM!Zy0rA=ia|lt#<PYt%~vP zWX-AZv+=qq%`{{C`=WUqFXqP?#T;Jrso*rvuhV~@`OhxwYW!Lx;CGWzu-lbKiJ|pQ zO&?R@vojWZR|J|LTJKP_SY+iE8>Tz5`*+=4yHEa}=i^VY|2D~}uTIY_JHztj@RrUw zFLy@p%PowZJA21`QP#Iz?=56jL|e^zmK5>H$0z8l>v`k79j87X__}=U3a+y^HvLYR zZofwHhljY5`m2`5-D?Dc<P>;9j%>bW61|WAe}-kik%}$ft>#3|(=SVHe4sD+%3bnW z#iUoSeHXiEE=+k9n&a)WSo%iBvsG2HJd@MzzU_LSea`d0XxB^ktixY#Ni7Q9Fnu9M zX1&&4H%*_fH{HHR$$MRq5jCE($*Ia@gS1Y$t-kGnMekpkuly?Hyvy8rj}L3%<N)T* z2u8bqH|7d6Y4`u$evYYst<j^ILYLaiuJG7({3;2Uc5j78kXHP(+Y(b2d{kU$7j|Qt zPypkHwSv0}C&x-&+&-`5iF*CozUk#Px|-ePoAe4pPnYNlC8aoIarAx=HdG2*xxznn z(lOsw{tv+d5!)qy&04?ftDNw5>)%c17Z%=KFqwJT>GYp6t?S-o2e#ia`cx=4Q#3~` zZL7qKIgd6soe|q5HLu!*>B{selDab*Vw`3*70g=a(K1Dvb!|rB3CERLPagi<=cbsL zdQWTZWX@)hx;<N0E5vQ9UK{4aJBu|b-6#Bzcvkm?A9}jiZg(t^e{a6oDdhO=r0LO4 z%@&P2owu&BO=4k~%H}&Gc$GoRN}ivue_I|+j@K*i4&A+ftM_iDsO=)*LJJzV*l#sn z%;oq0+x#OLr)JHYeOiX+an^%W&MP<9c3oWmV&CK$zAE$Yc~0%py}G2$Cyis@1N|?o zX$?k9T6t`<{zW`0D1AAf{l~}FUmdS*O|xjsFIk<rmpx#Ibm>hWxu^N3ec#ulvj_f6 zEfE#ce8KzpoZl6lrUz%!k1eun=*jiI$68%=@XeW4K6e2IiH+r^%x@hZuG)2;Tg5R{ zdD&6}!4;PKPM>p|zg_*!<;`rIOM5?9Wb9YlFCE9zB<S3oUN=!fvj1_&D`w53PGPIo zI^B?BIlRkgQ?PehXKZEe2d276VWkDTHnjVliA-kus5(<kieZMk+>-6H^7*zo{4NPK zD?RYiSFq!@%YCnne?EP<;@}&|^uZ)jDkp6HgdeGVZNKvGdMy<yv6!`RM}5K4n3yX} zxkU;xm*bq8c`{ZnQkphd(Sq@BWv)%LV5xKJnyf?B&DCFS+eTX-+N^J_a&@!7*T&`B z-k2_)%WBnrD^2rR+UF(J(<f!QuPxQsSm%5Fs$Kl@6N@$+N?rP}RL8+ms5|s_!Vgc? zjU{0c`x9S0{CruHW7nhWc4-A0`Eo-7A01-(-83U?_v;G_%|Gk6TsOI2xj#v!@^<QT z_uq;v-J+>iHIqYE%Y=PCGQIuO`N>+#ZoT_r#r9U_&i=+coAr12y|t`8EYFF}*?sBK zTE^f1l6e~Cg8!W~veenS+w#5d($%LmgV#z&?fH9S_2WAYTYXX!cJ|!7XtdZOob%Tu ztGJb#U$%8x{$G;!wxxT@mnAp9Z!3H@OFq_CyY<W}=I_c{FBg@jYMg&I{pXz0g#9ZH z*D7D~kjgJe{r};izs_E>PYb%1=gNq;l^ag)U*F(!Ln1*a@<!#n3u?dLrrxvt_Fr_8 zdz@5C&kaQpHg37-$B$f6lXT`u2XaL)ZTYw7_1Uz8JEumr`DLn#mz=iWsK)$fi&(<i z;BUf3_H*7XVHfE<r7l?+^g5KUI5Va9QfgV5#r3ZT^0a;hH)x9=F=6`=%l@;{Zt7JD z-5I8LE50wPUUJvEhksqg`-xxfzKxN&{aJxi;HmoNpDS;RY<d&ob#<%Kno?y!)w?x4 zyi7a0Ui^sIf9=1a<&8tEm3ue7m*{A#m;HbEO6bKMzjkj<XkWDIz%ALY*G<~}%Vgsg zgfp}sKlE(M$&cF(y!Br{p-K3i=5M9g^2aadE*0RBQv7;2GJ0a+?iEK|54<_$IO}YA zYvdjEu1i@;PR#Ef^L>76e)mAZTP=;fA0;fePFJ7!YiIg5`D3<rAG$s*Qfp0DEbT7L z64ZF&u)OicZ8xb8cfK{<{k8qXx_ygpxQ41PPRQAv%OohE!_Lz7$=keo{%8O8AKSa9 zt$e)KFIlNME&uf{fr~yYi+Xo;y}Pk`!Kc_D*(K+n&HAG&w=Mj{fp@>x2EKJMv|g!g z_b#yhu-VmRf!74igat}{(!H+oPpN+A(d5fk|9=VYx@@;>_O_qrBmdTm%oA<!TKcX2 zOv6F`LqDuMlm+USaLkjCVl`|mbT_XNo8Z3aR4V`Viilv|J^>}|YmWN+|2rj~<>qed zo&EE+M9%{@i}@WT4I8gkDq3@PWnIn)(f<76bKRVkD&ae>xAK3nYPUN$eV%~F-7QVU zF)t6)U;H$`zuQ7Ucemp1)tBt={LNVMQq}Uov`;sDW#l9KpDX-2vOV)1_bkb4V$GkQ zaPP8Ts=uYTw`|q37pZ@$tyQF|{CIY<?D8*6P0b1M-L&v>A-mC@6*n4}AIs1wxu|mb z*bJewx3?ZtPc7cXC{uDseczwH_m3Y`viIsr>gWqSnKg6T-}(%L>dP1N*4z;+2<$(4 zQ{9sz<0c#DqxRJq;mZo<N|nsI|9$hxDSo}tizm;EoVoSH=RftKYo!l*%8K@E3$)^} zJ3MXj`6n4$9oC<<3E9iAcy`pp2aA2DPg@qgt>N|UFB_DMb7P+EO6<B>y6=XI?Em8d zZ~gw{|BzN`TXK-|Z&6%Lk#a}c;-fwWVeh;Bk8GAG;x)eDcmI87G7qn_M$xH^^uEq6 z#eFBLOBxoWi-pDe2)(U(>;LL>SHctj_w|ohxN5DQ+;#R66BH79DYUTS-A~u6_OWSt zBHtr!HFwB#|EWG6@n~Ax>DLo<>O<e{QnT3p*nC0ehXTIaBI_o--6|nqKOx0mU@rf$ z+4JppeV1|87O4B!o%kd1V)!466zfMm5qSbqUtY&ge38t_+Nf{Hv}}W!hfIvZ6{YHq zmvOhme>sLH1#RiFKfdz2&RHktt?XZfFW)+}>x|IT2VQwf!bQjP*Y5CLFBMe4P*bnz z#(wzm<(Vmq)z4oKX89B$dMSE;kNc&j1lw@+8@1<|L>4_2e?D`!$Q<Sx#qX!h72U=2 z*X^0_x-(B*L8N$#OUr+y1Brg8%VIK)R#+ZCDR7c;Nu;^!A6MyJR)umMFD}nnt36{~ zR`%zZ!08d)=~6;Ge>|gC%18Q^y<5HOz=vH89IYR2*LgPWIlf}EDC_n2+t<y0yruF| zndn4){c{yNtImmp)@EJInZ+N()y_7XZQb#T0LR+nKXZH(t;OXRS{K&X#{2&=Obxkk zr2F!mqp!4Fd8)X*T$q9;R2;v>U3z1}J%2Bzi}j4_zuv2BD=vS)<mLLaaj%7TaM|Q^ zyEoYv67R;_C^F`TZRw5+jORH}X=eA^;~^(^Y#Z12C-1gI*;p&go*1&`QP|GV^YX`C zd}qrppLTik%8!dPM3fzvm>$|Ldwpm5%{l9IJWJMb-AZ5&x*sR~=)|crtMfVSqrM)9 z+3x7%o?8;J`lRN<8CNS$_$hK5u2}ti_5M?`(#$UNpIkG3yT8IYy^o_>R%_$Akajc4 zyA?8m(^s_16qj-O@8w=)eCF6jK8b}1w^hPD4s16O-QBsfebK`1`(d*ae@tu+YS`^0 z@Ony1lfi}3yZ3Y=KQx#5=GHF#v2||Jqvxe-)xHV6*nLqV|I21G*5Voif2oa|_d5q4 z`=4yO{A}O$sj=(ldVVN4{%TX~wpSe<o5a6Nn6AsYQLo%-vg?|Aljk0PJ+G@pVY$++ z)?dc{k9t;o>)jxbdV9?}hmBXIpEWb^s&3g=7UKIjVt=B|0k*h*|Ig1Yo_1b*zWk%< zM=YZD$~Z0TliGEtz4&#j+tW`oyG~pTzUcq`yt(nuKQWVTE1r|*UA1ef^`9cU4#C9v zH-DJFzagQmzpTj7ndRs4SEo-W_q?8PJApm)K|u0{fQ8$xW{8*N{NA(U{cYp0ZkLk{ zcTA2yTeW(Byl&z36K*UULY&$R%SGiwO}c{5wdx+yGyVULp~UdEfuz35bM4nbL4rFS zS#KN<w&3izd%R#7!)srDr<HSyWX|{hP)J%JyES#qy_eTssI~A-sCDDhNt^T3C`<4E z?b<*0??rsRdcXJ?tFqw6ovd<9NqgrT^LW&-C@(0Scf)+zr}su7PqJU1&pEO5?xJO! zp8uul9Ht!%SgRb`)ZG2hz5j<!$wTE|@(Zh^B|cntKYidKYr(4MOtv}Cr6$+Re%yb2 zzeD%cswqC@=kNcl=BoT-wD_jf_Z4E_z3Mw=2QBcrs{H)#3b)C#5|jj)H!Du5o*W!x zQ|a(Mzag`5%bXbQUmKq1%ltdH<d)O5^qaeDrrb%6`y-y~dq9qT+Jw(h2d-M($bG*0 z!^eqtpD;1oY%`4P-*(4MWL{k9`OxddB|l$pYkyI6YP!?}r{{e?zqU@EyJw0=T~f*9 z8=GA(9Xhsh%8JvOr*4*MPgLbldB%SEUd`(@&mVuB-aLI>Of{d5Ope;Z-V<!sx-Y9; zJDqjncFM9x%1NtP?(eF;l~BKEPvH4~Zu-~Qm(EJ%eY)jCyRg=QHqF^{e)XL!tF$n3 zoVF^_`S#_~-m*oV?=p_XUr6X<v%2znsY`*+CHeQiqTX*Z@vv~-$6c<`9i7W_FXFte zblmG&;R=;y#nb=J6rcR})7oBNS)si?N0wM8n!L*Om;Y;~b~WPCv{!neV$VG*{!TqM zo0CtM`Td6-Yx<ers&h?tZk>B-U7Go6E3clGzYF!I?7x+_PdfTjQBu&p&eW^NW;%%6 zx9NP>RkES?U|xRNDm~2xrUU)Phuh8^$lCd(zw4j3MAO8(^NvR<t2lR9o;C{q^WNBf zsm5dBtNx8AuUmQcl?J`I+<KF33qRwLa~!seCQe_j>1uIEbpG2qxAWcMFyZIR%=U=; zU9I8%HzUukWVz8qtLhlDy?a({S|MF1YoO&hZCTxYksAqBihO4KtlR^GtN&&%&%VNO zJ}Tkhp7X^kC3|94Tk?H5%~?~t`P_yD%F}lQ-z>P`=h4C3vvPX8_Uv2n=g;m6dq1V? z<_D)}-`|H^Z>{8HE9eNQSlREEbmhSWSHEc6C(UnTc)~T^eJ(gpuv$`gGWWxaXgSS& z3my1dIPNBT=iZpNO?AOmZxu@u&R<f;U)Tk{uGV5~$>Dir@`yqFY1@>R_y21`x8Hi^ zwqc&+6RFaUY(eiIk&Z1Na~|a<?QP}mlGwWBzu@g%ak>&S9^bwGszG_jO53-kRo@Jr zi78)bzWMc7sIHf<cVnz1@6R_MqT|k##3!znYmwg-RXW4CLf0?+y=L&0YMZiMO!E1w zk9&#<ua&y%I3X>0=JPQA9ap?U(~ljWAQc<07JMQ2Mgcdk?B=iUA3t$;`>N6HU)GeB zmnU<m%`WcB7oOP~Y4<y*=%b_6jjMb<d^VfSC-<$bn|PomY|-2&tP}Yz@=gvG%yxJp zP`=o!MyUP5?Z}y;*VZ$yz9sf3D4vl=-&-zs*XO%ew%=B`(R@esBKPO}P24LFM#W5F zy<~Q4m-@_g_ZR6My|rs5fBSBs1DU1qZj5Pr6&hz3u&lF=R%Q>^csL{GbNRZiYd18U z4dx3U+I4*09o9}S(|y?srFS2@;Uq3t6zz8Nzx!nkX6J<4S8}R**{A<W&)GfW!|kxA z%NN{fIruo$mi?c}-xcQNKQvdU{;&~EU+0}$bvuX0Wnv?D-Iq^g#t+;V-$~4MWbL?? zk~BemVtVVhf;C@Qv~p5Jr*TwC9sI_}%-!PqR$y(}t>qO{FV_B6EvPZ@v@zYnb^ncr z>lwYJd;)yIR(B_UQuO+~SMRcRm+9xmH8TtZ7yf%>R^}3YzW>;!_Bk7rbCRTPEK^8U z-f=B*{~3u2R<_ME6jK*@POfThoywu&k=@X0;XFO>+}=5on*EYScdA&G%D>F_SXWcE zcJFni1Ml)P7`W^n%r;Ez?6fbw>XQ@GBvjJ6Jzce^>eieKil%*+rbjML{{H5dR%mqV zl^FrM)@SI=)Ciwcb7gDNXI~#HPL=)b6)zs``*TuQ>SVXo-NnL(|377r*i{;A?aAdG ztgQZ1@?C1WV(01gKjkj}DrJ0rYH3er3-?>uxpO;$OLS(kPr8vOcq-OM?ayDy2QD)u zE1UPfKBdaswK+@2vi<oSgXdNO4AavmiC9}2RiBxBmM=Sazlm_B9=n>vx%Yubxx$Q| zgqp78`&=HgJ#5Jr6R|wCTNy2tSy$DnX8rlm9q}WJO@38F)z?>P*BfM(+}RPkU(J2J zu87gQHGv@}M{6e41g!FZko8;IZBI*S+(FJ2?7yR~Gs_+Par$}LjRl)3+2?nMrYZAj zxoam*I?1x{Zk>~`V7<XbgA&zK*^7Ak9<RGL-|vz2#Ym38=U!EFbmphNp7dQopGPP; zZSmDi%~gMPyqfkbFZc6%jw$6L61zDvu9dik9Ow1j{PN`|#S2@H>2Wn%{=FpZE0O*s zTzjMJ*U!S=?;oraj7s?+zh}SJ?EEUJ+YA#LJmUY)R%x%}v#tL6fZK7cEO(-TKw;7H zKgWMekknB9StGjWV#(C5kK4t3(t4FsR2VjIOcJ)2vneSqwz{3C9LLS{@z_isrI)`_ z6)uS_UwJAx&Bp3P-n@7luWF`}x8`j(nZKTu6`X6mbg{dC{HDG2`k{P+dlZ5V)h}y2 zo3iKB*5C`-*_DP{s!UlzQ*S-sKEuq^`OJ9Y2^VH_k2+p?j=&`)jd9carc8erp*ity z?dgWVJt6gfckEf0li>YuZq7y33%~aVpY>o(S=)4jY46mD<-77_I7%Np=(py5%(%Px zp}(8fK^0b8H^z<PM^^vmHe6WvreGJ_Ht(R*J?VKYIT`5-HJQs;B|2_tx9PZUIxEj0 zGH=U!s~cL6tT+6Nsr;^g`qwfk7b&mvVPEPC_sy|*^>RADcDa{RVW~o>+>zxx=N|6j zuQz)3!`)ZwMJ#`f@G<#+XU!%!2wm8{p{d~dn)TKBk$2lR^nY<~5<mD+qr5EQrisGQ z``Sex^PV_OGMk=Mz9+r(A<tavqVu`R|D*0QcP(N&xlQNI)3+6N!ZvTiddz&+ytmMQ z?teA%vXEHM?fLujMIGWrR5o5`4ESep=LPGAuIVTB6`s}~jg2q8;QDax$F*<mkB1!f zeBLi@)fA>tbSQyOjPH)hyMJwNtuG2>J5xFCx^H+J*P!-oXGc44e$Bgxk|LYqPbAte z)Lx%wxK2j-LUqZHDS}fwTTAu+zJH*3s`}3F<8kZE*7^yXCh3?jH(0*dZjZ{e&4Jrb z`G2<Y=(9U@{<mDEnXGJau$1D9*cCkLv(9hlSSe=svw4y%gY&f1Phy*RdUv$4tv<KU zy8UY2UADK;SEk?jx_aLmzV~OJTK%x+lQB<{JkYb}+P^*XUM#XUb74QIdL`t4fBCHA zS|T^iHx+FDQ}mHvrdHf;{qg4i(+>y~uU3^k^nzW#^X;^#gQC-!95z2Vz{nA}tFvG8 z`vC{OxJN6yx|W7oE#AL9qT{0VA#sgfArE131>caIv*krvYoDBRo6zVUw&`V-hicrt zwPk<Uf66Th>Q|Dz6zss?Xwp^}`Di77oyDaJlV+}?T=!Z|?>~2R|2(d(3^IRW-|$c3 zEa>{VY`emTY<13;>*tGW=M~*gVmPuW>A*L)DQA*bv`dCA`qf)M`=oh-w{ezW2}{RH zr#j8ryO#q$UlQ7JNMmotU+%!N!%aS}3Liz^^nKQCy{Yo%Qi1Qh_%nrS7dR~nZ3N`k z9c$Z`$p1m<<v!!J*S6=)zwGgsx5a;3a$<sn$fpxq8BBd<K2;F)etIq}JLPY3L{H)u zQ`Whh8Ve)R#FuBgb?o*l<=wbD?UK(U?@tA<*k%UKdZ?uLB#Wc!LZoApUf7mzPpbv% z7=%p&ulk5&$DG)yw8Sr4Nnyfc7D1tTZ)d!6KX=IWL9X}?&-RJw{5B7sEnI(n$E6j{ zGuHf_d)ZOv&qbyG4_v|}nCiTWojmS!%k2t2!<CigQZ&Cg<G+++a`}wTNj&p!i#xY0 zvc0ilpJs&e?a#7%8e{yrnZ=fLg#Z5d+Ayc%yr1MdrniBgHdsW9HD>c>o=KndD&Nrb zNLBl(->*bY@^R(tkB@2$JATbf!+D4Bis|P#AKK3C5X&*L;|?nj3Hi^aU~tFa?`5tv z$)&gW9ts>~UFT3JvhHQgT9=<sOYYA);&kwGC+kADtk0FUn_e|<@t9;D|K0iS1Y`SI zf{7kCW37vVzn|Gww0Dulf9+dOd5o_H^!K^g>j_S{v*y11=XmbhF1LSVd8r-P8@XU- zm_zxNLir{E>-?{0cE$Yi<WoM)e>=_hUF_7ii`MPkyxi&+_u<uM6@vUaiMuy1wCgpT zXv&f2q&dgZz>ibmhgH2@Tw?jrXGtGl9Pgc@%yA{)gTrMR*_id(l`ec8+^-T|R0e#l zdir<osxm{B6Y~GO+}`bq@$<<kjW!H8vFU1-yU~q|te>*~b68WI*<MM`jQ++rtEyOT z>dWi}Pj*jt`})w?mf^sG-cl=PvEZ2-4jm~p*<&%&tHa~V&8ru4-xt3ZkJ5Pflh4vO z%{IH&NB&>;RvwdYp4-oE_dn<|xz=Ifg*jd84s-uxm~H<2fx7&M`4X#7B)Ru!cpJZb z(s1=^!TPF#1@^auJ#{C%y1Yj$L3dAibf$02YOCKT=1ghs=8wL>_x|Yhb0-9^KgrA# z4%!<1@$|mMbsw3|cCQsW$T(AC!J+Sx9~F!)S+gwBZm?!a<F(TbERSJju;NX;ciZsi zyl}l@#?Xepof;EvPxPEy{XMxZ{*>Xe;u8HwCXttai8`%q-*=><^T{{=9M%afL51(5 z1hn7Zi99#quhu;c_D1pgpL6vNSJx^%ymaqP1z&C9ul|5FqRlVf-8#I%Hn>?}jzmdj z4ol-U-{hAZpH5xTKFRT#b6d*Yx!;rDU)=Y(M8qh(ZW{02)$iXgKQ)i-^rO|{=dDFm zRa|v4>ZD!Mdzf4;CmC01hnm=*esFHJ!-G7bRTsrlo_*4O&U85FH~(9cJ*sC-cBuZ^ zAI2Hwrdhu8bG@pt@-L=Y&-NswEQx<org~xd-6d!LDeB&T>)r9XYkHXS%4pS$$Z)-b z4A0^fQw0>+8JV53&Y!r;(OmX0Jnm4Hl$oM#r=sPSO3!HrJmW=H2{4>kIsf<Lyzd>A z>vP_4+aBP)`tniduI#*T(l_!dU%x$;dw7zceYHo&(FeX>^JOPQoL|vaaB@l1zEb7K z{=Z9>i0J>S(OM^4@=$(v|4NoE+yUGgg6lsj|H<F|@kod`+rePH3jrD0e<aNADZh<o z5WR9wFZSslLr;Cbh9g&aO%yi#{ALx%z~i}IJH%rCy}bwg>f{r`-`rihCg*dN@WN+3 zkMe9|jz_n$KYpX=`qEW>|NivIcGmx*XI9_s`z>`Pi1$#T=cLIojwk;$i~9FnyTW6v z^YXewmUdP1p37z#yHmOkcm1`M-SzQ+>Vm0@mdt<f*MoERN3lB@>r%dJZ89?S72{f% zbWM;;zoFy9GI3c6r_(C!RcybF!Wr(0Gp^}8{6+KS{}<*LGrFICHeH%36aMohf5kZy z`?E_#{!ebG67H5Rj=iKDV{~Y%9!u%TKyR1HO5q;N7xbPi$_an`d)wq1)&14xdwr%Y zcq<;%!BLgowpC}ASaE#&DHg61lPwu?f_TFAevGjEE4n+GW%0~nwuq}=%T}B;tIl4{ zCV!w|wy=bP_{qadgLE8>&V8*uv#|7J&{@Z2dY_^s{z`2&*7&xcNq5x_&8%g!E?<44 zTp||LwlbEha_gm|S30sh^!Qj$bzZ%2dyiGhzKq4c-PYeQUcXOtvHw+mzCy{G-hEax zWNk`TZ*tSv`SZ-4n67!6)n^0DE+1UlxB7@Qcjg3bKi-1k_gC(|SaaZ`zUo%ZsXR`{ zXT<)vnj*|&y7Ph9`pUEFtjZ$O)8!|5iuJJ;+lH(@!uL9VjpaT@hCg?A%q$Xoa;7Nl zX^iycy-f>VlmwJl%sIU4*~)J}#FfrlFs#`B-R77XtI6Y!QCCg&Z+sQDdHFH5PpuOo z%>*CDt(ZLTw9`WFdZAlKru2HX`CeS#zu-no&EevCTyL{}=pBn$Y#@-Z!89T1t_fSn zB6t4B)7PY%c<<s(?8{!&?S3UB>T-29f4ql8y*bnT4eZZe<$Pw=k(zRd>7dKKm77ia zD~$Y?>~GtwE|PKf;(I@vrX#VQFJj6i)T1s;;n<+D)^o4h)ARoiEab515d5q9r<Pqj zH2I9_<7bwS)~+~E5;94qV>$PuYN60`#e26td_6sQW3Ml_XJFFx+gW>sPcIhvsOn|) zzfxPrAuUF7n)rg#$Frs#t~&IglA9wlV_O#s&!6*-ll5yn_5V!lRyoRR`#PFwdys8G zXI#mwTl?=bx`wT@Y1pynnq<f4cGsl|yJxIxspV<Don?8zM4V%NI%8)<U-7$Vm*y#@ zaR^<1;1)Yo<AGufuhrS#%q9I@p&`N?pBt>qOV&SW-@1s;>w!kSRq3^;EkB;Kd<^pV zbMN-OYLNt|9M{5&S9NCIj#P_Lb~&S(CiU1m!tnRf6Po*z<@6qytz*2%ruQ@8jD%{6 zg0rc=X;a;WOTy3FTE9&dd$s7qmz%pHz9hXY*gEyZOA{uhy{D2x(_@#*PkEYoSK7!! zJ@cK2QR#;ZvFdAAT;2MBE9a!bE9rU$FUF_3f7&!<ZnViaO;hfe{^wlbae2M{7a~;( z_b)KG?4zr*ZimeauJrrnI`8D)*Q@fdrX1g-I^F)hv5LUvxD~J6`^%zECATg&_fww~ z|5LDE^j+OY0fv1&vPDfc)$9Hq57-sFKAgvc#deb4i~kkRN?zPLD-l1-#9dy@J|=IM ztA2oy>aH)!)+RH&PW+w!=C_}G<&ypU+b2kNe4X2HxTVlQ*m76clWkMp9JjdfJmAO0 z;#mTI3I^4;j<wul-Fj2Y@Jwr^i`Ikeq{|!XKMNgdh?)HS=MC{^O&`|PMbvaJ$W(k3 zb7Gceen{fJ&+0C-y%ha|CS-rTe5~i%M)e!o@7On;Yx8=1Z29Y(s&UO?AO9WJb9>8h zV{fSAmHf-=g?RVR?B^F;b3FT+BSW#n{~F$TY0E1_ukQZbe{tjIILj0I9eeK`UL&zv zdv}$grH0D{)7hbw@*%8NFMeH>oyE6AIQT~4>zn63-q|R0<mV+ZPLGVqiMLa4n(x^W z|K90Vt6rVx-eaft=b1M|Z@+B2`?31w57+9sk~Ry6ZOGp>O>}Rz(rY7MZ%$X8cTXpA zrX1xx7O|W0@DAOGqt|#8J}nJ#YP*xwdel*H@d9zP=Y{ePmAem}oXYk}i#5&Sf$hyx z%2ki<l~n0pwa^H-R&w@_%HIWRnVVbxR$tk)bNV-jgYub|Bd*!L`+dJxQfrE;f?b8I z{lSmV!#%th44+y_t=G8z?bGIuUOslO^`ufwFZ-WsNr>{_^-5{U`w7*&Kgxwddhfov zP;gGrZj<7}n|q5F#0TjuwsE!=-e4rx=FW8K)7BbAmH#e|cRrnyPTwEd^I^iV2Hq*Y z;kG&z4F{v<nV-1S8Gi1Z$b$DV2Nq499h8}H_^DxT9q;^I-bHUNZoYIU^`hy$*cjgA z*Q;1d9b_G!XFBzMF`j7KXDiOjp1#UJwqEDOM6G*=v+r4SU1?zbAMUQgI8k9k$hD3; z5BIbBKMOD2VRlA+_SfI5KRStT4DNESz8Jt3=d7nVsfw+!ah@4(&x**C8_Uid-<*}C za`GyV)V_cFHJGi1*)Am19B2QQdCTLD&G(}lV$QN^YF=ba&3zMXXluMAJf^6Lw|)B! z{qG7>7B;F)53t$Gxb57&f7TnXxwKTQ_AOH=Pds10_I~#_|NQ+G-tu1`B=MwJiEL)7 z>2#g{&R6?R%YH$Xc_&Xjn{8cbAmzAOG;+t$X1Vf!D^=|a>Spw=_H;krD4=v?>0F76 z3$&~^8{JNxl&PnbZX$3*G3osAX^%Fo+~5CS-r~A=)AZ2Y^?G*$cHZc+zT;xH$}{y; ze|vw;i8oyL7py)}{Zuwq`|Ejr)#Nn^=U$sXzA=4Mzw!s2$iRQnYTSRX^axgN7A{@$ z__9=?W6;!z#eRZo`ez#yB;DX?*(!O@jpdC?toj;D#z$7&>&=9GJ$<bTpFcmf#QgW# zwHsI8(K`O~Kzh$twuHSadKSCcHNI^P6Ffh$)M;yv$g@pKH`<i#rrFyZXMA~3J@i6N z{}0($UoQN)D^#M`cGPFHKI56mHgPwWct4AeuvyR?v1_>=Q|CUpJ=$MiT~YIHe94&f z<@HBnQOn=OXT=ZH=Naz5J5T+<jeYmH7VGSena`n6;;|%&VYfrmwVi9XN|?;lO?j`T zG}XUW$n9y{Y|V87p|8D`{PVozw)p3kto5mLPq@U3ZEWh8a<j8+Z~c-_;=%UMEyCY5 zdGsY*Fl>7+P#-=aCblYb!9qo?PpY1+XMTLrs@kuiSa{XTb=7-02Ai8R1D@n4TsC8v zzuhC1m1BYHGXodnja^|@?!xAAMqLZ$FQ2eSt0a@XMQQ(4Wv@9hJ9FYsAK6{MQg_D# zM@OcMPhT@%agVjtIit1ZQo)ScaE0}8^*?ufT3huX++qRevh`Em{5PHb>0i9rjO8{b zI5s@J{hdWr#QoO#8Kzg-OP(1{%ReKMyEu$DrK0BSZ~y!mrz$x(y8oSP*<N?A{{5=< zoYJhxn}uzUmsB+*^lep%Xq>%a$InR@l|1>rPqg~yBCu|~X0*(mGgTLEDc|zd^xqJd zdt>6sm$^nCr^_DCG7hh;p0>QF##f*(OvT(GOY4V1NR?=)p5K2fOUXOexJ=(KOcB&M zJ59M@LCLo4z4no@4y$f0@ORZWn!hCHsYOKf!{%KjTV<ZC4nE!4pZm6!J^5_(V#ZM6 z%b(dV<oY!la<DWSKPs5cvRG2OTg>8s)WOXfn~vwa^O7iYNDx}B<ivaA>VYMafifW{ z|2<@XsdV<y$A|*<AFzXQ7#JL*Q==Y~?VY^Skbwb&1u+iBNli(EpNyliRsZjTg}0a) z{_JLm>M;;EIMCI#g7*n;WNAsr*IQ3ngTw254(;l?7Q4{oOR`J2M@o5q)I5H+D;=vf zx|&vKFfd+WjAOK7<df1~6ceJtFM8~#isMABT`nRbk?Ca>-CbG=9?mU{K?@ok8>a>a z3aaT$;nWan+~iZC#4Dx5Zs5aQx1&s?BjLk^2>yx7HY)MDEKyWCXmV}ygzSYM8+aS| z<d$ueOLG-HDH7N>RdJz4r}LqM4-eX~3m&{+VPL?+B(SWoMEGk(2M61qjV&Ds6>~UP zC$@P!iG1Lq(a>SRp!8^Fheqb32<|Y~NIjWsS79M8tyO9#H;Nr`Zee7)6cDT8CF+~) zq~e*N#5aNMM3ZQ2N{H{_Cf9{7!hNBhlU)Q&Ls%9z8Cr(9wYDbB)KOb>YU8yi4!t1P zMS2RENgItcI9VN&95xtioS@*qbn1xGL6%a^1+!Exu`KRl>R8dG6v$E#sG3nRgYA%l zqpOLls0}lxUf|KBi3>JrsdcW>>pQBzBbaWguyRpXveQHZ1(O9Ej1){ngcc=kkdjU) zY}og8Jr8HIX{S&?#N^aa5l&~v<j~-dl@V%UQtA%#_CAc@J?*08m~|mSlruDOq27eG ze|JTn65F&iJziYY^Q%DUlB}*(UW?Q$#G1I>R9EWpv2ah}_Dpq(R7-IY)R@@Pk=Ura zZJ%0q$wDR0Y{eBzG?gYPgbHcx+MvUgDP+miyLjdq-^Uhxs~%g-p5Sxj{`<R8<;L&# zMJiw3el1M2i2bCG%ez0f@BZCk%8^`NUU?<lKu@&iT2%JhtzhCE1mvYbz_Ys$5E}{s zSI<Czj|&7G`40xWA;9`s>{Xv5|KIghhMNk1-2d3vE`e{wid`O}6A!a~-2ZOh^T!*1 zIqQgg>QBwN>8m1mbkFKpoj>mDYHfB3C}1#Lp|t2*P1?P^S?|)eJ(dyOD0^=IO{aw) z`%IH}h;da0B+IHWTH1UOw3bZHT)3fp0*meW&o<{j+wiL}+6tZ7y=rF1|GUi37?MPL z+C7^TG7=k|1OqP~QCX=sJ*#WgNu!4o8s;6F)UfE}r4XM>PlbFVR&c+VxFW$OM98#o zr^}U;Pb*ZoPCiOh+88jS#VtATqRGKW5!}7(GBZ55V+<5rM7oc*aGYh_v$oXu{VJoR z?DCsY-;I=%w|{#+fBMXhF5bh*2bhl>WZ*c#c*%tS&)wMU{rl4XeFdvd(=s+za&B8& zYW&%BiDJ#~?Y>uiT>hMQTKMsukMa8`XVyFU3O>cguiyRenHgCg`gQuw4*U7i#hU{% zY|`%i|MaQf{d=<F_lhM=+r+qf@1ChoOMCY0sjBz1{I#<y!%d3?1-}=ry*a03hHUAM z!Yem(cO-^h{XX09tj+7qX@1R>Jk}lk?!ULceYY?v`+jO_Y;0(#udl1?-Z+2%>6(|f zUpu>J^{kmE*Bv)#FO>LJAosgwQ|h_ThW$IY|EkE6lQZIJ7T|ayam?cQ^}ltGE$*1C zXeg9vF{t_XFwf|C#G|#Z>i1ip&Aef7{rTsdn?}doqF<-odz;qJ{#&xG(ng>#R8lf| zR+_PFTHdUgbI+f7b0+8dt>){!Xa3y%J9ptKJ7a#qkN@QF-MuN;?|wx7(f|8*O>4M) zFDS3AH2ruToFwnwy?ge|nKOHK@7}$7)v8sqX3m`1+0oJQ<NyEjt2^!EeE)pRdek2- zT)$`5kH=S!e9n5r4`L?onN`8b*_jMZ)1U+lO0=LPx@y&`RWoPKoY~RQ(eeNPe~2#m z^=khrOg|n68)&m$?O#N8T<xRxGmcwtvKFh1`keJ>JveXd-o1PF%$YMQ%gf8dLqkJN zjg5_k1qB5^{{R2~-Me>v5LX-qnI_TUc;<3B&*cXVyX!Kf#B?%_N!<H-e@{c;(Th^& zZ&X%xp7jt6oSZR9heyQW05kj5)J;AP3_g9=c16EV<LhKx!Ln|iak>Ww7Xw3+0>@?v z29YC)E~h8CWK5G4Vc-+uV&~=H6y;*$5aVQFW@BS!V`XM$;ge!xW#MFJV_{=%VhmcS z-F1rnb>hlN4c%_VlFcXYxKEyNSd}R|-t{oUswGP{Y@dCxL~4C&c5#}amA1i>3>{Oy z?`=Wh%J*0P+4jzLO28!R(rK%{-CxBLxL{51&8ouigR3XLRI$iUn#caxtvGeBtXEBh z)nbLj=!lk2^7~^Bu%&MQT9QzZ?6mc2+w2W2JbU*rfBQUdk)`xCh94S{hOLRB>M0EV zdrO`=H~i1Jc<|RJ?(&K$E-M~~c0ONUlk(Vd;w2kX*%PNsl{IGxWK0%Z(z(zqvT4cj zDK8FeoONJ{$^5))n<UO0|2X%}kM`12C7LJayS7(sUR;o<k!56=Zf>KHeyPH1eTnSv zYR1Kz5@i<oWK55i+m{lu&&;@E9yg2l={IG{><qi+%u4q9`$d-P*PNeSavcggPj+8E z*%h*hd27eJU`e&-p5mwaPA)M!Z!6mOuP!R@`;KRSnAsl-R#c~@m7U|$Gx+1k_{rxv zcj(j*^UWW=pEfyoF!}r}wnH^?)tg@UZuu4I?-G}1W`65v+TGW0OUt{~X6?WGdi$%r zwaag3<geWRt8Vx8+pEh?hh?wd8=n7l?_a;|fq8GE|Hf6X-?mdP!mwG$e8+}n1N(?9 zj?(svw}tA`ztz6co#wT*XKwNQgDKl)B(HdwedBiTxoO8`x2Q!QKa*}ywDZ}4tueiy z%a(7voy30gjO?ACyvOdyo$Rtmwq{^wzQ0a($!e3Xw{?qiTZA9wSH0Pic%U%#zFV2l zHa?%C!ZkB31y4l#iCW2Oh0EUx+$3<M^~&{B=93#EKP<eq=IEab8Q}qpvh8L6?Y7Kf z$oBfs+BE6H;`nPVZjMF&0_p-Qc{G<E2ywlXU@tV+aN{OpLm&3L{T=zPhYMvF%=NkP zcgg1Y!tQ?U3+^!dO59`_pb_eGGydC;l~Sdw`#y5+DrXj&tiH!bZoc=ji<~|;bh-~G ze+Y<_=|6bp(WC-PHT~&lmm7QL=*>Q?{v`E=josv#N0#oaVbgD)bKH2w%^0;phb=7E zq89}4-g(>TA-G1$`IDB#!bf3S*L^!9*VlY?`>VM{YquRQkY2Oz-Sz0d_sou7PS{ek zK5o_5Z{2#$6Q@@F4O_cC+$5%L-JRD{cYRk=dXvw1lf`D`{YNIp)eSaEsv1sU5Xql5 zIn6Lv_JNwi)%UzC%D1ZDr_SVB^!P^6vc@@yFBgUBp6dIX(ZT1hEq?Kn;>xpIiq_mN zI_z-H(V;@4qI&LKXV<2qC)d5LxN^33znw}@_)Vq08;uPi_fyuc_FcbNRN#5oah|EZ zpVZ$^VBOk&_fJ93Y5prp)_11tPLvO{dR%3{&;RH9obzjzr~UTQH_EQaRXq6cly>p+ z2`kqtGE2n8dPwYOy7MGHVf~bIjbS}YOfDJw1eau9t}6U+ab7{c-evK96}l~3)-V37 zt1O;)@bRN~aph@@FAr?}e|GzZ)=803C%He~-~O`3^XZva`Pa@h<lX)K{+;Z#b4vb^ z;lHhxH*3$_Y-beze)GkgvTZl}UQhj-mA>y@S=sEfMO!wly>|ZXjT3Xtb~`UGFZ&^D zckuAZ4+R=>YR3<&RYpY0#m#Cz`uRhLoZRKZ%c?4N%E@hNKmV=Zr5xY=!zwiqlJa4v z+K>O-;3;qS`mpow4VChC9}ge?^I@g@xwrk!{|s;1oBch0=>JDfhcLDY{*02d{ZBs* zkTBt%-+Es8^UfN*=_i$*UbeB*p5J~hab}K~-RyHV>>+d7=N(cFIHBnFL$}3S`NpQ& z&G&UQ^?n5Yux=J_X6O=ifALQ_BWJVvtZjaGN;ae%m%CxvZjiR1ZK|00X&bGDCC_@L z|HdvjH!n=bRP}H`g!lGqb897vb_O?yByMi!cho%<Gi{aj9V64%TZ%GfTQXI#-U$7r zmK$X6b*#QV_O*ZcmYD(xw|u+$x{S1xlo`G&9G=PeA~TvNRs81V%&E~vq7!VTG&Y_* zo)(wLabBxEY>V5W3c>jHwzNZvU*5fV(%q4?=GSzI>kss04+UnQpECRYJKiS;=B?b9 zvdBgL<;i!x?=CV#%&Vz+sdrRlQ^1UAW&i6Z$)qZLnUFj|cy9NK#+TRPxZiGFEVPiz zxry=80h^>1dB+T5!kx923aibOo-U*J?sG%tp@|Q6SlwS0CVtcB@m2=j#9K#aK3jJt zVwF0xWAc23%vm=Z9+{gdEw?e3UB{x-Sh1hw=@M;&V1tcJlZ3AK?wEU6fO*M{ORG4R zYCmi5X?FcDTK<fQKW=hT4fpSZtc&WTzwW=<@#t`K-)C?3dZq>^_n((uXXLIs<!_<i z6U|z)?$Iip?XTvA&H2(59v8aFW!e%>H`On*x2#p)5imtYT*GYIof$#hdkr<G%=Gx9 zwI^xvZTI;yE4|9se`I&cO6Dr(oYKqF_Bv}ZcU@pkN41$kch=#xw;w2c`4<wiXH^8N zdrHC^(*jx95cwq&HQ7tqi%gu$J)+*kcTPN*#lO4ik?ywpp#rCwc1G~IdA*pvfQwgx z$IGAV*As7!6%DtyI&GMg)mt@d#f#iNjdO}^vZ?0}dD!l_FRAfRI(0oqo$Ulx){T$n z#<)jzMaq3$Em~)}_m7&3M9!{=Ri68T9_>pzDqU<Jke|~$>EzN5znst4&AS!6cC$WO zt+(G*{f2e!&W=SB{+WGq-SEKwLd}{LAu9DpqBIXlpE@Iw&g(M0Yv~1^uU*ztg1_uv zkrpre{!(;Y_Rc`d4J+rfZF$bkEBJ7JK4Zs@18a`&&AG7bc6`R7nX{+;=A7cNeG>c6 zlM0isCG51mmG)10&#Q+Kwl~;x)|tldx4seo*iT~e;$4qao}HEY_9y79lSuZdnP1t; zf~(I>e`{Fjtk%6_o2QCZH)CXLnzDxX_cyl|1l@FHyLV83-ie%S@hh9-IH${>Ja#9N ze~Gh~pO~WAvuPI(Z!nSQiN3Swhil>Ci)Ov?eto%SC$&>!Kb>kxX~~k$U9NuW!l&F1 zkDv9il&|Dla&K1rExxpM{%xE!je8g*UWc-4d|1`9YWMlN+#BaVf9zc-%iB~u_ljRh z2+QX=dLL5``S3ovD|fJ$Z=%2hgMAnGIbM7I@ZeLys8xS0g9@e|Y@gcS7A9_KYx|Tv zR#1}Xo5}yxH4khZ*7v<Wdqw=z#5;{@g4XABJ!B^|FL>~W%k=#1$zjHvd(YS;#FZ4D zS3S70)l<CJh*@iU;l-nZwfmDlP84{TEMMo?6Wa6W!f&}(&8K#~wABm#_xH7f_AZm+ zd2gNHcCQFAao-ZWY!xf#^+Q|&aTz-TY<L%L=iQgH=frP4?#k(UCoct0?-7gLy+pEL z`ZhkZzlIxP%}SmvbIK2$zV1E`?;O_d&>L%7|8lhZ?bvg;Y@S@d{s&dz6(7V5W^TOx z$TWecmG_l7<MDgUGcQOOiA#Nzh`uk#<$PG@&u`(#ixM+*XB|HNAkm?8@~JudSIoa6 z(Rcsw{0S3mvRixZHkz}{_<l#vYNt$SrL)UqHNA>goFV5`+m<YnYkx5P@cPtm=PI6r zUfcI;eZ_@y1rOCqiX&3XzQ5IqU72}HyXMYS)vnh&)r5WHiVLsspZ(lkwYXtLp~5Ss zzY7hR19Qr&{T$w>+|yza+mbDMEOygfuBUUKNzV>hE%D=F|4g3l_1`{NO7ZL#7h_wx zH*wQzj(7upey*B}Vw&?e2rf+(a5u?XwR@ISo!pVWSr$`|sJq+59z8FRzjqG<o4v-| zr8i&roOs+Srdio-GIgT2#Er?FDK!uNrCe+;NUZ!|+sVJ;q59v1Uu=_dtemB+JY$Ow zXjFK8Jo2S-0qX_@Eu*q~^Q#k`pC#6a>Ny;Ft;2n*y(IWtqSCT83QbFQKbG=$xbde| zaFb8eC+@Zz8MoF1^l<Im@k>@*=y!o(me_oq*UfENX%(yE@~<pvnaa2DWAY8g%dal| zUm;a@dhM~qPlb18pPcgh#P+$V>kl`7DDSq}S950fy!m?*7p7j!nC87(MlftqpyG-f zr%DVIF9Zm#y=c`Ef6ePWw{`NO#gZDkdR|?qDsdIw^~L?&)c!fAL>5o{{_X3|4V;JP zgdcdj(e+To25xnGb-(ht55G^(I=Vpeq7Ju)@O{nx={YjNd(SB7Iu>4A6WM)I=lJwb z4=y>^g~(k$x<lmcyEl!J4RUK3cSKotOnQ`VdFJpdyBhQQ-S-#lezMNuMb=flA20OQ zM(gc9du{FIyI;$TxBnI`7vEvscK7YOwzKb=%1>{){o-!a-rb+>-YMIkTt0Dk@`l}S z^KQJ+`<2JJr2ONCM4Q_D$C<Ax5<c3={h7diK)P~@m)y;JCpXXk#PcO=-m+=SPghs| zN|a;Bf9B}*`M|e4xxaJVFWj!2Ry8kj&-~@~FElHh{ev$u-?py$Fj=nQ-lfG?zMS|p zZ(i++^aHc2W(C>ay?6EU{I3jE(fmQznY+J#ebH{qbnnLIkZ)(cotyV>%km4+)w6EN zMcljH%>SLCqPRb3m;1Kz?{A*lHs8B<`O5c_kN@WVJHUQGx@H2G{Ed4L7tjB}@kMO@ z(qrk<zW?~*Y{#1aDbwrcfp>iNe~$29i2gH0%06<>@qGJF(jV0JFFUpV_U=EwjO`ol zJ!`-6^WY~t``Qcs2c-W_yJWZhI(vRr_5TOHx$pbqj(n@yAii_2eAVUKzg_c_s_Va~ zZU5fAxAX1a3$yRt)2|Y~{d=+b&b{$(d~@En-}~~d?&9nl_vH7UeET;kKdIXO%d+j? zyYC(O_V0yn-h1(C;hXpG)xGe|U(dex#M{3YXWzN^jpNVrI-~sGnkn^Jl~-FuV^!Zz z>t1(q*O!p+wQ>w13cJq;2l%{M?z3L};$h*g&ArLff9`8{;k*&nWV`$Cyv(0{rs|3X z+td$RR3&NZ%FTJCS-|q;bpXdE`|p1<miepPko&X9>8oadtfuTOi))O6Viyt@J=jp` zoDju&M)dUT{oCB0IPdpSP;UL0xw?#x-KB|NZ_{<Fv)4|h%;C9qGh?pX<D6}-JTvFM zjaqYW?UiNozV+?s@RM$^-9Bl7OyY~<J-?lI{_k@xS#ssP{nd-c)n}$p<nT%<m)x;Z zM)KG@r;~y!Zm#Y!JZ1R*@WCR{W7cfnrX4!H=1ine@=o!k9M2DTiSOPiY1&iZbGA)E zr|hmKv%^6bp$XUWg)h(K(papxvis6&hjOQo7xfmuI}R9UeLuhZ-F55Ydj3X{ACj&t zUxQ;r9yPC(t2^SOf52~<kI&UhH#8i+{>qWOz*V8W<Nl(D;%UW72Q$2`9!u@{>-xSX zpqF!V$q(KcaR+sMUN)>|{rEZK>C?M53*^tP{Z%)iao+}OVb_#NUcKL?8SA+8ot0J| z*k`!1E}*;b!hXrQYyBg3{+X+D|HQq3Z7xQJ3b*wnbuMRB1f|Vg{Iyus!(+$)UwzCy z&Wtt}q>@CJbeJ%mS+J`As_OJ7hhDX5`EPPb?LV)R!F2T1Kl{E+neJPi+oPhwQ>-rs zUzKI8So=7vYUa|8v+w`L@$vni5X=|-c!f)w;-c+&CR<~ie-?CY-SRF-^@k3xdnk{A zuuXd~r^Wha$LsG+?Y+;OT)_LeyqqnuBz@hCKV0h9kG^ABv+%;Z1?vm5Z+T^1z0$N+ zw^QH9HKw9ZNa_D7(`9~l9IiaOe6(Z1G?AHYp>bv|f6^mzD~|*osAbFFXHz^$C)4$# z;@$@3eO1C@?r*l5Z1R8m`~BUCav3Xn^AF#uR=6&9UiRT<mD<lcnL~IE?0bCN-Qe?# zlUH3ur#V{AjC=IpNSn!Ozn-aTOa3gMd-Q)rdI8gf=Cqg5BG0*!%lAa`TP-(?h~(IQ zQ|Dl!%a;`wf?GId9IrKt6P}^i)-1B<OT&kx)~oY4d>*pwca#2p)57QFi}0L?(+L-r zdGEMikk9ed(LsEJMd<=%-eisw%kKWE&Gi0R@1?ide#S@s&nsB(Rqa_mKQ7t#OrH5o zkHXT)J$`<ZV{W<d?4G-|FU5NQ0+Z)977cwHgj>Ygwg364Oi-$hd%;l96c@kpuiN|o ze}w9DIZS=$C@*|`u)aU`;u__p=H=<Z2TmQV+tnQWJ^5nGwUc=aYOBApAFs0HW`81< zQlI)Oe`DjH*r)IA3%Df-Y1;^V^NW17_UCC1-XF}d7a6Q39uZU%SikH7BZEQsKgo`> z6>l~%UYl`1&RsTPTk0gErBiAzC$KUs;9?N{!yv)<g&}!LNUc4u;;geJ{~{lCR4!Y! z>eRNkH@DfEx$&-CB-ndqMo{MMU*@-Ors;0eR-QIr&gFGl&UV$z+fTRU-oBRWnHO#H zqF(4?n|5TGxm#%b*Q)wen_ZTi`LX!!<V!^!>u$%q{9z%H9CB5n`H9Baq75=C{{u~r ztys0{zssVV`}V*4{r>kqoAdSezyFtCz3QL(#kOtXx3^vSCZTse_2UhR(v`pJloV&3 ztvK>7YTKKWzgAW)(mZ#?>~`OroX--A|9jrgblSWz;?7j(9lpz4(sFu~R{pA!Qk-@6 zM$&cDyR-5pK07%%L#Tb8=E;eREnk`F#pcN+hpav~-^QqNThH;Qx14U*+~1zNyfAwA zy4c&cy{FHvxV&RqxJl~Vf7?n=elIn$+$6KT=9sUDtf$+9Jsi50cXw@Q5($aOSg?VS zxjLu+#;0}Ox3>DEDffL0yZY&LPsS0+f9wB7-8$<b6dlIc$nzj`!3M>{EG)btW;PQt z1U~+EaWOXDc6Xb~&RlLC5$>P%N{`N5*>;=H^OoF%02_skH@uw-j%+K<JT~#s&13zs z&KsVZ^DrLV{Um~kQBm8xu_3_0;RB;h$A5LDSu;1j-KKgncQTWV$ftUjBQsa#ZkL_3 zg`JgwpMPmVPqFf?w6+|j<Q~Ig63IOe>q1?tbf3)q`3gL2o_Bj&ZuEbZN5`-0oPGZP z|Ns3l_qONW-u5;k`lsch!ee>fMbg|)w-rV2?7h9C_ld=;^t6s;#>wAxPo8y4PqW!} z{M(Ita9DQtTJGIzx$)a`@0PvVwm9$h`FgFJlY%GBpY&$R6Cck$P0zmDJ9=-oz+u^c zbtS2DJtxan>Uy5Loz*q>e`kkV+Og!_dES#AE1hw?c(h{2ed9}y1b$A**maqwv~=g) zogA*W^z7#B<T&}tOK@VDwDH_-CnqVzl-%3va@Xs2tN4DBH{Vx!dt0na%ha+E7Z%r& z#TiOU8Mn9Du2;Lg?d|^D+j-HqbML4ri4=jnetXkf&qa}aPLrN^PEzrFG(pv~$YYX< z<s?QvF7{RiJ?{w$&1`~hA2^LJG$=N4i$@$NIOxbI#G#|0p}=^Mfl1C}LW2tvH@lz! zPk}=c<HrXJn_4&})igL58rXRy)pRBpJZxy^662Lq(@A)6kcnAXLQQK%!-E9{0T;I2 zo~E)rH@PP;^eZAI_^JiJ`QO=*mX@<S*R$xhh^U%J#}U^48%w8|Tb?(|=vlR^UTV=o z$^T_{i%wh&V`Y<m_us|Dc$;^w<*^lghHjN^p;voWJ?dGtNHWybcvYA2s#C_RR;7i6 z#=hErrGDlAp#Mw%dH<dMOaJTtEy5T5T&xA(y1*GO!Lw#wIx{70nTheznVM&PGSj?_ zjo<aWJ%8g~&)b<BZH>2?C+{}iW}kdpbUP~44WFcaa_SSWBGsLfVm$pe_7<-=qFu+x zpwXnj@K`E@gQZdC#38XsA4M8C`8ioRI0ZylI5{{N*x32lczK0*csSIAIF2d?8W?c2 zI58cv`go6#W%s&*cx{h=$L(EQzVY2$RB0tL_x1TXKRniJAJ(d7R}d&$yV%C|9TUeh zrR$7}k773b?Age%zvSt(o7P*zpF3>a6t7YL`YYcTagOVr`!v6Gi#@11uK3K$-J|NI zTl97>iJz8Jj_$v=F-rgcLYF`OGjE?gH+K_T$L(babu#I<@06|k*dbZ;b=HA1Pr?+x zq|M+_5!0?rt2W$Prf;LquWZPDUsBldWHRr)C;2^Y3HH^BL7kFWS;kuy26TA3<<BzP zUiQ->cadqDtfF-PRrwzZDomHzC(P`udl0g?u;ufa2)2bH+Lb420=AUs+2r#rRur2P zDa_cicyawjuI+PNqF*HJXf3#~|GHj*<=pod*n8O+!WEWhEo1(AvQ1&)w$ucl;uWm9 zGOBYEKj<uDwSMVlmZ&Mp^jzrmRk<&dW;t!=*nLOGMRlL)-O}3dc!S0K@3<0Ilw~Mi zeNq)&<?wCNvH!{b-=Y@ezB-vWW!=mZ51t(|5i1XCas9Pz;l(`BBWG=SxAIJNeAsV( zeXmcaE!%;3jn&>s9C>{!v^wwqoh`Y1+4n9MAJOS5ZquLqU7@mcrFoc_#BHvXjB!si zuZujk@juBLI7y91kXP7l9xK0UhrOVaOwW~0X{o%HBf`$}g&wFkC2Ut>kzFConNZ6i zdH1#TYBlc(6XR9hyD>$4dpSd%<FrA^J)TA7*Zed(FYk@yD(GCNu=x7r0JB>4jycTS zQT!)9e7m%%R_+*2@}r{LmUlk&SGO;JDlYI$;<ETxx5~@y(-&{qYaNs>yg4~0{j%mo zyOOFL>3KEFbK8{PXXX@?RL_$BQ`4Jz`0V}UGX<Y**F@LE@fXieHSRd4nWZLW95`c% z_8GCsFONlJK8>*`TVukV=C6Ie>1Oh!8y4mJPMuxq=eE)`<FrB+cc^8sj;Bvr*zM*c zQ?fqKn5o!1NA!8$#Ps7iFTJ+^b2}1}RaW@l^4^#EuE$Sq3%6X}GxNNhn|Jr|mBq7t z?N6nhobo%c{Sx<O14g^VXs3YZrIUr$RptsEs_u)nDm<S!O>JFa+T>2_b+=7C&YRB9 z3trJ9nWgg7wE5ODmG&ufU!LhmTpDSSy~c$5oZsZ*hwda_YAB7GX835vRIca<p4*l) ze*gV_yMKp8Sf;@3?RKWK&2-iM-6oo@yc&@7_pJ9ZvC|tvW^Xv_F`3ijiB6L4?El9+ zyrkz99Qoh4l(+tN==@h4dn^C1VK#}Bdp3#FFg(!YYesat*yZJ&)7Cd0U24nvrD<u+ zpFcMqm%L$)wKa@i_e56Q^~=_U=1;y|JN8NPtlYz%9k$DybNV?Suf4BR+tA7??jg)L z%l*eQ;bQatFC`vd>YlX!W@co3S?s~N{QG|O%D~;{9rs;2)V_3<$&AHQ_$IN0ye$;D z<QXZyAj;>b&D6k`Yz_*sBF8ub54{iTNt0@?KCm+Apu2~zfMmF$*TPh$WHq))@&OAT z_Br*Il<k&NViS$iwds6)bj2KZqqtUu)@e2@x7bd85J^@F`J^hq9KsgxOuHywr?k>1 z<NJ%_pIHQ(UKCE0a({Q9eXrW?`;+GcP3)T}RWfHW2k%tTj$IOM6<+SCd$WW!bDnHo za=ZNXv%p{JP7gvnPnLCjJ$=AOXXn<_LWjT4ePJDu!?5Yy)wxrS2ppb#uE^UaDZkZZ zzUPMMt_0zv&}q+0j;eB8ejH$N$<b`((>}$MKCj!PKmGYP&0x0A>TY-b=0p|SdYKA2 zo3|I5*mr0y+#7I{A^GaID86iEhgCLLH=T^$zAxmD^}e##t#=Qt{ZQ<tvEk;~xf=KO z{4F^t!4kYcr$yw+-THM`*YRj5OMKq_BQE%(vW8%Ii%QX=8GhR<PEBi<>a_K}<5h4v zy@p@s<k@^n2lX#PzITorPcSJzEh7HY>H5)CXVq`@Xiu}+>U_^P(Oi0sYQ)>Ue{@P+ zpRW2~wcTm?9S?)AfqB+bQ)d2;TY1-&d09>AeUHtt{!jQO#d9dB96n$Zkz8T=LQwP7 z7sbh!0xCqj{jQ5D1gY%Ws%6Bg_uKUmx7gJSVXiY*<)z3>v`E;H({olz^5u!Si_WR* z_H4Z%Y05qC+d*k_ouWH;T^6NoR5Wkt=6gPg<Ne);jy2O)KZ@XDzgRqB;<T?O{QZk{ zS>LH%*Ib@=U`cSP!<Vkr_4!)LZhyZ9Z5N7K!E&>cx9yW0TllfZ@k&kB;!3?QD^fOZ zUh`Tci^J6GNAOvL4FxMp-#b{WOX<#$zvubE>ao7!jDKE2Kg&8#XWm;DJLS=)JllPm zzph!l?f>L<Glg%j>g^~0-n!^6S2&p#sLH=xbcR#Z^FmH9yG#4pd_|fUy;^xPV&?lf zxgQJ+*I1NXnqAZ}BPop0Ui8Z@iR$$3s2v5Tg&A!9xy6s<NEUp*CBMMd`M=C&4MwG& ze@vbZhgx=v&Sg~poxWz44=>k^S?0VVY13XY$|!%lZ7-WUYny<zW?k5%ZP#}^C^_vd z{)?+VQbuz+r)NjY4#pNvt1uJ(dy;uveSDAZU+Fx1(|w*=Zkd_Ox1-f;*Q(d>U6fT8 zdfn}np&rYj&*QkHiR<n03+$%~WD6EEx~#4j(QQpSIal<1&4UeIoMKWF|4Z*KUA=!D z%grA>H#`&=JQTz$40f=u-Pcg6*4WBner)r~J*KICY*H1HGeitn3l^?*>?zh_uxwnx zt+zPYY|l<<rS8BVJfC*&x080Aw@or*4(ki;up2qS952?T9uTgKv0iaZ{M(bSPnwrq zadBsFX42`cnQ{8Qjo_3co+XO9Y}pFSYMnLqmx}*hV9{pX-x2M4E`LFq^NfYDuZm0d zPqF-8{I>E|azSGU_fqd=y-zaciX3k1D(+U??)5_b55Gm2-#r_5hZK+50rTZlXEk(i zu}!!rz5A^H)89K9H9pK(P@rIO-XrANrFJ1{?JM0(Vf?29H9N8cnG61jc25=1auqY) zICJ3<fkMNTtE<mlnt9RD%-qg%S!#%{jo$pG*pRp>G3`b#4IP+MmK7d+CLI%d{Xnn6 z61H7y&MMCdwJ?_#3qCB;z4qQ@dmTM1tuU7shQfn3Yg*LfKisVEbdlQnO#48<hXvE( zq%(4?WVjXLKW;Q`+)%s!K|u9^x4&<unm%?aYS<<;!IrUPa_Q-(MMv(bojGs%V&CSJ z)NmDX57i|qX@{Z?iZE3qsO2hY`&ig)v0&J<pkr~9VYONAnGZ7*KBbF%IBP9rVWpYr zvu<j7NVtIc%9RxlPP(qx{_KY0CIbUkgM+H;^ZM3DU8$WbrRjX8pHXAq8jh90GqaUX z9gyMe5n>Z~UYNt8m($mtQ*p~ys9ZH-74ND*1sf-Afq8kw?OeA~=Qe$aI-^;??Xrr6 zM7ppP>t5et)q9`4^G+U8S+CvYdP9YG%6Y{#Y(k7ncdYebjMLjVyTL3wSMyO&$+>xs z+g7e{ws^O4x~2N<kJ0v9-80Rno6fr?;lA>)rulBJ1nt!`IDgKZ(E26Nq@RV&DJo~f zflqSYvkEz0y)JEW68O}}{c72>uZI@B3Uoc)bmFI4ZM412l;r{!mfXIr`fJ7A4-*#u z`FYwt{{NwWa{^;?R+UzM^9We9?cvMzPm3%zbaTI{n(Y+6FY9>4#^U*$AwGL=`ZCR4 zbUvK(@8`|;R_}2OSr^RAV)jplN%UX)*096({kgVO2u=u5c06`#P8{R)-Id)Z7lu5a zbZqN>dG3eP?9}8ApB6mAwPk~s#FxM8D@uDMf-XEW{5k97s(U|z-4D;+ePq$<-V+;M z3tv$ea93#kbhZ3;arK#tXTPuQS-d_=l~?<P`?c3m+WX$kzBc!na-ZmQj?;b*wU-E- zZ8n!$9U)lpIO37e!|=l_KYkWDtyEi~D#9bNRcrsPwa+Jp|N48msd#(5Gs7;6$VI}N z5>sa#@b8$FHiz?~&1ToNUg;I79p`@j>hBTsd?L4(C%vcmjN<FM=?O|jLdQ407npqQ zxA`QO)pFvhZO_F{?f({kYMnoimi9f#Q~QL|jzs4@=e+kt(B@(5Iwp>p*Er^R96S4V z?s8Q|gA`lyT}NVX-TE0LAmH*XU77#f(ar5I`ZRZ#wodfvI_a`M;L@dlqgSTypS0S6 z#cS8%&lLgx_$p=wi7(c1{oSMTvS7-Q{@mI1DGFQGe%yI=vefYm)|Yi1mb+%1|FQSn z+y~bKS*E-?bD@Ll=(K}B4;6gdzUT4xvJN(GtEVpl9!Iz@|I%BvbDzwKPmX?*1!veR z^7b#>zbcx?OUZP>vXc#=*HjcXTHg5fDKG56xtV9rwZ3{Ia-qon%i*OV3(ciISc$zc z+-dEkCdDb=C7rdnNJ{eHwM>tP_8BuI)ZCQXEo|!2kC=X`EloAqvUTd4Ad@?>o4$R# zdUWE7iEWutoiP*Nu2P)K=CiWf#&F$hzMY(klEw$tdYw5av}wsdE$*DgXYKC7og5i& z(|;MeU3<n@Y(4q#V$OEKmYLss&a8R*Bxi}?QQ?SXw&wBPi&wU`s$TtlEqi8C%Z8bi zq9-JeRSEOYwzSe%;(Op*NuZru+`2m^PVX`eMb7D;$hq26e(}?+tu@D&r<T9j`oDV3 z)c@btto(oN4d>jHFYDX<+JiSY^4%>J^AbAYP`S;w-Kck}>Smrrc{~EgORl@zbGjJI zWGWqgG1mQD@K1KHWh_E3gD*JDQGKS+qxWi$^ZJj+jh$^)7(V8|T@drd_+NhK<XQSG ze!Wbk3*Pa(?K7xyOcy@KE|RkP?x7`ma-08|9X!zTd}+nD6sOY3bvz%dO*d$CEOM)l z-n-Ogb<hJ<`S11C&q{;c)AmMA?!LqqUmd5e{b1A1YdVd>JVHLDu3XWa`RM{|MoYiV zHh3yx%M#S{F!aQo-^;d7=9yB?!W=SVsm{`fX*Y$ntF}EWVc54(<C$k#tJuD%_*JX4 zZn7y&ec|P@&`agwC&SnOw(LEez4-j??9AmFivzi|t}lOGwUzakXo*B?Nu9*^e=VMA z+`jjBU441srr|<)4l!$s;)_=vXMHe<7CqH?UijH6?IwdmH5s>=XD*)`wIQI7-TaJg zDgVPO>vztSIR5Tf`IgtcQ$wuhdO5P5d#s!LL3eAn*Vg{mEhlutp0I1I-ovn0Y_0Z- zOBvRY@_Ou*n=*NR7rp9QlX<PoY-`i2Uxj;@nSHmh%YL#x-=ZsYXUf9nBDsq<8@w75 zO*_&*WihwQoIB*h&%Sf*PLIFA?a>h_-vZ8?<p-Wy=Q%6Uw#z)QJ<8O!?&!}G3(a0| ze&x0`Tc22>d3yJR>48dt(=IMNBc>F;VE?a6SF(4^4HEWy$Y}hjzm9QHTmOl=dn`wy zZr=7jwadykK$_1kwJ^WOHKin?{Nv17;f@tgPvvtTxv|iB%ZJ~x{C$gO=bpYJWwI>I zIm_eVCs&>Wx<4N~UaLK}H)C!>XHuTTcGYW-n-1C<#>{xM@@<E)iF>#89&zTaZ<bba zofWojzU6wRi8E27Yt?quD`KvWJAePrIBK*eKrq@vY3pZSf!Hgz*O;wdP%D|cgZDt) zowuu3FMJqaldk;6ZSB1ueY<z+R2}Ya(plgk@~vZ9&T5`(j!UGj<#hi15H|bjy-jaA z{?%{Z)cIat+VO7T4wtgeKk{Vw*L_}Ao5vyKv5Tj_Ag9gSG+=St!>-olIeody80~g% z)yUY~8*xFmk*S33gS8J^!MEk=KMxx!oyqKJwmoK<%ayhC;p5LdtkP$lq>qHV{YzZw zUUuVU-Shnoju(XNHtY8%*PO`H=3CBa^j#-e=!0vcnmfb#;LpC%ufB6AHnh)MdFT2% ztNvA8PrsQiyI7c*(5`CmuKu!NYD&hhOI~{mm123-x!i@6w5z-p?P*kxyuDpx$v);E zk@41vOLyP-V4!PW>-YMrf@eeX{Ub`>XYtGZ>fLT7$oXU1%^I%Yna!3KT4v&YK_x6Z zjB=e_1kJ0LT=$jaPMH^L@jm!oK9eh}W+5Z%<Hy^k^41>Osu;h9$!o{S?+xm!ZccE} zO568-se!B=!+g6(Ide6dQlbk!s-0_M-`(-nEIVyoH_s*^$(JgJn09?`-+HCcnsx1p z2IK#`59Q~Z?7HAkuG`q$HFv+0$>iVWvF}qCd}?8SQ+_URX7sy@5AKA@hbvCsyG}Fb zO><IUd{NjlN2b?O#irgh9P&HPu*j+Mt33{JFsx6Q=B2d!#8kzYzSlKDmz$J!7#emP zif=jfxB1J?Gg})@+=&lZvaE=6$&qFI`sRJtD%jYW96UEo@4|=7N$2?w36;!#`R$Z> zn9=q<g*z5+YUh@U_bw?|WN5iCVppH{N0-X9{?q?vzV_pt6@5!1V}ni6t4lI3e=e&` z{Vl|0vL`k5%jLr#kI1o`X?<agRG575;M}X0d%PsxNMv2V|6tok>!#b=bp0Z1JdDb^ zOgU8+8i@6$d@J3N{dTrwW7%$f?H|?mi*!EKTDtu_<QTbYrkJ^GXZJ&nr_nwMKl2+z z7azJ{%gNKhA&?m)b*d%l<!+mU#d?xL{Rb>!MOG+k=0u9=Pxv|Ei__cGeul5R8)ah8 zeUX}J;GZuMZW*;HCpk$jETlLs>tVv1<FU7YZ*%<1ro89MjaB}E4>odtF$`Sq<7&nd zE3tHq*1wYH=clnvFm|c6PP!Px!dY_uyx5hM=hbdpQVlS0m0rUhF2G+e{klzu>%{(3 zya~}c7AL~h-?2^?UzJ!jp{?jFtFuk%`+_S*ulCQW{C@ws%jf;-U7^41JzoC%FTZXl z^TO5l6)I&Go&L|lwR~q(kyMb_`tncnd-mU(78yAC*J;&j=J%d-ed6D+`%*dM+vzWY z8@s1G^tm#(<>SP?>H1S|9$hu#=Zzn)Yx$M+B$6%fPZwbhj{l`!FQ=<`Ca$jh$FXyJ zbT%`)mAy>Pm@lHuTUGIYYZCwCFH7!v_iO$%&&yk9H1*oqL(R_9be3f#eOi0%a8p3w zpH+*i);#!9d%*o}^ZKZnt^b+|1Xu+n47?A%iG02B)3W#6bw(mT|7Pv3-Mc<>%>?V9 zu1gUgvZQ4tv}WJml2;#_!dB#Oo#QHzS8#GR`?7|(nWDjB%_T9<ew8&!s8uIqEb&-t zt2-^;<tkIj|KohQD!bS}^|W?vGF+drS5qxv&TlTcO=krS!c%NYvOb<T_?jnZ2jBWR z4ZH4zf0*)km2c7}k#`I(95$2L-NR#>&XwPF3vqm0{iWmBh2-KJ535C1Z}#(W{@iM_ z$|oh?_RfSEsxHdknXX6_NYqPAxVC4@?^`yn-Bt#5acV4A(cEdX>*c@x^l6j2Ud%q@ zYp_UwO{;%xNN{<Z>BE1PrcuF*=bSkbn2~%f(I#WoJwf*k0$ZX&mDslS=+^u@`ZGGN zdfVN#yZ7&|-~O)8@hFRJv88!-z3B24E2Z>UW7;-u?`plizI$!q_HLC)zq5jGtre}5 z6khgB>vI2}$f_j;nasOgj@_}GyjAsix5`ra#STg`+e?={d8Z_PEMfDbNv6JcJbo7P zZv86~!!j*RWLb(4Y{Lf!c*95Fanr@Y3=9l?3=9lH7#lt^^OB1~Ls%J@cdMO9=RSGn z>>2O=a!(!4p7PWBUEj}m>v^5^J*(|`rttJheSL3TqbtVdMnM{Wx~F{3oLu9jbMnmj z&6~V*yw07_@eVaG2{#BZU`|pv#MT>FA#o{V0yhK9Es)J8+8>_%WoBbw0Ab9{Cq*fV zaHoJapCqgmIjhf*6__I6tdKv4nSsYaP(Z+m(UC!saYMnalnfu`Afs(3rb=wuy>!Bn z-$#v^&(Gd!XL9ual2cY2Iy*eReQDim^i$iaa%r#pi?wsVGJIwD#vp0uwJ&iB%iO~^ z8?5H`rd{ED=^%ZM`LIT&RHKUw@7$#eIG)cq@%^&vkwUWt>oyD4TD?<NQBisnVNq?o zru@%_wLkr@-I*LErSoP}YIxgAo2!#Ui&n3dS<}xyp>^uEefg=jQSYPOJcX7&c<HqL z-JV(YGV7nF=4MLqrX|i@`hJGK+&Sf#mpeAP6^QBnKIe7(@3ix`!zR1l3G+DevZi6p z`}gfpW=Svk4Kr%bEetd&`TLM(?^?Nn`$D@K=1f?$Xg|wzk3E^TyMBlMm7NrA&-tY3 z)VlB|{-x*tC|#Q%8W-|r{=G1zd(2Nt4?eM<c;MRRmj$uM=H<P+$vG+Lq=x0D!h{I- z@5>TZCMO$sMcKz55vW#N=bOU5f6Hap=-+Ya&(H49zpHVhFm+1JeKRc?XOWko_X7_f z5UjPB-|O-Gb6?LS#dW)%l%KRV;VMd)^2f%-W9~V%mUOjCzhgKWV<c3wIoeuE?-@?K zqWR;Xv4pC(WREi&_s@=oHGkvh{ocIv@G8T%C7d5SDjH;rC*E0CS+>39oY$=WY$;XC zS?YU>vw6PqEPmp#f_bHIrGw2(o|bGQuPSka9+L{QiE{g*5AQOT%2e9l(i(c*`d!XT z-D_`N^7i>YVgEiyd2x!Ub?vQ0v6b=Cs_r$rrUiZ~=Bg{0ttZ`l^=N6e@%u^dopLvY zs0pvz7yX%~^n0A##(z00*JZCR<6ZssTgQ8oNG+eQwQO6Le#&WI-|F=6*J<O1B_S3J z-|fP!9I}4Qy)JZM@1MoI=hglt-d%j+<OGrBvaVbSfd`jy2Q}Gxi9{}NdRcNlM)K{U z1P}K5!soj~Uw<zUv!2-L)tGMNdpbvAlaOoGmPMUikHVK-G?Cz0nQ%MMe*0UFR~9P5 zqD<#E@SSBAnBM*;`ucmz%gOzX@sezpb0mVA!qv0NGyl%K#5;GsbzjONuLm*`eY~3! zPjK+vD!AKm<>Z9PVxmE8ddd8MZH@JOe@}GqiwXHMZ?+0^)$Kt0>l2L%SeCf8)_JnN z<*)pDc>dpCmb15dvsI<rEUI@sWVl69x}@O5t&5urUwr*ymh$v_#Hz~oycvfl9=PTg zpg)1%MK0hUSDHuHS(WVc73?0&^CodBHR`(V`q9sLr?gpaqLoM`!<{X8Cn7?mJo&4t z+jg}HsIVT_nUZ~>?RS=@bJmV;S@-12q$foM?o=-Ky>qVC{?D6OfmqWezs(ANRjo0R zaGJ>eXP4gfbS9z3@ENJ6bK*VUzdn`L9v&2G?SC;Sbeh-my!+cfha4BY-}zr<TGQO6 zOpg0!t@^&`o!LG)oroJ{bCxZ?dOxcwYb8^|))k71LB}*&Q(RnBJRP}Z6PzTCL!U7U zDI9VXa?#*XY*BFK5Ef8UaH(){XffoHmzBIAl+Q2p^^m(uo6WX8|J^v|crvcF@6TXf zaMyXk&Zwn6#h$4#K@pOj6J5GCOk4Nivw_)5n;X%~D_B%}>ppqLdU#yg8IiX8uUlk* z;MYAV?@lH(PPGtrkn}vc-8W<1ZSAc8e!rbqROUaZ5&GBb#<Zz3r%O=uTu+`L&s@bf zJ2!E$opUkkUitHZ!)spN*@vuHypmokUoVYeuKZbg$z)PPordDvI??GGHt#M>+PX%g zGq_>Cu_<Scc<JQx+)mGf_Vm48qq_GavwNm=`mU>OFP2CxJmYG}+i<~P_EGD*^3|L2 zG@fp&{gjj}IJ0xgtQqosJ%9dqw-%g^->_$a@%HHq3*OJFT{Ojr^D3L|cGl2ovuDlQ z&cWAgUnXzTc$}|g{$|F6MEU4~@`@du?+&j1$i%DjhSBWo@6rXIT9?MGxt-{|*=mz1 zv;4jg2ctcFvnD(0?@4%UeQ8(X3>M>E_g3D^+xOXO&gxw0wzb`^3;*j`8HTC<opwQK z>bl$oEvt%GTwA-fQmX#$%eJWb^~X*g+wOSvj&|Plqg!*+s^?c(s_rX4bM%1U_IfT8 zO)1040j#j915%AGI-Xs=n~8zpA1ecc7<x4pUzC!lmsOmf2d_VRrzHB{HV|mr|5@8_ zs#;^q>?OLb-=r63_%s>aa#B}J*?DrQsYO|ubtB)$`|0XAx>pxG@fNxN_<H&Gd7t+% zzsu|~2)}ixe5Tf1!_!l9zC7I775Y(ls=n!b{WZ~XwGLOTUrh?Tk#*2tZ%56oa;`$* zzSf3`>^wae7U&sf9?F`2|3P`_0}IWWJ%)|ka@nlRI+Z=on5Q25r?tE@h$$~%w$<%p zy!w%g7UWdDOk>%tw>U4If1g;4N`Zh}S@m8$pTC(ooO;h+thoQ~+hMsF9yPm_=XU*S zi2Sn3bUh=VLf0?d<J)bkH=LWN8EmR1dgNKkGSBUI8Dl+8K9$)eYxG!bU3%*l)*WXK z`E6!>KKbLWL!GCL)P(gHX=`URwVhW@oz%JXA)Ch`-r1Z>I5+EvzM8n`niuoWr6#7W zx7SV24!G+1>qN;^=AGtVP8$LwXFb?=^<2Osn;jOib7J_Irx%@Sz3Op6j5Gbxq<W8a zS8EI2gk9xd`)JwflPqbPZ=2j4FIUQ4@m0T=cq7|AWiO+n(DTFvMOX6XdF`2YCur`5 zW&Tfn7q51zeVTt`d&l}?%Kje}vs)j!UEw}(W1p4Tx1T$=-k)Kj^=fI`|EBOPmdCpi z`S!>9R<ioesBBO?t+jI3m0Mj~m9;+@eZPLBqQ}G4mFI|#$+^s9s$ZAy?o`yAylO+p zhcmqn>O74ZCaW%-lir+gt9tRJD}`!{f25dp@72)gELphbvv9DU;GJ&KL*IUH4~onx z>Zv?sxRW_ZPsnk55Pyf|(fC$l?*%1x59Ua}UUx1nka?ZqH?fe?TsQZ;mddu>>zp<} zZ@i{vDBD*apFDfv$=?fK-rNnME?)ikf7*Ud)8s>c^J4PPZ**aFF7i5-vWs_KL1VmK z(|OykmUl&Wg_iF5P=D|I$#ol}OWR$|oZlYfUL);2Eu^pPmGr~;(|6CEZjYMoIhYlW zFflVQMCmXvU^bb`k@oA}jk=w8M?s+W{q-9OX$|{N-g0~8t-Lj8|NiGa=CifDuIM=? zZrmjO(`ZgNud&0Y>i<7q|Nh)>Q!ji`!Md(#%}u_^Ri2w#7j;|BOg+BS<KRcN&3Ze+ zQ}|c=?GO_9dOvrQ1xvHRZ%cn+yZF=Z3Lkx#{D+D4-uDX%n;hH~>OU-OXL8l}`~88R zJO6^}mk0M}U0}WTdx!mv*l&NX_TK;eviwVaa{kNX{TrX}`S#fTJ%8<={0IF?^L}nW z|D!rkz}Qaq$7%0>p0YPYkF_p-|M||2XQey4_h!Cb`!GMgHald?y8Wh7w?ZFCecNWb z!_D!uR?PYPtN5;KXM}dX7TvPy=vS_&(8pD*YhM-iHeD0_X)JZ?$`dJ8-PJ{`|8MW= zxqYxfrZGUj>7YXPV;+Sc>#{Dq`fPhJku{L<ds?}~ex<VKHcT9syOj>?;yuv%cXGqb z9RYTSuXgGEelq_M>)S5NuMha0!Va1<lviu0f7`IQmBl7|-{p&cRhTWi<^B0HY^v-x z3m!J$4s7}0rE>7WISuZ;xdq}myLjIx9b@%+B2$;4&bDX4F$<@SIgd`box8EGFTlar z-rXeLg!f&?XT_8ZZY>6%44cm~C7r=5Cf;>SVCWJ0P#R>hW%0}fY9=zi4ik%Z*z74{ zIQlx}=CX^2wB6%ASXGE_-E;QiV_vh!{AA;I?7VN!IDHT9RhW0frus)#$OadU`rjMZ zoPC-uQ|r>1%58Ztro%C2@w}&J7o0TQKKs}=#lVW{FZW7kZ;iZBdi{Wgkny%n`>bxi zwRC*<Z~E=eJw_YPq}OpZ^W2j!=Gn>f{@va07Q3|fzFmE5w(!#31#`_ea^0A_(Kbj! z&)s7-@3r2y#~b3ywa!^i@0!9|u}1V_Px^J!eG?5V`rZa;&X!}Bk3G}OkS-@#_jJXZ zOxK;^d>pTHbN;01aw@N3W=MXsTe&yg;u&+LO1=BMA1AJ6hgKiI_;$bZJHhhh!cXtc z+;l<U%5T%{t#8z~S3jRS`-e~N=cn9PW%%C+OtHUlcGus%CG~ohe%^DEJZAlacQzn3 z2K(%cbxjNm3`ZCk7=#%(8S)EKixNvR%Th!0GE4M|EAvV+;ME29hqN@o#Ds(g2?m{h zy;W9~@60q6{FypbB`qY4K2Pdy(*FGAGj~w8khjt4Am`6U-d`?#4svpikeo4n)m)G3 zb}t2AJzQCR?s?4z&bbD?lb;_pJ@<2-<<AM7iU(#-^lXuwesd3x!U2vWyuAuya<eTI z%^C|D%(bOm<dvN{CiF4QW?~5NW@Hj!7GYpufVe)bQKs!8BLjmiD+2>N11|#zFfuSO zG&F)(Dai$T6}dT~A)Jt&8>sh&Zo=`3Gf$Hk85piHF))arnDC#G0W~z@i%UwA^vduW zH&5}5LjofMgA6kRgE)$DbC|Ikmyv?sf$uDJOmrC;7&I6WCW1WI&}hYqZel@VQDQDZ zFAM(TzUU>%z%bnd6y=aWgP6FK4b4Qo;*7+CRJ=YeXZKzC$c=&Fh8qKe0My42(=-*( zO{>VmVHBj}i+bt;vcsIx(TxJ1!GI@TQ9JYyvmhzMcqY18knTNJ!ypbr?U6$agZObj zhGF3TIaaeENfxz<1~CibuwV1h9EQ|j!($+7-G^-8r$uN6>Va!QJZ7Pm1`x9#9@SZf zZWgp`KrxM#4WycffrnupGXukmWef~@46Y__dG40!=_xJ-nP%a+sRk*<!Fl-=#x7}9 X0hLb9`ejL$#zlptW?uf0nd!CwBXp{m literal 0 HcmV?d00001 diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr index 9079871..58196b3 100644 --- a/proj/AudioProc.xpr +++ b/proj/AudioProc.xpr @@ -60,7 +60,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="7"/> + <Option Name="WTXSimLaunchSim" Val="22"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -91,24 +91,8 @@ <FileSets Version="1" Minor="32"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> - <FileInfo> - <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> - <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> - <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> - <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> - <Attr Name="UsedIn" Val="simulation"/> - </FileInfo> - </File> <File Path="$PPRDIR/../src/hdl/audio_init.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> @@ -116,7 +100,6 @@ </File> <File Path="$PPRDIR/../src/hdl/debounce.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> @@ -124,50 +107,50 @@ </File> <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> <FileInfo> - <Attr Name="UserDisabled" Val="1"/> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/fir.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <File Path="$PPRDIR/../src/hdl/audioProc.v"> <FileInfo> - <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> @@ -182,9 +165,7 @@ </File> <Config> <Option Name="DesignMode" Val="RTL"/> - <Option Name="TopModule" Val="operativeUnit"/> - <Option Name="TopLib" Val="xil_defaultlib"/> - <Option Name="TopRTLFile" Val="$PPRDIR/../src/hdl/processingUnitIP.v"/> + <Option Name="TopModule" Val="audioProc"/> </Config> </FileSet> <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> @@ -200,6 +181,7 @@ </Config> </FileSet> <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Filter Type="Srcs"/> <File Path="$PPRDIR/tb_firUnit_behav.wcfg"> <FileInfo> <Attr Name="UsedIn" Val="simulation"/> @@ -218,14 +200,36 @@ <Option Name="PamPseudoTop" Val="pseudo_tb"/> <Option Name="SrcSet" Val="sources_1"/> <Option Name="XSimWcfgFile" Val="$PPRDIR/tb_firUnit_behav.wcfg"/> + <Option Name="XSimWcfgFile" Val="$PPRDIR/tb_firUnit_behav.wcfg"/> </Config> </FileSet> <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> <Filter Type="Utils"/> + <File Path="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedInSteps" Val="synth_1"/> + <Attr Name="AutoDcp" Val="1"/> + </FileInfo> + </File> <Config> <Option Name="TopAutoSet" Val="TRUE"/> </Config> </FileSet> + <FileSet Name="clk_wiz_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0" RelGenDir="$PGENDIR/clk_wiz_0"> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="TopModule" Val="clk_wiz_0"/> + <Option Name="UseBlackboxStub" Val="1"/> + </Config> + </FileSet> </FileSets> <Simulators> <Simulator Name="XSim"> @@ -249,11 +253,9 @@ </Simulator> </Simulators> <Runs Version="1" Minor="22"> - <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" IncrementalCheckpoint="$PSRCDIR/utils_1/imports/synth_1/operativeUnit.dcp" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> - <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> - </StratHandle> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> <Option Id="FsmExtraction">1</Option> <Option Id="KeepEquivalentRegisters">1</Option> @@ -263,15 +265,26 @@ <Option Id="ShregMinSize">5</Option> </Step> </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Run Id="clk_wiz_0_synth_1" Type="Ft3:Synth" SrcSet="clk_wiz_0" Part="xc7a200tsbg484-1" ConstrsSet="clk_wiz_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/clk_wiz_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/clk_wiz_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/clk_wiz_0_synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> - <Desc>Vivado Implementation Defaults</Desc> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> @@ -284,10 +297,30 @@ <Option Id="BinFile">1</Option> </Step> </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> + <Run Id="clk_wiz_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="clk_wiz_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="clk_wiz_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/clk_wiz_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/clk_wiz_0_impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"/> + </Strategy> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> </Runs> <Board/> <DashboardSummary Version="1" Minor="0"> diff --git a/proj/tb_firUnit_behav.wcfg b/proj/tb_firUnit_behav.wcfg index dc82ba5..60f8443 100644 --- a/proj/tb_firUnit_behav.wcfg +++ b/proj/tb_firUnit_behav.wcfg @@ -5,21 +5,20 @@ <db_ref_list> <db_ref path="tb_firUnit_behav.wdb" id="1"> <top_modules> - <top_module name="glbl" /> <top_module name="tb_firUnit" /> </top_modules> </db_ref> </db_ref_list> <zoom_setting> - <ZoomStartTime time="8,222.820 ns"></ZoomStartTime> - <ZoomEndTime time="9,522.821 ns"></ZoomEndTime> - <Cursor1Time time="766.530 ns"></Cursor1Time> + <ZoomStartTime time="0 ps"></ZoomStartTime> + <ZoomEndTime time="14 ps"></ZoomEndTime> + <Cursor1Time time="10,000,000 ps"></Cursor1Time> </zoom_setting> <column_width_setting> <NameColumnWidth column_width="175"></NameColumnWidth> - <ValueColumnWidth column_width="134"></ValueColumnWidth> + <ValueColumnWidth column_width="118"></ValueColumnWidth> </column_width_setting> - <WVObjectSize size="9" /> + <WVObjectSize size="16" /> <wvobject type="logic" fp_name="/tb_firUnit/SC_clock"> <obj_property name="ElementShortName">SC_clock</obj_property> <obj_property name="ObjectShortName">SC_clock</obj_property> @@ -28,10 +27,6 @@ <obj_property name="ElementShortName">SC_reset</obj_property> <obj_property name="ObjectShortName">SC_reset</obj_property> </wvobject> - <wvobject type="array" fp_name="/tb_firUnit/SC_inputSample"> - <obj_property name="ElementShortName">SC_inputSample[7:0]</obj_property> - <obj_property name="ObjectShortName">SC_inputSample[7:0]</obj_property> - </wvobject> <wvobject type="logic" fp_name="/tb_firUnit/SC_inputSampleValid"> <obj_property name="ElementShortName">SC_inputSampleValid</obj_property> <obj_property name="ObjectShortName">SC_inputSampleValid</obj_property> @@ -45,16 +40,69 @@ <obj_property name="ElementShortName">SC_filteredSampleValid</obj_property> <obj_property name="ObjectShortName">SC_filteredSampleValid</obj_property> </wvobject> - <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/SC_incrAddress"> - <obj_property name="ElementShortName">SC_incrAddress</obj_property> - <obj_property name="ObjectShortName">SC_incrAddress</obj_property> - </wvobject> - <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_readAddress_reg__0"> - <obj_property name="ElementShortName">SR_readAddress_reg__0[3:0]</obj_property> - <obj_property name="ObjectShortName">SR_readAddress_reg__0[3:0]</obj_property> - </wvobject> <wvobject type="other" fp_name="/tb_firUnit/firUnit_1/controlUnit_1/SR_presentState"> <obj_property name="ElementShortName">SR_presentState</obj_property> <obj_property name="ObjectShortName">SR_presentState</obj_property> </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_multOperand1"> + <obj_property name="ElementShortName">SC_multOperand1[7:0]</obj_property> + <obj_property name="ObjectShortName">SC_multOperand1[7:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + <obj_property name="radix_realType">UNSIGNEDFIXEDPOINTRADIX</obj_property> + <obj_property name="radix_fractionWidth">7</obj_property> + <obj_property name="radix_otherWidth">0</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/O_processingDone"> + <obj_property name="ElementShortName">O_processingDone</obj_property> + <obj_property name="ObjectShortName">O_processingDone</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_addResult"> + <obj_property name="ElementShortName">SC_addResult[19:0]</obj_property> + <obj_property name="ObjectShortName">SC_addResult[19:0]</obj_property> + <obj_property name="Radix">REALRADIX</obj_property> + <obj_property name="radix_realType">SIGNEDFIXEDPOINTRADIX</obj_property> + <obj_property name="radix_fractionWidth">7</obj_property> + <obj_property name="radix_otherWidth">0</obj_property> + </wvobject> + <wvobject type="other" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_readAddress"> + <obj_property name="ElementShortName">SR_readAddress</obj_property> + <obj_property name="ObjectShortName">SR_readAddress</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/SC_inputSample"> + <obj_property name="ElementShortName">SC_inputSample[7:0]</obj_property> + <obj_property name="ObjectShortName">SC_inputSample[7:0]</obj_property> + <obj_property name="Radix">SIGNEDDECRADIX</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_multOperand2"> + <obj_property name="ElementShortName">SC_multOperand2[7:0]</obj_property> + <obj_property name="ObjectShortName">SC_multOperand2[7:0]</obj_property> + <obj_property name="Radix">REALRADIX</obj_property> + <obj_property name="radix_realType">SIGNEDFIXEDPOINTRADIX</obj_property> + <obj_property name="radix_fractionWidth">8</obj_property> + <obj_property name="radix_otherWidth">0</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SC_MultResult"> + <obj_property name="ElementShortName">SC_MultResult[15:0]</obj_property> + <obj_property name="ObjectShortName">SC_MultResult[15:0]</obj_property> + <obj_property name="Radix">REALRADIX</obj_property> + <obj_property name="radix_realType">SIGNEDFIXEDPOINTRADIX</obj_property> + <obj_property name="radix_fractionWidth">7</obj_property> + <obj_property name="radix_otherWidth">0</obj_property> + </wvobject> + <wvobject type="array" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/SR_sum"> + <obj_property name="ElementShortName">SR_sum[19:0]</obj_property> + <obj_property name="ObjectShortName">SR_sum[19:0]</obj_property> + <obj_property name="Radix">REALRADIX</obj_property> + <obj_property name="radix_realType">SIGNEDFIXEDPOINTRADIX</obj_property> + <obj_property name="radix_fractionWidth">7</obj_property> + <obj_property name="radix_otherWidth">0</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/I_loadSum"> + <obj_property name="ElementShortName">I_loadSum</obj_property> + <obj_property name="ObjectShortName">I_loadSum</obj_property> + </wvobject> + <wvobject type="logic" fp_name="/tb_firUnit/firUnit_1/operativeUnit_1/I_loadShift"> + <obj_property name="ElementShortName">I_loadShift</obj_property> + <obj_property name="ObjectShortName">I_loadShift</obj_property> + </wvobject> </wave_config> diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 1286aff..26799c0 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -41,14 +41,14 @@ entity operativeUnit is I_initSum : in std_logic; -- Control signal to initialize the MAC register I_loadSum : in std_logic; -- Control signal to load the MAC register; I_loadY : in std_logic; -- Control signal to load Y register - O_processingDone : out std_logic; -- Indicate that processing is done + O_processingDone : out std_logic; -- Indicate that processing is done -- loadOutput O_Y : out std_logic_vector(7 downto 0) -- filtered sample ); end entity operativeUnit; architecture arch_operativeUnit of operativeUnit is - type registerFile is array(0 to 15) of signed(7 downto 0); + type registerFile is array(0 to 15) of signed(7 downto 0); -- chiffres entiers signal SR_coefRegister : registerFile; @@ -67,7 +67,7 @@ begin -- Low-pass filter provided with octave (or Matlab ;)) command --fir1(15, .001)/sqrt(sum(fir1(15, .001).^2))*2^6 - SR_coefRegister <= (to_signed(2, 8), -- ROM register used file to store FIR coefficients + SR_coefRegister <= (to_signed(2, 8), -- ROM register used file to store FIR coefficients -- chiffres fractionnaires entre -1 et +1; premier chiffre est signée to_signed(3, 8), to_signed(6, 8), to_signed(10, 8), @@ -85,42 +85,69 @@ begin to_signed(2, 8) ); - shift : process (_BLANK_) is + shift : process (I_reset, I_clock) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif _BLANK_ - + elsif rising_edge(I_clock) then + if (I_loadShift = '1') then + SR_shiftRegister(1 to 15) <= SR_shiftRegister(0 to 14); + SR_shiftRegister(0) <= SIGNED(I_inputSample); + end if; end if; end process shift; - incr_address : process (_BLANK_) is + incr_address : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif _BLANK_ + elsif rising_edge(I_clock) then + if (I_initAddress = '1') then + SR_readAddress <= 0; + elsif (I_incrAddress = '1') then + if (SR_readAddress = 15) then + SR_readAddress <= 0; + else + SR_readAddress <= SR_readAddress + 1; + end if; + end if; end if; end process incr_address; - O_processingDone <= '1' when _BLANK_ ; + O_processingDone <= '1' when SR_readAddress = 14 else '0' ; - SC_multOperand1 <= _BLANK_ ; -- 8 bits - SC_multOperand2 <= _BLANK_ ; -- 8 bits - SC_MultResult <= _BLANK_ ; -- 16 bits + SC_multOperand1 <= SR_shiftRegister(SR_readAddress); -- 8 bits + SC_multOperand2 <= SR_coefRegister(SR_readAddress); -- 8 bits + SC_MultResult <= SC_multOperand1*SC_multOperand2; -- 16 bits SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; - sum_acc : process (_BLANK_) is + sum_acc : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif _BLANK_ + elsif rising_edge(I_clock) then + if (I_initSum= '1') then + SR_sum <= (others => '0'); + elsif (I_loadSum = '1') then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; - store_result : process (_BLANK_) is + store_result : process (I_reset, I_clock) is begin - _BLANK_ + if I_reset = '1' then -- asynchronous reset (active high) + SR_Y <= (others => '0'); + elsif rising_edge(I_clock) then + if (I_loadY= '1') then + if SC_addResult(6) = '1' then + SR_Y <= SC_addResult(14 downto 7) +1; + else + SR_Y <= SC_addResult(14 downto 7); + end if; + end if; + end if; end process store_result; diff --git a/src/ip/clk_wiz_0/clk_wiz_0.dcp b/src/ip/clk_wiz_0/clk_wiz_0.dcp new file mode 100644 index 0000000000000000000000000000000000000000..6dc26f4644084bd03b5c53705cf2a73f7d2ac9e6 GIT binary patch literal 13353 zcmWIWW@Zs#U|`^2*c6i*<x<#r;2$FcLkBAZ13LpJLrQXiUPW$BXb39<bD`#m^u1GJ zi)T4Vw61@>{>H;qdpQ`hOwz9K#0Of{Sa7e{dn+<=?QMnAItf1YwT9~^Z(Jg~uIl%^ z;(4`}yAS{Pxa0hR#t)M=)hMmpq-5(?om}ib>3P$|Jxr&&mt_il$T;`IC1^?J`^z^v zWUo8by^%CyvAZ|9vt&6B`{IiZyKG<jZ+yMTd~(2HQG={mOC4@7oIcpK<iO$e4woCM z{(mU_u%M?Q-PIv@%RkA@4UM9+Cno5;WL(Z8<F{)~)A{CqXWPQ!TSPy5{pdR>klhtD zb+-K9-~W2G!jyKbvgAB@^qZYSTHEPKYUzvf*Ds#=cJWeP=ewe5PuIAv5olJacGLeN zF4W}ez2{^{T=*Hzo~qrstAzau>jJ_LUQ4NT?VHZq^5|iU@pKuFGTZX52GtGc(pA)D z!hNH|Ki!E+*>THg-~M}rdq2b+Z?O8K_v|dg%xRig3nUpYW*5G?+}0<3CP3n7+n49* z3pcGY|Fm#t`82mLZ*L^VhqkXOGS8X(Y}#-CGkRxtO%$`bvUltAZH}zZD)i0$zu7lm zo7O2KKeJKZlz(yWVoR@c+qL8`FHydnU-%^Xp04z{udR3crd!8M$YZ%(H18I}q`cin zW$(AVwKyw$PnTbJuk3TpchmlfvDy9NXq0OCvpGdJJ0?=T<in+{%o**DJkHiR#ul29 zXN80QO*Pq9`~SFK_1^oaX{D;*QL+Ui1H*DA1_l8JPKM;1?D+D`s(6F=;*!!Ny)t;B znL5GWpUF_*==<NA_X0ULy>aSkt5B$VnBdf5c+Vq~z04`oJa@W%UDa(?(d48jm-d|7 zbN{u^XPN$Ob{27=j7R_8ed~Ku-+Rx-w9W66l2Z7S*BW}W^-ES3MF;KGv1b>ad_eJ7 zmUfRyYx=Zvk2<&Mocu33Wm25hw*|hkd^aMlW*q8sZoRdO!8M3mohiCm_4qWAUUmL@ zgM~`+2NTx%so&5!>6oyvH6}Undqh<G$t`osR(V!9>DDN?d~!FK-NC(QQgUwihi!$E z`pzF^Ss3EIrTn3yk5-{ltKH1%o0&x`uYZ=^fBtv4=S?+{yW7M<e=cQ<&$`jtT`#!n z1VirASywh5U$#T;Xw;W0zCX5zpRi6}?QWW`FWk;9^5V(<iJyv63M`HO@E=kWe7i55 z^Z45Rzn8ytmy|61bL9;)YH%CnAD2;LWMGJ7Vqg%)65JUnh-_Lr!O{P)0Z;4upQ3h6 zE~~ft2(MY5Is3vA#S0G(=z0Zhj_jVKS$O=^diA%ARwi#n+~?o_ym>Rvvws)ZZoQM} zI^OOX)^|$C?!+YPy9ZCrlu5gGXjyj0hJzgsJ4*F7E!=Rq<dET+SBs0-vMbbtZFD|( zust?a_O@Da;ZWAjn6O2iH^P73{_V6beqLB{q{!q#yXf`WiWdwExsSbjDqee9FhGQ1 zy`8}whgA#Q_dR4<HbL%3>B_b(d%WJSzw|Lx>XO|Yft~-4RBiVEzGKBJo0Fx9?Ymm? zey6_P?|V6(b+fYDVPA)iI~gD5KVKejrm^HO=XSrW)o0?e>b<_*s_s4&+B_{@hkw<y zc9DcxG0Z#PZg_o1gZB-)|3e)f*9R98R88_umLAmph!(aJHXh{HWn^H`U_=kwg2bZ4 zT$Cb^QG@aNzlfZQFhdJhCj}5NOfv;h3WkOTQ~z2%$eyCh5+N_c=|1^2dy^>l%Guj* zcg;wswCq~&TD;&!N8xSOPj6nG=czuv{qoGH)U$e06P_;p6Y^j1S5M>84uRe7N{0`2 zt>y4u*}q-a@$ACuY_nXl7tK1Z@9(!kwXP%RjnChidGh=o2e;~2xTPCQuyp)gC9&E2 z$Qzzwj=k^JZJWe7^<{;iV?Nix2FddbMa9q6nSvROQtFasZQiE&R>DVC=FT&LV^6{w zcD!-#Ips0uRm%R1o}5EvY#+>GwQ{#IXe?aia_o_vV2h~E%xlk{eUY1}?ebIkasv11 zKF^aYSN6-lnS8ck!<E_sBMHL-HKo$0*VlZv?dM-4|MhaZZEi~Shi`{Fiw*`J*dnoD z)vtQgBrG@a$?R_o3=BEU3=9$|NjNhvJ~=<HB(<VMuOcNGUUKZ6bU&-vK&1WsZ;|J$ zN?Bpm_8S!@FDrR_RH8`Z;}y@NC7v=pk9O;?72CD((!m3>|1^I7eQtWel4WaEr)-^6 zoxpx+s+PhB+xy=iZdK`KU%Iw&@tW@urjFD7Q|4=|RD8>KR5d$fwQ6j8#NrFD-m+&p z8!!|lgiLwT!Ps(O_rI=ZYi~tbe5+l5?VZ~DoF!4#eL~!OUuMq!=c^^-+T|W6ayH?Z z?YW<|ns!}Rezv!9?t1^_!JIQIU9`=szX{){j-J>W^5AiroqyPlx=6JR0^LufSFhid z@wcnPSkV6O>`8XXyId5LdM4{Mf6X#hP`SF*dBxqc=Kl^npR6B%QYFuv<|e?x&cL9< z%fP^o9B6vQ8Hoj{@UY4aKRaF8T`)YmeCeeOm91IdoU<P-U}0jDNmpHeJ^O&-;)@xo zI+;^uPTZTV(7b2bUZvB=Zr-h#;m^!z@laX9>A-~Gyn<Hu2acbLK5!m-e8m1h<97>& z<u>vQcU8MxYI#$=dgcA~t5&aGwYs`$-WgS)|CPe>_hjDoeVeCS-hO_{-nj?2ChoMe zkcp9!;pv~gR()OUefikCmOA0#TB}ow%eU)1bgZneFt}4+Rq^x4#hETj9~u6t%gtFl z|5Rk`iOwT4{{|TJKc8|TMRUjR_vY`?-Z%bttLNb^y=>mR>$X*XdGoBj6PsDmVm1rs zyytPNmXUZ>%gN4uyZQ8C85P6e?^Ry04U%WJ&562D^s4Okol}Q(vV^=N8g>S}y_t9S z8`p$GYZj+S1sN;!wi<@{Gc#~6w0USGy!%6DgIihFtrL8T-Ib<aTP}5Wow;?+?X;E? zU-87LDLg0qYg+#aa?R)uX8W+RU@G52kF>xH#a0*3;}b&#dCs&>N$NXbqZhR-eP-!y zxoO#JqGklSE<D}N*e#NAeu?1Ly2bBuf1LG|ohG2N{h_di;sxV^jt3ihs#av$-4Z_{ zc*Qv~O5|Z}NS8s?ryE5@1^j78CV7UmEMCIzJb|aZ`eb@fh5f6`VwD<;&HVO<HT-tr zZ;7<nYIDc`mFADe4arY9TKK!3u3{CR?YCQRt=9*JbK1G9FU|;(_^{A(`GqU8*Eey0 zNW5C8=w@N|u497H1LZ_s^FQ5XS2xyd&OPA1(V%gYndOfeD_RWRR4#x1(BhNloUf~b zZ@<z#*qm|1%JXA)0h3|coeY7!Jp2z2?LNCSjWg`Rj1)1KKTIJTB%Uw*t+lh?l4FGl zhq~r<y``GF4}ZG*O=*qNHBa&7+y!1?D}^;;8Lz2)b2{_TM7L;3`7gWnQcn$LC8Gki z=N&K1r#*O_!Eun^YT5JKc`uR$?HX59tk0dd-FX+s{3U%{v&&sg(ge@>&FD&!J0@ex zY#4J(^kHz}vZMpbNk?ZjUNzYryIb~xm1Kh7w{!^&r`$b~$4^EW&0KKp)84Yvn=G#c z_BUjls@yQKzq24hPU^~zweKn=TjE=KE0ngJoc+<IBeQeE_GQ99OXe(eIoIj3ZJBUn zo0*<ZadI}F?3LMHPkuY)EN+^A`n5%@p%Fh{mhbsBlY<V;YbZ5t>URtHvXyb&j9tPz z$_{7EpRC4KVdytkd7p*Z3h7_Vdi>k%WGxr%*VL0er>)SWbAa{L5`!o$M@BP4@1Q9T zV%rSv7B!uXn;a)59#||rIl^A~fy%Y>ZUILY9DHuz+V^DP*N8ozdX-o{o)Z3C8TkBI z$y4^iHm!Qif8RFm{>qc{IA-^Ib1BIkI!p7~4-1rPvd(+IO~>mMSDNnS(x*&YcS-K( zDxTe!otv7#{@m*C*<)2~ZeCV%8}&6_`bfzgmpq@Fo+y4fY`1<Hce}pV^GBl5+1AVE zx7p5FD87czUqK)`^7fhvs~BnKRsSXnu9%c&&$OC_PiceSgMg;Vp)Vh-G*n>scXzO; zP-8lDaI;{<cat4`nw(ZvHJVROZs&`imXI52_3xC#gvaaM7I+=ruhzbPZP}&|r9Rq) zw*2NZ_9TR?dTnCIe}6{PmRI*fHdJ{%ncJT4>v+j-`Gb{R9$M_@jc1(?OfLSA+qy)z z{k_4NRlIi$b*IPWn9qC>-1_Vx>#kob!UA%Alf>duW(3XVe7HO3Qm}8Kj%?$5L#zFV z_ddTgF@e2q@;vFYLN)U*YD(<wzSURix995ITS|W#5(9mWUGH=28^)-87CZar|6-#L z&TX6Z1YLKyif@owD$~Nd_+Y=pxvMYAE|@+z&hvTM_N!ZCs-5qiF#2G``!-V7n%_R~ z=%T9;-}Q73Yx6vxJ5PSgE|bOn#(}p(o7|cY`>zbix~iRBT3*XocWlCJUF#QHoBa~< zw%M%uz;tKchPWd|KP-9G{2eQjBOBrkJ}&DK_h=87T=x5JaqM#^^T;I61*c!Ez5cJl z-1`z|#p|Qf^V5FJm(2eVE@A#7dC$r4pR&j5kN@EM&;3}>|6{ySU90s{7p}Z}Rlz)S z7(G6?Xa@SZI0-dqrui+dHk`cQDb44k;^Y<<)`?B~|GwgtbmYqW^UuHj?2-rH)}P$W zW*)cq<98FwB&j`Lf7$<^`fSY<pNX$iGSw=3E?!W5yH{HA<V~CDH#-#iRKssnJ(`$e z>{!DUw_Ex1Tu;salPi~o`H4K{II?j;${PpQAIEQNeN#E()%EgJZss(#G}ov6mv8Ux zXJ5S6Yi6L1=8odYY@Hr;pZ(sg3FEZ4U32i;x!wEBR%yTgxpm`&C9h;f<ApUVet&!Y z{z-~P{f^(!ih-eBi}yAkZqM+WWh4<>_3BipU9{F~sfxvi7uNs1HR1f3EY5i<Zm|h( zwC118c%)VMNhO_CcenMf6yEakrMk?yVL6WH{;{LhoX=L;rp)DHV3@(hz`%o2b5`WR zt4+okT<KpUkeYLbDXD4T=3JtM0jp!7^bFHiSqro^mF*p(9A9Uh+aQu~fAgF>PdCog zieI%+wO&QXZsMyKRRudAY~l;+i@!UIb=v~>#2YFT&xhReTXuh$0-tB-Hks?&gLeH- zTQrBgKlI*8lQ^*_Cc!Tor+<pK`5ZVwzOupU<RjPMitVCV4Od?za_re39Q5;R{zCcb zleU+n8|uCCdX#j9b;qH%ZEBpS4_DZn{`cGE$ARf)?z8Nx9^UzTfy<k*%zWvJ70y<l zW}SJ{5mdjIbNwFMOqoyBo_CFN%DpVPgR?xc<rq>do~<)B6TYIO6t?sIll7U_DK_iZ zlro=t?(kq*SKcZKj#)7c*|!hni|umzs^c)J)c!_<+?$?G-_Gr)H-#9kYbkFGTO%Ow zRAR#n@$L8K2UY4<FTQm}prUe~P{!roqUynlPMVK7o(0*=y*Q_2L(;{j>Ia(t5B+tV z<!$p}^}ZC2^pmsI*pxMOO%5dNyR>9k_xU#29S^v<Cs(<&UfpN-^ib0~CC12rx2)%x zJa5*|=0CXM&BPZ*bv;{icYOPP#8Y{KZdBxvw$Sur=Qp2a{<3<>_9Vvd(;O}>d9_PX zd7)0A-p74&#l+T?R;Rv5t1&%u#rT6-PS?+dX2!N4!%JslR6R0ppPl>lG3&mc0`KAk zj(9!_%3Z@KG{tKp_m-WZb(i=3&rVkl{v%RpAUn(YfyxEB?`@}(+{9RPWS1rzXltG` z{PaXN@Yc%G_}llMzy6nB5pYrO9AD_E9DRu^OvcYw-s$B(R@D%9>FC6%W+pwi9qZ31 z$w}6`yw~9Vr}%#E2bm=$o0KJQmQ7#RcTQ%N#ea=08;W*{JWygRm{@!E%Qb0PVXfmF zj3r6yedjLzefs<TcWj(jzPfB`KR&5fG&fPrziZe04?j;l{Fx*>r{m+=^@rY8*U9|; zp`|4Bv@!WlWXqvjlES*TxaB7OSL^vIdFjq}<!2Z6YAse%kg@yeSQA}vX~HYL6~C^u zPB?a=!IewExF)81y2Ew0>5sPE-8#GRgK+HMXLF_stmWRa>GU4|Yj>Dlc+3kn-M*;K zVoiuLbH#=gU)3shy!-F_AoX(8@|iV9SGdj;=(E>8a)*nrL;c@Wd%+_fd$xvJyO=CG zS?nAZ+57pomQ1D8<EYCXj;EjJ=db)eYnE-0^Sw!|PQOAMx;(>n{1WkHIvgSXsAERW z?fVv~8nSXZWt@AnnS2i?hWuQa;C(qXK|^x$<hA!Vgw$<VkXt#Uk!`}Zgoum6V*b~3 zN?(XSR!F)3d8R)1YK<_Rr!W8M3l(lVdMz+M<C~#Zu!Y=aSK(DtRUbGPIpjO@rQa%; zTiDtm>&398k?Y$m@gIhd=h*ly_5Zo+<nFf@ssk6iEEQr}?oq?4B{wsgQHQH5gHe_5 zVCeOyaUWPVRJl}D?7P+Rd1}Nzw$_eL&+k_I{+Zv}wq@C6qqH5-Eyp?|byUons}y~w zH9uF+*;QiH@%yX!!-t+qJN6b#Y7TmPtif-~1?HElzWUD-*i<`HMJ2`fqm;d+_SuVR zW)mMDv97P>N{Gn|wF}#o;P)b~&R0(F=z>)FeZl`dSGX+8yx*9uEUxD=lQ+~p|KAy< z?+$0Tuij*^EYJLKFYl|;-B)95D!mtTr)A`I|45o+|I8$@tcQJ1{iKM3$mxM?NBbsh z(^<M<){BSbqP@CnS+sw<ZuIY7-n2&Xh2|bM*Oqgc8b*TW+JAS?R9;j#TW1TS{Ass) zWqp%=HB~B3U(DC=gVpYPOUt}33OkqU{Y(7f+v|I9=Kr?k92s|0R+;#gXlbzhv*G2K z>GU`5^sHI?F7a!e&R<#bNOa4`gkJ|selk92WG`Cn{!lWMA%vafTFRqm-z6R&zrXa= z>R<MytjqpnG;Mj%TG99XMC8qTvCe`G`5srE2;GP_Fq~X$QWG6`*Wf}-4EJ+`#gkjV zUou@PV|nG2xVj-j@*}S2vr?v*%*$LOr1tSn(S*Ku>-={K5j6q_O0*Z{wMP73*i`DF zD|PMUowYCdRxa9}t2yI{?DtjY@9euX@tR4YU~5OuORc5n^=g9DxDL(q+4^Hg$GPC( z*MThaZq=&Di_F=(tSi7O&zkqsr8b9$cOLsX7^XRNaaS5~eyA$_D)6gQJX4Fy$I^5D zH<4AlI^%S$d7KwVuKQwE+)^Ozr(ZOSb*lX$qZb~T=^^o|Rt=k{vL6a`d|e>Fa?<&= zA6RC2zihK^o@}Oe<BV^g!i>MCc}$kp240x;MD)qA=Nw!%A#xD{p8sc`*(Ybv>kuSk zr0V%Y^2f<Nsf;4c+y!F4cJ*xh`c6Rp)q=NinX?}CtEkz?yZtIyHqrie$GoY0Wos<B z_s+Z-Vkvsd+Go>SmigIpUWqOfEMVClwNGPWwvW=89~L~vuD;O~J|XXQMdBJ~r?<J^ zroXNir`?MAA*!BS+dScRR*~4zRM)fFSvN&~@7DWRulDom&+b`Ot%V9JFDC~)x~QXG z-Lw9CSm3-rJ8gH{?JwggO4ZN0Rg}OjF8R*+<bz3Tf<IS?3bXxOzD(rImfchQB1%f# zWP~Hi@1Apf+r46<^M~73OT)Mtuko)C{vyl&(6Nc>rgHro2HwtY^`AjcYY&8RFi1`f zV!WEA(;XaFp1$Jwb%w8Jw6pFiITf~D3ca>L_v_Yq=fnM%rDxiGjXv|b_QNtu#=BGR z#kAg0{eA0LvqnI@j@a+!`R&0RQis#lm}zP+tZsiLw(!BP7NgLj7c=hoUcMZ5@}kJ> zEh~ci_OO4Ke*10a_n*p<t3PNp9DALkdoJ$$IYwr$@M4qinoIbs=bWuSvCMY!AC~Q} z!osEZv&`7B_0!z4%;jo+&SljV)BMj!#~r&Eb<FS48TH)-6+7j>E>q;R<h<gemKHWw z$7SPM>72L?F3aC1m&%*PFT6jC?TuHLbb9_qxr^$@zW>fS=^7n4Tm9tPJjbiw%7oW9 zro<nSUq5y2msWlS=9*q{vAP_&%E+Z|Az!<NB5q{oWNbXGw*RzEn%lldFICeHRnFe> ziS>{69v`j6rsum`7+hl5izMwDe(d|1v3#kw)eJUv%cU1$_S%+jna1R@TIlhX6LXg{ zc}^;lJN<a}0#4WeRW9FpIc;VxY5T&qU*ndH?Ioo-j2U-MtUvwj_+gI5-LV&R<X^bW zm}4T6lM*V)s}LZ<V18n@i=0Q`+lR>;uk?1T_1xd{SVQml={uX=yr1n>@beCn*~h>s zJB~HXy|}d5@OHqAh`$_S8^6g(#C_elhVxdjk=~036)!ic8m1js>=Y;E{W>O5p_jX_ z!oK8i)6$7*jccwn&ThS)CGS#LexO?I1ViYRnO~p#eG~nZk=<MwbNck+?XPd_w|u4h z!!oR<;@Hf@g`c7n8;ZX5dQRBd^~kr_d#?7wFNZ=uo!B~~$N5T;&Xub#ZtY7sn`w|3 z^Ka{mPp;>)uWvoGeBRZLt<rA2>kog@d9hS=rRVLBzU{03Je#q0!p0TK$8)kB6RL7Q zZ%7dneZFgon7r=??i4|O1NNi65z|w*{17>^b(fje=2(xIt25-%{|ZVmyl;{`G2@)i z&5hTRX8eeZ{3+=srspa6I5aMsZ+m0$fki6ut!MeRsGY3JaNNQmT{-W6?z??wcx|uD z7mfU0TYSI&+V*SHW)}A{E@a&m{xHn$|2>P`7baeNvTUEt6mi`6<%@BV;=<ps_ACQ< za)yDEb7})80|N;2qO@mIQ_|oKT8*vxe-n&swt6%C3SA?hbD-;tO+eSn-aRii-}Loc z(8RKCnfUY*g8CI+L9eXxdKfqEc3s7AT8X12Rg~!$!(WE~3}O76uWzj5oMRetc7>~I z|3TmR)1KJ!7oYpS<}<5*pKiRH_5Oo7`Pm$N<<I}e3nux#`*~Vs&(7u_y!UsghI1Xe zzd~{X!!wq?cBWnTil)DeV0d=-v^Zme{|3FROP)+@*?$}-IXZRh=bgBrqUYS6_H*`I zR!y9L!|LChbEhRwyENR75MxxbR{vkY;UU22UZYvR*>~SzKE}BB@8izt=<Lh>Q#(6Z z=TU=)UD3loN#(QE!o~jQ%51h=!LXO<i(%d4$`?P|YMwOTzh?U9>AJ%AGrcX=a~RjY zUbr}+;=#Al8t<HfmUhz}NjCrY)a)%jvGG~_$2)A1kK8Km#22;CoszZcPW#G5pVNd( z*OvO8nw7IuEoYIz^d8mwD~el=A9c4|l<cT|^_u5dgD%?}-4CL6r09GXdRWNQR(?>X zxaxJ{4byj3#eL^w`yOn&Uf5B|a<cwJo3o?c@fqH0qheXYS|6CtO)e<jkY2`eUnqQS zMCfUmv}X<%JtIR8oA?}-c@<N$lvmVLRE4$s{IfY)t2|dnO^v#KWdqx*RdQ)&l0h}c zk`6jA5EP9m{&>CSPp(w)b93qEvz1MiI3^iIo%$MaD)p+T;hRmjnwG|JPi*U2b>^j) z)k3w&9H|SVw#H53NM&5SqHAfww4%Zp&(Bq!EZ^t&T)y~r>*IBsKj&|aij*ph66##? zpyG(cV_{X1+TQs28Vgr^?6-H1GkCw@-0a5BJ*|yQ+#)*v>};>h-p#P(kaCgB$!jMc zCW*}Uo#q%Mndi3Fb!DaRx@CcDXDNNjn$>f@L4EPHYs}_O#;a4qH?u4-TP(WzURPH5 zYF^RMm78}-2t|Z%otze!Q{*{G#Z;=-O~lo3)0FOWotM;Jxe2hG<nYv--`2A+Fp&RB z?FJF6?4{?l*GjFQ75L`Sh1+`PUh`I0N6)#w!CSX?kuT2;V~4Jo=s>mdODv^9x@Cqp zB4wAE#`<o*+yC26!>RwdpzRapE~!aPZ)V<|Ah%M{)tx1Fn$g*gwHm=K=Vtm|p0%dT zmXWKiNs!g0k0Y7$_vaJ7jk90WFiYm}U904ek^HtpGImCQj_%^A)uF;ivwipqpX=;v zmtdMK`L8IjU-3<Tx8=SEJ_ieI4#YmYe%q+(w}2Y=Bu};JP79_UStYgPu)>br({9{- z8j<AfvEBH10?SV$DaNJ(X|XhxCd+v@AND>l^KSph`mpgy=i8+7n=*S&itn{NV=CSB zI#TJ%&Uho273Q(~gr!@Icl>_!$I(Qs`u5R>Y>aU-X$sr3*O>pvJ;!*cc)s+}-!Y!W zg6ld$-!HxC>Ke%6IN{8Pd6o&+AFdLa_{Bx=Nr8n7U%T_c72)S|POCO8(&$p)bQDlo zJSFIww%zui5Y}$SCW{rDKKmFpr=_{6cydiT_K8!V=TP9Bry9%ng%UPea2+-fIjq2v zDB#4Qcuc~HL$O65mB;B!(XBMG%x?2!(HVKBGunPfpI6CCt7R|ow|%(ukEbZ}#aj`T zwrBQ#a4UKw`_=l+wsVc$Q(f;Zl+~_FnRC|W(|!IaYo;w^nq;Y%5Ik+yLoG+no08c= z*>=m0&Wy@^v+ux+t>vko7+%fHh*|zNW7?u$5(k6g4m$3c-*{=K+0{oP`dQBc*v`n? zy1UHJKIL!4etwNsM?#yL$N8vDHZIEh>VEEg;q9dG+xD;PKEqktQobw8-OG?_*!RnD z?fF{K2XhZ?*fD+HOon$xpJqKgoYLgPzigqG&)3wo54ST^uD$$ad5`{q<T+2T=3cEf z)Y`LT=H$>h0&8b2e0=1D)%WRX+kDzYtc4z$Pu;)dpC!Zc4=;E`rp$cvUA+FxA%&L- z*(DPNf63PC2hLugc+DWoAY;Y_Hk}!{a}8MTNKblZd3m`^1Am$Dlj3fFABpXv$2Arj ztjT)gz9!aqR{R?C2rr(lgUaj^c_&3K`IT`-rIWGU>(t#thfKP3vX6>glJ4=>=hyh| z{ER(kqVtJ_1_n7FvBzxTiTiVI?`ke9&N#*XefBPf-I`mTw%;}{y&1LjRpf<uh0o`o zv#!uJ<`0$>_h?gO`?L8#M-wMEPw5ZdRoqVJ+CNO}l2`wIB`svRP=jzl;I^{kv6U12 zKbp0!WV`mf^4_`~f)g(BKb^?Bpy6u6;&AmzGmWP8zqJ!K*c5s-v$kINUOdA_PHE@A zcjN-<H}$!mPLBD@&@N}urh7h0^h<Qf9@Q1EYeMcivOnK!c2bpfeS}Djk-YLXX4A@& z`+TXF1($m7?Aqe=dbRkUcL$=47U#U1P}kJ2fBCCSsdxIVMe3|qwUn|hpFI+*;c`08 zRd!9~u9E3<Hb^UWPP*VWVb-<lTmByKRNcWAeDT)b%SFityuR&P=($PmvfZkLD@%18 znp$?KI$U_j<|6m!+`akfF<jG>nf6yswR&{>+LF#o)|wMp1U|;BS{&x@d)eb@Tm9eg z<V==o=LlVnVs@MNJ=&+P=r3joby9SDQuuEp<3y2nH>b23ZLI&0wD7^(GhIIxne*@c zGizC0$|<8b;}1V>|C#RKXz*$+b7C^X{V!{mnpCU`R28vpzHwIJ^Mo_6qjvJtRq^lW z3XoQ>oIB;X^+m56zq}ra3w#MO+BGA=;RpAW7K4DbO=4G)SGjdOu%52(AUgH7!&aMh z4h_!&bnTPdR&2Gj;(hD*JfI;f;c7q{pUetr*6+%Fs(Y*s9M2XqIK;s=iCNFGQzvYy z*E-n)KfR>_TK88hTyy-D(*%R6lG76;|MV+=G+Z-9F8u=c?tQmUZx-^qfA-q%m0U@N z?~M)wEVOu?@9}eaXR|sRW7J7MZ3Q{|eeB+;Ut5a3-kmW@*=m(l9dC7bV&~#orL=z5 zPaGa99Tl4=20uHb_xbsO&gvN~hb&U<8CO5KqT+O0Lv2I$hl9NRix|bO9&|gkv$ez} zMMtMyn&aii&p%VER?9i`G9NWvk;mjJ!kwDI;<0U>muNy&!ih^vmU5Pt88Vhks4*7f z345E=v(_i**!e}?TRMyL11;{wpYh|F+_2=q8Rc(2?$;ycyyVZBmmIIN=H|52;>+(Y zTRN^P(!TrS?2*Jr&5l}EDp~%yNw1gLw&%!9R-XX2dkS791xEz7e2Ra2`LClY`wg*O z%A&>lM1**1u6RGvu}WDtt+UYBD8Y-{Mw;c=((}v@rcK*aCtkI4^Q={yBoZRtavV6Z z-FIixwl}}UUdD=B<qGbJc(SK9qwBY-^unMGWsI;k8)QLE;BnK%!3+!xeGCi?d?;<U z%)I0xc%zN`<e9T)y!*>Nbv%2@PwRJmKjW?Eb=LQ+w&$6`(<k-yy>*SQ7@HdfY53`$ z@;P&IjhD{JGv_yN^3w4-cS6TI)W9U%Ai#h*N#PJ%Z(xPQrHl#O3@~><+KwFZn^NDg zGBAKJYTL0WB@ylnaNAKzOjVyj%Wnn0lR@qr<^u`Y5)u*;B`X@)jwcu_*zu!t%7&?X zg`Br-6$#pTBs#bw|NAx52b%xXR|>|~L}_ikX}7KZsOz3pnKBzIcC)==c+2pNAuM=) zY=?1|TyMtWb9v3#A%B-v{957udD+5=iIF`L{|-eze8U@Q<;*LVq+jvW|B~dh{r{eM z`HIij$e(fe;fI9f|L@)2BEVC>E_ThizEuq_(!J_=W>WlV`s%jtlHR0f@9jVJKx)?2 zIp_I8I~5dJglxNBiYFPJ?tI$)kmu6rL>`vYTH<FH-P8;eX<cd;mC4%Ee7HGvY0v)S z_cqIx6?Qc42>Z%<{Qb%E;kRCXy3WY|f?ZziNANb4Lnn6$?iW6KUhwCN<Bfg>8(sKI zRJuaeEm|)$`PYi|A{U-@Z3{7Yx7aP`ZHC37id^+mI+l!)S0$YKZmwaw`9mV}Lyy;z zPGJkZn{O;+e82cyy&NbS^gQ|SV~No2O*bQrR%>RSZn0Uw>v7~vkFxvw>W9Y<nwqV4 zKhS$v`OtFb*>g`C8m(TvxPbMsnz*e&YvYxs{MlD0wk(YFHPosW-xFcB+OliEfU@o7 zHFk*~dd|F>v_IZtwX4qAmFx3%M%31p$?%>~?y~Th&wn9p*R)94M>D&hw#s|%Zs9$V z{CMHd2Xkx|N4~Aq=}}s7`J!fJTUzP!6<q7S?u>X^ZeKs8DSp+l2`{I9eBEH^aK1?8 z{;F*gCWf9k;UOn4r}O{isXyX}<&MrtkKXFVA8x{UTxRdjy%iV3I!=mr=}kR<T#?oP z=XPb!T@BG%?+;vAbeHkR;*4kiE=67x%i9>DQ_s4Earq3NX`e1vZ9Mg7@Av7yU$~xJ z=Y8yH$f27_7gO5212ukneOMIm>dhn9lLqr=X?$1cyICi$;Bdlp%Ch-W{;zoXJhZc* zW|xsexbmOL_eBrv{JoI-x>kMvGl4q+TaKhpDPa&2&i-W;RJK^<CFA6aEEl)V{TUe- zJ!vX)`b_t`lR8~D|B?;uoPAX?Cb?_|Pbj122}85h_IfjPx{aMr8Ljs1yXba%TIAic z+RxRE&)ryaj?v<}z<!NBwGNgoN0%r)?^Q70c)D$8-b<#>p}yj3iyKd-gfA7<nsWZp zgzlnWFUp^K)buUdcX;YN@kbT9?cGIh_sYBHm>ylP+WB&i##GM#{nuU=ip0(>@F{H9 zvX}h4^+7yKZsUvyE%s8I@0sowC!J61yf?>Gt~cYzH7)Bo3nU-Z<YxT)+w=0}`|l51 z=Xb{$*t^>Pw3qq4()3Nr?@FDW-Sa9;776PHZgHKizO*2B+IPnQO_{SRXWlQ2teR29 zdyCQC|C+LWUEtmu(T;p?)vYyON^T6j#P`qph0)RmXJx;#$iCOItPT5C1THjk(=;(s zX4UlIJ}i;IBq*t+RVDObqNC7Z0|CVr33m=*0p&j(4IIf)A4M1sYn(PY74&FLS7oEk zbCKG4%CUDAWH<3nO_SYnYU$1t^F?Y&D^hN=Z`@oO=-IL$sQ$TaVe4z>&rdE`P3Jqf zW}{6tt5eXC6_zb(u4kPpcfWj|ATQatI^+}MS+)P)9y`toku%C%ZQMCquCn%5yHRw3 zqs!lJmExne8`iH}yujdrXN}Y9EfGeXf*%|9wZs)IS#ipqC3CXV83tk1L&sKkh{RvI zl)or8`fU938ycd)2e!B9ZoQ_rvhttQ)%!cI+~qqbRd%~9;_2brhdQ=}Z#s1Pp>Afr z+u2qAQ(09HiO3w)k-4f;^mF!4Azt&M&+g7*)5KMeFJkLEc+F7a!+{c&KpP>x028C| z{%(=qVm&QyLuDo1O?&yIXT3j?9KXliA*o(MO75}agPM1Dqpt*S{<<JZGFCxFHgmbY zt#MJLso}BP6Z?uE9t?c5_xmQh-ABY{*r```J`ghgaq3|86<@|VR<qBQO?Wq7zhTwJ zCf}K^&fk5K|NM8AoFSLX)^NI8L^8pJQ^Gw@YT4HGBICN*#;?|}iyGYM-G5NUH}|#! zL+0G8tnt}Lzp*Xdx--Z;DSrX$$;dtnPtj#kKSZBRevx=FD?Q_f@|y$()ey9r%AlWR zZygf@!y;A&1|j5{D!wQsQ7@}FA2DavJ0;Lx$xx*A{$EjZmDw3d=@LgAE0T|{nXR$z zz$vw3KBvAgUG+P?ZEm2+zwf!}F^*-T>MAYs-p`wNFZx*~`<-Cv2TqyX_n(Hm?1@^J zvX<F@Y1pgdSsSm^m~GFi{K~xOTcD5BS2yOm!h=r_?<@9Te!<1a%r7Caz%f>VJ0bKb zcTK49t~CpcT-l!6T;-~Y_#kqYU2p5(&}9>YnAQc%*2;a`B|b${gDGFuWy5Qsqi1b@ z%ql+kV8gwx+ixX~)tT>NnQm$IO6JJiD(Q38%JQprzPq<rY;X3_>;~tKMdut(*V<I? zI5$r#*ep$}BX&Zk+DAv>5<S)F#vQX$4(a}x^W@UA2a~SOc4R*1cDS@Xd1`8iQU0RS zfhs(_^G~*TX-#XBR_Kwo5}aX>^)h6|Z<eiLQYZ443Qyi$v^S>tl%Lx7km-^q)&>e4 z+Ii|i%l6)89@TS)K2N^)(bc|W;_{e?UY6W*7E`C~QQ}^kcX(ZNsQnf%=5jURWsmfB zKJWLmHT2GW?kU2S_bc&gozPCR?Ma*Nbxl~>ow3%(Sn7vE{;gQK>+}Clt=9hix#Fi{ z?nSq~dH;3W7S_(ZdEt3ccK?Yi)vqxJ>koRHHY8p(YL8$4u9CBN#m;8CvtFxTbzC*L zwoT_?ckBPf68(a0!Fqys#Lu?AEm^m8Q)gttspT>^c_$>DbJ;ZYk<rx`QTZ!9jE)Li zDcQ9%w?ErQSAWBu%3#?Y*~g6UoZVqkEtioa^!Q)Fch}of+}?XXzFfZa;_pijHvQiJ zG~da0MZtQOa`W>W92jehoZ3?M@X8f7#@jWWxA|&$Uv$^iQj72T$Istfw=uf*ccq!* z+cuuEbrUxgEjs(^+^^?9)1Lq2hc#><4Xl%y>hD^Z7#RGN7#L6+HswfzVs|4i=G`{n zskwhWVv%dqui4kOHSAq;fbGria`)<!0ZVQOrTAtnVbkff`IOSN=*s-p-{s@)@7wyt z^=j9;AEI+-?++7RyK73`;#A*Vi`L%yxG!UqU~=qrquUMhm{!(b%bcai)9mXd&Gx5u zU1{@+8!EPk%I@y4Kk=(+{sYZP-t9Ls_&*&HsXGyyv)DgpOrkKc>^{@#5cdd+=f zw)~C%_b>Xu7Ju)!Vp}(JD$~mjvBVF1?_3r=pTn1XX`P^+F;D68bAqSNPl(-_*I@AH z8^?x9Mgxx-%w~@ceP#*U-1|vM|EXxz-I-i(#FvI14Q|+NBPu-q%}H0TXS42`Sj~T> z;Qmng3B%JDh0nCxlJt@U63@FZADnqn*Co0@EF|{OW{(#Oy6^0DHZFW1SnZ=GvfX#j z&r_XevxQkrK7VHUdNHfOZB6@xg|RD`H#k{t<Sm~1G$f_0U<1Q3jtV^iXJapxQuou# zn1r`Zy}tarjKk$PoodOoZ*G2Siue$F<+IuKev6#18H;DN+@GwmOD>Cb<HORjA9q(g z?zr&($>Ye`$Iom2>Iyn9R@D&I;bgN|?&)cV)YWg>ZrGFr@wxY}3%>d+>G#^k*(=W6 zIQG}{ckX${qVM-_$fZwRnQr&|vgVTyo32XylA3q-=zEE|Q|G=5{d;=l#Mqm!)!s4L z3C&iPb^XqAebV7)H)>>l)C3oviOO0O-EcfoFe~S6dX2f$LrpX1#kZeG)RY?XGtH?~ z`E&B3MCRR!a6XQHGp+sB-c20e85;t>J@QKCem`eI`lIbnJNqN%mT%?m@%t~n@7PZ5 znG){8cbk-a)>r3g`?l@z5<AKK{EB|O-No6ths@e<v2Tx@RNjAW`#qtqmQ}%Le{rLx z+iUC+0u>Al409RKmYnJpr55Lx7A2<^!<*%?XLs`*GT>=_c-Q~&orBRqv-oagO*IpW zW)D$hJ96N+w@Om7_5J-a>!cMmlWmm>?mlY2-~asY`ja2Cj#k}ul3c!Rt9|(sg|F9M zG{3a^o6=LxvC`$`2R^;mm%=u12%p#dWcR@)=6gu7+-a}A9=3^&9uu7+X8g84Bp%?+ z$RxsyYj*%I0|+oOFfcSUf>`jq0-TV&0-*f@=q6O5tQki(L5GO}%L;NlMxm~UMmB0T zGp14C)zNrNLtOz3F%9IYhQ{wK$fhB!2gYL>>OxnDX`p~Q&=|*tY#REqS3E|dE(3)a z331?Lb|fQVi$d|3gt{yS*`%|4$R>dn$zU}G(xYTRnT<d;X09Z%G2pogtmZ%paMT_$ z#2ipqH8ch)Bbx*1FJm<b;vf!`E-u6%NC@3TF$mn@#cB@3L8uKOh&d1k{nkWs5K^-U zk720Q6S85ObdU_w16Njf%t0+Kkj=@`M>Yqk@IWz*l?@aWybQbyCz%--WDFP>^cY-C wT*3;yG7Jk%LJA9VBiyn*%e>88T#Rx9Lfuoe1JjanvvMsh!?Mdl(^5ih0fJE2VE_OC literal 0 HcmV?d00001 diff --git a/src/ip/clk_wiz_0/clk_wiz_0.xml b/src/ip/clk_wiz_0/clk_wiz_0.xml index 587244a..2c31a15 100644 --- a/src/ip/clk_wiz_0/clk_wiz_0.xml +++ b/src/ip/clk_wiz_0/clk_wiz_0.xml @@ -1570,6 +1570,24 @@ </spirit:parameter> </spirit:parameters> </spirit:view> + <spirit:view> + <spirit:name>xilinx_externalfiles</spirit:name> + <spirit:displayName>External Files</spirit:displayName> + <spirit:envIdentifier>:vivado.xilinx.com:external.files</spirit:envIdentifier> + <spirit:fileSetRef> + <spirit:localName>xilinx_externalfiles_view_fileset</spirit:localName> + </spirit:fileSetRef> + <spirit:parameters> + <spirit:parameter> + <spirit:name>GENtimestamp</spirit:name> + <spirit:value>Wed Mar 05 10:37:38 UTC 2025</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>outputProductCRC</spirit:name> + <spirit:value>9:72f46ee5</spirit:value> + </spirit:parameter> + </spirit:parameters> + </spirit:view> <spirit:view> <spirit:name>xilinx_implementation</spirit:name> <spirit:displayName>Implementation</spirit:displayName> @@ -4127,6 +4145,42 @@ <spirit:fileType>verilogSource</spirit:fileType> </spirit:file> </spirit:fileSet> + <spirit:fileSet> + <spirit:name>xilinx_externalfiles_view_fileset</spirit:name> + <spirit:file> + <spirit:name>clk_wiz_0.dcp</spirit:name> + <spirit:userFileType>dcp</spirit:userFileType> + <spirit:userFileType>USED_IN_implementation</spirit:userFileType> + <spirit:userFileType>USED_IN_synthesis</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_stub.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_stub.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_synth_blackbox_stub</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_sim_netlist.v</spirit:name> + <spirit:fileType>verilogSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + <spirit:file> + <spirit:name>clk_wiz_0_sim_netlist.vhdl</spirit:name> + <spirit:fileType>vhdlSource</spirit:fileType> + <spirit:userFileType>USED_IN_simulation</spirit:userFileType> + <spirit:userFileType>USED_IN_single_language</spirit:userFileType> + <spirit:logicalName>xil_defaultlib</spirit:logicalName> + </spirit:file> + </spirit:fileSet> <spirit:fileSet> <spirit:name>xilinx_implementation_view_fileset</spirit:name> <spirit:file> diff --git a/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v new file mode 100644 index 0000000..358f540 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v @@ -0,0 +1,291 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +// Date : Wed Mar 5 11:37:38 2025 +// Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +// Command : write_verilog -force -mode funcsim +// /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v +// Design : clk_wiz_0 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* NotValidForBitStream *) +module clk_wiz_0 + (clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked, + clk_in1); + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + input clk_in1; + + (* IBUF_LOW_PWR *) wire clk_in1; + wire clk_out1; + wire clk_out2; + wire clk_out3; + wire clk_out4; + wire locked; + wire reset; + + clk_wiz_0_clk_wiz inst + (.clk_in1(clk_in1), + .clk_out1(clk_out1), + .clk_out2(clk_out2), + .clk_out3(clk_out3), + .clk_out4(clk_out4), + .locked(locked), + .reset(reset)); +endmodule + +module clk_wiz_0_clk_wiz + (clk_out1, + clk_out2, + clk_out3, + clk_out4, + reset, + locked, + clk_in1); + output clk_out1; + output clk_out2; + output clk_out3; + output clk_out4; + input reset; + output locked; + input clk_in1; + + wire clk_in1; + wire clk_in1_clk_wiz_0; + wire clk_out1; + wire clk_out1_clk_wiz_0; + wire clk_out2; + wire clk_out2_clk_wiz_0; + wire clk_out3; + wire clk_out3_clk_wiz_0; + wire clk_out4; + wire clk_out4_clk_wiz_0; + wire clkfbout_buf_clk_wiz_0; + wire clkfbout_clk_wiz_0; + wire locked; + wire reset; + wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED; + wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED; + wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED; + wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED; + wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED; + + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkf_buf + (.I(clkfbout_clk_wiz_0), + .O(clkfbout_buf_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + (* CAPACITANCE = "DONT_CARE" *) + (* IBUF_DELAY_VALUE = "0" *) + (* IFD_DELAY_VALUE = "AUTO" *) + IBUF #( + .IOSTANDARD("DEFAULT")) + clkin1_ibufg + (.I(clk_in1), + .O(clk_in1_clk_wiz_0)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout1_buf + (.I(clk_out1_clk_wiz_0), + .O(clk_out1)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout2_buf + (.I(clk_out2_clk_wiz_0), + .O(clk_out2)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout3_buf + (.I(clk_out3_clk_wiz_0), + .O(clk_out3)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout4_buf + (.I(clk_out4_clk_wiz_0), + .O(clk_out4)); + (* BOX_TYPE = "PRIMITIVE" *) + MMCME2_ADV #( + .BANDWIDTH("OPTIMIZED"), + .CLKFBOUT_MULT_F(6.000000), + .CLKFBOUT_PHASE(0.000000), + .CLKFBOUT_USE_FINE_PS("FALSE"), + .CLKIN1_PERIOD(10.000000), + .CLKIN2_PERIOD(0.000000), + .CLKOUT0_DIVIDE_F(6.000000), + .CLKOUT0_DUTY_CYCLE(0.500000), + .CLKOUT0_PHASE(0.000000), + .CLKOUT0_USE_FINE_PS("FALSE"), + .CLKOUT1_DIVIDE(3), + .CLKOUT1_DUTY_CYCLE(0.500000), + .CLKOUT1_PHASE(0.000000), + .CLKOUT1_USE_FINE_PS("FALSE"), + .CLKOUT2_DIVIDE(50), + .CLKOUT2_DUTY_CYCLE(0.500000), + .CLKOUT2_PHASE(0.000000), + .CLKOUT2_USE_FINE_PS("FALSE"), + .CLKOUT3_DIVIDE(12), + .CLKOUT3_DUTY_CYCLE(0.500000), + .CLKOUT3_PHASE(0.000000), + .CLKOUT3_USE_FINE_PS("FALSE"), + .CLKOUT4_CASCADE("FALSE"), + .CLKOUT4_DIVIDE(1), + .CLKOUT4_DUTY_CYCLE(0.500000), + .CLKOUT4_PHASE(0.000000), + .CLKOUT4_USE_FINE_PS("FALSE"), + .CLKOUT5_DIVIDE(1), + .CLKOUT5_DUTY_CYCLE(0.500000), + .CLKOUT5_PHASE(0.000000), + .CLKOUT5_USE_FINE_PS("FALSE"), + .CLKOUT6_DIVIDE(1), + .CLKOUT6_DUTY_CYCLE(0.500000), + .CLKOUT6_PHASE(0.000000), + .CLKOUT6_USE_FINE_PS("FALSE"), + .COMPENSATION("ZHOLD"), + .DIVCLK_DIVIDE(1), + .IS_CLKINSEL_INVERTED(1'b0), + .IS_PSEN_INVERTED(1'b0), + .IS_PSINCDEC_INVERTED(1'b0), + .IS_PWRDWN_INVERTED(1'b0), + .IS_RST_INVERTED(1'b0), + .REF_JITTER1(0.010000), + .REF_JITTER2(0.010000), + .SS_EN("FALSE"), + .SS_MODE("CENTER_HIGH"), + .SS_MOD_PERIOD(10000), + .STARTUP_WAIT("FALSE")) + mmcm_adv_inst + (.CLKFBIN(clkfbout_buf_clk_wiz_0), + .CLKFBOUT(clkfbout_clk_wiz_0), + .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED), + .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED), + .CLKIN1(clk_in1_clk_wiz_0), + .CLKIN2(1'b0), + .CLKINSEL(1'b1), + .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED), + .CLKOUT0(clk_out1_clk_wiz_0), + .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED), + .CLKOUT1(clk_out2_clk_wiz_0), + .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED), + .CLKOUT2(clk_out3_clk_wiz_0), + .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED), + .CLKOUT3(clk_out4_clk_wiz_0), + .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED), + .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED), + .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED), + .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED), + .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DCLK(1'b0), + .DEN(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]), + .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED), + .DWE(1'b0), + .LOCKED(locked), + .PSCLK(1'b0), + .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED), + .PSEN(1'b0), + .PSINCDEC(1'b0), + .PWRDWN(1'b0), + .RST(reset)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl new file mode 100644 index 0000000..7e793f3 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl @@ -0,0 +1,216 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +-- Date : Wed Mar 5 11:37:38 2025 +-- Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +-- Command : write_vhdl -force -mode funcsim +-- /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl +-- Design : clk_wiz_0 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity clk_wiz_0_clk_wiz is + port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); +end clk_wiz_0_clk_wiz; + +architecture STRUCTURE of clk_wiz_0_clk_wiz is + signal clk_in1_clk_wiz_0 : STD_LOGIC; + signal clk_out1_clk_wiz_0 : STD_LOGIC; + signal clk_out2_clk_wiz_0 : STD_LOGIC; + signal clk_out3_clk_wiz_0 : STD_LOGIC; + signal clk_out4_clk_wiz_0 : STD_LOGIC; + signal clkfbout_buf_clk_wiz_0 : STD_LOGIC; + signal clkfbout_clk_wiz_0 : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; + signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); + attribute BOX_TYPE : string; + attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; + attribute CAPACITANCE : string; + attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; + attribute IBUF_DELAY_VALUE : string; + attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; + attribute IFD_DELAY_VALUE : string; + attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; + attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkout4_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; +begin +clkf_buf: unisim.vcomponents.BUFG + port map ( + I => clkfbout_clk_wiz_0, + O => clkfbout_buf_clk_wiz_0 + ); +clkin1_ibufg: unisim.vcomponents.IBUF + generic map( + IOSTANDARD => "DEFAULT" + ) + port map ( + I => clk_in1, + O => clk_in1_clk_wiz_0 + ); +clkout1_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out1_clk_wiz_0, + O => clk_out1 + ); +clkout2_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out2_clk_wiz_0, + O => clk_out2 + ); +clkout3_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out3_clk_wiz_0, + O => clk_out3 + ); +clkout4_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out4_clk_wiz_0, + O => clk_out4 + ); +mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV + generic map( + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT_F => 6.000000, + CLKFBOUT_PHASE => 0.000000, + CLKFBOUT_USE_FINE_PS => false, + CLKIN1_PERIOD => 10.000000, + CLKIN2_PERIOD => 0.000000, + CLKOUT0_DIVIDE_F => 6.000000, + CLKOUT0_DUTY_CYCLE => 0.500000, + CLKOUT0_PHASE => 0.000000, + CLKOUT0_USE_FINE_PS => false, + CLKOUT1_DIVIDE => 3, + CLKOUT1_DUTY_CYCLE => 0.500000, + CLKOUT1_PHASE => 0.000000, + CLKOUT1_USE_FINE_PS => false, + CLKOUT2_DIVIDE => 50, + CLKOUT2_DUTY_CYCLE => 0.500000, + CLKOUT2_PHASE => 0.000000, + CLKOUT2_USE_FINE_PS => false, + CLKOUT3_DIVIDE => 12, + CLKOUT3_DUTY_CYCLE => 0.500000, + CLKOUT3_PHASE => 0.000000, + CLKOUT3_USE_FINE_PS => false, + CLKOUT4_CASCADE => false, + CLKOUT4_DIVIDE => 1, + CLKOUT4_DUTY_CYCLE => 0.500000, + CLKOUT4_PHASE => 0.000000, + CLKOUT4_USE_FINE_PS => false, + CLKOUT5_DIVIDE => 1, + CLKOUT5_DUTY_CYCLE => 0.500000, + CLKOUT5_PHASE => 0.000000, + CLKOUT5_USE_FINE_PS => false, + CLKOUT6_DIVIDE => 1, + CLKOUT6_DUTY_CYCLE => 0.500000, + CLKOUT6_PHASE => 0.000000, + CLKOUT6_USE_FINE_PS => false, + COMPENSATION => "ZHOLD", + DIVCLK_DIVIDE => 1, + IS_CLKINSEL_INVERTED => '0', + IS_PSEN_INVERTED => '0', + IS_PSINCDEC_INVERTED => '0', + IS_PWRDWN_INVERTED => '0', + IS_RST_INVERTED => '0', + REF_JITTER1 => 0.010000, + REF_JITTER2 => 0.010000, + SS_EN => "FALSE", + SS_MODE => "CENTER_HIGH", + SS_MOD_PERIOD => 10000, + STARTUP_WAIT => false + ) + port map ( + CLKFBIN => clkfbout_buf_clk_wiz_0, + CLKFBOUT => clkfbout_clk_wiz_0, + CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, + CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, + CLKIN1 => clk_in1_clk_wiz_0, + CLKIN2 => '0', + CLKINSEL => '1', + CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, + CLKOUT0 => clk_out1_clk_wiz_0, + CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, + CLKOUT1 => clk_out2_clk_wiz_0, + CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, + CLKOUT2 => clk_out3_clk_wiz_0, + CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, + CLKOUT3 => clk_out4_clk_wiz_0, + CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, + CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, + CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, + CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, + DADDR(6 downto 0) => B"0000000", + DCLK => '0', + DEN => '0', + DI(15 downto 0) => B"0000000000000000", + DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), + DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, + DWE => '0', + LOCKED => locked, + PSCLK => '0', + PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, + PSEN => '0', + PSINCDEC => '0', + PWRDWN => '0', + RST => reset + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity clk_wiz_0 is + port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of clk_wiz_0 : entity is true; +end clk_wiz_0; + +architecture STRUCTURE of clk_wiz_0 is +begin +inst: entity work.clk_wiz_0_clk_wiz + port map ( + clk_in1 => clk_in1, + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + locked => locked, + reset => reset + ); +end STRUCTURE; diff --git a/src/ip/clk_wiz_0/clk_wiz_0_stub.v b/src/ip/clk_wiz_0/clk_wiz_0_stub.v new file mode 100644 index 0000000..a7db499 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_stub.v @@ -0,0 +1,31 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +// Date : Wed Mar 5 11:37:38 2025 +// Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +// Command : write_verilog -force -mode synth_stub +// /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.v +// Design : clk_wiz_0 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module clk_wiz_0(clk_out1, clk_out2, clk_out3, clk_out4, reset, + locked, clk_in1) +/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */ +/* synthesis syn_force_seq_prim="clk_out1" */ +/* synthesis syn_force_seq_prim="clk_out2" */ +/* synthesis syn_force_seq_prim="clk_out3" */ +/* synthesis syn_force_seq_prim="clk_out4" */; + output clk_out1 /* synthesis syn_isclock = 1 */; + output clk_out2 /* synthesis syn_isclock = 1 */; + output clk_out3 /* synthesis syn_isclock = 1 */; + output clk_out4 /* synthesis syn_isclock = 1 */; + input reset; + output locked; + input clk_in1; +endmodule diff --git a/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl b/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl new file mode 100644 index 0000000..3d5be94 --- /dev/null +++ b/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl @@ -0,0 +1,35 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +-- Date : Wed Mar 5 11:37:38 2025 +-- Host : fl-tp-br-634 running 64-bit Ubuntu 24.04.2 LTS +-- Command : write_vhdl -force -mode synth_stub +-- /homes/j23meneg/MEDCON/tp-filtre-etudiant-j23meneg/src/ip/clk_wiz_0/clk_wiz_0_stub.vhdl +-- Design : clk_wiz_0 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity clk_wiz_0 is + Port ( + clk_out1 : out STD_LOGIC; + clk_out2 : out STD_LOGIC; + clk_out3 : out STD_LOGIC; + clk_out4 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + +end clk_wiz_0; + +architecture stub of clk_wiz_0 is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_out1,clk_out2,clk_out3,clk_out4,reset,locked,clk_in1"; +begin +end; -- GitLab