From dfa3773c73ef4c2bbbd96b1b92589a852b57509f Mon Sep 17 00:00:00 2001
From: Michelly LUIS LACERDA <m24luisl@fl-tp-br-635.imta.fr>
Date: Wed, 26 Mar 2025 17:12:21 +0100
Subject: [PATCH] supprime documents inutiles

---
 docs/img/FSM.drawio                           | 150 ------
 docs/img/OperativeUnit.drawio                 | 426 ------------------
 project_1/project_1.cache/wt/project.wpc      |   3 -
 project_1/project_1.hw/project_1.lpr          |   7 -
 .../project_1.srcs/sources_1/new/FSM_ecg.v    | 146 ------
 .../sources_1/new/controlUnit.v               | 145 ------
 .../sources_1/new/controlUnit.vhd             | 162 -------
 .../sources_1/new/operativeUnit.vhd           | 145 ------
 project_1/project_1.xpr                       | 223 ---------
 9 files changed, 1407 deletions(-)
 delete mode 100644 docs/img/FSM.drawio
 delete mode 100644 docs/img/OperativeUnit.drawio
 delete mode 100644 project_1/project_1.cache/wt/project.wpc
 delete mode 100644 project_1/project_1.hw/project_1.lpr
 delete mode 100644 project_1/project_1.srcs/sources_1/new/FSM_ecg.v
 delete mode 100644 project_1/project_1.srcs/sources_1/new/controlUnit.v
 delete mode 100644 project_1/project_1.srcs/sources_1/new/controlUnit.vhd
 delete mode 100644 project_1/project_1.srcs/sources_1/new/operativeUnit.vhd
 delete mode 100644 project_1/project_1.xpr

diff --git a/docs/img/FSM.drawio b/docs/img/FSM.drawio
deleted file mode 100644
index bd839a9..0000000
--- a/docs/img/FSM.drawio
+++ /dev/null
@@ -1,150 +0,0 @@
-<mxfile host="Electron" modified="2023-04-28T15:06:41.325Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/21.2.1 Chrome/112.0.5615.87 Electron/24.1.2 Safari/537.36" etag="FiJOiTXp0n2vq3d9UAum" version="21.2.1" type="device">
-  <diagram name="Page-1" id="lufUWjv2mjaYaQ6cVEt1">
-    <mxGraphModel dx="798" dy="1290" grid="0" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
-      <root>
-        <mxCell id="0" />
-        <mxCell id="1" parent="0" />
-        <mxCell id="bw7OO0sNot4gaAuLXok9-1" value="" style="ellipse;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="240" y="40" width="160" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-2" value="&lt;font style=&quot;font-size: 21px;&quot; face=&quot;Ubuntu Mono&quot;&gt;Wait Sample&lt;/font&gt;" style="ellipse;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="250" y="50" width="140" height="60" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-3" value="Store" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="240" y="160" width="160" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-5" value="Processing&lt;br&gt;Loop" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="240" y="280" width="160" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-6" value="Output" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="240" y="400" width="160" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-7" value="Wait End&lt;br&gt;Sample" style="ellipse;whiteSpace=wrap;html=1;fontSize=21;fontFamily=Ubuntu Mono;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="240" y="520" width="160" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-8" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-1" target="bw7OO0sNot4gaAuLXok9-3">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="560" y="650" as="sourcePoint" />
-            <mxPoint x="610" y="600" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-9" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-5">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="319.76" y="240" as="sourcePoint" />
-            <mxPoint x="319.76" y="320" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-10" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-6">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="319.76" y="360" as="sourcePoint" />
-            <mxPoint x="320" y="400" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-11" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-6" target="bw7OO0sNot4gaAuLXok9-7">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="319.76" y="480" as="sourcePoint" />
-            <mxPoint x="319.76" y="560" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-12" value="" style="curved=1;endArrow=block;html=1;rounded=0;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0;entryY=0;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" source="bw7OO0sNot4gaAuLXok9-7" target="bw7OO0sNot4gaAuLXok9-1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="510" y="320" as="sourcePoint" />
-            <mxPoint x="560" y="270" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="320" y="670" />
-              <mxPoint x="170" y="640" />
-              <mxPoint x="180" y="80" />
-              <mxPoint x="230" y="20" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-14" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="140" as="sourcePoint" />
-            <mxPoint x="340" y="140" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-17" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;Condition 1&lt;/font&gt;" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="bw7OO0sNot4gaAuLXok9-14">
-          <mxGeometry x="0.564" relative="1" as="geometry">
-            <mxPoint x="10" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-15" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="380" as="sourcePoint" />
-            <mxPoint x="340" y="380" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-16" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="620" as="sourcePoint" />
-            <mxPoint x="340" y="620" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-18" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;Condition 2&lt;/font&gt;" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="1">
-          <mxGeometry x="340.00279069767436" y="380" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-19" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;Condition 3&lt;/font&gt;" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];labelBackgroundColor=none;labelBorderColor=none;fontStyle=2" vertex="1" connectable="0" parent="1">
-          <mxGeometry x="340.00279069767436" y="620" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-20" value="" style="curved=1;endArrow=block;html=1;rounded=0;endFill=1;entryX=0.5;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="bw7OO0sNot4gaAuLXok9-1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="350" y="-10" as="sourcePoint" />
-            <mxPoint x="460" y="90" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="330" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-21" value="&lt;font face=&quot;Ubuntu Mono&quot;&gt;&lt;span style=&quot;font-size: 15px;&quot;&gt;Rst = &#39;1&#39;&lt;/span&gt;&lt;/font&gt;" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];" vertex="1" connectable="0" parent="1">
-          <mxGeometry x="350.00279069767436" y="-10" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-23" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;LoadShift = &#39;0&#39;&lt;br&gt;InitAddress = &#39;0&#39;&lt;br&gt;IncrAddress = &#39;0&#39;&lt;br&gt;InitSum = &#39;0&#39;&lt;br&gt;LoadSum = &#39;0&#39;&lt;br&gt;LoadOutput = &#39;1&#39;&lt;br&gt;&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;container=0;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1">
-          <mxGeometry x="480" y="380" width="240" height="110" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-24" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="439.77" as="sourcePoint" />
-            <mxPoint x="480" y="439.77" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-33" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;LoadShift =&amp;nbsp;&lt;br&gt;InitAddress =&amp;nbsp;&lt;br&gt;IncrAddress =&amp;nbsp;&lt;br&gt;InitSum =&amp;nbsp;&lt;br&gt;LoadSum =&amp;nbsp;&lt;br&gt;LoadOutput =&amp;nbsp;&lt;br&gt;&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1">
-          <mxGeometry x="480" y="20" width="240" height="110" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-34" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="79.80999999999995" as="sourcePoint" />
-            <mxPoint x="480" y="79.80999999999995" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-30" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;LoadShift =&amp;nbsp;&lt;br&gt;InitAddress =&amp;nbsp;&lt;br&gt;IncrAddress =&amp;nbsp;&lt;br&gt;InitSum =&amp;nbsp;&lt;br&gt;LoadSum =&amp;nbsp;&lt;br&gt;LoadOutput =&amp;nbsp;&lt;br&gt;&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1">
-          <mxGeometry x="480" y="140" width="240" height="110" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-31" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="199.80999999999995" as="sourcePoint" />
-            <mxPoint x="480" y="199.80999999999995" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-25" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;LoadShift =&amp;nbsp;&lt;br&gt;InitAddress =&amp;nbsp;&lt;br&gt;IncrAddress =&amp;nbsp;&lt;br&gt;InitSum =&amp;nbsp;&lt;br&gt;LoadSum =&amp;nbsp;&lt;br&gt;LoadOutput =&amp;nbsp;&lt;br&gt;&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1">
-          <mxGeometry x="480" y="260" width="240" height="110" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-27" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="319.80999999999995" as="sourcePoint" />
-            <mxPoint x="480" y="319.80999999999995" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-36" value="&lt;font style=&quot;font-size: 15px;&quot; face=&quot;Ubuntu Mono&quot;&gt;LoadShift =&amp;nbsp;&lt;br&gt;InitAddress =&amp;nbsp;&lt;br&gt;IncrAddress =&amp;nbsp;&lt;br&gt;InitSum =&amp;nbsp;&lt;br&gt;LoadSum =&amp;nbsp;&lt;br&gt;LoadOutput =&amp;nbsp;&lt;br&gt;&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;align=left;fontStyle=2;fillColor=#f5f5f5;strokeColor=#666666;fontColor=#333333;" vertex="1" parent="1">
-          <mxGeometry x="480" y="500" width="240" height="110" as="geometry" />
-        </mxCell>
-        <mxCell id="bw7OO0sNot4gaAuLXok9-37" value="" style="endArrow=block;html=1;rounded=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;endFill=1;dashed=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="559.81" as="sourcePoint" />
-            <mxPoint x="480" y="559.81" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-      </root>
-    </mxGraphModel>
-  </diagram>
-</mxfile>
diff --git a/docs/img/OperativeUnit.drawio b/docs/img/OperativeUnit.drawio
deleted file mode 100644
index 9bb0e4b..0000000
--- a/docs/img/OperativeUnit.drawio
+++ /dev/null
@@ -1,426 +0,0 @@
-<mxfile host="Electron" modified="2023-05-02T15:25:12.389Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/21.2.1 Chrome/112.0.5615.87 Electron/24.1.2 Safari/537.36" etag="-5AHYXFrDsyYUGHEENvj" version="21.2.1" type="device">
-  <diagram name="Page-1" id="HnFJvu7xD7cCTyxCgidn">
-    <mxGraphModel dx="1430" dy="830" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
-      <root>
-        <mxCell id="0" />
-        <mxCell id="1" parent="0" />
-        <mxCell id="uwrRxOYGmB2LabIKb8In-1" value="&lt;font face=&quot;Ubuntu Mono&quot;&gt;Address&lt;br style=&quot;font-size: 17px;&quot;&gt;Generator&lt;/font&gt;" style="rounded=0;whiteSpace=wrap;html=1;fontSize=17;fillColor=#dae8fc;strokeColor=#6c8ebf;strokeWidth=2;" vertex="1" parent="1">
-          <mxGeometry x="340" y="40" width="120" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-2" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#dae8fc;strokeColor=#6c8ebf;" vertex="1" parent="1">
-          <mxGeometry x="340" y="90" width="10" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-3" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="60" as="sourcePoint" />
-            <mxPoint x="550" y="160" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-4" value="I_initAddress" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-3">
-          <mxGeometry x="0.45" y="1" relative="1" as="geometry">
-            <mxPoint x="-29" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-5" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="79.83" as="sourcePoint" />
-            <mxPoint x="340" y="79.83" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-6" value="I_incrAddress" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-5">
-          <mxGeometry x="0.45" y="1" relative="1" as="geometry">
-            <mxPoint x="-29" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-7" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="460" y="60" as="sourcePoint" />
-            <mxPoint x="500" y="60" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-8" value="O_processingDone" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-7">
-          <mxGeometry x="0.45" y="1" relative="1" as="geometry">
-            <mxPoint x="11" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-9" value="Samples" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;strokeWidth=2;fontFamily=Ubuntu Mono;fontSize=17;" vertex="1" parent="1">
-          <mxGeometry x="160" y="200" width="120" height="280" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-11" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="160" y="280" as="sourcePoint" />
-            <mxPoint x="280" y="280" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-12" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="160" y="240" as="sourcePoint" />
-            <mxPoint x="280" y="240" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-13" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="160" y="440" as="sourcePoint" />
-            <mxPoint x="280" y="440" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-14" value="" style="shape=trapezoid;perimeter=trapezoidPerimeter;whiteSpace=wrap;html=1;fixedSize=1;rotation=90;strokeWidth=2;" vertex="1" parent="1">
-          <mxGeometry x="190" y="330" width="280" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-15" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="280" y="220" as="sourcePoint" />
-            <mxPoint x="320" y="220" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-17" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="280" y="260" as="sourcePoint" />
-            <mxPoint x="320" y="260" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-18" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.25;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="280" y="460" as="sourcePoint" />
-            <mxPoint x="320" y="460" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-21" value="" style="endArrow=none;dashed=1;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="300" y="440" as="sourcePoint" />
-            <mxPoint x="300" y="280" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-25" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="219.89" y="430" as="sourcePoint" />
-            <mxPoint x="219.89" y="460" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-28" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="219.94" y="230" as="sourcePoint" />
-            <mxPoint x="219.94" y="260" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-29" value="" style="endArrow=block;html=1;rounded=0;endFill=1;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="219.94" y="270" as="sourcePoint" />
-            <mxPoint x="219.94" y="300" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-30" value="Coefficients" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#ffe6cc;strokeColor=#d79b00;strokeWidth=2;fontFamily=Ubuntu Mono;fontSize=17;" vertex="1" parent="1">
-          <mxGeometry x="520" y="200" width="120" height="280" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-31" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="280" as="sourcePoint" />
-            <mxPoint x="640" y="280" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-32" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="240" as="sourcePoint" />
-            <mxPoint x="640" y="240" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-33" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="440" as="sourcePoint" />
-            <mxPoint x="640" y="440" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-34" value="" style="shape=trapezoid;perimeter=trapezoidPerimeter;whiteSpace=wrap;html=1;fixedSize=1;rotation=-90;strokeWidth=2;" vertex="1" parent="1">
-          <mxGeometry x="330" y="330" width="280" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-35" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="220" as="sourcePoint" />
-            <mxPoint x="480" y="220" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-38" value="" style="endArrow=none;dashed=1;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="500" y="440" as="sourcePoint" />
-            <mxPoint x="500" y="280" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-42" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="260" as="sourcePoint" />
-            <mxPoint x="480" y="260" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-43" value="" style="endArrow=block;html=1;rounded=0;endFill=1;strokeWidth=2;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="520" y="460" as="sourcePoint" />
-            <mxPoint x="480" y="460" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-45" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-1" target="uwrRxOYGmB2LabIKb8In-14">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="160" as="sourcePoint" />
-            <mxPoint x="440" y="160" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="400" y="160" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-46" value="SR_ReadAddress" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-45">
-          <mxGeometry x="0.45" y="1" relative="1" as="geometry">
-            <mxPoint x="41" y="-51" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-47" value="" style="endArrow=block;html=1;rounded=0;entryX=1;entryY=0.5;entryDx=0;entryDy=0;endFill=1;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-34">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="120" as="sourcePoint" />
-            <mxPoint x="340" y="220" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="400" y="160" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-49" value="" style="endArrow=block;html=1;rounded=0;entryX=0;entryY=0;entryDx=0;entryDy=0;endFill=1;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-50">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="340" y="339.71" as="sourcePoint" />
-            <mxPoint x="380" y="339.71" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="350" y="340" />
-              <mxPoint x="350" y="490" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-50" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;fillColor=#d5e8d4;strokeColor=#82b366;" vertex="1" parent="1">
-          <mxGeometry x="360" y="500" width="80" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-51" value="" style="endArrow=block;html=1;rounded=0;entryX=1;entryY=0;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-34" target="uwrRxOYGmB2LabIKb8In-50">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="350" y="349.71" as="sourcePoint" />
-            <mxPoint x="382" y="542" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="450" y="340" />
-              <mxPoint x="450" y="490" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-52" value="" style="endArrow=none;html=1;rounded=0;entryX=1;entryY=0;entryDx=0;entryDy=0;exitX=0;exitY=1;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-50">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="420" y="610" as="sourcePoint" />
-            <mxPoint x="470" y="560" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-53" value="" style="endArrow=none;html=1;rounded=0;entryX=0;entryY=0;entryDx=0;entryDy=0;exitX=1;exitY=1;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-50">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="382" y="598" as="sourcePoint" />
-            <mxPoint x="438" y="542" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-54" value="" style="ellipse;whiteSpace=wrap;html=1;aspect=fixed;fillColor=#d5e8d4;strokeColor=#82b366;" vertex="1" parent="1">
-          <mxGeometry x="360" y="650" width="80" height="80" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-55" value="" style="endArrow=block;html=1;rounded=0;entryX=0.5;entryY=0;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-50" target="uwrRxOYGmB2LabIKb8In-54">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="400" y="620" as="sourcePoint" />
-            <mxPoint x="360" y="710" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-56" value="" style="endArrow=none;html=1;rounded=0;entryX=0;entryY=0.5;entryDx=0;entryDy=0;exitX=1;exitY=0.5;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-54">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="438" y="568" as="sourcePoint" />
-            <mxPoint x="382" y="512" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-57" value="" style="endArrow=none;html=1;rounded=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;exitX=0.5;exitY=0;exitDx=0;exitDy=0;fillColor=#d5e8d4;strokeColor=#82b366;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-54">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="450" y="700" as="sourcePoint" />
-            <mxPoint x="370" y="700" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-58" value="" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;strokeWidth=2;" vertex="1" parent="1">
-          <mxGeometry x="160" y="660" width="120" height="60" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-59" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1">
-          <mxGeometry x="160" y="690" width="10" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-63" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1">
-          <mxGeometry x="160" y="450" width="10" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-64" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=0;exitDx=0;exitDy=0;entryX=0;entryY=0;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-58" target="uwrRxOYGmB2LabIKb8In-54">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="410" y="580" as="sourcePoint" />
-            <mxPoint x="340" y="650" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="220" y="620" />
-              <mxPoint x="330" y="620" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-65" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-54" target="uwrRxOYGmB2LabIKb8In-58">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="230" y="670" as="sourcePoint" />
-            <mxPoint x="382" y="672" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="400" y="770" />
-              <mxPoint x="270" y="770" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-67" value="" style="endArrow=block;html=1;rounded=0;entryX=0.5;entryY=1;entryDx=0;entryDy=0;endFill=1;exitX=0.5;exitY=1;exitDx=0;exitDy=0;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-68">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="399.97" y="730" as="sourcePoint" />
-            <mxPoint x="399.97" y="810" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="400" y="790" />
-              <mxPoint x="420" y="810" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-68" value="" style="rounded=0;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;rotation=90;strokeWidth=2;" vertex="1" parent="1">
-          <mxGeometry x="480" y="780" width="120" height="60" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-69" value="" style="triangle;whiteSpace=wrap;html=1;fillColor=#fff2cc;strokeColor=#d6b656;" vertex="1" parent="1">
-          <mxGeometry x="510" y="840" width="10" height="20" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-70" value="" style="endArrow=block;html=1;rounded=0;endFill=1;exitX=0.5;exitY=0.007;exitDx=0;exitDy=0;exitPerimeter=0;strokeWidth=2;" edge="1" parent="1" source="uwrRxOYGmB2LabIKb8In-68">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="570" y="809.98" as="sourcePoint" />
-            <mxPoint x="650" y="810" as="targetPoint" />
-            <Array as="points" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-71" value="O_Y" style="edgeLabel;html=1;align=left;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;fontStyle=0" vertex="1" connectable="0" parent="1">
-          <mxGeometry x="650.0017647058825" y="810.0000000000002" as="geometry" />
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-72" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.75;entryY=0;entryDx=0;entryDy=0;strokeWidth=2;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-9">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="150" y="120" as="sourcePoint" />
-            <mxPoint x="250" y="170" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="220" y="120" />
-              <mxPoint x="230" y="120" />
-              <mxPoint x="250" y="140" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-74" value="I_inputSample" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontFamily=Ubuntu Mono;fontSize=14;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-72">
-          <mxGeometry x="-0.9128" y="-1" relative="1" as="geometry">
-            <mxPoint x="-7" y="-1" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-73" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-9">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="150" y="150" as="sourcePoint" />
-            <mxPoint x="190" y="190" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="180" y="150" />
-              <mxPoint x="190" y="160" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-76" value="I_loadShift" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-73">
-          <mxGeometry x="-0.7861" relative="1" as="geometry">
-            <mxPoint x="-9" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-77" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="130" y="630" as="sourcePoint" />
-            <mxPoint x="170" y="660" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="160" y="630" />
-              <mxPoint x="170" y="640" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-78" value="I_loadSum" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-77">
-          <mxGeometry x="-0.7298" y="1" relative="1" as="geometry">
-            <mxPoint x="-9" y="1" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-79" value="" style="endArrow=block;html=1;rounded=0;endFill=1;entryX=0.25;entryY=0;entryDx=0;entryDy=0;" edge="1" parent="1" target="uwrRxOYGmB2LabIKb8In-58">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="130" y="610" as="sourcePoint" />
-            <mxPoint x="170" y="641" as="targetPoint" />
-            <Array as="points">
-              <mxPoint x="170" y="610" />
-              <mxPoint x="190" y="630" />
-            </Array>
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-80" value="I_initSum" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-79">
-          <mxGeometry x="-0.7298" y="1" relative="1" as="geometry">
-            <mxPoint x="-13" y="1" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-81" value="" style="endArrow=classic;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="130" y="830" as="sourcePoint" />
-            <mxPoint x="510" y="830" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-82" value="I_loadY" style="edgeLabel;html=1;align=right;verticalAlign=middle;resizable=0;points=[];fontSize=14;fontFamily=Ubuntu Mono;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-81">
-          <mxGeometry x="-0.9221" y="-1" relative="1" as="geometry">
-            <mxPoint x="-15" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-83" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="590" y="820" as="sourcePoint" />
-            <mxPoint x="610" y="800" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-84" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-83">
-          <mxGeometry x="0.5519" y="1" relative="1" as="geometry">
-            <mxPoint x="5" y="-4" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-85" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="440" y="820" as="sourcePoint" />
-            <mxPoint x="460" y="800" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-86" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-85">
-          <mxGeometry x="0.5519" y="1" relative="1" as="geometry">
-            <mxPoint x="5" y="-4" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-87" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="390" y="620" as="sourcePoint" />
-            <mxPoint x="410" y="600" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-88" value="??" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-87">
-          <mxGeometry x="0.5519" y="1" relative="1" as="geometry">
-            <mxPoint x="5" y="-4" as="offset" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-89" value="" style="endArrow=none;html=1;rounded=0;" edge="1" parent="1">
-          <mxGeometry width="50" height="50" relative="1" as="geometry">
-            <mxPoint x="180" y="130" as="sourcePoint" />
-            <mxPoint x="200" y="110" as="targetPoint" />
-          </mxGeometry>
-        </mxCell>
-        <mxCell id="uwrRxOYGmB2LabIKb8In-90" value="8" style="edgeLabel;html=1;align=left;verticalAlign=bottom;resizable=0;points=[];labelPosition=right;verticalLabelPosition=top;" vertex="1" connectable="0" parent="uwrRxOYGmB2LabIKb8In-89">
-          <mxGeometry x="0.5519" y="1" relative="1" as="geometry">
-            <mxPoint x="5" y="-4" as="offset" />
-          </mxGeometry>
-        </mxCell>
-      </root>
-    </mxGraphModel>
-  </diagram>
-</mxfile>
diff --git a/project_1/project_1.cache/wt/project.wpc b/project_1/project_1.cache/wt/project.wpc
deleted file mode 100644
index 9b34209..0000000
--- a/project_1/project_1.cache/wt/project.wpc
+++ /dev/null
@@ -1,3 +0,0 @@
-version:1
-6d6f64655f636f756e7465727c4755494d6f6465:1
-eof:
diff --git a/project_1/project_1.hw/project_1.lpr b/project_1/project_1.hw/project_1.lpr
deleted file mode 100644
index afc0a86..0000000
--- a/project_1/project_1.hw/project_1.lpr
+++ /dev/null
@@ -1,7 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
-<!--                                                                              -->
-<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
-<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
-
-<labtools version="1" minor="0"/>
diff --git a/project_1/project_1.srcs/sources_1/new/FSM_ecg.v b/project_1/project_1.srcs/sources_1/new/FSM_ecg.v
deleted file mode 100644
index 521e59a..0000000
--- a/project_1/project_1.srcs/sources_1/new/FSM_ecg.v
+++ /dev/null
@@ -1,146 +0,0 @@
-`timescale 1ns / 1ps
-//////////////////////////////////////////////////////////////////////////////////
-// Company: 
-// Engineer: 
-// 
-// Create Date: 03/26/2025 03:19:30 PM
-// Design Name: 
-// Module Name: FSM_ecg
-// Project Name: 
-// Target Devices: 
-// Tool Versions: 
-// Description: 
-// 
-// Dependencies: 
-// 
-// Revision:
-// Revision 0.01 - File Created
-// Additional Comments:
-// 
-//////////////////////////////////////////////////////////////////////////////////
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-entity FSM_ecg is
-
-  port (
-    I_clock               : in  std_logic;  -- global clock
-    I_reset               : in  std_logic;  -- asynchronous global reset
-    I_inputSampleValid    : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
-    I_processingDone_FIR1      : in  std_logic;
-    I_processingDone_X_IIR      : in  std_logic;
-    I_processingDone_Y_IIR      : in  std_logic;
-    I_processingDone_FIR2      : in  std_logic;
-    O_loadShift_FIR1           : out std_logic;  -- filtered sample
-    O_loadShift_IIR           : out std_logic;  -- filtered sample
-    O_loadShift_FIR2           : out std_logic;  -- filtered sample
-    O_initAddress         : out std_logic;  -- Control signal to initialize register read address
-    O_incrAddress         : out std_logic;  -- Control signal to increment register read address
-    O_initSum             : out std_logic;  -- Control signal to initialize the MAC register
-    O_loadSum             : out std_logic;  -- Control signal to load the MAC register;
-    O_loadOutput               : out std_logic;  -- Control signal to load Y register
-    O_FilteredSampleValid : out std_logic  -- Data valid signal for filtered sample
-    );
-
-end entity controlUnit;
-architecture archi_operativeUnit of controlUnit is
-
-
-  type T_state is (WAIT_SAMPLE, STORE_FIR1, PROCESSING_LOOP_FIR1, STORE_IIR, PROCESSING_LOOP_X_IIR, INIT_Y, 
-  PROCESSING_LOOP_Y_IIR, STORE_FIR2, PROCESSING_LOOP_FIR2, OUTPUT, WAIT_END_SAMPLE);  -- state list
-  signal SR_presentState : T_state; 
-  signal SR_futurState   : T_state;
-
-begin
-
-  process (I_clock,I_reset) is
-  begin
-    if I_reset = '1' then               -- asynchronous reset (active high)
-      SR_presentState <= WAIT_SAMPLE;
-    elsif rising_edge(I_clock) then     -- rising clock edge
-      SR_presentState <= SR_futurState; 
-    end if;
-  end process;
-
-  process (SR_presentState,I_inputSampleValid,I_processingDone_FIR1,I_processingDone_X_IIR,I_processingDone_Y_IIR,I_processingDone_FIR2) is
-  begin
-    case SR_presentState is
-
-      when WAIT_SAMPLE =>
-      if I_inputSampleValid = '1' then
-         SR_futurState <= STORE_FIR1;
-      else
-        SR_futurState <= WAIT_SAMPLE;
-      end if;  
-      
-      when STORE_FIR1 =>
-        SR_futurState <= PROCESSING_LOOP_FIR1;
-        
-      when PROCESSING_LOOP_FIR1 => 
-        if I_processingDone_FIR1  = '1' then
-            SR_futurState <= STORE_IIR;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR1;
-        end if;  
-        
-      when STORE_IIR => 
-         SR_futurState <= PROCESSING_LOOP_X_IIR;
-            
-      when PROCESSING_LOOP_X_IIR => 
-        if I_processingDone_X_IIR  = '1' then
-            SR_futurState <= INIT_Y;
-        else
-            SR_futurState <= PROCESSING_LOOP_X_IIR;
-        end if; 
-      
-      when INIT_Y =>
-        SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        
-      when PROCESSING_LOOP_Y_IIR => 
-        if I_processingDone_Y_IIR  = '1' then
-            SR_futurState <= STORE_FIR2;
-        else
-            SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        end if; 
-        
-      when STORE_FIR2 =>
-        SR_futurState <= PROCESSING_LOOP_FIR2;
-      
-      when PROCESSING_LOOP_FIR2 => 
-        if I_processingDone_FIR2  = '1' then
-            SR_futurState <= OUTPUT;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR2;
-        end if; 
-      
-      when OUTPUT =>
-        SR_futurState <= WAIT_END_SAMPLE;
-      
-      when WAIT_END_SAMPLE =>
-        if I_inputSampleValid = '0' then
-            SR_futurState <= WAIT_SAMPLE;
-        else
-            SR_futurState <= WAIT_END_SAMPLE;
-        end if;
-        
-      when others => 
-        SR_futurState <= WAIT_SAMPLE;
-    end case;
-  end process;
-
-
-
-  O_loadShift_FIR1      <= '1' when SR_presentState = STORE_FIR1 else '0' ;
-  O_loadShift_IIR       <= '1' when SR_presentState = STORE else '0' ;
-  O_loadShift_FIR2      <= '1' when SR_presentState = STORE else '0' ;
-  O_initAddress         <= '1' when SR_presentState = STORE_FIR1 OR STORE_IIR OR STORE_FIR2 else '0' ;
-  O_incrAddress         <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR PROCESSING_LOOP_X_IIR OR PROCESSING_LOOP_Y_IIR OR PROCESSING_LOOP_FIR2 else '0' ;
-  O_initSum             <= '1' when SR_presentState = STORE_FIR1 OR STORE_IIR OR STORE_FIR2 else '0' ;
-  O_loadSum             <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR PROCESSING_LOOP_X_IIR OR PROCESSING_LOOP_Y_IIR OR PROCESSING_LOOP_FIR2 else '0' ;
-  O_loadOutput               <= '1' when SR_presentState = OUTPUT else '0' ;
- -- O_FilteredSampleValid <= '1' when _BLANK_ ; ????
-
-end architecture archi_operativeUnit;
-
diff --git a/project_1/project_1.srcs/sources_1/new/controlUnit.v b/project_1/project_1.srcs/sources_1/new/controlUnit.v
deleted file mode 100644
index de01018..0000000
--- a/project_1/project_1.srcs/sources_1/new/controlUnit.v
+++ /dev/null
@@ -1,145 +0,0 @@
-
-//////////////////////////////////////////////////////////////////////////////////
-// Company: 
-// Engineer: 
-// 
-// Create Date: 03/26/2025 04:13:28 PM
-// Design Name: 
-// Module Name: controlUnit
-// Project Name: 
-// Target Devices: 
-// Tool Versions: 
-// Description: 
-// 
-// Dependencies: 
-// 
-// Revision:
-// Revision 0.01 - File Created
-// Additional Comments:
-// 
-//////////////////////////////////////////////////////////////////////////////////
-
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-entity FSM_ecg is
-
-  port (
-    I_clock               : in  std_logic;  -- global clock
-    I_reset               : in  std_logic;  -- asynchronous global reset
-    I_inputSampleValid    : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
-    I_processingDone_FIR1      : in  std_logic;
-    I_processingDone_X_IIR      : in  std_logic;
-    I_processingDone_Y_IIR      : in  std_logic;
-    I_processingDone_FIR2      : in  std_logic;
-    O_loadShift_FIR1           : out std_logic;  -- filtered sample
-    O_loadShift_IIR           : out std_logic;  -- filtered sample
-    O_loadShift_FIR2           : out std_logic;  -- filtered sample
-    O_initAddress         : out std_logic;  -- Control signal to initialize register read address
-    O_incrAddress         : out std_logic;  -- Control signal to increment register read address
-    O_initSum             : out std_logic;  -- Control signal to initialize the MAC register
-    O_loadSum             : out std_logic;  -- Control signal to load the MAC register;
-    O_loadOutput               : out std_logic;  -- Control signal to load Y register
-    O_FilteredSampleValid : out std_logic  -- Data valid signal for filtered sample
-    );
-
-end entity controlUnit;
-architecture archi_operativeUnit of controlUnit is
-
-
-  type T_state is (WAIT_SAMPLE, STORE_FIR1, PROCESSING_LOOP_FIR1, STORE_IIR, PROCESSING_LOOP_X_IIR, INIT_Y, 
-  PROCESSING_LOOP_Y_IIR, STORE_FIR2, PROCESSING_LOOP_FIR2, OUTPUT, WAIT_END_SAMPLE);  -- state list
-  signal SR_presentState : T_state; 
-  signal SR_futurState   : T_state;
-
-begin
-
-  process (I_clock,I_reset) is
-  begin
-    if I_reset = '1' then               -- asynchronous reset (active high)
-      SR_presentState <= WAIT_SAMPLE;
-    elsif rising_edge(I_clock) then     -- rising clock edge
-      SR_presentState <= SR_futurState; 
-    end if;
-  end process;
-
-  process (SR_presentState,I_inputSampleValid,I_processingDone_FIR1,I_processingDone_X_IIR,I_processingDone_Y_IIR,I_processingDone_FIR2) is
-  begin
-    case SR_presentState is
-
-      when WAIT_SAMPLE =>
-      if I_inputSampleValid = '1' then
-         SR_futurState <= STORE_FIR1;
-      else
-        SR_futurState <= WAIT_SAMPLE;
-      end if;  
-      
-      when STORE_FIR1 =>
-        SR_futurState <= PROCESSING_LOOP_FIR1;
-        
-      when PROCESSING_LOOP_FIR1 => 
-        if I_processingDone_FIR1  = '1' then
-            SR_futurState <= STORE_IIR;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR1;
-        end if;  
-        
-      when STORE_IIR => 
-         SR_futurState <= PROCESSING_LOOP_X_IIR;
-            
-      when PROCESSING_LOOP_X_IIR => 
-        if I_processingDone_X_IIR  = '1' then
-            SR_futurState <= INIT_Y;
-        else
-            SR_futurState <= PROCESSING_LOOP_X_IIR;
-        end if; 
-      
-      when INIT_Y =>
-        SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        
-      when PROCESSING_LOOP_Y_IIR => 
-        if I_processingDone_Y_IIR  = '1' then
-            SR_futurState <= STORE_FIR2;
-        else
-            SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        end if; 
-        
-      when STORE_FIR2 =>
-        SR_futurState <= PROCESSING_LOOP_FIR2;
-      
-      when PROCESSING_LOOP_FIR2 => 
-        if I_processingDone_FIR2  = '1' then
-            SR_futurState <= OUTPUT;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR2;
-        end if; 
-      
-      when OUTPUT =>
-        SR_futurState <= WAIT_END_SAMPLE;
-      
-      when WAIT_END_SAMPLE =>
-        if I_inputSampleValid = '0' then
-            SR_futurState <= WAIT_SAMPLE;
-        else
-            SR_futurState <= WAIT_END_SAMPLE;
-        end if;
-        
-      when others => 
-        SR_futurState <= WAIT_SAMPLE;
-    end case;
-  end process;
-
-
-
-  O_loadShift_FIR1      <= '1' when SR_presentState = STORE_FIR1 else '0' ;
-  O_loadShift_IIR       <= '1' when SR_presentState = STORE else '0' ;
-  O_loadShift_FIR2      <= '1' when SR_presentState = STORE else '0' ;
-  O_initAddress         <= '1' when SR_presentState = STORE_FIR1 OR STORE_IIR OR STORE_FIR2 else '0' ;
-  O_incrAddress         <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR PROCESSING_LOOP_X_IIR OR PROCESSING_LOOP_Y_IIR OR PROCESSING_LOOP_FIR2 else '0' ;
-  O_initSum             <= '1' when SR_presentState = STORE_FIR1 OR STORE_IIR OR STORE_FIR2 else '0' ;
-  O_loadSum             <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR PROCESSING_LOOP_X_IIR OR PROCESSING_LOOP_Y_IIR OR PROCESSING_LOOP_FIR2 else '0' ;
-  O_loadOutput               <= '1' when SR_presentState = OUTPUT else '0' ;
- -- O_FilteredSampleValid <= '1' when _BLANK_ ; ????
-
-end architecture archi_operativeUnit;
diff --git a/project_1/project_1.srcs/sources_1/new/controlUnit.vhd b/project_1/project_1.srcs/sources_1/new/controlUnit.vhd
deleted file mode 100644
index 469a31f..0000000
--- a/project_1/project_1.srcs/sources_1/new/controlUnit.vhd
+++ /dev/null
@@ -1,162 +0,0 @@
-----------------------------------------------------------------------------------
--- Company: 
--- Engineer: 
--- 
--- Create Date: 03/26/2025 04:14:55 PM
--- Design Name: 
--- Module Name: controlUnit - Behavioral
--- Project Name: 
--- Target Devices: 
--- Tool Versions: 
--- Description: 
--- 
--- Dependencies: 
--- 
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
--- 
-----------------------------------------------------------------------------------
-
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use ieee.numeric_std.all;
-
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
---use IEEE.NUMERIC_STD.ALL;
-
--- Uncomment the following library declaration if instantiating
--- any Xilinx leaf cells in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity controlUnit is
---  Port ( );
-
-port (
-    I_clock                   : in  std_logic;  -- global clock
-    I_reset                   : in  std_logic;  -- asynchronous global reset
-    I_inputSampleValid        : in  std_logic;  -- Control signal to load the input sample in the sample shift register and shift the register
-    I_processingDone_FIR1     : in  std_logic;
-    I_processingDone_X_IIR    : in  std_logic;
-    I_processingDone_Y_IIR    : in  std_logic;
-    I_processingDone_FIR2     : in  std_logic;
-    O_loadShift_FIR1          : out std_logic;  -- filtered sample
-    O_loadShift_IIR           : out std_logic;  -- filtered sample
-    O_loadShift_FIR2          : out std_logic;  -- filtered sample
-    O_initAddress             : out std_logic;  -- Control signal to initialize register read address
-    O_incrAddress             : out std_logic;  -- Control signal to increment register read address
-    O_initSum                 : out std_logic;  -- Control signal to initialize the MAC register
-    O_loadSum                 : out std_logic;  -- Control signal to load the MAC register;
-    O_loadOutput              : out std_logic;  -- Control signal to load Y register
-    O_sel                     : out std_logic_vector(1 downto 0);
-    O_FilteredSampleValid     : out std_logic  -- Data valid signal for filtered sample
-    );
-end controlUnit;
-
-architecture Behavioral of controlUnit is
-
-
-type T_state is (WAIT_SAMPLE, STORE_FIR1, PROCESSING_LOOP_FIR1, STORE_IIR, PROCESSING_LOOP_X_IIR, INIT_Y, 
-  PROCESSING_LOOP_Y_IIR, STORE_FIR2, PROCESSING_LOOP_FIR2, OUTPUT, WAIT_END_SAMPLE);  -- state list
-  signal SR_presentState : T_state; 
-  signal SR_futurState   : T_state;
-
-begin
-
-  process (I_clock,I_reset) is
-  begin
-    if I_reset = '1' then               -- asynchronous reset (active high)
-      SR_presentState <= WAIT_SAMPLE;
-    elsif rising_edge(I_clock) then     -- rising clock edge
-      SR_presentState <= SR_futurState; 
-    end if;
-  end process;
-
-  process (SR_presentState,I_inputSampleValid,I_processingDone_FIR1,I_processingDone_X_IIR,I_processingDone_Y_IIR,I_processingDone_FIR2) is
-  begin
-    case SR_presentState is
-
-      when WAIT_SAMPLE =>
-      if I_inputSampleValid = '1' then
-         SR_futurState <= STORE_FIR1;
-      else
-        SR_futurState <= WAIT_SAMPLE;
-      end if;  
-      
-      when STORE_FIR1 =>
-        O_sel <= "00";
-        SR_futurState <= PROCESSING_LOOP_FIR1;
-        
-      when PROCESSING_LOOP_FIR1 => 
-        if I_processingDone_FIR1  = '1' then
-            SR_futurState <= STORE_IIR;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR1;
-        end if;  
-        
-      when STORE_IIR => 
-         O_sel <= "01";
-         SR_futurState <= PROCESSING_LOOP_X_IIR;
-            
-      when PROCESSING_LOOP_X_IIR => 
-        if I_processingDone_X_IIR  = '1' then
-            SR_futurState <= INIT_Y;
-        else
-            SR_futurState <= PROCESSING_LOOP_X_IIR;
-        end if; 
-      
-      when INIT_Y =>
-        O_sel <= "10";
-        SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        
-      when PROCESSING_LOOP_Y_IIR => 
-        if I_processingDone_Y_IIR  = '1' then
-            SR_futurState <= STORE_FIR2;
-        else
-            SR_futurState <= PROCESSING_LOOP_Y_IIR;
-        end if; 
-        
-      when STORE_FIR2 =>
-        O_sel <= "11";
-        SR_futurState <= PROCESSING_LOOP_FIR2;
-      
-      when PROCESSING_LOOP_FIR2 => 
-        if I_processingDone_FIR2  = '1' then
-            SR_futurState <= OUTPUT;
-        else
-            SR_futurState <= PROCESSING_LOOP_FIR2;
-        end if; 
-      
-      when OUTPUT =>
-        SR_futurState <= WAIT_END_SAMPLE;
-      
-      when WAIT_END_SAMPLE =>
-        if I_inputSampleValid = '0' then
-            SR_futurState <= WAIT_SAMPLE;
-        else
-            SR_futurState <= WAIT_END_SAMPLE;
-        end if;
-        
-      when others => 
-        SR_futurState <= WAIT_SAMPLE;
-    end case;
-  end process;
-
-
-
-  O_loadShift_FIR1      <= '1' when SR_presentState = STORE_FIR1 else '0' ;
-  O_loadShift_IIR       <= '1' when SR_presentState = STORE_IIR else '0' ;
-  O_loadShift_FIR2      <= '1' when SR_presentState = STORE_FIR2 else '0' ;
-  O_initAddress         <= '1' when SR_presentState = STORE_FIR1 OR SR_presentState = STORE_IIR OR SR_presentState = STORE_FIR2 else '0' ;
-  O_incrAddress         <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR SR_presentState = PROCESSING_LOOP_X_IIR OR SR_presentState = PROCESSING_LOOP_Y_IIR OR SR_presentState = PROCESSING_LOOP_FIR2 else '0' ;
-  O_initSum             <= '1' when SR_presentState = STORE_FIR1 OR SR_presentState = STORE_IIR OR SR_presentState = STORE_FIR2 else '0' ;
-  O_loadSum             <= '1' when SR_presentState = PROCESSING_LOOP_FIR1 OR SR_presentState = PROCESSING_LOOP_X_IIR OR SR_presentState = PROCESSING_LOOP_Y_IIR OR SR_presentState = PROCESSING_LOOP_FIR2 else '0' ;
-  O_loadOutput          <= '1' when SR_presentState = OUTPUT else '0' ;  
- -- O_FilteredSampleValid <= '1' when _BLANK_ ; ????
-
-end architecture Behavioral;
-
-
diff --git a/project_1/project_1.srcs/sources_1/new/operativeUnit.vhd b/project_1/project_1.srcs/sources_1/new/operativeUnit.vhd
deleted file mode 100644
index b6d21dd..0000000
--- a/project_1/project_1.srcs/sources_1/new/operativeUnit.vhd
+++ /dev/null
@@ -1,145 +0,0 @@
-----------------------------------------------------------------------------------
--- Company: 
--- Engineer: 
--- 
--- Create Date: 03/26/2025 04:22:28 PM
--- Design Name: 
--- Module Name: operativeUnit - Behavioral
--- Project Name: 
--- Target Devices: 
--- Tool Versions: 
--- Description: 
--- 
--- Dependencies: 
--- 
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
--- 
-----------------------------------------------------------------------------------
-
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use ieee.numeric_std.all;
-
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
---use IEEE.NUMERIC_STD.ALL;
-
--- Uncomment the following library declaration if instantiating
--- any Xilinx leaf cells in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity operativeUnit is
---  Port ( );
-end operativeUnit;
-
-architecture Behavioral of operativeUnit is
-    type registerFile is array(0 to 94) of signed(10 downto 0);
-    signal SR_coefRegister_FIR1 : registerFile;
-
-begin
-
-SR_coefRegister_FIR1 <= (to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-2, 11),
-    to_signed(-2, 11),
-    to_signed(-2, 11),
-    to_signed(-3, 11),
-    to_signed(-3, 11),
-    to_signed(-3, 11),
-    to_signed(-4, 11),
-    to_signed(-4, 11),
-    to_signed(-5, 11),
-    to_signed(-5, 11),
-    to_signed(-6, 11),
-    to_signed(-6, 11),
-    to_signed(-7, 11),
-    to_signed(-7, 11),
-    to_signed(-8, 11),
-    to_signed(-8, 11),
-    to_signed(-9, 11),
-    to_signed(-10, 11),
-    to_signed(-10, 11),
-    to_signed(-11, 11),
-    to_signed(-11, 11),
-    to_signed(-12, 11),
-    to_signed(-13, 11),
-    to_signed(-13, 11),
-    to_signed(-14, 11),
-    to_signed(-14, 11),
-    to_signed(-15, 11),
-    to_signed(-15, 11),
-    to_signed(-16, 11),
-    to_signed(-16, 11),
-    to_signed(-17, 11),
-    to_signed(-17, 11),
-    to_signed(-18, 11),
-    to_signed(-18, 11),
-    to_signed(-18, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(1004, 121,
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-19, 11),
-    to_signed(-18, 11),
-    to_signed(-18, 11),
-    to_signed(-18, 11),
-    to_signed(-17, 11),
-    to_signed(-17, 11),
-    to_signed(-16, 11),
-    to_signed(-16, 11),
-    to_signed(-15, 11),
-    to_signed(-15, 11),
-    to_signed(-14, 11),
-    to_signed(-14, 11),
-    to_signed(-13, 11),
-    to_signed(-13, 11),
-    to_signed(-12, 11),
-    to_signed(-11, 11),
-    to_signed(-11, 11),
-    to_signed(-10, 11),
-    to_signed(-10, 11),
-    to_signed(-9, 11),
-    to_signed(-8, 11),
-    to_signed(-8, 11),
-    to_signed(-7, 11),
-    to_signed(-7, 11),
-    to_signed(-6, 11),
-    to_signed(-6, 11),
-    to_signed(-5, 11),
-    to_signed(-5, 11),
-    to_signed(-4, 11),
-    to_signed(-4, 11),
-    to_signed(-3, 11),
-    to_signed(-3, 11),
-    to_signed(-3, 11),
-    to_signed(-2, 11),
-    to_signed(-2, 11),
-    to_signed(-2, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11),
-    to_signed(-1, 11)
-    );
-
-
-
-
-end Behavioral;
diff --git a/project_1/project_1.xpr b/project_1/project_1.xpr
deleted file mode 100644
index 893001d..0000000
--- a/project_1/project_1.xpr
+++ /dev/null
@@ -1,223 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Product Version: Vivado v2024.1 (64-bit)                              -->
-<!--                                                                         -->
-<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                   -->
-<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.   -->
-
-<Project Product="Vivado" Version="7" Minor="67" Path="/homes/m24luisl/project_1/project_1.xpr">
-  <DefaultLaunch Dir="$PRUNDIR"/>
-  <Configuration>
-    <Option Name="Id" Val="ee81de0c9dfe421bad27c54a6f4a5d9e"/>
-    <Option Name="Part" Val="xc7a12ticsg325-1L"/>
-    <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/>
-    <Option Name="CompiledLibDirXSim" Val=""/>
-    <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
-    <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
-    <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
-    <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
-    <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
-    <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
-    <Option Name="SimulatorInstallDirModelSim" Val=""/>
-    <Option Name="SimulatorInstallDirQuesta" Val=""/>
-    <Option Name="SimulatorInstallDirXcelium" Val=""/>
-    <Option Name="SimulatorInstallDirVCS" Val=""/>
-    <Option Name="SimulatorInstallDirRiviera" Val=""/>
-    <Option Name="SimulatorInstallDirActiveHdl" Val=""/>
-    <Option Name="SimulatorGccInstallDirModelSim" Val=""/>
-    <Option Name="SimulatorGccInstallDirQuesta" Val=""/>
-    <Option Name="SimulatorGccInstallDirXcelium" Val=""/>
-    <Option Name="SimulatorGccInstallDirVCS" Val=""/>
-    <Option Name="SimulatorGccInstallDirRiviera" Val=""/>
-    <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
-    <Option Name="SimulatorVersionXsim" Val="2024.1"/>
-    <Option Name="SimulatorVersionModelSim" Val="2023.2"/>
-    <Option Name="SimulatorVersionQuesta" Val="2023.2"/>
-    <Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
-    <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
-    <Option Name="SimulatorVersionRiviera" Val="2023.04"/>
-    <Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
-    <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
-    <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
-    <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
-    <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
-    <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
-    <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
-    <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
-    <Option Name="BoardPart" Val=""/>
-    <Option Name="ActiveSimSet" Val="sim_1"/>
-    <Option Name="DefaultLib" Val="xil_defaultlib"/>
-    <Option Name="ProjectType" Val="Default"/>
-    <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/>
-    <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/>
-    <Option Name="IPCachePermission" Val="read"/>
-    <Option Name="IPCachePermission" Val="write"/>
-    <Option Name="EnableCoreContainer" Val="FALSE"/>
-    <Option Name="EnableResourceEstimation" Val="FALSE"/>
-    <Option Name="SimCompileState" Val="TRUE"/>
-    <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
-    <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
-    <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
-    <Option Name="EnableBDX" Val="FALSE"/>
-    <Option Name="WTXSimLaunchSim" Val="0"/>
-    <Option Name="WTModelSimLaunchSim" Val="0"/>
-    <Option Name="WTQuestaLaunchSim" Val="0"/>
-    <Option Name="WTIesLaunchSim" Val="0"/>
-    <Option Name="WTVcsLaunchSim" Val="0"/>
-    <Option Name="WTRivieraLaunchSim" Val="0"/>
-    <Option Name="WTActivehdlLaunchSim" Val="0"/>
-    <Option Name="WTXSimExportSim" Val="0"/>
-    <Option Name="WTModelSimExportSim" Val="0"/>
-    <Option Name="WTQuestaExportSim" Val="0"/>
-    <Option Name="WTIesExportSim" Val="0"/>
-    <Option Name="WTVcsExportSim" Val="0"/>
-    <Option Name="WTRivieraExportSim" Val="0"/>
-    <Option Name="WTActivehdlExportSim" Val="0"/>
-    <Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
-    <Option Name="XSimRadix" Val="hex"/>
-    <Option Name="XSimTimeUnit" Val="ns"/>
-    <Option Name="XSimArrayDisplayLimit" Val="1024"/>
-    <Option Name="XSimTraceLimit" Val="65536"/>
-    <Option Name="SimTypes" Val="rtl"/>
-    <Option Name="SimTypes" Val="bfm"/>
-    <Option Name="SimTypes" Val="tlm"/>
-    <Option Name="SimTypes" Val="tlm_dpi"/>
-    <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
-    <Option Name="DcpsUptoDate" Val="TRUE"/>
-    <Option Name="ClassicSocBoot" Val="FALSE"/>
-    <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
-  </Configuration>
-  <FileSets Version="1" Minor="32">
-    <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
-      <Filter Type="Srcs"/>
-      <File Path="$PSRCDIR/sources_1/new/controlUnit.vhd">
-        <FileInfo>
-          <Attr Name="UsedIn" Val="synthesis"/>
-          <Attr Name="UsedIn" Val="simulation"/>
-        </FileInfo>
-      </File>
-      <File Path="$PSRCDIR/sources_1/new/operativeUnit.vhd">
-        <FileInfo>
-          <Attr Name="AutoDisabled" Val="1"/>
-          <Attr Name="UsedIn" Val="synthesis"/>
-          <Attr Name="UsedIn" Val="simulation"/>
-        </FileInfo>
-      </File>
-      <Config>
-        <Option Name="DesignMode" Val="RTL"/>
-        <Option Name="TopModule" Val="controlUnit"/>
-        <Option Name="TopAutoSet" Val="TRUE"/>
-      </Config>
-    </FileSet>
-    <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
-      <Filter Type="Constrs"/>
-      <Config>
-        <Option Name="ConstrsType" Val="XDC"/>
-      </Config>
-    </FileSet>
-    <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1">
-      <Config>
-        <Option Name="DesignMode" Val="RTL"/>
-        <Option Name="TopModule" Val="controlUnit"/>
-        <Option Name="TopLib" Val="xil_defaultlib"/>
-        <Option Name="TopAutoSet" Val="TRUE"/>
-        <Option Name="TransportPathDelay" Val="0"/>
-        <Option Name="TransportIntDelay" Val="0"/>
-        <Option Name="SelectedSimModel" Val="rtl"/>
-        <Option Name="PamDesignTestbench" Val=""/>
-        <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/>
-        <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/>
-        <Option Name="PamPseudoTop" Val="pseudo_tb"/>
-        <Option Name="SrcSet" Val="sources_1"/>
-      </Config>
-    </FileSet>
-    <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
-      <Filter Type="Utils"/>
-      <Config>
-        <Option Name="TopAutoSet" Val="TRUE"/>
-      </Config>
-    </FileSet>
-  </FileSets>
-  <Simulators>
-    <Simulator Name="XSim">
-      <Option Name="Description" Val="Vivado Simulator"/>
-      <Option Name="CompiledLib" Val="0"/>
-    </Simulator>
-    <Simulator Name="ModelSim">
-      <Option Name="Description" Val="ModelSim Simulator"/>
-    </Simulator>
-    <Simulator Name="Questa">
-      <Option Name="Description" Val="Questa Advanced Simulator"/>
-    </Simulator>
-    <Simulator Name="Xcelium">
-      <Option Name="Description" Val="Xcelium Parallel Simulator"/>
-    </Simulator>
-    <Simulator Name="VCS">
-      <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/>
-    </Simulator>
-    <Simulator Name="Riviera">
-      <Option Name="Description" Val="Riviera-PRO Simulator"/>
-    </Simulator>
-  </Simulators>
-  <Runs Version="1" Minor="22">
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a12ticsg325-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
-      <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024">
-          <Desc>Vivado Synthesis Defaults</Desc>
-        </StratHandle>
-        <Step Id="synth_design"/>
-      </Strategy>
-      <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
-      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
-      <RQSFiles/>
-    </Run>
-    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a12ticsg325-1L" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
-      <Strategy Version="1" Minor="2">
-        <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024">
-          <Desc>Default settings for Implementation.</Desc>
-        </StratHandle>
-        <Step Id="init_design"/>
-        <Step Id="opt_design"/>
-        <Step Id="power_opt_design"/>
-        <Step Id="place_design"/>
-        <Step Id="post_place_power_opt_design"/>
-        <Step Id="phys_opt_design"/>
-        <Step Id="route_design"/>
-        <Step Id="post_route_phys_opt_design"/>
-        <Step Id="write_bitstream"/>
-      </Strategy>
-      <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
-      <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
-      <RQSFiles/>
-    </Run>
-  </Runs>
-  <Board/>
-  <DashboardSummary Version="1" Minor="0">
-    <Dashboards>
-      <Dashboard Name="default_dashboard">
-        <Gadgets>
-          <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/>
-          </Gadget>
-          <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/>
-          </Gadget>
-          <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/>
-          </Gadget>
-          <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/>
-          </Gadget>
-          <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/>
-            <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/>
-            <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/>
-          </Gadget>
-          <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1">
-            <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/>
-          </Gadget>
-        </Gadgets>
-      </Dashboard>
-      <CurrentDashboard>default_dashboard</CurrentDashboard>
-    </Dashboards>
-  </DashboardSummary>
-</Project>
-- 
GitLab