From 754d7ffe2deea2be9d19e3cf6292523405913727 Mon Sep 17 00:00:00 2001 From: Lucas DEBACKER <l23debac@fl-tp-br-608.imta.fr> Date: Wed, 26 Feb 2025 12:19:42 +0100 Subject: [PATCH] =?UTF-8?q?Modification=20de=20FSM=20et=20du=20counter=20v?= =?UTF-8?q?alid=C3=A9s=20par=20simulation=20dans=20Vivado?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- docs/img/FSM.png | Bin 117251 -> 127271 bytes proj/AudioProc.cache/sim/ssm.db | 11 + proj/AudioProc.cache/wt/project.wpc | 3 + proj/AudioProc.cache/wt/synthesis.wdf | 52 + proj/AudioProc.cache/wt/synthesis_details.wdf | 3 + proj/AudioProc.cache/wt/webtalk_pa.xml | 21 + proj/AudioProc.cache/wt/xsim.wdf | 4 + proj/AudioProc.hw/AudioProc.lpr | 9 + proj/AudioProc.hw/hw_1/hw.xml | 18 + proj/AudioProc.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 + proj/AudioProc.runs/.jobs/vrs_config_1.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.end.rst | 0 .../impl_1/.route_design.begin.rst | 5 + .../impl_1/.route_design.end.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/impl_1/.vivado.end.rst | 0 .../impl_1/.write_bitstream.begin.rst | 5 + .../impl_1/.write_bitstream.end.rst | 0 proj/AudioProc.runs/impl_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/impl_1/ISEWrap.sh | 85 + proj/AudioProc.runs/impl_1/audioProc.bin | Bin 0 -> 9730652 bytes proj/AudioProc.runs/impl_1/audioProc.bit | Bin 0 -> 9730758 bytes proj/AudioProc.runs/impl_1/audioProc.tcl | 284 ++ proj/AudioProc.runs/impl_1/audioProc.vdi | 807 +++++ .../impl_1/audioProc_bus_skew_routed.pb | Bin 0 -> 30 bytes .../impl_1/audioProc_bus_skew_routed.rpt | 16 + .../impl_1/audioProc_bus_skew_routed.rpx | Bin 0 -> 1091 bytes .../audioProc_clock_utilization_routed.rpt | 252 ++ .../impl_1/audioProc_control_sets_placed.rpt | 111 + .../impl_1/audioProc_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_opted.rpt | 49 + .../impl_1/audioProc_drc_opted.rpx | Bin 0 -> 1630 bytes .../impl_1/audioProc_drc_routed.pb | Bin 0 -> 37 bytes .../impl_1/audioProc_drc_routed.rpt | 60 + .../impl_1/audioProc_drc_routed.rpx | Bin 0 -> 3269 bytes .../impl_1/audioProc_io_placed.rpt | 526 +++ .../audioProc_methodology_drc_routed.pb | Bin 0 -> 52 bytes .../audioProc_methodology_drc_routed.rpt | 147 + .../audioProc_methodology_drc_routed.rpx | Bin 0 -> 9940 bytes proj/AudioProc.runs/impl_1/audioProc_opt.dcp | Bin 0 -> 310752 bytes .../impl_1/audioProc_placed.dcp | Bin 0 -> 486810 bytes .../impl_1/audioProc_power_routed.rpt | 160 + .../impl_1/audioProc_power_routed.rpx | Bin 0 -> 421877 bytes .../impl_1/audioProc_power_summary_routed.pb | Bin 0 -> 867 bytes .../impl_1/audioProc_route_status.pb | Bin 0 -> 44 bytes .../impl_1/audioProc_route_status.rpt | 11 + .../impl_1/audioProc_routed.dcp | Bin 0 -> 554904 bytes .../impl_1/audioProc_timing_summary_routed.pb | Bin 0 -> 109 bytes .../audioProc_timing_summary_routed.rpt | 3130 +++++++++++++++++ .../audioProc_timing_summary_routed.rpx | Bin 0 -> 278399 bytes .../impl_1/audioProc_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/audioProc_utilization_placed.rpt | 227 ++ proj/AudioProc.runs/impl_1/clockInfo.txt | 10 + proj/AudioProc.runs/impl_1/gen_run.xml | 208 ++ proj/AudioProc.runs/impl_1/htr.txt | 10 + proj/AudioProc.runs/impl_1/init_design.pb | Bin 0 -> 5138 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 0 -> 15992 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 0 -> 25120 bytes proj/AudioProc.runs/impl_1/project.wdf | 32 + proj/AudioProc.runs/impl_1/route_design.pb | Bin 0 -> 17827 bytes proj/AudioProc.runs/impl_1/rundef.js | 45 + proj/AudioProc.runs/impl_1/runme.bat | 12 + proj/AudioProc.runs/impl_1/runme.log | 797 +++++ proj/AudioProc.runs/impl_1/runme.sh | 44 + proj/AudioProc.runs/impl_1/vivado.jou | 24 + proj/AudioProc.runs/impl_1/vivado.pb | Bin 0 -> 112 bytes proj/AudioProc.runs/impl_1/write_bitstream.pb | Bin 0 -> 4094 bytes .../synth_1/.Vivado_Synthesis.queue.rst | 0 .../synth_1/.Xil/audioProc_propImpl.xdc | 51 + proj/AudioProc.runs/synth_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 proj/AudioProc.runs/synth_1/ISEWrap.js | 270 ++ proj/AudioProc.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 proj/AudioProc.runs/synth_1/audioProc.dcp | Bin 0 -> 137818 bytes proj/AudioProc.runs/synth_1/audioProc.tcl | 129 + proj/AudioProc.runs/synth_1/audioProc.vds | 758 ++++ .../synth_1/audioProc_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/audioProc_utilization_synth.rpt | 193 + proj/AudioProc.runs/synth_1/dont_touch.xdc | 7 + proj/AudioProc.runs/synth_1/gen_run.xml | 130 + proj/AudioProc.runs/synth_1/htr.txt | 10 + proj/AudioProc.runs/synth_1/rundef.js | 41 + proj/AudioProc.runs/synth_1/runme.bat | 12 + proj/AudioProc.runs/synth_1/runme.log | 748 ++++ proj/AudioProc.runs/synth_1/runme.sh | 40 + proj/AudioProc.runs/synth_1/vivado.jou | 24 + proj/AudioProc.runs/synth_1/vivado.pb | Bin 0 -> 94529 bytes .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 28 + .../sim_1/behav/xsim/elaborate.log | 49 + .../sim_1/behav/xsim/elaborate.sh | 22 + proj/AudioProc.sim/sim_1/behav/xsim/glbl.v | 84 + .../sim_1/behav/xsim/simulate.log | 0 .../sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 779971 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 8 + .../sim_1/behav/xsim/tb_firUnit_vlog.prj | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 5865 bytes .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 278632 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 571 +++ .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 36304 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 51496 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 68473 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 116468 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 633 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 78 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7552 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 23272 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 + .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 245824 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 4 + .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 8274 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 10268 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 5655 bytes .../xil_defaultlib/operative@unit.sdb | Bin 0 -> 172637 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7446 bytes .../xil_defaultlib/xil_defaultlib.rlx | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini | 490 +++ .../sim_1/behav/xsim/xsim.ini.bak | 490 +++ proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 6 + proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | Bin 0 -> 819 bytes proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log | 0 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb | 4 + proj/AudioProc.xpr | 307 ++ src/hdl/controlUnit.vhd | 48 +- src/hdl/operativeUnit.vhd | 15 +- 138 files changed, 12313 insertions(+), 18 deletions(-) create mode 100644 proj/AudioProc.cache/sim/ssm.db create mode 100644 proj/AudioProc.cache/wt/project.wpc create mode 100644 proj/AudioProc.cache/wt/synthesis.wdf create mode 100644 proj/AudioProc.cache/wt/synthesis_details.wdf create mode 100644 proj/AudioProc.cache/wt/webtalk_pa.xml create mode 100644 proj/AudioProc.cache/wt/xsim.wdf create mode 100644 proj/AudioProc.hw/AudioProc.lpr create mode 100644 proj/AudioProc.hw/hw_1/hw.xml create mode 100644 proj/AudioProc.ip_user_files/README.txt create mode 100755 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_1.xml create mode 100644 proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.route_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.write_bitstream.end.rst create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bin create mode 100644 proj/AudioProc.runs/impl_1/audioProc.bit create mode 100644 proj/AudioProc.runs/impl_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/impl_1/audioProc.vdi create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_opt.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_placed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_route_status.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_routed.dcp create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt create mode 100644 proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb create mode 100644 proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt create mode 100644 proj/AudioProc.runs/impl_1/clockInfo.txt create mode 100644 proj/AudioProc.runs/impl_1/gen_run.xml create mode 100644 proj/AudioProc.runs/impl_1/htr.txt create mode 100644 proj/AudioProc.runs/impl_1/init_design.pb create mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb create mode 100644 proj/AudioProc.runs/impl_1/place_design.pb create mode 100644 proj/AudioProc.runs/impl_1/project.wdf create mode 100644 proj/AudioProc.runs/impl_1/route_design.pb create mode 100644 proj/AudioProc.runs/impl_1/rundef.js create mode 100644 proj/AudioProc.runs/impl_1/runme.bat create mode 100644 proj/AudioProc.runs/impl_1/runme.log create mode 100755 proj/AudioProc.runs/impl_1/runme.sh create mode 100644 proj/AudioProc.runs/impl_1/vivado.jou create mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/impl_1/write_bitstream.pb create mode 100644 proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc create mode 100644 proj/AudioProc.runs/synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/synth_1/audioProc.dcp create mode 100644 proj/AudioProc.runs/synth_1/audioProc.tcl create mode 100644 proj/AudioProc.runs/synth_1/audioProc.vds create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb create mode 100644 proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/synth_1/dont_touch.xdc create mode 100644 proj/AudioProc.runs/synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/synth_1/htr.txt create mode 100644 proj/AudioProc.runs/synth_1/rundef.js create mode 100644 proj/AudioProc.runs/synth_1/runme.bat create mode 100644 proj/AudioProc.runs/synth_1/runme.log create mode 100755 proj/AudioProc.runs/synth_1/runme.sh create mode 100644 proj/AudioProc.runs/synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/synth_1/vivado.pb create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/compile.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/glbl.v create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 proj/AudioProc.xpr diff --git a/docs/img/FSM.png b/docs/img/FSM.png index 7f6db881fff5cdfb9351c0348dfec49ff082516d..513280e4c67d82a7ba29d052a485c5c4e5ef8562 100644 GIT binary patch delta 106085 zcmZpk!oK_w`vhgCaO;Vx<`aADnT$;)o>j3iHqkRsH8N5)GAK(eD$dN$Q#E!`H8L_X z(=*UBG=s<#Cnx5lLc|RrB1!p0DXB%1515Hhj$q6cH8FvTr&L0fnH!sLzRhT6%Vc0M z`Jsi_<O8n!5T?lFdLKauQ;E@Fvb~!kh-2?2HhDoXACrN><^^spjGH4JomrR+3=vvE zOcbq#ShaSsvKknw8ez8+WCq+$kbV?9nT^a0Cr3N(+^px{#SC&2>CWC1dW^Y#>J_Ix z1_lKNPZ!6Kinup(*(*Y>UTd3viD{uH=Y$K@?p-2*0U}55IlOtW^^cg#de)7K*NZ~0 zRKMN3u!*CKRYb&brI+B6??MU-)dZqe+^gSjJ<mci&B*xVnUqse=Z(*wNjW!X^Y?PU zwEFa^`Ex(mCofvYp~2MEptJx)ISAG(7Bo$osN@vKzZxU}5f@`rRjyJ*QZ`X(LCO-3 zhu53krI=n{Uq5}ScDUF2xV>F98R~OOCe{3UxxDBgtGGyp4!5}8l*#^fk>B3lR`2ZW zWWCj1=P4M#BGOo(upmW6M&q@iQx`*b?&oJ`Rc&o!{cJwgyR3}dylhVWzn`Dh*Z=j_ z3STF4VPky7L)M>9r^l!K`SFplR$oL~T~+mDhoJJ3^z-xN%Ktx|9)HU8dW>>rW@gY* zuc?o>MQ+QPxh3PG6Q8`Dj;hxbj-vvl^TA$ZVP#ZRc5DnR51PX9=HA}u4@zsIwt8h< zU#Gir<;qVtlKVO9>;FG&muHfSQkgjM*Vot4Mwga)Pq!&fsPnh|I_1xg$NifMAG_V$ znB4AebSS-7%5;)*J0ELHW8NB2XgTZ<3@{SPJMy){(fxp;a!bUkYiqN=pLdE`?kDT* zp%t<s;lEkl9ScdTk`)gOHNw`+Sh8fvi4zl*m)8FNW;P{oU5sUaeX@1YlMWI6IGY!b zzimD2H`nT<`urNDUTO1ln_o8b+hrWD`*>8`dvDd(J(DKBd6ScQW5dF)b5>}AqEO%@ zhlXV5lg*2hKXW(!IdS6K+uKXCuCCft{M>JML;bg#=|$%(pP$&6e0+_1YwJBlZ5f?O zHp-Wm`3iG*t_)gg^Jqiq>#+Kjfs5P1jSfv$^PTm?^vq-N9lIui(?+6$!$}VbhilF( z@{Q{AYl8m$`Rrf$=i~9U-<u71=LvGK$4zXPuZx&)LDIHri><}No>x~_pFT6wcxmzT zb8+k;5=KRbdCgCJzhD2p@P6_0b6ewj5@y+_sLVKixfbGhEtV-gEnM}Hck?|2emv^d zPdPC`ahunZPW5>k5;@v?rszao5}LBuz29qp-QOo`x8Ix8($aFLaL>nM(nb{@5?<ce zxcE-h>$OEkMZ<3t^sW|d>q$vT;aDi>-^PCUZ$vAr$4QSw!7J~znYtX7C@e@(2|Cx( zryS2RO)oaf^Te*w*E*a#Uo7e_s^4DDf0;+dB4At2O{L^xJ(hX%XU?2yrupUV_WRq8 zw62mAa+R(AcvSr9^ZE6C9a&QG(_1D9#&f)U-qoNapbHBBX~v?*AIsR)?6_0$xcAeW z&F4=oaBS{#J~X#S#-<`*mPw}4`+dLHo#p?S@}wuG{BEgJk=8x2<95GZC|Caf`@KH( z*O!-!%IgwmH7H)z2WPni!2qL0;!ZBgA1*lapA3($Rh6&%@vu;J-MIs{svGM5d_4Z? zef|IFX*!Wf+KC=1srDIV7M}CX@0LtnyQA{`-tR?*GmO*w=G6UqX?A^y?`*S8WpAS_ z-)_0QM{VM+Ch>I!ygLmhtjxW=Ez(!iMy3A6N)gwi{x0!0Ru--ff*n#UQ+iy^uIyUh z=w$GrZNmpA7mt9iY4c8SbeCRU=G$s?V|M<&n_=hfl-<tVlyH#g=I-+Kv3t&2z1FGx z`E+{j*51{D|Gf8cK3NpGIW6pb^ONfyh9Qyb_y7C#xGgwD^~A)c?mlP10G37KjH=2P ztKTJ+)j#PL)19=h_V+2(=`l&p##!KetHHFfw_(!68+Q8x&8>eOnp1wS(#)L2zik#c zX?8ihQC^Uu^6h-#l`{e}i>0nOI=$QX``rr3?R+7i!iLdxK>>$`q^3;WueS<{4nk@! zPhPEFzpYXZX86bEhDj5DSY8*j4z3ppIB)wsX6J(@?lsdoVCL5-E=W<?cdp#!+_zKO z>$e<Ap0!45;&ONPrQlqD0+j2EI=(XeR5ZxBVQ~9QZ#!J0(t;EfyYtm9XR1CuIeBS! zdHziE{COrB7Zj9^Eoly55n*(75ah6D@^U-Wzf<m_f<yg0k9I!UHC|eYQl_pBAlEnk zaCJE8@n_y|rMZ@i(n?=noBDiS^*WE^3)#aV+BK?Jru2yXwd~j}c)|4h*6VST<Lm#L zzI(P#I1Hk|LJm}Zhqj0^R-HA;xe;)$=Ckj5+0w&S3br$#0XR{4LCTU7{*E>Eybm@W zmz(T6+w7#fe62{l@b#Nopt5BFM}@1y$&NUgMCXK`pPpV?<|`e!Ij#4~x>)O+<5!u% zS$F}5iy4!bo6_$;N3OhBF)Q=>y16`ZHWtgCEu9<)afyZulb0J;`~14w{w&A(<@NdP z|7_5S+H&H2{);q7MAtKYY-*S^vBL7QtBwD1&ba+`f4eGFsw$vi;o<CX(qqZoi4rpB zzr4KsRQ~^u_BC;Pt=Q6+2M0l|&;eP|y`b*CI#YOT>C}>!mt5cN`<*xc_A54s6-*!7 zLD6mfJaO*FM@PG#uGxHUl5X@ikBv!34^`FYz{8{jR7_Z%3%=6#>Hoj?|5a2~UE6ph zg|-%7Xw-%TNeifATzJ%c-S>TkkKHn_teE(<D`ZuO=DLj+PC}JbxPwAXR?+#v&Gh+G zuUrYy{<{ud<5oC>B&8LdA3T}tKW%z^UF5F%^7nF^l3(<Rf)Yjm%OZJD#;E60E@CTr zviIe(*(o<Sr3zZw$%ed9aEO}(N@gzlO!@o&ZqtzLy!ToBqVn>d?L|*d&DtS#F<sM) zja~BR`}+UV(vvSnYA_YH9kBoZ(?8HiC|%ul&gHdXYiIe)HuIfjk|}iV@T-lF1Q=D7 z>kBI`m7X#LWr!J;Qzx2FT)*#^S6uDa(3wW5UQc-a+(n8xT!bWVZb)qIkv8{xx9hdu z{(^ai$!#eqDJN8?$2_|JZP!F4foxDoxYbz7@$<{u+t-U)?f!Z#S~(-cYD$Ew!lV-? z+U4ssvahe3x_n;MDg7Os>60GR{(L(9`EJ{(^_icapP&ByUbX+J>H6;rZ-Wxz>-GEf zKn;n-?)|5hPLI<nzgL<5ZrkSc^V4RTW=GwXH+5AA5(qF7(o9!kJ07^qNAh9sbd zt_oK;DwLG_?S8GevNk$AZ{N>n0rGa||NTs~{dy&MssDVtZ|{qrotfBg|1aX&n#g2M zr?<DZ*5@XbUtbsd_V?%W_VM>B9`k12*pRsI@e}Kc0JmN#RZdRM<GH_|&#ymKdObG% zw%&!FO(`cQ6}`D(=;`CL<+hwnMZh`h_coGtH9KUT10|9xzTYiRy|l!$Ea%RKhU=W_ za{@#TUrcu60A-UWE=O(|)cttKUis_g^4xtLvy=q*SnBVbJn{eEcl(_`9(6yB|Nm?H zmh9_#GR_;0Cp4xWJZ{~1Ltbvu?fm_B%{p!Ve!2Ygh_L^bUDgwpfGXQdOTC{qvdc~Q z`1ttk^Pe^=yZ7DrTdky2lYebZ<flj7`ln_XCfmHrzPG3H(-C3+6Wj0CNt@Zk@E^JH ze&=&J?*kDvphjB#_q*luZNA?rzO*s<xRT^#ITIsL&`Lg6eCBqL|BR>lT$MXBS7|am zbT}}#XHE2Wzr3AK#eV+#{eEf4${@M+1CM@ObeF%G`|aIb?X$B?Cp$K?J>^Ucm}Xb? zB_nc6#>AA=)SDkp)c^Y|zcPINyu0f6Iyi;ZZq%-c-+xbULxs}5-}UdTy*WN~2pBc0 zRBW24?0yQ=`bj-KZR(jbKACrRZ2Y^w@Q_A3pX{W#y;YGyO&=H6-b|hT^ybw`s@_lf ztlwGWeczgOb&{a6TZB`|<6d*Q?>{Be=Omu=+`%)+r0h+EbXw6K(~9bIcE59W{(80g zuqltN9}B3AX7cya;aM5Fx<2gFzu)g)-}Td(b4Fukv$f<;P*dd9m6c|lw<bTdJgCgb z*kB{<moia>=g}07%@smH2?uSS&nbQ*Yr8W*fPas0g_d01k3{J{mG{j2Jo6_`cdTP~ zQ~LgVetn*2zk2_%2M3#TXS1AO`d$BYYWR|hkB>e*ne6{ea+~nELL0q#&syr)*&aR8 z6)byjpwZ0yX_xjoj^B~0HqL%${(irozi~z2;<m3H4-9vGIK*B1>~u@*fvN)=K+a!N zaQyhej_%LR)2B@nlNY`g>BhqJE`{a2)8AiT-zGgjslfX}YJ<U}qlY<WI{2vh&B?G; z{Nu3i+pX+t$xVO1T=xHVZr&fhp7;Lq>+NFiRX&$hbmx+`Dp_HYdn;t!u2)*W<%ArS zwEv4&=$}w6<Z(LU)Kk8w_V>4M=Z(|PZTWqC@x!c&430trGyjJ&HYT9zNp}76psEhx zhsxi2zpDx)&pDI+|KH!^wY?v@?0F3ig7Q>ZTt%4#bFyN5Te0iEo~S<{U(}titv``= zj{nS^oyDzPOs1|1F&sN435uQX*4uTWO8nNg+}DL10?omGma6_gnq<^%geyYjs$M91 z`uUv;p4YvdnV;*S{JSqFJ%4`R|6f<us$|3Q2RkZMBT5ui*17FF=B>Y1<?L*8@jZ$H zTuz=U*RAG>ZTtUhc7Dqo?e-5AzYpwo^5dvqD{3RTP(tha550M!<;^h<IhB??(KbK& zclVj>=jZ0i^+)e2$&^m|lv**HquB9U#W8P}h;A?WqKG*gde{Gb@qA+^sGVZ7K5_0< z<MTGj$&XTwPF`@FU$;E)+}y+n_QmYo(JV11IpR3(ZT=7x_$agR?Zw6Is_eP9ww(Ms z<NNNi`nQ`r*WcObZ1Q65lZf~C_P+hf`}4u9^uqLy<ywvw?;04Hb#{K(^5Ff=&Bv=) zPsk_kZz))zRj4YbQa5?}qjiCgRvUH5h;H${SM^%A|N4RD^Xs&@#dJQ*+AZ{oyFF$O z8?V%j&yOT_nop1^2<y&gnbLFQ`%nH;Z|r}+F|IBTU*y7BKl@C(^$hu<q&E|U-?3a3 zX$(+);N|uqM?mbuGuH#F4}SH0lrh(;^vwlO`}ptg@7v4YO*!VY?&G8_85a-L?W_Kt z_xh`XPm_5=0av$%$^pYT|9Lhy)pk@F{WJe?!@+{%v_sX0eGf7V-+<atEz6>}=T(+D zu6)O9ekbAh(~}ly^&69p=Dh1tPhJzcDnxU_JN`tKQ<LwmjoDe`#I(*nb!QK^j_loq zS67Fh&fR`Dt+<F|`O2f_OkQq}e*a-l{kCJ)&fQD84qV-_+q&REL(PYS>_vaSUT1$A zu}j$XfHc#(l$IqyCe{%LWRnk;d<|Q0-0_fRsLT^{!KlQIHGe)He;e*m&-T=Bp1kKC z)e3!%$qsHC)<$oSdN0pyx@7hozC#u*>2j4%1P^i_+BVm^eA_&}6MPH3C&jMZ(70!^ zN7wS!0>QTF4J!VJ#6-2j&KQS^6??TxiZ7Y}ez#P&&2Q!WBa<iUoSMO5@3>~u=FM;a z{V{yz|E_ztAYaj^+?u!SN$u%ve<bS-8e|;bdGLh=vm{OG$+^GpuG*}JZmNA<uT5-i z?;b1uss8NXU4hbnHa{Gd9$wn%eCf1O0m~1^N9^aWPMS1nO}k66JJa#t{el)ue3FHq zTq7!Cdt0Y-=^x)=T>tOq^SAjdl?pmN0>bSZK3G0s@)h{v@PntHdkM>Vjy83cO=mZL zsAqT-=*T74#ORrRTU0wNBIw+IkL7-IbDVVLxK5ljy&jY7Y<2Em-M^pdyX|ev-W_u~ zSFtVkcG_O~bx-#SuzGO(&%Sr$j9K2DjN%Ll@#KTtE}wFC@GLs|Q8D+7yv@m-fx#>e z3TY=eIs^U)3)eqQTAnv|H}AW?3WfSNcO)m;96eNjvY*Y~WXsOwEE7Ii#caPGSDpKA zZdaVzKgEVPMhPo6!OXk6w%W>PbvalFbf{SVC^&QdtAv24jg_lvn%KLauRbR6H?B}u zz7uMp(qhf`E-ylYJL!+}<dc4j!mq9hUAtyewtsum8{@cr&9A?<ipOn;{4~MJghe1J zD469?tAov?dci~LcTy(a>3V)VdY{LRbB%KDf*Kl3f!=N!2bDQ__}Nuj+N*iCE?Drv zcGHK8@;}~gzn^o=hBGzmp5Os(r!~@yntPO5P88&>)?|9<q#$>aW0pp@xc;`Qwogv} z2u_k-_j%#*Ko%xPg;ysy3jN<PMf|BKJL|-s^5|cl_aUjm)OYot<g4Ep&OW=Y%!VmM zUE!CDlXRlK`vK35K@j&%EJ$2^Jdnky*CAx0pwWei#%T+c#T5e{&O6l5`Hw+0M`h=v zsq2<z9AE6IWZ>1aMJGI%<q)XrRG_@>crZ&-yF<tXL8%Bq?!S-w?bqZnq?Wj*K5_fd zFyTm0O<DXm!JGA4zb|-m-cIW`zntK`_s<jK-rG+2{OiEN#YJCk%wLvx{r{WKfx%Oc zyiWdBdaih5lDgMNVgC*1k9N&Xh@1WWT=wUfWg&&0I<ZctrfMp7az0Cpn{L`a=lU|A z4KYo%>z8q`bTv$x=pcCct!U#3M}<cxIfRa>ObU{H?CYU%r(hC)qgB09thL~qk4rvC zyfge+yhm5^cWU}I$CD>cO*>=Dp87oRv%&d2W`7*Drua^12~u6MMUjV7)!Sp`q@%vu zgYQi@s6YSncBcTZvw?!tx0`#8J3rlH|4rO+Va+cImZOR~+m`&QFZ%F7&@#ir_nYFp z{u2)myPv&(=J1|p`H{c*x^DVtO|AEzaOzp$)+DEMuep{k^=f5V8EAQN{>oQog&huS zCQg(zPCL;jYu)$y!s|J=4!8412bxK}37oZN8HbB8lc2AgMsRb-gI0$Z>X&*Z2=3Gt z5Sr!c+V$|P$Ni1*m&)EvHknucW^#$Mi<Z=;#^(#?RO&^mIi6CVHtEx|(w|3Iyls?@ z*7HdgZA$;|cr&@<<h;M_Df27$Ubp#h=Z#r?^MosFqQZh!sd;%#-83m@-p4g7j+!$q zR8fA>x##Dz*+0MSS{SS^#H6XI>1Zif5%-Zv+423!4<eJz+gbM5bFFZcobt{^kVBA3 z(AzEHkA}3;Kj-BqJxpDu1lWNFD^&f~xs_F0RMqP(k-oIiO!u_iwWaS3A5Kx8R1y@q zGG=2>$f^k$^MsdnRZh`}(+NuaQXS*oCub}-_wS@cxtWp2E^q$b@aD$W^DXsW9($i~ zT{2kOWMa_&MC+B~N9ijPH!lcFE{wTvJI9MXZr5A3r1krLt$KUS+dalz?%vnmDg~dd zkG^j(zqs+|=lbW-iRvv3@--h0*6{at%)Fd<eYTgDWeLZs*2m^*0&5O!)P1#mjo|+O z|8}ocSTyTX6Sp46@r7R{6rB{5PICAz$vD~jtiAgAX@Q3UEA9prUf!M`zw`UO>bL#8 zZs%F+X6*a($@}+XVIS|iyWFoyJla@QJT1q={I0$)hw9EO)|FvP>-SXZ>5HCzy2AO` z<hS=`=k9T=PPu)~_L=4Bwx(B7MVD5j@j0#x-dkgHP;h$j+4IIu$8R{d^F^j!X}b0A z@9({3)0QghoBQ8$InJ>%;AcB~>D5)Can;W(lB0K(teh$$VPCiBx=Zb;s=$(^(|v6u zxwg#7zLF=nLS@d^d)4nxUXQP@U%T{dV2z@SjHIFp^W*39XYCWXnB?K^>{0dgRjTw+ z#nW>pPE6GHesLyJLOHVJ<u9MlCnl)AHd^BIa?-PN`)l-mYD6y!Ni36}eE)9wg9)nY z(Y%&7ljiZu*y!lK3Y|9XtM=^YUS=!9bhind=<f-bbpFuOd)r$-aC6)34N~Cw5^<=0 z@yjpKj$Z_1`QMx|KEI{+Z49qwWs%t(-iNLyI+I(9goT9GgtK~nzqr`_`A;sF_Wgf9 zoz@Rilk@k!zAm=4??&Ilr;^5LJyRte>gMgy5qo&7SNgW^1=mBr55@eLv#j#ZyluI+ zuhqR<5wcnS+xeJ@+68YLndM}fzez6jn!3sN=ezoy&*$ASbYOYGb;j<6Yv|f2)7cmN z|HbU+`P{s0O0nJnK7Aqc4HpYOOX<y7^wvVq)lI<gvAmFyP;YILK*D4BId&pn5)b@v ze9+Fw+vkw;eV_BR3LUe4(Umiwo!htQir+ew(yTcm)93X&Z1}uIci!YHy1P@7tClUv zyQ}N_I%HB<Tm1|NKdot@M&S`P$`60vJJE4Dcltgf4ei}h?`k=?aurtGO8%JcSj_+S z!Pg}Z=bG!Y{7n9`eNo`t-4|Q<SC)wt#$A4RYpXAlBD+{kNcYKJXR`)5y)U~SvK?2H zDmi}aF_)u5%!FJ;ew7Kuj}LGB$l%EHqO{pco2jM7Pw@b#iC@2W%LF5_VjJxVYRfxS znfgUIFFfg&b}TqN`$<(W$FVJy4D3SR6_eHH*A(4I5mQLgiCQB%!M5u6h5K!l4?FqP zHM?4}?WP?QFidG_3p$egQ=K=ADPo7eh+e|Gh93(HdfEc+aQ?hK^V9dKw^QGJ%2i|8 z94&QimCn{x;gfc0s(Y@i7n(RZK`Jk%xpt4Ud*7)x6K9`oDW`6ol~kJ&9O#!B=6lQB zlk3~UlKMoK1JaTUf6Dxy{(@zvRQZ)Bk{eDqvlztu&FODxNUl)W@i}ebw<-Ef>Q_#_ zFW4ip?)XE$dCLmcMs3|>u4c0Q_s8S%YnQV$CrtRk#aSQ}_;H1mY=a`F%BuRJ=kH%g z3m#Il>3Pnae5S%JV#3|tj~g?bmnkUpUEDj}ZQ+BqhZB!&Zdx`;eKBKVzntxx=XXk2 zvrKeKzi!%5H~+r-^<(w-zWL7M=nc@{^+HMdn36w#zbH%pHHFU3S=wSRDm$mVRc`7j zIL>tXx%{fs)6*t4`8qr<*y3IgyGvRrxBkeKy*I5Ue>XfXFyUug#}$Kz)7!SL_Ihj; znk%_BDnoF=or=^~e382gcjw&P8GcLB>C#1k$-mU@yXfu`_~7RDK}^QF>`WC~Ozyck zmSu+O>rWg0in(ZW*;Hn8(NnLTkNd1s9v$hFi}rg^nvl4pwPm+}GUv1sfpVcRMKhgw z6%CyAg#m)hnm_)0KEJf)=bSxihcy4lZQ8NPevgTOq*%;xj`a^L8=oWyusnO=Wi}`G z<jMox2j1(*MIEweX;w(A$d+kxuHfJoxF>RgQBI(|`Gdi?)$4Yhs!C#t{KMINarddo zhUJHx_VpA<A79EYnR<STNwe%*#}#Ek-Ek~kb9w@#PS@K%e{yp2+u|)!<$8CfKG1vW z-dJyQydeEk^Rd{D)n{Y&xGwuUQO(`Q&tvf|&uI+-S*ycLI0C$Q3?nD+x*H-lSL3dq z-^6umi{8z+swB^tpVGqGvxo2deeqAaa|F7Kt?L9nDp))e{KpqL(<rrT&%a-<<s27m zRI?OOxwl*DNb{1=`cEhC@anVdXV-aGA}Mc{6Y)-M0$YbreX@LpPGQcf1_K+B3bu^k zhktlKF&HLBmp3Q$N*Eq$%QN_t_#x*(?ZU-7SAX1n^yA7T7GwWJ&1Z)z6!a_R$Owt{ zX}1(eDe4H78-7TfQ!)G3mzTnQiZ2YES{x=$5}dSTg1~XrSHI57FRI_!mb!h1(#gt) z^W_UoZrJ^QaA&Guzg@wH6+WgcDaRI-tPI<^#w0)B@Uupdtsj}&A4v4?b-Vp|h33Lm zl|Zl4k6&EeA1`mXes8Vc&w|3u6F%q2Yizo8z5f5%%g>+oJmXxHZKLF9-1uiwYWDUM z+j?q?qF$%G%<J%+$-+BT^lRy?`}H4fCkm>^w|wZHJmu9J=6+^NVZVxo*&K&-|HxT( z+uW}DyvE}9!Y$JZbX5PXYg2DMCHcuSrngmiQDgey$SWV$Sh?A`tc}{5Bd_!6yhoS1 z;=Pl1ACzy7-(Pnv*x7HEaNZ&3hV4i1&bhF0eNoc&_m2A%RAjDC{#bqRheGt-U8SEZ z>mwbcJ}fhkFSq`BB{=ue2K{9DC#M_kYA&CXWZtgPCuMr--#xePA4}F(9((=V)ypm6 zSxVL9JEGlg?>IQqj#zq6)6y-s|JZmYYL1$&RLEp8-fWN1#jHYp&JU;TuIF%{_k2Ig zF$JbRjwrsFClm!LPacVXa_A37)i0a&J%3!o{#Di29{F=3`qL!uj5~Jb^DULqdwLB+ z44iVl{akNod49#gw#yd`B6p>A>%{K%^~;^Al)lZ|aMgy7;a0MKXSl0$!i^3koqW{# zT(n>H9;3%PVK>L)%}d;4exFm?U-PqQ_GO2P7T0@*d&D_rO*D2=_W1tpK}*#MR>eC^ zKTn=Jw=GIprM}H6XHJbsdVPiIkF$#}>+Mv|akk@dX$mkszR-Ke;@OSIn^|X{lKZ53 zL+(9`98b@jN#b!8ir@EI*PZG9z`cZ{pX2fU4T;Tb7ZsZLaNM4-`uL8Yca>_G<DA?1 zw(eF|oIhK8QYhzHfx0G##`(LCU%&kGam)kLZz(N(e|Wz?U{%zwZ$I+*<>lqK9~Vy+ z_gplYb#L9{ztyg8Za<zYPUG6$pX&Uo=FywpPpAL*UfNgbo0;k1vBJBMUxM}8+nLp8 zljpy=v(<ij&5ZkE+gbO0x&Qv`qw~*QbJKV?9#LsGNR@Y=bLM!Mp;%GS(<LsRt3s~X zoRVDcn&HW{^iX$r{=}cB%}-0#zs>So@3*zrW?z+8*RQHV+kM)S5Bu)@T{lllNImj$ z<bt_bBHx<R8$WbZ?`UnEA;asmR%6kw>EADT&be@KSLy3D-<Q_^|2J)lgT$TfPc5EU zPq^La-smdjX!^W-LYK@T&5+gr)h5ftIcH~^dw+d(HP=W>j<Myog1dmER#8UK%d_<g zmK-KR(+)*@&*O;WUH(SgiS?n<^5!mO5B5qUKMppfCUJHl=9!IK947tw*v0Z$O3|ME zJjdr&k3u`i)lpxR15{F2%Ff#}-+VA{(vn5;*XBt6G0C6&$3i`H)-~TrWoNTzx)rE8 z8<~DFxp1j~gQMtG*~E1*=c?jm);sCw%$s0ce|&ZG^`zaLiw*Lhyirs=v%5{O&iRk7 z$Ts`m8x&P$ryTwDw#e_eRZ&@c)Iy7%cbgeFT7vlYF&w_QUW2L2fq_GWQ?jw}@ib*d zZL!>Qn{tg3%T`TI&cD7U?80F~okO#?r_MZ{_q!(O=cP%LLKLriANXCdIktLJ@yUo= z-*g_<p3SNkJ+pYx6_XnwrYfp4j#)+|cyJbdd0x6oS$4L({A&JZA4}r*2?iKFl2*R! zB-jzca>&oE!1B?nBX`5s#q9Jry42zEt?CCGdgkuBX0qaT-xiiBu(bsGve8$0vyxs} zEpFdvr+e9MLVbFpZ{k8z?z|nJHMm&(X6$#V>v6tOub_V7@OAH%De_;l+14M{>|D25 zJb!}7<vs7ajHNtw8ZG=-*DAShh0UBv6Ia;eT4lH%P!cFJa{6o28ReH0vSv>E&12F= zWv`?%udditV&t-9L)n=lldk8T5@>G;F;|>kWqQ_WR#4>WdTs{2g>y?Y+b-muo3d82 zy4cf`Sy?CiOh&z>$TbC(?(qDnr+1rA@q4AJsWXT5*S<*;nGP<C4`NYbYTPhM@JQK- znB{h|8)rorc$M1E-xPJJ*L+F#?O$dwK@!UUn`>G`rMG$NKK{8P{e*k1v(qV+7qaDv z1*L!WYO}6M25q`GVY08s;p?l@<UCu?86RXeE<R{=+i*vIzgrYnz04ZnXV2@V^!(tQ zlDCY*MS&?%Rr!SM<pn2gqivZAKW2MKZ+|=Wq3|^6o!?Gf+F5#VucFEvz3A<}VR<+7 zOpYces=PG45^7%OYjn(fkyl#lL0Kk`&wJF^zCZiA`ew_Grd#W|%{{WJ+P+w?pLu1S zSoFdZO-Vw1?=>Yk*-usRxGL0}aI{SAQF!948LJa=;ezwC$(^T{x*u=5w5IMW(_Gmj zN5$58ZSOzk#v~^cblT)du(lM-he-}zVYzn{bEVX8vnS=SZhZQB+o>s**-w7Oo!#=> z*O5WzKzUMckHX`=Cp)*#)9uh)l-TmkZB4~2?t)uiwGEpbZk*s?vgNp<@LTS!S^dN9 zAu~5x#8#E%1ufX%<93ZPa)(iD#D=KNFHAkR-IC0j*vMd|K4-pbn~IZ(rTtWGmTRRm zX9{ea`Q^5k<=W6qbFT07OYzZba~I4`VQGz+^tbx^ySE?LT)f_9Ci3`LZ#T!)M-uzC zlw>73DCDHDFv?5GC??CCb({2Rm+tQ;Yy5ianfVsWn25@47SA{N>%VRG?sp>9&wW#S zqEeI`SpE8*Yx&N);%U@*((Q$g18c+~2m8O<PMO@()()TXBy8&i#Rr`|7mV9n?_Qly z<!+#J$IU&at{|}PtLoW4r!|*3Zv=Xl%Ip8l4O<hTc;e#m*@{(d;T)<rHl?19at(9e zXm)QyNBz{FZLK{84=3#CO4+pfOwpFcA6K`OZZf;Oh2j0RLuZsXaP_ciU*Zw;G!6Q2 zb!qbHTdk)&JIwAZs@?PC%bCbc7d;N;o3<?J+mbs==)#n~nKlbPUY_RF{Nz(n<n~{T zwc+O9<QxCkD1Vu5S@L26kDSeo|FUd_-cDK?^OU0f_DiYUt^d4vsrU3-eg_^O@3&tk zyy3HLNKB^0^OZAW1={5bci-BUJ3BP)?WLvN3s!ksbT4cFBHuJ)g{wMKqM~yCo{w#R ze!X75?PJiETRUb-r`&Aw*}0+GTQl;}`+cJOo;4fX+;abVr0A8tG{x0fDrc@P5^j`r zi>mKaoZ@k{JUjkA*Q%e{_3iyKlRpMe_xQYP>XSw5O8@?yl_$=?^mC%%tX&%m=2pMk zS^3R_Pnz3qYMlUE)%FWp&StK1@0Y$6R`dC+IcR0UZr`G!O}v)Y-10^rTNX~7vHU;R z-pq_A&$M27Y~Iz<!jgG$QLD}GH=B*BzPzw`yx^v6{bF~Xt8FU3%HQ6)`YpD;kVpUg z48!D<lao}x9plri6ickyn(*bq!QY2s=1AM8+><@|{p;)Nx8-c#wEr~@PkHw;@UcWi z0ne<DiqihcR=>Vlun8{6=ly+Sd;a{XnG4)`KYe{@bdG=0m%b}@Hy*k=iS5t4yzHS= zo1FRyj+WM*3)g0;`QH=T*krSO!TUa$iLCXj%OjM8Iu1xEO2+(2FUip8eI3I4Yez}D zYx99sS7bk(-eYQZ#qGthc;^mYr%T%cL(eLH6yL(I?X#QPoO62x*nX|s0q!ZTefj;} z-E8rCF%$JI&KxbR)7^^S-rl}_r{n~o>#qHF(K;QV1sWl%LOc}=biVloY5q;f=sB)* zuKxAx{C$yXzO$xS^Vl5M`C)R!xxF(?^2@G;tJhyXE3@e1&Gh*vK||l1OlRNRxb|`_ zGp7<yA3H~qL;dX7-DRFZL6g3&xp2Al+{2a*g;bqq6=5%;e4Ooj+Nw=nE`BBGZ?@y1 zZ;=$|CSHl)h6eLCy`2e;`4Y<+6B(ATc9`vSB%*%Hi=014g#B;W*)Cx)(3etbn=B%l z!SqXYJx9x&o(p$Yrk<Ym?NFcFVd+mNkBAlpeiy6r?n<A<a&_hY^;0J;dz|~7kC*A3 zZl~LvN4aO7H2KU;e>cVV_``Q^r9D*bg<~?~Eb?9j?OYfh{8}}TTaM}82@c;!AAEXb zERD_|R1kO~S-;_g_1x0JubXWXMRt8J+J06kd|^}hUj?yZ4mRI+Hj?F^Or~aET{ZP< zL`=oQ)@{-H^MrVs0yn4mdNV0lOUR!6xWJ)Gfm3Cbkyr;;+w!)1aTRt3$9NJG*Ssrx zazb!-M)|utH-!X_zcBJS9=p6!!BV3lEUxOMYX9ekl&>!?9<HnJ3s((@-kjzur{Deh zyJFG5J8LGXJLMZ&$qOIb^={YeC!kT0AI1Cry!|Kr;zsH33(5jTMox=voSA9d%58g# z$8mGI-CXtMl7`0hsz)X&%r{8hS&|W`{oqbh#$os4+D>{G_AH(KWY6VUk(qV%JfU|b z-DKV_d~LPDV3vKu&z-&I^+rwGAKciOe9iblR>_N0!{yBDl3NUef`fB88d!xMO}MYb zxicZL<%q>X+3U#@5<F)d_l!{8a_Et#N1Fh<sNn=AD>iG{BF^Oxz9tkNecUjeP3dsc zYl(-VHtG{(c$yB##GY*1tZOM+A^3r3s%G%9wn7ee$3q{kS+KN!5Rg;Yqgj9E?qn9` zmiCSDrB_3*2@9Wi<loV~?!dgBox)<DQd@3Y=*{idtUmUerR?%D-^~k+x4v*yc#^`> z*6+=qCYSr|-j1c;-YuOxzbS2XuieoWN$od>uB<4VnlXD_-}VNMHTQO07P|1+FFWhp z?)ry2Zg!hRg#SA$zE$Hv;MeST92LsSI`s(|g$_(Hy*1qGEsy@pm3Oh>m>yrZQy{Kw z&W<+CJN+k}{Qc(azTWy)K~81uy12c+c1%8cRx*xLto&Cgue6y?xwHqfOXvQL{Kr22 zdcB@I)Imayi$lOt^W1#}egWZarRL8Jl8$gl?>ea9TVeJiH}8f)eyey(<KxNq+0*7t zN}gHI|G06_gTf+@5Q}vlhca6>c-$#9NSia^m|g9!mSm2q9sxyxAS0&WcFW?6RlBk| zF3xG%bo(Xu<<xJV-WHvlx%SVk`;SbcQ@$+<d3p8ff9sU}Wox8eS(&aWn-%XY$&7W6 zGF$WT`i%YaHbq#O`%k)jTjlrGy?ImYndY77n5_TkWO04t@y0ov$3Gu@FWNbwB||~M zw))$a?zNK@UcG#wbLz>gidC0pS`;o?J4e!@AYtD6O$AOSA95_Ztvna>P2_KMP*Hhx zppp5~>Tvy^9}e>udkUUh>Y=isewFat0%19(J-Y9X$(%6!80z=t*Iv!wWf4w?3T-7@ zIzBpX+g1Ad+2>0wA@vV^6YbuWy;`~a){?~dV|FK|Gg=F^819K$?AE&}rCUY}6yZx! zTApYvxxaJ6;<Igg{?85!&wVp}#m%-aJ~J(fTff-t?hUz^H8aEds-v|2RkQeiTP_G( zS{7t}v)qnjQAY5yHIvlyUde1RJo33O<|IeAYWMXkD}!_8Snn}@GMrQI;$33nQFUbN z`wF`iZHg18eBkJ|={CQo?ZMq`<GE-8cldke<0_4pVjnjh@h|dJF!QtPD$e_mHQ~ao zh0g4=tq++!X)fR?viDGuHArxHXZNvULqaf%!#<YVkLMm}Zk!nGB((PPy}wckaV_x* zt`#mGaZ9tULQVSGI1^`2nIf{XK6dvuRlSz{1E(`Hb`?MOi`-GL&^PQU+vE?zEL?v+ zeE4B>j`c^Sk(2wsw(1W}4t$<A^XzJO+1hy?pW?IH)tpIDRk{3b>Gaee&1}3~T2q$& z*%vu&>APvgz0(g*e`~^hK|GDo>id;t+l1Fd)hKVU(+#eh@zmb%@4<@TS=U!;*xstY z-jn~J$)Gyi=#cE*-?6r#Dt?=mZFaD}sv#qHp6Q|B@^Z1It94GbB%R<rxZ9hf{fH;e zq9*m$3EcaO*L{1re16oS2UF(ns&m`Nyi>M9Bw|T??bp!PPY-xBsm^f<<xpWSK4c-n z9I`&{Zrh%Z925G(_f!;mr>r<3X_V3tE~04pzJCAzeZ1ZiXFEc=U(@f*ytz63_8dN~ zJ_XtGJB99f7+tW3SDw9VTBTs4d`4X9=+BfjSr_{qJWg_WhW}A0U>DFmCVN%+{lBb7 z|IaS1O1<xU&aLMA?x`jDS5MequQ4c)F#l#;`RAbZ((Lb>pI-g`=~cZbOY;AX`}*_u zNhtF3oLJ5B=Yz1m*Z=6xTBTwl?MGK{kI?*?t)KC}SUlSJ+o>axr=P5h-nC-McK<D( z?kik-@_?JOy)IU;LyN`9%`M^MhCHKri%%}o_nE9Jz3EBL<?>_G7oPHz)X)1_a7*`k zoJz2||AzLM4QbO=rk$N{lKSS3UcI;2KfUFp?=_E}nH#d_cH7p~DaWqJ%x#}*z3sI0 z|JtY~Pq!QTiPooT18;v#wg0y(DC+8Jg}b*{mc4nEz5nC4os%Y3SU<M9AK|2slEPxH zy7j|~pRSYl+LcYbvO4r^y6KsBdvAXFxTEsY`$c>~3uFAIRXrCoUE=zB+oyl&_p9f8 zx?R8CMqB^i@4#a9Jua`zbu1cxW*#y(`m%8MlP|{SCZ@+#omb!E%yw6Cv0a_}zlhz@ zr&QR&^?n|Izi(3i{p!$bvsvq|uj5%6vUAmztjlrhcKmX)+#TLG+j3Iw-C3fSUT>M^ zsIGkOYhm+FJFS1EwH1dOv*vuAz3t@O>D&8KRw&iwc-6Zp{S$e~Aj-I&qot=OK)64> zWy$IcLzUg{t8;hVse8II=n%)Wr|Bm4%`J11zPQL7o*lF`s`TfZ*(>Gt|2p;g|D@}2 zH9={AHU>^w)xN$-DJ12|(dF_Jx5wA|WnIrzNS|9hF~oC~+HCW@37Nrl6VAn+|In== z`gN<ImeHX-&!qlNtk-dlI{$8t^7Xf8`y?K2F?T;dNt|tt-cqIv(|{{CTyK4x+T(Id z^5RDgrhm!;Mn+D5t>y_<8M<_zjo%u(O!tnK_zbs-pOTfT`Mp0w*X`d>D_45e`&^#B z`n-ZgHKv*Cj<2`>|HS#zyVvL2k2p`fU*YWN_ATY@G(X){r#0vLO)ht<?=h~@vAn0q zQ}gZpZSB<4Q~Q4e%X=9$dA(MDTtEHxuOH{wf1WeB^!nPeU0br}h<u#k`ify{kISo> z7Yj9+_6c%uN;*F5w<-W7J2&4rRqO9E8>brnl-%nXw&uQ``22~}x5N~`l$@05^?>7W z#ir%*yTk1&|C>h6E7LHKoEXzrUq5q#_pfKYleSh*pJJqx{Z-m*;_H8f%VRG6zGbuf z;O9++hi~24KUF*4u`d4U&X~SlJ-gL&*F@|(@jB$({wH3QFW>GFy7_P3`la3CCsJ+w zC$hKOsGHyYxcx{;-Tm+7>#VosPCU-eKjCL_+RS?r>`Pr&Z!0?8z@Bnwd;Ezf^2a=X za@C7Iz1Kc(3b)?d+0{GF+gFC~`(M_xCUR>`S$dj>XRu+w`a0#EYu@t~`ToDXx2&75 zrRR^?;SiNQKjmlImrs*1TROY+mFa}j>-ISQ`hVXx?)(3<`rb<-_h#8u3r|cAT3W-o z^Vgd{do}*en;&tf&r2*M1k^t)tnzY8`ncxxoJXwnjT0saK53dL_3zc{^^3TUo9~a) z`Rco`L;q#Dmw9%mz2~g!=d}$=UYClWekJPtH2w1CBa>~vHB7AdmsTRjv@z?a(9b8$ z*O%t)Z8H-reSc49ro}t&$UnzzB!9ihPE*{gTOKZatXA>&w<jkw`;EHax0SB`tg1YD z-~Xtx**1Ku+@IC|vGSFxe<rHT^JV*!B3<D)#W_I&%9`7<U(dR9xF!AGj}Q5lZ)-f~ z%r9=;5>uC_{<7{`rP+IyNgrQ-(KM4OIeeD6#b#>d=JXRD!hG4~UgFg!UhBP9<bHmB z;_3CT)UWz*^wh~1`%Zc#zyJI+z2)C0e0Q%?KkDnbX!lQVHx75fY4xuz{4{x4c&>HX zo{dX<mF9gst>WGF@Aoy1{^0m+d9!nF?K-V~&{e5!?n&vLe}n$JeB&xQx9G3+i?|CN zeRg^$7Ve%bq^f+euC4UX#~ufd6CBpAFVt^+ah><~O30io*$P){rhKV0%v@NK8T{SC zam(*_JMQVt+AOyGW_A~A&hu}%X7!en<S#ig=TF(W-*5W-=j%>>pJkoKGE>juaf)S$ z!ENo0JD>kwH}R$Y{|Wk%g?vI?2E4!PzP75rd3;HG{q%aiI;Sj^=U1aXJeNOxIY!p# z)0ggi@A=vJLhS}TQlHk`sX6|aN$}MrqsU)(jRNE<KiTh0QOON{RamjtCTGQ-fAg0= zsbBZI{f4{ai4%A3FFU<;`aI3=Hb3-tKAzwI<R<IAbr0X~Q(An?_q?jxI%$QRZ?6yW zUfP}hulMM-nL_s^KVLuf;C0P(=HJcPI(d&xCMM^7QF+)HwbI$k$<ytEvSR|bW|8~s z!?MXGRtjDn?JG5zx*QTXT3UJ@__@wdyVGRR===9a{aX3D>S<prpQp@^EwlfV))!M) zmaRE|#^ut>8yBi=X<*}6IQdME?4_-}k#l_i9r>WI=6PK2?vr`Z>!<aue4X-!f3q!L z>H3dVGL}U*N;qsi+azQs8Y<uW9<@uFGo{6|#`ITmv?7b6#s5ckpZagV-<l{IKka_Z z-IFKQotb-SWo2po&cE~8bzdHgy5_e<uJd`^Plb3Rrkj@gtEF%5PT%emKcOlnbF=-t ziHzo7C#H7)pK$)Tukc~5&px@2e{C)Ls(Lr|KyClY1MG74dAF*+$(yWN)BWU__owg< zmHkitp0A&<+W-7XNym^=f|r!u>@0kIY{&oI+LDD0WvZ%^)qI6!J=$4+tBI9+P1CB? z7tgaE+~B~IxM^eZ@l)CB_dYY^KPTwm{B~;b^Sq9gihXX+qprl?Y^yVh-Cf3;A|bd@ z_tGzpBMVn_O%sXJx)O6$r16k~K#9?$xpQ?>Pfy$Wszu|g>*Tv%@5iSc2wg6H^2Hzb zzo$;Tl-r&h`0vBo|EDJP{!V@3o`15Q{aNYcXKSA<@d|yiQvBW|>tFGDCX1@_pZvJP z><|_A|NLd6!aviU*0H!*EDTx6GMmM!_+r}1Ss{OSFuHO{CMLN1db9`#sqSm>I^in$ z>&@z*k4sKf9((&frrFa?qT#XRtS_&*CO@CJ-Ef9|3QN$-Inw#zZu7JMDf<6^KKHSm zSxkM%F~7K+6WRYBif@ztb!GFUooT!7vah{ZnK0$#=Z^3DtCf@!nigqve(KQPHCga^ z%ZGB6Tee>(eEe?SC1-Fi<@EEjv(v2~*ZqFG{rKCwx3{)#<JK2?wtW7%_oq9z85<bA z(pcp-dEvLN=^cKDd;U&zm)mGQw{KIf$ywLqQjr`ib9?G9oSIqxBXV<^>ouRh626JH z%J27oTx9e8Nb{zm(}Fu6c5Pl-db{t^7T4^`M++N`vOQ=0x&L+gj8E5J8NHc0=UMPg z_HU<MZEtzrTE<&cdB5(`vRL<(0UIaf+@GemxHmlI>87KBj&3mvRsEkf?*8R{`r6wk zkM-+My=4_T5!3AJcCV)X<^50h)7wuxf1K`do3HZsfgjFpQP*|Vd1sqUum1PxRK>0- zt3tiE9l3m$>0?jNECc_xFQ+*#J3N_tEM6d@DB;oH_wQ1U*oI7wpV77~@5I6RpaCO4 zLGIT-K0aoBFKlsnck8lTzhwU<oMQTMIs2Wj$Cp=@cd-2py3@;6GeMZAzn-J$Ro|IC zzqg&(vUz^}|KIm_%5OYjo_Xnr*<xplPZ!<gr-DX*3<T<zPv;Ts{<4wty03g~r9x=M z)H!+kD|!x`+xmKgy#3Z)ok|5VOpfZxcN*73Z$I}fy2DI6=iG@K=i5&|*qy(rk~_UL zCq;ZeTkotWLz7iub~V3MGp~LVk^EC%;kWyPp|br1_jh}*s!fZlek@!0pr}Z2Po>+x z%G+Db8jJqD@|kZSY<DR5aevh6^K*Z0JZQb1ce41rsv9aZ{wc}&yv$!vT&k`d8M*sO zSFyEpPu_HC-=|#9@@KT=&X@ev@HOJELGj8f*%QJe%m3b*x{N)ryT<kWuI;PUkN>kt z`&ysKWO`PTQ^fH7ZR-@4_blB;y|-c}N9>&_xV%`u_sNUhkFqMX6*RUiTmD<m_xdce z+^U$2cDLe|`Rn(5^6F<^!YNyux^Bm#uE%!j6X$O@<}P2G;`QKjTiMMS&uU_Kl}y}M z`+ptZ;+b+kHNV6z%;%L8?-01^BA{mHe)?p1_`?Sa>-D$I_nT`~%JTmEot?#RYcd=- zB9qo+bvYyoa9Bwm-0Bm4`rqH*;%PCipY9$CyX-UDC@^o|GpC*JA1+Th(w=|v%Foxi zkF?|jODry#Ek9K${`H)0YpIaK@f!QnRjx5-#U(3$%-?<@JlSsIQfdAtRq}fp+qSc< z=d~2Rn$=>rv+q;=?c2X&)%5pUpE@VbowDv-%8|=^m=u+#e|wX#$6xyCcGZ{1pY|+S zo;$_(*7ff*=Dd=b9wV<+W%s9M(OY(rj!aWug^Z{Bb^m);u-@_P_^g|s(xO(Vb4%I% znQpTB8>j8DTL0&q+x5hGgYDcx*~K$uc|U$|s<`BPDEsJh(D3P7jr!MlPdW_JKDlPt zxwFSzul@e&s&`!FQ&I0G11F0KD!bT)n-+D(f=1a6eE7}vG4tM@ouGlJX-lT)zmGo8 zuFqSk{f=#8@;^WG<oxsow?>n9hr2)j`F#F%TV;r^(>;Z@iz<1Nd$fbTi}<iK`MG)U zJyufPsCkQL?nK#0_nL3B>K)Tle*VfWIw`69*+<Zqe~ayes`QIhZ(dG+^Sr{R&CcRL zTZYGhJug13etUnv+~<`%MvA;APXFhXnUfyB?*zx+khrRMh3i9Q)>%6@H*b2BxV}zh z`nQuOoi*0T+SPdQ&9YW8v5(<BXgupe?eC`^Kg&MVNH60mS;wlXygFQOvV3~I?&gJh z$M^ks<J`F8ZpCf;rwbRJmp9M-6zi84aB<%H?e;&9DeHt>4zP+@?N=*YHB05B=Dy!& zEv$cio4)kYmbgjvR?1I%&UyG>mHb$i`{?F}Wrl~!_e>Prv-NnN?B+Pu@Z`d@g`hF5 zJ1?EtVh$T>hp*eB!o=UYd;-VZl$TGe6YCGP)QFdU@&XOYd8=@wIy2lbzkBv)-o_-$ zRp$kG`dD`!d~vBeyy*gmY(1xLp^p{UWP{iJZ*Ofqt#)pgbZq_H2_bdA3pu1xdhbXV zF)11eOj+pM?sdHHr+UV3W4F6%`vf_(BoE4Rc`y4~W)hVs8WE`a_QH~`xxdtt)xDPo z@2<anJ#x44(#@K#OE)pE{PAsWk<p9IoB1UkZs?n|t8VL@>W8A2Hu+k=c1*Ot)4AC3 zk*?3-L)8x@b9?^O@LE3qbNWpG+rY&uKhCbPD(g~cdzKZlYtGe`8*S}GHLgz1zV%An z#DnYB*XgeR;+x-33f?_e^{nq#AIrttc4dbz(=?x#p<VAUkW>9{>;8HT=9$@!Cpn_D zR_t5E%=9sOXU@cnQa?RsZOu_u-v94tw)4S8^Y2?ZkJz5ye}!YI>9n(I?aSkuj}?7i zuyCh=)sy}skBqD16iRy*+?t=(cst>oZ2j-^vn@H7ty{*m=HXw_4{mNJb{|;naISsz z-tYISCrz8C)^Go>T(n-qzM~~M##wE`RL$UJCtk1L|E|zUMLc-xV_o$lU%e|N^me~7 z+O4Z7;bifoen(Z(heZN@Np>@5&YafpP_@uWxkCNK?FZ#zlZ5yVR~wbS3h{1`X<p#) zWrb1Gl@*#yt&R#SQc^xWIcb*J-EAiIcEU^F;(EoZ%LdPbXN61^J|la+etiqezg?Ff zzBm8&HdXxCOm6c?riT(O4;GilO`NY*lY7WQdhMf(pPxjR7EN8X?Gtl;n|b8d_xt|c zDVyVK`gEzu0qdQ$>XqM~e$U-(RlfMt)1}YbX1v?``E36UxyRquCU$b(l4adE*LvH@ z>5J_q#n=6c3%b5`qwl9vQ#_{}I~#Q>wZ3-U^n1&89l87U_4^oZFSmgBx7QxW+?G!7 z*L=D*Zt*f*^L>BkwSRh>!xQ;ux~Gxb0iN9HR$ry13b*cFvOV+L^Q<}h1?BfCcK2#X ze{OHNvMxGaZ_gX8ouvmBJWk-*Vt%%JnYpj$Ti@Ubr!UqW7X~fS-m$p+J43)H??swV z7!Ft0JMCaiYG}W1m_JYSd9jfH>X4O6+wWDaKEF};lHz^l+9}`O-tJa+y0SjrKKh&Y zR4#72pK9w=8k!%hOuS=T{q0Mo4+lTXvB?u}hp&&bo&Q_Go<&x4OPGOEOwY$vtJh8P z*57;O`S((0*8}2Aj;hM~dp<Pnz5F38?s>Ms#1EAVVyo-_zC0zx`MK+>p@7>*m8!6i z&&wR|6<of0bN*}R-QU=r>+GB)c&PPBRY9_gM)L=5OLaa+wUoktKcC+|y4A6nt&HLH zbp7oomsBXm<g3hSoiRtyhT+{pDJR<s8;$bTKNB9@ToI`J+x(DjMbwGcH#Vhq*Zg?c zzU@~Fm-IL1infi8T=gD#N0|+?u4w$;SNi(ex9wB*2%cz94*Baa>qO(qFx~ZBThvuP zE-+B@XY2eFX7qNKZRjMGl|pHYPIcd}I(GU;&C}T|&)>X?OOkC|FiG&v!W~YwQ+K&? zPq-|;?Bt`bk(V}m-&@7)|9Jl9l*K7&vL9w93+Wd3KPz;Tl3aM*XDL_elKN!t`#)9f z&qZmjeHCud`^jm3jLg~RH?H4^J;~8+#~;3U%k_(g8!7@6o&U9Np7E$rq5p{OolTd& z%yzob{fDo_>CWkD!6nHHmvsre=RWycW%{Rid-_GS!%j3Zv%g87YgM}G<jM&QJ%6~r z9WT6?e(1CVr+(+wCy5`Ty{`7Gwvb9aR4>ynGpj)INZ*lAC$9;DtNJCxT|9jSIoLqa zb;O~N{ZU;{*yFxe7t8CX#w|CU{>m=p;mpS!@9U;t>z%wbbn$*?{fk!LGvvxuCQdxM zdi#k*p)ZTxvhMrOzfDT=2dDA0lb5&uc=ty7>~nRQWd5ZxH5SL#9}i%8B-yxNqTmc; zJ)inbYh*Vr%U~@#^?r70<g2{J_8-gd+_)W6_D=rViRZAz1h@3#|7^Kn=CpeHr*cvE zE$3{bj>~GMq|`<&yWp|$`_0@Xr#w6D9{x#uR+JgHe9@PT_{-0??Q;Cbp&@xu+eNS= zj-|=X?ca~b{5${sdR=^#Yq9vGITQIS|C_(OSikXO;n@#1XS16l8`Rh~Ft}QqS=F8A zcw=zni?_2+b!o0v>Ev4BV3v!^&P1yE-<c9F8un3F0<`6`ZFZfvU<V7xXpa-W9=6M; z9N{>>_KI@irpBJcUx!M5=H4vZxuw0nO0)ZV&cvJDL1&$=_+*8hj*gN(QCNEWg-gQc zZ8|gS6ZXi&MqQscjrTA2&05pci6xnFzJB?WW#&%q+YqQN*||Y@(cwTACI^L#6c$It ztjD00$_#w+H)roN&s%v`;{BCf>*ASX_ZGHy{$D@gvtPDaZ`N~_6)TV0F@+rVnX&QC zobSt??U9cUd&}8)v)k;(glA{Pw|3Mv95QiMRZe7zs^?$K(ZT?VjZ;rF?3KH1!rmM> zxcJkV<)5Ey+4gm5-Pt{|8GFxOyr($3b)|E6)TM1J!`zRY+WH_@jyr70$vsPF>o9)3 zuDmU;xa~~D20yu7>zb!{25elB5UcFv*3`P>ojYi^v7@r`mcwpe&aAx2|3Ad3_(-a> z(bLJD+D{I>)2&xo85Fsn&uQoTEBCK^oqTk3Np(A0(6*jw`+u^=h~&2HdKGe6;Nypj z$(Q!lGDjbK-Jg5@j{4(edQ+vVOs768t$jZA$7cV#YV3_3O3GjIB+c{YOsxtJW)We$ z&%x5#bK&i#s;{rYrs|8mZNItFDF3P7&KEsmLAydY_b<1o{^%CDqh8DR+=~kr(({G( zm#>l*vt;_B_AB+CROV$1SF5d`)+#^ZvG{#K@aMPbs>@OxLSJ58={NDb@-;RA&lHy4 z$y>9p-(sr-?dpCY-MC<aU`o@=TOP_QBDNM9n{&iX;@-WT$756V=WTb5-hM9)TA0&R zk`wB_>Q~@9$<-2WzxS+|Hgj{mMd+q)vQzSwUCuw7RXLmGnfd<1%dQ^yvC?~uIcPq7 zv20g|%zkyFPcIhtbHzoo>^hYBXTznr+d;cYH+0OJ_{9Ht+?Dw3>+5o#UWq+==8)yS zLo(c%wJeW}jEr)eLs%Yag?;&7ztG_LwfD#Lm>d<APpDq@=&Iiqv2FdbwUf8Ltgn7@ zC{MR1=1V7|@6<a@H`5feFD}>>`cx-0sF;<BX#<D3UCECX3%2bpc^meabER;B-i3|H z^{0MhdM|Y>s@%CI`mfR)&m8$%b9)pLJi;SI{BCc}7T<Q#+BPp^O}mZ_Wb>%j`b7`A z9%;{QRP?NvviKxu!{6$t?k~2<^-t<2y65oAy5BUL^=wgcuMSI-liP{~jgrNU$9C0P zmiDgkzOSBMo+neFcf#@UGWC+pMy0z;KW;ZXwU%?~lqoK+Op8BU2oz59-o9{~TIr-5 z4>iRG1KH0?+fy#(YcF-|Eh;)H$*Wwt%Fs}++^9+Bx9;{kN!4d7ChN9a6c`^hYnh!{ zKfyfXf`X^#PwSsGH#emkA?+KrGybx&Q0k20508GyZ;ex|_a+Dl3l}eaw$s!3(B0_p z_>k3#Iwp6DdtCQ<PF8d3?v=GJ<B*g4<{Mt}uTQ7?a2xMii#yd%TVAECi`sf>l9m-) zaZZn%)t6h6W;qcn_*Vb!6=rF2s&{+g)Op%4ZkM^=58co-9lz=G=Fa}1+V!kXH+2Tf z^;Yf6sXuqE4fERPJ2^#j{tN;2=`m0Ii_iaS{`N=V<-Ij^rPXy>zh^FZWo7MhRzYd` zR<CKFt+M+(R-E5sExE9qyZ2Lsfvx1c$?S3!4o1etPxt+PH~G|5?b~|0TIA=X%}+j7 z-!rlNe(m*t`!4pk3V7e&SF8JR!JGMdd-(1DY>1uklBtKi?L0@U{qGz<_nckNgswGw z`5;@q*fM^)UhJDX*~jKn{~2$&vEb&t?f2`lZEZX>wq#yDR`yTz%aI#W=6Nz%8E^j` zT;Vs@YTL_uknY_lc6j%WOR{mLaJ_p;?(Wzbj;@Ex>*IIc4Y{dfuC<o;ZBwYg?cM(` z#FyWDdFjTBV3W1eRkp6mezR2}g6*;O>}~I+iN4-`T5GX*^Yt$GokyBNog7V;pNUky z{yj_W?Q9j+<ceMV@*F!R3-00k`uh6zhl2iWnGf{lyG~UryK!l$_uEC>j0c1d@juC4 zU%z+S@7v!l``e$qu`xN9*#@*H)h?DJev0Pg;6T%o7Xg{KwycaPy&C$>mZi-l?33#R zdxhyo4EJd5t@=7CJg)L+9lLVN;Tf|1e6fv_Cr!$^yyx}0-F|F*G8$)Rn{PMbnjm6h zy6+9>P?1XQcWvzLeh2qiy}#5rV}f{`jMTG0-TId8FJ`9C+jvi^i0vw93@aeR=#ahe z+igGFiy;AXy4*bPw)SClo#|F5r!MuLy^B@O%#!o;wcN>5rMI09oE*=fnHgoS`M@Bc zkzL?;yh_ieLl5{kHS<0-%#ye>`}+E@6?5iA*5^#PqAPjn|DLp<-BCL&+|2g`m#ZpQ z*vizuce=eT*OreZso<36@+U8i>~e3Jc=LQ%B~a#vyvx<)b;JVB^(8MZ9F%K*99MKw z)hy@X1n%b#RDSILa>;v|2WV}P5Q7zC@IUVv$AA89NpNVBIaGYs^m;^-OGZoW<jstM zRj=1>Px<lTVO`^%?CW~JrQha#5iYLph^Vvv_4E1sr%$KHZ!@1;B9-<|K=K*?&qaqf z%u))FVBzw0%jiGL>S<#r$D6q2{PH!^zRdl-bhV(nx2M(|k(yt9wq=Pi8|!9&opi-} zWe&@AEy=<)lRk3fdpxUo@E|dAyJhgT<*6ssg5O@*l4t78FnRT_eTUEFJG7*xNf~Z_ zqE+8{X}i0!s0sJUo1gauhZ{ACH+|RnG*7DBNvi70i^P+RiTuYa&L8siU{Q4MU|T43 zyz2YA+)kD!%{BsuY_=S<c-SKRMop&tKm+5o)eleJZfJkF>9pSFpUQ8h3-JAv*ZI=- z(ADwmtdGJ@k7m3%&)NH6?g3@zJT4VgnYQmO6U13g)EjbeJ=C#rogiiEy1;-#WLA&D zo5QSJ(f#SO_vXy*F+I6<tyHLaXJ08d?@`0Yg;BdT59`d5OuKky%B!iTJ#C%6UP%`P z-J8O>nzikKTyWp+a})Z%wzcG(y^(FLvv}3*1!1Y0Y3~BKvq7sWkFMT+>gio+FZIj$ zZn}R!JDqK$>s$O|#4E207N-02olu`&lk{0(1L$yy|Ns8Jooi<)lRmd}+Sf^2GA1hD z+z;ApJM%zx;I5L)nU=-NRJGUbuifMJXX23{f44qPx!KF-*G1jho}XVFa_Q2gCm)Z? zpTD~&et(^rd#jeHSj{Kj7*7W2M^_uKe0g*8vib!9IgTE6<@))@Jdd2scW>ErqPg<( zS@XAP8D55NPJtgMX}=K8xVs<#6eI^enCIV{Gy6m6ii<8kr+=5T&`GX~Q;s&~m++mq zD`>f!pNy5nvk5{SoT3&L2^V%cG}#C!ZcFG<mHO6rK;qJ$%wwN7-mK7B(bL#qp`sE| z>~VL~>5TrI39qJ}@~EG0EbX<^=+!|kv2Bg-j!*5G5aJcl+<Mn=kNCQKT%YtkEcX6- zwOUtEVGGm8f)2*1${Jpul{>e$Y&f|la<h))!EJeWv&54>8EV{I`l`)<@1f+X#1Bmy za-W}@n;XZT!ft2++OE5hi|stG=Yk1LlcGO5^hlYW+LwQK*VezWONBV<KRvwh@NoOn zJ)h4_o~Z2ZwKZ#Br@oxXBtP58sal~$d#!#x^!?GL5^CdKF)Oa(A**%VvFF<NRW5v9 zar?MBQ>&Wt_Pb@X|1K~5o8IZK@qgY|na8R#6GEa_hv+Eh&c15ol>YDJiQnC~`II%! zF?BDH;(q7()H}MUDW*1PY0<aZJvZyu+1}h_TfDyVjqoAWJ?$$jTXbJMPq=P3bAQd` zls9$?&m~Q-_PyfO{N#O3QQ2=FN6LY#7ycA{6<8Cu_m*06P?)zIM;w1g=jI)sET1&B z1eus3?e8@@xbXz*!NpER>I-GOx^GSk_}0kGo|ESW+TUBGrNW^6ziC3fz{#bc@%lJ6 zuL}Jg513}RJ6_TXHD329qorMetw%M+El(jrFsP~LL1<FUJ+EblP4#U3-Y5iQ7&+aU zx_iRr^LEx{0i2h7>Sk^CteZ1A>$Bi3$)HIQRwm_VpUliL<QGd4OA$&^RP~&CI!dYK zm-fQBEfNAz))~*-POyqzk!`CN$T3$Gf7aVE$N5;+j63~@p4C_EX8D#bKkesfvztq< z7h7GMxY_y&*QKkAc5CV|O;llzzp?YhchSZQ=LHv1SR#{?Hv8Q%y~psyG+yj{=VtR$ z`k&1uoq{eunR11ra_X7JX7{4KEY`g(mfYst7M5ibgxcqXXhi>d|K08Rll3w-@%6!6 zFQ2Qe54iBN;Mkn4`qtBH4A?(!yqc287uvIBpR>a$l^^$wS11TCc$N0?mF_X=O_95{ zuT3p+?qP`HnXtPlL2%{$KqH}*>2AlDa{O>x5Rk$mp1iZ@X^X_NsDB)9Zp_uSKkq*| zviow6N{wme#SLy34x8^g$5<)S7p{1IbL5}O`u7t=#C{7cdtA`@Nd4CHM|$gj&aTZr zwbQn??nuc-+oCW2!EPst&%IW5dusH2Z{^#U#h=YNI*ir4XPlAvB(!|a_lM{D5B}a% za#l>4bw6x}#KcvikM((;y}s4ea(-%$%b~~%h8j$)&I<xkQht7VYPNXxj1{x$IRdzB zzV_Ff%-pCEyK1I^*Jn1<rdcmu-Ph>5-(_Kak3+6wW8|j<6aS(U%qfrFU*DN>`0#Vf zC#vm7ZnR%~cfvc(roL~7L`p|{uv+AWoh*;5RhH>R?{?jm`eyRwD0T1elY_T}m`faJ zE0FhcbK+R{E=rKYkf~KkStb7>PnT51)&qhwc2;z&)~o5f>-Bj*S*>(c*3G;J25fJo zE7HQ2y_;}#S-C*m{CBxQOR`@}Io{s?PGbv)h9sx%zFp<63R5^lx_ScY+L{!KPG+&X zC&X4wI}^Fj_nCC(PpQyTp3S!`k32adT--9{ic|Z6`St(&!z7tD9rHMle{-k%Ey<VP z`p&NM{QKlk=k?b5yd%5P^FbZeY-dnMmCM!bN734-txxV%zweD>QObGpWl!UbH8=O% z?kc*vGSKtYvN<YCy;dAb+~9Y0p|X3b^DRB)oAWYVpGsX@qp@6q>5^mh2^Q{0N;myg zCJLUa7Hn~O73(V)z*3_epkd?`YghVV)q&^IFC4bt*!zBhPUQ4@#qP7ou35{j7+(6Y zb+Y!&Ka;winlu_E*nCfu{<P8CY+1V3s?3h-Tl`crL-%jmV$$E0%6*MT|AOI*Zwo<3 z>u@|udof+%t(=c@p4?fXEpwexA6mGrIJWM0ZyXDkt6S98ELCN<9*&pxZeN_F_e{KO zlmGIUkGIdXwdW^XTITQDTd(!>=*7z#(Qe|w<y$n5mVOE6*q<`H&gAT?uxZzLwY<Bl zrsf3OY`x)hKv;5NasJ(1rE49+Eqdj{c76W#^73)Hvg3WSVSA_iGva71WNx2RwV+K) zC$?^<AkWm+#}fOF?Mpbwbi1D2$$hhv%acbdwfE@PBz^qsG*M85d7{O#J>k0bH5^Ow z?kZ<r+LZG3na<6GPreh{{nte~u99qOx)E+u<yR(sp8ZMkY(=@3)<sY5zn-<H-_2Cp z<M0%&W1B;Es8u|)-d(k%N$G)~+X{ijo$6f6PwZ<y-8VC+?8z<9ckW+Be}1=qzvr^n zjzw#_jI?>bNr<-`jbA*U@9gh@n%0EYLx<`MdEy`cd%OMqnmKl>?s>f2|M{HtrrO`% z?(DPJw{QJ?cXcLKHRTwYFNF;sSBvU0mGH#P-gbM^<gd{mm}E9esZH&Xsh!%@8FbU8 zV%Zh5TfIh)=kES4E&1(l(}HNXYmA@woUiEi^0+JYwD_cZ(bNZCAKb0;J{r`O8y#9y ze_D6@jjVe6{7p{<*k>Ksc=q1j>bG~lFK}#DQB_qHH>x_<BWD{WrW>Ua85z0lJWub3 zIc&uqOD`w4)hayo)1PtV$dNm2RiLrL+>Gnn^Y7oOeYEkRM1|sn6~~z!F54cD=$UPj zsbqeqp!x6RR`IwD)#tHmom#of^jQy2K2UcebRj>l(v&CltG9qQ=-So)t9dvh#KCIm z=MyI{zv=&H^iM`v=DI-2i(A?2MSrm=N;jUJVR-o4|DPX^%O5smv2_zv3`j6C%D-o` zP@ngF*2LBWb<S>=%HK@iGWW{{!@W^FkvAu8y#K^aLbkt%Gl<X8@V7>)cV}hqoT?=f zMc?{3ZpkgKbUOL=UOlrLbLxA?o4Ik<SIp5k87j0iEGW{`aEbEHtVJqq5sjun8!i@B zxF49?v*E_2Z}V2!`?o!3;df0-TXv`Xe(g4U-q;djBcmem7lz5lPV9caFWXt`OR{Cv zmldj_0{l`(FSbrElc=x<9SHWi@u9KRolDo-j?VjMVscD7t%XUpxuV`d?Zb>a#pi9e zE$`KiT)0{PZ@Qn|&nI`@KbhX{l*je^`0NA5cRLj25)N3j^ULeKa57XoE?51=aAo}d zeP@3c>xAso`xm#pXZAmH(6ZIFmulYae6GXzr1H;?kN@7eZSQts;qq|<?Lxn8Iw^hY z3kL?LO~$Vmd{j%hoh~=i#;2qHvCCrC`Iq-EYYDW}%{$@ADgDn=<LK}GakKXa=Eb}@ zB7BzrUi|rIw!KH^d_R6B_R+@V|HALY`e$y6d~SPw%0)Swnj>ui0nN4<ayOrSRs3!K z`N!(_XXd9(v^c5qGE6UD<-Ya|_oFN;V-{|y<rNGJX0cIx;OF*%Wy6h2GtNzRlsZxG zeZbgB*gEIsrKQtmT*wvo=dhok)8)7CkiY$3mE_}nTUnSN|K4&~VM@R<AIaa_7dp4! zI=8pvi>y&f$JYfr^nT<xvp&jPJ~yq{{RCs>)2ZQa$_=DG8>W@_^QE+J=+n+De0KCc z8=uUK+X4KOSSpiZTDsot|6iARf8SnSQOjc+CF|#Bb7=2R{;})TzF)6a+w^k8ZdZ?Q z(>t}Vr&749NnldHM|r{?+X`2OuB?sS4vi-{@=l$dZ5~~|H0q1;2L0b1Z;a-(|GnwX zdY<e3ciA7WZ|-@Pzv8%@@yRXjyekz}PP;C^_xqO3|6hOEFFpNJFR`hp$yea%P6@6x zHvg((trqT>Qg0g9vuWx7swpQck8Pe9v1^B-BImcgvy=ohnAW8nd3mS1{2*uOj`#ff zhcuVZnbfY~Gu!N}5VH*zzwMU^zVk|S&mY~~z~1_SUy-#a=tB{xfA((P<4G}AIX4Wv z^&&pV*Z=uwliZ*oP^P%N#X#`4`kBWSe*6A@i}r4lIdr)0CtrQ{m(%+Db9OWDzIAS{ z_0sb9_pTK?$j#quyHL@_$Ta)fmYrHUZ(6Py+F1Vm_4V}E4?nEh?4Kw7|Mz#>rQ?$u zXI|#Ld3#^k`+K<`|9rXZ|8|C=O6cmat%;l2c%?X=hwGLJY8Xg1Iu>6)xOtWm1JjX3 zKMlizS-O}Q!;PFOwcbZQ$*iw@pBJ;dMCN(lUf<bfJLNyuU0)Y#wsXo+`9%+<v=T2a z-^BcBW5Giw>1RJ5w#yfNHUOVV^hSxlu|c5b+s*XKqJU*SGm|`-<RtfSwx}vd_6Zgx z3YNvze7x}?`D|Uc_<8A|zdxVPUpswP!KCEPX=gVn&RoC$->s^s6>3Ry&Xw15KAe#M z=~{ID(>nG2$t{m-E`_!Hk=o;b&QjT2FrV-7k;99{c5{f#=sED?_xJbfrIa^T=d#!s zt12@(FN^0;Vp^BNa-Qv>@O9UFUjr&kIg%$Veo!K_+-SmmlS4XB3g(nvi@fIjs6u#0 z@$uJ<*B6z%yksWNvgy#FcM|K>{paP_9;=@q_{}kC<>m>GEEWE@xPAvM_g~n)WsAvc z+d`gx*4rhoujzizpCEAJ@a+73l`=^^g6p|{s!PamefkMHRP6O%h4uVR%!Y+MOG5WK zXB^-7r|;owleKYsZ%tD5KNj<`;)l@***K*S+JTE&_HJYpuM1ab&)V1vO33T{z0T~} zS?`c6Z8mqVI?I%v7o~wyK%K%#UMB++49j|6Up5VKILh`@=uV|G2gh0m8<`}BrQ8o0 z>r_5Y6EHjYm_ZWU`}yp&;)})KFPB?aN$(MCNng=+P(nD=SY@S9*@NO^t?sOcix0oo z@Z6>{>yfLZY1Wk5_gXn_=UC?PpWx^=d0bj=W7g8c@%zErgS#7!FOAxo^(~^pNg?u# zV3SJ+yFZtl!m6-2S+d6_-8Zb68*a5@cld9M`-*|rCzqe9ou5#1R5Uyy-}<5P9{1vB zXEw%7T(U$Z-uc4$#WIadlP7vj)#B9ioOe}(Q6Ru*(RIf_r3VuP)#H!U79O!~oPNmg z-Lv`i|LQBF!@T{N<M_pLL1z?kTP60ry1M%I`42lBygu|KR5&RJ%XGKO^>cAfZz(c} zv;Ta?_}lhL$uX5rr<(0QY$#Uv?pI{V$?pdq`&1fO%E?-now>``&lM-JEjjV{YNthO z7jrYcOt|x+e}aIwX<znNzT_oF9626yQtc|_V@}T6o?PGZd5+MwrWOM+4$gT(9aE#; zC<iDQ9kQ3pnZK*X)b)U)!^w^~Zr4r+m6HeCx!dp8|G!&h$zi@ylj&lQL*ogKcOG{# zudH3I$>insMXG~^<<Z2R1>BS7&6_7J*46TPL2>{KR})CxM%O#DC#(5toiO}rZP?XN z<(Bm2h}+36kLoA(2&_^xc_Q_zbJFFdheKFCDFi4OIsFmrj01(-*Pa)q0-PL2lqEY^ z?v(O$IT#3N2uLbc%S5Jtq*axxcwLx4hYLQ`c_AUv*rW*3tSLG3+?9TWn?e>E3!Q7~ za}?CDVA>LHad1k_Pu;nm)j3}40yOGFf<Z~o6nq?XgOUIb3zL#E=+uT!hi#xHz)me? zV`7{rXce<Adb{5C%c%xz3x0Rhv22;8_Urt@a}MB>OBcBu$T!-Qf8TC(hx>nKQ`ZBc zjS=d~FJ3F0^o{3-9dG(T`GL8lqteV6!Fg9j8tW^RluwjhK9l|C#>SnO{~fpgr&y9W z*S>z=@oO9>N;m&aogO<)Tk&1p)gQOo<5Ix~dLH3ss+&A<W$^M-$^Eu!mzVi=i|3Tg zt5Xv2JI`TjHLvbhX0@!GT}?#Pwj51=yPr$m9+A>o%&o<HGNbC5M6Xh&i(CEVBS(%r z=JUN>W>tT1Q?L2wt^EOKkJs+EE`PV>?By4oOw&Mf3JW+|q#5_0<cL+>|MQvj?Z_Zs zK@G0+9JLk-EG2166(!g-69r4(mPno1+`P{3XqRYibk%jgs{)F4+QG|ujvv0bS5*Je zi;Ii*@*Tf!*JR1>|NGRLGh29{aM(M}s{8d)-SYLC%}%xT5qI}1fdc=y;sbNZg}h&% zot>R)on`8(a3>|@-k!>Bi#55IZO_tkpU}TgR%gqEDc|o^pWoJeyzcMU@Y`y2Cnu?H zGp%`itT$J$BYXN4`~QD}f7tae^`3s}-QC@-VK3hF`>lR@dir)1mg>J>uRraxes`kS zaL=bx+S^2T?cV$CR(5^S^SR|-Wo6sW*M5jS@juw#Hq}-iG-m62F=nql2Wuni-9+!H zTB*^uigT8KUSl7=V)1#$lXds^)xM3HyKc+Bn)vPDvtAV<IDYuLf$H|z2Ywx3=4W|* zW7m8MM+KS0S#q{jH~w&!<k?6TS?a8c-kvwr{C-XFq{)+?UUZkQKfCwwbL)!GOP4Oa zIdtyZmbB%24=!@;exs)-y`?CAYu41*8<uKIQVz9n8s**Dap$m{Wzmr;?;q<{Z$EOg z;jcr&vvYG_Pqlcuo&T6Czs4T14xUSKwI?PheoJd8`z)Y&>(b-3jR9+8c3$fHJ-7Vc z#MAowZ62)d+IcB$-e1sq&<3UY01=SG*X?{JRh{mY6REpPP(iWy#f61})||)M*bf^X zl5I&mk^5oF{DWl^+733epMG?-TUAr@WSyx0iJi~qX;*)Hv+=!k$%}-&!shoX7T5ZJ z&n)s&V5<=MVYkrZQ_!iY+UeU5K0feW;c?&DdA7IPj5lrCv?O9<((#8LFJ3(AuGimo zPb7aHSN`t?4nwtBLGucaN$&i8?DxT%TU)c$A0O}E{@KIV_v~Mp^KPG?o&Ega*?Z2m z16wjKDrJ3k4Qaf3-+1k9O{R&R4h~#v(&tq!+iU$e(pRv+RpH7!K_{^a<A?)$Bd@QE z&6PMj^~i%jg%r?f=FyY-%$b=kZAf(9J$rtA{lA-&dUJ1YJK4z0uG9JR*X#AIEulQ` zIqw~Kzwh_CDh(OX>DRi74}Q<<QMnMgIW4lk%lz{;{vzKW>t65s*aABK);#4i=%ngp zzO$cP_P0NKxAyzHyKiIi_W%9Xpy&7O#GaF^-PdXa-}(w)(7fI&+SuWw@Zg?_?<|vz z<-NZ3v(0)}biPRFl4}f@Jn{Lw>T}QBeP)@Qe4G*);5|)8bF#Ysw7k2!p5{4wurG2H z(wrY#ez&x8RrHCI4G#pG4$gLb`Rm@^>einLQ$EiFop`PD=oRD6M_t-WA~&a*Ek8W@ zVQ-_%gpAi`_q=6xI#90ouMc$a>^0#@^XBE*>h^T*thbP36iS?{a{Jrc+w3Kd0*jh5 zT#NU0Oq$r>w=-`Ihl>ak<77dh?>nTG#nvt3*r6nlW#lwT^IqH6*Vn6gx*uFssA;y4 zRAEexcCx<nQ8-S;#PyNZPQgA$sfa)9yizHgp8M<m=42e*$Zz-KfZC*8lNAnWJX!pp z^FvDMt1BBjH~y@jDqVe>{p6OGi8B1`RjSM9md*O=G0C0j<AeGMEpblTGlct!)P4WO zEZsOkU&u41r6p;dYW_~&ch6h)Ebi&y+4*FW_aDpHUA;;I#T+ayZUu#pPlbNHI%79q zlhML}$rIn+-hSJ~cMqdLd-CzV$n~tDMt-ThDlM$DSzeoFU%PScQa$Kk!xX01H#aZW zWtX!kSWtW3E+gL|kL~uv`h)*IESjM4`*!~RlfLG6Q$D-e{rmCQ#$w`)s!u1?Pj5&( z-116#kI_0ei4N9Dh5@|6OT9!_#_rx0|GS~Zz<gDMM@#L8gY3CSc^+johpDV*V1Qh5 zptAnl9Lvkk7EiE$Tu~rV&(4%1tnT;aca4>(V|ZNUQq_V9rhDwq+5Np2$dV)BnbLAc z_1sSL^)szwS_D6~{PeP^`*t(^t?7Z%gS`vYAE!;^(qAWkPT%uv#TA*u+!348d_6rq zI~z7Ha}HoB65#0Q`EdSdtlasmt_EwSg=)$&{k!Yyc5rG>i1D&$lF#s9tlyS!kjb0j z#~!y{siNDt+ar^lA`UCc?f>(s+n3?!k3{u5junesI)%RFS2&%peCW3|c)8!T#ZB8= z_wSba?PxnSM#P5u-P65K<{E@~h@|d3+|Iv!$+7vh1#dv-q_-^<5)yjT^yv_{{*x*d zMY%h^^}pY4-?_Vaj>C#i+}u0me)reg|N9WZ+VcFw$89c`L*I4wJlMG^di%PgMstqw zzh1d~o>uLzFB{ucC8dnG9orNfycBmnvG_Re!UO62Jridif0DbVrahb4U;cXQZpSXg z*Ri`wHp<y?7+v2x`9p7eZ<g^o*YYG8zZwfM&X*h+pZ-f`L>S&lzWTUTuCd_+2WM%B zaQ%lh%Q$u@3-B2&TA|H!PoO|u`H6tzg%95>o*2u#*!W}fgU53-uB@22xAO31*22~w zPCXwFI8Is>zCN!sCt`wU+qb3s&PT<6e|K@2A-(^simPzjmo55-s{Cy}y2uGh^ZCT| zJ7!uvR^B*!XU+e=-@}yMb@vH!bo5+EZa!0c{hrLndfxfx?umlVE4LL<WqOy=a_-Ug zJ4N36x@Ef`f)=xGSInFlAgbtaU4Sjl@K9OBZH>ojHcc;*_f~y<Q}WSm!-h@#MN=}= zy40IqX>%~j2|B4wVDUFAdg9?2I^%=%POlcFk1s5e6@0F*4%gpZko+e@*r~*HPvpCK zmUinyS5NDymoPjeq_lj>-LKVCdY%0aWzJFG<Mt=+fuR#efG^0U3Q9-qRUqld%k9f7 z4KJ2ECr^mS*C>9uRQ2_0xGf*|c}_V_jsvyd@20apIdN2h=TS-8GroB$DTkC>R&cId z@RaG}0qe%)O&cUCrCOeRIPgKTQnH2L(Cf7Q|3Agv^;I^NCKt9YOp)Gn;2-BtQT@0- z7JJ3j=RB^6vGL{N=Q(mTT1jVT(352WM?<fKg(z-WG;h1yePd8l?9mGyi-|f@ma6Z1 zArN2`RC43>#G}u+ELE6nBHo<1s%Z1*qyle;j-!xA(lO8}z#dG`j&;j>yt^~^l}=H` z2cF6I8$Z^H)Mp3oE&V0@@ps3U#^6-ePKAk6YXtX*SFp-73tX%beBUzJ+_A_AdgN~a ze_GBwCxeQN6+Fp%JPdY7AL;xc`1{yzp$<d8XOCA_G@jDGE>u$g?RCqA174q9|2XP= z+s@h1Gl9orkpfB(g7$&Rh6Yb*s;-~(Dt(Rs^On$<!{RT_JeYZ4b<+x&w=6NIi$9h< za8&%`Y@}hSdE%RO=Sdmy^(>sn-bML5$yT`4?xc55fP;0R%A{;rf9}Ul$2;@R^F1sy zIJ(=(@5E{S{ci-*oD4(qKE~Q;zhm2ZNZ7^WWO!cJ@A8@AQ={kg*txaLU@}Z!GfQ8n zo+E4H-du;2mYzDJEq5PJ-N-xf+_`v`DLp^Br{sxq!O!m9H9e*{M=acHvm$%$tt}^I z`QBg5RD3y=OT42kc;!*Iz182hxiEcll;h~O?%p5Fb+(Y5M<U^5>vGol(^&r0O|m~! z$N6wU{9`X0Q2TWDbkNbg+G`y9xax1I<?sDE&AsB}_r<r)M8EUWIM=zo*6G^{x63sX z3l_=UJkHh86QJkzNbG!87sI<Ze|DMQuYSK*@Z;g-=~{LI1**zAWi9@DDg{4eO<;C< zr17Za#x&jNCH4RRnem*>mvO47@SJ0mero^6Dgm{F(he4^o0vEYdakedQ}uhlLP*o` zdbUH36-<|!zq9&xCui()$cPDT`Pn0VP&#kN!PPPL$6b_<1#i#TcRu3cu7lM=D+Jw? zZeF>ov0k0gJRnvo{)pCvk1xMn?00ZTVKLN*uqli%b!|Aey+ggy*y~3~gy;In1&R;6 z+&r{gOZr&v7>fCX=d)JHsLYA0{d#p<xA8*Jj{5e?*E>=I>OTLNcqF>%dy_$@vX*;# z#wU%46`D6ymp6l^^s2ZYvL;4viT_aIP$uB4d~+(7bV;s<%F)Y@zSt;<G<}f#W$5vH zx0FhAP~tJyx{k%&k8_w$Ce%OatuVT!X`pt<Utsl{H#xiQ>wA7$GgYp;Eet(@H(%uO zvwF$*0+nhH-mg5lWPR<+=NsEWUJ>(&=UF~E^UcA9##|zd?^G_jFJL-onDk2J=|qVY z%Q#xN8QXg-ek%D*Pka7DXV3fn|Lfir2;6mwn*WD&UF04)0qn=^8rt-^>T$@4PAO89 zc+$M#ZI`Ha4s*SmSgy+#j}=Fq87&ubTPez>*PFPd)K}!(=@sC9yzsoxkt3!te1Q!u z4rO~fXDXg^=i_~xr1R(fr+O*9#YQLhZsI)jRO^oTx{WUimH0uumH$3}m_M#r#$mxx z@wsnBxXb#91<C@RMIRo{vvy(a@6&tp&@HZCT!-b4n(`glfcf=&ufu~`Vgw7mf))2J za#D~x$?;J5kpGi}2R}bf(+>)H?$W=S<41SH{gjk<cXn<Q=}QOo>=?`6cT~B4`K7@H z>hn%;*;nx~Df+JSJf8)s+guCheVNhKpboO+h-u)z;<Ga09-@rwjGO)o)+x!oTe~IT zVi1c{C+Pg$i2;vR{tja~)Y))9r6tAX)ge<&!#T^<tNNZ+xL?s0vVE>|&23eR%C&PV z&Z*B6_%OR?LaCp2$-Jvc{Ef9Y-BYgLYu;r4d&L|33YUug^V=);es?(cnz_C2)Wzib zS#7cZH=lR+ohi4z|K@c|(5bZ=NBwOM+KFqIHC5)`vtEC;xPE5PQPqtloSNawT2$0# zv{%Mnvnh(3ZFH~*HVo+H#^ma=J)A{}9TdD?&7Pi~VTA#@R)RMsZHzBVlbzDDCg<dx z;()SuX8fPeWFMAa;&hY6QzO*LOZw1+fK4q^v?A|p`Sz@q^YiyP`{#08G+<#~lsNxv zwU^@D@5leuD`~volxbdI(Q?%0f95@Tn;I8Bsi4WV9nY1EetZc0Z2s9`{m<xY`<j!? zCVM><Ub3k&WbKRzpLaQBt_)Ii%nI@A@%vDEMbTa1+KCfAlEx{=`()!p*YnHU<+$FQ zuvxt@##gW-4HOR{J{R(4rEfjq#*pv!tMBimZN>AKeB6^<KYxj|QqaW-yf*w#&lKM@ z;taCeIAx0Y`qS!a5sw5l%T7#~Z2O!4l$^8Aw>S1Gzt6nh`>gSK+V-E)Elbj_ZkiNi z8W^-{%G8w4J?XBXnq5^=F}yGD>Cdmb76$7pH5?TBwb+Qw&{XAx?M?amKZ&a|CN%Je zFq-aLFEY1QMd^XLWc|(uP25k;S-;=%SL%uqcVmgO)wN}*H;?c7VyiZ%$YqkBmiAQb zX%oFfh0Yc)3Nh>#I@;}e?EtsIEM@n8$^LV7Ck^_~=sbRTv(};P&8_r9_Nq(bidZve z1UX90a4!=5x<G{C-im)+>PAif+NyVI%T~PHCf%WU&2@WR)k=#`AJ*NkcaNLbx8ra- z|934bv-*EEtDl|9f6>fuH{<<7LBnFJH&wx&u17^3O;heYzWQR3kNraZ{eLc16nY=k z<}ozP-+z+hRO1eo$}sIHmZ0tLCYrWYthqNwRr=EA9M}P~1kRVOM?YZp)BXSd!ue#a zQl<uSXZ`;Ee)<uY^D5K(_MA9WEi9|N#OeRcK3VHit3p@r`F5u8*tU|FLDE9*cW*?u z$DXSCX}fH??;c6nj=+_z4;=NjK8*Vk&saBE@R!Ynxs&2}vmUxOq+DG3+W4%p^RzXm zCm1dDo>g!C|I^MVi*qFRDi_!*|K^j~^dx1qqI&e1&yCIPOI`P#y0ob-^>fTgwX3U+ zih+&=k~$Mx{dVi|uepkU4mJ7jm*8NQt-1KR;Mdv*yl%&ttv{dnpZ?zFz~VKV%<IBl z##*)9>t5*1?P{f^5PBih(KT%8i*;*OZ&KQ%rT2nM;{{hoy_Y*H%ap!L)*K6`3q(1! zJFIB@T3<i?zxf%TG$Uc7nJH=SD(BfuK2vjF_x<<Ocfa>Ozf(Nx<)N}~Zd#Q|W^;I_ zn>{(QsqpbJ&uKc5TUI%FeV=KZZZ(JL;zQdqok?nPOI4MWoZ5J$)2#WXwoiFwUH<OM z%jcb^rR?kWgscc~ls{bW=Ps81?9lToH4Ei@&(1Ra`n;WA{@TiCneE?ZzP5h9=Wzft zyUmN{P4XJNBHT4^w_eXlRuHv~{+_99XBWpMs-^ON|Nnhc^kQ%2n+s>YeK}dmPCI<v zm93YmzQ23B{hzP<%I1daDJ@b=DT!z2T9?1-IkM$d!-1neq-RyQteU^q{apQO_1`u@ zi!$OgBcC)*2(b&Qs$MWhrgN`?d-A!{6DNx=4LZ3;Xk7>A6BU0x=Vw7(`BfJ8WhFPR zdK(nJ%%PEq&skNU&op+$gX*83QuqD{esS*RR%g~Mu6sL!71?+s78r*FuQ+$}#y7uJ zWnzVO=?|AYSdl7nC;6a$(2@?lrsI#i>T|fZ=IttfpQkK!d71Cz>2Xz_!Y!YlpMRaK z$giP(rEO8Zh(ZVB*1QwvPAy}$bN7_6C|FP?=y*zFQ~M^S%&KQI(@W%EUtfRyYMbV# z<Id_k7OLH}Z)hsqnswELZ>CY|rPA80D=Qv`?e#qSyGhDL)~e(}=(Lp$IXW8zKXmnc zIO0&BW*^tPRF5h8+uUis)qa}dXIwSIZRU&U&k6TxwN;pDylg(h<twfVU!(U=Ebw0B zuaP8F9mgkYzdXjW^2x$etxL*|Hm$tB^QGcdfdY3o4ZC(D$CcI9&b<m^eXep!4QFQ> zyIa&<SrNF+IZ&bFe!{{sQT<61CM0yf-w?v}yDlKAUSpHEoZLE5?yk$aaeE@PRV7%O z4}^O(h<4oCnCu?Sn&83IxJpHIi`KILUoQLaYBD&*er!gdTSsJ}*)exVyAvWTM~qf| zpQaV+b%0lyjWxAZf+zggqx*X*i~m}#@+x}!;9xVKR`=hZpq&@DW1ZjqYUBu9IiqEc zTAa$xaOJ<U_3uR`9nF?pF<yVHrr%*o*Y6uEI8KDQx^!uL_ueVQy2R-uQ<e1EjaOV7 z-X8niH)o==yTA9Fo2P@k1t;z5nlUBtquMbhZpQKymaPxIy}cbJxnX;+wE3%}a~`;# zE=XR$aC>+1x3{;Kdnf9|><G~2I@k8fq2_oKE4Pp)OZ2uJ!6u#hwGE*iyzk^<jCNSu z5M<VzVVK<Z<W#BpI?i&gRqWk5tJt)7U5?!oZLDT}$}*|hQDDYm+nXDXofJ!qano?V zxheH>p3EJ`2?kfBx*vS_+sD*0i^<Y~vCxczKl%N|#qD89caC1zv8%XZMsIg_ab>XK z_a{PJ&ko!8?D0tWJAaq-+WPPmmid}zZhe}|cKpiaI<ah}<kY9{)m0piwoK6v3=kIB zc1y}zn&pNUkD+D7lP$L@OXi!b6jT#@SUzt?@6vjfI}-&Txpus6V7VdvQEVFb(W?3S zE+Q<aZ4dpPF!kx_>930<*5!P9a?+~p(PV$S!XFZk951|TWM&td+s4(Xb@0)?`s(j_ zl6Eya-b#o?b_l({=yrOVZs~oNtRxMQx3{-n=hRsH9dwXTU#S_#wJDmxTVAs%%dw?0 ze{GF$sBSj6V1IC7!n0GlM{6YbpRgW1sTBBcpL0O>feS~ve@Oql^76d45!3G@`o~vq zoT6Cp^_OnsLXH!!WDSEf;`vqvZR_Y`HmskvPe5T`%J+p4mZf@eQlZ*fzM_UFrybpK z>eBVlBAH%oqokwV59&5H1+Cp2Ak#7P#g&zl>$p=H%X##qvL`;TEkD(Dl`lH`^YioT zW7)p7O*(W=@{#8oUUOa-=G4PcS2#~>dDF~qH{sFIZf=!Vudc4Xv@JIpbfA!KS@VNS z^K7f@vzE3ggr2_Jva9LVqZ|RYBp;hx^=<b2pI8+a#)q>hCe=(>tL8haVvnvu=nBm< z$8SoR<*aCKo1Cl`r(&7GdTe9dM8O%KzP!9_nrv2<&oO6bg>T*6DyM61^CqlH<@UT< z<hrEc6l;=Bt+E5(<XHCP)awg_FB#bQ%(}`I9GU2xU;nz~*FLXuw{(BEh&2%m;cFrm zKGq0e?>N3;+sR4Cn-lzmW=1m3s{Z!oq9N}N_lPID&U+OWN*XFl8l`wVS#|KLvku2Q zu@mRFW?wH^C&=WiXclJEbyaQ^8{^uLTdHL($sO#C9s<7|?M3FP%wu)_m~b#HonO_` zLay}CFFUnmPafCz+n4?RduC6;euu6AmjY8)clXz^CSiQSaweYFj_pv?+mU~uyFK8| zo12?A)&4G%UDK7#_8oLIP=LCP<n<<n=<v4JC!)*w-xlO9UbLuW4KL^Yj=hE3|K7a3 z<IMAy?2PMAaG0y@^9}Ab{VCoT@|@dTX6pTIa+7a`cAaeQYMHUNe#gn<hHK9!tS)%c z7;$sg^Bp!Zt9NW!8}799xVe{SRJ{1_e{WB6_<uR)|MiX1#wOFXtha9UI$3LQ?{J@U zS6AoAkqiNAC%2I2Z};Yyrhez<R(Zu8xk^!0fbDtm%jX}D%U|CptHGtSP9?<o>46&) zo2^@A4c~|y)G_CC)!-J_TN7LVY)7BR9X89R6C0G%KRh^?r_ZjEeyD}>mac0KTcOel zscYNfIYe8!XYiHDI9hc~-WX#bAQ&R!!IQ#viOKVmo9M@<woOe=JUx3Y^A~?htzV}5 zU-1E`NOOsMe09cm4xPI-K4SScN>jZ=r$mKzt#&GyxySyd%uGGO9Yt@KDXG*SPkI0F z@}*sQo|%!$D|X4GYw&5AUOw2aG%xKO8)Ll^$gD?)+fN=mW~02J@VjQ@hKC(~$KzHP z6+Z6!$P;-$w()n`Y$eqti|d!fd9I9B-MHTFftu(8X0sHP1NsXj>pYY}CX}fN&0V0G z{7!I3&DUjTq6$4kSL{|QJ?uU6K>Zbg9g!R*cLgMM6IWHlPj5f%buP#4uh;6+c_%!g z6!U+Xax9Sn#o|8iZT^vVXQq@(*XZU1WSv+e%C5SnCUNERj*g8NoEV&hDjzH<$&2!P zB{@~aS8t!9awgO6msb=X2(#=_Qtp*9y>yeI()3veLv(4nj=h4R7jNf^NVh{=^|MkU z7gqJpv5-~I-sbwU>)_ql)6JtB|JEo=7(Gfq;9JhdC=UvRM0=atCn`+K#2Xhc*E_wP z``e0=w?CKEnJ#XdQT>>Y>Gd}E(-UVDU-~@pRM4UTKj!ZF0tP8Z{2gZJ@i47yXb4Yf zsr{59krF*~qcul>bK0dtwwLzgS+0yZR{tRB9k)BnH<!6no=WqdGS1bWJ<Ggqmt|9L z&xY2oXJ#7bWpA6HTl(e2MXTVfeKkM-v}}5LOksi*M}@1~jp=@_-m6Tcgd;cn>sZs* zGcoL|w$%2Xor-sq8g8eZ{8f8NW6uhkp#4i6wWbPkm>D^>MSPl7^7htM)uJbLN~%_! z``0klSFhyRn0ZfOLKa7bit>aBi(I?2jFNmxCS--=?Afv+{Gf`yv3ZL-i^sHWC4cKe zEA-n-0uQb|7R1jOp2Fff-SzH0kFS1*4C<vt3x6I`{k(ell{YCJs-}NIy9pwL<{tO1 zoxQjEJJ-t>&SyP7wQ0GiMQEL}|NrOnww|pE+gvxCslTo)xv)t!@>>OO>Fm8mUVKMa z2tDv!wW{Re_f{*Jw106*1<|5TbM_ZapQ1a<+sah)@zcm>8=fdlm}2BqJ5$;`@5Jo< zeKYT*)fU<4i5ktjwl+Ha`fA0c)j`{`uj|EfR{Z<(GcS1V<fB{T_Ezb2-~5?!;pLWz z!OQ(#`t0JBG7;ER|LW1XMK2V;wkxO|V-jZUPiax-j!<8}Fz53%m(%ZFe7>~qW%DG> z$9H#$F6`-?x%)fE9iJV#pTplw(OUY+>vu=cz4;k^U!)qBoZxW18?ocomdwW&<X%3A z{Ln9JttP;hwS7X-9j#UFvQt1)g8ue@RoeMvFCAYX5<K~6)DI6~|Au;d&1Wn3JLS%5 zm#gv!zq++2sq{*~%BZcY?#;9)e&*9&81Sa=`wBs4(>t%PulIIwY2lGJJ5wfe|KR=l z|9juu*%^HOkm6LE?t)e>(JzKqE;!aE>ecww%3j(Nt$Ckc-fm6ymkWGvjl(b930{26 z=)(g3u8$Ar<zHOXy6$?iq)Wplh59Xm^789nzYJL1_T}q8qjmh6FV}|rPH69PiTdL; zRqJQA#4>q#<p&dcbfUNUoNn0Dwn|WW)7t}*zAl^6&Pl8?m{GZ0pfTHA$V72v<i3VZ zMNh?P>-@^y-PWAiE5P<@-3@8}D1j;0)<$QCn{?ZA&w6_6;qLy{={i@oW?#RyQF4M% z{cqQPrBa;^Q2Xr3+1cUZMadhtH+ijOcTThsE38vbzdT9RJLm9$rw5wOyERUauZz6i zyYL$CZt>l)HuL494;45>Hb0J7y^T+0h4*y5U55;gH2g9X*Nd6Z#LAtOntHrX)~Mit z!&{}MDH~@jUK4P=wW&^m^}EI;^Nb7i3b8f<cLFA_acYubK6Z6g=;bUP!<Ga`S?PMw zRm~k6GXiI&ytuG%mmmMn^)tj-1^;?>1w9nwd#PqSNif2wXYTa?lPeQExxah;UKYC4 zAx@dG?UC(+)0Xq?<n=#?y_uALJM5BHr{R6Y2Yo#ODtxI)udb}*o9n2sqUW?ye&{vV zSM|>;?r4O(f0{YlRj)kn`_JZxGl~)-JBw3oP6mhQ+1SKri@m+I_43uKKraIhw~a|h zO*l6&1zMNASs^Oo!LQQj5PFO$@L)jJwRN$pr}Fn0F77c1OZWEhxX@kp_t#fb#*IB% zhePgc?P3>dZrWs2^u%NO=Y|y1{ChF=eXAzLSXb14SkQbbsou_IUGQ>0x!EdyEvJr# z*d6Qr`sK3!>o*S`od5c1+pqP<`_3@dxgSuLd^o@2@-p9F@`_h_TG9@y<xg|dni)AW zDeZO4irD{GX60Y`SiC7p)xG-lODi?QS^SP=?rs6xdH46Noyu6f*h#<JQnWz#aIa&H zj2?rSM~8DzcfHD!rdC0r<eg=2ek&XhGVd4N(3RbKbTYe5jLe&kMv(x+8>=RCTeDtm zJ}P>YaVqF|&1}hcqEm!D_}Cm1`o5(cwwgABD}-gr!kn9%GR^tkDR@X^^|}@m@GoRo zxXhqjL?FHSgTXgB+o}vzHOp>$J-t;-yN#apMW2}bFmG|ZR=xb@w~}8u--NA;Ss9yt zj7glaKLxZ&$B8pH&iU-I^S77Ik9#$<C2e8Z%i!95(q%5$n)}OtuUdI8d_~EFzMc&Y z6T6v(67r8kN9c*XuH7dPC}36oPDeL-+Z98xZ+TqlI*~7KetfiMSAoot<^@-o+4)p< zv8)hSA*AzeclrCWA9eMuCJs}6oLkC!%rNbYM54Grn-e3WlG@QK{!a{s4<pi(`GS}E zTwEv3bE#!h<FBA~a~|{Qb1?t)pJn2i+-803<_ysJnIAS}P1LBrlfL6}L89P}?8Gw` zioKxQ1&#~&$ggZ^a5ri?;;}$%{lb*h+m4@Tvt9o5W7@-oCb`Dp_Db~z>6J^atPcv1 z3fF&iJg#}Wc6iE>F7d*pF$*jNj1x8GHMm)v9xZBY*phYCOMhEf!wT&u5mP!%3%7cw zKRnc0_54f&BeRTO>cf_d1vwGjR*nm%3GzEmE%CT=b#?gC+}qoX3Lm*hYbx!SvS{fR z{|T#}<~b=k<QAGebLi<@{`SbX`scdw`(lKJewC&QC>>+JnY4YWdUMFaWd`!;**7*U z41dj?E1=I@#=?=jBI@#T|LZBIpB(&DB6{ijbGefzwAb%hr0SG%IAGI3;cKhktP=GJ z+8nU!Mcq7Y&x_OY@8~<nuXz7_Uh`(_YVb7$Ta%7*$+|aMIoPy`W_Zf+H_1)>R<HZC z`K|%Wq(^dz6VpGs?QPn!;e@aX*Uk;$@-HSccqRG2={?||<f#B^*M7AGwQEaXUAeeX zS*BTfj$OxJg^n%p`|Gxu1=>Vx`2Fqe>c^@Ua-~eH%*xAJ6rx_|KVN+s+Op+{>+S2i zwmak0bp7@G$8&|n#MD&1rd&8u&-$IWf>+YWrM}N<A8G@)!bb8s)1Mg0b;|j7ZC5Bv zaAxWcUmLabxB812x|feJNrNh@dX|4Tb?&Dm_+Q_BQU88XOqu*nja;R7Cl(#!VOrUG zV3BF{60egsm-duxyz)vZ<<?epWt)rE_E$G|AK|*TMJyxRyq-&8q0R%jpHC+Ho22E$ zrUo7pb<W{<#~Wk8;+G^KIz=Q#PebyXS>>k`Ux_tumw%ft_}(Fhy^E>)OZxoUWubgM z3K4fS-*9bQwIn)k&g0|#uP4c{EMCMhVTZ1B8_z6QqjtS1Mx!<<-7M$Eg5-Lu8-*+{ zA2?nqI_TgMP^e%yLDGXeyUBc2hkm|88SBCxgZK`S>ytN1ao4ceOcMNeGvUgfwx*9R zrWtpvDwhO`YR)+?V#(c_J#SjEP35~yIx%~r@6~T?^n5w@5Vv5?%Ll<6?Ur{H1Oh;< zo0Of(QghXt0yc!+_CIm%g+=DdSLf<mR>@k{1o$1BH=&9*Hb0anG$ttfUibC4lRsSA zxzzLPhAXd>RBoB3sHo^|o|!U1>A}RF4d>@RSRZgQ$2{#CpJwcy2~+eZ+H2_joEg0F z)6o_EDQ{1u3Kjq9xU;<Gp{n=vsU2!-mz3YXu9|OmTqVF~m#<6c+rx9WRwM@`Ot`0B z_@Mqpq-D*UDPP{ssnAZXlCQ3kny;w*@@3YHjS?)A+Cf>jf70F$d)RhQ-1PhF`S6pc z{Y|FyNHW<!t<E`P`0~oCbuoJi{G#k{d1~25#4g`_Z)5J_oQTa%Vry@zCLZ-x-L<Bz z=f`(H{~e{#`)2G6vwL?v!f197^LL(oipt-4=2~8?SD5e&6gDi@f_t9&I?Xbf@5{x$ zq4a-d<j%iKY?g67WNrRi{lY%w&<+2TKU?N6^)x<ydv(=$*kXcNGSeUFd9Axso*i`K z{PhWf|KtD84^`Z&c9q+EEf?$G`;`;EWSsDr5aVIoJHbW4)9BE=0;SbAkIQkH)!wYL ztk!g_zh%PHm*lN)dpq#j+JB+j+;^U<%Bc5MR4>(1dpoP@{r%)8C+F1{?ht);exBa_ z-v2(|-m5ysgsqI#cZ&P@T4AMBobg57=F=~x@4SC{8gKTK2mfq~zMawR++7j(F5H@_ zOGH<%cv{Y+IXmAiyPLNBAb;@wX)}Y>PW-dA{#v-gT7OUdpIuurF59ihJK383&Ff!` z<kOSW%Dd)CdiO9CW~T(cy|s0<cLk56VR^5kLV=*iq@D>e?N5wy*tuDMC;2Uz68KT+ z;G|!F_ikr9F{Lv(_1&hmK`XN^edOTeG*3Nu#`Bj^|DW%>wHgD`T(x9`?>d^CnzGyb ziq2EkrVDFAt#=C5N7mQPGj>)mo9{bg<-OuFdp}&}4}S9gZ&lW!AoE9wt`1$9p9;ON zp6jqI^!fMeS-|bwvgoIcFMijAM{dk%w7Il!_LUW}{_Em8r|-1)3wBA{&Le9VR%LTr zJtNOM=!pBu9TTTp&r+#=r>9k^ui@e@D}HIA^<*Jux29+J5BjLE2zpGa@7W+e--_W) zF+c0?j89^jA*<Jja81(Pt05>Gef?^*+kLrTom+CRA6@-w*1a8>*Vabq+3wytEv&z6 z%K2mGw`{F`_a^h@FAry5pJ{9EujcBpP1s$kWAx}$?vtAO-k;}x8-2UXpW0Mpcs0Mo zyw`lm%aeS*s#dQW*8KXr;?&6rx*B=)XM?l4-@jVh{^acPZ1$ryLCHd4f|j?Isd3t; zXm9oK%$g+lY2t*0?vN*8d3?_;CoN}Q<nqZNnT@g8;gbL;I&SteUt1eJeNPzkmlxar z?+)9wb)BN+rb@jVA{xOqwMK4jd{v>-{usG;P1jLA|MzL=%R7t1Cw1>IPkC}xy!ynW z`uMcdlS-?XMs!}{D_F2$kKqGL$xj!be+pSYeUh(R!FdxN{>dH7bf2D8R2Fiyeg50i z=uv$B$#Q$WslU7uIoZ1RS2?SliBR!3+b3-76r`y=QLOcBpPa4Kr}wuf3%;Ipd-5bz z?`bbgSiWt`y=^wv@#?)5&z%l!cp}kxGVSavRnYRUogr&ySu8u_)!?=*eBIp56McH0 zWQ43!{jGgGz+X*CNy+lChxzeIGQE$g6Efou=-=hJz@zd2<aM2>Egt`iqi-@Y=arq5 zDfsVSKe^6UYW4CzDf1*4+9z8-+5K_;={1t~vy_+uGycwaJ?ZYf2@})!r(V|FJbm5$ z=^5XahxARVKW6IfbzFVk#D|ODU)%N}OtRB%OMH}j$j6RX9q0E?J-++j&Buj}1r4XR zsypBN?{Q8(W@)>3W=WmFrpiykuio!gQciFxuw`ld^6Fg5kzL>R_;;0so2Km9Yu_=q zc>1=Q&(F?Q70$CR&s+42Pu6P5>C6d-_7o=Xi+^`{YNO|3xs2WQA~(-8c)2`{yR^GG z`|T~av!B1o86Ds04k{~n-ESpcQfY5uU2OEI=I)JWzrV%DPf6{5cJlgV@r<trZ|+f4 zHa%^tAiBEc^p~C5!gKpK1zPHCHp#pfcFcEX`tLi@Mw^mvt37>{sSrEA#_v{Hf$SQO z#iClFQxCZ|Dfe2PI`M^dc71BWdF%K$OYUsrs^2JU?RfNR%dg+(QjXjVojhfB+oHTB z&H0y)6^2W4zy9;{GwWB*IV<lv1qKA|PrlOJ+0%1nfAH7qmV2#TnyWO=xiQ+E;A~TJ zvMSX+yXW)9C7H|T^4tHp@a^IP$L1wTN4s`O+?{Eh{$&3DKg(t8Y9e+wR?Lqwst>ud zw2$|D*Zv+U3yIL;mC??!^8;>VzSOUA-Z@|8B*#@b^W0lYvgKQvSfkH$?9MKGdn+_E zX!DeNGe6zs_ZE`<=JB{-tFc=5nXui_cO*}7_*a}Zd*ffiv2LN8sn?y&Mn!KtrfgXe z?s%)bF33)@T78Atjk8C3D)t=`lXRS$5V)*9V0Nv*YHOpU(05BG$n0i*%e$4S$tkmH z)%&25UY7oI>twmkZk+wRQ+-~6Wq?;&KzN&kb^2`E>TPukem|R?|K<PG&4F|JeXif# zUB126#nARq@QU3{M!k=i1e44KTRP7d_E)UZdLwzY+L}p6(PhWl9!Zv(Mu$l$EMhgU zu4qac)+=qie@}ew^6h3#x#qq>Kgx1K-Sh74(A>Y~&cqiR&gH&3`P946O76(qz1N<5 zMt=FMGu0w@`xK4PYY#VA-uIto{_Fdsa{FIC|L(lb+nSy~Rd9;v9UJp?@sp2cf8TLN zX!gB#bEotjf3xgkk3tGd=z*Cn5$U^>l|?#}FV3?nUFEF4qds=UHtXD5Cef*}n|zCH zrWWcxu3KUzuGO--LNG+zPTsm~%{q<OIY&AS(jK{L*txUD{oZzaTdwwE_x^3EJCC(8 zdu|G0@46wX6A_?ou;ZPAUFfQiiDhqZWll;G-R#Zf7$Ws3Yz}YI@jq*`j?G(tXs&WV zR)O)%3c-9q<KvZ13ZC^whrU^=Smf;qF!<Cnr_+1FHo=1v)1RDqIXP%S!lN@gpRl~V z@=7uDR#0uD-yg$^OaI<J?SER|{_K@i?W=j#&e>3RHvd!3^l6_8yhGdm&W^NV=Qx%( zE3e-z!)WuAyC#*NZr%Dmt*3x#ccvS!ywcg|?UN_Q`(C#6X}Vc5Z{i%S^B(oulhcpf zEq#`GC$>-UT%o&LNA`}|-`m1O-}D}x*(w*lB{jbO@7BKO8_JKrxxL+gGKUCPxSpWa z$)J<v2b)+u^<EvB+9P8bB+Qu<uE4P6?*97vT0O%E36|!43g!}_RVzPrN4ItD-!bjQ z<gP<3XR4CL^<pkGZa(LBHU6~fQ(tSDdS}BxEw0DQ3_mK}Ta?!KxdoIq*!*Uu{fl%u z*rds<?O(jZ>V){E4TZ&;GGRXXA60MOSX62MO3F0&`JZL6rsuX5{d9WyH^f>qV#kUt zm1{OXIWg0lqhalmB$v3Vue!G%%J2Q&R`d60eaX9tX=~*tXNRvp(X`Xv<IhuXqpUk6 zne{ifH9KEEsi^!!u5x9%dZLbimWO|%lWWPkiF<c%^DdtHWlgyJ@mQh8n*LclYc%&) zy*t5CD87R0NX?DgKR!M#<y#6mKIEHOe8-ODH?eBqNsrSr43lH{COt?hd%L5XbEADH z!v(*&Rxgta4?Z|_@NVMzBMTNi@Jq6Let!OSmU^D4EYmI5$tC&R;1!XVU+>wqzxT&9 zyV_qfDg^79<)8dj^>nNH_C`}TYRd)3kcA26A-S^}9YbcPJzd}Z<oQkGl-tiri@c5& zPFTD+;Gse0f=^{%UjA>Jq7{7aZR*jhpWcQqezM`z^N9<XetS*TRIiu(u&m_8rx2wk z!^}g^ixTeV)vt}aQnjM-+ySY$#eR#nO?Gy(n7RC>{BqwZk;eNczi?(R*>+9Fve<iP z*VLu{`^|+^L%FY1Us>h*xpS58<davH?R<XvMORP6N2ML{b3_>)IdPb@%0zsZekaz! z7#*ftynIc>eBp{&_Vsmp9jc9Dtd3MqkY^1&D606VdV21D-&rR07xk1M`35+?;a%ER zxIOFYso?3eJ~$-ANJdZdo?dom*87!?!upRm6+i#nt1v-D@?qL@`Nhe{`@UZ)bC@Tb z6I#vuNvY+=0^h4~_hSmGjheI>OAC&6iQbyp_?tELLRasB)Q>L~pE|liXy#PiYV~KG zD*Y^z7db`f3Ey+?1<zyD?=YPcYr3N<z~)}nYu&~_F}urrwNLG7-_5$Tbw}Tg8xf$% zntMAB^GV-2XnXjE0xQdQq5X!nze@g=Z_T>;<yvIS(+T{iZ+vCWRAO5eu+T~FptED5 z&pIBVr+KHheEw)7lc3?L>OJjBq3(m1Z-2_MXYp}lvP@D@K0Dj|dgk=GPwUSnxaC~^ zCM;BG;TL7PLgLJ2lVWdo4xPB&{)bLK32Xi2^X%M|7_n-jLyOM$@7=g{da}-=gM5;Y z^mUq=m>gv~H}8J>=H}*K)f0a3eQL4Df3K=2YaPF6(V{KCK$lX;#+<r;r1s6--Qg=f zA5G8cOciWrNqAzn(Dv8aiOTJDACkH2^&7XAzP@(#oobWI+sTVJ`p)<lpz$Y_oloXM zR_|uVFOycU`H_8Q+57`e3Z6zyJsu)eYooR@Wrt}>==z8Jm)Y)j%WRcWYqN@O4c7yM z<;#qi)I+6yu&ypY&8}}|;6Fq2h?VK(gUbsto|QB=I38eT@|+~NX!}ITN?z6IeNT71 zv8yi%yybG|t91LNKWAh9wj=de3smwy%NKQ48>Oh|UNo<jW(jHol@0YO(I@tN^j?-D z@$&tfgTmLmKkpJ%S@YoTq;D_tr=+<w_8jQET)3&`ah>EZr@s#K+;&OsR#blZENDif z1k0pehe;_a+1J)oWlOGKm<AdRssD9%`O@U#;7hhw*6rQ@%)0s~UuMA42`1~}uEfW( zmD;!UuzY=LI+?qO<<2BQrEQ!m9+`&Z{@D|2b!czg)}V!4jWf%&6gMQgZwYw0wfy%H z(S+cYrx)a3n=o;~{v&Bkjy(<0MozA7LHfd;a;wU|{?e5+tK4j|D$?zg$Z7Fa1~V$_ ze@ie1?O%USOf}y&)_qgz&dEEkMVt~eNKttzF-wexDYLa9+UU?Xqix?lNuAne{cDd; z)Q<35rfaoWKR@G0)i`sfw&B3b&mWEQkLp!!%T-+&^eS&vjl7BMC*LLNMnbZ(x}Tq& z<(~QGs$%_TNroV>a~`}hK5w(wJHCCF?yZ7)7qj=)+Hd98X+;|UULRAw;;~%L=lx~M zj?eyW3w{-%qmcW0D$ll;OMli}5|&gv&Y5}H^KIT4g#>{QAhR}Aea)I1Sa5Y!=)7po zM&)N~JH<jKmHEn>Xzq4?Q1!d2%RF>t<4^6fEYl^mE}HS?`L}{Ob|@)lhQ?0Q4_o!Q z?De&J-+1XsvnG~KJ+(KbUN>-2i=R^3{4+WMdP*z5dJ42_+uPX0sJEP+tnR;n=YEgz zT%`xodL{_{{CwX2`pSvDcO4VHozk!rDfYZ&x^mSe*Zb)Sh8z{G2j1TPKl!J2x#!JA zJC_7cHj0~@%;#mtbS~w{Dn0ME;Ci(@m0YWrw=~zdN7Yw|OahH6r^l%_YfHwj<cV1o zqNy2UQ5gF6$@x3==`~-khFfLp@~`Tty|BP>S6koIo7d`<4Q*Manet9@oSDw#eZ|wD zOuSJ(TxQCO85Nn4`<tGen0C`4S-?Z6@yBZOl#{<|gSL72z5G;=q00Z|``U*-ZXU<~ zJ?hq1i;NX}<WT=sQf`-C{Ju5ZPxgL1CM_gZW^Vfb-QC@(&(6%WdL9%LGiRN#^IR!y zUMtgr6^72zM{Wdit5tt{vn}MahSHARcSFA}?T~G0ZK|HO@x<oF2BoFx=jI5C$lcNl zTQj3>zSn-0#VIo9wiX3(fB*aYdv=iP(FAQlHPez6Ta9aee7N%JTKyJjC5|1c%1avE zqn=!yq0Gd6O@89liH4U})wxa<IeSMYPf%f{q|1`PionVx`#J?Z-$oyu*%Pq4)u~cv z#yXy-Pu|!6-+gZBmtU{fd+)3HSt1&HhhJpH;eG%ARsWUk@LpQ_`r56dm-bed``X<2 z<}V65Co5Y2L<pl><3nSv*R@~jFS_sIm3vjOqxnlg-{!zM>m8ffcEz4v@y7e_Oexc> z7dkhJpP##Gngcpf>gww7>r?AjMjpPQ6|!Q&tqZTd9qeGL6uEnQOXlQx{I35LynC+B za8ytQ`N!a$u)5!l)X$nPO(f3U-SmFTt<=r#shPU!Q%|!@yCTK&WBGT>H=R~1>o={I zo05JjY}zeR?q%N}vK{>~=Ojm#(@dk(E!9V+I!aZXN)KGxAq$#K+WW$ZA;9TJ(~;QT zq$b_iT_I^_XD#J^kT}ObM)qBI&dp6Pzqj#7Doxc2og`;l^<uxG0m~*KeW$OnNuD~+ zp_#Y0tpyz@Fu(cXp~N#i{at%QSoI<{)GyGR_5I6b|I-bO%uBqcYVDGcS7BXxY%;H` zRfv6zl{6RM)TXsbjqh$PWGZaulVuT2Khhz1C{OXqqQt{(nOm3T-`_W{Ey2#5L!}c` zP}qwsU8pIZd&ZQ>-9L6(zWAGolUzT0HoscR<HN?-d*Ign#ZNunSibz~k*XP}vE1>f ze?7;I$$}yL-mxnpH!nLa=)faa^+Iv)iRf)bPrZD30zx~wHKMkxIQ%W<3ZIC`9eb6v z$&JVM1}*oKz02|!v>oMEZsJ7l)o;(vHa~r0qO$44iqB`wO&J#m75xAAckAru|9-!} z-tJ_e;4JpA^3}@aCXR<poo*k=ahL+ylD{x(oq2uP!$YjTU2{Ogg=R|ALag`y`?b19 z%G8S^Zs{e1;`S2;_1Czxc%+Rvmas5xPidJwzuqOTcc**9kqqN$@)Krk4p(tfbvNFc z<Mci6pzdZjRb%a0_Pa~+<L;@LeEz&P!QU-F@yBdeyWnL$oo-B-3jIP)7`C^k{yBT7 z*ZT=*|Jzj;=J3wc*xhAULF>n5IP&JXc8lHA(z~3$zwYmrRS&	TGu{KEmc?ii`7o zl-Kz(ZQ&vjjR1#!d2ylIOY@#eInH&MsAzUH{{HzD>O1x*I81X4C`ex@;+WMV$r98A zjuof3x3(_zpKqs`X`gcL?uOq}&IBD?`|v5Z_ul#sL5jxt#uu$QayojZonTg9T70=E z$p7kW!)Ns@Z%%TYVtXh2+x1?Zi_J}8W~0nYDzfa2M~@xzS|7Lf%Vax48Bn`W%k%20 z@}O_=dny98g;$7Oc^$PmtyfK3Lv-55-<wiTmn5vzRzAjZ^jR~%-G%$>kF0pWw>^A8 z^|v=GlS6LQFFn-czo*ldb3tr~tcUOFu(dW!ybl`>>@a$ixyIqn5~)K|U1iRQ$JZ?6 z-(B>yOHO&+iauHEDw$@>0}2l~8MmiAIbZ*8v(2{~$xSX9XRKND;`eIa|6dj0H*ddI zsi>l6<dZ)6TM-|W3RJ!|<%GB_DY%z&X>FwC$(gzzgDXCqv#YN?duCpbKuA|~bL(BB zJLkS-v3?Y1nPd0!$>doA526|?B91i7RZcxU?P{U&3e_FH*Ve_ZKFLw`>51oF3E}>O z(_+i+J~(#J_Hdp=h(O=k`2G9(UN^j%z|)%$UUp*xH`~)Tg#Zu6gKISe-XuJEpLKOr z=F`R<f+yNjuW%>7{%~bwaQ)s@EBcz8F09l(J>P!6?^VxNS&#N9d5AvcSo-pDzx}nx zsWtN+`Chsno8&e*PO!k+?E|aO+Ow-SK2h8dxKf2X<l41Am76@zo;tep0JB<e%_jk$ z|L*g53g}0Fmzi8FzW$W5*6gg<#cfM|x^6zvA*#<Lxxu`s?uIrCsDX8GM$YPbRX&!h z%t=YQ>uUIRDlC{XN2;dn5tHUdt(l8k9MoQPM+k_BHdz-w-LQ2=^7nUlwZqrPUEL`8 z_0`qO2UkvD==s4N<HRyOXokqtM%_I&9764mHr)3Qe>-`xfZvfvqI-XjL-dA2OIaix zLz7|zRFl~l;}srE>v`a>x;buh+S!_m{`DT)HkN!gjNJ3FBSb4UAWJi7lI`lsEWR(& z4^M5Ky{YuO;Z5n<sHp3@n!2w=_`hhfp8at-*y_gu#;ZO@6dtI9T163-k}REO=4J0W zV)j>hi{)8p?a#Gc#^Grw8XR;)#d~+T#pO3Xlcu}Mb%bV}D9nu7yyKK~kkh6VBgg8@ zvU<mulAIGBRlB6pbl4i7C_b3hvtj)_jm+%&+E24g-K~`io;@=CS^AnYQo7P<)ihm| z(9@!Z?`AXX@GUt0#!5naH{aYzZ4VPKtqGeUa$>S6gPUBHvq_4|mvuX57JdNvRYX57 zhCTaZ)|qmS4WboC->av5?UT@)qTe2(8GVFhSN$)(xcOUM5?;M?-dOZ1W{=6Lu#j2T zIE<r9YuoZ(nWUWXc=aGF%9P^=GpO`Q&}LfcV|wh$Zk}wVWK%=W9bfG=<2Nk`(TQ{@ zdg?Jp&+4mNLew_*2SI;IZ<aslk+CR>GD_RwKP!xXaz~acj|XE@gQ7BH(ZVwZyi9rm z1>SBR^+|{0Y9^mi`}%(NiWwF+cRZhx6RP>F`^kCDRPQ^AYTxgEUw-Ai&5`OK_y7N! z@VBc$#-_?G>3rOzD6P`!yQf;0_*}fB*yr=W_x^;52X?2W9bseSW}KeVV*4_uG}(HV z;eREEv(g<W4?Y%O>a(%tRO@8us?J#np7F7r(wy7tRel{|ox17o9v4}A^`~7=C$@_) zu1wB4@q_D;Nv68(M8R#}&&@VJuQ;`4Rzs4NDF+J&litY_FE1~DdXQaSB(8TUFO&G= z{1fL^6br4a`zB|pugd*=qLAz7S3f4%So$)g7Og*Z^z?O+pF2<%)W)gJw^>p5>50M4 zdZ9_(=~Xs%wi5*>&7Qcw<;uH#CYR2Bp5WoM<%&`Lmx)oy=5N{bnDkC^oUDr4ma}s5 zHwo`*mb|<F=D)G3i4&fDxBa~5HJzDLtktKBXio6%S~Xwh=9#2dHB)bNNf$qxbmY_G zJNnnx-Jf!5=_k*vn|?0IdbwxP!+*ao{W~;E@P`OvczsICsl7|Rre5OQ^(V7tW6<2~ z(cANO-8N1?_u|dcE7KJNB~G68SUxXCSz9Nx&hx^wsAv6lHodjCx8?5o$Jf#)m8mk( z*xK55q1cW2yH%7!T2w-f)*rhh&*bhGH>p|u(USuoEjq(Kszn;52P{$9E)vATS|rqU zit`lvlgCq(<P6j6A3gci8y)0zw04UAwCGc<Ps2`4dT#Xk<$JH$f~xF{=|)a!VMZR4 zA5Piw{@<qC+w)(SynT|X^jiDneb98H@s3H6Q`>F0-Wcj}bZ>X#kw5zT#1F26Uc8^( z<>wvSTlcqWuHSr-A4@L%f3<r37O(uPM?aSA`)Ok!Sm5b)ZEf`O)sFR>wqB3;<=)VB zu-z}_%9AGrI$91*=MpD{FI{|ozWVMb!S;L7&J-+nsZ@wQwDxw<w@0E=+x~XV*}EX_ z@w!#lE0&6-P6=`?x_QF=;vY5EdWHDs)snl7B@-{!e>^H)dNjH`^RyzLNaN!fr>E<` zUjE+vUWN0aLx*yl7d$(2W~TAi!;$rn7OtYr>H{(>FRe6mmVV=~M9k;go13|;Uo_0T z9CyFFyZiPnmyHYgLpXx2EM^EOId3HPxJy*~)aLVctM6xBUpLp!GCzN3@$+kini5`2 zZv|F6osmEK|JV2T`li{}uFTKByDRj?<S$>Zyg2Bg?!VFg)#-}M$M?oeelm|)a*<xW z6#ronrXykN<JP|ZuM@Y&V&~bJkC{0br>CU6ytMSw`}+UU({v(*9D)s<w+if7bi(7z zOzomaIeTR6W!J~8_jt2YTgyzT`^mYPu0?6*m3DsdPun|n#(m@Ei*pv4hg`em9lnk` zI#)k-=fZgX_`Q0C)7PJN{aQV-=H}9je{X{JB=FU91}|Bh(fDRk>_oxjg}n{Nl8GCa zzOi+E9wT{*lk4cu&(FUe7I*v|a?!T@-JIOUfB$~JPrb9F@YYf%+iB6;^D=`NKQ0K6 z39ZmP)&A?_tJUkXSliC8DDaMz7OQ@BIY8q5zu)iecpPjLgf-OG@BKDwU1qX>7_4!9 zqitSDy$shz%`N@24rpKP0H3n7|L?cxo14@7<tC?2`K=MWtY=+)a%9%gT@}^oMtQI9 zm%O_ZY4`t6@m@YhhDy*m5!=tsc+4cgI6dXb^7(a_uBtviGgG)B*vPr8ouTDP&YjYw zYB87B|C#gLeW%5{V1I9c$vQKQyuzM-JGmyV@PEq5`ZM?c|KDBJ|MuAT`{nb09s2!0 z{HuBE(KY+?S1&(ud;5QZd1Cpib2neRzh_hLw_j&j-a73pYrf9%waPp1#rG@xDlQXy z|F3j?#3;ICwtl$S$@$TLpPW?sS(JWtzq3n^Buk9q10S~lridI(pXa9(c#o(q=$R1C zd3$U2bTze*+pe4s>$xYnUhE2g;MjZSiU3c~XV46LEGKAP^?T5)eE7Sii{3vt*u1qV zY5#-bGxz^}Gta!TVq%}HB3sIr7Z-oY^c<?`xfyw}@y&y48`X8M?WxE=(7?E@scM4C z3E{_wLQM)DIDqzKmx)HY-S0VnuXSpO{JeL&Zf;Ju7E#(_Kd+)*a+OB4(X(D{E+>oB zQzEgl5?k3rSr%pJRDkm64$dF$53WXJC<xT+I_haWeRyhXbkn9o_dkaJ{~!AITy;wS z-nC7c`I<qF0lNF0Eq?rc(fGEg<bGP_hZmo_*8K5g@Ay=;zv}6Cp8x-=ujxptv<Dd$ zrTqOrKc_q{&}>us*##c;9%6sD8#X%>32snV4tpARh4pmk!#i?XOk20V={$65s<x@2 zLtw@0wcATRsJ!Dl9UvAEQ1Jgjkb)ZP)Wv>tt$qpoQr%JV<HN&QF&iH2|NU+^Uvh)S zgEGZsEarl5A3xhTW%ADA=UGN6f^xT>%80!TT<rGp)q!ti-`+&NytQ?87~hKeKiRVV z*&MFRA~q&jg|)Ws&APhkSMiiN^K7eciOBiaRut>5i`n_eOqOLv<kbmxx0Zf<<l6iE z$;ruIXDF($a*15X+q^0DG}ra(5g(KuOzJtX%sl_zn#iq&%?;)p53WBwJ$t!JGF#&o zhXeC^HrP(xANfSH@_o((Hm%8@kHqb*D!pBQ{7>z}L#(nfrylWN+)zGs!;8(EnLll; z{ayC<S>%?CiMo8vb-%y9UM^nA!P1g&YfC1dp~mUy`qxz$UD-?-x3j1mHj2^HIrObt zzl%5i+LqUIIzC;Gub;XyczIU&q|_t79p?mW4~)9ud&FXGap6OO{7+A($KN{Vxr)C& z<~(R&Wc^3e75>*ul?y~o+Y1+ckl>DEiJ2tGG%Mj8OQxhTM@2J3d|i#<V`cL+0S|6S zhv3MKx{2H6g;%lV@g#|Gd^?hqoV+xAecY|HPCV}2V!B(rl2&rs>|9*6AS&hTtkC<f zCy0ubo&HgKb5rWC-NHsr(k#=WxHT=EI_wiK=3c8`GqcQgjzyu<YV~OgBMN*btNG@< zcXU$VUspE8z%4}dk-vdR7id??^7I9|ayp6@-}1`$J+@@O-k0k6_2J7ZIg0{^$*SSU zVghY!B%kn2<@jTic4kGWT7lvNv7!u}9pF@4n15%-Lgp1ck}P{154<_>iA}ZGCrc^8 z-;JZSKFTr-wwORm)vfX94I?qr>TpJDvySNxPD(p9WV1d>6l5;j^+4`{x6oFW?VL-b zjhg1#R0bVy-Eetv;p1b6)=6LCxMTbVbnepbG>)L7Zx1~0;eOP&@Xm(N=?c+IzJY<u z{O7Oxzs*0#?F`E)_LLUaSs$aic=eR;*sU<FU%{=R*UBY&sdizD!=@R^ES%!}UR+!P zyTjJpwdzq_X=f8_evSM2UAt?REU$J2EuV9n<*{Dut``mochXn5OPl9iS><uCiPe_( zV2#`ZjvXq>C*Iz1I5F`U57Rw`1Me93xttJ8IHNE@j3Xiiw5%#lCQv;<Q|EDJ-H{H# zs%TxqdfpXsI=93U9~O(1+>vSJ7QZ%s;SL9{05^jv0w-8%H(pr0LZBeCw*1rY4T*<Y zzVp`Fd^{p-wePTzSmC^1ktLnq6^^yMJ$R(z%G&7bWink{T0F-$ZdklZAS3%JYh#H) z-lu*6fzx?1zwcW2Oq}bO;QT=6vt#WB_3U@QK0M!gB$u_GGf_uCXo^tB)Qu0^52#8$ zWLpuiuxaILfj`&pryD=<p7fh7C<*L=CCf`b{G0WEnr`%#Q;oY%&Tv$i0E*ljajey4 z+g0@@sOKD;XT|Ygy4y9iyIT#L9ikKjx>8z1cnl2<&9(<bJ;?iI!tsL%+&F#x<J|<2 zUQlXis8=@;WA^BTsb>l2U8h)m-9IWJ|Cb5J5)qK{1dVw`AETwfnc?M%n~V;(GQn9| zLFA-I)Po$5IyO)P@nE3K6#G9P4zIkpJkyv%Fd<s*V8Q<X|EdMfo%7KY5HLtl5m+}# za<St9Q;_PwJ1Oicvu@VUa6BN*;xYH{8RPRWTmp{qFl9D2oV+koY{hIlUZ#D{4WGE` zgQEBS+c2x<!$J1cV?C1FQtbD=KD9+;4F_oXZGLS}PY=&E%~_R_EIdr0WeHl!4;<Vy z^jyVkR=bpGeb1f6&&1-P>ifWW$&ne32NV(n3^*iM-mx;W8#y`2JgG06obxPh0ngcD z4gYg|I-3Hdj5#DACLP$T@W8>X=;^7YmwBI`nfW>HmeKPT1*Rnn-(6Wb*}<y^YGH%p zgE)Z#1?44z`zk*#bGCX|oq1)BuocIf`}^aUFSxfoKmLW!tut4k7T0t&^!5a3EBeo~ zDSCU$bbftVf)sZi%ZkU2aZ;dYXn;EL4mXpTQPICYm9g(;aZLs#XC;S?8_jZWy=Y1} z2KFdOWr04&jT0w6K0aPr^)UH(-(7F(XLAHx6|}UxqPAu&)n0(&nFM9!W8drbKg=xF znCkZ|?$mr~V-AUWxL*^Lm6v?3d$;p>OO!I$zJezw1T$}KS&8P=4HGAB&Axu>{{MgF zk(<-b?%k4njx&&@;I*rZ%ZZDN-JL}kjo)r@JFukL|Fw3)FLRD1JdEunAH1jQO|Ad` z`~Ieslar=w%{s@Y$>Osn&?C0~U5RC6u!eqYoS3mjb3-_Xiq;hK@^>*a4HBC`NBYH? zCh!??G&uP9^ysbe*kl7vc?Tv;+~RQeOQygFUZ&0yCqTPz1ZSG%&dRScSlhzFv~lCc zpxtG;zMv?&#MJo3#jRuREc5(%U*6xpudM-UYF^f~`S;^-oNPW{y%7hCG{}%6ox-VC zSA~B1dOe=~cFftDAi>7q<$j)DUtN9r<mBX)H@Y)#DLjw^8TLaib@uPy@AtpIs#^W^ zYIrF_#q)D>C)@m6+;2B&ZS?k2KR!Ng-6XrN=f_&Z<YO;>C2h~UJE>3B+Ux$l+T8ZM z8yg(EM77lt6BFZl&&s6K3w&T_>O8?=Zg})ug=w0=2eC%u^m86pSA{<P^78V%c}ac7 z9H4$u$`jDKg(+I0UZ9<om-pG29uv<#+{U{!dVAil3%%0jr^@fwE}zWgB;YkgLlLx^ zoA1}N_wMqwA;<b;wV$4v>b)uRoTH=T!E=*Ty%#0_|GxkK$;<xsYwL?$zrMK{Y?OLR zWqbbpX>V?Bex1BNe!pJ7&8Lo<-*2~9@nmME<kmf(TmGeuGbA*5VXuRd(Wj5c<zFw4 zjsq1Tt`FYa+#IyCD7A5lgfYhwR;F_)Px|fuP2iKYI#K<8ulm-ktDkO9i1-uvp>l!p zY*Vh2r}g*ioVWkK$0YAg#Jk<~@9h$`ZB#nBUhXb?dx`tgpP!$<rh7&@IW?(?uj}A= zzES$qWPiJf@Av<|ml{3WJb&6k=XS1*jhU$|yN&m;a*Ms#`RCJV{YjR^&$dYQy)>Vz zFrlO8&+GXALU|Q=5y@<f`GN+iEPGr}$xM&pu$U~kiE00jN8O%!Wjby5Yd-hdJ*)rp z^z^KN{U47>^Xc(Sc{I~F{ZyZ<wThme-){GRpXdMgczJ2**N_0^h=|PQCG{UaevIn; z@$>n7Q$+{CgQ-lO1*yTy{ib?OR`cwWv26Ljm`hZPqpR<!+rqer+uL%#9_szL)VDRK z`u5J^<)Bl?I%ny}?b+co)5uj=>65$V?Zf=__HVwwuUaR(>f-!=U)0x1)c*VVd}XQk zj5219MwgT)Z@1r{HpjB~RCNAcQHRS0&c4|!dNDf`K;v=o%OHiNu_WkZ%9NvBqMvT2 z&)+G-cZ#!%<@=l0>-X#Z{PgtlMu{Dcc7MMF*Yf#)czmq)vfk8dYooKLdwqX**Yu;q z-1-Lxd*Ay!GU@p3(D=~!kx|F{18L{y=^Ce<xv=E;)I}ek&Cd6_x2MuHa>3t=i`~83 zcqCicovSzBv7GkkQC5(Kfq-~<;|69no(mf_V|SH!c6&d$kRG-3!ljx=X$*RT9xiSb zi&IWc`gALMz2pfVra0vT!ph&z9_<$2Yj<2GL*RpIJ=3|AmZR%@W*XhHeRN8JS0%DZ z#pc5S=3fFaY66p6xy7?oTi!d*yA$YR@X6rpEK~2}<q32A5Bv|0uT_Qj60|~Bsn}M1 zxlr${#F3&J$MTga^nejZ;O?@wTdWd~`c5dA^excj4R34P`_yC4PR(t0a^C25EP7+o zQJ3KQHj9ULi*m0_e<JZX#V&kZjAu#I-Cd=rA08Y$ndzq^E)_AeVq%J;_!f3`C1w4+ zUnX5y6B+Ddc6h<wXLhr1?5q9#<ki*HQOov~yqvTp|9+g9e%zY>Zb8p7^=)kKr2n1S z#>3>PnBeag@n(m7p!g5F;#2|VqQ^IO>x0(2Pvr~|t-tbck!!bA&Ux3j4vq)^IvZ#h zYRcFBSa@Y+uzR`V{uM%p+xf4z9J$wVUBa?xNyy3|*8pb)ksXsb)@_|4)~CMp&?49F zoR;HR%%!a}CIl{a+c}4S-3+_hUoVOS!{+c7wF<R(pA63F`n>Z@_YQ;bX{Om>Z<D<G zB9l!&DxGxH-O*p~>*CgNAn)R$R-4~%HXpPtHr_3wd_dgTzvP<m!?Ux^TlLjy4o;ZN zZ}-E&K2pjUG!9p7)Wmi0zRsRE8iiYTnC0J#nasRxN%XP%J7hSkQro#*7Q6LIZ9Bia z?CmPhJrx!LV&!7>?<#x1&G?h8t6As&=YC|{A-^y-#v)~R{kAD$w;ud&+adB?Ms?mD zsUs^U+<tOee}4(*_a`SOm!35&->Cg>hg+EZguVCcexE(k{X_Um$-QtTqfbYK{a?Jz zxHfG|iCvxX9upUapEH-c>`R!dTmJ6OvDC?J*VROJZ&O#+Dcj+HXC=o9sUr;n7G2eX z%7yXk<MwWu-MV(8&6I_c>Nljy9%k)))i|5wQm-}ZCMM1eJ@=>UMlWNIiIrR@BqGhh z{*Kdw{}s1acWMp0bH*%_%tsek_`7UWjP%0Y=6}CmzrQcGFtx|D{@<S{ThDbLFF0;k zxmhsYgJGTPu|2uhg*SfMCR-fy0aPwM*ncGS@M^>626dJnlMcD(?q9myp}vvnmz<IH zCYy!ncHdQ*zNNG*2r4Su&=7sBDRX_;g#PA+%j)xMf~FfD*vj;=;``n5ubM2)LQ~F8 zSIOdh#hNE{g2RoA<&nmY^EWqn`><yzzMJXT%$7On+{Ul3uV43KPiardVA;Ltuv+r5 z9;*o=OIgbW+q7Gbr048CJ6XM-*=9mL&o(Y&y_kh_^7AL~+)~avGBvQc$M9iYQ$+0U zGT-gbdkyR5?B?*6PY}(2yizvCwr6(u6~#wB2jrPj&w%`NVAoIgvg7-BQ`i{OSpwYO zlwW*c|LpYXsrPR!NEh(XWzhlc-?h*#)7f+7?xvn4(aLT;7jDPxY!9?mPy@BP>rb#+ zI-X!Zl&+ySL1>B~k7`TK)i16(99>*1A1F#3X)btkZ*O&Jj%at;-m0%94!T^dPoAt? zKJSvA?CQPo^?yURw<kT$*%2YZf3r_f?c42YMXm_~C;E1$q=j5jYilbs6bn(Pw=3CX ze6liKxJ6sHc;1q0doynN+JWlaiT8ep)c;&=lqT?jjd7la=cIP4CapteClU|0RTi(Z zJf`r#nB`KiRmFVYQ>WV$v^1>J62A)>6i4iGRuGg_{3KJBE5BvxJGWf6DenXhygxfx z&c9-X$~z;MWxE?^GqUnBE%a~;P$;w)Y;OGYST9Z`LvPbej|)l@I(i<wPsyISy7rZ0 zcT@e$h%P~e^GuvmPh4~;m}l7BuwRhF)#%Xw=#-Qt_wF`V+wP3c`CHrTQ_sd&&Y_~D z>@rQbb>21CDQ8r<ZCE<~9dGw**$dP4D8I+Io~`kUlY*e6X2^;OX}4Ip#eCM<`R;xx z(D);pv*_Wc(w#+br^W1?r|_q?RsQSmr}eAL>-JYYo_1%KuhVAxFRyjy-g(3S_3-=h zxcB@2+np@9KmW_?zu*6R{$2NPTV442n?~sq-b5OEoy(C_trq*bxbFPIx_5upDSa+Z zyI3!6%%LLA=<GJBdxqsbg$Z>69xiSt_zzw)-nK}RTaP8_eC)){h1Z|P+%dJRf4OVU z-W7FMwCne4|5MmmoH9{orm<I;tHh?1QyMdkQv<G={Ip(N_5WP;cSjSEgAExk!oQmD z=3lcv|G&NC#mERn4Y9<)_5XwG<M+>0xGuU9JSerZ^7FJR|Gz8lSL*Bi+qL4bO8z>X zN&bIDPE3A!?)}cqUjObyF7eoBz4St_B+DE@gOnq8gxTsF54b7_N-msYd{sL(RhQ>Z zX4|zBfyPPaVkQcwKYq%xKK+rZo8Uy7uABunPeRsPZc3dPbJN&c?`!qCH<2bEJ@m_* zCr<VbUw2y7)kiOOr{c#~-%r0{fBI}u6~9x^8V5d3?Fq4mK0TkX=#^;d(TEIXft-|- zudl9Fwa+SUjeD&%x&GsfB{@qrr)O_6Y<5ske&FD?LY;|oqgN>Vrz1|8Zw$LiWUK_2 z*Dp%a(=7LD5~)#We{y=V@26+y*2Qi1uKPOK(D{M7xYv%-Xicl|Cv$#T$}gWXuRHwd znbwM@|4wQw?S3|`C~@b~HNP}x-Ph1q_{IJ}Oz`9$0q(Cy#p6{XU$=SH?>*WjI(fqe zgZU2U&q+*LIkD;9)W83JzpoPK)qF5{Hse)wW|LkCmYR+RX32$0%7)4xKYp}(bI9ar zHcQ`}Ju2*?A1w-O{1zoY+QPjwqw!9VM!nwGS>B(X?3p}4bIO}XPqV#vcd0Bt7Z<d? z@Rn$Z*Tl)6j=mF^GO4xqw&9KU?BOZ(Eq|oX-Hu&(z<cZ6ClV)jt+?jBEm7Nc&)=U* zrY~1|xBY(IYqKBqudl7Onyqb`|L<dey~<5n&ogVdcE8)SWYW>8Em=z{`AwC7>#n*m zO*i@rpOVl?+iy3L7qU4AoW0)IGsn8zFaKp}_*}ykX>tye6(dTnKm7da`J6XBR_Zr5 zr3Lxd|J#}KMA?1Y8AcQ7|2(GuUh(?+`Dqmit&UqCw_h{V`}t({(@%oeSC$5>-T1&s zK{NHqWq<pr(&l+D&aC*JWwR#r{JW^a#Y*~_5AUkV9$xD7cjm6r*Qfsd{eAb^nT^Ll z%NAl=@<E$mwcl)7JH2P!l}((g%FMdgXC0YS|L^mB*81{mKR!NAJvYZPExVZEJ_lb) z%P*cgcaHbV^FPpJJ$^y|*!>Gfl!N}hu`P<Ty$PP$@j9u*-?HS>#7nEYii%XeHOcf^ z>bv{JP4QCw?6pHlZNg+5OP-nDn)_Zp{Ilc)2kWzl9dll9dr+5nP(4HbkOOP{r_zY8 zVZXk;U0p7obhdt$>E+h9Z+b&M9m%n{eVE_=O~CBTJ39)k1Qk|sY2HmgH|J#mmrMKi z6)E1I9Hva`=-_DgIkv)PNyz=3#moKd{U=y}_r8`ygPPL|_8ExVEq})SHNzvNQ`W8~ zV)?A)vbWOLz2Eb>&zV=|!-D=9GbBX!%!{1PS_wW%rj?^UKy-##z=gm|_v`=HYR2x` zazBr89e-)%nrz0h6&_Ell>Db{Jm7MmvFAhoQG4yw4WJ1xyl16saXePO_nyZN)r;yP zhMl(h?S1ou*Uho{6!6q3X!oL<=iXUQ`Fnp7Xu<XErR#W})^ok#t(^bfM(c;r4u45z zF_ZM`=Rl*(u^-OO<#If<f0^&>S5BqpjycP%ycK!m=ic)7_co=R6uO<bLnh)ww}|GV z?gvvfgWZlV3wXV(`ujVxMTWVzOkx{^njEGj_qEz=n9UnHdtYFK0$Ylcnzgp3)Q=j} z-LUm*9A?d{d?tDK_^+?8OSdL={RvbMxDzn>$6X_Xbzy5~9c%pIbd6_I>1}ZZpR?Nh zg2@+^HY$0EY^}8_d9mPmYxkM?OEgTMOLpa2PY_(<m{+H_!|=bNz|u!{W?D>}LIo!( zo%$QJ&V_Mhz@~<KZrM7ef(g4n?o&>Ga^d1-q3Um&uG9y$ELo?dmKw6BbK?Q01G16} zf5@C-S^Dm6uX@%ao`@%kOcFu%$NQyBPnBtKvH0K9xw7t&tB{aT&PUm4UWxbl{XdD^ z<mTtU-o<+KCFqKK?U@V*6+VaxC9p0kdvoJr7^B1_hXq>x{{%#PbZ&+SxcxjJVSI{% zd(&n^JF(moA}sZ=<70N)7$gM*gt_&}y!>=1xM4%(=V!l)L#95F;@|lVbb!p2%|+X@ zPyDlZ<l;BqP8W1GNuG|D{^AJ74;mIP&h<{avvJnrzx@r&l8O=?(!&3jZ@l83;G42$ z&7Z$5Dl2A8_@v5}#dIhvIytkMA-YphjlF+Pn05cV$@OZchg6jQoKs5G`K$b(uV;bS z24{iP1NY4G?yM01EMNcU;y1HAo>gCNfe(^VU%%&57yKxh1BZ4b9BgvoV!Xf7d%E6M z)-_H!5jKS<A3REM=UI{f8mhYEw<9>fHX<faCLmM6NN2~ihjEUUjZYE;Se|A0o2^Oi zTv_kn>L7V)n(pkf#}D|LS{7c5U}66*z$K8y^@M@-$V~;Cp1tn<a#5Y0=N|P->*(p7 zd)n&~r+vq19#6US-=M^qx#x2-*>+FW4u5sm543xh-RO|-X?wPp4|<pKF@>+cKS{K! zVa+{3<<?J6CupU1zpxNUe#y=F$h%CwYijWGM@#Diw=TLAA~-oGvS+nUZLI3?i#wE+ zbrO!0IxxNIt>8Z0nzZ-4xDr>>Q@Mw=Cr*8OdOG#Y3`4!;_LZMfWSt$i7FRb{2yCf( zaG=rU`9h}!UK@72zqdDfMNO{c62?VGs<&L+l<Ixge0PI^2-lQGL1nia_nK`Sd@Qcr zREU7=tF7;;keI@IWqQ%mQ<gG(i3|RFPFBl26SH$Ecw_AXi=W1hYVS;9B953PJveL; zID1#=>uK}y{f*7|IV>g!O4#^wef#IrydYn|qWI&iKfAuRtm(B;3_UG2Y1Pv%55}q7 zM<RZ?HOQ##<~w$3o%8Y~i4`+UR*Np%bg1p#wU=LNr@pK2IVUQ)@sVr6{s_mF*J40N z%xt*Btiin_x!__1xBc;5!C`C4ZbZ$tudjP~d3isxkA~)(Ig0a++>5YKl+uvCw#ZLl zMd}e}&?*Oo!0`2PQ@7>be&zKl><!z&rMA`Iu6Xe}u9~)+vz1}%(VGf9M`l#q^2@20 zd&2GHw0|9s(~%$b3ei`Vd`g+Xc17gb;~ZXTvn!5==k=I%OlREPE?4Ct&lfnyu6CDN zv|8YDb(YKDpYx@(tSI_+f3nN*8TkSVSAQPjb$_~j?eQgLQ<FX|P*P0OUYEb&Yr1xh zXrGOMcJz0d>FwE~)3<%~TJhzFo94&orXQ|Mx0OuXnDQ}dV^VA1VuyNJ1-ZjqTU7el z9s50gUsKwjeqK)Yazk~C>pi0@+(NSijVCEOx4Ep7l6%m>>KNJvUZDM4O3^?hDf#H) zh_?%~1TJ_jjZJd5XsZzDVA&}D<ud51?t45U{9-v}-vsmzeq14}At7O?DqsI+<J<i7 zIWxrHiF*ikajaYR;zh>Z`tD<=5}R(frR4TVKWh5Twx^9pa?xz%yNAEMy<NINYn|jB ze*ujT&JRDP#Bev|#KJb?9(gKI(bMGrpG9_a>aB<bP`hcz&cy3EZ;hrEu}sUeQ|mUD zo9MNa%WJLH(NM)5KAQPgwoF*Y5wo)_Sa4GErtcpzGh??e*|H|M-p2QMveo|X23yI8 zo%epOoENJSwqe;;hv?mb8LjW_?kJyoCnlDZWYQt4sJ!a)w|k4-`?Ib)hJ;KBi?90n zYN;n@%fX)!zZCB^-ry5q70VP_wXi7R&546^53Lg@>r9v?-9CjIG^ucKg$9$2g;Sq` z(VG*JHWeFg-)5X-oOp=kZT&PQvt|py5Z>(D`Yl|CTz%NB`xIo4oQ%+u=-|-eQ(-e= z&TLevT-^2Jlbos@duq#1rzJb)^<0g30P^#PTD_<(9;b_sy_0S1-R*y}iPycv>RZ&* zZt3zfd!1+Ioj+2$*>|GQrHl4K>z7<vJ1IiWe|t0krN*UcRzDP4&-k2HsGpDr8oV}m zUi$jlOBbtSlll)lU2UFvt;>0p!(Gvp(s8F)mZ`}fYOrp0xXwHAqR_Ig@1>PH);u|r zc4hsRT^(&bOGG~{i*I%~C0L-Ke4^mQ^egcO(`;DGG)z;jbu|mc?b~oA*yTt>h9ZMv zr%geSmAS6^*B-A)YU1}NOf+e{TT-7T@PU(wFQw&xW=+>QyWsg>M3(e!2rWPMiCJjz zl?ffQQdQP0@SG;PWcsbgTm0tqO*Xx~sO0E_cV|z}UVA`J@bg>7ww@ni{+DMt9+(d* z5f)AI?3C#WRd~<(1^u9yJKSyGtIl269zRundA;)by@oFHs$bTx|9;D7)tv2l)mvmb zE!A!1SLJ@uJt=d-vI+(i&&Ydqk3ps{De-5%XsPuEoTcOkXbMOi0&qIS5?x(-^; zD)KG&@iNnXju8F1-nk3+XoVimKJ`X*wvF8T_snSL$}ruZAZX8+yUUPc2@}ZEpKjIX z_<H*l%?XhR-j!c;@R#x?D<ik4tLtBEjb2v&UOnaUt*J$t@0$E>&rwd-<J67|0&O*} z+W%=+%GqbTOe%M=EBCVfn4kFm1ZZPz_*A1ye`ktc&Jgh|@4k(608Pp+nPdZAts5se zBsY3izFU{ZeKTm~Ri}5nmllCKZ7fD0x7d44(|NgVMxcWKmGESB4^u6(xTq_uC#-pr zdiK?nsXePsJ?*Z2f93d&ijR9-@^0&N*U!{VJrz1h@^iT7xfr#3N5Zdb?%m?NY0J{r z-&Y^1wp`N9m1jDwii1U3Qqftb(sx(c+gCy+uWki6^s7t_YutOq`TCX|0gw7v7MT+q zM`vpE9a+8c2*;T?N!9kGkgI3aLZ@24-k0LYBI(FE)24do;Y$0z2Co0^tzW+9({;yB zx9snEhs}QW;DEz}ZCWiB^-uLQqfMrlWECxcwaW0m@`J9P0OK}S^uuw~zs}^@xMUe< zQ<<*!s?QZG^EcO*zrQ!3@8jYYPFE&Rrsuv3ZR_KUFVFuDN=rd+4jq~Jx5rY+Am4Y( zn?5`Jx3latW*Xh|6@9Dg_wEG8^Xppk!?nUVr)S!)-C$b&EM(0eqwm!>HzoGU+}ZDb zZl0xTp_lK@_|zDkpe;Mj1QrT?^8#Jo1m4Si?t8GxvmIVL>Zk2rV3K{;Z{nQoOC21q z8|v=Y`x&_}d|lt$X!BF8pVLEK&%c>vV0&xf>_4doX7dGi_gN|Ym;IX``SZ0>ZO%d` z*V5n2|Lh_@**wq?6h8g&tN8zkt)J_sB)jvS)(t&m<A1M4C~Qs3mtUu|KY3IiSKoVM zM)IelClWXP+OAskE2U>%ee%5;)+H`0jXs@YU%Vuy)tdE?-!ZxAYNp<nJ*!i1^BH}c z9hs-k+_<i~Jb$`!JO9b0-Qp_Er{p4+#kjs)7-Jnfzv|vo;ir2dFQ;5;IdA&z`uh0b zNR7`PCoiQgb$k2k)3XVJ-@k}d89&^1CVpe^swt<m-zv*IfAH2erO#Wcamkc=!B?m6 z>?m|Da9!T|Fi7#SX6EUY;m%&)m*iYu7rRTyK-FrE$hULHCm)^KRJg9|=F+1we{ZVK zjPN|id9XQVR*A?z7yHs*MGDGGyzAa<JYKRZF}Yk&!7b%>qw%bcl(TbowCpgCP<3^C z6{@Lo)O*@Ad9gK{O;a`1STe5lRzwI+NUEPzvth&WITmX5wZ$vmMs#?b&s%F+n0f7$ zVdaLZT+6~Y9UE3Sc`f_*WwnTQ;H@&7T%I?-*Qi<l<QAE<OjqurO3U(%E10X7ermq@ z^y&3?V(X%|XYJf`W%Fb;Q`ucMOK<5Y>@LfheDl8lBq7z)r~0|Czm$+T9rvfWI&!H8 z_wlg04fSm)K`)n|pKq(o{{8JVclmm!TU%b|Sbqz;<65~T({yLy-(4kDk0gB^JSNsY zyW-h-XJ4e9l&K?^xaP9{_9th;i#O%mn6Tfww$(Q%Wr@>6o-UTDSB_}r@B35a*PHzI zRBF+W3wAGmZ?AtfL%3S{+dGGuKkiTLs+n?mN6N`bp;wtZ>!*gE7GGKHe|p~I>!y*r z#CMk|I|@&l^^|*t#H4D*3x_Q|Ckl4$`uO+SGGFQTFc0?gP4#bX7&aON>~39@8y0Ar zb?3vEzo6@@7dp4Ecwc>$fdO*M!52f<Zn3MTfkjVt1izSM@^Q)~1$W`E;VXQd70p+@ zigMeyODA%Z$JJH!p-(~cW}s6`dzzEV_qg{;ta=z1{-0k^P;l3~uGAGaQ(oQJS-gB* z>*4>LoLVcdHU&DjADy}LgXL^NkI#8aYwT-&Y*=^E*?P<Q7|&w=Ua8Xun(Z`>wO?{b zw0iY5qU_Zb&Dia2W{(~}F13}-x-wzky?D1@wZGon*|~X5%+8?Y_1oUx-MxMPF7v-j zgqZkJSnjKQW!qKqa+23w*0{|p6eo+loBGXnwO8Yw?{TTuWhHNJ%$;0PyQI<PZ%w@9 zpNDsst9JMF%>TC8B=gx1)>l1`Ry=f0Ia7ac`u6rZrM<uIOkJ`)H%{N*_FjnGs{0Z9 zeiyEMyT#w`&+;HkyGiT(?bP3|sJGjkcJ|q~Lk?n5s~qmW+WP9$j86L%r)JE|J+2q~ zVe+0&G2%aONB^HRJ+9V!fBs7G^O~$r)_%A9R&j7`W!=ZOIhhxi?LGeZE&qb9yxv=1 zZ6;0h^S9CdFZXxr+bb<CvoG!RwY3x~4Y>BcYiiET_c7N^wWpmbzI!`M=u>M@bU>ia z@A~5n&r`phc^~BBmht+_&x)Dblcw9;-Zm-Bv*#xtV|mJvRd=`(7rg7*3mQ?;@b!;k z7W?ltRqJMq^%Bd3hf6QSyuGz`s}`H)Ly6NGLF_Xt9=3|B<lo!F)R+i5M(X6<BYv*n zgIBk#@9~=}Dptew>Y-A4;nHiop|!iq->=(U-<aVu+srqv>ZPi$fJWN!jbXX69s>7o zYd8onzq%c>qrj0%OlQSZ(J3vB%<Ng>f=haS8EI&T=q_6z?{?6($d^~j#6z5)#r%rO z>In+R8w}UgT#;Dyq=|KF;+>V|`*!c@jAlxI^*!KBe|ECY2EhUa<tf{qvAN3{syR7r zPMmD+U9UX#%o?AKQ(RX&yK#vI`iEp)Of^5d(|CF6=eAIzu$}68tMu1ddYfyOE}Fty zmVc7N-@P|`$_4IMhpz6HS=D>#M)dlx*M3@vt?8Pw>8bW=_1)4z+lv%6rNW%*;x^u0 ze44TR=zg}n%eJ2v(+N?zn0s+wr0>-{)tN@m!~fnq%Kdyv{lR9}rFDzbKHdB=ldr3D zb#>OuLpwittv`25x8>I4Ir>|xRh568{<}Kx)58bXzwS`Xj=2AP_bDUOd9AC<yjE^1 zpJ%4~SyXc2-W89Zr)>4=`*!VesZWxLd>yD8C(`LWa|UReb-n<{zSj{?)}Go`{Orud zsl|nh1NKf=Dhc^}c5eOc+5*dNOO|G(@^w5*Tif@1_)xGm;)AXC*0?D`JWYXXA`E?* z);L`0leNxbWxd4gsSq%AqeF<nlv!J|uV+1FPCg?bcI;ISrx&N|+ja5#_o*pN3rwBS zwL9#i1E@RSaj+m&=O1XZ<^08~RIc;M*}Nz#W^p-pcb#JSUx$`oU+P~jUO%~0-1FU4 zkNV@*6DEeOje2=t)imAcW&0;CJJ@mT{OzUt4PO0JSSXqDq^0ZW2J?LtuS|1RUFp0S zv@c1t{rnzP<t?7K?}q$2%>MtUmY`R0{hU>?Mu*+{rt8^Oc|LhRd#U&BUwW}3>lFUo zKJ9<{&&|t|UG}@I+>~|vgxzh!Yfkn4cif-9vt4?6vaP9LQL4b^{r{e7%Ekw+a}qV} zf4;=|x_$QdN$TF5k{d&0IVL|fYjSyd>TiQi)Mo9#>-$ucP4*?aZ>g(#cX!{a<(ae2 z?{zsKE9t2Fz|L86gSpn1O*4f5WJu=qD7*K)$l9$lL7;t!nCA)C-{0P{LQdSev8g__ zyX<oW<7yillk96gyHg4ZHz>0OIUG$`?WFfkM2;&mMe#Yy1E-WXv4T6o1N;;$C0H6Q zZ%yXSmdO#6vnp9prkZ+sn(ywy0(Qqk0ogiD&D9*N9Hy;17dKc)x3q8k{^Fvuw&Bgq z)$=DYh>M3d#!43Z>n%*MJ9GF*cg0WsN$2YMixrfoH2-_h%wHmqz2f$&w}zV%&u3Qd ziQM78puylt!2g1L-k%Zne;1@o(Ta|<Ewp{GsW$)QiFGG(FRi@lY<29gUEh(clEb$| zKlwZt`*iF<e~8`WMzioEyAQjao<G0(=;J$8_E-I`t^XH#tnRg)he>go>kW~Wo3_ur zz5T@WQ=;`-YChJ;J`Y-6r~LB&uY}ACJ1Q61RX%F!@%i&9_-E~DwzqPM9oy!2y(|dR zc;oNKDXA#1{rlwplgs1%CLXuCH}R0R=-DNrbMw>myiDBUCktB5*lZK@DqK^eceVJ} z3fVJ^^8^dr-8#e-HRMr`3F~lwu#l%Hss8=40y$Q0TkE(vq2hh;!Hj12R)?txh4=SX zZ|9M>yHm!~t>e=9J)^lWz`V!7x97h&hk&8xwdx7%9i6M$J)aq*o)USx>!5<KhV%;k zr>89Q%-f-7<7!z<`)MF|g1c+7>mr+Y#rtxNQ7mn0aYwfASQeVim(o)2ksqP9eqmm- z`kL^Yq1qL5ubdH%^JLwy%j$ZxQIe+ek@}h+FL${33Lg3OOZ)tdDHHeZF7s#?+qx&r z?cR&~-fu6=)akyyYRmW3Q`NK57M(vo-&)!J{LF=A%X<XNo(Q-**>qYfZz#Fe<7xEv zwtlI@+aD`GFZJ8&rpMpEBFtyi)tY*b-TUrHGOjsis4VlktLMtf8S!4)-_LyCzsC1U zOx6U!NsDyv?}%^P7hr#L$1GtXP|G^vqtd!5+#>8rYC2DuQybrI*^!~3pm9_Od^p*e zNX)~@Tyq;Chm$eRoc7TnVoyb3qf6}Gs?b~8a<%J))%~_iRBH0B{`N)_dO%sMBhmq7 zXy=nXQoJ_VaaBO@jQ-80IX42>dDV~m?C~hNz}1s1dx9fpru2oWHsTVOKc3Z?o^PqQ zyFX@%nc%uu?(dhpvnC448V7A&!sit0a;D?5U;p<1zZU(eH^^P|XOmG-{=`&wuI`G3 zhZ3#Qjs*7{yOO_eiemn^%Z#g7R^3~DcSm9DytkKv$~4R`sx7s+`F>yF)wDC6i=Aw^ zo*bx~KJo8Hm3hg#H`EraD~>*?sC@Uf>7=_8_n+Q1*Rb>0bg7h<je1d=-B(RHqkJ~| z{!PP_H}^oReRabA<gclZ*ywaTZ~@-}kErj~F4GhI%8o{yV&9#}p>ovrkka`_z1qB& z4k`Ih(0Fnvu6BWclg8Y~Z#e#_Ok)8LzZg4TRamgqZ?2V98R)3rh5SkO97=Dmub;ox zSA<{e&A!^-tLDZo{|Xy|VYwJpZ>@X+d?ebBKJ^MyE35jb_lq>+|D9uId)K#NgMnt? zq82sqnZFNko%stt5^c-jt-W1cmo}QI)Eu7r?q&6v%RkOM&jby@I(`D3P~yC#;uinK z{mKvA<W2JC+FV-EC35chZl&~<u5J$LUpl@&`RxBswSGPSFNc4Z{>z&k_>woPkKg-k zyOfnmwDiaI+f(k$5VV^2_-?<Qcab^oPTyNDAyZFJf0WX6>t?gGvL^F>&{>lu_K_de zrN7VF^i*wUm1^Ak3mfn6bo#yhYSP6$d6iq|T24RnKX><Oy_@IuMCTgD?bN-MYxVcZ zgo&E>YZTw_y%~SJ{{Ei-C+l+$ww!sHx^=7M^kA=T-*(M9a<X`O=!1`WmH&^j$u)QW z{XJixV(-n#g7aR?o&V~lYZ7aA6!(^`(ycca={%6zxN6$w(y6YJ;XE4^cbFGEobaEy zdBuVy4~iU5ZrFZuvU>I*hc}&@ZU6mvY_*NqwejfJ&FAedYq7ft=)4mtt3TpUWN^B- z_|K0*&6ph<_}o;_wI0cpymFY`rA^k-^QuVm6Q3Cdj=Pt3oK^5+2|ax4oA;YDZM@PY zKHz~O(0KG&R%SuRqm4%#(iC(jsN{zT#^3YQ(1_u$cD}2mb__Z=<~Ut9`q_2c$1i#J zK~G=XJNN4JX|w86T4asRm*uKPo3Fc?vao7xmDR_ahbrw)F>7r<7P2+DMIrcf$HN!j zoy_;{5K6iA;$c{j%iDE<{W3+bo^a0fPd>CIKIi!QU!@!E{_P41?clB4P$latcjMUZ z<kxCjXS~}YySx6qp6uaEJ0i1J23W>u8n~A5P1K!z?bM|BXD{CF{#Kti^<;ei#K6P% zgDV~6f-SvIz4++RFl|Nd;UjZZo?hD+BK>KRNyeIO)>_jAI?wL=lh>DPb5c?H#20;= zpDWHeCp|5<T)&~=ifpgllG|TQB^RDO`udw^#mhUFmQ>Bq&)+#ATl>bPgY9=%O@#R} zK_d;fPbVBdQMxcIIqmI)OGmjq>wgOUtmSgdnk2~9C7p8TxpK<7F2PRQx)A+W{M)NS zeyuY3%*wr+Z-UCS=86fi*4tLiVR=3!WR|nKeRswsFZMmRqOTm>t0j3uV{gD@RZ;B_ z6`$k9e_lV<zj8T9?XTR;ko|$j*GBFP@jH6V<IhtI*)`rm8_oJlFG)slR&4EQt-DoU z{{N~AmypY`;HE0=(6!4xFWB<>%Hg-A4^|3=W_;^;U(yyOw8Jz!rTsz7=}FFR7VL|@ zeXX~jYH6&#`pw3`PY(C~ROzJq&lhQG{eJAumz_<84-g01eQ)y5KctX<X2L{;{Rh&j z9D5s>B^~EE?>jU9{&w!d1qvRg-d(S^k5|>VzZhVY=23U*yTi=olIfoWEA|~%wwl+X zlDThNOZ4`q-7~YFKCbIk{cJl$>ciIxrmeQlZcJZK%`B8=ak-v*=<5&lr{e#ckJ!C& z^k2O;Dznyc+dF$*?d|iY91l^nNe1nR3t7l_Hk-S&z^$&-Tz0R%;IBy0OW8qtH(Xg& z|G;v8m;P_wW!rcpIhU=@d?u6y8hTkYi8H|~@6*f##@t_<{uX@;YTA-nVScrE!<n;Z z4jVJ6_qm>4T{~||l-7gkzpU$nIF~Qtm%U(G!yz)~=jW`@6#de7y@>PV`ew%4_FI+o zDO5~3k~(E=L26r1%elP?X;L*D7WESZx48=*NnfhMx9_Ez?PC3BE?$zN`jakQdB3nN zdc&FNHX85$e_mhY5&BxSuJwPbQsU9lMcbyc{W+`{yMO(2pROMoqUN89-0!R0{QULD zCFklI{lMR?H_VJCSb+|DyL33zDA|DHjx>{*(V}lh|4%ed_luR#40*fwWb~3i-B9QH zW9`vZ&Z6GN@1H0Zc;$YK-TQUIo}XJZe>~;0{N1k7b>X9PlR@_s38P7Ss?W*f3V8T) z+&Iy3O6dpt$;uOI605#_tH18G{hFzY`?lRvGo3}bjr0F23DkdW^O>>HV_r^;dRmJO zU)VdYM>{lT%e{KPJ1FO^C+F0HmClo;-0XyeYU%@hub(>m@D9JVU|_COrFl|TLjElt zUY48`m(&}-7bhL<vbr3%A_{i6Sx();u7;WAQHl$K_MDjT-G0(%_VAaAHh;fd{>84` zC}zL#-#+W_hK6~etHZ8J`p!LhVq5O*61LE4<(<lbzfT$pO$IIDn|^wMe)?vi1ry(j zD%HQtQ3+PIl$x4-^4}@LE0a4rIy}x9TspdO$u)IH8Oc!12kobOa@HN=VbXLw;N}*x zx7j}H`a0b!wj80xr#x1~{oFF&9DI-%=p3;;sZB9yr%KezxsRUKV3vRN-g>fNdbn>; zo|FfdUIzGFv|m>m3L)pBS#iI(v$MEf*wlSx^{Nkc|Nng6#i6;eGK5X7*Ge*!`Q(Xj zU0yCr6OY+tTu_+Xdi7L}v`4XFvTr;n{I#P0vWMzw#>=isOLBAidTROKJ-%l;o@Xaa z6y#uhCy=%H2Kz=eS2vAUZdO0ca&K9b964lF|F355C5B7xZ^eT8CjXLMEa#JwvgBX= z-1qL!zq}LRU3J@Pc5PRYh~TA_(V5TY_N)3@zpeT4uzg#9#FM~PlAwECTDZ2>m>cKc zTRx3Pw0vbUbK%$a!0GHkt*cT(f4dqoS^r*j_@6}gOXVK|8zxSy{r&B)Bcu9PshZ7S zirmu7d#5|hJY3V)aMSm(WY7r??v2Kr8_$)v*Mm-q7MY~Haq-@TVGaRN+w;~we%i(> zy)3)fa(9`A$?<EC)aO+kdg`F*<Q_XyFLsy8uQ^rmJ52Zed?p=x<?Kz3bJrI*GQYgH zclTT6eche@3*C51vjbujoN{v83_e!Azqi*mO!QmNs_*Ur{>xKNPFi*2ndwdNc@eA} zirzg}>%j*`+&FQfPuBY1gOiSXmo3@bU^m@HIsK8)l3bTpPbP6>6r6bwEXp`HU1j+x z<4dQWNEWi2q#TOv10R>AA!MF+$6_X^mwR~!;w-U8iWc)u?U)u=*3eY3LSWq^*Mfx~ zf8N-byws`n$n|~+K@O3RN+R<YGp(<$y`6h|+tiDT-EVVEd32~zCD3+8g#_$Cu_J<x zHLF5aDuIrGRyjIbW$KOz9npnmA!^UwuY9H3%A|Rxy~{Z12uG|z{<AYPXYE;dZGnO$ z_^1SF$w0gF_CL~(eV1I7X0)O0)l~oAm9s%7Sh2l3!SPY)NsnXb26M6Wda)|42T{i+ z+oc@1C)*sw)9J9V;Hl6<#y+oo++sQ&MS;(Emp(Z$ah8X`ZYIVBZ~y)M{kr)5{{McQ zTpVn*j(G;FzAW;3Ahqz3{yNoPOBNY0ZoYS;m0P^z!J5qz&zvvvRK42gdO;!k$UT7# z+!GrY@JJfDyt=mb^;v^6hHQ-6Ic}V&KQYTR``-h3uf1(LG2p|k6a!{I`>refr1Q8^ z%7^yFPhLJhE9@I}|3g^rEdP+)Sw73m&f3QFm8Z1q;0|F;vU`*J=f}sQM@Kj-zuzs- z&HvomVSVggDpO?SzM7pqlE%lL-njAd$U50_L&b0E3k^zMUGbFf=4Jz(<nYalM~kce zoyxP~e}5|1vMrnxu}<&`=OfTqovG)?_J#A-L~L{tRyeJoAz)i3>6isM&Y`zwMSX|; zkL6F~m8a-#UG;65x(~0Ur+>Kfk&w4v&wed%bz4&*IPb+=QRrb<2`kihL@Ti6{NH+J z?xv(#zXzH}U4MUh`M6MNM@h$bh5AV8-Qux=i{{x@zslYJCMfZs`n@CbST1mS@jlMG zx@ziiJ4G7-iEuZDte2OT+HP~K;iwEbv9;;pqof|&sxK2jXEE5^mq`%#(9+P`qZ7C1 z2Y*fDtE-nS9smAZ^;TWwQ^A}qSpkL_&ofVW{Mxmp`}(`dAB=W}F5I)>i|Gai>0aA< z$-q+k)(HN2&k9o?)G0DtgPxhy|LW-0oSU1fmWAl3&kzwkR5bI$zO4en#!XBQ-mE%! zwfWJ(vhWl9jPstJo__uP!#u}K#dXSNW?^c6b5@kk-*C9Ctl+$X8RX;z(6P<+;j6=Z zwfT?qI@swXU*})aDKW#Tm8)`(SG|Tt&&jEdi}q;#-&pk2OLj@u>`vY1pt)K({l}k0 z@*fp8JY#5Xa5~`TcIDeP`ya<sW=CsC$0z-VA3bHF`)BWjiL$rNPTu&R47&Pi)usRc zwRB6COb$@mt1P?3Gk61&Qba(6==G+j%TJtI_2O6j^<BHou86)?>e`@H&$PQs!Pmi| ztHI=@?m}mUg^&N7e}1HTu8-QuC1+C7KJ7a{IsMk&=ktE=oospkckONa`BSH!7Mm?{ z;_{^hg~pO)*PAarfA!@ib4~rSddt9nf8V|Sbh>TpGxyayw&dOSeD`<%@ui-dr)BPo z^A?+VH0e(Eroy{YH}{)69<bk5<@@sP_WJoe@`gdDVnY;H?eDAhmHe?@e9h$a_Hv$# zz>X|~qROIsDJ`z^?emo#?yqcT{HWmE_Jcd!(6v#a@5uGLPKq8})q?jO=L+2a61VE{ zrhxpryRNR%KitN<`QXw$YVVQ{xAB6q#_!1A-`?gHXsIwL|7-eak+(8v>6%jxT>6b$ zkJKkVh*Qcww%bA~^N>t`!@U5>BYm?&rxc&yxaodo^#Zd{UeR}~FD>*W4TI*jTXU%F zZOYp`{r$93>%LQ>bL*yOE#{p3X41r&|7R=l|NWSz8NRP6=I(ztZ@qo94MXc9|8KtY z_d!NwMaiu#`^4w{oV@4zv-?W}FN<B;`~B*qNi{M5tm~f|TTUwpiL1|_RQX;@{M_~U zI=3IYAH8A>+MgJ_+h9%nZm+c3zf(ijhQ+G+%#^*tR{FCxHeDx1zc+j8WVyAgpMMWg z`uF43Y_&)F3+Fj7x*bqeezxv_^8&GQ_AI63d(k?!x2{g#aVm8&U*DSv6P4TfPd$~D z_MBNHe)9SKKM%A->wjE#zhf7WR&O19tp4NNEw67}ee~t<_syv<c&B^6*ZzI-^?Sdf z_f{{PtT()0I&H?8m_3fCruph>J)JPo(mv16*-Gr(Y}N8RB}=zt-jB5VS5$T-mf0`k z{6CeMd%uWRKI`KPtgKsTr>UyE&@HBaImZ)OCOt_>`?@{p$9g1_<UZ%sf8mgO`8$+z zQ^no7m)DhlMeRy&@A3KZLHFnB<nO1u*ViiiWxG4;S=YN;8$<Q>Z<!cVc$J~@>0JM} z>HK{A1NZ&CKi%kaJ8#h5v|9&uXih$MdIkGG1%LbhZFg+myz@E9A^-2^|F+Zhc7Gj~ zosUfonf&FigVK-JsmuR8eRK8F#zN7T^_P^)F8QnKJX@clqNCUB=OlPSkV#L{@Zz<3 ztlVNZ61294u-u#Lw#T_=OTL_Z=!BH^9-D6uWIr8Emp}Pu>g~0+k6f=R&(TQtb+~)y zY4lt7ySJ}xJy^e4Z29^pyY&nEOEM!*Pm(&pJ8h!Tq4XVP|K7igesXjFfA72<Py1Hv zV)G8G&)>dP>fIi-Umjs~u^Ot%KU??6g$tgr1-W5mvRu*A+TasK^&KZ<A0Am*{OQi| z(@Tm^-wN7Y^@SxUt-a??ZM6OCuE_nrr-*zC_D=BlFSqI0^3+XPw+*WoMqk@|f^ECs z94q^~t?C@>0%Htc-dfz>GuuGd+h|kLOQoG3Z>{@uqJI8=t@@e=8&CXI`gvx~rT5%n zc~dVs-}2~`SbKg#xOmt}k2uAZk2RPUx*t$gUM90K{qi#3Z8{roz2{hSyDs8b{bt8? z@2<IMP1hF{y^zx0V{z)l9?{!NqH=mmp7+mw)^n2MLzo+f)8D+4`Y*SsMlbuUYRVku zrr<bXVR~FmaNZu>`qxr?6AKEz$@@*q{Cw?HZmpEp;~$?U8a(Zoxp>mVj-4S^fvyUm zL}OU_Snkt<lk(x--3NM9ty69uYc4vQ>bs<3<AstFJkLx|@JarBa(MgGm&e^sd|tm# zotcf_*Ldw+>+PQT&Te|<nLF0^&Cal|ez9(L%CY+W6C}B>d!GGq<ySp#pYO~+8+&%u z&#?LW=XKHP4b^XM&)k_guR3c<&4=~jDW}e)UfOX}^<ayNO7eW0+OTEk{zl$1S)o#U z>pIs-L+(qChdO&M>`uM4C3D-A-LsSyaHRA$c)R%=R`w4Hnv`3!WSU%KCiix3t&>;p z&T^|c`R>hxiAxr@FAe|A7PP8Fa%TOP{jEVOi$b~2RR){9`e)64a!vlSQ~T}btIAr% zrrnR<Q?Tw%S*`xgHPbaUzCILRm))K-OM2ZKmE--YGb@B9`v&WUiWZzW(V|(rB)45p z@52>Y$r@krojb~Ge-=IK7yLifCOL}NXa4uiq0Z}GipYO_F5IUs;Fr=Or5?R4XCv>Y z`YR%gt_s;KF-A#T?<+j6f6;w0`KthHdbj@b{HeN}$Dh1f=j$`!S*u|6BR<KUX$O4u zIzQOX^xpk-(vDXv%imv-to+b#?)mak>eEx%`4eUcsiwR;^Z(>D-`7vq2`)}~B3$pi z`S<5~N!9oJzw)n)-M+A7{~s;ON+s8Q^M1*D+SQ$@*V5SgE9Q0H`w4<gan|u=JFjG4 za1jzNyO}Je9~g8@deP+#o}W98-V)mWdH<;|KeLzm<w(A~zqQO|zPE+{J$cE>N1f^_ zc6Rr~UT`h_c-X7_o^;-hgL2arrG>;UE!MvppM7mj=INEy;>O%h{}fg0<i)&X@wxdY zAbIxfov;5r>};s_c9X0BQ|SNk(b1zeZO?rUr-aQve_JP7c+%4F_FqA(Ts9ia4E?t3 z;e?52zEyp0KEE{l{_8uwEB5@lrd_{TG~)bR>+Im%{N11HcCNagu>Ww)*ZG`A|Mr@v zTs~I1%_C~{HrZU(YiZNBcy8Y7?8e5sGg$2Gf4^^P@64uZX?q;kvwc$kZ({P2Ye!2r zmlq{&EB(}YdD*&CZYzV=Zi%UnOquUJ!*O<=)xMOLtbHX@pR%nET^*)4d*9{9ZO5vn zw7M(qd_J!_=V_?c4xwPz^aPKftE*OeB`=XseYY~oI`fi>Wyy;Py6pb_vZk&I+c|cm zq+D4MIFCzItE2MF+ZloT|6lJeKT+Rg%6VzGbMp$FF0oVGGa}D;Xgn9}Ne;ZiqjYI! z<eD#U7Z@rXJaD7hC*H`(^4r71?YHBMC8z4PTU@Aa%k7i5&wKynCvQ*p@4fyPc9p(< z^JsU~*DOcD1?PEcv*xfBr*vLSZZp()>Zd<rlB)NvrOyIgG#<_i43D36!!aUb$8!nu z`c}|2L{@zA76lFg6%xCvzP|cadur45wb9ql9o`=9XHZy{#HE=Hy8JM`E7#!p!en=O zP}#`4$YyKS)lI#Nu55Bk_<8KF+Uc~tD=$TbOI__-vwQZM^Li!GF4~fj`%BlaT(>JS z@9kxeDDxFERu0@BCf1zf*c+WO#r?8neOpoerx%O+Pdz-`{&b?doM7Iu7mHrs`MCM~ zlarG{$BbNC>SQk#qtndJzpdNAwByC0-_Py;-!$95nA?DhXa2>9-+n%yzrB6wQMRdT z6>Z-<&i?ZZwCJ|)wZz=om-fXcRj1!bs}5f7x0UPsiHXWfUAx7$eb#>`_$+LH-QNlE zr5joW_SSzqCaq|{P0qkdu;66JORkrhmzH?WdN<)><*CZ@FzfHK)BE?u>2FQyjb7+l zbB{Ns!L7^9Xw!@3Z#OA_oWS$?wntW&W^BW{w14wf=}S8Lu87^et+fA#vB%;4|Gw&b z3uPp=uZ`V(O-Pu7!HdIwg3b;NwHs?9H$Umt-?xGHgTc4|_5Xgqe>%7P-b5j_X<W{R z88<d8yi@VG_nWCr<L%?H#RM#E4q=a6U+nYN=}casRr~A9Mpe-p?1x(q&yjU)Uw2@t zcK9~m&uu)CO8hn-8cg!;+%S9Gykx<Zl++{T0^1*DYgm+Uoy&WE_fOZ+ldo*Pem>!P zKvmi8+?Or6x3ATonw8b4?2x$Sd|LjbmS)XMCq>-5kHwiaE}r;TcX4OWgK3c&T{Dk{ z>1Z}rU%A|rcJsg0lPvyxqo!96S8(e_FMBKUR)!_%K)zh}p5)KZ&u>4{VEzB%Vt4PH zoSa!-VnB!X)v2#s;O)cpZmL;sQ1U{Hccs^3)%ExNSmdoYXXA9;`e-%K7_O>NzwNgf zsthGeYJbJe`5o^_urM1v5tuhSf8R|Zl{-c~zGv86I+>=LnDZX#ld(+loVZ@ptd&Pr zN$iBhIm@DZ6ZCq;IYmtG>S=>Y(pz!WyGmcD-Hu<KD&MI3`rAoywH;d{_pZ9MFS6zv z`vqGisYcc8ZKr4XR;SiGY~OY1-j~^d+_p^jQdmTruIqf-vgf<0hjYK2t&Zh^w+|)O zNA4)>jH!G&by~&)t-_oK2O6h&IM{VCA3W>qzn)uf$AP><h3-8PiJ`ppS<H+J{{H^H z{iAYEH#d9v@zv}1y^>=VT^h91tL)#72^MOP|NeN~A9hsn7>jG$4G|Bo74^M8rtN;W z%lomb6K~BF`SYsE+wT;0FVDZfFG+6kpDE4dRmc73Ogvd^cKY67^(Au^Rkp5L>a{=X zV)uc*Bg&hu2uxh{)cXYQ-Pc|(g<gv9dtv+ggOzc<QPZZz-$$w^exIkiip@~LgiSS! zZ}AId^W-}pg%4lcobJDR(t~}+`s8f0rq*+Ya2xT9>&0Z8<nn4ww)y{V_xqfLmG;V@ z1N&~K&)>R=$xy5M+nb5Y=T)7$Tl3<=LNm9e+1J+@rJs|L&VO`!8FMIm(B<9b?{)N< zTG*K$pYK>+$W*vl=EZhilQ@-tB_+GxC_I=n(XpAWa^3!aRfhdHZ^m!`+I%2r@uZsE zRolYqb;6yZ%vLE*)$8gr`c$>C-u=OWi7AmA>!!<CRXP2dm9^?^2=B@7>)XvG6<3IC zXXD<Tyh8jQ<dD95C6|4t34jjivpCXFa>uIl)r}p3SA_1Ub&Kh4N@2OZyL^2wx1wD0 zl-Nyq1&;-OJDTxmaojF@apB;xQin2)Hn$DhlJ#aO2N&GAFh?ZFp+NhiG-x(%{cQ96 zyx{bcEKM~QWyegGJvsIfv;|u$bW_;FfB?UFHZQ;b3Rx3zF|>5ku7(u3#0Sic%IjEd zWJ5Jp|NUC*s$dLCf)U%EUyIJ)niH*?FEC?k&h(nyCG94Ac5K>Wv}8$2;oj_X(kY+w zr%ah#pPq7bSL~+5-*r3p#Ol7hs#d#y-k;gA2kkaFyUnQ(JkhW{@blql#RcNmz4iB| zT+ZrbcKq5f!=k12yrMYElpy|=^8u_1sv61?UK}Y+$%5QXi<~qoVl0=4ZGPLvEB)k1 zr?8n>^OOj&LJx+rTVF~Ksk#(ot_)hbBz}LLS?l*ztLxWIDtmkDW`)?Mv_0L6AId3R zZrj;%>HyQ$W7j((Pp;b}w94{zp%ORa^qv_fa`^wBamiTyRF-M^xjB>br@fi7Q@c#k zDd_HzDIwiAk}@mXy`J>CeB5L@Tc}rRQ}nK_YZ70zU70dt(XB%BIqOm^rZ)4d$CT)G zIjDe=g!Z}}hYoYsf0p3<+_%G0&Y~*l*_s>5-PhhsR4ZNOF?rY3E49Lm;ezF7Zk_Gi zRCd~K=a$GhCHbQ2Q%^0uC%U>-oXN}W(CexfIU<dq6ecJf`R?F(&z7B09|ROcEAIJM zryP96vNCAl6q9wqzRa=B?B#v#Y6hiur@7oL*NC(-@1L4C{Yd7j`n<l2JZJm7+?<*> z%ee}2uz@N!#t1p3J)B2^xOthlud75Q6@9z2K4^VdYG%OdNmDeZ?sH1cNL%b~IjzRa z?45<e?6No0m0I<u<mo<I68t%f%cn(QL5hl#-W0!J!4J)##^{ml?I&`T_Fr}CK5(r? zC308Y{51xu&sK-(=$6)JEfbo|t?#ooc7d1;OWohA%KIglHdQ`n^t7q)iJE!o?OUnP ziMKYUHkSv;T551;NOE$mv09-dAOuQeMfYq)E?w-ZQaosTxcS0owxms!-vd|1?rkc3 zIA!{e9o<VJ1bL^OS*>0C>y72jea|~IZ`MU@duOLox_bKLZEX!(F3K6zSF#rF>sg$l z5}$CrS&ON%3FKbC-R(>cPqwXoYR}|8+5hzUX#SH@pSLF-Ph1(gb<UL@-TcSir>0(G zIQ1rO;o{@|QlAQ4%G6}0$yWD!9gcdsH1aLir7M4?YKQ9VKK$}qVuyppM8Qkd%YA20 zTQ;Re!f9D>5X&JpP=ay`n0LP3{{Nj^4pB4XE1f5He%^oTR&KklVdVC=6GZwov+h`? zE{R%dB$WNnYwCrgSH5REY2_ViOrCUNe&PN(Hl=P-N5dyPE7kIt+<n^np_BM4bL~?C z9O_0+g~qR@2i&sN?=AX#MThg5Z?Z<;#OX6$L|kkH`EIKd|5b*SQ7gpmalE-vU+rV| z-gA=g?#U^;JIy;a!){ENv?=JQfXE3?#}K~&I|cdQJRdzQCa5g;n?Jci_<P{`s>qen z;ny_0Bg@Tr->+V``7{?}eTvHdU8g_6n!kR(4>U4w<LCREx?+V_p>e(Ikxf?as}5ay zI-M!^;HCf><<&da$LzfH?SA8hi}h<JC>8lVf3oJ=)$_{2piud=Z(il+XFF@9ls=bm zJzMiqVo&P%KySZos*9NBY&G>cHM{7G=;^6bxSsVNS85I3-Q>1uu5Ec7pPa8@>W$zh z4+KBYC^=-KCcSk<$B}UD$CLVRbVW7UPg-B>_w1dw+lnJz<&j!*g1*^?xZNx{wY9%q z);es(FR!29f4|#(KCEErCatNFnH!GpQP63W`dgB5&;982A3uILl%Kv<w$Q>YOgL&! z#YWxb{+u;JTK6iS%ii2yzkkoyYtfN!SIu`f0Og%GmzlHMTUWW7F=@8-sK|Isv}K>1 z`u$(Z=NU?!?Lj^{E<ICpWtEqDAM=p>9e!q6z0l`95fY)owz;dW$$49<F1aP=ZJ8_I zKi@*t^SJ-Xz|VW4S|<rbZ(MR^MU2-Yo|heKLxQ}dj24A$5d5I3tn=$|JOBADyLFB< z`t2w=YFqbb$KKy^rdd;t96h=-;Zkwhr6r!AGr~Q+yf)RQWwbn>;`smT_4wR=$zuzq zSno~nnpUs#((J<G!@9h0+4$w&^mQg3HVjbI2<&HaxNLhoqDR^!Lt*>fve~uWr*7<f z`u6lR-R#Ff22)s$DTcM$nSANn`TyVVrKzW<efun`9ma8dNzLBN-fcynOnxhO8%;=V zd32jqa#5sqZS$OKD%@f^8~)bs`}^&7fRAJUM1PJQDMz-fssFYncK5Yy>%zMISQJ#) zC;Lg7K3r1!<h?=tv7?0xGnLp3J2j(Rx}>-J8-DKit-efReSLM_J>|PQCS>-7uDFoj z|IBo+&7AAc&+>o%`C0BE`>#EovrFSXdq}-qX`H^6`{|QRx%0D*7Z=^ym;O&du`TCM z*1HUSBgb8$r;d0W-SljIeN0b?M)V!K_Kp>rO#cKxVY+R_UX!_>(>2sJMB4e~r@6~j z9{IL>VfVX*&h2+tt3EwBX_R-z;&sr%)9-f{Ki65=P;uc#pWYsmr_O&XJ{)9U%XB#1 zdz#M1+T4gYFa4d9_c*oIg{vvbP2d!n+%8vj;@g&wYT6~=mi|AZIz8r*U%l;S3z4K? z33<~-_ep{ZatQ})UMg;``&(tLetcfdCr{Afb#Ln*M{G!nW%_%}2xR4{21e#5z2^5O zh{shN6mpPT?mu7dg~6^^7NrN1CKf$CRhjVhnIV(E^YS^-ER+1_O;vWQ^sub-3ka*2 zTk~kU`{y~|SIAZ0W4S!fcuCWO`lxx%78U!7FKgZ0Sva#~&!;lyFue_oi)_S<`fc?8 z-2VK?uyyH_KtnIl|8Lj3yiz{pcJfgxFK6e*^;bn2-zYus2A}Si>GS-&0{C>l3rF}K zxA7!7ZI7KIP^S<nGPj~Na&y{A@%WlVPPSwJK)0rrs!q`eJhTsV>h|q(^?OUc$Qq~h zga+&puh~}l<6-;K;^*hg%335QT#u_h`>y%ojDAaF`$yg~&2JuD`>3XSE`87U1I+w8 zc)u!mPEdc=x;o>@iHX~CnAa&Cw=nzsxhyfYtY^)-<J05oW-b$4`2E|F(=0;$Cn_Iz zH3|65FmQ~k`<c4>+k!3!k*kZu8K?KuPtl9L<t&~1Sz>Sc>U1#^mI*=b{c^eWGp>p> z{&6@kyT@W~b)dLRc<cK)0fM)-<<4%?I5Xe=z7Vqr>%Q|l))tmqv~6l=YWmGSoykyh zg-q_PEgNSS#58%w*L-yK){FQszv|UWlVbRpe2*VLE1WWUW$^MSqbCA#$5Q3%#a@QT z*Iq4S|F*4}o&VH<M&`9e4$tG$t7DusjIOQ@-@Z{x=Z)_yla2EiKjD$Jx)NHk$;wUP zy0NP2(X?Mbj17u+DW;oTySUh0cOGcaey(u~%Tl@Rk#ns|TVAgg+Y8QQN4^z&oO|uY z#p+X4X$Kt=E-rEn`{Xt)ESTjJGh=<ekyDk{`p75UV!E^PtR}GiDkwaDZ;>na_u@Et z%c3J}(>MKaHrW%as`D$Q%)u^fZB*+1&&FwI3LYC+yz3BDext<S*dS2z>*eyyq=2;Z z^Kw0zx_Gjf@;E)(l6S;JwCoj+7m==8Be}ic;TBeb=VxXr&#(E^S*mV%?7#An%u`e9 zHN!G@em-aY)@E*PA?SR*Z@2Sz|4u3E35Yq*D{aQ{(R79XIaBcQe3gqntZ>x_m9gUY zof)R@ufOxcw$^s@9;XNG@^u?Nnb|jGbve{2Jn(f3FbjCON4)aA<-)87#}wQe6Z~}y z4FlVFrPJ=}94Ywn=H}&9Dt)sK%(Y&{UcZXfJXaw91Q+*iXyd;@JEg^tL#I?MXbSTq z|A>;^<?r)i`86)BQ14q9vBCHHy4btl6%;41*GsCN5e#kpA<WbI=-ux3)8h74MSe}b zxhZwqJ<cOX44cAkvS05@o++!m`t{Dt%WB@!{zyLWdNgsW<GX;)1M58|Dutyoi8Iyb zDYj=_1P$5sv^;w_UtL>Mch|!Un@?*pvAlVhucqtoD|mvRWzR_t$E8O!Hb__>TlDPj zZ?|J?ABFBzI&&1Pb+D01a#+Uwj<HT9(7Rx^!!||b8~65B=f-f%lrxb~ea&Xd^quFX zfkd%VcAG-zlytlFI1Md{Q@ks8vD_5O=<2Em-4FWv*6t0GhQb>169mOFpS{*F+tE|- zd%?8@dJA@htPDCT$F0gT>8PdCgo2L?mMmbHxb;!WYlG=$`X*leYEyeFWv{IDvvOmm zQs$i}Ss%Y%zyIF9z6W;Bwo$uER))H2g|C})RpiTO4$%1RGx@8|Y%Q~tCJ3|qxcFcl zThO9q^&C&wnfN3NAGy|uyI<i9;X1x!cKyqx({rM94S83{>D=Oj9?ciJI&ABF(9wJ{ z<!0cvKICY=PHpzbKa0=Xs_)3RIJUsCIj5#QS?AH6ub=-r?_oDoQxOt)d~sc@b-A^O zXo$qN<V5#S$Ig;hO%7Hw%)h#Gbg0%#AGfY<x11!&V#ac=$ZiGyy%gQW$t|Cv9&KxC zF%akA+^;F1z4Zmy_1!Nmi=X*?nZI#fVt{}H*E7FKQKsM|C*b$=fph7<)6@00Yq7sm zGj&y%Bv^2=V<LyG$mzgfmZByG`z{@}2|}i>3ibjT9Vsol6gW9K*F|gC7t~)*)?%sz zwaa>3GMFQ-iZsqqaA5Uldbi@sie;d%VV&6XVAVm>CvSh9<bU&ZMwde#$Y@TZPXfB@ zmT^2`VN`nf(H=BBWqC99dmu|uuY;qC@=i8i_5hYcETHz(11<ie#aF=QZUvnqb@f_Y z066QbD*tTURWBDTctRS~m=0QQ^ZVP|)3XChr!ci2IB)+yXEX1$HIcy&&c*s$*a%$U zn)|R&wnVJU!B23(-b1WcSA~8S;8+TF!YcDi^2|YtmT_n>bu}n0;CRB)$end%#lxFB zi_`tSU9A;iye&AT$yKB-D40cr(bYjPfTgJE0PC`dzPI(aR)dYuvj6t}{{2alGE6+g zL=7|-uZ0>WAj6_(pxR{{A`=1%$OHQO|0J!p%e%9~P<?m%U%QZ#Di+hfeeApVZqBmw zCC1bB<L&NR2FOl+tC%Tiy5LM!&jxlk^%Wp@Imjs$I5xBK+T6LjN|VXbkwM9uGuy+n zenao18|UqQuetDU?y7^nv&}@Kj$Zzu6XAB*@K^nX<RgYrmoI;BE_$TuJ<X>)W7m>P z6}`Deo7NoTxc1ZHanaouN10YGIyd3+p5CH|Szy1nNHuc%G;8#;$+t;;dtoWZ{Yf-D zCb7DJ<+4UKui^qhDK8#2&4vB#<;ffO%sITXzWDi)n4Lx2xOJW!H0%(VKUE{}(EEoO z(~c$CXI@&uvwZSZiONd3f5)1RgszDQT({?wmu3CGJ-klKj-PUuuN8UY{Q3vj+9l2p zn$phBs$3zu5EPz`YooV&y}!42t(Sn#;wv_<POpjFe55A+uN+&EgLvqv6nVRv8<RwC zZMj@uEBgCkyZjm<hL6j<GyeYinyb@M9&9A#w#mLeg)@+6!nwsg?#Y{tQcpz)HTB9` zhrQeT{hmqj!ll-8-D}_Wn%~=ydoOeMgsT#7yxGH}_BUs~y|p!$Unu`;`<|K&3nW2@ zY~`>=_RXDr?zVu&s+X7i;(P@ISVS5FlnWYnuKtoye{fa6VL995$&N0M_|pVR`sHkM z{;<xq`70^w`TN`3?C9N>vuw@G!sb;x;(V=^c=1?3XvY3?ht7Q~af;oL&}iWzv&r#! z$Q@suH!{LOPOHCXUS77d-M0GMno#c>*Q0d{%@SldczT(QmHcM*9^CUn!+Uz<uIZP~ zmYkVkxT*Sk-tGFkqDrpf5m%%*L^oQU`3)M0WMpQ0@}m3b9L3Zt-G#f(J<CwI>j`$V zj8cJPx0tR?)G6!s7p^bzSW@l&ez}}zUHz=BtMTEx1H8^t6Y4)o<}ha_2rm6FOY>3s zcW2InwNJK8&cD2@H&p%Khj#lL=TH7qe8!U0u;{?Q_}Z^i>vONh?JCLK&D+KNyI02Y z($TDwlT<HlPWSip^76X8>h4X3>le3PkITL~rDsZ4&#?v$!{zz=|Ay)9eq;1~#tQj4 z{;R{*ru_Qy@|#UY{`(n^lL`$^pKD#3YAsr#nDzPD+09<wOJ<7Mc5l&M_BoX0l2StV zyx5|Xs&Y>vO<fh#Io{l=-|B5u`by>Cs?g@sOs37OHp-8G>@eITo-D!|qjpAHRrTm9 zNdbY$wrTA%jnn&7r9<S?9NUh7PO#&MI2zy&S{(EL@B8|-P7iN@PK5O4xN(2JVE4w1 zi%P3kq@+mpHm+`|-Fw`PQ!)8?;Z4x}D+m5O?zb;%e}8T5Y}@J8@9KA|M^6{{RCZc- z`;7>}DKmOb#BF0c+uplXrpB&eU3&=2Cl1E#GJ7V@vb|Mk+8?0XaQK1Uf@%%!6oWUd z;&B_+O`B<){!3QwQoxpsz@P7Szh9Dlecd~=9VH4<EE7N7oS^7@DP_CBWX6LBS(|4$ zDyT=QipLZ*$}(S1ovs(VCVELg{X(aAJ47n7udJBZ`|?Bj3d0G^Ou5FJdX701CdS_} z>I0p}7sk2J%gBqn_)(0EX@f(A`iX6&udgx2H+2fDM{U2}t-tTZDp$t$GHKFUlAN2l z7hMiy*#s`ozr2pzS5s+LcGXw3@xEZfL>EhkXZ&q~DP6Z5e7ejv3;J4D9juqNJ$h1s zQ{wicTZso%KZtG@X<R*7-M?%(M`prDdDE;ZswyRN$F@GY@%#P$d}hyDPk}s<M}YxK ze<IgLZOuu@Nqc!rve)qrTb_#h!v%4k&(F=x_2Da25#WCm$nnB7vf$f?KI>D{O;XEr z?S4KHuKaS*J$J*Z!pm%rH?@|WDNs_bKN9e<_LRoHlj0Id{d}@kD%WGnV?7KnH)}FI z1dWB9xjUs@-W;4*1XNDRR&Mwu{r3L;`)pZ@*c@a$oL#pncpu{wXl>b$u=&8Gq?+ro z<(}<)vN|&locN|F`=gOvZo_)X$BVl4Hf@y5asR{mc;O-ejolR=lXgDtv(DLbVt@Vr zzwb>p2;9hunH?6W@S834*zE%5jTT1iG1^PcUt1GtyueUFagKDP1IyHZFa7H`Ng8WR zXIZZov*W_C#RB$^H7w3FM=9Q~`Rwa3y?>Sy%e_TxmFy3kzRWRXmeS}k<5D+r`XhAR zR{7}}akH4t6^W6o^7jA#eEwE_puD+9r@qaq-gBDxeZ@`tIC9)Vi+NNxRDFFVBrZOE zeK^0k*8T8DEJay6Qhei0cm962yV$DbowypPMSbUL700b$P<_O(PT<|TlPR3j3csK4 zH=C)?GTo`}M`4>t!V#N|3LkfVW@a@!xb~qy|IXs)w-^F?<V)|!E_o-W8FXY{yU-zi znevtOZ*Onk-o=&0{k~fIae(dA2$4;BHJ7V9trOQx(705z@;2j2&J>=$+UIl2qqc|m z`1Hj3fV(hl2?G4@mMr(1`-+d<vN0g_nb;>gvq;zV`+jBZ@^+Qsc`noWtiNYL+{`d> zvGV~^_G_lcRjs^JaG3YohCkb!G89h=uykm;Ot+|4k9#av^ZBg#-H2s9&raFZ*a!Un zk@rLDh{U13(;`#(C!Hz3-^uKhs{FX;{bGGfK2<xJ*E^rj+k8Ls{(sr$^^%jA7AkA^ z9Vl}D_+{Sn1&+-uYb@ipo&YTaag+L%A|@kobNR~6{ANt-d@>vKn8o73O`s2|%0~np zFBP1(WT`h1`JD7I{h<5Y72)gW#RdfKR#-nF{)C~Hj7q21_A8qjO`KUauM%{=CjR)h zveF#s#`7$0kGsB@i@h>0t=Q9<qhau|oF`_YfZ+QB1>2k_s&vdguW)0@>d(8KcJ13P zc$+nleS6O>-6z*~zAW6_-{9?bCU!x)ej~UES|C~QoT*+j5ZoAwH*!+k_waE0?HMzA zf~H2^u>bSHc{gvj^!>y;4fphTrWnSQYve5{dMx+zxcxsx@%WmJw!T+5HZ7BAwpjY) zNy=)M35~4KGeJM3A9)uLDIqTN(QAitV96ZI2diFRUf!>&C}DqVhv44c2#tEK=9kCx zgw=dDwA7#QO#gnb|4QKr&lS99I{FuuPhRY0#6CsZXm>#QoMIQ&l)fFodwX>Z*2TK* zX!|wiOT|v-1FFhxja3s4f*Xqm`ZdZMJx+kzp%1*>KxdZTn5DUX|G!=LUoM!lz~jT+ z+9wm;a|A7u41e%h-<=sK!Qpbu*2%y~PxRGJ2b=l;^#HAcUcu#!(R{3J=L2RIDkdFq ztNC^_z3kza<rxo}6mq3E9r&^1FPFGp4)eCXXA7TOban5a?9|w}BSnP6dymLQ&6J|2 z%N?yc!awnTXq)noNwuu4>uAbFM}|rl#*b!?R;-X0Sz{*fv(w+vW}CNL&-t^>N0x)y zD2$sdIR4dtIp=cnq{Xg&r3t|-H77Y<F@3b!;r>T*N#hO{52nU55_-ZNoQ+d86#qDE z(y;o-b>w4#xql7&v40^4k4}B?MPpG!{NXm<yFS_;ERAc9bT5b#@O~uG(!thq=cl#i zO%@GfyRNqF3oi(~K78lT&V&Hz6MV`0mVM0J6%0D}Gb!gv{q#2ypH+%`qHOoMeVbk` zRaJKE>)mPDu77x1CVW;8<GR$S#S|FQ9S3S5|8unYBfJ9Ccx>1$ekqcZEfkz|@{JBX z@_Z)ps%d}G-!tbs!#|Y87i{k{YFy=%XxXH`_i}NdHR#a(f^vT!uBE@{K1k{7)^eO+ z*mC4{$MpsE1@cFq20)MWES(~@Z8?*H?0nfnk9!(-9pYWQ|3LD#gQCvg#C|VY^F!(h zmnz5fps<aa`+gV2?MV77`B7@ejH2v6Wew`Be@=XBoDi}q<m9fiJ1$=2+P%}&V$b*T zlO3I}zCD;79L&Px+EAx)<@<v^o}E+V>)$0*^wvwiv|L=jPp47Nc{@n{!0es{P9J8q zUN?U`>tjTW=lM37it7;%O||45*WX#_Y%(WD_nWju!2(sG3H*;7BJM=~nOl<4XPtC} z!&~o<?vFq71-KpOFh72DXklBg`NxWHH`8-ty7GG`tubou2wb@B<n8?ZZ^ezoDviz^ zGCkH*QhY+>)#T#(vm7x?!-bx=NJr>afqFKYtDZRKg@D}Cu-oNHs{6cU65{2ae+)h^ zKHaYsZ(!=GAi_~GS#Sz(-Fc%R!2r{aa0NF;SN_Fe=QboB&e^XP<f_@$?)6Ay+T(Mw zSDDQ@?-x%=Il-lJz;Ish%jpc^^=+$JE}i?&mL~~0)U!T$$!e#JJ=&a~C0FhOjdaeP zZvS}3mCldX4QIWbsr@xC;=|_bKl6WU|8?lHW!zC2IGe4AosrqTDN3<t$xUN3i<PeC zpdpF)8vcWESzQhbI394jZz`L0q4K@^Uxw0~EL}_Tri+7I+~lQcbDZg&ME9)iQI5yh z7?<Cv47QZ0zs_~!@XK5m0}V(QK0v=<vPJ9D6)T-(Sh-C#cONNnxKMb0!mLyOS#M98 z*L8{U=0XXngX>amY<M8#(RJz3lI@SU6e}iQi9YqaT3Us<w4rjLT~+$R4YDfDA3LN! zrn58}so(MclRwX<(kNkT<LBr9?_MupxBO_fb8nkW^Uvb?c@G%f1QbF9mw!yn>tFc0 z9X!Aycuj5*Xawwy!U29m#^QULdw*1}?3f|1*?e>6?mX#O4vUl{o#G!=PwG4|VJhkI zyD;Ut`ZcDY-dBu<g&f@To%L35I@t=?ITtogu_)CIaMI{FzOjSVF;MY=P?Mt#$L&XT z2j-q!<f~}gRBsZfp`dPlzh-mkON+-BWhQ<M$f@BK=l;C#fCBfn@+y57Mj@t~Cs)jn zWc}5#Ffr~7t7ylAn*~O9!X^lOXqvEK%7qm{y1xViQdNGfFT8Mmf_%|?9jT_9J5GNT zcM<<`@&zN84%5Sh9HNI{YY9U5?5>D2E^1mN%y;yRQ*Hgbsy#0p9FpA@J+^xGI#MBj zauetjP$yqDFWc3cObcBWC`=Ocuz#oC6dhP+)FsaOwDdwUs0eV_oLST~>)m8N#-<Mw z=1!a)=xL-CzV?D9(?KVYmaIhqom1Y(Jxckcbkr>3y8H1^79(ee*F7)3EBgy9cp-dM z_Gh6=u!C>CAcrXv>%^WGsbt%EN&-48TbQypOwet3JgLjWLH=c3R+qyH!2lzntRr75 zx*YB)F6jOAiSKlWoaWCbk(Nb&rYxCbqprF99M}5bAI9hV=X=!FJ^Es4Sw62RP40Ka zIWhCkGwkOrw-eJUStzpa1Jmz_6&F~a?W}xjW8SaYB|2@=(fV$WmqM;fQhI(GpZA`* z|H^YU-wh=_wQHAgq=+-BD&KVV*&fbvh#fSL@Q+Dumi0<keWuR#peG5JG%cr;1o6pR ztFrgcvj2Rd_^|wvoRt!tnqe+o(uV|sw{(bTN8Ko~ep)K{x$af9xRVLb!G??*?w@Cc zcs&35^FBw{+CyB9h4mcWm4B}8u`7MHW{%O4XQ1KwQ!|a9pQ(O!-sbt<B~OBr%qD+I zO<L0Qb9IQG+SkgPkAgPl^n|PqFsjm@FfVeQK!=%;lWR`Xp<3%NRtkYB6Bgx6*{r_U z*H^G31yp7i?Xvx+^~UwnNzp4i7pKAIWBB{oC#fD3m{`<SpR>Sbidp|suOl8aCmmhV zQyI4`rAJlnVbXG5OUr3RUVV~DMLJKnsLXzT{H*+`vw@%GT9#BT4H61px#UQwj_Sr| zf~l@+6O;vfjGBIbT)X|=sV&!e&5OT;bar>2p3Lo-#&h(FhU5G5b-!M!&vtd@{IO?6 z!z}g5d><8-7>BP8JA19(^wi3yM<pz6x4rvr4Jt@3-T)2c25#(hU=iYZd1I6M$$z=N z7HM}h)0cj7UAn|+r%=$o9M8`YL0Yr+E46aFKDfDb?x&l@&wCe5R%$<U|Dnp_FEX}@ z?0qWI-~9!5T5MZ$rB_?K^h|t?YiNMdzohifEPo~nzRLfxZ1<(c>3O^BZ*IIEUw^mk z_0j|LgXQj3*Pl9lS@8Sfe!Ei}Ufk#s)y^>uue$f=rGNdYFCRn<i>=<IU76haC_yA- z@tJBzQ?KXEnn$12<er+M=~V0}_3onb>yzs9eZsF!t2L5dyx`F+`R8vZ2?mSZ++6eX z)1Mj5a>lbc-rT76F_V6@F|&M9j=x9!CcP;)yi%8X9W{Ekv1f{Qtj%m?w|~#v`{$cv zT`;^^{$WO?&!%+?DxCdZh5D;%Y+W_2^Uvff#wSD?&rF$E|L^nsqF-M!qa{t3Pn$GJ zN!9z8`p%LkOCJ@uxgIZAd7=K;j>Au<$Jd?Qklts!eQWmhTWU3zm;0aJa9D3<c1TI8 zp>9Wg*`FVUFR!nkfAqx4gx3!o%H*@emcCy(&%3gY=}oQm|1>i<$0H{8=WnViFFU)9 z{n<LPIt~GyU1hfuKbL#d-K+BO64B3k_viGECyPTmlOCGCteF@1sq^x*Id4p#s9dy} zXHn`lD<;Nq*0psBa;I-Eh}!zXNx|62sd;B~-cHrn`(pKCI%3AZjyg!Zc;LO4Z~6UG zwcqcizphBQySv=~`i9=1Pe*co+)AAuJ8hZlp&f;fbKc5^h<fevpJk$XR!>0o-eOhu zuT=q2KI&ghjxq;Us`<~;vH$;Pv#z~=%(dO`_i2B9eSLd!?T?4;hw?3+A9`+bcCmc# z&)n_v^KWlEJ8f3F%(43CF|}8jK0J`%<m6O~TQei~)b|U{{5y-6irDT=l2Ep^yg4oF z|LgewTH^6Fg(vp=#E74}xt-fErT?Gpiuf<;hXS@8Xl3fXH|?T?qP?W;g3uj1(!^(! zU6b3FkoB<fz{Mw*r&X*mtd}m6F)RP}bV*uH<;u{vi<nl{Jo&b2!JIc9HuXx@!hEOu z_PQ@kT>I(L83ExFo;!oQo)vZHTfCVsC|S7c?WOQGu7mg7=1WxVx^Qs%?i4Nd%P-Dd z-}?E(VgB1~<&rA}uG}#EyEkXCdHiBd`9e;eM>hLEpR)$t-}^4j<WBNI^>2-?Tgu+v zGBaz9GjC?+FY7$Ee12V4va$k)h*(N}^a*ChCp(lZ%(w)OFf46&wZ>$|<Ih)Dhl8$D zUE9qO<TlxMim1oswcGDy^>3{Bm~=Z=LbQ>s@j=_HBgMxL?5O<wOssv8Yxk{vc4==< z1nlK}_Pt5YVS?VX$GLOF=g!Dv@p!@5!YbruV6dYq+AW!-_@33adQia>v8la5W9y%K z*My(P{(gG=tM(XcamcG{D-=vuO@pjmnD~Ly;B0(LQT><8>E};=vu&H9A~#KUH@{@K zpJnFl6<u5M0xZ8bzvlSa=HQmn@~l`xc+0NuW>-2^uiNDXKDe`xEeCY(+^*S+90c|s zbb6~F_vFpZ&3QHLi-RiU*_XDeRUgr<-%xRB&b!<B`%71gI2&-(w6a(<uGk?zhws1y z7N*cbTZfPh>-YUSRR%h_bB1xZo}u=>V}CMEu+L0dSjHpnR#UU*^)3ZA*3_p{!{a_y z^JY5SSnSUK+j5mxS?=d&XEQ^@-v7;1oEUg5psbI1-}SiawYeQ0!Y(J+x`b7PdjfY| zsXqjo^p_}m8M!qpwBnr6sr0Q^kJRdzvZS;sDqZdQy)^HvSJ3`2)!A;V#bQ`I%<t`Z z+>mo~XS@)<-1M-v30sVhEZNp+9@wqJVx*+Jg7x|N`P)x5Y@cX*BI)1H=ks^x7qk0L zzqkL-Q~h_<(&l+ns^9Mo2W?$jt?@%@74t{g`1<<4rB<yC7JDK$r|o21Eg*fzR%gNv ziye$DA_5ta%Y3q8gx`sT@bCJ$C~Ltbhm?R2nZtXVikMCwRN$Di*!*U}HdWUd6=G8s z3aNTUl(W85@Q}#rbuK92U&yd<nFC*qDEqPI4+h_*^Y>iTGL$@e;m7Z157@lDygvP2 z`shv}_tR_jGD@5)U9KLKJu0K9;cx$UOYhA<Zhw{-qf1*dgZm##^PXSnc7Ki7I?pLT z?cYw^tfsak?(C^)4HL3fPqXKk;KgHjb5HXAlQz2ocEt&)&z-gOp5f|NdnU<=f{$D~ zUN^8*NPiUT<33tBU*1K8<+$yk-xH?Z+?;;;#>V8oO&>lUm%m*v!FB%zYX`gI>e*(w zUfbohSXQxg|K3&l`qB|22Pp-wBjyHf>*Mym*?5xaP=Lm|ozJAIpTFP3UfD2X!`k(Z z8`OMeJYcI7;&0y6`0LS;5R2aq5*Gh{e0;2%_U}&69RAOZdlpz&s%XjVQxsTJ`T1Go zq^A-rtWI8LQ_6(++gCrS=d0I~H2k!<{eZ^S2TBExu2{}Y=Qz=Hl{09mlV)bj@>#vi zf!z8m9r}^mRRh1tdQCfbHhJl6MK#r=E1_a>;hJxA{!A29k880`RoA$Blly4n49?@W z4VxOQ*~EJ{pV*x1cB;#mZ{=1|?XW4k-|f;q+Y%7Ou}o#&k=q5A9ZUku=D5zSR|q)% z;%&%cH{M2<xfX>^zrMUwmaqBHD81XU;N^vd&TE65C#-s$=M?Lh`_Ytx*-$NVsc`js z_Mc4?3S#Qn6qD*E=xz$xWaJ^5#r6DgHfWHASLz&h^PRx=t+NayZa7@AFni*yTLbFZ zaNhc~CVszNO}f-WW9x!1OU^`YbQh~%dsEr>RmeoO($$`-!Q3vodjuD>okU&omA>kb z*q$pdKkV~bx%so2vd%P}hC8al?u}LsHf(wPJyvoo#hWrL8E5_ZC+N`yI=Ry@y-_)> z#X37aoOhjEl4!wphdPcqYa%x<<K=0+VewJpT*V40mMxbur{55)-=-+XqI59U=D4I` zQcG|8!2p|x4V~pT_Sf&fD_6?I%B;NX$<yiaW%0k`w9j#W=U&3$+VWG_J8)f$WntEg z2iq1#NPlkIu|TImd>!wb2M3#*q~|Au-jUleUm@T@`=QBG3w1d*eNErdx9Rb_lW!tG zD`Xv4?O4mPfmM9d423D@w$`7|TKB8+pTdJlg38w`|D5dnX}tD@rPk7O`y)36KU;T0 zb**&x^kr==8CrQyV&j8aujhD85q({LI(2Q9$NX=mzLhnhUWZ<KRZSLr_oDXwtCLEF zuY4@Hw@mImRJlOsf!xQ^?HdGy7dyV;5Dnb>*z-pI^K)~{81L*VT^$<V#w(rXS<ktD z`M%qm{+3>kO;5HC5Q$jgY3=&-z=;!z!fibgk7Nvv_inEKo)>N0oDdS@zQhr9glEc= z9!X=h!>1WfDy|UTF0xVbNaunqi_HB3S|Y4TRwpc)N(9s&??_NkT46Ck;snnU)=45A zT0*DZb5uOq*A(OEc4Cs!H8uT5flifjto0uw^dt@6TyuUfCF-7{LH13(pJgxkG9}_8 zqfFN&N*xqEyZd12k{U;ar%xoEJ<3kD<^F#9sch?#@Yzy7jreUds#uyL`Qko?v4}K2 zP<k*)aPqe&il?G<zjNrsZuj38x9RY-<ov5E+IA%u@n_!XZTOwGR8e)x;`g`rP1}+^ z=U%vcec9x`Pn?>Pou9bZEEm5D8r?imFSls%)uO%wABEGObXP|EH1870ysi_+99+zo zdgP7gg4dHwZ>yd&dB6MLCaa)bEvw>xmVTVl^F;hpRg9~`caRY-&DARcmQOQgnI>WS zq`PwVQqbb#djf)6n_3xKQqC^hYqTIbHgmm}=f3)w8dc?=OuOYm1WyQq>P4pYHZFmy zQ~4Q#O6_Ow^%Uxny0kJiw{!KHqnkFhCw$4-n_fRjCuZl>G9I}*i{~w4YX5ObOOn&@ zL6wE80>5CvNspJ8mf9{^zLK@?z`5GvPZzJhKD+3x=o07RP-iB0y<OY?Bwj7MIkCW_ z?OU#j>FO!<eeWjoF5Vn$B;+RUynGQy3aC1oQDW4;zscmL%=!a4e*7~(-d{6a?(HkD z#kWGa&s4tdWNSO{Yi)Jvw@YV>vgf<q`mAPfqG)gEmWhI=c09H^zapLcYEXRjThnOG ztxm06JCFIZ2e5ns8+)gaKR$2k8o?h`ANe9Ts5w@ZXVfpqo4Mwp)`sW@+h*>pSX`1P zrd?}&MQeja%c~=cdwT*Dr|nW>X6O6Dtyr6zvA{g{mdW;maVs|PO@AC<)3>O|MEVSB z_u=X{8<C#C(=v=rA=}l|yw898y0v0vUF0U0hNR5RV*it*-cOzM+P7eJ)CYkN?rtw6 z>klt_mpi3uc|ydB-o71w9t8a~U47|INXJr>zq9lAy$tjGI#>U1u363vg(-{mSGc{G zF?f4pW3m-T2BU+bwbuSi850hbhRprC^~^Di6+50CuiTw-WQzT_3r{cX<|(T4(U{Z! z^XZ?pn^X^OVR(P*$b%_SVNt$<C%76vFB5zO-c3`VBl+^a=~mV~QTLQ5%rtVcEU3FL zSN&$=9{U0Y<waJ(AE&I__+|CNCDm!$%HBrZ=B)Vj<)!2_!{uGszrVfxYqps?!Ao%e z$&ZhZ=kAv@Sd_JPd*IY*uXas&u{`VT<9_?R)km#FE9T}JODjKO?Ajn)aI!;l`s;-v z8>XH8TF<&%_l{ou>uvJWR_`{Qy2!QeeY9ZW_2}iZ{W<1XR>v(n|2tCZ`1I-7R!5&- z)>-s>!_0|-vvvjY{p-?R=fTXxE!Hi`DzC`%C2wKo?8_nja^{=U&Q4mt@0ZuMoSRA8 zJ9~S#+D3X!^?Ix8I<w-}k$|Lmy9=r=gSH$@Q!VdFEB)eO$m{v^XZ`c}(>*7vEuG2E zd$*$B=-HKOtI}6fK-;9}Rll<=w+@+ia<V!%udk<>!^vfz3;zE4`qu2r1;^TlF+b)= zd!O24t$Kgu2H$?UV~I8Au7>L}@b@_y+g)n{UEf(}+xPbN_VeG?CiXmel5*R>UCwmM zdj72!Q|A?b)4k7sbNBOk)obd1JuOjP_QY<-g#{OG8%Zf&dA{hl@alrXvw8--T{blr zKdnj(G->qiIdRIDT}MzeZjFb@+*$LyIRq^#f2~nf(S03yNn(=1gL#6Ig;w94Bwp-( zl)L?Y-ELKlF9r|wW*)t7!dn_^y~81*BGcshuF`Dj*^HWr(#JE7Ju7L~jo-J&p*|tv z&D;e+zYYpaKcIB3xN7N{IhL32&fjOG^W%u!hK@_-ZE@x$UuA77Hh7zWuCbDqW^`P@ z!+hInZ>fUg_4xX|xg6|<4w@E4PdY-@#oW}=ULC%E+C*jdC~?6hJ^zd}v`ciCEtGdV zY&+}ALZu5fpriHYxHaW4A3HnSe0vtp-un0hO?GBKFShjASwdRv;cKI|>S+G?cwAmL zv9U#vhi4tAcjNe@>eYluP&IW~;N-OCaw%oaqLmyA+Is${HOE|D+Zm#@KDO*@^+anH zq^*QeQ(bi53!LcaxuC+A`e?GhooBHD2g}^T$R~5p?K&d4!8T8%e%E)U@0Y)B&$-$2 zntkI8XM>EQ=jQ{WGPK<9Fm*q_8Xlj!`|F}92?9Kq`wmZHIKkKUn-O*l-%bb6F?_Dw zVv$dolh5hwI&<~<xp}tPvtO6KxNuNN;CMmeg~IEFu>#Fao1BhE*R!2`b#Za~Uzt$V z-P<ZZKQqzl{{FB0PJN+!Tcq<%OTHhM5+*Eb&<LKuE28e9CUN;^gsu&!)spy8?uqV< zy-`|BA|}=E9;aTqDPp`jHa7VAm+Fb;965JuJ;Y`_%2?dK<>H}?O6HZas>()9Q3e10 zRIcW!ke02AJoB>QwM6*^>#{c+?v_O=DDp`zy|#(J`@ZS*8085P$LqH~uI+hxdU~{Z zzwm~x?4{M;-<35UIqK-fv!ubn`?;GyIoB%o6po{f3^5%%EyoS-XltlXkl|@MU|BVp zH$6J2Wq#!|$=6<5fs0y7!yoX0PSCf2ouL2x-D(R1xt8{w_bVRvhIO9oo7C6c9l%h3 zZ0B>=js|CyopLLz>NP^n2sVBCa@qg(4B4$8TtNGm&mCaaR=eS@E81ATeC^h9{kK~e z%&b%WB+;n4di!npQ`YM<CmmN7zw^*YN%=^3KsU3{gWMz07J4GjYwH981=x5b6!unq z-SlbS_SuKTW5ueB;%Y+0w}Fn9+m(5FS&2BSC{t+D(b~JaN;8ur>lJtfR3Ax8G*|Y> zT8EtgHL?{P?)<cV?<l9Bmh(D$&fykL;X4Zc9>S;CUUdrxKYYWS_~6Im<Ne!bJnZ{u zdanJaLtMa|L!Q3v31?@UpP!_2TtP$NlK#FQPF&)8F$Mn}5B)h4Yn1fQ&}KtwO>UOb z{q<fGR9}BTU3z!6rc9SZK)t{Wqw3z0jF`w>TRM18{b0=vs0WYjE{|DYAz=JaLtcY> z$>m3j8XLa6yL(%2n_t5UdmGRhZy%>G{e0H^{*5y;+2tw}&aPXbE5JL2H$70D<I2YL z`L$tLS5_!a(BKOE_VTj3-H!*%(?tYhtV&)4B-S*A$d(Jg;>zk?U&wc)en!evzc+jD zzqz?tSMlMFe>_e{{wPGJtV~Irpmjy0zSUHJ@0TEHlR4sSJEJ$Hbn+S;n-d||$NMvg zJ08?p`1jC8<owAu^7=LY%J0+7p6xxlY*$vTZq~H#7hZMjS9A#6a?`thV*0xm3uZiF zWV)Bqa&!{wgxx8RGy>Q=ju$ZLuB?CTXrSIbZE?*6US|c(cY<P-V)<9JJ-EAV92ZUC z&i~q&{D?{6+mYJ^f&$)58w(!=&N&}w%8`+Kd0B6-Y@+ldM+>&{k1P}WBn%J93LkA| zon6uX_xJbPZv)i>3=ERII2M)0{dPK8`LM20WMPNE9xokMQ}b6>SErjlcQet`^UJEg zwkETa`xH~f!&?XV<ZLunhppXoaMcdm0(-{=2l!jnwI4?{%(p*WIz_hJKkfJ|`}%#n zK}U048+3Op$Xnd=#C<|;*p3&^_bWe`B=~sp7X?$Z+*?}?JT|WWnHRbJ@rsy>nxSH$ z!7NR!2YOmxFD+bKbZK$v*96%`2YO!ny6sr}eQ*70_3k5_*Gj}P)TLP`1c(*%TdZ8P zWZfo>$6bnMEZ^DmWM0)L2#8J*iP6)Ly!Y+nartP_ac1jd%kP$&fsQkCowX%6gs(>- z!YJ`XN8^)(KI!!D@9tjf^)t{a)LY{CsI1|{go(y!XJ+uMH&tn0;CCnJNSc6p)2fF{ z{t8()FRFL&VV88D&{zF_@AcAy4lV(O3WgITJ-Agg1wvJ(#5;UAQp>utsb_+!>l)v< zBa2%;*>FAD7-N)l>6%T=PS1Gl6USt)K7L#`Vc%S7@2gz5o~%)*7k4UrSIsXwJzs3i z<o$f_BNDu;o{OHl7{+I}zTje^NTY`XX!{@AmjfCTnYrsX)$Y&g|Fg%|vufr(<E%`< z8yj;szwy1J{8s<#{6i96d-!DU=-aQ4(wn>c>og}pxhdb?@=Gthc{FutcK40|CyCPI zK}JHQ(?k4MFepBlBq)61>A`+ahj7Pj8{^kZ>&_0DSY@j1Cc<;PU-jhf{C~0g=6#d* zyt6m>RQL*&>1A&<%j@lb%($}4BEM=;%*B<RU*Fb!e0!<pc-om2)v1s6-=8>to6J-p zRps6PPA{9wo_c#-ZPERPEk|d6l6}H4yJ6Bqj@=1Xv91Te+mp0f<l=rz^Vajo2;B60 zqWQk*)oig^u3YYZC%-Rt=Q}xp(Khw8TJW6Q1HPA56c*pyTD-gF<4yPaXEt3Ys)hfn zpN-yj_UqCRqe<BufB6c&-Knh1zNA!H=_0PVY%N<6&!$NeWt^8=Ycc)o1MMN%Fr_`F zR6RrMe)=?i;fRl?>t^oHS|5`t_0V;at>LG<nKln?D?cCBU-De^`i|iH+fo<v>{`_w zvLYgK+4)G#R^JDac|IpS@-CdNslWe!mRnV9bjZ}OuiEVI6$0%xO`52&|3Fn$U~hxB z8yla@i-r76l|8S7&%Jq~R`<PI#-=Q6-HtEc_X$7bV|zEVtatT|8=Zy1%a+c5w_~IJ zN$>ypTU537{#xSpJl#d>+nZZ@cW+;tS}pf$^Pl_4=WpcptIAF_<25Re{QI<ZW6|Xc z_4c>k3V(YuB|N?&>e{+Ez1`(+4MGL{J&$^YE^%IdI^{=4V9@@=s72Ff?p|6w+bT%a z%umg4?)!Pyx7tRZY}>lpc#lqaoViEb&+uED?mw*Koq6A!+uY-*k?WIXaVve#U%900 zpvD~<V*IN&$Y{}hDOZIeP^Icw{dvMNw_nkYTkE$kTQl*NTAa!xul?tL{nFm~o&Wmj z|J5&bC2L-PD4BjvQKIIU*-cgD^z-v3YEC|O`)^$3L(R#0;Xd#F?%%@N6}A1n%GTG* zgm$QQKRdbhSZYi3iph!|Pky{NG}QV3J8RM$V_T!R>hH!o*Ib|a&StZ>TiU<;t_Rb8 zy|NchdCC9z>B{=&ahr>a*0|Nkde<nO)ezi&^+@lX%l_SOQcK>hsCL}VRn-%~cX#>} z4av?e`FqcW3;vJ=%>`ZBKTj`q*A1ZqtXJp#zmbx@#i;zT;FL?h=W#~WKAdTP@<`@= z@73F6|Hkdw#;fJIc$w~#`}5DAGL!r$XCPqS>+CkK{;RE|LB)#Q_10Y{7p%5Aof$kk z@!BKaplvZ({7c?U5==jk!IkiI&bOH&_gS^Kok(`(D$A-(+i&Y9Y`JZrvR-|NcBzM_ z!AXv<9vUB99d(zRwZD&9d6_YAQANZS>91~}zCHJmz%4rJ-=0p7zx7LN%9Ma$P=#UT zUbW(!JNwC|tonb~m3BU?|Kz<Sr1Sp!Er(^h_uK#8@#pnd@hAH~hk5U1>;3CD-Q=l6 zut(;vIrBgD_7ptOoNPZW@H_ux!}{vbwmb7~*#@8Vc%|xXR~U8gcTOA6E|aZ_%AvZN zI<0T-?7S>CTkFO9>dAu7yWjbGrJb9TshwMO`_xqJT-MP1#q+((#2vUMU%Xxa1hkVw z&G*vrDVD4kR(yLEWBxul$fx&FM#;LRzqD@)EWW-nczMD9&X3mi9xN3B1!u2o9QbxC zd;LwVwM)IGPP(1H-!`^2>f6G}dUq#iu3NSY)cu~q^(|oP`S0@E6&y?c+dn_mA-#LX zrh^lUuklI#y#L2EX#2kZT^nt0UpIfbzFsx;)|;u{j%*S(e$?xdH%0K6<%at8C0TK? z>-PRjtE_yp|Lp}Yw;(~Yt>2!kO#i3$+x*<t^)G)r{uk_F?Q31LVE_C6o(9gVrivU> z!oRC3pZh-jyXM7r>)U-6{D1q!^5lw~pPN!o=cM0R8N7U%bl&M{y4$$*yNzBMr=EPt z!}GA`O8v1V6L@1bl;154pY{3f_Wb#4i*9dBc7Oe8d6h0N=SyA|!;fYm6&)V8x9vRt zh5L)ttFnjPpaQ5fnLo8*ZqV_E)=wXt)e)LH@%#N>?Kc0X2b!JO9BTca_4T2HzK>(J z9y9H_J)`ftdfnQTBd=HY7#U?A^ZHp|zHR&aO$nBJO*9JY&&E5}-=E&|V^@|@@nwg1 z5i+&j;t%R(+STrQb8D;ji->M3pUY-m`;)&kM{Z8*t@XPefB(}v0p9v`xvxwe{R}!( zo?e-2^m*U@gejTnbN2szoBz(e-~QhYlZ*=oCS77?=Q|<nZ=-m7TkhsOg?r2ISjv{a zOm?pP79hPhFQBX@RH=SLvK^nFfJKsEV1Sg_A6vtQ_r|Fo1H(Th|66#>yMMYVXil(} z*T$s%)SCT{*ABaW6PqYuZ1j5e%A)rh7(boq&Og<=ed%8Bhgom#?L2GpXSI5B+a1mN zTFb3#zm@KdIz97p`jgl1gX>ddKTr34{I~MH-q|;IFHASCKl8yUUBviMJ)e5eq^Fat zo<3Ps*Zg#TzW;{gKe=a=KRvWwe~RB;{f0<NK1gHDzc0zkPbTx<pEh-NzsJd-iSgUw zlifD`ds}dV;}uJ{QSYri%@M{*%6`w|q)rwdeww>NR6wL^#)Vq(>x|vY);pbf<ZX6- zfn)O@zbR@ZU#9N-_v`iLTE85Y+WPz#8mIsFufI5lU$rsE?RdsA_ZlwSq=L=`J2o7c z?8}n)sJLof%GFSd?2zJZtiGWy?cVqb)c^aLp6IC9$FAhYFYD!cVS+$uf1P#T!)uFH z%I;XL$pqS7`+R==z2YY)1P%LH#b$b(S?1|!r<W@oI?a3cmkH(eKl68GKVR}~-^coU zDLenH`uFYg?zR~1Zt*h{pU&o+xqH&0cXp8zCo+a_5fAE2|F=Q$@OzK6lqb6-FF%=Q zwSM`0*kXc(e^bB2$4#^U^HI^dO}OFr$?)Q(zQ4~gP8Mt`yZQb1RO=;PTTk#yT*;ZW zroJrVM*wS$XUFDrx0DvOLY-SCOkd63)!%x#?f1*&^LNcHEmYrNJGW5wvEIDh)gc`9 zAC=O5r%BA;|MS`Gy4~}Hl@HD76hEl@@NQA_#@}CFCMWC2JY^8)Q|WvV5EEdsadphj zO`!>GJd#Pf-<###xiN{+!)vBd>LwQcB8NL&>mA=%{NM8;<Fw_4P>Dq?ht9<WPFC=p z;~iI8|Lp9il+xx7aK~r<`R0%pfBhvUrcdei3{HRIa4GWTWzC{jJq5e^ydK1Qw|t+w zC|!K&`UIw!@SR7PRhe8LolK9P82w&C&_J^C$2>(d*YCSk_j|<jR_yn%PI=PkK7W#6 zzs<`$=FXijcjdXQys2j_zIM7-=&GCNudV&*`Ri+5y>jh(Nsa#oS)8xtB+t}Tp5H64 z?r&GszvsuV_Dk>E6nE};^>E|rwZ=iWu1LOITk2-COG!g|=2gd29X$g2MWAk`R)uu8 zF}JO3fo%2vzu$9h+P7P$JwDbu&1FHrk7CU=aeHs+?TfGfTe_^<s!(;ywssHDNqPxy ze!t&;|K01F`tOPoGTcSY3k@zj72nRYjyJ|zW^&&l4ZcPR^H~#=;upVO|9BEt%A7OX z4lZpmIwDi3`_Ro<(1}q|*wa_=1P`b#v}WgDnR&-iB&7Qa*BVbx_mme0?rkdkdw%89 z2eY?q=(c<M;O9YS!_1q@nyVxI=Xp$Ay?gtVSnF+G+vnB#-l~7E`pB?6<M#I4Ns^cM zdjx+u^Lw{J{WtTX=V!ycpRU?ibTpKCNytkhr_X!ZdS}m+vsnCg8K30C%FAMFm*%$X z6&;d!Z~fTMs^&$5&G%EgFV$bj&D@s+Itt9YXqHK~=35^dPamJc-EYIyelIKhJT0?p zo~89Ajs637K0jj@+wOn0{>H0A)mIcM|K9Z!{4RQpYt7F6DUuTf#XLh;-(A|<d*GC9 z^*0XNoidNv1<QN3pJv%)RQ)YS(z<NTeb2f-ACEg-^K&y)yk{+IUFP%a`}_RuM_HS> z+kf!CpY0GLa>sMd`G<$wbs3!_e|$VHzjk%Igz%=uNs}k*dUA>%e%h41vi5iVl^yCK z@&)b(cRp;BK9oAks^7pB)CZS8by&RaP)kUBo#U3v%goQ7;CSPEc<Z59=f!*e&vKpX zRw+Jpy@qV>>t}M8HT3uS{o4JO`M1#1`RDs5zJFe)&CdU3@}X9)+g>Y6ecaAnc*yTD z{oTLXolBnArA(Qc8*P3vQuu>-{O)ysKGruL&uQy1mwZ{9YA?Nh)6?m^W@~qNehw?z zezVW=r_|94_o}{H&ir<C`J{wr|5iT_FWw&Jm^E{w{{Bt-d3I~(W-Z(Nf0d}Wui`et zW!3MwJ|C_*<8kw<j-jNf+NPLZzx-MIXWuh%-D>XJS6>C5s$%)xy`IS-hNE%W1ZJME zEammwM;gAwA2$jLn{%GiW^KgAq+Mr(>eZXP+0EGsMb}1e-!@ZiUrUFMR+IDYvbR~W zZY#J~DBNocI=rX)`@6ZSaRPE2J@(3}H?5~BpFU`GgW(bAc#F!9N5${Hk?^>4q*M6q zte`C~-oJY=N6z_scOIw-v}l$sX#C*fS=O6MTkEs)cf@QyljL?NweO)B|Jz%$&BKq( z?y2xuXTtqtPvz$|+RWd%4b}Z}SoFA=v<v00tPDQxm+<%3S0@8a^VECqoUU+$BqzBQ zWdGQeRq%B|1!#%Cg1x%`yeU;*U)}s(uzkT6f$I}z%+Qdp`OtXwj^g3A!h-V#=Ae@a zkK}J?_*}oM^7AvZ(3v{~-$%)${B@Ys(YP{bsn@gz3l|uu*Ryq=(nz&B-B@epz`8Pi z|GwVxpZ|Wp*WJd#vOW8{-friY-&h4eS+Jgq|DVslN-l6`Zq3bUDtoQXG)@>i`Eh4z zPm27meDT~VX<JXva;uyu-!c2|=JUI4_nhSDwyVGAR-dJR+u}$wkK`kLnWiQtN14v` zyPrNd*u3qJ%}3cQoF9GPFV&EJ*SR)&d(_v~Q%iQJRruaH-o`84v-(rZUmkI`Zc`P8 z#oss|7sk9Q`11Pt`DGu3B2FuvWAbNMQC?_rsl`&x(Bxstk&O#_0>1DVv0dA-=!!_A zgFwN_j-AaPKJXu}pX=gi_HM;IiSNx{UfzjV<rWb6qhM#6m)oXt)o-(mKE&%ssGsM1 zKO?<-#m(7{Uvgy66n(hZJZWC1lE6GrQ_rB7KS;qbi1luo{(aroWiqByz5a%q=)U-o zQufcwZPWL+Gd33NHCY$xSG8r`yuGreuS+;IBroc>DhccaX&0$q^HIRj@1c}w@UlO9 zk1mUdsO?-G6ZSBGrK#z_yuY4C)i3owosr$2ZpHf4fi)#SRhjjP)9Xw(RZz3U)XfGo z&{gMWI`MtD>WN3Czn8}I=e}Hbzx{#2ho{g3?k*{rS+Hv)EY_0LOc0OpH(v$vd*_#L z7o=u)<ZlhBXSp|b+cmcx+w<ktPtahh>^d;%>h0D^H_GEC?_<uHC%1xucUj63hS(h2 zt1CbYpVd^)I!C`=vSi5$>yvZlUFZyc{$ywWbkFD0wk|FEdga=?EYJqI1z&zQO^r_d zGQliJX<0#D`{lp&&JHI#>O@5v!Ds)dmEU!K&ro#ZYMpz1!rgC&jsDH%Eh^ov7PPbG zme`H1$x0Jqk%w`;9{>1s^KR_+^DK=8O3Jsl=Znue8Tcv|w2^5OXr;T_(yPu>ZMdFf zY~8cvz}bjR&eL*jG_J>7bLkT2uHF4^jsD_1K~9c2sE4<8wP?CukvUx7*%R=%B`$tS zS-)FzPN07)Q=zKYloJ;<gCwWz3Y)SmJecLu1VJh3zn@O)Z#y_KDvD*9?j5}qD&ghX zt5kP?Jv}j(<=l)g=F89j=3JeSZFMxgy8f<WHp`!h6V>NcI6Zp&IQQX(04e)5CC^K% zHU(ViS+%<4-O^v$dWBy5szHa6)&D7~*70Duy6b-B^SLF?fnR#~_JQ^>w%*bB<R)ky zzt}4K=BCukA=A&k-Ba@agsTFt<iq*X7Nu{^dKo)=zxOZj?9Rg(F?Y9iYKqq;K8Y)x zTj?QaQU5P|rd|EoE1kN^e|KNwd%2PO(!rXO9IQO+_kN3tez*m6kXZAfmxa#t$+lV( z7frZReBQP!a9NYKX#7i_Gw*Y+t_pQFTffIfAf@@iKk(H3hTq@bZk`%$UG_#JWDjWT z*W3Nh`3fDK+XG{#-3rUSz3uGjl`kU%yBwszODArNH@cht=cuvE-<;#?F)dE^mG?@a zqatdq3c)Os{O3%Y*=_DMH*4wB`d_DCX+=4@9GKn{uq@%nhlkguI@F8TvlTA*&U0JF zzV43M32E?o3V-8f)!*G!YLt0NW#Ju5#(!UCF7)rcoX{s{@nuFLkJrw}uhjmX(=_c@ za^n2nv+LKTBYcOP4%;3F9qyKSVL{`C!XF13nVSwBz5&|Q{r>XH?Rj^1mC5a^-&@qg zvC-OO>6gH=Stg#ddB1^9_&Rr7bLRX>lahYFSg^n(=f;J*@@LlVerL6M-IL>goEs;~ zDOYnWQB>X#x$ln6s??qX*SpJ4K+aKP*6msrKZQqd!3s&2CB>T~Ufy=RdMu$n6ny4b zKvkyI^Ya0Ia<yLqEo**ku=#XCx&E5%+1Nh|o!fJot#Y7e8|?lNSNHSjwWCgj9v$qb z7-B0T8#3$M#S=s%>=tjl_xb$tvVJwQrhVoU<i340o%Q+S?9)5?F5aB}&-hE(K~<yD zS0TF(^vhTVMQzDQwEq97Dk;xoIrmn<Io|(e->X%NoJnz9sQl6Ryp1ww)o*?1vJ4N8 z%}(#%9aysU{CxZSNvoD|G&o2Z9TIP@Kj&~ONWA37wER2yn{uwr)>>P-H1O?-DN)?N zOnILDTz`Mp^^owpI@`Zxs;rd`;d{2Q<jsB12@Webw`O18_D-;#EmNUFXp)1lb<W#c zTc^!%U<h#f(OC1i*L+P<)7e?3r|+G;T+hItTyJ%y==pn=BG7KWFxDL(k4e8Z6Odqv z1huyvbvlzZSU^XP8H)84HE_3ET6giqHfo2j%bER*SJKEuuHpe>=A9iIRhcJ<OqsQY z2i)9V_~3%(jZCo_S1o4Np0j*&fB$_U)oX&!Ztblu_nu`T-nVoaM@ox>l#x+F{Q-vG ztd9b9vsudCS?kHy?v2Yj8aAO%_tEBij@vZ^ICOqx?tbH8qjLC{*`!&aUg90aeC?CJ zs41_I>)YjE=wAQqi1vmP*2nHzb!)9U_2S}URWma)-zvQ<b}p`W^6%d5{Cs@xrDeX- z)-1=5{&n~smA{>3zHan3pBFuR6D!_qJg!sExIoz8<^CO&T%uYj#~TtH+GGwjA7XGk zq<i?rj(P>J{QZBog~~8(RCe!+2zrv;$}L{j8KLkvWgD;FQqW%SbAs1}EEaM!zKqV_ zJN5R%(*GLn3cQk%RwXOGytwFG5yH*G$hElEta`GFjL$^BWfrr(ZQ|tFo^gk3`x&R; zGdkPqlRPEwES@K3!u`?po0{?pfjwyxzlX14xO5<2_6fuG_T)Wj509xfRWmMXTzzC? zBQtxH65~$=J{H!5V?C1Vb~il+jl63y{=3qae7w)||G&Sve>fgLI;8mT@zwD7(*DM- zpp`+Y*7Y$SGLt`MBz1K;_i%RcY}A}ErND4XX^i*V`rF&L2RnUje6jF>MWpLPqwu-z zKNKgl^?dMek*U02`@QwP&*2(3?`v-->-!XBZ>|bmTD$p&!Thy;`s)QFHl^)eb8|~L zTghtex4!!&w_Z>NA3jhpze4}hJ!7Y<2_I$b>-NmH_0Z<YN`H{1*tR(R{Jb#L!X^{n zsae(6`?CV~)K?Tn?kHHOs;wc~_wmo}_xm<)EdSRdAuJ;ONGoc4UT$*8w>LMH{cXQa z*==dwd}Kw*+gqW}&df}{${He&?|ilK3d^IQ_74sTe<VMe+}kK)xi?FI|AUiY(WYd( z|9^^S3m7IIVp$tIH8_F$LS5zwj-LH7JBz0M1f2`_SpD3ICzkcIQ{O)nzI0AtQq<L; zzZb9`tmeA-d&=QIXTXOL>@eLE8rP(|_bOM+C6+mm6Ua79Z~~n|V3jx5sx;>gM;2$^ zWYDanQB$CQ^A3SWh8{OnU$dF>y_4LO7~ptgn{zv#**fM;&W0vUu_x;{D0Z_vefIbJ z{q2t1Shfr8Kge|M^|Q0HZ#zU8H7dyW1**>Gnd)!%(`8l2$yq-ZEtq3ddFlPt)e#$$ zW+_~`6O$Ao(3II(-pKK2*1~BXyUX4d8LxR4?I7Uc?3VCa-EYo@HL<$SIdn|5PF`P@ zm3_2GbxG=Csi2oiy2|s5O%4~IXRddjlzQ}6Y|)DsoG-Iit=txDs&Zd{3d-4IH@0L> z-t*;>x7pfhKiER}J35^se#jI#I%s}%_`!3OHK@s`X<>_lnuXX#1r4nb^`~upSCY2f z-H_P)H&)d{4AMljuKl&8lv6OqOSZ4>@2{I8aa}DNJ2oE>W~hG^E5rL;;GMuTAwM@6 z?iRjDY}aD=^Toq0&W1i0ssk1C9+Ungr>W$&-T!j9+HLc+x0CXxznjn|9zHFsZORm# zb>(Lt)*jt7FZR?)<4s9-^=@vc-5+yr-Lx-R!OE}amUK^00H01!bf`+eRiO(UFh4@h zJPy>&7qBROHmAO3bIS5Fu^UedOnRlZY{@J!@6*y5&Q@GUPhZRNGRZoT_ww4Nww2OB z!AV_N$L~&vYti9bmZCCeUE4WV!4q;!nI||{>sFYYSR8dvso=p2&zYN(`gNi<yU)6^ zQpxvKSnzk>drmS_E*2bLb9atL_VzcEy=Ncw{2o>qv@&Lu%X+amj(QDA&h@VsZVqJG z)a)Q-<g`I_Wv*XCSQ*=;TxREnkO!6aZzr;@7GC1j8gyxgpDAw%FVC(Cd9DescIkF* zdLOW<Bu`HJu3qQmkh>G&8sEtKob>o+c-dB@vA|J*S8`#Cp=z(Vew>Wn=k8aPIx&+o zI#1p#-tV<HYU)zQwO&EXLVnd3T@2)Pl9+pE<CEY`g~u6hZb{xRqFJ-BBwJ8@?yPX` z#geZ=jKGaYdr;#MWT=#a;8U0S)vbJuYdJkPmHf4fO!PVvzB(f6)fFFAHSxF##}{gW z4*g$Vub2CIRA9ncYkrSM2a_+Inv$1)eMQ%mKHX>s!OlCE!;FM>UiYg{Uk8fgE%nQ! z6jw%O{cL8i%~w#HT`oWM)>Ul@algvW{@os3q8AfR?%AcZ{QSJhZ>#%eOnTd%`ud%7 z(Do|J%xn%1>9>lL!v&t7ws`2`=J9^%Oqr$ku0l@Ly);3v=pN|MrfR(vPxY}KW>$}U zn%P`Dr<pz7zqh8Wf8p)t`b-a9+%)psX0mwge!es?Z{93b5f|=ht&b!c&&|DOFS22A zL5v?ykVk0jsh8HhJjF(R?}TeWjaa_ptkxAD7R2p%kSzZ}@Z8-0q1W=P>vK9!?#gXn zQsEQ$_?)KlRjsKLBR+?(YSCM^FJV&G?1Bd~R6eEO(O37MJJIc<=#t90T$i3a`V_R| zLM!9r_0n!^O#e=B%&mTm+RnXT(f5Sm*Xe-3sgKg8)SO=zC^}7V|BlPesS7RK6pG8W z?gT~XE$-W2637-_?{&U;_4<iDj?+_jX{~NGXL@;pBUbCB-pR#L6-pbD-y7cCqwZ<; z)^E~VwR<YdA`+dwPI-ws2Pmks23k7)Y^Zr-d_?)7!=yP@wSJF$@3`-MmAW)9CUUV( z>fJP3oAukyX$63GHBOD#xp~<k&D8tOsh}0gPj4OXlg-wi81!#$eO-JA>ZxO0re}Yz zU}inY)dmjI*?Ie?9RGg(<MgccUqnHdw!dBe?U;1_57}u>^QPR~|9ahSCfhZ6)BQP? zC@W7fS$4*tKWkzmgKYEmH<no^Pi}JwY1q82&9gGNZ(q{RNkIqye2iF9kk$RkW83EE z=T0A7T(7x|r@BkVCP{9x-;Coai^W7I{>Vy=`6L(}=>5U{Q|Y;rcWO^^bpQMC@bFrf z_wU!uOm>iY(Q?}Cc)$F1?%OK@7pv&$otw*Ko4#WI5vCbarlkBnbAjW0rh)rpi>fmQ zY)kLFWIa50&t-@H)(LH8pw;)sT{b?HSRpWF#qp)imu-*NzsSA3z>#_9+ikbsM3?OQ zs+-^MJ$Hvl&eKy<%Vh2deOb6NboI2}@XVW=mj0dNIy>1&z3NNCpL3Vr^#3z&lu=f{ zu44ZC!v6M4f4&HO+BVm^JnH-P21aI<LqWUC-ttUc-!5NwLo2{<^Wi&Z+`secfnwL^ z@6+k==fslv--(odc~h@6A?bw2p+=FL#RidO@BPjCH78YQ96jQ8#N*~A!=PO$JySN; zAI;G+Jm1|KXnOJTvaGiorvy8#db@GT<!>K<bOui<`7=ev^!(~j4b6?B-d??@ma3ea z(09X2b?FjC<t$cd#(1McN!I!IY;KpFo4;_*f&dx2nh3edCxW-<x9RO_uji9363^gr z=#w@-r<YOk)3*HG992;P{&zcG9`g2Ke#M?D7?ALz$B6UG*2Im=?RmMg7dq{~&*NaD zAgrOrZ~JA!+swzlVK3fz|CfA!PjBYYg&Qo4?RdXdxR^FnG%$Yt^I~y-%HLmK%l!Im ze?FO9rnvlnZ}P#e(vv66CkqLiXsxLaSsB!Jo&RaK-suvDZ|M_EJLWhaC^F)|t8M~H zQ70Od-Fh|@Er=>nWKgu6QF3Ypr}|sv`u3m3dVSny?+P7JS(YKFnRmzT=o#gA^JgUO z`S*5bWq-+Ir)POf0~X$wJDdG3ZqD`RXJa3MPG`&eh;cewJ^Jx%Vx8SS6Y^S(41+eV z`Kc|gEP6_8`*}sBtgZ%oP)_n<=+^Ch`A2fe!}$NdriZ%04;W731vT!Eur?$$2`+Zv zVPathwd;i@KVb3LWN~Td^uB$`$9gt$b$xF-Uw3C?`MWzekM#*KH`QA=)*48CHcXFq zW#4r8>>~5b!gJl<L5=$D3*}TeKONaqAlfTqc}efajxL$UH_n{D-8%KA;ig~LYJWbR zo+%|*mG2+J9y{gprGUu+bB%)cefm^k6;>eV;qI2V?`PU+mC6up3l5d}HrnnzpC%N2 znzLZd2dke)^824zz7MqhwuiHRnQgiUtK(Li_JSMFpM7lqzgJ&&{hqrMrgG;rX!N(9 zQ9jT8|J$AlpG3{nke;BU^}n?z{_5BixTzx1*(vEwwyCSaZqVk^<;D81njWwEAj>bh zF!%Ph%nqha_D2|86TiH;XjXR4+EzT3k(Kp3|3}d~GZz<a$-I1w?|dPr-qLE_`X^1S z|5q-b=Vi>^!L)zlktq+<l4|bQ^c8{nao%k*hYs&}bC_4j*QYl2g^CQ1j77jQ|M_{= z|E+F6mU5c7GH&m#-cZMnWp_k1ES=l=N=4_b=@UG$)x*<s<4n7MJZI+QooMV4Jz*BJ zcxUnRGzop76B4_8e<)1o>-oTcYfEOZcK!F2noKtx88oNJC!2zfNBW?qykz;OS+4ss zE`GVY>F2y}FD@QVOA3fSX&8rg9vS$=WO>knnY?+D#%Vk982Y+5ow;kp!sO&2u&kWt z6lhJ6r^7@?-vr06@22#fX1&hxxt!-{n|$^$-5879vkNVYpVgmGpI?)7*RxMIYRidT zDa(9jCQTLF)H!4MW_>-G7>WG-f6E+>pXh%*^Qz%z?O?6dl`o%LIxEOZDi(A-(EhU^ ztIMHGAi!vn?3R+-d)yAp?kTw513r1!PHq)j9#4`s$G0Ow!os<}Tz8O89xM3rB5-xp zf+!LFxcWQCnjOOCID{U1@U#4WZ8~#|qH>R8g629Qo~I2zgzs?Q-Ce%jP^<den~kqJ zlQqP0E^o~a|8DES@#J898fURfsNz2s=Y>0dzuUbue0^NlUj=KSCT7FJo&}-b4sLxM zk#_vVtEk*tTTV_=tv|MNk;2oZmNSE99_@be^kd1I2g(!r>U%&<TPHsoqs6n7Cdjf( z=}BSNy=%5!@PnH29UsBP2a?`M-1pB9XN;6O;w|9LsQAE~|ItGMW}{e+1y9_Cwz6#J z>}tO3ab;!m$1}#~J*Ml$wycr9!g0s=&XvS7oS`iWp-jm?=Tt6Sk)UC|<dsm0_X16= zQ=nn#?DvP#?$`aUUmI$tpsaJ`%=N&W0?CKp9M>+K%HHKYRV(z(#sDXU$T`X^oZ|dm zTwE7)x8He`20eFd>!O5Z`P=$8^EdALcV*?8V_&{oZp@ftKQl-`k3(w5)2-YZ>cy99 zi`pHmjF^JeyJotZx+++6Xh@#qi`tbNr~F`opnBYq@<Nxn4)se5dUjXl?c-=sS;ly^ z+4XkhjURJZWzF5Mt_ppduAw(UXo?_@DvQy<jS(*bLp;_Wo!b2I&(7!bgzuQkBwtwI zXtwXLp;%#zllOGJtsmu%%$OkO)8oFo?CqVm0U-g2H~Ly-Lt7o9m+NsXX|4>eZ)u3w zRJdnz`3&WmjtR~Wbe;#-FY%aY0y=W+$=A|TXDcS0VdWNEBKc_3X+2?%g;6QW6Z(1- zo*rDYS9R|RJJ-3jD+B_JgtV4?wfHB%vApQR!d*_F!8$G2p<^EIZV7WYRK<UjJgL62 zn4gyka<1=Dd(al+0C5#X#e=2XFUy?;J3?44O%$x@`&<9}`*zl%E1*M!7o@0IXT022 z2|ht=M^*uE<?gyqQsJ`xf*h=%iu2&=A9j@?!7Q7a8zxPBvta4%XW*U7PfXja<|$2x z2UQm=7J?j0zbbutJ;~)=;nW_NcU!yLW@<}zwyj?AJRks6Dl#leI@)FC+5?J_0G25| z^(<Xe^2B*q_H?(fCfCRY3kI+>bu+vb)idzwh_;$+Q@JT~P8{b!+dX}9x*Qskozt{e zK3@nv&+DJUgtndu?S6H({osA~8j_qMns@yK13*WN9gqigO^(*TF!E%SGj&w}Sp~9b zIY?`R(gan>h5AfuS5=182eUA_I-K-a;PJ8ye2|s~Q{<$H_J3dc2kon=d>H5}$RWb0 zs@$lw^tLACaI+Low-?tp)c>!$z1<PJtgmtTyO`UH-LT4LWdFUDz5eF6YY<1*BfDC` z+h|kKQ?H$Wzuj(y1rVC6xl>+WTdQhl7<e&=g~`p~q{o4Pmu-#?4%!>o7(--(1UVF$ zUTSUl_v`igpdAH?mi7PkY&ft&lc^DOB(uPjlGzE03-)F<wXW$~&A}qixPNbbrbW)x zRiRIp&#&7A3P%Od5z8#9chw?546#jC>y!j)Sd3JZr^gg^o?QkG5Ef8?ELd`zg&R5^ zK0WjDvXg(m-<QAeQZHhI1Lzo`ko{r7EL=)Vl9MKCuiN1ySN9_ki(dJf`VWmcH#fQd z`*nRkTgfhdyB`ZmUSIQN<B^!~^4k}l=#5FOdw##$9kk3xa{ujjx3+p8>yy=99kzB- z@$+*xx5<6KTRwmH+exb4OCaa=h+nu<qU@?5!ja<P*2X8RWn1+{K<+AN!X>~+h{J1_ z?*`DW2hdq!o&EjOt>5o4u8(N{YOQC#X3Lcw58I^QJolciH?{u%@B5o7Kc{&MWL;a6 zc{{h{)s>U`_I)~~{q(H){VAZ6^{xEBIXcd?C|q>p$dM(tr&a7%bZ+xF-Y5Guzx?7N z*W5SpCnu@C-L~9s?xcOSzd;AJr97V?e@y0Bue7>x`nfH|uWxQ%-Z1@``lgHZZ+^lS z6FfV9m1BN#nupsKm6{(hOFU+FPMYYkGw7nFf4tG7j}=dDWv`zK+R1onRp{yob4|E) zxb0rK?5+B`#;NGM?e`l+oUyw~CUWcV2`GDWBhgdHurmAlx~cB+wIQGy+y3+!(Bg3M zf_j&hzhb&kDj-j$etJ@WvhH})ww#$ZpU)T@mA<+%;o-wtg=haRIP*s`9eXr+&K#Y7 zn@=5kJ{;n{v@Ujc&CJPiCN=^mCiMJyEdPJP-QDHfXo=>>q>2CU->YnJ4^7MeqoE+M zY6`=`?`jIJ4lg^pN<#wz93r@{J?+<C)WH-cQV_}#ST7<S#NsH(z4!2?iT75kY!MLh zXb8CT|8MP^vwmvEGpEcvIi+}B`n;28?tH3!KljY{d*8Rz{{D6nw5VqP-*2;@oSl9B zX=bEMXK(Us<MeYLZ*Of~TL1sw${2~;+j1vs1}{6Y%y%}Z*xTX$BX&*9&P|qTSuCtd zmdF16{e70HTj*o`>-GDkoEnsxPP0sUa7xW@j>hBT{nMLRxxHdy=3H43=p0azWA0T{ zw5jCHjf)cmdgR~!ez#ly>cVX~H<ixYeD;}jc)EUkoSM&!1P;NuH|DO*y}hk%&X3ay zo|l*Vo9C%TuXTL;^1{Mqn=cof|4FsYQ)dt?@OJyb-njDfnq?d*ER6N4%94(r+dqmj zolAMrE?+m{Xt((3<MQ=yK26b3*ANn5cz3Q_Tt83D^Ns>&2K8q9QQ_%K+|Sl-znAsy z#qN@qNgeF3SiFvEuiv9|BvasfBh%sZBdG%S8$tIheER$SzVxNXUKcBVKArBH9CU)| z`0`(-OGWS1d_EiUc-vjeZI2$+X9Z~(2#A+AZm9kB#c-qMT&vPgS5phBW9}FqIRcu{ zObA@&Be}R^fyCX?>#=f=Q~%f{*e;9*`Qq96`TC&YVV#H#2i7xRn#`)t<mJ}%{Z{Lt z6LU{;ymFeV6?!J=`6&fnk;tUPJ>PC+pH<u8qoB4q?W_^#E|A4;y+&P}^=A3^Y>Lyt zNBV&B-p1tPUM?;zmH8(oC<d(#(|vhs>*`A0PK60mD+I4_Cwb;H3v3iv>=%$B6uqxz zr=?w2+v0^69z06OS$D@$!$d{K;NC3L>}4~Jj&Ob#JnmL|vd7Tx+2koxrlgtQ(Qanr z{ZwI5AZ3;_W2X2izT^a*Bd6;N)^^Mf<c?>#Gil;n>vE}j?eke(4sM_}o#2!b>3GEl z9&Qmf@Av)ATdW6~iFvB2$Yy@0z*+12(MhV_Jw}bIj1mqobar)lO`X?$tFfu+ce{0M zo$~$4=dziXmULROKX;v)aItlQh15qW`MMtqudEDqhaLB`b*k95`uT>*ZD;1$TDN|< zaoizRQSHg%e!C(|`542!)!)s2FIr<E4L<c}(()T3&ueFf=j5z8{rTDX`S*9su#wCX z&eJ;~b$*4*j;$xYD7GCfyIo<`S0^`%V~47;!GjYgJf{0tm@K>ynF=||BjEdaKBm4D z@FgF6Ql{*Pia9A(-y&m@aY5l}a@>5Y(o-yZi;nYMELgMk%Fg0+XB7qcBaIt&Z2ob3 zrox=>JD<-pesbt)Q~j?mnTxpu><<+dKAaGmq^--t;yP=G$a5*xdC-G?md~$yC3Nil zzTfA*NEeImo+SImc$5A{i_q0!rq_>}CFLtvK3F4m59G)Lhw5b+PI+_+sUDJ6ox9hY zWy0pm+y%@0eEt4@c&W;5&mwb@;}lySW4RU+=pql6zOXeB7aLsl*TwCvnrU4gl<aBg zs=6|I`?{Ytc6Rqx$nEe9U@lm`p~HzO=W%|_op=BA3#Kl(;b2j4+My~SJ|HTm`olqX z-i4WWca^T{_E_~!xxd~f>Dbd#7U}C^cKW>O(oSCUXWHU<Dc1^=C(e75)5;}kRM;x% zc{(*FA#;BH_jh+cT?zJ|IYZ`7X4b=Ahg~Tx)@+|HZsp3ZsQ0{c*3Qc6mWY2*dP;I; zqUzswKe!p)jhq5BO|4~Kt87fLS|xhrvBHBXI(++1?+A;~Vdt02Y4b6t*C_w=$79j7 z1#u758haAl`{hgvMRcw#c_VbWQJVexnFEc?tfDQspes41@SWg0dV!-%^{|@%JR7wM zB1>7z1>3wFCaC9iFLLc(*3@@&PDtW0*BXxB)>7Rz$BV;POiBnm*7BP(sr20)%i=vf z5}(z-9lcq=e)FT*ygufQ&NCJ3>e+Y{+$UH!HD48JEID~%Zuz}Trgarz!7MR~2j;3o zhNmdpXj|kKAlKNK)zz?HV8h7{S^YzYUT5g+d3>zbyRzH*^TWgKv$urr+pN<gAUu1+ z2g@f-vlOl{KQtB*_uxFm(I)ii>Gb$nuJa-UL`1y~IvaGbC*GE|E<15^bNbmuch}TM z8Vd(>J26^<j{ffTK5lpKP%HP@j{!MzdRymwl(8;5vqHY_<z4|+4~~@Mv4)$S+xccn zNF6&G@#Fr}|5xJKE<XA*!R#6Tyd!s3Xfi2G(35;Pf3`Jy?%B2RV&xn=g55(zFTU^D zFUYZ^=)=Ex)-J5B>dU)k{;b|tweNznLaY%}efQpihfcF<zF%l|OrIjlB6C$R)OAzy zl6SLZSq@F?d0=<&uS<dUss7y+6W=^o^;?VSpMyfIQPUImRnp*s0;K%Q?n%NNEy|LL z9W|eyomJc2ZZWfG$&F`6wq7jOVwxx5F}>%^OylD}LOgAQgIO*)H@v9lvVX{5K0Rs$ zhgLn)J{JX<lO6gWuOw?T{c}->HF8=Yc=6`#?fKuI&-fDK*wG+sy=aw(K-?Yy$xV|c z3hbO<)w=<#ti@vG>~n!H6FWmX{H;FTakVUcwnt^|ZWYhx`dsTLS47m$x2%5pe)Gxc zPcA*(zosqrzv21mYrZ)B+n4ii-={g+*RM|c{c3gnnc{UZ;gR*x>)-BQ`@Cb%&ZQ3j zY=ShD`bAEp+pgKq9o4U0AD!9M`f29%tS*N%!2qL4kw;3ZT@?yI{xa|>x~q9}_QjY_ z2WHOdJ0jVrtUg)A?BSj{J{IbQ%g=k~?D@K3-p|{Q_=A=t*qxki-v4Afhx5MdPagNO zi=yxPRn9$LU-kb~b-1&MP7~urgY(z2gB0IhpZ?!FU`2C*WK)u`*z2GAufP7}7Js_O zHS3(}=bDRL6ZWO-1v#x!U-9Ffh}oVS5B*%S<Euub$^EJOyPr%Ka{c5v^AYQpLt0FF zf*htsn{sX%Sr$HWnZ>vF+Y?W{1!e5K-#BE#gISs+8T(UO>YLJ8Htc%+Uf|4R{;L}o zryZH&G3mt(m(FKDT^1dQa9Og#)hs}cEAl+6@+Z%SOqEMFd@X;-^poc!hluyK6DMCT z`CN2MNp1P$Nvaor{qFhdu(Nafz2*s1v?BvfP18|G^%j|;@mey~Rd<iz2UW?1^G?h# zOuqEC)OmT_l$3nYU&_X9^+{P%7L=#f|M}Q{a?wJT8aJ&4ZQr*$r^~<Ks8CV<ey@7{ z%A^+&3F;TrMe`naZ}d2R?*+@}8{);cik8PrIg!on^>LA4&>9cM*E<`gY>=xgdd^jq zp%=F+N7Kr)=u*`Y)d(;DKarM&S{IA{Kbd$c&~-`FO{Ji#RW4HlSXE!>m#NjOKj`WS zs6T9ZcPihWlG|r{YX5vZzARc_w(#wz4}HIb<L3442w77WUXt_o*Vknmgl+TVs$MQF z@%I-r-hFu8ZmpFeg-aJiS$r#9_)B*c=n6I;Ho?ZvQFDxq@4q;3`<k+3V)Lh`r@3q0 zt}rn-HoN<umg}x~V>d^EJ!#Fm>C?DX>sO@+YKAV_@HHl)OKew=tkE2ue`hScd98Gh zC;3O8=R77Fa#BhC>giZT4N-4#K6Q&jMeAN_Dwp*5`uUkn4cwe%!e4qla$4V>DXrz< zB|Ou){-)0|%hlRl_O|G@@o~A^*Vab+3frxc-u-%mca*+p?8{VPKR4E>b*5MIc9*_Z z+fl!H-;YP!KVL4NZ?e_0V?T%7NsiuS8q;~WLr+VxaERUAWq+<}&J^9>eqJvBve#Ju zKcx9&ae~XeIg$|!^G!eIY*JKr*DWeKQe{{Duj$I#n3#2|gYH!6r8Q+;b>eRPa)N{R zS;USxzqdW8OFXzdoU5g=F6PSX4arY3^8ID`*Tn8Ft6#Qp?&6QPJ<hak-kN*++63X- z8En(_<Lwr&3b<5zYKrE{vc?x5?k#fd_L*5RWty07)QJlVorAYIKLuTRopW>3Q58{v z=|`1f7Q69o=6x$35I=)^{$-Q?I+JbPb8pytoh_E>J0BBY{dQ}JZNY)&;v+|nM0`xD z^bOv(ulDz{9!8~lhgWvTJ$!to1TFQN8D~6IJN(=2>7B2g<K=!wHOOiSEoFVdy+mvd zC@~t;{+sy0d(}_=#veygoNdga`(@c5ud)8UC`fVDj1ZmT+z2iCo33(CpFEftT%@7q z$0yf2Jxa9O)3$qtgI||eh|%=e8s^0M@{}X*wt2_ToTTc#OiHW%lO0Pd-@f#7a}2xf zxo2(8W>1~bR{k!e!>;z1$857)A5E5Y<+pQ#-s(L118UPQUv;<TbR+A2pP5F>IOSw> zR@V8hpRE_WYl%Rw=VY~+dfNqBcI>d&towxVY0JHUInt~gNe=6!S8aB4bDMSEJolE$ z-(O#i^^`m$yDd*~Em`Sa-!Jz}Mmv0+$=$ZhPwveQ1<t;Y@^2s5QTO*3TYL7poyYF1 z5DI_cxA)_>?@UU^7P($eJ|VZa_C@~TJp~hgP8O-G&U;vA)1T6!Zx|o<`<=oa#?N2> zTz_k$m%UAXbBw{qptUuHn(@14glJW-eR5XVf^pftV+XDu_up6H$>$P%<cUZ9oHw>L zmx`W>OqI@>KIxdLa&2vv?LL0N0(Z9zyW_l~Yv0NCT4z1t0UziSWPf`x$L%(zgLBQf zx2~?3*e$NV>`3_yt=7ktJ0>sJjM-cDwI|83*ow;wyk(dvUXig(L2F}G8GC{UQ{$>T zKb=E1NE)Zj;5(!tFOlpfndn$nZ+7hXBHo!z4L97r-}9QPwe*XeGUzy;!~FIol~Xeu zZtSbA{%pC*D=qi@JlmBaV#oi!yfb^^4i}MMkB|4CzFU4j_fAAfoZH5x2Nw%2%$3&N zoP71|-~I-7qeFL@{;%*T%G&3cFkkfh##>4XuXUAzjuq_>Ssf6R6{3@QDdTEQH$(l) zW7hohChTqRJ3aA+@ud?=D!#f&K}WmH1G)8B?o1TC(sX*dzI5t=i(%{Ia>YJpUS76z zPp@>d-rl}<Gv->C&wBS1)Su{T+FW?}*r6Z4-|t@@$icB;j(L8Z*8!~~ZAXQt^XTyz zB`L7pum8VSM6X759Vci<akq9Cvlg#Q8*BY_Gx(Nb8QGI<dvy&(S8!-J3knJz>ut8? z5NeA`7M8i=tl_Xia{{x7Kzj2BgKz6%cT1_N8F$;~ZD?s>nI5n8tS|b+<cE2W_mo7a zy`4EFZNuVR&YON&2fIN5apgzRCw_P7T+{c88)`laMt*YN60;@AX;pZK2}?_TNc7e@ zjtwD69Vh2CA76WB@#>{tzossA-K$-GCabZ(!P@8$lUoeC%7Kd=+c(5&eEa=JVUfa( zcY^oY*XTrSaB%CFdn?oeYHA+|*z^D2@0E7#6#`p!ReyhH@_V7v0<R4_f?rnM*pR4s z8+4e@p5umAB`*@r`HBg&IW-zNtmgY&Z+n9INK5Wq-X_z;LoAPX9qed#yy;N8GHh+s zs<VnbbL#*6_{e4)o~QZjaD{@thM!sIr{m@<<x+Y&Oy!0j66dV&<+u6JVBfaj`3FHJ zNhRf&iWKjEo>w=uAGrB>ddfyuhiSQ86N8R&d(B;y>Lb$;m7wTQb8_2W_a`?_x@1P$ zd)42HIHIC7f7dCd*IG;EdbN#`j(0z(E7ZHw@yOv!%Ud~z$OE#6^XKk8QOs6;s;jmv zDBF9AhT`7p?|!BVQ$&o?JJbtJ#QZwgPPOe_A<U8`{ZSyrz-iIBdA8cJ)@3GJ=e@bX z+vKge{lG`gpsja(HCR^Yo?}Z?ICdo1YUAU&3HluMox9am3Md_8znOJ+*Vg0fS(h-H zw*IuZ?Q4EFrK!zU^0-1A+nc-{57{<bx)gqTa<VN)@bDtBJsc?=Jq6Ply0@twd@wif zT<;&3KbDa@?4rVQ`ljs)_4d4*mAYg}D7TC52EhewC$HJMr@X$GTok-_)1`HRk*l?G zek}E4{}#H-$g1Am=upzhM_VgCCP7a0X_L+Hl;v-doA~Xm>pZy_mPwD~5+`ow{o2%& zcBJRYxw+Q8tJ%W&H!AFiR<M75Zm#iGHx8cG8#g{`eES$+%8{}3vff7J9A_I2m!=#1 z=bRsV?#^S|zgy=<$~OW1gWpyNYe+~Ksw%tncvQZvSvy1gUA?%6U>C=_Wz6h+GjybO zw{hl4cWpJy>)?JT{XxnorNc5NCudF0ogEihRzI3`@Gnavlf8(X|FNwKC$3F>Xmx7E zZg$a@9WqS))AeGr&iP+3)V!Q^u-BpO1jo*wu3aLA|8uftIyVG8-y9}8$@TUBDaT4r zoh%jOyclxlOriuw^kl92J(b@DBlrK^uq8Jn&dO|c!qns8OH~Bc_1dzz8y%9}`#ILO z@kdVcP3|podk<A>aPM%Rb5~dA$dL>IYbV!`=U?tQxARF}Ke(^<ch9d&tHbr3y%c!P z-7b=QB-`=UAuwU$vISCF>~3y+??g5^oe(;hW6tNRQ8-n&r%TD<q1g#Rm-@DzIgZV2 zJNL>=m{a)pm?Z2tpBtI`1++NC4P{eg9IZMgZ;Uk%5Dby=;7MV-#N>IZL+jDm_Z$zI zG?dSXD;@osvL@?bKgiSZRb9UnzOPJ`X{^ltKgDu2zt>u?iI-ORRjwACbE-qqUEg54 zk5&0WC6)S9eSeQXy|zrWeyQ{1PmPur3}mM2pFY^GIL9j0^}u>i@;b0;;_C@ES8bFx zRNXfGS$ownxNmRZ+fT3hKJr9f$Zh<c*6iw~;a*?8x$Dvvzo_`y3%*(>*w>_}oX}su zXTL`9gn*=@+!1y62UBKMvz(i=SK+ew`>j$}R6Q?OO*Jtv<=LmhvTNO~ntF#*QD=W! zZC$I?suc3~)XLNAQdE{txc*s->887ab4ttQ2i8Z-y)I0Sied?i%sTPqX!Vk~ua_>J zQBul!*vin7^7-B3qWalcmwZAe$?W{$<yOQ}X1h}9fhdcSkDHWvUXIe5w^Ov%&#`6U zb6WN8J9n9P&(gLn84<3h+_E;VN!Yojo`pYdU(HFb6QBEL3D(*;PRqZMZpBuf86O+m z`1g-%fYGD$17AzJ8pH)p7)UA>&H7WJbm{6kg@PA1B!52p{x)pqw?~)u)O}c~n7`*! zy;1I`>zB6w7x?)*_<Z}-)Yx4GeKy}S(kuT@{&?x(xBZo+@BZJbaNs*OXUe?o*QaqU z<*NKzf1}FaJ7`IKe!G&u9*&e5Jrm5_`u5E&m)jHk?c9l%ipF)<$};b7nRR8I(4486 z(jj^|S6wW$OJAE#k$=AKBgdJ8f2*Ion3$1S7A)O=o9!3d=DL|`wQ}#3=GT~>eVx*> zGyi^hh}fEY%C=V5uFW&A`(@N3CAqN2TJ`+huQ>~&wCeXpt=Q`rSz_v{FazYpg-;BR zHq4pr%li4rW~*=a!{w%=&Q5+Z?V0J`chhce&fb0J;;wmn3_j#mev;SUDf4J?Z2olF zX!Ds&SvNP<^Va&-&G@nO&-|r5B`-g=9CyBZZP7YSKa<*XS^c-u(wM6b9+_VhE719P z&0R_D*6s@Pu#?y8Q(6iiZ=1QhX!({k;;P%t*UymjD7mepAdr>P!nN^=>B~DiH+!4B z$aZG7wOVzX_uD3h+3yx{u&^*msws;cS*Z13mg&6r3z(DA?4(#6SN-l&Id%4I){i9> zH!mu;FD*Un_36xK=B4kw*YBR=y1n}L$<D{_spq=h+Ra+}`tYwwJtF$`f|~tySFb<q zd|$hv{rV%TH^M)fdkXZrmY$8?c{*!Pcz{*p!L?cYoDYafE==d?-nBUJ)!bX2|IDl& zeQNf88t%XJ=1KwY%wPU?KUJ1`t@>Q?GJkXOalVBzwL2tT&j+-wV2R$4;21pd>beN& zyoi=lHO4+}esir-S1|=e8pOOku3G;)CBNq?)1K1U)iGbL+BH5r^O7YqX7P^BhY|)U zJ5Cpu?ECBX^Yp954|7`&U2duIbjymr?yzsB)$z*$d%o@5e}aQ~UyiBMpVX6KE2Tms z-euP=W!*FDyP$`^<ih<vMMawee67@ZrgMq<Yfg07FXgpsd3yBrJkz-i^*^6ZH<{b; zw*K#mM_%_0!q2`bO6vP(^?YOUai6DGLFWY?F?e)t(M!d@?FwpH2Rj|wPI6pcEMoY^ zPD@M6B7Jsm;Q5$3hi$SQlXu^WQNRA=XZ-!H9GOc`*QHck>DuKc_v+`8J^$vt)?4`f z&Ycrp8}-!g`^}zS)FZD}s~TVbZQ;@xGLwzdh1P`BzgZNt{~_<EH}>&U*?Rw7-+nMG zPR9S<!pF9sPF}wp+xNW0X^+XuNTs`(Mt;X;haUEw9B5?p@U`Klf^+LPT`x+XyD7$c z`daG~Mo+a)<d)ylKmSEm;iod!63Jt`LQkijaesf}*w<;R(!c7g4BESFie|K9-E707 z>4wVZns*d@-1KKteMR?`l_5Uw@&Ywi2fVC%sW3rKa^Xs8?(4bR?@oKgF{L^E;Q!C( z?O6{wyce6b?WXV77lp?8_iSbwCbzx0yZd_1;rH^kfp1#-jXr+qTzJIhZ}<ye=WB|w zrMZi%O83?LT#|Qp*Qa;8-=CVI864ExoL0Way<cM2!+G}gaiHbtzt+{?Xgg(G`YPn+ zrqu42t%vhjyAAe68C1Ra@cT^6k2(LWrc`eC-uM3g{`+5EJhYIRzcc9By^S%<6$N}* zUV&!wj+K0Qy^&YRAxst2hcfwF@*?nX@2dShubn-sc3-i(u}~>cY=79=DA3UV@zWeB zT|F1t8}u8Bwe$4*H6QS~_nfG2yQ|J4cyhjZ|M}zB7kCN2tO!@H%zrXn?|f-?w^h*E zs<k03kFL+(H{G{>&D0f}p00g2wesnWixM;Keo9+PR>v$m8>PAR)iv|uN(o=5znmP@ zXI*ll`_dlQ=<O*_9v$WWba~3hwBP*qrE~iJp834eI>#*Un$xO~)4%#1(oT4M|9z|e z^fKP;C+~jCPYOys<+bVS;$O#iP2H6CG)Oq&_gVhp;JcpxzI_g<d^l4sXiWs?&cE~6 zecqhhb@lYq``+R+EPrY>T)o<AJ-z0lz@)aT-dQ}I{QtV|OcdN*tl!eOPrI*e55MC= z)4wx~)6YCQ-;}nyte|njhf5iKpV*G1Ie5v4+s>-LvQfeA+l}OF-`C7ITK+#-tlE0T zlsW^GW66Tg-yfH+k9l@(?rbBT7(SgJCReI|y<F~lU!v;ng{#xmmYQ9hVUjt?=IfQ< zHiZjk`f}givzoBLZ?4r$zQY2{ziux`b9Zx_^`E_J7ibz|M~6bH&NJlWpm=30E|~Dw zvzT8|**#I=c0=LcUtfEroTtZZSYY0Bx8VZ&bknPfib*<AC1!Pxd(D03%T@g_(3evB zHCg0m)w+jee|#ni?)zg<o#cM#Xn^yv^S2bsx3APz?f&V`lv7#Dnt5r>(wZMPU0(a? zMBbD9(iE~fFnX8$uQiQ(b02G6+LLNs`F(NW%eeZs884hK{cFqmwEf)OyF$`d7vt{l zm>F&KsDdeeSLUj#Yjov%=gvv9b6u}HO)HMYNa*i#$H*OJ`Kxl-zt+2{)mvZNQI(f- z^<Irw`KOjUMajCFahs<2{4Jd+vhj+_?PLAYs`-3xUWXeU+Sz|x_0*Z8(N8D#ZSC<| z^vo92ySf!wzre?W{l2q8hjGHh?bffAzHYY76Jh$c^LE<A#eplVlMUMwE<8CmwNTwZ zv!&|mE6JlxLYyV9T{RCXNIX3?)mY^KpUYBjD=w}j?2{Z8XeBqUNYF6V4qIbziv8G( zKsSlin`8G>T-3RBcDA`W%MmlF`Iic>=gE2SaGg5UE?@VeNUnauns0A!&psa*YLs35 z?TzQsO&0I?Cl*gPeX>KgP^m<3*=NJNHSg~3o;^GH!}F@tEx+^Aj<37@TdvV(;>5tk zZk+pkR8AEbaLwZVo40n$madthn$P!N*?Bwq>f}?QtEHO^o?n-L`oF4tYWAmRlcz=} z^+-QD_Igpvf^*Z^Vt*<YnJlTleVKFl6Rp>I9N}lznqS@a<cU*Wa5-~p&yLf}ekNU7 ze`(j<|4T~CQ&N6U>;1HyuXpMB?&haE#p8@8`22V!Tv_WNAMDMddi3HSN#jQ~z29W* zG*7R2l)=2q{NkaExIc=8?rs6xdeMeq8q9re?QvqoRu68qNk4vYu-T0<t+B;oN&R*Y zC%p&>MZ;~`$3M!jL_B)7kvZ(=|CEnMRGYh-dn7y<Wt-pf?c5Q(<70B6YoWu^#uFPJ z+-j?4eabSa*->D|V&$6~wyQ2<5@k%)P*OUyqp*P8@lZf^PE&I=2P=nZ>(0du7Sb*4 z8_VC`x~gF~GxASi;YHCKQw_`SIL+fJmwsNq^s`~+`4#>N+fz<X+7LP2CQ)R~{PeD# z1N$aQ@BHvEA^yyrtaov<e(qclIemAO*FmS&)H_S=FUf!3u9Cm+&!&oh?~Oj3t)J;S zmErpGfA3Q(AKerW)?;3|Z?S!a_cYyE+Mb>1XMPK^a-DL0&AuR}^^{Td&#>v!bplR! z%-r;JP06$REs>00rA($}>xy^hzB|z&d%LO1<i%X=gN^!MD?{q$d|In>za+xTZP_~3 z$&*uBHm<!@a=_zf|NU5VnSWFN7`d0Hw6OL>$((za`balNyvx?1P9RX=-Twb|pjp?> zjRhsa7XB@*^LoU?RrwX<Sk}I~x7YgYpFqU`)rdRWb8oBFA8zCIzR7lpA@GrH-$4tv zemUI~#zYMf0fnTXH{TWb1%$Vinmsds^o<pKHKbSQUtP8I+=nKEf`1<$AD2E8!+P9E z{upzmW8o&xDfW6s?@VGMSl%T)IBcQVi_|rqsib@&x#s6b|F&JacO{fpZ<C+Cf9vY! z-|JU?dso*#$JcAhdG&uvXJ1d5)_>f0qUHX-D#zC}CC~Stp;>y`{e<*k`-y(B)iXEd z-reIUw`=#-C#U5V`OB((R$X0_{AXu7-<zq0$zl)JJHXZw*iA?}>dj^&c`}1xwYAZt zIW=*wLUlA^<zMRN^ZY*Y;8V%@-zopz%-r;~{`}_5pyuLPJqG*ci{)%vm%n67mOvfj z!+k%N7rDB<sSpf5#oEPeD7@`c<0c0M6+J1A6S~{)B#A{^J6yRs19T8r;nbzZ+1GR? zTV7u7KR;ymrUECE0JAr)a}@$^ceHDO&iI3M$EU2{_e-l)L@?&o=5+suKUh}YdZ$(| z!gNI}J(=&wj0!LHvOD<)n^@Z>6vTzIDJIoS&`sjBmBiK|f3r<xMbu<<f3uYC`!-u2 zxGDsvv}6i-`kfX07wL49tv5O|G<@yDuZz+o5B6C9s;w?NvDp8Aa9r&}&&Zu$JJ!T( z^Qij1!+qM{!V~p7GVP@8op0SOT5fr#`~24_lJ-6m;>B{ONNo+epE<d5a*f?hUCGSA z{c}$4lKgzOU#`9FH^)*9<*QM)a%U2o&#-OS)g@Wz>c;kO^LC%DUAxZy{m!>C!t$$Z zg2DvBu0^^Ld%f>ftf@?Ua4Gk$0%$H-CvuONeq0XZ1hNgx^|~t`J6=%MOOO7kAl>E= zbVpg|lg_;r;S<EfD)SC0i1)o>ey-ARY3DK4#`#AkIpn;l5UIYgLQ3O|?<^BTNKgN{ zL#<lJlpQv|XK2XjfbTNwoSsm9g;Rv5XNFKy#@p-XJ{aiV(Vw6sBG?qpFJqCgDPvXm z`aHAaxxw3V>LXVMENoKL+mV0Z^tv6g($d<}=6NOuSM5lHo<Meda-r^>yGI^D`uZ$I z9uGcVTIzlFC#RKKYLxB{!4oEu5AzuJtK`^*<R090c+=a2J$J4>>e6|YFa7TsL*%ZE zZ6Pb8f{UW<Wt83Ovx6dLU*Edu?b<I_%j4hcUrt|NKk2oOI9po!j2x?iqqdJT=AGa; zdizw=%8h-Qhu%G_{-1lc#Y#ix-93}|iGm#*Eh!%^OuY2={rM$QMG6xH*-WZ`maPol zxME9w%(>YU+MaHdImuD}<y`sKl!-SE&iclbo4%Mq;uO=niQn(n-+$o#N~_ywokWsz zLH>h7iUmT=D;6xNe^6Ao&vJ2+Y0E)B`_erMN31_ccP%=g^!MX&dFiRl${b?lT#<?s zWX_a5ma(h3F(q6<Le#b4Q0~s+=VmT#Ju*>cJB&Tf?I?WQ6Ww)#=aEZ*>6<O_N$ERI zsw`{nQT8})eBNgAqo&4=1&<t(6pSZ`c?7wI2<F#Gp5$_pOx!1+S-(y_Ih4DO#mLuf zNAUys^PvkuJ{&GQDsTPabN%VJy01N6KC-<0<jw5!?x&_tt5&tM^J}aBv-M8Z^IEnj zk;%H!*H68hd%MR;DDqd=p8uyl`*W1K?faiP(<EooFTLBsk}rQASi33rGF#-PhYhEt zWc#jvneSh2zSZ*C`dg~0r^7$ps(<=TRMOL?_?FU?QlH$8^~=wh*;eFmix?Gsc_KM; zYtHOFUyt-gU9z5ie?@>c`+Q%El5$st6#^$rBoF@Y(BU}E=Ni4sFlw8O#{|Bx`!nZk zs@#8mLi)dZCyP3tY=0Ei7g_Eln5Mz~?2nSaZPEJ9`WrO{hOR~TwkEH(NeE3noBXrh z=l#C-iYrr6A0;e*<QFBWntZ8Oa^{b(%Z;8qeeQE{qjYrSSMD_>H!go%{^W9gy_fM{ zRqnKtkNNMXdI-24<Jh#cGH$Ka*EJ2d7$e)bjV8re{&=-@o$<@#5ot+oH|nl-9cA16 z`T2Rz+23TBW_`U?^zxJHYKB`{k^8G!?*~`MHk#Bwzi+)X?y1tHU5+<(l2=&At!#9B z)-y|K0SAjb(@ZtxC)*y^w@heR)H`pVr`B|R)vMY|;!CegFTTGsck`XQ`xaV+yDfd@ zVXVDiYsyL^qx7HKLYy6Re}3!k_cilfb9FmgeZJ!A1L2z4x25xPbeZGi9~PZBaYVU& zzn*>F|FuWvS=6hku9+qCHh*)?t!deZr~WnhYW*|qjr*qh`+1XB2Cwuot=x3}bdmq^ z_RTz<%iSt%=f6lc>fGeycH?=2>9zCjyyiD#?CV@UJvpbmbnz)k^YHm~%{rgTmNupO z-F)Y~ant|v$)Dc*%?#Eo&hj}}5vQtru;OaTnXUt(pal0pGiX75JIAL0W3RIP23ad@ z{yy-%*7S7ur1uNkW}j29=7`x-5_!xgQc-zcwrXYVjVy)g#XNuZPVHg&XL?Cci|HLG z89a1&vh4DC#VfxU*4!_RnU-UtRUXy*;fe@jz0!x(S3TC3Heb55!flDYzjV;^AS0om z>A~v4X&fn?JpnOoiyX@8zwQq>nDgmRtWfo@MR&@Aul-)r!X;+K|6j=<`zN1fphdsU z6~**ew|{d>pPh399i?Vn{_ahYyQ=cc!@=9bSeQ5)btVeVa(}pP<8LLFOD&s>ry1Jk zPSXn8(_!zuKRtcVuKX7Y4xK-0!|t58{QcMd6LA|Bc*uzKz9<k5=DRy{3TXe?H0_m- zLs%{;O;9!Z^!xq(*(*x9zO_u+`}?j{=FJtWrkq+h<zB#{py!h;S^6S1_np4>e(Lny z@hfE)PZML@-6=Ew!sHhAt6sT5kGzeXY=yXT0=w?4JNwYa=~aot6b-p$po?s0IxBdm zv@{u;<Shye)VQfVf9(YJyuEQ;ZWZ-;H$=QXU)%Y_hN&}sa_XJ$o1ZQW3r}*>&`K4* zv}ohah0g7V{?)o0CB+-M2N{*WyJNC)ue4c?foO<q?=&$Hp3^;nGje`?dFiw{z-qen z>{y20to1S0>5FGb9liJ>#`|mdwuSS3y2W&jjC&exOuO_;q+{_St=9}%qE}-;7q~0b zPf#^V`X3SGbmQHR8FL+3PVM{uBVnS=^{rDw_bUguEqTl#&ax(|+UUvJa@D-^LB^?3 zi$5P&@$97Xo%`jkZU<Vr774JNTD^O<@uA;SGRm(yt1Z57Sao2BcJ#iow^5fRzdxT} z?^nEIZ}s<CdV8iA<X`Q&KYg`tdcvs{!GWeTda~BnuW%`mN_)f1&Sw%D@%GfVgC^p# z*$IjhQjMHElXuT8PVm)yy*Myc<@Jr1^KLpbq^5j&pPd?TUOP|9EAMvi{uK(<A0Hjv zP=9}BkHzk+OU0KzM7vu4xSh9K_iAfWqN=gLSI#*v?>a$G@VdFDa`VsG>-L{}dRI~E zi+7=!)Q5UUKLZiLQ!8($KAXFJX@kmfMX~c{Vn1h@X3x4GA@U+TzBcsR`}_HK9QK^= zRfz2Uvhm^WNBoQR`Im5oo_zPMJBg*NFSh-!p_r}v3$UY^RiC&W&zpRZum4yN%WqMh zdmppUbUe>apDcKVQ!KTvTBbSTF1UZ(`{c#$M;R;XMFm8nE(cq8F3X;xQ&9Fc;Kjt8 zdF=8v7oIv~I=RQs^qXt7^yi=B^7STpz3cb?yJa$~#4IZ3PQ~NiOG~|{Pw5ArmsK17 zBD(nzlc3UUjzdSD_uK#5aeUpOd4Xqth;3n58L@HE9P4twYilBtpE)x-oe)Y61+C$B zn0E3+kEC(EPqW%P9jBuvv(-Y@rF=N+ygAb*-uMK^ss>}ujo(Y$-7<vSrMo+Y)t6au z=80Q9_M2s*xpcGMM}Zvw^qAW#f_$89LO8-dDy;~s`FB!%{*1m&=hE(P5!843Y-#nu zN^bVD#meq|J}YmxaI;Tx`JNCHkfQ76<|f6;c3t+!a=*D&^+nfX%Oxj)7AyBZSa?Zb z`=cBI>u34p#%1LSQmmJD@QbGZ`0#L9;=L)F!911C5{nd8g7%9joK9(JuzDwwl$5lo z_+P`V=~l|>LV8}u#iG)W#EZAdIkfY=@wJRyc*r&7?z+kUtZEO;IetY_Gv%t}PS@8x zJ9cYmNWaVK+0buYZ{)P+#M9H$dsjWk&^f2`rR2cYUhCQu4U4VQo}ZiB=CUAQM^Wbm z&uM=9QY4pcUm+a+>0!IPl#=5cuG>X#>i;~JPrDzpb6rSnTS9@}30}A9aUq;B$~%tl zWPCEGL_{sYOu6jU70tyd*3z-{xzj_cj&J0YO6k2J%~YmnBp?#<X<5DR?6ee#UA;;V z#2R%b3-)<z`Tyu>x3gMDsP=|m|Ls$c@e4mW^RlA&QRd`*)12AAx2j5B<XUs{|GS;a z%12@sXbF6Nm=Ry|vDL-h{q%!_&B6Dcu}_?><361;CG}tvt5MaLjOooPJ7%nrEjLu` zvtDR$r~H0xT6B!Tj)IQ=1tCcR^>qd6hVysquy8&Q;F)us;}uJxbZ2L0-RpUMRfqCz zSx%U7aJlsBD0}qI0&Nf3<m&cAeW8%{8CwyZo3DH?>w8pRzA&|H(ba|DpT87-dcOJh z)27nzPR2Wq`9^!JzxQ8#>npZ8;cff;?R31w&+%CsC4FC3dH<DfaqqWfCqMajYS)7% z{0iLPuVD}ncUqwNgu&VN;9Vv|g}>L$%1?FuwQO9j>NRD;y}i}dGS+&sk8D@;U)oWa zT<F?S_3cgOrMi<ri3ip19ht~dAT&klSnX-u?G-laEyrW0mof!thp&6{QQ}B@fO~6{ zwuGMEu^U^n!*_naS8e-ly|f0?Jb@Epl9Kg?NiCgA%2K}0^OJZnpWW&Dy)#KI{=Xkq z-#+#0s`iq?&0?4KxLQx{bX32u%AIy{b$*?~ce@+Po7=zs{`SzzZA<q0@_ku-DNpRf z)|vblzjOBSsRMtV&UC(YJD6H{T(*41(XClmSEVt44vlH@3{=dS&iVc}x1pi32E(~^ zQZcp~^>RygJJoS~SQEE*S0#Trw-<Mztb3o#M9`%>f6^u;9tItkWCl7eNkahC;aUIs z`uhAS?2p_O<hM=y%ABdhwk%|2klaCM$3&lXJVH<PQg0RhR`s4XLm~8z&!24{ZlAA^ zoWHz6xS#n7uLvV|W6s2hOTDK{#lJi?Roj{E%+h-4#i^hFvFv<$Y?h<(a@WswlkeSq zKT-Yv$4Pq(KD-Ti>}L3|-PC_xHdCG5Loc^yYi?+MT_v(n>S^ZFb8l)qWlwT++wr?A zZ@s>*o$;fBbK4K@YD3pXg}x&<?+QsvXLD5x-glfU@cc{MqvsJTzQ4Vleq}mn>E?r= zIN|RblaKRR)>nU9^K(t)<}@`6QK1IG`hy=WKz+d8Qx07Eja!c-K8RDwKDN<9D)Z2s zehI01$s>ISLSwup2yW_^5O?wP75u=)bW=&$pyY<(8tagKQ|<)r{q=MI(&W=tK~^58 z4%L5s$!=6~qT8tUo7T>Cj>k@Q?*Dk|zvOg}J12#U?(M2O>Rs>Rb$Z+O6QA|>E2?@= z_57JvYyYoU(mrpBXv!}CuIW0e<@f(jxUwQN+)noAx<8qFufLmSoqD2r@sbx?kDj$N zyx9I^@%Oe9*L+_;`7ob-t^DoJL5FUwuk7CT=}7V4v-{rZEKYfH<|T`y`Lp;>x6cQw z{<^@Z8L?u6$=;P)|17@$prpV4eQ&))zWn1Ap=|%Yr`~?@Rz2^`1=&^G&&zuq|D0<1 zBjAwd^F<siN+4hQbo{AE@%l4+VG{Q>*=f1#kDqQ6`~O**{nMSazec{lZ0@<T?fa?! z@~6vu9^S8y1@mXT_;n&EjMc+?-@V=0?SBkDdTR<sM%BfBX@AnMk<i!tXj9hF`lOea z^C!gYs_}H|t+*`Ev#$TkG@~$|Q!ncG>Yk0-GO=)So$>9>x&6QMr~Ts1pCFc-XP9F8 z>x1IY++!j;Q+}Ryulu-n%iDtX(}rm+Ju=opx_94Y>o0$l^mHG){KQjNvrbGrTz@Jg z`_1$7bEkUR>rb@$y6(IHTjPd{Z=T*>>UVTe{iS_{I~^qa0{R_KdIZGV$=1wJo{($Q z)NiV#<@M|Pd-<RMKB?_Nx6IcnrYd){{Mo~?r+Ullx0}kI8oj(+zu`;8m&i_y0E5eq z)iysp`n>AYZu#EHzjuG}QB=OYJ#WfVX}($41=?DR>n8U8_Md%y{r*4vGe3Nlh}>-) z#wD&f%gL=?rvG4>h8q99n?aM-PB~DiUG6b)(a}pi`pPL!CZ5|qgW+zcu~<~fikee~ zi}>ZI?7nR}g{^nisqp*fPkp&loYel}G3Ta)uX>e_H$Jm0{58RP`J{;xb}zA7XyBx9 zJEbLIHR#;aQ&;&}|4hs4nK<=!-|4+?n-?$r-O7E^sOZM)V@vBN9sl&Q?Cr(qDw}U> zrf5yBlVQqobvmSZa=!f`uZ_MF!g#e$-Jf3-$HpW1Zc@)4y9NEAamSl=KlVJ?)b!Kt z_Yd33|8E;N6`YmRjNUgX+UU^1x>>*Ge);dNFZt!1`=z@|KIfiw_Pn{Z&-Gna`CcA5 ztDwBSpZ}Ts=70CUM`zam-Ss(lrVEG|8rkdJP%*!zsk&CmrS;H$pT6^sCq4S&nPeN3 zCZw`#@^$0brnKVqhlhtF<y;oL`*HNl+GA%gtf^b-Ae%I+&{1cZpM<*bJ{jfX{jw96 z|K{5uw|>bfzJ%qV&S2sEf8J*6;&bn)OJun^)yye8xX18~*Fn`gCVi(o%1+du=zQ$H z)b(!>sE?C+<VC)*uUkia<=dmnZR5ICrTIKw8a2NC7P0kZgQm>aA9vp+XW00Kn0vG~ zOcMO-q``Es+hN;Dj^O3-jBj_xPIcmo%Wye2Z@-H3{%CDo>9C1fPuH<zuys2c9IG)2 z>ENw=_OtQQ_odf^+w5M>KA-Zz`@C2E)mK~BPPzXsXkU_O@br}5)9jzDK5zF$QZkXD z^we|ngKOgb{@GqmdGh(<;nW|qnSFA6o@Tw<JU1`n-YE^+#;hrI@!JyT^Srp{qVy?q zqegzLx~lR$r=b4D96#h4*G~}Ck`X%KwJLnY&27wuMs?TtcCPk~?bMm})1qh2vBw|V z-%qK(sy*$*uDj~NG2&~b!;EH1Zw}AdJZ1hLO=p|A0{XsL(>$j4IOKKc&fHrwJ22Yl z@iNQVQ#7uJ>uQzXyX|WCqr^!nV_yBL*(<vrt&{n~KY8Dz@Okx4_oP0qw7Fqxu|NCx zW1-Y%kJf(jI35+WJm}VrnREW_WBL4(xwGfOZqOBZ%j&P}26c8T+zx1`v}iSnh)dbm z?Rjp@_E2ndxU31|tn%$^wSRv8wLEtF_5>-b9rFXN!}4sEj&@BqX<d*t{jB!dTX(hM zeSGddF;Gr9QW-N-QTcQF`6<h*@2NA#$t%{^Z_1yg$@k*-jx#gN9Jk3?y*4^qdVij5 z*r~6j%QoCTGGDBoHCF4*&L`cUJ}=T0d#J4`J3I8tHPvg6|A;p_C@EjDxVgJL-=oy@ zy8bMs2i`1uoZQOZ-_w<~Dly<)=5#ep&t74LO8M5EdJnwHj?cH!Y~>O)WL(-eZAyik zRq39KSA-gSZXBJPmlx)IZm#d7$kW?q@krd><LUNmk4411>W5pWB-abe{JwDi)``T` zdMECP-VVNMw9#DZ>%ZAXML%8})t<}Rxvoxmdc5kU%rL#^j8_W<xP^7f4rZBtIQ>Z1 zjd$m6*QE)PWdXgHMI|f0UJYLwwA8CEJojhfQtS83{5A;&OIApRhQGYy?N!^#CF)~Y zdORuZAd}hBubKkopPqQG3|~L5UXvqy%ZvYY?oFw3R_6{lfucb(bY;-d5aW_JF9jlk z&;HA(i`nG=?bw`YyQ8jejS&e?|KAX2#U!caEq2B%%l+vp(=|*bKc9G<Nu2rjb;&}# z<G0_}tMQjq>pac5tX8kPLO@h2bn5N*l@4B0^_0ceGL_Xwxjfvh@4o!?vO>8{_138^ zZ#53M{W%ud{o~C1ubUNJo$db|y24(VnsOR6z~M4=t%7Xc|Ji|s*Ve|VJzjoReAmyU zB_F=MsagH<OZ46}+01*J_WtB?+E#a#tMYmL{PZ0kW>0_cy<*1c>HjCiPs^FQDzNqG zzH6a2dF!Q9cp1};oEV*VoeFxmB~bbL6SM64bgz2--N$)*ziM#zJAL|c*?;z_)hxe@ zgAepdtE?=^Tz$@8;O32u$!Fi&EPXHe`#>XeZ}+`*Inpk{7Z<WgFvTe=PjOhr5VfLS zbGhz4<=;s{OPxPU1sNzAcqwk~@Sp!_?V1Rq^CAr1K32(hSBe;$nrk$bC9nRLxv%U< zn$N$oHT5T#S*Gef5tyOmx^Ak`(^KBh+yCj!-Sy4rw?+Eplqo%TijMD{#<l6bNbu?D z_WQ4Fozl1b*Pb^;I(^5NSlm*V-=oX^{ryy>>td6CT{oY&{l970I^D9RHD+$dE^MD) zpK~ItCp4gU()S$;UR}%12%4lF*`gDm^D$@Bnb@7dtEOqH%&or_#yusm^@+utlN?WP z%&&OFc{%%7Y->gb^WO9u&(6#=ewNlX%QN-#KYi7@+uL%DQcsE8+>$x@>s@by+O!wJ z$>uYfO)e+5B~OU;(4WD?%C%(5EO)_$ExUKG+h?+|dBcSQXm6J5V}-ik903uzzSwm! zmY?lXZ>|nqop$VD{T=P&;o{}j*TuGlTr`|foc!g&!t0=`v};PEZ1ZL)JTNtC>Yvgh zHOstp*WZ1g7U<lTQQmH{UQ)#M;-5;}H(YDZxt{-H+2&v=?s`^1N%ytu$+u@s-Hu$p zespHfh25<YW@0|!ZADJ`Yj^ChsC?KezNF&gqh;NC@7SddBwh*l^{4*x`CjkVI-MO6 zyTF^-GXIx6tbIB){7jP%<iNSZZ+E}nm)+-hJ?4(o1i5d8W?b$?%Et?H7jM@8o4#)U zzpBeQ@1M`FH{<f(U-x&3V>8>b&-$FqJ!j)1dlFopsl2s^bbKe<!#chV*H3ViE1oD_ z7?FQO^g#XVGP&)Wa<)Z>My>XIt94LyYua<}$C>e}%HaaH_Pt*b8e7``<wZoa)b*Y( zEVJw0v9ioL$&tnMF8Pk~I-W}hV(q%MeBW$X8@*jBiHXsH^C5fDl@)=S@19qFes=Q9 z%gesZHa&kcCAxkqDLwMC`Z+<-c}dvXs7qV3!#_(geZCf*Uq7=#aMybw?<DC}UdQ`n zQ=goem?qC7&X>GRRQ6V`i<{f5WtDe#mrn;Rhn{Oy>SJu@!R6JfUJaU&zSFfCe9&C* z^H?i^$Df>zE=-iW>9oStxt-56>+I(9%XE`oWcvKtsq~-&<Z1S!_Fe_ScXvGuJn{0v zM&nOs-``%ER3B2fbEBi`-?T^$4N1YskH;A;E1oR*vTN_Q5RFie{o-{_2PXC`sJijW z>2MowY#&2X!C_wW8Ls!Xsd`V7NNQN+6vMJsPX>0!eZZDJR;lk^lhu6BC|bO~|L>dm z<82#Gw${GcntlCLyL??n$C1<e`*S!L<~CT`<;ev-HLT}gOF5xt!*Bm*gQCX{Hw!aE zX@RBw_J2e6{d_i?XJPfLE1J$-b9A?he#sNw_=Rsv!9%B?FBbQod2c<pZKkzL+fV0x zFAP9iDcKp<PZCUVRyk5|YVC4^TcD+8J~@-NZ0K6d>a0KeO--WBTj_HtEZff%t)3AX z(#dpbX>F-)#<TjDryo5%FME<hi)DS}lg!HZITPBxy}d16bRd6PF8J)ZqT{mV5{nvF zAK7+puC=rh<4*-X7W4COZf*{~bjI?1@p;?y5Z>@CX0F4v-|wbBa<|aalX+wx@gmpR zRWowa5=nbKm2)RQf_v8BgXcu*C0=pr>2plT6%e@-sZsAbK?;00LB-Ub2l1^d|9?8I ze^W9z;N9-5+lD_ca^>w^SCyF=wmvA}oFn_(QkJk>JB8>n`KiBeg-lKh+b?PQU-^ND zTYy=?d<(r#eaer{&65zwQWj)yl(j5!;W+Z#Z@xs|?EHN<SEy{eBrPwWKZP@-`%hTF zw@*(`^F}(Z+)&@U|M~g(`Apuil7?y;W}y9?CV6*m99aPyP%wLcbMx}fz=LnkHu>-A zROU=@4w3aZ3p$KJFoT1i<=9j~|77+@%gPmEB99#Gku*M*Gi}P0lw%#*ukKa9|7yW@ z*Fo?@OGEfcj)~6<s`jn;*Y)9>iDP|T-Lp5WGj|pA*UNNTXLEQSH46W8A!}jxfrHA+ zTkMQeyWCGOzg^|IuwzU4!-dwr56qgWEUCCcWIG%8?%WXBvJmZ#{R$7hENquEYGJs} zb>y%bTS{NZ+Ni5XdKXN4U>3PCsZ~+=#>K_%XOnzv*fe*i+<kEDpslkRj~2&mv;2E^ zj+8o-aePs~F_ouYx=uMTkAtaIMBq*rljG;Wxa;fV?`!a<Fge=g>`qwv@P6Izw`!3K zLNw1G5oc{(5F8%1$fc7<l>bPr>jbS+f?k(91eMQ#``D8Y{F?S6gGm&W5Y;-rbe2>+ zpIgrMYEG@)x~tQ?j83n*6}85r#bi}*oL8K5P3n_czMu*7>mM9h=@PmBt$(MtMfR$d zW}&7k$Jej$1<kykXjm+G`PmAAfHe~nd@f~mGCO{4m~o?9d%m+ChsM%nZ1WfHaPSIn zGngW9g5|lA7>jG`1HTwcmam)N_Q_hWiLy@j&2ihDcGjmrONBxCAJcS!gG*Vt#Z0uA zHTV3f6KZ#~;eMBYy#7(fj&=pMo}=+@3v?qM1~v68&@$93*S!2sYWh6&5`hm^k`L!k zw`M5+l<~shd8OLtYyUKh!{nz#O;uhR`1Vtf!v1@v)o!{QwtHBWADl2jmc8%vT3>Ci zcD1L>)dvzyb~$LV%e!rO9U{uOUg1Hh(Wb=1Y{l#c?>5Xj@soSH&eSLM6Lg~fFL(7g z<+=A&D$}xvS#k4wlpQ{9I=j37#ILvQOLGr@y0o#9^<;T3+uKwb$NTv!Q;vL>cr7Wy z7_a!C)aa0dW^s?c$rSIy>ED{>bl9tNUw1#<b@pP=_AJen(QA8l<r{G)@#XYer@fx1 zys7lP;m(zDk(t+5teK+oYro%dOM`k9+ojShQ+i&6I}38evD7%ZRegV#%XDys$BJoE zj6sd!{{?kVn;rF7`G|F?-&G^27g7!JLQKKi-`Xl2_fzva{VVX&vtOHLt&(oIezMTR z%Z=#|)5{McjU@sfI3;JA<<8=KRpe87dG!Rb#;3FUC6bChUWyOeo3!N0nus7Sai3_t z`lyYc`I(jrpFe%BSv}?Z!N-%-zhAwy%rP=EaDPv|-@5dZW=vjgn|K$>IS8IG1i8;c zS$S&jJl0!Lw?1#ZD?V9a^>+EmU&A_o8mV%5UgZk!IC(WZ{%K|UU8QJ_KVPrcr%ZQs z_$Fhe=$_>7t-QLV`tq%%OT4a3)jODVu(@H<#QFz2!>oc_4@ff3pCIVxbk(8jx5cxc z+J6iLnZGeGNQ!&9IEKs<T=1OtNXq$#6)S_*?Ra`fQ*G+0IPJ>M85@?Qe=*wT`st{5 zk;1Pjp_^>|Knq~5o)VoZt;2N5rTRn)&jE(JS`$uiyxeP?evW5#))mHITQ4NCax&Q| zD~FgZ`6OSzwCSo*ze7#ZrktDQL6AK~OOjrK_Y`&NYeso6YZ!ND{FzxS_R~VOJ?YVt z2Nx?=hHjj3Wpz-@y3KN~+|SOwd06tB!9`)h367&@SHwGImgh@7eYP^v$<ZW!rv15x zt2e$bY!+vnKT&YiMltQMGa}537EX$~Cb*;Ir~b`d_4elkCg=Y4_xe|JJ>uP*LqW?@ z5<54^u_~7smby(3xidp}`BM)5(|?QJ{b5}hyK={s^-(de!t~U37RS#0%Dt$XO@(P4 z=mffx?-n~ux9^n?+x6M*!vW@JTXw8j8Ru0m$<-Qor>E%EOw(0|E<K&jl)KNUe$L^` zH#7wu|I2d7p5?07R9b#>=DYyzcjs%}+!|W@`lfg<d%a}VcL9rvFI(Pp`c1goF8riH zG=GwQeqQ$!k%>WKj*W9#78f;rV*F{5CfGkin6t?4=cJHLkL*@W*H*fEN^Ij4)$_U6 z_LjGP@vv-cFqT{>q`luU#A4~2-TU8sdU|?U%<mT$7yGIgpW1K#`%V4kW&ebxhORv0 z)ogo=HSy$%i~jA;YE{@BHq<2kvuIylxISj*r74$}v)C>Da=cGg`*^>+|I6;jne~5d zECfGjN-q5J>CVn#-}S7f_Z1zEKiGTmW80iJ_Dx&`7q1v-hM72diRdaEUCVyHvM4?? zQ0`3G$4jZ5z5bIXUsL`z<!jE=<of=tS&dK5Pj}avsyWBWZjo08=f8w~=OQI{8%ri$ ztbf$0?sGi4JoU69pGhP5h=&=w-~apj+xzCG)ZjLU^E07GJg^*{{-8$c;Z5FW8dLpZ zRr?$@%c~A9V7q;@Ws22;g|XB1VuSiRlMY)3DE^r1!nok&yj-1QrrFn~xYx^79@$e0 znuC~n^J15XX40b|gDEV1&TF_hSxo*fuC6-V#(Vbp>+9>q&z+p{;$m~4-@LT?FVjz+ zu-sJSYqXm`WkvM%Jg55#QjAHbr|BC1t$%iA=H#1)Uw(6JY4AVEaVe#hOZ1F=poQ7F z(<|RQPI7fi@>B6F$yp?0H!s6h{p7^@$(~_3E_Rwh8uLZ8CirvBc8{Cvb>@C0^NLv+ zn?kpKE4fsuc=cOJ(56be;%|FY=2+@~ymmjeOMJo#A=V`|DUO=i6Z=+7VqMbU>UQOj zG-JBap(O9y)w9m+G@2qT(zRoU#by8Qe<mdtZ7V;eoMQ4-|8(B||D7EnFOC<zyrk-^ zrBE+t(zTdd-}h&L{fgBsOo!7;b}Thz>$d%ynb9*{`}+SpHU+r}oFbF=)&8C}&#W({ z^+Elw^D*^*ziK-B>+`kV5b5imb->uLT7cgmVNOZ#{e88&B`4>u-}`M=$jYFjU-oZ2 z8@^Fb@^6|^-mm+2>i_>W%DSRa`F`(r9>yn@GP@6^*NbcqxN&ZI3QNO>{7?Nkzx|vU zw<OGEZUOBgUaB_7_`J=diU_^F)oXXFc6fqz4%eN2JNbImvA>h`_1FLZz5T1)%VRs& z?Ys5s;P3aFzs@?A^zHTOYq5`>p8hZRPE0>KbMv?Cd7g`H>mM#ETsX)3+0R8^Ydj~N zt-i)Alr*t-{~Fi&M^V}y+bbT{1TE_LsxiyIOZ;U1zqXDQnoR2i3e=P}7<IOpEGtf( z!1hQe0Cd<~?%iEi1%!9KUDWb{`Q)OE<JxCto1bTS>TF=}Eq+(Y%0g8a7nd2|LHDp& zeSfq_&Lm?(V1SHx&9<M<?f+})MsG9OsQkyRPsZ}no92r%`VFn?wXG(|-m&Uia&(^f z`>!uAt1pEdJGY}i^y};E{-7-sF|OMR^Nu}#ameev%)EW2DmoTsN+oyZRY>mAs5W}m ztIg$Pk$8yZvaG~b_E45ZE5vqj{Fu{o<LG&fmSti)I8^5C)>y9dRPp5<RmaGOrH^j@ zw~Ma-EO#|oKl<R7={og6jsd#$&K76xU;8|5>i(0ZXWwr)aVk{JVaoHEYsU4@|GmHe z^YhbbP1Cvp4gS~53x>}NG~;@5K6m1TnrB;QDNTrEkvZ9Mcc*yi!Lm>bd4AD{ZPIxj zt|HTPB9l58yACxlGW&c`dB=A<KrA4j;Qxal1vS>Gi#;c+r3s(&E_k^ivHmd6&V+;V zHWdY{3>`!Yb_)bI&F*;o_}Rv(ljqm}yE#E|_J@L)Z;2C^F>2mzl+`seyEY}r!E(Xp z^?PmCDT>T2dU|SRgs51VR&uh2<&@YBqH_MvPn_85;pJsC({3HlnKyYS-t~#f%jbXi z^KSS1Gcy!bRK2H}<ZWIRx|-{HxNeDH{Rd0QjnV0!pZ53k@WiT=rY&3hYi02A9-TGI zIDSZjPH?EwLhcyM=9$jME48HNO!mIREYmmra5j;NRn_^GQs!W1mUk!Pj?L!u^M0L& zAM81A`+ZL26GZ`mCeO)gOS>E+HzYJFa%vc@;M}1qvwP0tqC~;6n3}-)oF5yX?buno ze4XW%f`?9^GldG(Z*EIE%Jp~>XjgL2B_}h%$6LN1ZFSyra{2taS)2T;o_{#Lb;(Yx zkESd9znLl*h?=$+F8Z*dRgcL|UD<)1*<H!SEri>brQq}j=i{4aDNPXOu$Uw`h4+qn zU-zEJGXhKtk_8q!Y)~(IazgNB{ngde3pWcKF8qAfTzcw}FyS4=?q40YHdF}nGes8W zR3E(m`T2Qs#&r_}`x;*#iu2fMc{um%qTB0Ytshr)^!1s&>dgHUx5@YQwY9<bnp+&? zj`c`RW{W!3vC8QWXPeW<E5ZJr?R>Il^55Uun(ez+xT7<dW$mW^$N5E7&p(vdmcOsR zmwRH8cJew6xp_QA?OrT(M<kpi4z@N#pI8``q5MGH=+IrxBF&swT@%3v?$dT#)^S`F zX`JJ5U}BFzh*wWjGHZsIse3r1r1TN*g6F)72evYO+|bE5Q*Q_RkBKh22XhbQOQf(^ zSAAL0$*p*Kap>wWr)#`fETE&P4UV~7<8iECwL|vDoWg}G68@MyI;D0(%vDgd^^J3! zwV0W58q-r|qeDt(D{_yrO&83Qzan*oRb)D7qjYg$GsDTGJ^_^&8x2hjhm^H9Zd&!I z?k%p^HSgrfumwAVxApJkZ``%+istj=ro!cZbA1#H-Wgw6e06oWbf`;cseib_5Bu-j zl8kEgpB^PX>zkDR;qY?f4T2Bar-iuu0iCqqr;spN&^qo&WueR50-g;|^6Gwkc&N5^ z+6=Z3ez9E8IUC$od3~3bde1%|5Tl@~p)BFWk<wJW@xtO20tKDg?2q3sbZ+N*Cw=bY zgJ%9&KlpA(9NGCg{i5o<W(na-&7B`JZf(gtRW_lvK15<$a-w^v;)QGLxS3ui-1&1( zNWj~yFZml^vWhWB4#%8SyA}L>DQE9m{;AY0jCORA;BH}A%96BH>=(z6-W~y-WA;tS zS&=UeOWPUS)cn}+Q&G*#!&mSGCkxX`nLYcT?a#YwwYca(UJ&@ih5Zu+|1{pIELgrk ztcs&R{N&yGJL_V1JLMNyEneWDAajzV)G;Y3Dd>)qW8uP*30)1`EPveGEX)}r=UiB~ zW_h?uiNFFi=YBa`pSDJD!fsKPJQ&)n^zy~8lbmn9&gpU}6a1hpxsXet&U@{W>32_y zRs0SvoOHnWm)8nSCd)<#i%Apb9Ed!>lH&&x<Nis4Y611jd?Y8k=Pf_|GbyOb=fARp zf_!6bUuNGw`PO-ca|8p7gwBP>KbL#M@nde!gimhD>X*)3abjn@^#0AZ`wNY==Xx3_ zE)cb9ewp<$LbP!U$A!3uTH2SN+W%u{_nS2(eOYImThaNyhHAF%-vk%%bCe2!j#d$2 zbafC6VA<5vaQ{R-NAu!Lxv88hg;t13aRjVy_;jnUl-nse&59eWNQALo@j<we6RY#B z@b!_KkHvq!{P~9jV+Y47j`La21M9jR!UR5OOC~mih^Kr!<#E1gjgQCokNQj@+m~#2 zU17F)(G~NjJ6^tAxD0am-2-WsKYnf*!oK{hM%ndOn&cTDxxUa%oPLt;pXAbcjH<g= zE;OqB^<@e_=$?p$%&&iaeZ4edV^ZcapOtyrmaprxj<JzdzEW`Irv~Uiya{qlb?VAI z&vw0DXS}rMrQS-hJsdLDWj?R2u2$dj`&;|P`H$ajzdudYd)kRsZt*kUJKTCo62DIU zD7#crlJ|U0y|Tb;wUjSrngI(OW(8l~G*@p!&f{}7vWLSzZ|wKJ{bPDu)ya|ttlm-g ziYEtb$q0;}AJxAe<PQfamZFxP9$D*aA`ZWQKA-<}5{n^IiCpdfzu*5ZD!SOr?Boz0 zHmfmlg3z71zF5D#oX?kfPe1d>$Ghr8bFR+48Zkk^ho4H8)Nc${t3NeGGq3&eONo1P z+E*v%-rVF`_U=yRtVwe%i;r!|S-`Es;tIO!MTD_ld4h6Ei)Yx%AlHJMcS<h%{+qXX z-g$411zswusz3K|J^~eT|D|K9H4NAB6gha8SCt((dQ@`Kr=#NWGr~UH*#G};{$-_k zt`ggWdZkQ#bUKpT>#ywp_v`eV^UO~b?Cjz)n$LZFu_<atL87zMhKq~cPlJ|^W@#w9 z_nBPHn45cLMc}75o6pa(vYyv>aNm~6^{>}%@A-7-Kd08B#lM^<Dr{JAKlt9BN?+^h zbGhYn&z^e98t)-HHw|<cxP#yab0$kSw;zp5TYfw_Ir*<`y6ybw0t@QjR&LL`>vL+G zey@-0BmE5Rcc(O_7XN;`{q)7f?x|;H7z&AsrZz1poP1-W=L)Th7cZtIrdQwEqz}47 zVr{dd*$jT({}DGV)t9h*O@DS~rsnd!6(1J`df&JnsJqepfrWsC(Xl&%%fIv;+>w#* zf4Vw^J8Ct*l!?cSKA+W<9U{6f>jSeDLRLukNN@f4@Av!jS5g~lF6K&}*<pAfHF#sv zQ6E`n^Dyv%j?x@YBqSfUZ;07hWR!c$<njm4v-KPTQ!G<X2>hRGFgZObXN82sJHB@} zl9Q8TkEL(mUGnhh^!RCKXPbMcq%66~uli)$?YzmCm;2AY?mNrG@YRv~|NoVLuB!k2 z?XB7~mZXM72mTqSoe_8x1iA=gn`2?Z{<^=vmY!*dv$=B0)5Bvz%+8{vGbPt48yyV@ zzN0Dnu8&bL$*1_)nTgwSZ!eRP{@VQ0B<sqF9p*ESA8ck1wtIhRY4??t!RoA=dk)3! ztEqG@3g}k|U+O*m+>3R)<|-}V&|r#lRXBd4o@2AaKD8U?Kc2h1dh_MxpFEAu?R=h_ z)6QPvz4YbS$->A5X&V}LZx+*yk}z-cO}N45$~c|#@sX)5vltmyhOM1tdFD_nH}6da zj_+@7Zf-mB=gVb(O~<P%)R%fqHL@)a(8y7CV{<z2ZynF|t6ienJ&PWlyCd5iA^fe> z#>|UD(t2C{>9hk4jBaNgPHtH1JKHQx&)@!U$ufoZj)|IIZY1|hHZ5H%WyCr^Zw<)7 zE(-1^IX-7xSkQQ~<H9cGxIL@tEJV8hPLHp9S*-N)UiEv)@Y8p87RR3By5vyZ`1A8~ zZ&15n+rg#G7Zp0DoZnUceqNV_;AF;wjU0>9?i3!ERhv-%dDZH5M#mE-=3QMiwXoYl zOJS~6>7-j*vuDrX3vZKRwp6%qZSGEMww8w4!qYd}iaLaZgoTr*h#fl;^QKOR<<Kq1 zZN1Xw=PpfMzS=C(OT!paCO%<dvh;J?_y1pYaDZ3zh37|}p8sXJv-|vH_5SmBZCPeI zg?)d2|NSN1rd9QhRk}w{DsW2NesoJwuJeG;ogkKVesir%Qx8pG6Z`+~?{8@*C(G&> z?QM=*0#fIA3gn4A3Jg$+ku((VvD{mdTz*_(hM4)JwFLp|m!zJac4=L#wXi$WM+Ldo zhPd6ox4!KwQuCd;bCbYw*KRS%P&aTn(m2OK;rL08$;+l4cCP2QO?i^E^?^gf?gEb` zi=`fRPYgcX;^2FQq2ut=)6;vi9OpF4DsXWo9<2L#R6O<kJljjV%kwku?b)d)EMaQm z!T#>@^8U)RhU@lzixQrFu<S^DflY`AQ>x;z8u3o?pWklh2m5v(xb}Me{<t<?X|*G^ zmgcobcw4d#N))V}q7mp+uNAUl!op++ry0_b4lIWzrFkFYIeL{NPW6BY>%<?D(FYS{ zL=qNXb#gny_ab$UhI<0TO=fKoX;X0JRK(QSprHI`M$qF1`TVU1+!K!X$)+``mmQQp zcGv!+e9At77%su8?{~|2H4m8O+(_VHm_J=J_?Ssj%ZvxtHgvL=|6{3dNYZ(?!`kDn z>)nF^P92t(m7kV$wmJqn=02=-e{2!ruPWBMMjEt$$WKCBrE5z}jKYLjJu=P3_Wyo7 zKBnuM8oepStw$p9iU7|e#|0~sYvoRGwrJUIpYV8R;qeJ8n{Tr_wapf}#5^-N7*vcX zEEnM5Fgo;P=jXLCJ2&n04zB0UVTlmgnf(9BWPhgW1y)vIkBKxca8O7%!J*l<HS4O; z!Ip2bIROe1ZOtyB3TaD{kM|{7RL|W6&cs~}+XXo!j1C>~`0CnptbeW842}o$7Tgfm zV}%5f2&3x(X$}?<$wViX%|V`*Zi>I}J^9LTQ$IuMg_U|<!S$vn>SQDpb0_w&HmPX7 zUMm*C5s>hvRXpxQ$;*!iX0`UYLC&^Sm>|GmVZ>x{rBGq>F~74sDhCc#>V%wLnqzfS z8<PA%74voh4iBS4Pgb~e?miYO9+K}H*FV{kCBwM>dTjYjlN^|>^{xk^IaqWg7q(hQ znx<S2`0l8iE*P;rZ|=(KhwE=CSz1Ti?uL5QUXUZgh>1faebF>l?uAk3lpI`xR+U{^ z;R{aGpf=41H6})1w;39Gj9z(@k1m{M%n|UQXVPo;emUQjY_p7&FK*TXH5nFgv?wt# z`n&1H?n<dYRe$+)^Sh;4|J)ZuZ_jfRUHEVgXh8zV3rY`iS(xVa7$iP&&p6>WU!Y0j z>YsLovyWe-J29KXJm7jjoP&i`GVxJ~>f1XzH&5&SesueRvxb?G{+)G?%C>yjE#G)O zSQBKeKpF=NujIpB7oz6sElauK;^x+Nb7}pj|0VP5|5aL+znfF@>*ex)_B-bVLc=*n zfJ4jZQ0T(5*11>G&&|;k6ckJ>UiioM;?Zt#@9XPgeN|(2x9;74e8F>Y+Hw%&;Ad&- z>nVu*_4Rf7rCLQ5R&Ft#e(k5nBQNpvFDlO8^ReyAi;IW*)2~4sw1A^Uxt__<(``q< z-{0S-hprBjbPC#0@UUP{ncd9K@%4XGn_5?H@p@T~@auIB7F|h339-{pPfwS23Ia8V zl10UiA2$tN<iaUwniUdpCR}|bsD0F+G~qJ`i>V~zzVNupqbav)KA$xYS|7J}j=M>R zyMy^X&?&0@_W$Z7j%>cLP#Y9J3piM$nH>Gy80NfMGeL-h^KbAKqy7U28ktki&9PJq z)~x^cXQq9_JMiht4N40*QUn_(C@7zq`|TU(h}cfmhkWt6k2$`)x_Wv_)qIP>MIzc^ zI*+YiO@p;k8^i@TB#oHFs=vK4Y-zF6dcXhwzGL+hD}FS|*w@8?P6!6ARnGKU0d1!) z;CRBqr0D9F!{GUMu1)18%?WM}%c7!KKqX1XiDrJg6}IVLxEDb^yO^UzMUwI2&f@1% z<-ES%0z9w${jmT2P3!l2ltH6WpqVKXg%D^&1+WweHBL}fHd|4zzwgH)O=W)_&i<I4 zMNd`kSysIR&D}OIGWSHMy|}P2Xm8b58QK1MF_1*zAjr@-L0#FW=<+gOqnaNDGwtj5 zDXP@|{>Hm{_52AF5;pa&IL^fR^4i+yh_mtPt09gPxWUoFD!Ebr;H#^vQ*UfYblStx zxK8KP)m5R~^>4JN^j>^>Ypb{2?>EMvi&brYy;$7VkzqeShhf!jXny&@(zroM*{mu1 z+M0==!+vaLnP#hjriIVVwLWcnJ?63Sguk@`A_^QFg~mr(Y<@nO9JI42wVvPk{Bnp3 z7I36+G;UB)?mH0>%^c)3cM_=8ViUDBtA45?19R%)v$M@-Tl&nmixm<U4)*YvXH&_P z+F`{9DGV8152!LF`n%<XOqw()<>RBHn=&pceZH|RcebW}{Bl28&;gv4AIg7zddmE0 zb;-j+tj>0Cj@SSDEWh*XwdkeE$NNC%6SEwVC>4Mu1@Hl@kJy&}_)%YRb608hDdwU> zub(`562h|7sg+AeRCMa69j{icHfpL~w#~BQ!-Aaq`|b*;$o=vC<2~Cf7u1q7?gwSE zS2K2PgqBW90vezL-k(ghD179i)l~H3Lt<xN-?SMsB!YuvlY+M8+!QHtV!yMe^7E_; zk$JN6d&+-)N^Sg6GvVW*&3_-1=H#qVln0&n$<lFZlMT3yBcc~$F?sRzv!bA)Er3O& z@qn|#$qw=LC*$k?PIdB8P`B}$XQR0zBp@Wlc13m2mW+#2+$Soz2JLOD$T(=GAE#nF h1>!b`1BxI1H^<A?ecT)+$H2hA;OXk;vd$@?2>?wmI1~T? delta 95962 zcmZ4fh`o6V`vhgCh`AG0&9!p#Q!>*sQ&UupT~v*Xj0}v7bq!2)4U9t!jZ}>t4NXCW zp`N*gVbsJhS0)3?iE~xL4UO~+RE>;OjSNyt64N0%<DI+=d<}df{W3FsOLW~VBjf#w zA!^D}i;6Sz^B~HM4E2okOdt{^l?AB~(UjD(%;eO`ER3~cMh50a5b2akh@_#Vsln!H zjApjnW{J54s>V)vNyP<|<t;SO_~Mg&Tm{j@Bq#6l=0+0}ovdKVGWoRyHgO?zwVUs{ z8ZmA@<KWDKCPOdVD_94}Vk1T-BeThZ?z=XN_;oQ4m?JjN3qHnNKWodDSquyc44y8I zAr*0NV%aN#Q^oC!xMjBloXU_)owoP;q@@j;QkoZaXlN+y=V($|(6MMi1Gfmf!UF~t z7naCV%ii#&idrq$eIfSQ|GmraNnP6FdrQUlQt>=>|65OHzu&v_``&cBPY#@&9866O z3JM%xYJxk5jZ%tA|AhMKnXHVC4gvx!ER2o~f&xZL>P;|33LH-~nVj4{iPX)EfhYi} zYw0;*slf54+ri6=%hJ*^QntV7>8Xpq-|zQVcJDK(e0S%IX{FIih&`<W0!B%S38@jU z6$^fTO3k{uO4QZeJ$Pf%(G^u+Uzrp>a;cZHDp_%{dxk+GQ)_$s<xfvf_r6PB*EUrv zbP>P(p9>GFzQ4WgUi<6I!u)+d+wOl=UA^yF)chW0urHPh3K%72wxrf{D;NCwlDR5; zy&NkmtD)r04T;Qqe?02mQvN<J>)xK7fuH+jt*7PP+cQ%=Wl7*-H<RpZI<GD+cK;RS zxTgNY%}uGNHT3lQ>i&KWZ%#Q95Wm<=r<Vm3CL0u4T6%6=I5=VH6CTFio+HPOP1?QN znxE<IiR|@z-4rA{;^v#>O8xzCm|sLt&{5TEO2XNbOFSpfXsD>DNSX0tYIxj2{e3@_ z(z%T`ikn#E@BJ!vb#1iy-t>Y3gR}E&XWy&&?3-18XNO_!@3-68PIfHZr{<@B791NV zidkBEp8Qmt!1{!xv6WlgXyXjKTC10nCLU_#zWnU$?3+&*&w5;5=KFH}{(p-anb|L$ zn`^x?YHOB=q@?HfcXuD}S1f;jFZB^q(x#G^LI2h`G&21;<z<$8%jLYyXP-8;tyxzW z-Q8XOJSCc~{&z)HRhpOE8M96v6;P-;$SEnLsPG@0zS7s7fhGCO48tqia-)B}TD`u4 zp|>Yvv8A>3<x^9&SB9^T`*ZPNGyBTg-{0O$XH*X~H{Z@9{QQUybNlrbD^~C%HwsME z3_kYdZ0aTF6CF1fOlf3cbUfe&in7J*ZqvRRFqOZ%vrw>J+3mvB)#02`f<HPk7Oj?S ztY<wVd!cVj-d(G`XTQC@ZJBiR{{8uE{Bmd11oZXSe|cZ*<hG`?r==%?J9DPHfB?%U zE=EP=%GNz+vQ3zNe}A9;zwhE=_sbg+4;y{C{9Us0``z-)+uL&4d{wWni(UNx@B4ZY z0Re~fb8|f9Uw^$GuU`Knb4}FNQ!h$$@9envpepP7I^T{a!|5E7hT@Zq`V<s6ZfG$% zx#fI4ablN5<9Yl4bLxJ*T&|P<?pKP6np&Gy$O?!0KOfmk-`tpZ)}mue=A|W^qPkI2 zWP`<ZA`a|Ro^{}faq%;sYby>M6qTCx>+9?EN)eshWuCsisn4V3<>jxj)qj0+)7byh zf)gt>K%w6NOVfX3ls~-LeBQ|L$D7UPH_03hFyY;zp`cLz@29#j&l5pT9-frtA@=t6 zW;aV-U(>C<)6&9H=H?o$Vpsig>GT^@CQoPT<#4Jgbu~}Y(7&!$%ni!>34SasJu`%T zB~RyYe0hDnUpBzequvFa)CE{%q!|^J`)X_67L|vt4tpvP?Q*6ATvRA<SlBT+xyk&O zxP6sRP?cF;@GeV2*;dBLHPCY6BnOA2p`_8v1WlHxZ8<0Vmg+`r*>FX~XdP6ZS4kn| z2phjwT};q&zqv2wmfw5$LR=71<}}v-X>FJ=@lWZV+@kF(m@hB$m7cun&8;VHDS^<! z%F@Z<ghyY(WWQ%l41KHW|Nk}Djofr3#`%;5R6$u=!vsNV>x8~7F)7Y(FDztUULh_B zD$N`OPVDF4kUY8nxZU~kxSd6*>y|{X3|eXv->m~G$5<F072XTf3m8o*zw!L_%=y*t zEX%^CE=l|O%Vfe?aCjZy;E<dseDD>=f&+K9->>t|zP@hjuRBHyq5gWSsE~5xTVLnl z^&GAD|NQ-X^7if955H?ki$E=xV^mbWnXICFrsKex$jus-mNU7pA`0Q8WfO}Jtm3FY za^y(B*H>4KLl$j)rIca>2^PlL&JHHZX5}6-<&Mp4yh<UDWi1L8JkAR$Qv;_Gg#;re zCpVuqAFC%UjdypKU*42@I^*M`qn0oAYGLsy!KkRrS5uIinH{^UWT9s8vViC3=H_Nb zZW4pqA?@IBqNAQyhQ&Sp(8I&+nP+Agmb|#2=zf3I8-GY~ufPrpKgNtpM@?9MeSh!o z+{TkwF9?k~Mn?yEMn&Z!zX*{=@9BDh-{0MR`RHi3*j#ruaYzVkhzFIQ?>BDlp4u;E z+7-1W!%=_Fho;yy;bNLlZ`9VawDd&Cf37i3&dJd+&AimIE@tPX{BJtaq7X9-WEmBe zmnEy&_P8)ah6M))XFfYK)9u@YG-&Mq6l7FX{`ql5bmn=}tSbs4N1d;Q!X2n!%+k_x zVrQZt3!jXI!~fs+|7ZOF_g73Zo=ud+ge9)(r7B~+vw#508;QpC`~S^q>ACTdam&-C z`~Q489kM>o_ME<w5=Vp(<AjNopPxk*aY;rC2(ak1Fvvx<_C#>RNKQL(^l0m$!-r4a zyczjGfJLXPVS-@yA?;>vCNWos6CJJ(&)#qT|Lu1EmZYOxQJd3z|FJoh<%@zm%$hdS zMPLI5lapJ$4%2ELE|v+oUtcbtzf65zg%g7yi%y?I++@KF2Ja~vj(&cAk?+2~ySsah z?w4=hwppkdH7X?Nb8tv*oUWXj{(4XSe>+jVm>KWx?oLnFp8oWfHdCdi+qwDn{vY~( zeLio$Syg#OMD4>vth0>M{gwsn|9UOD<nOQ2Uk}^mUrcnDJ6V50PerBWZ}Pc$wx{o( zpJzM!)%ErB@9{1C;@T~?^}4g>>vQd(G|<j|S1S6L>(A5r`=3mR6LdTv#qnaYpvvvn zcXylr{qeXzWMz<Qx8B3&^Xr$z?k>9+9$$O(^P4+6Cr{Il_d9s-V8{Xo#<E9l^X4kK zc3rr!F?nU^>M)a>8ykGC*MB)CoqwU3o&UvTe>=k)X-7H)Lw1+tR{i_=oO9N+OG~{! z+X&QL+nyi)>gML<uHSW<xtX51IGpIPyf|g!CBcfXSHoAPot;(lP*jj*O=E+d<j>#l z_h%k#Vg*$o-TwBKpHfx@E#=z#<5BmTwG!reGQP7+I*s{{A3q)(5h1ZP=Vtw+$^XB; zxY+#c{QUDQs_I40&PdADA8z9f*;Dbcy={XU<LQ&Pmi8-5kYsXldva$32h-J6p^Nwb z`_;WFY;D%WkEsEmTu^J&B>nH~Z1c=xJ(6q(tx8@9fU5MEWel@gKR!Ml{Q240ow{3i z6%1#a<t|#VK*7x1?(-RAmR=T?CrR};H>I}NSj}L5`~2M8(|_xKy;Q%ouhzPMt^V;o z*~Qo6s(Wp0Z8a4Y8(&>p>z%*<uh~?s(5~5hQYIaFcXk}CKOAy-ZS?jpiW}H6tS|V7 zv++ncDEyDO{q*#7`CoifH#x?*urNluJDliPE3arBBd_)OP^M^Ep`hb|D2^ACCqAE7 zUElZX%ge+1wI9EKU#=OvY{Rp4zO&7?l)a5olY4!AeflFtUv>_5y$K3D7b+ec`}btB zzmQfybHIZ8A08go)YR;B>z6yLb~5Q`mmtUS7EWPM;l8j>*4obG>m*h0jQjg)O-f&d zeEZ7qFyr<%-AvBg2IV0kB8>?RS6*1}Z2FRLhpS#x>%%MsR&KE;8!w2@QkWpxv-)>z z*l~t`dcx{{XD+ZvPPYI1B{*BwZl?NtWrY-#`STg3-T0S2zxJ4a#twrn4yJ2P4%2%a zqA#uv*S{7QvM$E*u#mvhs|%gkolG-N>@0phLm=norq+Ky9`k?uet^5ODDUpBqsfXs zcaI-$*Y^MYv;L>xA1eip*!$aZrFCO=7}U!B=y_0YZf>q-X7JF#q{z7FiN`<r(%BE( znI`wCMQ_hby)P`F;>=_(F=6hvx3|-ONIiM6a``+Xj{`>5e43h?BI4rHA7)z|P_puH z5!k@S<m9%daZ~eoMs?dc9s!E>zg{f<Go?e_Jyvvcv$MkO&5ZRdB_}yfFwA?k@Z|+@ zmz6wnHZwd~&KL{K2z8iO|Nn3KHH$5IcV{h#Y&`J&$>;O->QBz@EPE?8J3DM`lxym# zDG%Q-Y;9;>Q)l(dy8PV*cllZuMn=XrF5GL`{<sSKytJb*`5kw9a1GnqZnc6aR>rFL zd%qj4kqtC)Zs$u~v}k78mU=fsLqi{@1+^DX^z+JyMK&oUh%h<1^)z`(rM5E6Q+v2~ zeXD{~Y>&EUOv>)Ew_Z<APZwukW$ad7;O(|xZg*ebvhw@2=7;yV_sgYjX5r{i+ad7i z8Q*746aEheUtC(sUC*n;<jZ|VnVnxQ<#S(N{EWP1zO$#j%U@)zm}PWOJ*ED5pKQsm zFPY!&UpQ3%@J83Z54sn1l{znRUbxj@@bdJtv$JRBC{($}KiJR2#9TaaVZnnld<>r$ z8~?oE=9pslaN`fhDV(2lLA{i>3(fBzD-3LPzW4U_c6q5QSFcXp`*&g4xy&aGKf^SY zEv=Y#rLaWt{?Kg{$!^+X&QX7L3%7z+y}|Yae?FbJ|9`>!e$C+{k|_*!k{8|`v}aj1 z<+xmRkFQl!{1Xnx_zBD{8<KgxG^Q;LTN|~euu)mdW8(Db;**uOFk1e6)U99P`9b#J z4Fm4X#GOB6etIQDRXv>={-gNE!VIRho<&7QlhtZ(ZcgWK=U=>!-N*hzYNK*xSks4x zCr;F#;PG`xGg&)v@qF`5_O~4lD4yi_Bl<ywDZett=R^HMr`8+Vawm5rWVdEA+E=vP zQ)ChCOWU_^-?qY#HwB`i+F>a^rK~9|;#WYuCBAYM?``HRCPq!mYn(037BsF1T+Eiw zeQsfe;uSF$$>%G-{dUjLI~RFp$;8vl&t^<g^<Gy0d-?%>)-<UZv2yi)3e65XOlG>w z@yqe^q!}|#u*gdBx$JD1a#)|`^Wo<#O!FtL4qv}X(>>fF!l7(IZ{sp%zIC$>sHZ$Q z(6}b>qWA=njKn^9`*Zu`4Ac+SySNB!U}uqO>p8%f`}Nh;EhR65j_r-#U-u`ZLHv;S z>1n#B{Us|Jzt$_y;TLCGlG=7kv@B6Tv|{69c76X(%jWLeko@@3I?j1#KBRD2@9t1Y z;AQz_#JpPdJNtd%AI%D0?6U-CWE`nU@;s9IW{<;xcPBZD7!Q2pS;FKm_x9G-(}j85 z7Rwz|xlGk<PEIUWSgq4pS$H6))Nje!W0zIuopboHAoq~)vJQoWdR-QosXYoOB~A1d z6bks>-`Tl&tHRVu7RJ?z3ENXx?tNOn|DTn6enz0oGncm?9v;s5-?~}i&8`d6I#T)= zibPGauk{qm9I#2&2nqNg%%aoTuy~T7camB%H`BJJhPx95ZzO$W;S=U|`o2ZL(wmXX zp2MQMwXN-w^@;wDM3w~c_IfYLZF%YG>5ZNO8$dmcHC)?$)Hr5{GVWGV)(&6yM24Y2 z?)AOByCWM<<QYtmw^(%W|B--M&)@ud-u`}}m)pG-w_d5I?Qc)X<?=8pDw~x#q*yjJ zaB|$>m2^B~dr3~&Mo<6g?)UqW&+<&tJUIDYpo->Gy}LdBOLr`}J!M8wyHNe4kGs~! zm1{QI@Af|0Ew<>x4V7Kh@ArAx{#AJU_h0>c(Y<#xG?e<d#41|2M0GyguRgft|LG|u z%Ti3s3YR-Q3EIQ4Q%u|E+^eUb-k%PwefBPB%6@S{79UXiXJv<Hwc~*@fen>LOjGMG zFZ0d3wk9&h<&+r9!-gFn6x9#1%hx2-b9)<Q@d|c%xExUnE{XBF^?R+z*K(tytNwFq zFg=Xkr=q&_p5k()))g5O4?S6O!hPeS3jI0X*4epR@8L4K=}<E1SM=WIh6kIg{|im2 z)-rmzPf4rdc>0gu`%Yy}SaZzZbMNZ7@=O2y_V(_7uay+k!Q`C0GuLx_Qplzj;i*N% zO8WKvN&okWKi}`l!srZY?tgX?*bvW>Gpk2IQ{a&ELz$IJ<F=`;m>A4(bZgn$TdCfs zA4yjixHMI%26?2|#P)kF*|36T)uYFb{Bkx<dgokEp1s-WwZr1$?%9{zL^N}(Z*^R; zF4xp^yn6b8c3@_<P_TyMUi(icm|es2w0OM>Kk3!KT@|MnvayHz=ajOrl#^xG@`Kf# z?V@^YCkwhTE17ppo*dk!+;+?G#N4Fr>j!E|s!oRK_B5QGB>049rg^^H+q=839};+y z_v7yF^5R(;Do#$1*6*3H;f2bsC3%;oJLPGeJ!Z?bXPsWm(wHe}3wKmm250i8e<~?Y z)NR|#ZK4~vvA$EJ)Occ2o@&sRU)D~4-$t)vnO(lBa{7WSyLTaBf?^Z@v^J=o;COXz z!8Bf{>%2=N+NX(RG88FS+;F-Us_VPwUqt@CpK8BXWrkK28&Cft%910>I9o+|$3}U} zq9f~8?OPNeCX*8wCe!rgynOv1L-)Tktk-_}dcuW^qi-qqLGzdOMK5%p)(6fwHC@j! z)%Na`Z3lca?TqYVZ@%KpSXZj5@zygVWzHprqZdn`Zl5$^j#ud3yDQUN%U7*4ak}SK zt@P*yhlG;ydAr{_tZZz{@@0-Nn%6FAGj|J^DXw{WVvbMz0zubZ<u(?QLQfo=j>yaG zp6Fv}>?ma1)9SVNSCdQ6iTZ6;$;Wt_x90cUY~CWs@`jOdwz6`8z)}9-(@V1W6|%Bj zZav$We7s1);F5(hqlBJP#jaCp=j@R2J+AL|>E~+0kd=GbXNR8Be)RC|(vaP!<~u)0 zWO*>V$o7$#@Z>4h+ci~m`u=E5UD9^dc4ghwAg1ZeN(mi33*sj2-*5lBOi|jP{*;r1 zx67tx4j1Oqnalj=&s(V3^+nNK@X`tM{CjgaJ=2UTKc)Do=6ifDe0Ye}uVmWHYbv`< zPknD{*sG8boWi1c_xZWG-U=$;v~;7kJczQ`A>o*?%P}oHSoP<(SgSh4Nqw<Bi;BY+ zuk0%KT(u@HbdPu7$0S!DS-tz){X-@?Hr6k^_-EIuIVst{tBedYBNm%jit5dH|FMvT z!N~Zs#45(-T}CS&7kzx>`tA6Kj~^Fu3abVD{q<Fn%i{Fn3$iTy!gqI-dbe{di~Mp` zT*b4by!?6p!vcP#mPeH<I$PU?eGeTvbYo4o*6xA}$D<1FGG{g(;OD(qr4iY<$5vy{ z@=V`=dPV8TMTNeMf=&ILLA(cM%I#C#QI>amTkn2nv6LAT0=bx*UJG^oI;g|a>)p)8 zE4Qk<*)%aHX7>jE8SPt4Ef4)vtlp5zlGE1X5c>1)_xr+iIp4IhudR8we8!3uE57LN z*tt_sFYcDB-tN7!!MFNrmmk)!d&#$Rx0rIgtKF&}Cnnd|l<k=L$@a>-#)XDHJ`c*9 z3Laf6J#A<yxG|o^NLl%Z%t@|x9?41jc3xiYUmP0uqe;P0o`c(iS?jygySuxO?@yi) z9#DMp_HFCE`sd`YbiKU2T_4mR`Xl?tb3zqE)9k-H3LpQl4!PvIEn`Npg2tWB%EWW6 z&se6G7M{Jaqfj}#{`p+nYP0O33-T@uQnEG|68Hr|l=H5x5(RZiVq#(}E*ttBQLxKk zzArRm*#uTe@p2JX*E$sryW@K_wy;kUmX@9!XCbh4r``WQ#b&zAdl<Mygj|&luVJa4 zYf+fgrgn98c)IY^4uu3ACNoDj0n=vTTi51>Ze|kw>0iKj(ABFxyrA*WQ8#Vryo{a& zA1bU0)O!A$zZ0M~V^YZGP16MglFug?Z`my*<jWYYtYPs+&2)Y2w5V&E-lBVA&KPXs zN%UpmnJ9SYjo`%X85fnViDWe0*IxBFYyauB(c3q*J)9n2=b7Jpe!;|u-DSCLxeuP% z*8i)ymhghxXKU%JD+>*ikJVoQHSx}5q@{<v`TF^@@{dIh9~hW@*-i+ot2cPPh=rAd z&%^)yy}gmU8-utnEc){5YWFn#_<08-<$gPEO~{BS;B7iDdu{paY^J1EtwW35dQUwl z;m+mhkT}87(Xx>#w`buc{tsszOD2GWpwiE6LY{1ntk0b|R=KY`9vo1vmvenIVN+cA zhs8%)UE=xXaW9`deNm{1htSWT(^7?kTzuFn%cK;RSST1c2~I9Jmwsu%EOpb*+LO~? zPwez{J|H03IF0e#69fAP+gEbR)fHU6`p3i}Ea8{Kj(utcQLUWr*N-f!ZC_sZXW7^N z&HJVEUhI%_ux4DV!Bu(ar08S&&u8k5=Qu`jUvA~(n8mwB_O;8_*P6l0KA0FNJ}mal zZrZp(&EnWAZWA>@LBTunYGN543v4qlE%B_n+|a;q?LtdS%LnF3d1Bc!x*TRW^u4;a z_H_1#4<8KPGI(S=Du{DDv6OsyWu@@j8yl6yqc^Nwcj1%5DmMEp8^eYb4p%v!@6?Ok zRsSKWT!wSm+V<v^MV9<o51#uj4f&B9$31C+;^i!-Ag!e<R8mgto3-jsSkRU3`=RfI z_9z!;E#X?;dREf&jK$2G`_~tpTKKK4E90Wj;*Yz7;vcIud`Rj!&v`Y(Z^EKivh|Oj zuBl0w6Eewk`XkrMn&^TPy<raz9Y5b5FyYd)lFX>adMneF6Rx*=JUh?E=$yhLx<k;H z`E$FP!9fKlR`dF^>P+V88N4OFmKSm!7^l=<6F<|MJv~p!?aqZnu7k|e)-_CN2#~R< zn2`VJ_V#@L|5C4KnB_{XXXZDqFu1JM+I+wvn`seCqa^Dx<u%dqpq}ot`F*n1Y1Q)_ z9y8Au6EK-kUsb~TgnOTofp)U(P0kNa^0kefT%m2QER4y5CxVSGZOsn1`?z)XmW|!p zrk8Etf84|rKV$p7d0y{AZ(aI!*zwAiZRVz5J_lABu6f?UH8o!+J=*tC`GlSiFDm#N zSv?(gm5IA@O%OPH`fw{Jn}>6hS=b)&XXSe)tYcwO?#=k~-KkzJO!sq^m1p9iNp3Mg z`S+6-F$XOcl${`xlHc3m``}2Lf}@8qf6$|#H7ia{e{?GQLx5zY?VSncM|b^ne(-}U zsOZa|(pPIdP71HB5e@q`fBn(8I_G6;f~WU1NHml@V7t36*80``{r1_s4{kH^GTu^h zV&&)RsA|?^KR35P>?(_Seew_A1%H^&mH#NoFe-ZDQO3Yp=pZMQ5ot1+C-2A%?xH_G z3fJlNvFl~1wDHM$6+b(Z7|eSs*>6U~gBM+|9vEzF$Wh>w`r}$tTYJ-o`QV%jWnW%j z*Jsu#Sm7#f@$k@d<`xdMq#4~GelxE;ShX?!$J2u<TN4kn_31VJ=lRxddZ50a<q5}9 zy^_wE3m-RRd!?kLw5@O0xbw2)j3POY=-)01=TC6tY~1iXYg_Q|mh(G8^Y7G8Et}7= z)UB(*Bxsg^^U29Q(kFL>d1`JKz37p!sd0AZ3a-MvlJn=(IUnzpoy9dl@y>_B$NC{B z%9Om`-P=2T+0sB4&gw?Bw$3ZMu1l`i)jzoLda={2xl`4a`gn?e3gWz{<NK!Ip>3Jn zl)ib~3*DuE27I43wZ~fG(y1(t7n1~M=nC{M;yhI8X!qbW!+wD~bHw%I&cr(>H%i;> zyFMr2qh*bkgN#WrkJEQk$NCJd0^a7CPZ#&wCB^f!e0Y_m-Y08)tcAl)O+s2w+u(A8 z&cpgP{-hSWt=ZT8{5c!iB?OpfL@X$E*!rQRg+<M$W7^8FwNY$7f{e`af<Jm2Vy0?` z_dS^3w6$T$nK_n|O<f9Nt{&>x+V%Xx6D1*zBTRhX3%;=m8i#Xix$4I-^TayA6T(JK z@)C6i+Wu&~k@DSp^u1-}+-q-loncv0X?yzao&+h*`tGok`>t4faAX}+VAkHkRiXIS zdJ9*d(uH?-y8HvH`_d1I3QnF7*5UtFbZ7Xfs$E4)7T%rzPiB`qI#l}V_ChW9haETA zn5LaLkR@$BIh2E`uis(b1i_Xq2VI!c_1vd4EQt7(d7D*h{k(g(O}>~`-xdF1tnf+M zB4+QE-ODc(g#^|AQ~zMg$XR%{T{0qYKid%%w@m+aas3N3o{GdQTvL5(b&vJbBJ=g} zd}n-q_px8<T@h`v#oKpPoTBdj7LR+13Mne@H%y<&+IUAPq11@!w~Eb)g<>TxksDPT zgo54$KaLVT5j)fQjOz6(yx%5qIrfGhef%JN;oX#vaW~q0be`SHug^QabFq)D&y9bo zx$90XdA#aR;;OI@DUZ_h8mB!waWkQ)T+hXM*A;{78;tz;1O$vGF<)5Ht&pJ15iz&t zfF{?*&uunWa#<$J=rWfk?YmnrD@xnfWA3U<N&aH(=}n7X6cubyQVg8)ZSO3vy0E29 zrw(Mf9>0F)-NCS5hrhRM<mr1<-yuI?(kiagS+DNO6#WX4khKu>SX_1WsZ*`eHPE=- zSHtO&tc=Bq386+z?5obrwYGl}k+!b)$y1B{n)@ecUw{1aqgkCy=_KjK|A%LuPAvK7 zWf2<U{~=Vn%7}BLD*NR-Z_bPTa>`~(DvA`GykJAbs;ALyuR^p}Y&{(|RgLH)Kj z*6X=G?+kjsEi^ZA_0KF`C$~>mA5J@KCBYP?s{A3sokOO^O~y4%*V^T4_LS#KQg=?A zEWZA-<ku43#xz!Q_m)##5n_xjg3W&zt6eOwt_^E@6{<gfwdeWxz{j!s94F7uo3*-d z$>vNB4oO2^{Y+hs8$uw1J2Ksc4DNogtdC|oJY{Q(tNO(?sqC2<-;cd<m?eBr_}4>Y z#gZR66O<I5Ug_PSQ@JuAbmAUI1tqn433-;Qw*>iShc8%Gs#P$>&rc;Nb4T6HqB-}Z z?)99iu<%m}_S>)_OkPp>np4y>L6$T94OdTaa0MyOH56*NxqA+0&oa~hG0P5ibv#i? z=P0VLm$4FdN!MRJd)}^x50AA!NmSa_$l$b?UwiqL!|6>c^S&0<)J#yl7UKA5`+Rfx zrHyk}1+Mi{^?j@N*4O30yptS7jSF&ER(*KI{c690Y|}KSdoB@6b}A%@b42v^EMPHz zWpSh0^Og4X#~FwBWnWnw`&LHw!CoyH6Svm6hvb(yo~^$#leuUM!^;#QrsJWDj9UVf zO?H$vpHlmJRqFrF*E(ObqG#5e-uZ0B{t_cT#%fjN6*b)|$sW7XI(ihmO|#Bsl$P{3 zJ4bOceQRw9JuyRQ?<&|@0uCdtMH<3f_BS{GSbb`j`nn@Q$Db{0FPIlv$N67opU>IX zVJW#C9kum}1use_T$;Ax&1&D(L2I>^iJ!k+r_eC#iiYditeN+&@0)OH_f;t+<rSjL z$18m&G>V$nW~Yd|I$Dcdac&C@Idj&h?%&UJr$*b9rPsul6uJMEy8CK(W&4IRIvf#v zjH@RIiljPqC0&Wz5N;_X;P~&k(#mXY`^noH4i#7Tv1-}RtY@lGo;*iE?O=LvZ~p34 z`xK^l@ZMh+D5{mW=w;gHd&O7Q#YtvG?A_w~_H>|Fa!1ddeYLX#c8ZtA1*{RO%f6<d z<Z+(Q{qH1I?@eYBEh@${Z7PeZy2}2ViR;BY;MzSi(^ux{jgxjPjH@S1tor(@&@*?+ zvTg2`wW_nq=JFWN?3hy@CMfz(y?Mp;#id2EW?B6^EMLufIA_<p{Hejq0#jE7AKjv{ zYOU6n?-$!rS8iJ$Vq*IJ=fs&a(;gmbE&2K?be;TS&aA@=cF1hm`T^8GdZ<*qB8<Cn zKHIv;6&t#pDh%cy@M(IrLqKG<^VQqg*VhHs)Y#PbKPrBDdil(_j|^-|E%j2yj*gBw zi>|5Y==5Y?k+CRf@J>q5Eq;E^*E})*)|Sp|>*LRB3Iuqw9sO;x)-&vL(%BWU&ATik zg3rz}-T91<>$#&|{Jt}-KNG~7j<L3WE-^efYlFIgW_fwJUQ*Wkw9?}F$wu>@3T&{D zJlNUqlCB|<+Amb9-D($K+cdB4(w2IIv-VTwJ8WFky0W<Y)wdnFk(&iMa;ys)gQjkK z!YTZ>ecHde4mF-U7rAw=y)#^ANpw4;rLfFj{9|^WXjDp*8>6+wHEU~YVGeGO!=MpV z!TKM3Dv5V?6uw!{c!=o`qc1y4>yN0dSw}-lVq;=J>nvX9Iu@LDwhwuKcXK-b+xj~@ zC)@pgWBiX#ExYILGGFOya~52XGm)1z&wH}!z~=PxX})~FnmHU62X9PrU1v1s>K+BV zjOML}OrE}a<+WqS_Wb+v+<GJq)_$~nCTmfkFj*$##o9pSvrl@Q>NNg*|9D*9xROz9 zMMRI*{z%SmR)vp_@c2$R#Hgkv=y)K4MaR#rK4I3ue(6x<w}H!Qs~f6H*Bn3ktVhr# zK<D>kfz=-;J`FyyQP5#pjD@QG?bS0cO7C5%C6Irowk^%({-GCkmkg>Q-Jig>WfvE@ zuF<@Za<oe{X6pBD{e2Jmx8>bEHH$ao3u`BXWyGeGPRI734CAV=uUt=0*H2%xKtK6- z-%_jk(pMK|7$#?Yes*?EV&tw8O><|}SbO{ZU$UNNUt5#t%XZ?zy}i{p%~?KIRabAe z6u7x+;oXdDYb3J+jb@Agc03xF5s{RX^y0)s<(Q-4*RQkGY0FxbJa~2J!`zFa8OaVu z9NZjKdcdqNtdEsJlM)7EjNOw3ZEVlXHt&zxR-cpkW>(I!yQkKQuh>zQWn{MZw{EC; z+RZlSFE#VUEV)>>&g?zy<m04ZXE?PkqJDzgmrTDEjmbT8f<o)_@B1x0IJbBI2WjKH zy1e9$9*5H(-tB(B>F2@*KOsk(vyYdpc3si1=D?Fr58LH8U3>iTxO~0G<VD@uK_WGu zPO7u8ht@XMD{JMwP*Awwx8Q9PD|g1%S66N9x|-KTZPl{%5ii}fAn@R=jpsnKhEp_y zD<Wo0_^@-C>B+3PHI_<BO}`HxVdCZ7V&*%`#4|q0!GR$=!^z1hLb`bYlkWmnrbH&o zCQI4u4<8KTd6)mGi92<#*ZIJr6c!#?`-&S1Z+-pZ`j#!O7hk;6SNZX!D&@wT{nC7w zKi59Zyne55>&|Px@7`iI*^_R(c<z*P`Ou4@b@M{5hZjwl@mNAaNjbj$uc`ax1Ai8t z+*|$K0;#K#a0)a8(0*&trX7lnmdaXMUB6#*<-IUyWnpKx|6=LE$#L{xGy6|9etQw$ z4SkuH>z94BGEhIGak!25@p{LE1*VLFO(|yj`<nH+&)Caamz@#w%4mFWnW>3Qc=Eaf zGOY1}Kh7n<dMafrLo`2jpW?Is`Olt3$II=3K&$+`WsA0`KUiM>+~0QU<4n%!Z?xYp z;<(+_>z)~6|NHX48<!0?wr{Q5TV-#1d$XpcW_|s_H!Py{=5Ou1FCUGWEF0ohyWZQ) zfycqBpgHgQI@z!9@6X@L<`KjJ={(p8c6@<$9$GV*Jx?6#mp?DXBf#&mHS6k++9xL_ zt|@(Sef@ps*G<b<qy+DD7>Ymn@$vDOU$56+?vu5SP&O=i5irX*oo{wl!l8q63^pF9 zzbLAfYjdFSNkZL^?jOH?ZJMdJy7Hs!oWhIjemg$=WKeWkmOZ2U!5Xe0=JwDx;(i+p zJacbsXk6U=z@B-n;57z&p$UuEm^j~Bmgv^i({rXEr=jrWB_YGKE1ufDmjpLxNLD`X zHUE*hIQ{&*GS;2{ZyH#YX@*(OmzwUMa&c9y$)fu5Vy&np6Jp;6i)Gib1nBTfZ4&>z z-6-oruGW8#;FI=AB4Ia8FR3aUL@P+S3b%Z2syQ&7u}*P^rGDI=8T;FgF`3uY^h;aR z7(ehXdT~MVTRVf|!5bH>R8&+93LUR>x%nBe{du%N)q!LEx-zD|-wG}T0!MFDI5f{> zOW`!H5m2uGvNCqJS!-Kc(G}h~F^)V5NsZSMUtL)_^W5sU{I}A7bR5WMd9o^IXVDvQ zv;ROkzm$^2y0Dc&t!IOKrOltc(+*#^<bM7Cxt*=EXG>fEcG6Dj6?pNcZ)MH=dVviZ zk{`c(c_Kf1@5<Nr{-w!(eSd30OwWe@|Cc(MN?-pp@xt9d^`B>-EL$#k@neaN!W6me zxwU??b{r9j+WuJNY>nfVteZ@;eVMDz9+-8z*1O*EfS|wz2}#SsM=ZDf7cSePZdqbC zN3TsKXmeF&VpSxk)?2sZYAbuzWWI_PbaZe|*!|yCEBKVFsJ+f~U5$V0>x15U<z3m) zwqo06R_$&!C%2;Jd0*;9Sw4v|c25*^xo{vaGk@bV?(6dwt(tmj!us-S=fXEkulsjd zPRe{s>^(V0)8EHR_g}bT?sj={E&Js&mfW9fY`1M`*zj8M%D%#<?bA%&HnV>D@_Btk z$;@SQ<fk_;esSxoN7c&dwQ8(eG$yF==%pNC*|21#LP9b}L{HBFZ<)ex8`js~>b42s zuFd`DS5@k9J7@Zyc-ym8JH(Xj@4w0JJ#zf+qg^V0+3elr&;2($d}DIFwCDc6o2K+> z*^7#cU+!MnTVKfW_vde|qEc-~cfAzZohDfk{#^S7z4pJinDth!H?!c=4aHxdE`NV{ zW@WO7w6N>ay{Cfyx}AN|Iz80vwA<NU$@)W!a)pE*W!`+Wr6ss=y8eY<SG7+_rLcUS zyI07K<9F`F-f6zddh`Fk5A05Q_@bFxvha(t&hO$B79BUYT_rCMJ<R!avNT8X%;f2d zU(A1OzM}f}8|_bee@gXx-`t+mC89Uu?X(AL!fqe$toknRR=m%{yKaeg{vM+_b@7`g zooijTsebb8l|@e;#Ql2Gyz<K1lgl%HaL>Gc;J^;q*`cAuB1#s1&%*lTZn>YgxjM7U zN_4T@UbYGDp8mU}Dkk#1yX|S5R_}SY`s?|(B57~`f4|oI<&yE<wQg=f`S+jxQC`fx z<y@J(botzc>wNdF>|4@#UTpOlDGte#h9#E*1x^&R=s3AOsCVr9U|vynd-9)s-?>CJ zp17E5r>}jxLrBOsJw){0JlR(gdu=C7JU*|Y>skF?BWL*xvu*h|9hR?Cldz5Rlb$uX z=TH6@12e(3cZ(*xy5j%&O#6~mYkF8kR&FxQugRV)nDFtp{qmJ@Uq79CGrd%AVsZGP zl?r#4sYivLd%3XmMV&`^ecy!O6n<AHeP^YVBMbc*Z_a5}P7pL|+F;>wQNgp{wsYe@ zrQQ8;3qQr>g<L)UqvXABc2&^nZL%-B--o3(Jem6Z_vOU@womHSbpGz1=v)<WVCUtM z*J(VW6-yXGS4Xz{%I~_>wmrUnV%XY0c6<BXPX;}yH@l<oL^o@CV4BEbwK?@lT6aEv z+3GTx|LO%h*_S^a{w+~5u#0}QvGTA~ltDV1Yuo(=OOCK;MXoa0`u<Aqy&1n2{<7vh zo}9Jjrm@<^*3ZkQx}ELZ_4Up2S8Y2saju@gb+)GVlg}&b42$i1#VR_V?Xhn?H`mTl z@ZojK(z4Y1udb9oF#htUdwt<QmRiHM9))`K!=K*oUlKpP-n}tgZ$kHK>8)=sOjX-s z+I{MGiqGG<vKb!Y^2-)w-!l7jc9SMYUP6II@4I0A-HM-|em@b{C8Kbny|Ey)W#g;K zLW;^iKV6yNYt6wVrl{=krumN2uGW=r7}wuC-5;=9?dpluPYy?Ne!adg>on)onOlvf z>gU$HZLN2o9j3Vd*4NC7n@Z;-Gnl8OKb2?N%;Up8U4LHvi4vyEz0sWfslPN_4Fe}` zNqp-sQvO=4_V44WS2s-0@~5A-kJfzf#`9nG%kA^EdtHi_rKB9?=hjrU>=dgto2~ys zzi;l8eQTRu9s4_HSL19g<NI>{_I2M`eJjiLdMh$(rrmX{XIbE?8GLOv*Xou4cZYpb zESJX}UbZFeGvmA8ue;u7ylR=gqF+fwLEC%Zyz@&~o;*4*Z~x0Lorkv+KKJ~zHmdpC z+gpC;d28mZy1K$Q*QzA&r*K~JZ0+exD=sJdUpllj+w$Plbt&#{4jFOPg$9ilrkVHJ zW^K*#zwW&5<1X*%n(or|WipF}-Yr{tg0FXn>u>*<(1nk9Hyu%r5uCR7xZdU2hyQus z&wcf=;K?@yWse6_XUuS$6?n&Q{uB<$!Y9TK-=4kTh-m3qaE<AehJ=<<>gk4kU%%Q( z{is-+^ZHcH{&Vx$R@kVlJ9q4=;=y^<WjQXXr=~8?56@e2H2&N|OXKAizVO@EFHclH zCa^`pJURJN{;4(ttMwl4@6Y*8iTALN+VJB!kMF#yJ*=&4@n@&>WXPV$T*hcAb?bNF zwUjOIzgXDXr!j5KyMFS8^PgAT%rDp5*DbaeJ-8xlbD5Q7<o>q?S6AJhuwl7VE7#@q z(%&yU<$k`R`ghfrckc0*CZxxEsVhIeUo*Wv?%(~}HtHciAB)bsvF&I1tlv+KUR}*! z;hB<hIN$za%k=pR1K0a3>3m-^<DU2|NefB;ifXaFUtZ02d(Y21(LLqtwaGEt)wDf5 zyq2o2F2C@>FLU7m4cGo7p%bLd^9m$?|M|1iPV(Z0D;}JSzE8L*^8T5~l|IIAE8ea4 z&oktoH1}rx<oG$38z*jLVJuckC^Kr(X#&loT{-?uZT`QSn2Bv~u0F}$Hg`!~?5o*Z z_A0(w7V9!oYTCMcUd&Zn7Op<U60q8jIci&)H1GTCt+hJS{`?AWGn_n0=;gdiOB8~Z z`PRz2X6$*neg7ZhEvLDS4v8l}mH+g2k^j`p$J$*z9E)ddeO144*^<)V=h!|rO0pa| zy|%@AZJ64!%*k<n*S}@V6721<v3_94#lPEccKQ5eGhS$9MK0Ib`|FrVUFIyoSfeJ+ z=5=w)^mMa>+Rxq1{Mg0IzgE%u?1>eL{+IUMwVom4sJ!^(+uJLzY@I4Ud+L|tCeKt1 zzSUG_zvAJt+1A<a(&n?aqh5N|%12Y@+p|kLGMcA2Tou0(?szO9#qX-R@`=)xGmB?6 zSSVaxweg?N2_@cMNv_8)xw{@M{2-Hc;>GE6bFFu-(!V6_ARGx=EZMYbX{Y(KJrm8E z9O|47yh%y<`|E4V_3!upKR=zI-ypSK(sG4~^4F<pPxecPl~v2w?&<f^t?jOVrW<pv zQa|lntjp!Ay%p~}1*%e?FlepzIJU=CyF%j1l^<F6bgqdg@Q5=_<~ySky6UH9@X=15 z%TGlmFN!spT(1ASvCZ!92U#vot|k8aeod^hGgYabySz_+8LPa1x)7tW=$YW7UDm-) zH8Qo^eu#hLH}r73^3VLeWwMFn!Is|D_0yl6nD_RdxZEL4Yon$w{|{dO=dHMof73co z&E1dJ*5-GmrF^|6Z1URm@ud^e*83N4F<v6+n3gW`sKsqb?(J=JHpR~Gafog^wRgqm zPq*{;Z`7Z-(M84j%>-vnJG*(y{OA7*xV$<2{G}a*k25YU@tku>Huun>LvJ<}RhCJd z_}<j8vtGc!T5_gMrP1_xZcp8-C*M5H|Nph|q|$Z$p|6%*T-NwW@}tqc^psOS#Bb;? z3E!{iHQ8W({uBk(<oNkstLvkjc%{sjuYA9J<&1~sjnc)p-FIISu4P+UCHPK6RMM|F zeeY6_?tb4%f^Q?7tv{t4Z+la+`+bPU>TMg}|GOJ)$k>=)?^XJ=r~3Ol*;|_mb#rfR zxb-Gw$;|l7(0zMZ-ddELR57}=GSbvlB43JU|1pPkr)F-=^u9dlPUrcK%5_Y;Qe5V@ zWEiJBGu~A2&}moISFPGF7u{cWGb*|j?(7!VFZwGkFE6iW)Sk6<f@3q=#!4QhVBhU| zcQ5@u#L~O(SJN-Ockk-o#pv*}ItBLl#a|E?Z3#3K-_JW?3TR8it*zNR^<UjM@bmud z+p`1wm|folq^Ey)aPS#pkeeV&jtJxC$%0SB9cR8dH<x$y%UlumxM>?NnpF42E-ad- zHEUVZ$BQQN`^{(az4<#kKf`pY^6TjLwNA!r{ZCg2%+QsqU!>n#m&>)fzU6gTk=lH& zsgcSHvX{5*cmMcEkL@IfQuMhw7K@akA8l%ps1rYV>dWWz56_q~?6h^$?|<Qb+idM} z=jX~d9~M9S!=G{QO{U}))mi#(X|n`>EV>iuzl}rM?3xd=Z_sYHsVk;_ijCZrb=o=l z=I&zYUWqHcYX1Ktes140FLCyVyEp&Ve-~3TJaAv8$WUXF(jwt0hc~-ie!}K|XG`D> z-hUah)LiQKoH@Z^=l;<=w!P}klfuXC`W%1!93q;Unl7D9yx_Me>U{$v^Ng4VnT)3U z8mnG^dwYAj_LoQ2fBd$}IC|tVBsD*(-sSrFg8albgVg#TiL?BLw7xG|W%<ma{-2HS z9E<vi|K$vmSk{ZD`Oc`>)a`TS*Q;cvvlI8G9z1upQmOW>IOFEY6NOa0Hp~^dD*x8^ z-;L!tr&%YaAJIO&^tsCU6W_a^U7VCJ7BJ<K%mMQym9P0)W-I>@u?f9)sZe-%@P9?+ zihFILUK4hAExNg=>%+@iGd3$JukV#Q6V<l%_xWJe`)BI2pB}J(dZF>|m+uQthiu#9 zzm88ZXzlBM#?9X6{d!+4<5IR;v+3X?roV5e7r%TwbMni*{reXkJRI*c-`Lt{(e52* zPMqM@-|ski+1Y2yc6jbteCd(%>M!Tk{QPp}#76$N@9)eMe#7$T%7XOFZ%yxw-qhY* z_0;6$)#o!#D6mM^S?!$qwO;GI2lLeQB}HbHZs%6pD6`5fxg@-HvzqSC*nZpZ?PAR4 z*Uuagko5HOdZcrqTTFM+EYs{|<*^%+Sl`~?KmR0S%Ij-u*PL{4jraX<6SV3%io4cP zG_FPbuu86ngMvdrfo#h*iK#`3E0%P#oB3X53}RAhHnac#$9S$)Y1h5l@Aa{-E-q%D zz3cz4*ZOL%H#27iPkAq<#q*lGCZ)hvOpql<mT~hW!5EznhxZpeOpM;Uwt2zY_ft+C z*!B2E>8p!+zf;n<>+dc%+F%y$w^H(Rq{OZF%kGC4-&oOpYURfFDH`{eJWRj1D$kPd z=HGwMeRHNYIwWr@I;q6Rn)mxfa!8Er&U(eQKlM-V3*4!vC3&SMC+5HQ-}g%wJ_)`! z-8oiy%42n<pa&0DYVZHEW5&Ii7Hxa9Ll%|E$}j)@ic{dp6Z5G%&fK(oJt6IGVZr0} z#Tq#u6v8-;?v<4GwchsUV4dE2jn9{}C#MxmPk8El>GQ?5lK1~?``A`R?9{UVC>uI6 z`TOdEJN0Kwly`kjb#uGGmbjzi*v<u?HYtR2nrQCNx#4?a{vz!en~S?&l_;A;Jk6Fo z;J3;neSg*Gx}}9hX-11%zbP$|TDW)V-h!GWPCNbCQ<T?gN)`p@-Cwx$HUDLA=1t$3 zC-SV>x!+4dN%@2L!Lzbyf)<mqn0Q$_dY`HAY+?$Yuxz74y|u;KLoJ{0RliS-klDgp zFvIlA+-nm1^Jlarlr^%kCZ1G~EO5KlA$Z2|Lel{rmg0cLZoMbYpYIpm)5y{*Z$A&T zYVy^+z18m+Cke7JO1m9claliE)YLgla{jX4wAP>deSF2Ea(2JC#X{@+LQZ$wGCA<* zNT4X+nnf93=AYUc@}WMeLi^SurEMXZfer=<$2@&~6ZZ?Q-}Ln7O4&5F+Jn6%7n}G) z@Ad0j21<FH_DJozaMfi2|CRHf?PsmKbbkE<mXrde+&gPbYqvb8)_R#)b2Z}5jqBRJ zOj`_>Pp#x|Vaa@${p0FV#>4acuYbyTZBzV7qjvj;U^P3#K*25z3(fnB>VrZS7Kv6p ze#>uhzhfWsYQvNzp55D~tmu$hG<kvoZ_4xf;IyJGeTtT=vtH~m{+Mc2|Bc0R<yW(u zOHI#e_L<bazacJka&2Ipjri1=94x0cmqfZ2NUhxEbbn@u^@}y9E=kW{lXdyZ*<DOu z{{H5FaXS9nrS!+vH(sAVrgkm2qo?L?{nzU<zRdQ#z8|C=-s()4^6czor*-cAa=x-w zB^4*8G~bH)ac07Pwr8)dtQ7t|Ek*Uhz61MHj`zvtv@!l{wC9|Yv3T*~n^&4&tNGaO zt@@fY*=u9c(Hqm3Bpz<_iA(UhWGXB<i(gGvK)sfcv#GzgLm}Zc$Bd~x4QHoo2Dimk z9^F(g8mP<p>QL$O6<)1IAxG7J%YR7ME|+$V(b;+Lk5yUP!oAy?+@%iQSlp$T_WncH zs=bca7r!_fcQgA*PHO$O9elAyOzfc(_<po*$-dtA>-+ojYW_1^7OZrLZuudmA2(;x z<jIkXe?OfbUo<V;S3oajXHn|Dd5)`@CN1DR6>E}lp`pHwSNd3`$AZ+tq9P@WjY&P1 zmU?ey)^V!eyJydg9|vlBzuih#P|Vn$d)w^ayQ|^xwI0pI&(28BvMOEWXgpExUxh#? zXxPhRL--nJ@QT|rUT(X}-k#d#d&A{zDBt}41q~BevgY|N4Sn<e%Tj5Pb#sIl{u1A) z$F$AQZJX>4hx(^py94L0O5MzQEIzbhUF^wTsp}J@-M1yAUUZn=qrmj^)YSiTwk+&q z_}ReE`p3zEK}<jH%wk!aiV1UlKki*8$rr_IaXRanL<@g*)4u|(R)4NJSr6@*Caa#Y zS#gqeGS8yK1(A)CY)jag<V7?39ed_gz0&-~>1bi}?2xzlBlqI^EY^vFf-ExNV$O!K z;p}Z|>H74KjIVA>R=R9Dzv0*PB8l9H!?RCKIb|ht<D$Px_WSeGPI^Ry$jl9L*}D4E z%9DP1s!J}sub;o@dtAoM*x;Xb(kv>nd*&!LHT+ddFf(G3R-dze%Z-}ftuD5jbGer* zYYDON%{q4C`s(kOH`~sxpA>xY&@q$gW_&#kL8o$~Hh$FTeU&b=^TwhRIowmlm#&Pz zCK$uVGxO#)wUi?{0jC_A8m<Z&NK0P4(%Uh+oMq<6z4o>j_wnuA{V!epTu!{^%2N+Z zn!9Gbm|uIPML9va`h-lQtJbNkmaa`&SuRsT&#YCswdcExul&T)yHW>lTd>r(^hmT% z-Xg@3BgnXU;>3RYe>3(TF3p{{ZAW7A^%6CMwm;|H19u+_?R`}gZh2Zavc4m(;?xfH zq{VDg-O8F7WWoX+KJL7Cc4ff9|4ts8Zyk8Ic>2mvUH?^~JD1#jb9tJ$R?3lS{e5eE z1x`pYZk{N3<n8vXt6g(9$+*_tDw}vX^mTox|LS?O<{nXBc8UKre^G1L^+z{<&rUI) zSCcQ#aYS=}|59nbi=S(wQldXb-oDt`@Vex^9~bW{opa}(S)FonJJY(PN0}o+9%S~9 zZUs5HjUW3|md(iCQmY@VHaqOAcHmbpA=!r>&zlzRjoBgP$?g}s^r7u+$-SY5=OuWj zdh*t{_RL_JZX(8#!v!*<<;+5>rHiMY=<D=e=wEN?cK(~u*>(S)zbn?-80aV(7<hOY z-wvH)H5@rN<rfr1-dX-G_y1|%#4|6vr+mwKbm7>OcS^U6lRp@3>zyE&JaJN4Q^Q-u z1TmwcmzPxi!h1?S^l7B8J9feTc;1ENwSRqX-Mgt>pSb1wjnG$jH|kYRHL<(8%X6|v z@@i!PIa&YL|LxXl*@PQfF|Xft;%xP~!0T!Eo`hdK{OWbt7tYVKdRp4si)AHL?1e#_ z4KE8Aa7#Lh9els<x8CJNfy;DHnnla+m+-9iYrXt9*Qc7xZN>9<i!)D7m&=Xn?GiIw zH?22!(S-fst@TmH|5q?IvxcUf-qzJ2Vr)32`trNYUosXKh3sGT@#?(K=8u-WwbMVz z5wur1SzBm1r=;QA9iG{a3>-6BdltN7ex>w6Y-W*51^?gK^-DHS{OMj|Xdy4*2b$vT z{aX`KcD<{Qqu=@c$^TbOt?K?+m1cxZ{j+K1s~irFkl?())h6|(D>Z+*=#(ycF?r&R z8xgPW?lu=+={zmOn@j86yIWhkSO0Me*joPc)6+T6WLG|UeRZ|Far(Iu3*&97hEq3w z7H3LRR6cL>nJ0W&&99fsW##s+Hh+|HcV4y@yXRY(x25~N{>n<u_7FX_BUst~y-cs- z+%@e7E*2|_2-OA8ycx4{X;Hn`xz0ZuU-Nv;e(x=M+GE<iIS<lv<ZF#SeZOCS88jnw zcUS2#zKM^^Cae1|(+XWxptuLAKXqk^r|`F>&Os-p>8if5KhEIo-tK-tCxt~+dAZL_ zr?0QCmscFvaPaf>q!-WMif0ylKNb?OTxaLT+3hc%eYlxmRr!#u-gIqj<E(2d6H4;9 zlAli~vvhxO?rwF-4<7^h#cig0S9<2kYrP9O@`Uld*7Ixg&1IJ^jLi=4{U~TCX&-F; zVzS_sZpYY}lE!HgGOsQybpG;o`~4zb=_wl|?CWZ(MB_znF?ZhFk}1r}%365Q)~00P zIUbfLNjEp8mOMDXIFI@5^K<ocPyY=JW}8}RxNYB?dwXYVbNv5!Ts~$C|6?8*iwWHi zckbR@oM<od$$4trm2H-_zf2aM>sxs0;Ic=`Z&?|m-45ua9JzXR>g5BwcRZ0-KihF5 zW!Ba=3cNLI68q)`3wAb2%jMP7?Mq$Zw{=rUSk|2<H{Y|Grk;!8Ti&o*&_}>ggu7m( zLdE@c<K)+~a@AkHeR%f7W!v96`z&X<ScT~QErTs4ux)9ulRW6V$A7-vpG)HP_4RcP zQr2ZUTQe`Won+`Z{_E@OnH3I~85cEhr`+9D8u_^K)P8@vpDG6v<(Dx2ZR3|eck~lz zrx@#pfSccMY)m#uJj9~6@A}5%<L&j!+!pS+aN$D9WHX~M{n%YoIC=i~O<2!a-~8o) z;`@Jpf9HI14BWTI<@M_OY*$-Ad%-M&Sr})#D_l=uQDIr-G3Da9exvH2f~#WJ&N&$< z`YU4YzMAH9F+9pPzRgpvgkFu~7S);|uekNqyoIcmwVFN?Cm3k0`LJ&F2J>Z?^1`}p z>Q^7*+9BmPIpoK>j;{h2RFyA;f!3IA6`!gder^NDj46SE<yTe&{<*ue_&LYl?Kw9O zMREVAe$dLU^W$*h8d2@A3H|;2dp{iFe)9aed;Xq}Y~N!yr}2g}#_cFb{AHsc@Z<j3 zv%Q_XJ{AIBTtka}FEiR(XLwv-t5aX@H&-d-<=gs;i`i@c|NS0w)m%%fYxTl(Rp=(N zg`7cp3WwZX1U8hi6#2S+SUlUV*6Q!)^Y+{Jg?F#bzxz(RMDfS8t}P!=Pqd0JPYg5{ ze%Z6ja$dOq^QWPlzp_MaR%W#Zg&gUKQWv|upqY10)pNaAzP_;a8sFGg25+5HHFaUo zyK_5l)n^8M%KepnzfyGDYrnm>em%?5d6{Dc+A4KjHG^fr%M0Q*7L7a&E*YZ762ltn zIhB|fvS!}hWjfnk;e%~s+N16F>lSBUU$=2*+SOH|A<KLu|NUFL{azA#<eBx_<?rr1 z{3Kzp`#`6eq2WZ&W9Ij3oK3Tri9VDu$q>kP+*SJe*yDPIN|latTQV<4L^EF&{PS^w zm7|M5K?=yrV)}7D8}>e8pEmz#(F@(EJr8-d223<6ox1FZOpz*!`I(GeOY&x=PWj2U zgyqSRoikqk<9-&=Z`(g{#*|rosV+WEhm3lkgnraaot8UyPr+Q@yv0@57HU0x>Ul+H z!7PgoJ;|SMHlN>7A11bi=U35}7lCH&4GkGh+=(lLmS()VvQnn;^Q+bCH?c7@fj6+} zvBNj8ImkWGU!?#0`}^hF@7K*PdvoJpnuLJt7trp(_3QKR@7q%J)a#pI<2P0ou4QsF zIwqWzdcrz$?Z?_MrpbIJ7QdK;T53Bvn6~vftUJk3SAV6#=|ae~ZTk|v*Yo$S-N;$K zqHrx&$R?M<&A#FyVyDe7?`TQs3N|gM2{G|Iy6N4M#c{_%I!tdny-8Vc<#xGdM5b+1 z{)*{U<>jSH;o|D6o;~&y6-j$GDP!qLt0KJ_HnH6vb0v!#XB{pqImxj_%HQTA%l!wY zjEoL5ay>jacxCIYrgU;`5ir>Au(_Lk)u*SYFV8ScUg6Zr^+ufO|Ag=N>*vc=zcDm> znNj=v%*?~J4?ll=Iz9fA#0%!LUTdSaMm%N`HUsa3JKt(>Kk;ze(t0+brf&uxA6N@0 z%;?zQc4DS+`V1LI=Sfp-Dn2wQDJvVBH2!qI{O5^4&`ULr2;Ro}n8|`Ru01lApBBpR zy&9IkSU5H)YSPqbW(%1uzRK}MT0u9~XuIYL&k%1qoEJ2qs&a?uVy~w*H_ikso5DS{ z;AB1DWb?<9Ii>nvM0b{$nzZGud{oLYpY7v;(}&O291&nQw*1QX)>>@Ep)HRyJG!Q= ze3on1lzeTnsyy$qiGmp%RrTNA%uIaVk+(8Xd94le9G8z?zP?Xo6xQ$g#P##nvYFQe zW^u>!-Fc9Gecf69XjSiNKHv2l<+;w7bS!A(WKEM0;QZ0LK;A^(;G}{STb-uCVG;d# zW&aK~iuSG3nBBN&(Fab@E*;0_rs;WCi_fmSI-yKmUN-DzmYpN00P|-|sDD@CB~U5R z_;-2!g<G-VAy@Bj(sFlA?LOFiqIbg!i(PVp?hh|=vdr%=()w%TV_h*dAgXcFtF#_< z)#~ZLzsm>Y)Ce6ty8Hs~*7qD=56zp~C8nhBdSs9H^aY#dt@B~gRsG%1ercxm!z<gJ zL$e}xuUWcmVxg~#g1+&FSvx{9^*A`{nW{LR%<4HH$n7u0%I)>UJuUruJp1~K_uZXC z&vkve5};~z+A~im_}m?luUF*OZDCQ+<UAG5q@t>(=b7mvq8YeMx%_(4tF*UkF3l8I zoc_r5>go<(%M<s)QnVCbnyt6heA;)(P9-2GW>b}>(4-koa_f$s-0j0z6u8eg&Syu= znfi6LmySy(-Z;+W^zy|`fvPo?uA%X6n_kQ+@{3x$c7kB`0-a(GrfH4};VCR98*XjQ zJ}&o6DN?yX{g?eW)=S@%4_;Z|R(Wn8mr{c7&XsvD6jTi|RhHjb%8{CMfLGqU?uE}B zQO&~8?541X^G@YISn}${f@yqleyjMn_pcT=(P0g(|Hu2}0mH^ayT6(LN?c#@@O}0F ze}5kwto7Pi>%a2o`xW1Jv&`q)GwJH;39tA+v8V^HdD<Ao%^~!mv5md>un21~cgGY{ z)ws1UfBY1fx<IeT%Jl2Pd23g%_#0i!YBYT#XwjpXW~MsF4LPQrO3ECS&U;xay1FI$ z57-}f$~b*c;ah!b!?GinZQoBmdT`5PLE(%rfn{8a7EEMQ6IfY3bAyYfZ>yV8$w_xh z(XJY0qj!o$izZIHB&X+N(xp}0rFT+u*$LibI;;L<nJ^`B^X<HFtf3_){P)XCTbi^A zo+tldoHnhpsbRuIo5ol*QI<1J4(m>EXnj5O@>IaB<jrf&9b~txskdwLi|JY<ZRk0t zQIz$@l2!TlWJ@L(JSpc4aOFKcwP=~!Roj&tMYf&Z&7Ab;jFX!Wi_a`>CbJfhQIozX zh}t}Q=UUX~kdealHu&5+_R!FT{H3ugR(5cH>g<SMZ}fHyoMR{&HDOxmsi1DFrzdV3 zmvdfNv$<%dt2m>ga*-WJJ=3>tkXf79zrDWR&u!Z|gS|00d{O5Amj+#Z-huxFkFMNQ z?z!qwtk!YUpfj7bj!UtgThXZX$NWQ8Pf?fel1r*{R+Qc?3fbPJ(!S}rvTn+edHwU& z*zQ-Jplx(%O{DR<{TH@mUjEa!_~!Te-{)$r>I^6Kt-8MRK*p*SpE^RmeXCDMO3FPR zzBylypRFgn^AVHt;+LzG6i%EET3w`>6|#7X(a|YkrkR%}`E=eeXR7pdJ9PN)PQISW zlPA|QYpxb&`NYr|Gf_~5X;;lpqsoekCkHQmzCPvox?>teLaxi#xlG(1&N<a&rNI8j zQzw7=*4HN|Q{b$eADHn&ch}-o8y@Y=s}J?hidZXhbydivi`(bxf1f@*g=OWv_`08| zpwk=9y17l5z}x!njP5k4>vvvH`S?kk$x=;ug}}{4uH5U&G?QXk@?6v}9eprcWI^0? z=lr5RUXiQLCfsp94Vkr#*2VSja7_#>6j^mIe|6TL(9^qvd%ILu>^jvK<yYgdrh3w| zgE{rTQdG>$roBG1z>b^iW@J!6VfpoSv0tu+$A2{OP`0oCSF_H&S>&_E^uYafwTq{J z`1ARE@m(qBnJK+;M;x5j2x`6xUmrJjcH)xt3JGN_MLuqR^K4FD)%Krbk@(H^WWzE= z0m0d4mOM#1q3h+b?{Ix}rN!jsT+3Z28T(IFldBiks?YfUtYddqip#1hgTRfQb69v2 zj|skdpJgYf#TlhIb=uyRU$^Ia?T$8=@62@fiHc@otTk%7!o1?h?!3EJ-ag+i%$Th1 z|E%PLMoam_L#*qvn?*jajoSLisX~F#LovT6Vv@^3f%uvloA4-cL6#iOMw!WiA{9;< z>lX^$-L~c6pB?qnu2`SaS*TRvq%5qdqSV-Q^}hKcp%q1y{7s*ib#E3<Pc999pI1?? zne~xp*)kdSmK#c!wYJE9_<Eqa>6d)x)qw8hb58Ae^>}IA(ux0M-=EWJUX#LL#+vM& zv)bQn!Ays(cR`(lJ9?{nm30qJ)ehgW;LeYak1uP#U-XX0<IFPO`q>;OW#4NC%ggNx zNM~zWwQEISF|2O@>KZIrAH6-#q~b$Dp0tF72WX?C_`$=6Z@;;@`DeZg{}Brg1_w{x zkn2Z(7}UP@`v325=A9jdEV(AHuEgxAm?$J9v?A$f*PqJA$9i{K{wjNa&(?OqgJyoa z2T~RnPJy}`tLxccbFX{+?p;v$Nx^#lZ`+p3OctDS^;kcXmc8I_2ZdK*U6+(pRwfl` zdhMRz^Fuz++GFcFp{0}7D`|UbY8lQn`YrO?{IS-(xpOZ4b8p(@{_pIrs0|5G-$Ls$ z>$T5vpWsW6+q*n9;qUwRzgO+b=<<5smy-2ood<h*;?p&2%1?wYtuNm%BjugNH8uBY zr`J>sw|TE*qxyoh9vwaHoLPBgcZWg(2V<=fQ_zLw{_=G%yZ7(6U#=p7=z6frt#hzh z@RA|$0PCi_ySvtWWYDbmbW;5$3-ej_U%c{mb0$ril=0=o#TbV7UtV60$rN{47O~Of zt&ET9i~Xsm#nkNU7cN{F6TsiJYQOh%y{C(61<vs1@||D{Qg;Z*Vm`t;wblOLkK|tu z+vRUeXZc(9?vCgFzu%;@tv75t+AY3ZoSEfh<-=C-9ghsOejht>WW!7LH0}KS_gj2U zxlQ@lAjW$1|Cgt8%kO!r=NGwgG3|6!IG)0ivpZ&IkxAmAmOJYlf8DNUVDh*sx447z zj7?{khRUk)KWEo3+44O}t2%3kl5x4Cg~-hvtM%rT|5uf*u;2e%{ZqEN%gp9W9bEUg zS9Z&u;PP=v6`ARv7M|{!7t<vk_GGbv<^SJBf$#NR6;BXYuC(ashQ{DS@1}uHYgqf@ z>T2<9eXa0ya}xFa?f;sDUy(Jf&l0I;zi<A9J+a|h($7y%{}dG#Duyr~i_i{RqaZIY zzwh8;1C4c!6HK$OUD%d;+hUUdfBMCX7uOuT0Gj#u^7s3F<A)CS^<sA|$@X>da^P~P zGhsaF=o()u)cR_lprGJO7q({#J?u`!Z*CaQGR+oSzqjGnTg6T32O1c2q@1oM)^nT* zyC61m)!Acp4wJsHGV-)?iEi5c*+nd8Hpi10Jr7*m=Ly>Ac5pB`Fo=iRG<I?@RW>&0 zNj4s1_$Szwx99r$_;e!%A;!-<DZjtHT{E%aCR0?;wYAZkce?KGbQb2}WqDO_FlKS} z<aRb@zD+aa#jjYEzB2H<z#_%s@9+O_jeC8++?TWF_XW6moC<hbD_PTIIkt!^D0)xR zNt73fW%O+P=6w1!3lmEdQ!kH9`o?YjcE37yH!Nsi`1|Yi`j^fO{1di(zgMlV&)0uO zlOv_mq3<L|&f@sGpQ?)2J315?K<5utPM8kbIpi$Gm78l|=`+V-A}8pWj6><nYGN++ z5BB_ewR+9LhK7y@YJ5S3A09ZGy?^nI)e*FjZMIIrH^(W89Na9+ax69-s+lxr&KVc> zT5fT@Gg2Zmd>=gE>g8s!(@@}6koaMnxo9iv!4+X^rLwgWq*lf4wE`V=13F`FRn%6k ze*T|NCi~y0X)(=as<A44b%f<aMB_5oZh`tsZ<+6lB&aBH%-{wUjw}B8&9!oki;H^} z)!mc)9CXgmXXcFOr?0CjU&ylgctkiS?jj2dgIdpo2?A19B^tAX67m{<vi_5}5TU?R zerASY$U-O9Z`_UbCw{-*f4)xK0M>I@*m%HM@|c5sMtDK2%ls89H1t%sayew`Bbu9= zGjD82%sF+iR9J;&mPCfdgA6XvVHw|l{(8Osr?CLn1pX}t=gfG1tXFzZSP%bY0hxe{ z>~(4iULOJ*+gNUiB`i95Vxsa&$7Z%YY#HqiDVw}6v>9$>t1T=mQ`_Uh!dR?0LD{Hj ziRF)lkJ*)!I5I%n{EHR!1uvZ7sIjT%dHTHJb4IU}X+`IU`v<tMZ>swGYU8&<*RN0C zZ(aRO=Xa`$n_JM^TU&2FXZj~NtDf^uK*BOdma~uh?fn=T8P}*fM7KO$^#0@H<CfCe zKH9soL8pO4yk=a)xPJoZm>^%>S@G;!)H|v!{&GItRHmS$U}w;L=*i`Mwbf1cyTv%` zn>l1MLL8=Tke{G+g_n8bC6?>i8I=xt31LnDIckzX$M-lWxTmnJa-Se*5zq-bGU|!U z23GK47)!tN`||yXDKWL3HZS;?ILn$&hrSah`edzd6h~jr%R1|NI%ZpELxx+<=N(U` zhgxb$Hny>`dM-Ta=&`Ot;Xwz-la3yR`hBvANgsZQ39`5tD?M+}Rd}!><%rmZsiquE z)7(H7M+x3Ad$>eZ2^?w%!~_J4lDOR+uPJam;c1kaDA+Q^H0#O>MgO;ReD(Lra55TR zwzzb0%l^F_k{kCYt7(g|n6MPNxCw;w>*rc*?BZZrBssD8fSAAq-sG*VUr$J})H6CB zFkun6^sj?U(%7n0E$M3D{R2}b-B_mU8FgEkX_4f{<BQd@#XyIF9Vinp=<HE=CRg}Y zPEKyyX68uGdCCeYD(Cqdp$EF{b~}(^G-=YLLmS^|Xs9Z2yiig|aXH5hIq$6TjzWTo zq+?ae6;+2v#)6IxCpzXZH`POq#C+y-Aj4==_H{kIeJ!hIC^a=Ko-BAp*zwofq@!IY z4}z_C5ZGYA<TiQY{Q7@0PZudmFX&Ksa3bZ&hlht_yyQfqyT$d-MY~p(|L~q`Q~7D( zs#Q{a2FYn*H9EWZ6`64|H8m(qxG!ihtEcAI%jF_cQm0f`xw16wQK(neXld(Otq~?B zC+8QsD&%3-N{y&Azk`<lZs+g6cyDj@<@VOjPyhb@j(Ok{e{Egt>8WweO-(#{%B%N1 zi`o7!LgQZBrB4c>(cAO3J_#|}rT{v3b0^3%Mum@D)*1XfCY}Ez%jxBt3XX`wjiOJO zMZKlXay%@=y{GG)O}!qywLa_Uk|T4N+;c-5T#qFtvrLUoPD;vg%a)LrKd-{8`fl;! z#hJIZWSW$|3Q69kl*<A-7xRQLOOKi|XvKO;`U)jYC60(_<EElF=gysDDPE}PI7Pf> z7N`)hv$KmaioHDJ&!^M+Ce`0^b`?G464eN3`1Sq0zsIKL{fgJu#XhbVVh5dy)zQJB zC&<t1aeZCv%oi+dY(>^nCb(yo`(9fc?Oyx)TWyA=LYhWF*s73~I=>eM=Utm~Rgxq9 z^UKT2FQ3-m?=#oBTy4sP{M*~s&e_rgiqIQ6pqT2Dwf@BV?xMT=)|+Qf9O`jqSk?z> zj9s_jk4nt7xNc%u_s62%H2YeQUd#@Mef#!FhHa_*oW^H8-#lM#zq9g(!~FIeG})Pg zf;Ol5UgJyX0UZbwwm#0+w(83QVRb)&bGcs*e=jU7ys|0PyQ!1;$@6=At8?b930W!h zyXQq#;skvI9i1NSRr74Cr>zQIee9nK=$Na@&97$9HkGdS2)H7|FJMrASwu7F$c?<R z>wZQXZrxR!%*xHgub2?T78Y~HJm-dkY1S113D(ATfel+`rae0|bIr<z$YT?J{QS9b zo3r+ViWe6Yv+nJ&R8>>^<SXI%Yr)ZmX$(!p5=WAMe0X?eiKnodRkxV#qxbuMJmQYp zS)}^*_ICYREsI?L83v8(;`h%>tg8R99#jGOc=33q)fR6~OZK;)unTme;J3H8d*3Ea zf4ju2H&)Ipa*M(Nju|ntk6pbwHSf+2M?F0~riBWiO^KHnCZC$5>TRUJFU++?R8diJ z&BBHQI?PQBlTROPX20pO(DfK-F}&r$=N(fRH5+CwOFG(hM|`6G*6i!c`0akwE7a;; zN$%?EN;)1{+qj?Onc)}NTT-APS{$%+Ba^Nghs2riJ{>1FnV&CC-II}JoP2^~p25RC zUEDi66c+S1EHzc$ans>*!-b;SMop%RS{!SyF7ckO7qYLW^4rH5*5!I@BR98wdw>6Y zyz^nBf(H&|7I!x$vmX?9-L79_yFl_{eeVUw8TtF`{zh0Y($|gJvfyAdyYX&wm9}5c z($3D})Y!e*z|?f=ox68$>MxDmU3SxS`|J0uJvY8SoP6|oF^i9q@`kC8AMqTw5Oh3n zD}_aE8Y45?26>NmK3NXVlMX!(e>WBjrA?2o|2uV-S?;O6RTqL3!a4qUJD4qa`Qydn z{)(IRpkrZwcjnyLVYv7G{Q7?<)8;Pqo_^`|`u*qXRIjcM_rISu+bnm5-&`x1Yka)C zHJ&D!cXk-M|MZz>GgCH2@a^KKYL3#*wZ)sCy*X#sB7c8h?d-#o9_ll#<-HcXB4FX0 zUANwTuk>|$Fgs+COQ+B0GZ_aC8A|1v^3J=<q{l7Ja;Cnc!83(Le4F|G8sp&EdnK={ zI^R@ens%*+L1+S}#j;09e3KdlK8wdyG+qSl+t@#8^5mN;%$FFLnxu_4typx)B=3xr zWy5BzbLkw1${+rydVWs!o1$gW6OOa<?dPBDR8!E~@ler=&4vB1sbdL$QIEnLv0|s& zkDfi7mV5us8q3x7!AB+(8`WgqtNWd6ZL_&2x+rea#Lv&q7he(L$W8Nnza>^DZqJNP zy}sHsrcFHeRRr??toy?H%wx{_f*HrYE8O1|dS#)YcGQ*?g$F#f9S^Vx7<BeHoaJ@5 zaZ%{tVEV-o(cHuFs>vY@bX=i})7N)*XM1WaTA-t;uvla2$E)G-^&d?%rf;nIS#<55 zV|QDPX~BUu<*zq3CR^(BT~bL=Q@Gi2>6FgSCmn*yGrrjU|MOX<sjtR5s>908d4=Vc zMkVkuEJBh8g<tM0er|Ee!9_s82vm(KF7S7IFu8e2zQOy*sDydV%%(<nouUo%?pVw+ z$rN(Wbc|+;S8wTZPOfhZD9X6&5KyF)es0dgkAhcMWOE&fxTca|8M*t5Ad8Xn0TrVr zp1xLY@v@5bHI}hOZ4DW2MQyXc81XaxN?|dtaq&-`=FPtF{{6b&y1#!oY&NL-^P^<5 z4CuH)kB0Uy|4xWDyOdw>aB$FjAW;kHSw2+Z-7L4|ILG2+H-6O@y}4m%_WWQDNB#0$ zrLQ-=<l(yvTC7*NMTuqEth~Fsmhv~6I^13;@4#TM<<gs&`{DIv(Ux7!J32r^*r2qt zMt-M+Td!2=>)(^UK4oNba{I(kGSf(wvDWC*o#OL_9cFRA9=(6>AH2*baWdze?!a)4 zBg_+{cD!`H%y_7gyIzHZd0p*}jrKpEOn&o$$EmUIgT9xS*P@Gy-8UPXtg>z{P<X_( z@QTNh<=PzH?(d|YY(My(wT1bgZ`2{PGrb=Q1$ZV%)vAY2kn4$F=)@W)%rY@lg1dGh zCsX9X9lP$Qmd-kGpLMC|7uIvPSGcMvaYQhK3J{rZ4lYN;GJBnq>+6fbEtO{;+SfpH zKM$%{-?~0MHPtxh^ULM)pLOWGJ;?Pe{fj%t7y0@>2mi@cD9#t+GEM?b{1kLDTKFjI z_lC{q-dEzWb$`LbLtiQ%7&rZYcx27Yf)7jG^4$7lPUb!g;jtH*QDWj~==@_|gMy^c zkAn?w6so-A9r{3PA?ll1bT%jVPAE%}xh9S{Hc)M%;0lF@=1gzFornn<KEg3y*2nFg z^>6>uxol54IGA>EyqF|7fzjrw^eN9~4bdkpjGYaYY)qTEws7tL|Ia#GW`a1|EK!ac z|A5CFqH_xO9gb<v(0UTn<iOon9BVIYtF&3*uc&~)fqK6!7qSk-vrN?IU~NBeQ)Is5 z>qVS%!W<j!RlncM!!8kMvVxm!(W04UTii~}WY!hfqW?@!N;7?yLP92}^y83qejzoF z&xM82=HS8X_|vhC-TF0M3OhbLdekeUpw!f03Yt@!U;9lmT+6Lv^FA5dswroMcD?*q zsCeY8>@(qvdbtP9jY}#81Ozjp6673@#my)$`F*H_x!&roK|!vc%FSkf4yV2T^X<<5 zlaBhKFB5%He4pyBpE(oOibqKcsMR{^Do@~bZdH1?pNR>2K49O$35w1$U<U*qE1Y8a zNu5b;qTrG9kC#mS@%2yTCW%|7IZt-Y^xkS_QSZ)Et9OEvX_^!07|GR*bBuQFOmLVY z_-|FFxI+l2Z}W71{lA5v)5nd!HSru!XRcHHz~89Jx<pD%cCCI<%L4rqj9ZRMFxM`K zTp7ArEIZ~w2UpOOr%#VA;mCFH+mhk(qc0(6M(dUhF$v8zsuzqF9bvFLp6?@^;XA>d zeTip%e86VThle%H*e>(eL<Vdz)OP$~@afqL?h`%BOgCNmIH{18F&5O^O88i?%qS&V z#N)F*Q-Jg1l>#^IN;^4}Boeg61zFa#Hn_QcIFNE;J+BnU+5_ryoIg6P&~?fwe0*KY zQQ74CVSf83vrURB56A>>N;z5bU+Ble13t`e<&A>s5A!hla``B~U_Z<4m9CJ)20HRj zfknJdaYnrNCHDD3GfcOxIUwbyprc@Y;h4i^M)q*u2f|H8Y!_C%;P&9!Vz45QH=cjS z<OlXjN*p(sncOA|da~y8w;ShQTd`hNaIMEZnf5<+*>PzJTUEXp)E|;(3ADP<bHGLU znXtE#Uh?yLR*;q($`>QFO{R%=PqoYyW{iEy(b19Nn)BJh^4BWF>3kfDZmem7jt4FZ z7|iN9AolBS`F+VRe&r?sDfY{h7i7A-XeU{fT{>*TVWA~y$ZuuTrI2u&L&De1!DWG{ z;grw|+qP@0-ps}{tBj?u>XCL5?~zo#TG0BN6PAh`H}pZyut+=D`L&tfZo~b%r%vg% zF}%6eVdmh%Wb@ONg)v)MA?3)ej?*<w4L?B+*z@n#Ynfebd`f-o4ne14cS+9oD)ij0 zY50^+RpoJM^xk(tO!Z~cyTo-}9&Yq3`F^i<YSk~tTfhJQzo%WbGcdqKMpWkmhp1M4 z#Do3cn==1T*L>-7GV9GFzt$5=D+G&l!)DC6div@8>8pR8xw}-OUSEl02IzR<V8O}X zni}4MdosVCfDZkQ{C2Bso2s^9i$jZ&kl@CXBC^vbeXG-U{X5rZ<E#HnT@F8{>3e#v zEI+Z*Ls2VgiGii&r@%ED_qdnuU48x{-}?F{A2Y5cf7jk~bog*{Z$0O!y}!Sce25;_ zGxU1YlslHY+p?Tok@@?rd~_oN^pXR1Y4dLnI#|AXEtznlVpqM~RFA|!&XA+Wo8@dO zT4LsOPnx}1cxpl6qjK}5y&6F`%C-ouEPodi)3j=OWB8&=*Ho4TG*#6<naJL?=1z#z zv`0^3Z-wrSS&<|u{z>!gniG@WuDSp1yuk68g|?F?e)v#uj<@N^q+d->8c)n!v~+&? znZ@e6PrV1FiV3qMI7=re)O#G+Ccx3{x5)dITCL`jWtVd9EI#o1)uGT+u9;$8tEU@J z{Z^pnUoL(v>Beq{%R-{FJp#>zHJ^nkxvt)?eC7VWr(PQ>m(MEaT=nd+U#e)L;K_K7 zh^C$e?|8Cq{`qj2pDlQea%NPXanRx{y-n+~G9P{Izco95pJ(XmFyHl;X7BH-U-iap zKPWm^Mr>4idw2Krziuv{#2Q~u>=Y4@@fL5&zx4D%^r`=g45sSGHl3>4rJ36}`%+Cx z&8}0oMWgG!u`IRa?ClAbT41qj-JdX_Nx>^uZMVL<=7rzYsct8tGyPp;q#2!4p4?2I zzx2R?17CWZEgmdee>LC~pJ2!{*Ha}b()E|8$L=mG-1YtH>Tqe9w7a`XW7hCnI<fIc zOn4dMk<_*^U}bpxJMB-sIjc|HO<wWMx9s)w_pFT7jt4S~no5po*es1!KNx5BlH;{a zq2;W;_?{)7!;@D8Rq~syo)UiK@NMsvWmE0jPaNhrVE(k~h_0HNW_ez)M}*AJP%Tg1 z)zv}ue^)JK(PMWxARy^Dlc~PGzK_Y}p_@pl$+V6XcEJudC7$KrL-E#4^|;$-@<~BZ zL1iYV)5D2ga#x$aK0VaRJ?Djv@mkNa>?sgeX&5y%d27sSsp3|;=BR+@3_KyL>{o#v zA@vg-8?hXLm+`9L(mgi86K8yqSFrKCI(6Vp&BsTs-;O(|7X3J~(8x07!UD&b7ktqD z4CQ;OzvsPMt?$9}^4+_0^5<uncE2`toGc?Ea>O*vgp-?F`O0?3u<R@I4@NyZH+S~B zpEtH-3ad}wQ}mRpUNJ?k^}EU1lhbsgcWk(~HT(Juj)TWpcFqrYz`sxR1p8Ld!q0VS zXJ^G^?#Q{h$;Q;HYQYNmGfo$Fm}FdduyB_}gzz!8iHGbG<b-m2c;~&~+oiJj1V^3E z+gn>7%QtkS3R*1NBLCa3J+S7IY*D$c+rF-vkdG79D~lKLZLLno;HeiD{xMf!&W_T% zMLvEmZ^RoxyAA5UTy)PoF+uU=Z0p)zCgD$8^*c6iHg4STz^dKGazgd@cYz@xBD2E- z0{C5>Ob`BSjxwzM{cWjy{hx{Zou}SzV!iC4oA>SUvEIk;13b&0pPQR=u5kgE!L!`U z%XqW>J}h8Ad;D0hbmVKB>Tfyq*Pbk}?_e;oGMJdmFA$=PboAYhg3P5}Q)m2Gz+2;; zFsrDv^r;EY+uPfpJJkx*^i>9C@T;hsnVIb^cyPb|zwi9|f0ng>J|6dpYhcx4cUGP^ zHDNvY1nDJJUti@c5)x$TaXp}6)TA}VBct@m3Bly!Q_Iv81VrlP{Mpa7O#DAn`V>p> zq^z)SLQF|abvtvbR8?j%t#b<~xZ#@>w_9iHn&4?#6+54lMZC;Ug`D{?zv2;R)Rqjv zY%Y_?jY+KOQ~o{b*8i~>+rf8pAqU?zKQ%noC+iJ8`3`dMUA<21t|`YIj>g&B+c)WR zrJS8*y5=N<WRt&Kjq@~}$U`jbOO}_vzqbZ@=mYHdh^9r_H~80GzObP|<6%_q*O$L$ zHAF1zGFbQYm*4ga#~L~sdm20>v@aZ!SoNguqoAyc5{E>0LtqMvz1Ng2ZCwFJYB*$U z#QoIuUF%n8Oi=msS@*Qcl8zZXXV^D%Dl0BQKkY90k;WyP^#TSiZVOT!I+<q3bFHYc znDro2&OG+4KXVG}toW2!*I4{k8$5h5D`Lz04_Ek$7W|i$2`qGGXKXBEQk%=lEw*8@ z_ObeBGt)D!t_ppbxXXaiadYF=<hk`H;%rh+iOgeDQ>j^(>1!Z%jpcYmZR0T&#Q6^D zpz|H_r33^HNX?osLEzdkh5RXM2BM7yjWSo)Mo+)~;NioG;q5`s&bD(%xVn8fd}~7@ z^V*o5M)FQ6|8(D52>C?wvj;ydlDl%?{A{n4AEE_sJ1Io9a?9JxJ2`sQM_*c+$@=Pw z%c2uGuQ^g<dKHa6eZ3xEY{Gj+e%1k&W)9Iie@y3d+?lh`^n&SAhs}-WCtP!gHwa(u zH}}SNm+x(S!5#$#ADquRdO2wD$=RGR|M~Xz_T}~cr9QLGdapNrh?@}zTH$>k*HLu! zY1K166mWviqJthq_hIhAs!hTVTJ3%@35%}c>E>WMrj#Hed9a^BCw6nSl=Y4+zxf-j z%imu<_BTB9_d|uOsN1upe*IjrN8(XO*6ZgSGVzXHee?GF%@x(GTr_pPS8o3QpHVro z-{-9HpWgGpQ+^4vdB%_3U*G?)|F({~e%;E=)$`Z)Sp^jG7d&vevg`Xj>8<Z>o{#!{ z-74#~T<Gnrxm)vAZ(Fx&>-^~Kt?z6%bX6<!IS2^42Hg4o-SW#Z6_o}7507&%`{Q$p z-doR`{lw1lb>{In<|kzboEwq@7dFl5NIyTXP=o#CTo19UJm$$yd_h-_?A7`;wPkPB z*GKg%0Rrq_6dR|za-V$Qw&tbrT+8A%g{2$I-^YFPD0z9Qb?+IDs}Cy9bP8|_#j5+v zIG`vtf!D;*d0)ru+0yNq2{VN6^=-?&?bgiBxz&Q*bH|CF42n*C+=pB}!dSs4&Q<IX zoOFoaCq!Jap6&NxsR`~(MJ;O6e;qk<<*S2)rbWGl#Vzjx60H15O$UA}2&fx1T{7LX z@uQk(bv=uN6W@I91x80>R;>Bd^5C%6v^@d`#Mgfpt+%SZ+5c)v>C$DPpVZg$S{%sw zdXnRi*b29cJWuy1$e&1ch~vmR*DbF9Z2H5E2YBVzXM3)wkh4@(;ES?Y=GH5<>tlgk z-4aE0)<m%t^<m25%&L2S-Q	&sTFfVR-PyiU`LU(9<F2Jbw7l@!!9HGT$60X?HL> z>3p#2ku+{QbolU0gN0Gc3LYN1xK2$gVS;kg9>EE#@9ZotuH(AKH0jgd@Ao&ib9Anb zk}TX=^t3{zVox9^OVUKcWH-NWtb&_EIJYqG`I^!#=AcmTm%_52=fH-?kG5?-FzZbk zhrk41_SzW@AzG^D`qeu(sGqyLZ1Z)d6~1qsVp^5cq&ZaF*u!q$n7PJDU_(92BNw*= zcV1s#@899wb+qrmRqKUce!t6};%=(4I_}unWhL=R@q1+i#aZU9wli=)|KrkL_WqUL z%}F6D`62b3hOZSBQtFRX-8g-w$-zmmLs+uWLT=M}X|tRe7k<9^_b1ePeNe>{X{~S@ zeM{}9k%qtB6inV|&fQfqea_|OOV06j-TI!ETT|}jmh*?{<R_L!34sn_$&~>MnH05S z_xtz+n7S}n8Sc;7>u`07`VyCgEBe}#`kD{4N;j7LS)>2;o6bq6+9c2V{)Pz?mmH5t z6JgP5b(nL4Lug(=U)!0yjW0NI0xA{Fndb#Oe)VpO+SV6GEM8T!R9RT}#|0j$kz5hl z>(s~9eD#}d%8{%Kr;ju_G=Y5J_*pt7DSH<;=e(<ZY%_K|QmG9w;WyV67G`NUFm-lg z$;Ib#y)VKX89bd>T6$CzZy422RY*u=Nm5nT$aL|P%DQ^=o}z%nrzvXdJ}lDnJsr61 z%%v?p_oUl6SnPS0+n3E-7-*{%bncAd>uQd&X`m^L)PgC2ER5L-0@{*}f2~YpFZ}e^ z;cD#Or?{nVKYwYEi%V78iQR`}nDX!KS!!zS7u;Uey0YS*4pWGd@`O1jIjZU#9Zs`q z?K#HwSNx0g$(g}RIE8-dsvM3MY>YU`VYPnI&2w9`udmtRb9?5mGzW=X+p5{Ku724t z*Y%`Vj#T4*zBr}xQmiL*%h_hfr5DA=6sal)>~rN;H;FZNb-OT6Hp+NbpI^~_zfE<H z2Sk`QP7>tsUD*8K<KyG9atDRIBEP>mTOY70+SAKI_TSkL^BCS()=fPne%4F0`}F$T z-&^#~wa->kzR=~kZ+6;?16{N0f=a|&7s#@Fy|A3KYv;3^v$ITD8f`ULq@@o%zOepc z`2AjEg`x!t6M6(XruH~QN#*u`WGqXuF8yjZcZZA*@9JIFMm(FovnXtMd?IyKq?Y<r zO{HD+vYZVU->h7A;zYN&K8O8^1)n!19%j3i<jJMf^r5U@&Q`;FnvPBryHnCRw;qXw z*%$L_cx)~Sd^CP;>d7OM>f?AoisOivo5CX&r%gpqy|UMwS9`Xnz_^8P9=C{6l5n_k zrGC<Mt-ou7)x;}zbS_%Cbp4W@24|aCxnEpc8@)z;alPc0L<PNe1CvjNF|o0cDSTO5 z4W48=td-H_RcLAdz<Ed|N<6FMtmD^j-`?K-^5mp&o$RCH%1=)oeqPwct;Eth)4KfK zhG#u#jg5_K0-5uTOY%2NdwF^JYmt_ZwYw`nr(L_&IF0AXZ<F<&KA)4$URc+d$9JtI z#y;bng9ZPy$Bz%!AFAQtKKPjFp-rvN2DLYwE?iS5bMR-bIyP-zn9)3igdT@ADJd^6 zEnUO#a)nYQznuG}Ii=|<qq#LNPwDSZ6^PjPRE76l$TXw$9~X?6PigctSQ<4wSNykZ zy%YD+sFwL3|4y^3tzt@K%#7HQA!u9wZ%#b3r-Vg;!t?Bg4GjecF4r?Q3&dYt6&mS! z{p88cLx&DU81T2vwmsKe_5R`E_Lm15nXQf%cRWaZ^XlsA&Cgk!sz21^+}_q3+Nkb7 z@60@zIs8ft{eJsuepcigp5=bjoV+2dWtmaR35Op=>qA$IeSLFt^7*5mXKZ(F=kqCA za3Ok2M#u?Cr@9Xf{&TISp5)%pP@iNNQkQJ>M)dB^US6$Z9D$vjOogrrVMa__-S-rJ zomm^hzP<hNv42H#Y+g@W<l}d7^PRVe^_$w4xIYNIVSKCXg;MYTEk&37^Nt(OQc~V= zQ<?Gq^ZE5@{*7rLemG4ne|Kl+pDXKPci-5|=o&wPV?uN4>1i{4G+NCMU%otf?@<M= z`eWDj*Vl`Qfo5jj-q_e|t8;p~{`12Nmb`xV&d<4x=i&eO{dKn0Mh<O#GM0ySD$clm z^l0mau!W(k!`|Fo5wTIJI`GWd?!OKj#pR~8^UGga8N58=Xt@1#mP+ld+1Jxb6Q7)W zA-%^?AxS}5L8#EQBH7_c5AWl}RvtbA9okGAC)G~`-Fy_=YjNRX&ewI>Q~dsBP5Sn( zuk5LC{r=}uU%l@ZpLk_u-JShEgzH03R<i}Cu*9|H@BcgPFu#1whsM0-AEy1Y*M%)) z+SD}R^b*g>H(p=dQJBo^-(_di&L_JF)Fqg(AS=hi<tVQ#3(GxD8SCt8I#Jv6Wc%;$ ztuBw+T~@z#oeyY%{TuK>UsqNJUp_HWxiX?<!iPQ2Ogl5<*8KeU_jiq*lg@_;2eKFI zKP>$ED)ie0(EOMAvdqiNc0E1J&2osPC}dNLXB_ja*e*^c&sK*uDNio@+b^AEn*A!K z)^n>t_W9y->Mefp{0m>DE?v8Q>-yHc=jvZ<;SVi3U+<=RcL{r^>bi}ZMohlCA(s{Y zEWG*p`ud%qGre@xnatBGcm+;nUS7sK{njjDHdhPg+UW)R_C0Lt3*b0(>la%Plhebe zPmh{cK3SO2R;s|#SDN705(wIXr{8?wKsM7N7Grb$IA=ZXL-wVwul0(0RWwex%+%B- zFnOP%Olyo_{gZPEwi_is9I`yd_CDy^nn~iK(siGHS%a#C9|@0`m#OAia#cL8vvt&c zJtg3wWZ=EhDcSR`{BC*l{+sjkj5#gYI!t@*BpD4EULN3;vR?*TAsfeRqS?~UaD0&y ztEJ%idA5%O_$FCT)akmwHfsW}lu3uJMAYHqhg!KmTUCFpe|0pjeOvBrsWsB`geqJL zl#j)1Fit-wu;+C3_jjrNF4Og5Gj46klv(A-!CtI%gz5d~7EWP{BTSQ;e@@hAvNdSQ zOqwOWUQtr~xdNxSrM!a6i#fdof|EiWvnFil`m4k#rnqu#^!B1s!B6QAOb>yZUm}y! zJ#L#E@;G?#;Kg<IvIgho#cCbX`LwKTfn$=Ya>6Z8$C1UreWUPnrjx(jG_J1K`RgL{ zbXIis{N17dCvsh?Kce*E^<=>o-GJUjlBX&i?IxUV*aJO@SN|~RB;L5=yfQl_PpDc} zeo}ev+%O>_r$)T3z1_Ib@hbR4u1RqZRUJ6j?<+rayZ-iUPC+3TLo=-~fn|)5hj`_W za6GT5sF<*Szy0%PiYG;nFer*u$R6vFOfHMdtzXgL*vIk7&0$^9^K)l^YDRE-a25T3 zpy>2ZzQtc~o>urenfd#wzGmIpl*%pK-6LuIsoZvtl4l@O?nG|=<{PX`8z)UPN<CGe zBe>>PV9u9Ci?7tLOO!0~QoqXAS9Esm*7F-WJZ^3IZd3a|QZ!rlVBRy|b+Qp}pD~?q zV`*do*YTC&UYECQ+RAcfs*ay+rE15z->v~ig91~llA0WnlnWl+(fxE{{=`$FqSwou zHi#Kb68Q2Y#__-|P#qO8ul0xWo$|f}ZnJsIoqiTin%%7uUvGKn>$X<gUw3L9wlg=q z{BPrZ>rm08w^A)VD!0AWxy4y_B#CoA{~vhLBft0Kf#8l}CB{>NJPb9KpBZ>x#2nV! zUVZMj%Gue5je7IGFT2pruTk9>^Cj?R*O!~(t3IdP^kS>F+mO!QD|>A^TmLMNdAYl9 zsLE~Iq*-(B*`K-@&uT*JkB3d0qH|p_MI|y0GRu6#!)?ON-l!TCcgv=^pDP`HpTDzs znduw<iQm7RTzuv4<6mDAXKlH1X0vVTxk<fwKjYNbFJ{m9^Q7|1mCbVxs^0r>yh!R} zs{Smqa?7n*;-Og@(<(S}tScr;g%vCmx};`zPk~#q)4Zf;kwQW#OOi^x@(DNhwYDa_ zG6%QG?DUjh;^Taj>&E;|ufl70UE1bbf8p5NxR6JSSLjsTD8B0Wk@@LgqjPh_jV5h* z|KqfIvj*$l1<yjcRo)&snPRrZ+Wn;FuJ%O>r)vruP4dxOrV}h+(CE;U^5o6U&37^d zo@VqVe4C?xX=!P!)#vkuR<D1n9Gg_XcdGZZi{*>=nN;s&@1N)K-#lT*yRXIf;!KK9 zS$wjq><JNOUlp*^idV*Jp4U{vE1z~2eih2S`N4XwP1%G$fAcOMo{;eF=LFkoJt^}_ zy{qf)yO=LKzxA|9`mgemHy`4<Iyls~H@U8K&sRNs?`iPvTIqXVxE1g3cD%B@RCD*; zWT$$wws%WkFgDdmXoR~;t~S&ZG@2yP@)VM0Jlrm<V4wTzi#1zR`OZ%3-%qA4Uy=6n z&=lqF#PCB$=9*@@{jd9?@aygMohF}dzr6DKKikZ?Up}=Omwb63XI1}A<nPv>^;Z`8 zs%r(VTJ!ElS7`RDPu>MF@AGs+-?y2B>@W1bcB%fx=49@@HD4!*t-a%QHS5~tx$H%a z`<q<vtSs5-waPk~uj=nJ)4O}0I>+<<pVlSXzl(FeW$7Hd%<CONE7E+<MwJGvUAy_h zJ6W%TUyg-JeLI`-Yfb!=W$k+-15OBAJ$mbWc=xpJ+t)^>*efV!JP;KWJowo0&bb*= zI3zc6TruiUNT?TONm5Y;oi>`+ByE<n;nbr^RhI&}`-9q#rE1pRTJf&)TS{WTeBku3 z(igX;a)+#rOa8UxeFF2Qggw`995Ttcb!Ek>-C0vaJYJW}EMN34aH_&|{dr5jom~~! zH>Wb=`bO<J47`_5ocO;@cA3#r-|tr(7L{ziC4X^oyW*C-<Az0N>!Taa_lEe+i85d1 zanSMmix-ylQ@<zGFKT`0KmYQk((0A&d++Q?_qe#YQ1s2cz12F&?@yeUo-FwG@2ZKD z=GXn|w4VLw;v}}W5()gJ@fDwXc+Z~eaec01xxYu1!-9*+aFXB(_eIA!>^k}qjIYgE zIL&L}^*G+2ir#he9JQyPS^nU6edC+nhUH75*8aM<O-5SM(J5#7w5=;*pU?Yp*gtTt zj;XZe3*)H$X_7C6`*%zbY^k|ry4LOg-#^~Jw)8JFcznn*IP>bIpIh>N8?Iy6<SuQR zX7jTu|M}X5&dbyuv6+VcvHbK|L0O={nLQ-Ac^{Wm+oIxChLVj_SgrcA*d8_btuCy8 ztH)IuTk%I=otat3BgYCpDWhX;?|oPNTBOV5<h|5u>La}sQL`(mYyO{_$I{rMAfPPS zcuU3ZM8|onrkk77UH<=CsQTr8{kr9nllLj^x8C>V@t^xEo*tjet5=wllJZzyJLAXn z`Ilb2PrsnPmtAVk?wXG|vwobtZj$;fDC_b<>;3g&;zpY?-+D=zJ=kx!@2c3^J5Hri zCYyI}SGG}pT{d@V=lt-kyZ1&WIWMzO7D#g5DsQ7?nsw#Dw717nSUT4SylXwjZL{Y> zQm3|HXYO6&v@;9T{pW3nY1<dOtE7{SPsRhZN^06W3pE3S39CXT>h0c`sI}f&4-_#5 zm3MY5m|p*Y!>sbD{r_h@DFrQWH<d4Oy=(O4V7=Xf#n1op-nqc3sO&9%Zi(mPwhLD* zPp>HbomZ%)EOqeZulxH#y!97`{!dacG>b0#y}E4DoQX5+i+-gXnbDGwKI^n(<c0*s zySqxem-)<GG@B*St>bj;{<^te<6*sw4SllKMHM{tZ2`WM)!qxO;g_{K@?1*#OYEGv zb0c;5E6W5H8=KAHW=+(1ru2q+(k0N1dLA;*osP3rnd<53P0@`u6WiE)&TqNjT$xAK zo@Ot1a2)Y)d$7pmj+A_myFvB3WrpQ{_r16|tt|h(kNSF+V72FGb{+nAYtuBg+IutA z&i-k=RrV`?Oa0}&p{ahy#Y}&i$}G<RFry)2&EMA@USVrx(v6y)T;)5P9WcAkHsjP9 z)xHnYF24<2m6^2f&W=py8@!uDW~m?f!Bd>Va^Cq5uT$Bqhid)O_D&a0STiQ>EPmdn zC&P9$e#O^q`S<6YWIfTC#<AyRgXFiNWpH9dx$*(dc;m&|3IWef6(Jl@{_$H3COw zn`8>{vp<c?s88(E4qtcS!b0bt_id}cJ^8BC&!sruviR8%jtOP!K01DoIoPbM#&N?6 z6pdHDgs+R4c~xHa;6IyHNvAb0q^q>w%{4bT&|mbl(DX+5kI4pEk?VETd;S&cx_nRV zY7S_+z17$D)s@G^zw%!Fsn4i*aC+B5uY|qwA`3i@2WrJBd3k(%wDa<ng|57(=Bn$z zwW*r<`00inEtwyV-rli`{qEk{$<Op6-FatK+`3z8uzjKSs`Dq<^XCVD&dTH4{QUb} zjXe@OcFr;mPI>b3^71vCet$l154v!>Wcj+NtzCVx*2kVOY|Fl`_btWYVz{wQy?On= znr~4O(jgUs`|j<j+<fwBgG0ju2e;%S9fE77a33zuY-Zyvna4LlNI+6%bJ|%ctNMR7 zwZGqPzp^IMIBHW0=ik5I@B4JM@z;m@<!2r8n99%Anwad|$D?9<1a$YLf}0W3cf~r% z2j>Of?0I!y*LCZLSyy!u!*3te-^mu*RbRMgPtev|Q&h9dszjums>}a*I)4AN^8WSY z7pD^+^M2zoFZ+ASl|yA&++wjQw^ij?SNv0W*Hyw3v~<UY#qHmM-mlZu2t5_D%HJcn zYV|+s4b|3rtNwP?Meglgb#29JX=A+<7ItOBZQ;+Ki3t8{S)JD<@_E4&uYZ51oi|+- zw_LHd{$Blz*jVXY<4cXE52s%hk#yY0k@t?HJL8Ci@j+(Bq{XV7&1)1ymQ?B#@JtF5 zJY*=ktZh3Z=Vrs!4T6u{GB^^&D*^(h@l0w=N$HhPlwr~5IOM>=K2<k*+MT<1cOI1X zxUj&nc}3ylV^_9hUT$GlQRZMW>v8BwVUcIrAuVm1RiAP=dc&JbY=5@QXDeHodDC&N zjg$@F?9ieY-`2i<(ez55NvUbu2aV&o_rC_O>o81xe*Ta1<o&X4;#V#8<M%0CugP1b z@+14zjkj}`pIPv7rqmLrsZu5hCw63r>KCdiM;y<+Fa727nv*3@9^`Rx@+mFvnYrVP zc&OR2&TV0B*OV^rudn<(?b+YLmNfoVxf4IilnMl=upEl^IOIOBE$zdrtr-`aJiV5B z1<vxR{q<$xt*zP8Ek(b+y*+(?=BeukV!pn*`uNVI89evIpG;qnF6^YKV1Gj0QAjt% zOyE`I-YV1fDjQo{%O#V{a-+_vY6dUknJnHi>C0i4WzU>F*pHmN;m|apJ{g{qQtB5k zVp}NXzL9nIx!{9)ELSdAUDF`@bXIir{D8N6g+Dadecz(r9~1O=mA~7Ao6IjA;yljI zGF=(FyDTQGdjf}zsD+s7zL%GlE>2(7&+BCQ`PtdWdh2H`jM?GP$aH0Wy#2RT4JsMe zR)_0r#qKgGeS2%_?+FJ^*H3c$y5CVq``C$jw$|zg7JV^y@00PV33%51c<XZqr-DE4 z?(Y7%m64fEqM|@AZjVRuu^vN7wM)mv=AD1=;6X-#d#S~_c~_=8J`-kn)C!JQtsPts z%}PXmKR;Ew>y^0QRIjJyQ*=+;t?fC`S-ky(a=n{Ycu1ZM=T4DdDJ-HI^%t&P;+e-W zf&WQ>f<6mN!pBD|{#nGu#m&j-y1rE<#D;%8+oaU31w5zPO%MO{&~o+Tnv^xszR5u2 zkj--c`OhZstV(<k=_tXrguP8>YVPfAhK9d>JnpwtXL)*J26z20QTwPM?T(%q3JLE) z#q)&~?4B_@i=J*Om*M&L{p_R1SL&DjbDyI4x1Dcm{>_|A${#FjCT)9T?SH>k%~nIG zYu}b;e~qT1eF_P-pk~sG90?JTBTMu9QsSmJznHR0&+*do8443-aJ&i8{j9w^eL2^= zZ{Y{0&e$<iD*A*+kh0!qPNr$j2XrJIWrXy+RqU-6^~M)9&AP7Tcuw-((N0-G_M7#^ zj}$+A_!xYv@QG3HYsslDn$rweT6$D?>@A}OHmEVBo#ZguEXeJ;=ZfIlMem)h3ZF9i z3py--Ehf0C6MjN)yMDDF6ARzmZwpr)-5mIlDRNSR+3)#ICp!MdC*NH7S1DmykHYTV zRbOA-nR+4c?f1Lacd~2?nW*tK#L)3TeGtc-y;2VA<K2Re|9UpNA~H+QUDEwT$KsgG zo6BuMS{>eSo;?>3_w0n)Ln-%tzdARUbDme>Tj%5^#2zjgD&WDza%R%R$H)7H<6L&m zRK9(9gU76o?dG+QGz)9p>*OPf-k&zBzIZ|J>4}@3tG4QNuAU(1y+|{elgYEYA<}45 zeerX@y!IZe3is{Z+vP6Ry|2EqV%Fm?(S={K=SBR!U--kwbj=H=cR%lS3aMR-=`&lm z^(2QD|3c>GXX!~z4GJIXIA*9SzrMac{p*R+*Vp#m?<lMKGV}Gpk{>k_go>)KFTCit zUF)`&3d=V6T`r}ko-OO=O7&hMDN`MhJxOp&mHYAfFcUvvqenO1JKwDRBVgd|wxGS> z#RbK%K9O#x2T%6@b${jkU$D}9k2=%t3;s(g%CzEres%6qFDbOUGoz=atu1JE*xHCC zd{Y}cGXw6}R(w!c{pZkvTf2*%dgXoY3;UFz0J@c}_<5+Iqk}+4Uqhr3ldlwq&%(1C zZ&<VB-Q`|f@7tcgT24idsaruno#mfR#l&0JiWb*c8XtLCVX0BaAu)Mk?eA|Jw_RK2 zJNuFOgX%e^Nk=%eA~q;+KUDl#|KLEQNzM%et@wR5ww0e+THi1DstURxeVL$vx0{;J z42Sdc?bFTl(>e}Jz0IF_cHZkND>E&<7S?_3;AC1RQ1SH_Z~fYvb+dMv=y)AfaaXx` zU*%W|i~W)d>Y0Cjd{ldGSNTch@2AuHYZ93qcRDt+y$q>Q+`=xjx%PKiUOvOcz3=Ys z-h7US=SkAhF3}d3`ZJA>m;28@_gr}oZ)#jmZq5fYXU8As?f<7-wClON(3$-jLvdMI zTB1G2PsgR=w?J1^D7>hDGb4!4uf!ph$x(q5<mv;jJ~GN$m1GF%ssHpTwf`dN8}rar zR%+@(naDYl&L2u$>e=KFa6&k0|8JSzcYzZ(S$d1#U&3&AM$ZGad%H@r{~2!+R`<IA z+7MI!|KA;d&J>0t$)BH{^|5&X+9fBRa&nUD&Bu&S?~Cb1UFelIub*M^V2_+_)rN?; z`F6Dt4E(3|-Me?sq~b$@*!|Vf+t(euB5>;S_wVVBwm14@tuuapdg_xfp}gsR^dIRZ z%Zs0%yTd50DBtnvXv(df$BsMrRvhb*^km$BMv+5>gK={Ti+sq6Z;uYErO7p()b^j~ z{_sx79o^*zR5%Qnrq?jNe6?1gUTWE?@}raZK%4yXTbD0auh!=h(GbWLyt}J3+4b(d zz15$yr|ZY7&FR;2s?eSAzR~_g@j_|SV^LePM0f7mbqRD6wAVAxKxy&BH~gaaS-Hht zJY#G;@IU>`jESARP8J4pZZB|Tp1YiR35%SNO!J<}pP!!>4yl@LntkkN)rz$Gnhy^c zdFAbF+!U0Rl{IyAbZ!|2Xu7rb&j244rm7sfyKL#Rv$KPz>&52O@`uIWU*>H7^48ts zt1cazdui!J7Sq-70@}I{UTzlu^8KRrs+{XHZL)VnW{UP%ueFn8lx%Qkn8%mQ&B2ji z#301@UY{pX^vQJ4jnsP;uC5Aw{GpzMXQ%oHpPU;T8uRY&JNt0f*6iy+=jH_3JGk{q z9p&6KBmREez8cTqWj+r#a8JrmR#KXgq7${Hqf4|~=;50i8<ndaXPIOkdOSrpdRphX z8yk~vMmJvwe0;2TW#;8&ebtGn&-oe~B8{4KzQpaV`l!#e@rK}skM%y?+vAp;TKXx_ zv;L{3V6hI%xmfN6^?G-g-qZCCIB4_9`-)U}{QRCX^K553IXT@posa{nYzjCyI?{e9 zotb5--79I_rd`0sBXK~EN1}tnMwerEMP+4Sh5P@QmOKZ!3HnJn8B&&2Uovj(F4u2Q zbSNpvTNSdhqBi%|mW5YWhZpy8?8|msAn?kuzGH>YOe3GTfSVoHlZ{$R9vn0@o1pN< z+Xr;+$(czLe|>%ZxqU_4-l}c;*k?z{3q?C0jt|=Ts8Z8=S&7+Jv&f#Of*h41j?4A# zFU^q*>OR-=^kMCK!LKJd_DFqr^XmT$CPs&uYv<3GudZ<ndC=<cBlZ8kzi&34n5@qK z_3iEHpPtp9Jzc4Ig5S}oez9Ax#gfHyt#fag*oj-pe|~oMv0(w9jW$RA;m1Yq@7XeG zo|>r4e!kTxzG4ozX<*}IQ^Cu^p{TS*kWG_sf^vmNl99$5c6N4mYnF%dXFq?Ab2xRd zsX-J}s%nL=JF}m|XJf7Q8skXcO6N+?u=l%G2}K*%2YlU>H$|;<Rm#@7$ecGEHr4+o z^;P{2DtICMYR#%tuH6>L>n6!eT)~l%!Xno+O*h(YHuqIs*KV<=&nK#M*@y5fV)xiz z_xHqEU9Bx`mtvk2Jz#tP@ZrISGjt+1z4+ukp}om~S1Uun$x(vMg{e}}f`6WPOG*H5 z^B$3w#7j%Nf7K^8v4pAYT6F)!aryc)mWuX&zX+esd%&M4dv?o`u;6=hU08$I#97uf zfD#eEV$!OFpGhB`AKYlI-tzH*@6unhyI0kODAYBdh%{?Iu+ey5$;UK-tt(?YR#iON zwDYUwtEM$K8?Oc$POs%=n&xysNAl!!eR)~SqL$eHBu?iC`RnU%Z+XAPeyZlQ_ES4{ zd*n}QIhZ+hbNuRgiuc0*zWkzUpB17x|I+l6=~GKjEO{m<V5Ib(&r#tgs9rvx`||N) z=b6Uc3#O~;y`OEK|ML9eeOsPiiPefV;h!41<cQ|n72BeiZXc0(eDd=1l4o7=b9ak* zeAU_!bUKSQR5LB<oJR6-@p?u@<&Um@=Y(0-^n<)OL+#N#k6%KYb(ntd{hP7;y6mah zr)S!#-kh^Eb5^RL-;a!g3m^J8F*O}t;n=#z>%lqGhs~!rI=dPsOmt}tXA@@;5@np6 z!r~d5F{RnfDyg&mfPMJ8%QvO@U)(#q{K>jm%XW&NaFk!>H?u<c)Cof+ftB@>g4W;J z<sV+#tWkdLOUO14GcEN)WhbA-DyOL2jM0i*p&-BuGV$yCj8o0$>XSPA8|n)zWJ6D` zi}Mn_p<3;xwXDPQ;T|R9`9Ds$pDlQNj``gTE`5)wLOWL!b>4Y0Nw+r0SO0Sa2cx30 z7*kN2ASfn1jhghDH9U8hzMdvpKkdnl;CcHcosR3V1bIZLSf+cpv&L)+aGbto)%OM! zt%z518&g8!|F=Jp{xHGmu#!ggGLw+CDS29v0kfPVxstPclv9pKtvEfWsUcOsz|(Dk zNM~Q&1y6y^d`$Xr`xY8sZ+^Mu*X}pcPCtv%!;YvJ3k!SJ)c()@r1EH|l7eE1cfH_* z-HrV#DjL^>Y|AJU?K<hVGN|j*r{Bt1)#(wldstXm3oERBXPInc?_1*`u%VE}XOiHF zq{pl#4+S^#vgqBfT5;kevsL|P#+^@2ESaUY^ojeQPC36X*(`G<{y#YWME=W+I4#jI zn`t^zdQXX*^E&!DePeI8*6Ix!OxIMDGrs<-KPn!7A-?`^s-aQS9b1mOk++1hW4zY~ zA1l@YS;gTD+Q+h+r|YI1(|0GO7r*YhmxTWP`NRGZ-}=gsb)N6){~K9a&P)0G_=5-6 zj{h^5<Xa~0ud4a@%2f2!V;|Sgsf(0WS!d;)WZbydd{b+9ijSL<vvXuR+b<n~P2N7u zkKWaTZiA^7ZZrF2oPI9FR%*+P_CxR8<!eQrtAch4u8B@wH92PvyJ4ZiwT`kcudl83 zK4DO_P9Xst5E`*gb22Y4^A(#Pz_!JP>AUlML(QG<zRx%`fA5p}=O^aO+mu?CR68f< zT;PAdnMyy~mE$xg39k8)AlKryqNacOE5kYO>Q~o`m!0+T%M4z%?aj<9`{!!z-uzh5 z==A>0ldgAw8bhlV9GNY0KUvwW=Rw&AfkV5?-b%f`wcuzCXwH^{UqxY^{X3z_E{+}V zPfk`h$-A@TNoir~*0TNvPopM&{x8A`|5T>^5vceV)T$cN+E-t|^7AhL^4+iQf0t_s zZnT-nS$}f2-^<GZYRgTg1lpz@S$w(OZ0Y=Fq1zieJesyM@06?H3IE@(a?e`pzH)h( zdePa1|2A2#e5Gu&Z26UyK`Q&XRkgQiFda)_na8=}ZJ)gTy(c#6{_|8`T)nfWax&{v zHeRVEmmf8Oj#~Kk_V&wjbFE($f1b6g{QWsAV}lj1oEEdyE9SzQZO%*9drnrnvNBlR z?%MV1)9rpf5f(cL+5_x6&t~R-UPWtgBTnpa^Dez(?wdVpv#+m{<(09R0GhNec>n9` zYY{m)zZa8wpP!zpeZ2kgon^kWKUHjyulvzxTJT`1WyuQx?)g&EEx+c>bqzncDBnd= zCyrxAy^3<cdYjT$BB0}=;+ju+ZtFbIe0<`m?hjL(t|Wh0oZj-^{i<Ka4dWo*q?5iA zr!JqUAF_UO$dqq~L+*TL>Ce36^0z+f>;M0OEefqcqP`O!-FN?6uYI2T#JShzuik&X zy~2HO{r<pLizZIge|fVzJg~p3#3uazvE(P+)2ArrW<9OH%Bd>CmwIkS+om)x@0(YY z_sB$s9`)`!bL#YBxuYzM!5k8k1t&CJTO0j({>Hf$g-vdKGM;~be_#IU>S|+2hK~*5 z5=WTl@d>cjI8M`voMbDfz^WUy<$(Z?jl9Kr_kOuAUtR{ky1bnKe}nTU`zd9PDVLV@ zembWZ()_vn-JO$9j@RG6KcDq$LcrhHeKnTd-wqm>@3B;nUhLNU=y}i*kAp{8PaO(g z?w7jWw8*pIUL!Mm#^E;JH*XKz64Q@cBh1XA2|C0pqEO=0K7M(-Cl~k+h3V<(*{B`s zJNv-3@yGm)wV%(LD=m?duJ}|nM-WszDRFvc@Az^=hPm;C`1+R%mFm?vKD%fv5?Cnr zG5=q+)Ylo`4o?wTa^j4(0H3Q_+)Dj(;r}P~U3lP7B~>!BNNoM$Lymzito@o-r^|M5 zJGoej&g=+zqP$nh-0x+O=BJ5Wm;V2LzC>>BmCp)_nL)?@vA&cDcP;yA2ikpBp>1Pp zd$T%lfkR`);gZ+adT)z=d3U#dcKq&|pGFUB1lTHc!`8)|toQTtV-Zd0VfEj*dbRfb z2Y2t@z4@4-dBKZ!cXwwVY+{|$-)QIi%WL=UvbT#=y{8#GJg}2j%H%>N?DPfZgN=*U z8+IQ0{^{@U@1-tm4?(9dJbwGuS6JQe$=3P>cGWB1WZvIr+upXoipTjzJ=Z-Yfpy;v z->|EkyrCdD$!MubW{teg(}>R;GgOp?)qD~%-@f1fKW>9C%cB;Cq(?uNw!~*8HG%f^ zs4G{<KRP~TrF6c71H)v-2^=ly3Dw`;B=)l$68n%8vO28yu<kA2nsak3-!wY#tF>f* z$^Y~rLBUb%^JDI<E}cR?LMDfw*DFPD%lQ+tIqfXRymXVPEv0X6Ogya3@%q4ny$qa@ z+j1nIFL)vC!L>&|N`j4rgN0R2QsrDyE~q&i>=5A4@bCNn|1aAZ<P<XR*M6UCss}oS z;Yde=rxDY4)mKly-rV&-gX2icfrp3NZ@%rlv1Nur0x!##lO3A;t=rUEWZL*->rb(` z7}p3Yr86svg*@PqFlexqFc1*DbHq_=&z?O$YS-24aU25e2TW<);Q#d0)R`L&Ot|0Z z64v5B&$jxQ3;T1Y&)jnCExr>Lv-flJSZXM6D@c5pk+DpcyD4x-LE^U%1(T2^9)eP4 zITE1bNVr6`jyyhG_vfQKpM2ukS&Z}RtG>Q6Wb9gf@baQij+gVB?}#L*sBuJaf$IEx zBZKmq4M$iScPJD*IU)GZiA7UTm}O04gPmk!_~OdX&t&2*@~|){^4Qzkzq+)PyFExC z&+!NAPl*o^3wXBY-`_XIJU{Lnw__cL&CwIP9X#Bv%WrMTtkJU(fHrRTXlK-mbrvfu zXMAt8N6{m}^~LV&>+57rot&u5K3Sk5>A^#XMVdVG<>xfl*xIl=F@9=HHc)@Iv;4i> zdeO(qCM_%>bDC|01yrA`IN;2b&uwsVP5An_kX<F2am;(16^u5TW*nXo(Y$e~|NMC$ z)M36deP^0|?MiB2be56i@w$3Jhvq#8o7pd4T<rd~eDWsa*=`QX$|pV=T%FH+axti# zYpYPtT+iV?T`RO}uXtWw-k)1by{B8~D_dC1u(7eRc+T`wFshF8Q-HuSM^@j*$9iAB zy1M#}>Vb_Kr;qMTKQ|}wy^)jluk4xD<$Be&4ki=CK_`nAuW&v6y5T!ZsDAyepC2|n zun-UwkXKox^dxw7n6A^k?l8_~E}06*u?(wtB#jI<UgBwCi<6tc-7<B8ebXEXL&pPR zpytklW7)U2t<^Kj<mR4gdc%zA($?$S*7qx~IX6o-LRdP!qG-bNMekpFzOhWLaa7O* zl|T>f9nojqA$}ATs|^uW^>wm~Qi6O0Hn1^$^KyHz@L<1lMNz;cZ8eS?%1mk}J?2`K zTFmRZWNzrFpvSSGQG`RsqZ6Ep-%Ra^VD_FFEZ~vF;xlPtm#B78Qb;S8=%gxJqj)1% z2H#Hw#y_6Vub=diFXiS*Sw=<WlYhBR{gRbroSd?x=I5t5FV|i@WNlRMu<82_#fBnJ zl^=~$IyjlGIXIl?Fb$Y)$;x<IAz@OF!eyzyN(*Wg)Hsf?GN$}rTq$hj*acR$zvrsd zXHdIOVS)imz@>lkp+?KDcrN0J<-8~-shX11<Pam!z#vhj*#I)P3A8PrgXyE=frg$1 zGkJ}6TwdxeK7ZqrdKq>XhTeG>d|uw!S<JVi`sOC^5e7{SssaWI${EgUo@;D8^24ZY zt}?^cOXucV7k~Ez4J8SH4unv!<9Km`!-~Oa@xc)82S0dpo?Eany@=wRdZAlf-|ARf z>U5~BV(t#^ZVD$_w4`3hb%54)F<81~oM8!4Qsb!S03FSsz;T3~QC6~XM)22HS3%8$ zkCN`^3IkXcoM+q`+)>gAKEDBUw8BS62Y0t8%Y@{AJedPJ_EJIOo;_$B4kXv)P$O_* zqTrX#hASqsBEaj&7=m8=&Nf^6`uh6gUqvka1i;RA5HN6XaChsIv2;4zUeCY$a63P@ zOOwMK!G;#gCs!Q3j(`tY5MW_x{GqTwSs7H&XI@@*@!VYN$Hskg9xved@#|O6-(O!} zK07;`ud5;F_AL$%_OR@D#+mT~9uiD!vJvLFw_Hw7*I(W%ZLXFRVtIR^z>d<_VxYzH z4j|LB6%=lnTyuzhCc>gq-|S$LE5-37_x85lu(eUCGbK|*+8r3KhMQ(zTXK7QzV(s1 z)XCf08zu<e-mSJt$x&e)hl{fE{y(3%L5%@1{WzKHhEFUU8<wcV++(@s=5V58>-vT> z`W#2(8#7X#T#v6;wYRsIGS7?o<380`mXEQ%zJ68APNV(hM?kAq6LRZ~ghWJKW*Vg~ zGReGjWXIp|^>KoIrG<qX*?f$aD<n(?*%rLaXW@kl0kdo>H~H#Ch^5ZvU}0eiINBx3 zxx@vWb3je`Z{MLUc~Nc2#_N)`l>r(F=iGXwTz~lJ#_pQ3Kr}5!;V-CnTW)jg^^bl3 zUM%h}X}7Jf|2N0(&j;u17`B<g0v+N^7f+n{@$vD`v%2#pRy=&UL7kP2E$HT^)R*`6 zR`Yc?=G@r@Y7hNc;S~Phe%<fhPtVWKU(p@CIgJ-|BKouQ^Ur?<-QWtU#~+^NxBJoH zHC4;?e*J&jrG9f~fzG`MT^*J>HM`g><%EEk_TP`k<?DUrE^SWt|McO*0)P9zQyyfV zoM&r&p80Tb?yW5k)moC89Euzjq$C~t1v#GbN}K8IeB*d6lbPed+n8M?nM~07z)<q$ z_I&xXvrM}K6ldHpgN~5a{`>j-&05f6%lh_d`tkGT*;F2y->nt8O29_!>@3s8GmX=Y z9P2y#9eacx=il8m_0m%BbOV<lp$$LQ#GVsmIio1h+w;IT4pe&?Bs45g<2WMO_~1c; zR^TF*yZh_y&pkiU*wmmZXrQLN<Dq@kmyQQ-O;bUQZ<RNT&G~G&o7s35c}>;&xbTBn z!;zf(`{tJJirZi3dv|xadWA}Yg1C0rngx%K*Y|&xv8em?<z>yk_xu0*ReyW)aAPm8 z?7IDbK6#&-rYqgr-X45%l4|Cc7Z?993tZcn>^`?#aN#U9-&rr(4oE$Cd!X_c+t!?# z_~hISyA}CwZ*5I}qy(Oty?Dao{k^@Gf*&`Zx7+-6>*-%P9E>Y$#P#FmK=VL?kK4cB z_v`-$y}q{g=lL4}h89InJosihNQl%<b+9qZzjp@Qu;r_9Y%)0TxKX;gTTFMyX#)<{ zbFVKhX1A^VHRacrmxpx)FD>)+R*-3C=U)ceBwYRe#qCY0r(e9dxY#7^j09hMbHSAr zfz4ama%V`-R|V}>f2;!9tv=g4KQ2yK$F;so#768~t2##t>*H7Z#V&t!*mwHELg&S@ zP4Y{P)6Q%-wseZ6tUzN%3QOS`=rE*=0N>4`8o`Pm9~7&vI5{~zvTm894jON*HDW>@ zLcNoBcUR!sTU$Bp_a#i%k56-IICr`J-%s`D)ep{}JlQE{Uw0;XVg2_>t5<9P4=64# zSASA^;M)3l|J~*9mw|^-9nW$6vrj(O<C7zBs4?>i+pqWyoWg1aa-DS%GO-&bRwu3d zsPZYnp)Bj_D$(3{1$X)SKN~%n()jM2IN`y?&E5UYNGKstRz;0Ng_Y^z366Uw3fz?# zy?g{ZI2l!LEUaSd|MAJa{<85Ott0=HXP&#_>mVS?BE$_E2{vko|N5asLV8t*Rq3lE zDohV0B@StQ&;pH{+VWjp6{=hjmT<y$rg1tS=rYjRzaIWBe0yu_6ytQiI@vf5l@n6i z7uWy%q`J^b(CeDVqSkQcpGqrgG(oHLx>JH}*w=Tbc|@@?{(86jef^@fvY{qF7IG|F z)LOQs`9m{9oI*vs$*0K?5vO-FH7phEaC2L*hf91(-I`06CZDSK)_4GP5<vX20^#O{ z2dB+bPfhugZ_{q%zGJ~rmc}Ix4v<mPy(RbSe&=pkxzM9;0}FW6^vGG+hr$(d6PhnB zaAdBNbYV%6ekiD~U$4*i{>A+63ukX^OfLPY_DfjKx~!+z>D#-z-k>Y2|M0H;Bi^~z zLVTy{2d%7Y+w<eU-D)t9yxk{jJtJp9)$tn>_A@ax7ff7Plu&$qLGkl*K6O33ET?9C zP&ryU#ZpGNF(YM(-(0IdjK|s?eFQpq8H?kqJT6TBGx5fS$=7u|8|>;O8^f6&rnQ8d zcu&<5t$t(jo@w86P%{!VP`V}KqSC3!>ims73hK=ErzXfZ1$aS+NxS6~EySNB+}l%W z!*;GQopopMhaC&f$S%=v@00m6w=3Df@7cMzr@futaU64t@X$Ve^z(~wru9kt>;C=# zEtO?ke{ysB`57jX_0m(W1={g!G;FDxa5`v5!9xkfWBlyF^Mh;FnXaFxx_)AhD#r|G zPzm@+tBx}x@+s3?1p#3u4c2yR8NH0N0_w-7FH><mU9GMuc;N&`-P75xHZt<NTwLT@ z>FL3DRNgB$FsWO5|Lob)``a$v@tmyo(N}7(jX>YYNvhp?`&lYA>Nzeyu)V*dFq!Z0 zfyqr5IL<XcS5;DK(oXxZm@iaM<DhFC$Fu8p;wLm452!d<{$e}Cw23*N$42(oJ=Z5K zB`+>C+G-tRo5ZAlW~Onv#dYR~DlK=9vNS$va4<1y(yL4O@NsRUKi}+Wrcq*yEh+c* zR4$e_DSmdQ<;T_;3KOPqL~O2S&Q5wK{LsH<-h_VEN&N!7E&f8ng_5_WKowuXYtZ6~ zkQD)n*=yXN`LuC7xGu3z<)gOneuj_vQ>;LXojV)$P7*Y+Y<|wl!ZLsLudlDwRo56z zSD2sz(!V0x-B{s>AE>RV)KFn_=bEHZib15B#Pz}_7LE%0IBsZ5)_3a570pwaFh`)n z%}qesRos5ZEr|LfmMV{Z=UPn_{(pp}afzD)VnP=b8+&a;R9!z_EK;yO<iT<AL0W@~ z<CO0p2layX)40Vv6K2tA2L-|;y(!h5mSRl)%JCN$tDo0=^OC!(qsH^$`48Wn{_b5= zUr_f>bm?ycRn}I!rQz%Euef$Of3e*CJ=JHXD=XjKDetCf_k(|_&&PwikBbEUpKt74 zRkiGx-*h!q%cb7Fl9!e(`ts`h%lrFzURkCu=l@x1==h*kP{8QZzK)Y0!TaPL+&;V( zQ%%g^*Ga4AxD)R3sP2U^7iV`*RgKKbe}8+N>aYH<U%=54Jx}M$m!IBVldV*1XIP0Y z4Sb^fw!Okf^y`Xw#|wXWA53}NyrO=!yMTbr_h0c%pQ1vq?*WYiRPg<;TkP@9*tG7+ z=kQnWm%h7yKK{Y|^&*Czp8NhStjU?yld0*w%w&pbvec=GmT&j>zx;7Osi{GerKRV@ zZzlndeH`@?69lh3SN3WCRkra-H$&c=^7rkl_j7#Wu5)7KSJL?4Xdzm1cFmr+|BB1M z$Oj$!lBqE{SgP*KiamlWgKzekKl*t(TtsZ$7o!j23-_1`P83-u_y6<!?OkhZg5;)^ zJeg$M{Hu6Tjpf`Erqxxh({j@Pp8P&{@}BT{b542$1PJ^W@Ts4y=DVf4LB9P*-nCoj z)!(mHSuM`uqnzO2_MuTDc9+TO&@NSZ7AEI;mv~Dj?|b;&qtYyHa(=_FN2gvbvEhDs zCBEv^JWJcu;<6-tw<7b3D7hB53(f9=Up^GFw63VXAO64W^xV$F)~&Hdfr;Vir<QVb z&q!9BAd$kd%lN{k$XzA%D^H5O{-CCAvY&6&&KJ8@ZS6GQmzAN@5ym~sYkhKT=*_i@ z6%riW6qs838eec}r0wQlVR653b@#%Ox9^lceXL*eNk1rX-kX^k;oEvnMNbH<v|IFl z*QUF7BhpeIo~nK%FSE?XAyH((r?5lwZI?UgoeR;KZ!8)przrl^R&aAZOGrJp{vz07 z0-uQ!{q26X)YR6NO0yRp@ReWsqR>#|vr;?X-r}CO4-Pipc~^UPSE*Y2tu2|t<?3$z z6TZE@J$o*n?->KJDOJ6^XIU=hmj3zi@sg;up14n+=Qa+B34&Wp+dM>O?3$6QSa40f z<y;K^GVSehLH}%KTCSPoF34X$UFOu*)t@Yn@CZ8Oc^&wB+{Z^LexJhr0|rIs=eM2` zIq4=ZdP=L{rXmw$i_(iDox)#02a~<h)7tyU%O$Cq!-YAi{PD5g?lKLRUl(@Ct`$;P z%Lv)7ba3qx-%7iHn;mZH&-SQoTpJiC&N7Lci4D9Wxg}ocf|)@5W<93!aeEdSUvE13 z`xo=B8toRYT`3EhUaeo?9p*LNY-_3bbe{D7fT@oA{we+`_f|bwwIek-DQH<nnb%}3 zSED-<b#6*97AHytOBrNbXg_!G`uh0iH9X<r;Zimg29A8ppeCExu4cuM#p{#mUR+SL zyM9Aq((^ZO&iMP!v6$#k-=Z+D{P{WAYcnqLBo@55?T{+|vohlJmzS4I=k>q6z5VjD zv$H`Roi#1I4{gt8Zz_K7Cw8q_T-t@hQcYvI`(&>t)BgN=y?#se_q@8b5gU`%n9R`* z*PAExcd^2&cKO*Kb||Q<U*FwqnwTS?`G)zS;zf<g=Ocp@LFxP90bzlxdZEpHO!rRx zF8t!8U|acX(j4O@s^+eCT)R%`S9-_s?zmg~SKi3b@gC=?&ec=ToPFY=^HV9IujfJK ziizd#?nG|i$t|u|P;B?4X^H>*c@7Z{QVZ^ISO5KGYFqK4;jp&A73cJ<vmgF7hWX4k z%YCu^e%<5i7lat4d=2irVds-MvEH%X^ZS8DW|?!1j;s=&!`8>mwN($e*7`j8_O{$L z=d3F~rF?txphkePqU6)l)5mRV5(1RhMQznG&A!$%XU?1*w+*}a9BK-9io8EG3(cMI zF*a^>n6B8X=7*JMxEI<^m~-Jmz}$id`)YqjD2V!UJH|DzPH9$rFEBZAy>mNXZ<)tL zrFvHj7gaTmBchDVMof~q9<h&mHK&zu+~KTpI<C)lsk8fg2!|TCsP+VB4v$L*{muV6 zy?C|ucZvB2!O%}9TtmH{EZSo^XHo&H(Nt9d16Q|#&H4BCSpNO<`TQHr3p_~+eq1yy ze-~4ib$wmzo5UZF`|V4PKd`J&G0VFnkz4trZ;oYsv0Al3&)WL`|3VhIaK5^|T_3ay z>g1VEPf!0e(D=4%_io{$zy#0&7k{=B7bYsZTbQTZ+?485;7}!C)?U6i>1fv**N<Pm zESahuZsd5;{0)27!4Df6G(gwuU0*&wn<=Sv&VhS-tKGeKwVJcJ@T9P~D6M15=i!*R zQPG_Js$)H9oI=n*LHWmnGqX&)KO7VBu3_1u$9{3AwBjnMCz?BVKTQ&v6y?O$$-if& zWI#~O)$jY1)Ew`eUsCcgC*-2-@~hXBh4;%dEjz)nhv!FQ>xaYatK|FAz}upxEoa=+ zbm90C&&egn6*5H?W&R3ue^aXa+AJfnzs)7&&ILz@2laCuJlOBA^e8&|<L>VA<y*6_ zzdBVS$kde1oPFFXc)6divU}f?OaZ4upJ!cXNlx_j=rJ{`@OgKC|M}<2N0|6I_ozQT zJ^i|D*Bpn6j6Z+=+>pM!B{TSLHisx^d;C<L$Vt}~CMmrC{%`VP;kE`w$%C_H@;!q0 zvj~~>&RN@k;9hWjdFFNd@|6zn&V<~$+q!Ahr)b05P6{qlx##bE<X%2y`x3|2Jt6CB z;%-MRSfeHdDufQTa_^kQ_K^RqK$BxDSKhxUKbE|6AEaKi7d^Onpm?rTsgY&)-m0$_ z+g*(BToB~iS^a(8!H){63L<fFaW#|gZ%*%jSGp$o&5ezF_@;bUs_(h9H9NdcmW3@& zSU^?ckOqfr;@xA{c9mxH{lBs{+WcBMn~mLxOh!lN74G*P_D#@kx+A$p-HbWT;`j}L zMNOwR%uo;rXW==);dSOg8Ov(%%{ol_@p~8M9?!ctul`*CE5Eqb<9DlmC3z^VN)6oh zC&QzLRnxLowc(X^(4{@AW@pvs&j0g!YlNKU>;4t~ZVo&SRt3%LA~&mT&A#5Zm+i#c z2F!g_t0eP|otXXN`g-~5&<U3pMy`+BYr!aTgt6A+LFz>(qaA|DdJ<iX`~LmP1}%Q5 zR@qhZ(&_Rt-^T&GeaGi;Y*e@?>NJ^SV?)k@=fa;{>+jsTW8uSmc+Q8iExEVNq;7bu zIBMx}$n_jMhhR~JfS%JPEf3yn-KaaMHrTIWtW;5O@0B(;Q%GC3P7kt~Dvs&c&CTiC z|4BQAO;`gOKI;foy2<4kn>5XtLFLJZoeWyRXIf8b`E%H<Njk~RSo5(e{$u^}%hUg^ z@QV!3ic+orbgO}RP1yV%g`CDLk+T8kxIZ<<usvyg@N7@T$D}$*Iqo|jKJJ><()D~| zFw>(2t;tzeRxFg}e||W)agIn!WWdy>7@jE~URA5_*}He9fZ}nM<i$y>iBc0(?|g9V zDd=l&XaD*8ckvB&&vgoH92*b3Hb^@oQP0KCpMIz%Yv!R=Zui}@u<Wl2+4@k?>Cvh% zx0rP?mU8J|UtMkSQV;-bt}5E3-!WsU*8<rGYt^H-Ffg&Du)K4a@?B}Bm(D|uhK3xg z7l&Dv1ZnQ9RFw(5E%ETk&f6v5q8LD{6IK@-$zg6h^5Q^Ny|ne@P!6VV{SAzgjIY_c z-GZh@{1Mzyr9P$Zer@lk6>TY3Pw%)n<(>DRa@F)#${%9BHd<C4oBqzW!Y5WrWU*4^ z;$Pk!k^GE`%GVCZrHQc!bu}<bI!+S5)AUR7Dd*e+7th6S{jAmMzHedC(a)1M2h9`R zoX_HPc;2Oz-sLAPYrX1U-C3}rHvihOa`luW$Mh%Y_zQF}GBO)A9nm~;EO55ow>l07 z^=H%9im#aUsbz|W$kmSlX9@*&6ev&VoBws`y?LsUEBtj{3JMra`ZD8mPgBEEP`q=T zU%=zLx_J8)m2Y<E*rtjX89(4OUH8r}GpO6IXK#Fd@$Y82bLSR^PcewEXUhs*JYn&n zgsrvQk{hMI7;RLTkO0n47bYC-RX_Tu?Z6RH^_PnmRqn`~IYWVgW!)W~CEa%4GhDWF zF?k3nDWs@y9-Ow4g^?T7W(@G}-}PmO$?lCGtR~$pXjJsie8i>y>e3dO)YCe?rzhQI z^?Aa|j<S=z-tcv`%BLWiv<gLKzT%asi_1Dd0T^rLGUGyw`xzT1@mlAMzjgAjmUMJ{ z;qly6eyYVV{^GCu(=XkSPYa&iXFDnHQN_70&(20iZGLQ0x*~i=*Yop2=L4T?*`Wm5 z;J!*?;Zr8jxa8)4++5s6&qOktcI*>>vg1j61Eb{2Yip(JUyEkE?U;POOsM{-IrE{6 z*B5VJS+lI&Rqgeg$k}=Kb~yFRw|=UK+?2N5>uOf;e0}!`yWOYE*%=|i6zctK;RN>Y zD;<UXXYJ2%-qiSXee?7ao81_TPR~`mxyI5`&t&H$L5=%|q<fcTFFV0;YqN8<+vSU% zeb?Qt>2MqYmBx*$l<T*MU9gJOv0_r6vgzH;*$YFqPkQQQ;r-0t|K_IWOUmX}7pYFZ ze>Zwd?dhn0-#=}&UKw*Vt4Q;o>x1u4xie3<-Os%6A%Ep2rJR!|zsc<PdfJ_~wCm{8 z>$yp>=D{f}QHC#UR!LfIc(iQ3$X(ZkUs)Y(OCpYzueNOFVQ2ZaKp-b4qy8!1U#Z%! zcaI$MKPJkurn7-jveCvgN@{z~%}cYgH=W$+AG_CIU5`EZ@TzxWvGON8W_}9Ic-mI} zD02F_>fqhWLSHW4G&SSwwPvxo{%o7&n5_F^md#pP6f{$5(d~&6;Ri(|D?dJBb=)a# zr7vxklb}0oiGotb`Fo4q`A<z!<?en^-#B5$W<h1Q7g1ZJ<%{3mG7Y<7inO&}V!}kX zUMayPTcyo%GJ3b(cr!;lukFIY;zlubr5hVGjhdd@=f%u)@Y1_ec`AOv24(iL%kSRK ze)+}zxQ4}xd0cO8LYiXagYu@=Y^k~HcWU0_Sl9E<N>^vRJT$Z9@h#QRb#v=GUzz85 zGPn0Gm$6*DUH|^JpY>bHuCBL<|1sI%)C5Ce(L#OC`@VDiS-Hh8$4>vdd;8_}pO;^@ zd_P%d&DX%2T_y=HELH{loSVkZx3A!v$k|y|ef`x!-)3C0PF--P@>9@xyZFG_e0NJ9 zJI+r&ct$?s_A>h$$}NASpPz}ev@v}?{eOMntoqiIQX!gd<#|6}oXfuRD7o(LjSt$N zW-HddxYTMa@}R!`(A7JBS^8JAt}RzwT(2;FPn+(~_u4$^{=cVvd;4dyTpLU9t}lL5 z);lO_#jX?Too%8X_^GjM<)!;psYMgpXKT!g`o)~D=fhmt9lbqoV_i>L#e2t?cfY>A zp40#ERsE||O2q-ITNVA8n-&J#Xcdq<q|PzfK~|h?qveWw_x9D!1`QjpcdpU%pJ(&O zDx}b{C17p^sKGwxqK<*V1k<cOdHZv_%ppy7u0yxC=cgYG%6RSI&Gx8v)^(L-l^fGa zF1F?IT?<(gVR-FMV}ab6<Hy@ue+q~lN@qP(S^sNBg6|FCjwzcr8?XMJwdxq`+yPKR zj4@-Gnss%Rs>k1M(4q*F^t+L-t|=9sGC4bO;<>f2F02%8pV^bawcxJZI)C+k*(Vo# z1-}b<T{#uWS?W6BzHEHdkK1W?&lcb7;q2n+QNO;2bw$<OHj$F^^ZDM~^s_A9ePP$z z`r{=nEDIMrWUjunY^(N6gDU~Yp9LOaYk9IrN$tZmi_{Y*Jl6b3<x^}FF@OC_EB*1* zUz6_a$y2or{``Mg-{nJ|j*X007Wrk`Ew6mtXA{2TSJIxkuS}+&-y}?^uzLG@;+Beg zCaWU0iiNHTn(P^#&>;Ww&JM5r`S;dW^RJ8D+j1zR-tX+`>G~PRmgJw1kvuqkS9`Y9 zsX3<7ra70ozL!J_PJZnE%~<f~>jRZut3$jK`J0+o<WANqIsaepz4VjUCm*|S`MkbY zWSN})PuEu-3zpjRoZdcX$-%j&f}ZinM^$CC%bk~zJos6lzWL7Hw18Eu4YDqdmzVpW zuZmIN?H1Er^!$AN{Lk4H_Ml}fpG_Si94sEZY;f%0Klv}<Vrfy)q754i#LhH7OzZ!= z;9m8Q4~b&(b8V~5_Ol7v^u+G1D*ZOcA%lNjf9~yV8?_8}B^;jI{MnJ^!Nrr)_2pOd z95>PL;O{#aB{<7zzedf@$Gi8WpPyHwH}Ci!S*wzSNzASH7P&5Vsy`?2srkeGD=UL% z?qT585OaQNu68)?BlEQz3zB*Srb1hH9#geKGp?<P4B1)qbjQ5>@5g)pKDDU4rt3O$ z&Z6|4(Mg||o$z>ZSYTEBPL9;G)0)d<cQ2aFmh$Ax%Eu)S%UW)(tgT%aczM<;?u<bG zU$v(hzZD(0wkE<QxxLo0e(lusq*re=LZ5xjo_6ts<NUy_QC~BUHHB(bn$130_;71R z%-!b0p8MC`TlP8PN6dbwwNg`_|LKjd|KEL{b)%%&gZ(C@=Zv!M?u+gbxVk=O3a7|7 ziRr7BbaWJGMW3(?GYZ<8W_xb?#W%YH<1d|3{ruwi&;5a?`xobV+%W(4|Ll>O^;h<W z*WcYZe@nj0alW^{Ax57x-bm(JmrOiXuQlJ;yQXc6q0dCYJ8u@2aSH}iaG6AJ%@SSx z>D*lF>GN-G%@(gVTkwKgh_Q%4v^bb^>4!9hC3}mWdZ}?81Dz_7H}S&u{P<fNli8~y zrs>C@YhRdj@$TKZZ9I}fUnOJq&$E?OaISanlX-YY;LPhsj}BEPuZ`N8^xo}gm*~yz zq`bH{>|J|5Y_O^MF~M)H)lsc|zjmsfh(5^g*Yaqh_2T>w&|}7qTz$~XC}?cf)Bj4r z5Hvq1F=3)n>ZuRyZ11cMRoCu)J*(*Ma{nve-YF=*lUsVVx2Uy<*IxO)^!1F3cUH>O zzxq*j%I4J{&nx;$5iuuDoZqar@%w=pJP*2gf9ffoQZD%PiuvEwey@3#)%!2~tIt~% z;2*bny39T93d1zLUvp1|uE;8gx&Ob;$6Qp?^0oBW)-UHjI$v2@8mp%FSpB?We^Bb# zzTcj^+e(6D`vttF|NnM%qD-;+k?B1LW?$LrYx}EyKjY!d4=*~u{Q8=`YQZE=<J5Cb z)qC>_PIzu!qM$tE^`4-=wI7uxSzYb_Exx}-E!pjWh-Bk*Mk8?!`xo))e`X!`4PPC= z80mO|byv)XDwDiBHx5i*WdC`gbNh?q^7SezE2N}_Am^Z!GaY}#es5Rl>xw_`?(V*P zYio9C>aG{{RlNON*ZN8iajz;p;KspuK09vmjAut@y+`!$%s@Rn-H)JU4GTYh{+yi8 zqor=zEY5NNuY$X(_p~RbDd*-y-YaTym;@Tu5SV>uN1?KDx%>8FJH?V;RUD!Evv=g~ zb##l<*uG((qRFRv=JwBLgYQ3ba&xP>*<Ek;XX6~R_m-|ly(K1{(y!X~bdK<Zew%ea zR|I{OnR3#yyYc(cE2Z*ZL-V7S|EfNclAdQ5rgwM6v;5W5^cHwNZvU{)YwAjmx4XZD z#7%m4@dVo;cjoGHrTnSP%=~_rr@dYAdU?D{^meoSnv*AbS5IFN`L=(>?d5+%`X@!o z%vu?Huebh{X_lk?dV@U+RYWBZ&f}Z=ZK2n@n=6*g{-Ut)$oF1NqfG@5oz^XL{NZdo zAuwdcgJ<iXx;%Ksv!2n(GWXV&he6TBJBvBeL6-}jzitliZ+?dNH{IpB(jt!E*qB@? zJ3}~8WbIZa4xT+~3SI`qpC6e%*`!eaCwP0_-H3%P;vAN`3K<-RFQpF!o)C3>=Myt4 zo=JaBa?S_6)U#TZfg9s^EFD0tM$L!~3iSbZ?%a`)TU5Az-hyeRUMoKMJkomK)br$Y zd<WYqmkU!?2Ccl{Q&wuMmwd^4LV(ew?~~28Jb%Bo<iQmEY9|M`2?o19zj!LKe!E*i z{ezV!ryjrhWTWY8GqV#LD<nQOn5{54ajiGoBA@xE*5_$!9xn+`wl1rXm)~7|T&#<u z-YItK^pr0x^A|nMIWoORVNT11(ChQ!R~)%=vn2fKjVtRzTBJ<#or~*Zvp39HqM{t| z=Wg8#q29>_y{`N6zntXgVDw<myI`7q?MWHy2PuVm84iBNKG#;RA|=p*riC&p<&W4U zouo6I%rY)0d`oDkIKU;Yccwpsi*vp6?QOZd*LeLoZIpHhW>?0gpPQq2BInKB-P3J_ zVt17+%)GqpBfnisfq}Y{jz`H{gG8qG2!|pA`%kVPVtWjHeLg=jReAhKM&+T>bLJKe z*DetmJ*Rq5|JLT-4gE<8f|G9TD%Gw(Ho=~Gz2LP58=(n{XV^I3TJ|uPmyfTn>}^Bg zw>LqCX%o%2GV>-mxE(n4aM|Te>t#9Eo7c|UA>wh*+$r>4+tXj2GY(&hVOqWN@na{q zx_P!U)BYH=EnBfi;O{BX8pVZLsv)7h8Huy{bh9qqysVy4f8TSy#9<Te=ROPN)%%v6 z++4S`-@5M8z5H(H-({Ds*X~}Wzb~ZZN6wY$OEjxReoauT`#jaFCstH<I`i?z&ze}S zMtz-i;ZbPxV%~+S8>F81NKBsSQTkakT*X*aBVx)wtBP}3DJ;V8!~VJp7)|=8{QmE@ zuCgXONyi&ZS2boWoFiWUgn1{=3GRbuD?dIu`p3vdY{$dLrF+aL&TfpEG+Euh$c}}B z^H|$;MH`zro!qO!Op2NeCw$@3XY{K0x>xw#y?Z_#6BN2O25xLJ;Jvqc$HQichcf*9 z{GYymf4)juWoMIw!V#wRhi4cjpE<YsEx)M22|>qu94A8G-Pvhr&zjBX=~$o0WaHuy zbF|;DW%;S8+Q*lJP8r{pbMw#<rQ~BhbKY>T_XwKep#EpoX^wAA4UCc}r|C-9Tgcqq zYgTnJFzfmT9?PZPf3n!UCr`Y+&wPbvuh5gQ6%y(j(u(@Jey@wMn!iula>7X#4^|)V zELYRhbB@*rh&`QZm|C8H{|QT2NqcPl&cgM(I%l6Rc2M<u#eexlon+SIukUV6(yrR0 zcR&2zj1?P>9A-aw@tKRILDd?Cl_DpX9t&IQlJ`wY@_E&R9Xloo%5>L=R-`=BjrHf9 zz3oxv2XFt=i#^X|N&U5Lb#=M4Z13}zzt69_ak{+g>bHq8)*GUD4Q56NY)}MsaU%?G zSk@=a>B~}I&vLPJKgX(wQybJ>lO85!8a0)k`=6<Fc8*b>v(^V81@p%*4%+_t|GHe} z`d8&d^KZ}RW<1~4JLi3)*_RWljyK<J)fUe@FkxfJ<~-$lR$kTWb)QXlml`hX){?GU zd5Tj%-o|_J{`m`En{LXvsN<ArSXKRCM#w4CI#=1v_4(J$^!{I+wd3Kj_?ybhwM4^q z>8g5vp7lWT=GI!__2nmLy^Sf#&UxJSUAZJlcePMvx0t@?3HFztpL(o{-G8!r;>6;6 zaV?)-Za<ZLWyR0qQw%3>_S$EArZzHR`rrApzdnfgwYLEEP#96fp)e8?1nYMgT-0&z zE{`hDyf(A;;IYpk8uflf#h$aCtUjWk>~L<!OUX&5`$KZC^v<5wD3bH*e*PA}r#THK z3-UQ8d2)+uJI1ekti9r&{H%rX$0xBGTWk?tS7iM_Q!`?PMz4guuV9x^&5Y|8nYTQ; zzB7imB~DI4ey{h0V5XwUmfj-kUUVDOe?R{I<%4^&A`g~Jxi55_>2=5T@MeFz|C7F^ z`$*d8KX(7}{Lb|7^>IOgSLIW#l-mdW^tyV#yC)$><($$I)_k9=ulMwqJ##xRw#Itd zr%dnt#<lP47Tjh$_@#cHs;=!8=U(-cBMbGm=Uh7n8W{1gIdt}LAg}fRqGya!7jAA? zyrO>bqlX#4-8OG_yV@0e?+<I{+j#d$Ilq6LIs5ak!P%dy{3e~v>=)*g+<1JoR&|jG zOG%2$-=NxVzm^|IU#%!R_nh&qeR2P+Ya4o$)-QfEL*^Ur`HSylXYcg2je2Fz#k-LC zly`A_>4ew~HOkZfsx8e$K8R)m=pdT<639t3B`Geg>GzbFr4yyxx9Ym*U1Ha~9I%mT z(VDFH?;CYrhYNp-7MSMP!C|SaVg2sr^X#ebgY-{sum71Quyld=l|5OFD)X%^eLCbl zH7s4f2bg>@N_BFZba?6%Q!b`uf)^$VhDv=CljRIldbVQPm7hv|U7O!nTQQmcb*ew{ zJx}0kW~|o(!$yW((-*OG{7PI9BbvJA*b<i$9eYYy8lQAG^z~?@p4z@5yWMH&;#<gv zz&N=db^bQDgoCBkW})&uJCi-HcYnL<X}<gIc^0E4wm3n-z+FBZn$nYn6qUEt%cizA zHCTc|&@*Sht96P;uJx+k`lyO2RwjDhFE1}E3*kt)ALgX+=Vfu!wmki>E2hs5XV9!_ z@lE{x?(XHk9tBsP_nz!1n`sjC>9W6lVS2Dl)TITo&GH!m-%VAYb)=;qTU0!4PW&+; z78zDXS;?JcZ*N7Hh=ug`ZTg<^d(OsZJX=G!_9lUrE=xeqDOq_@u|8P1uz8l&KbfDE z69hSSEM#7_(J`J?*7>64)fqhw+H7;(O_>WFmS5dbCf>SBZ`E9dp7b1nqs<>=Oj3H@ z9%y7<^QwE>8xGy6TA^LL7g!bDX34HumU8Y%b3<Rx9Q*ozy0;`(AAhpBihF&Cjj|Y9 zNL#lt(}$ilw@as~)#a_|l6hXw_~ws8@I1kiF1LBo7-x%|ZsV2Skr^M;>5((x+zi8J z;eW?_rPB*uEcKqA@!&usXzy#@%f4hLX6BV%qAxc|OE3Gh>#@=TW##35bE~?2O259? zkl_>e^Zb5G<-XgE*An&jO*PlER5pxfs5MIRaoS>hw*G04PQ-?W!{>vS`CRm6ddYy} zoRBuqF(JMs&*iL2I?kJ#z1VGGZM``{j>TQkEj`CVoa<1)8P^-^DodT)`PNM9D_)q) z?8zrCF5YCr?($~A=F2OC)wTCKr&c*C6bfFLIB~Ii|GuN`fwpSz>U+#=c7<HN@!{yk z&r3Z`eb0zXnCEiretLd>{Bur2yTj1#>+^8qJ5LH9yX$d$@^grIcW38f?si8l#;m<> zZ*Na8Vk}x9dB`l_I_Q9D?Jti^1-N|~gdSD=&};oMZ(oMoxpoG56>~M;Sw{{#?kxZL z>8Z`}soLQgFD@+n^S*wbZS|)r#e&WW=jT`!C$V@~U;m*{(f8n>pfF30ETgRC&HeTN zqjyMOUA%U^<;82?p9hLY`!(7rTMFg!Nk#}5v>p(>n*OAD`}viXrsj+%c$hvUJ$RON z&S&Dp<^J<GoqBiP{=d)BF44<#cV%7GvMPHcF`4-W=sdv5jIQx#Kiv6K|MT<B?8Kaa zhpv?$pPilUtH;j5#KK+`uk6;7urx+uN{O^d#)8i!4O1FUJeXmceXU@|pWFHSm!_Sa zb#aoa_lx)Y|EC3+)cp7$abJAm#EEMRa&k^gJUK;k@}A`^jI%+@Ud!Iy`6;$B{A<SN zZof6G^TK5#zE`maY@Veml+7g>E>LgK*-(<;`$PIL{OAjXi6O=n3Jy72R1znMwltaa zv-UY((sHu6U;90F%h7|k8(JcR*JrZt4{4gr!K?U&iJ$A#BuAqOJ!=G&TbduRI!bUn zT(Bwi^rYRpt<5W#Ii*Z81Wfbp%$Q}CJFB1}g~jne8)&|*qw?4@zAX>y_eP{-GS}Vm zXWqR>xP9}91x6hT0?gp!N-OJS7T#>m;`ZZoI3OY!xuZZa{IY_-vvEs8)Rv5cDocZw zdi^PxZ&%B)miLRZW$o{8PoMid%}!WX_4QR@o~IAnd+tx(-`?FlohUIu{>fy8PBC6J z!B^<VQq-fKOL2IY<D4E|6%I?Yq^5?o$_td0wJ!hBy|?3pMR`i}hL<*?XJ$|4+I8zc zyTy+>h0y;errO*tTln&Gn8l~m?XMoL-8T`omf+sPTh;0h7;E2Y%I$24;ixrgVhNqV z_oVg8n#jqwwq_qs-OAB<Ah<EPKJd|Oy;!TNZ*L^qi=LgGeLObK)Olw?BNOY2`2BTn zLK!_Tz!ufNy0umNyJF*XRo_`AE%GH2%GKZA%wg!hbYI4@$l#;nO#Qy=^O!jge%xLD z{@Hal9*Kkp2X|I{RO*#9K9(}WLtg#K1{QX9_h!E8qgF>iOOzV=dRA1_KaJkOD!9EQ z<u~HIitW`BEj>4msoE936RUi3-s5T4sa|EpaF_W#3z(ihJ3D*V!v~!VKOOj3KRG!t zi0Q|jSzldQS-43v?)s#Fk2ZUxIAXION=)I;Zu|Vu)eU-J#iqoTO27GbXB8zw4j<Uu zWWeLW&ts`|ds}^Oa?+QN$K{LtI8IG86#w@{yKc*pFab$J#{>63C55PV*dEtI)2g<7 zw>`dVf4-Rfa<i}Yi+Enz_k6waq^4nl;OqFk#~)7Il6t@L*E{2vjuS7eR($(FxNE^2 zeU1ozMp?<gnNRW$p7FhUEIPmP+RU4;iv;HG5_95RwdeG{?dQCN>dUWvS5=;UeeI&9 z-07Ekv!`n~xA}mMCX=$rv3Ytz^6Ivj%?l1N{K~v!zNP+q{44QC!dkE5CRP2ruaI@| zS?qe2DLQ?>R+-0IRCoP(e{a$A^U9jLrItHR4!iPx^~EWwvy&@0%j9-DO})E0n6-T6 z1FsjmV^4TE2~PRU%J^DwfwFS_DxSA*Z*ETCDdXFd@n@3ol|5mcMdv=~`Q_yA*uyV5 z(>$5!>@2H!F`va2yegFnx?o#xwISX5>6y1queZrx7M%3k@%xI{%d7rW&1E|~BSGfg z{>e;#-d$Mm@ct$7u8ac$m%kVII?vpkvQ$m?w&wRrsa*x{O#T-C5!m^xcyVdH?AGdf zr%!lJ->@<=%D%Q{yPcig61lZI<z~<P4LyScbnZslwUn2wN2d1t_!YBwnW=Zs!$opm zeotebqL>_VzsXcv?Bb2Y_<+}ZcQaqTS^DSBg@5w}Y&3+^bryY#wR`$p&Yo+j-@L6S z>c1Zgy;b?e=&5#qhr8RVYirz3U*D	&b{v<vZV?%c|t9t8iUR#!KDXS1Q{5eg|BA zbaO>TqmJ3ubx(FlC@P=)dg|mZRz@XR$-vI2{N|=6i$rf7uEzW2@yq!yFIgJo%5zxY zTa$mxNzlO3MIO<znO5nNTl4PwZm&)GXujr^U%lc%$C6L4n8id^2bgF-Ju$W2vwh#G zm&gA9bvw-dZOOsK>X-iWO5{!JS-`Z&aq1$U1iv^=GY^d|;&(RoZw_aE@%6OFtBCtG z!ur*>@`K*Ep700)Us&G>Y5-^`uG}tA(zIa84QrM+mNCNNMn;cL$8CAo|8z^`c}=aj zMOzNuu7BJ;FE{i1x3gRR&!6q%_9{%rg?HArML)f-OUso1d=!}#y5DB&+b{96Og@Wm zPGM31`tsteZ}0w0=9}|r=^eewx9{F+Je+xC=MtpjNJ>&t{`~m(D(=&?E6G7;X6HPs zkP!1U{`X;-zs+0yb@`m|;Rqj@2~+B``g&rl-uteV4g<|LUE;Ub?VH&1<@XlZx4*K5 z-&((P)~kHG{z%o$G<~UCOJyvrZ<cQj3#vcS+M{Cn$Y{Pof+eUyw^gZ*`=G`a6)|I% z2andaZ@K?l&UDqYyzmQ0ruO`?sWv+MBaz29uu?HMq^=`PL4Jh=7cb{C174oQS@mmf z7GHYzIGp#8$%i!u4jZMM;r~+kKhbcKU`rj-`=1wIzFsIcxqACl?(L61q-I52Y`yb2 zb=y?cn`f=o___%^YvbdYH6OG+<G^oFZgOtpshn+`?ibYm-n^+<ruJEtfvlapOYiFa zuP@BFvt2ISsOa{0Hq(`XO?S9NAG2KZIaeQOerc-r^ULMR?3a$q+Alo0x$f!nwv~G( z_-ffd*}d}f%HaO<KX+beSG*Fbx*<vC$)qP|;{)8?yr!@A`G31C!aZifpDvXfZf9>_ zxD$U}t-OQx+Wx;6R96L?nb(GB%#M9~I?OED*QhD%{fir2$1<JVa-Pa6zV`6rcyXd5 zYxbXOXY0@Q-d69P=>|D#<+kU4!QLJb{goyLQCs`BWrmzzFr6dkpTBVCvnhpBwEMLe zGO;b)?au!WbS&^=i`4TIyZaB%$ekUs@BoATs)b$+n^!(Byi<F%`pIg+`+@fz1Lv8q z-6H;9FJgzp)U^Sjnb|Fu;zU0co|-W6bSt;WmibT4)yKY253;eIs;~CfMd<bKqce~W zb%_lUzI<WluLlR4*L>n`&U$}ps`k#a)=?)xTe0^2|5yEPj~3{#m5ZSMg(}xqF?op& z=gyh#53cPsxK;9F+bhRM6W=6WI?=JS*ChLDW13#f+UlD-UPkV9(|zH6)%r-x<;}Ib z>$eBGRD@jK?Ekwa^}-h)Wo3=eXV-G@3dIC^2Jei@m9k0`64lDGms?@aAGc)N);k*U z=Xg&3vrRrNZJ?(7``g>cVXU*wa=pH!_DdKxaf#`iaH^QD7rUsDnf=m+#KS9Uetv2> z#K3Vsbfr>knebny6D%jiK$XHF|NPZqYrO;->V>)`8@0E~Uz%lmeP!_FqA5=P(_#v` zt(l}G4c{3HeHYC6e<FPS{R^|+E??<=cu|N?k&DpZCdtWyFOE8dABze4cXG1&&c`Mu zISLx)85b1hcIn@nuV8q4d;W6k@^=P-@9yojetqVEgPy|N!aqL>=P@7mpKmw!eETw< zDV4sZo9#;KUkGS({QvoUzRav67NCg{L!0#U^lv`SC-}AYUf8y?;31P^`td161&f%q zggKZzJ3zHI|E=3?ywac8{dCG$-nH8;?$qA1!g;aL6y@&VH|i$@**I=kOLB5mI=wER zzxbl9R*+fx@3)V#z4Nn^jhbdxK=wQM&9QJ)cJHfS^6&3&(5|PMJPj2&_x8-}WVex3 z;QG;|6S+yH+GfF@+lySecNIQno6I)n`eJu}vD2?FFX!ie;CS=;?c1~2_~p(#sxEzf z&2)y8Q{}&Z|8!Qc-`<$at{cB^4kynizcxPEQ~%jszPz*3IDCcT6Xw8uGkmPC|BzV0 zd47iB;c0r4*VMZT7<7U<n9u%-eGE-}@cz5s%srn(Dn-uu9=%(%?|vs=-4@%)6TR&k zOTLB)@CHBKo#fdL+5oxz5a<MLaaJyoj%^)zcXw@El=uGL-k)1fPuCYdcYkNGdNug8 z7<F~^si(ipIF58)jN7|+?{=m9|N8p+X08qO`=+pQu>6B>b9i`%jaTZ$zH~>g2Z{#` zPgq;l|Fdyix;=7p+Ln})LSbKP@f{cQ;zUQ%xBS`W`RC5WzxgY0qvVBJ?C#$Yp|^Gf z?=qRR;9K2`o98e3&)>UXe}7zH>h5z-A`jP}tuveEQ?|(8&4KNKV8immH<*~1X7Dt) zDA+JNN3i}>joy}%sPC~g>#Btflk+=H8)0d)oCUYH=WqUA@%Gl%mRHUaw-iBpYG2<z zJzYQZ)D%sbGDo3Y7Z;YvJ+9qifg6(omFCD=m!;@?Ojh%)n6oMMw9!RL>oT3{YyqpR zJ3HzPO>=LV%#2};T&5sk&r*`IB=z*P{Eym>w#V{S*zHdr|8J;!uWF*!^i%GtQ<uA( z*(hL9uG{c$XUX)c?K+OEpHJuqX2;al8W~l8%i-eWOw@1QIM=Rr)?q~jg;@`~4YIGT z0d0d>cR<o0fuY(UK|$%m7KJyDo<2SLQ1)-}M`phICyYOpj<KnJxwzQ<^1Z#)mORXh znu~*%dL4b8eR&!0+PJ;5id`PmGB!G`U{_pZlo~R1wvxX0biJpTr^S?{xEOxk-qGB& zu=}Vyqsq3nw*5<rj(!fB=JWEA{e(F)bp$vnMLzz2Gnc(0B=pz+_@%5u3Sa6cHGJU< z5_Jg>WPQWBQg7X(ckv>T^;)~k0uFZVU6|AuTOfM0t>cnNfWQ=`z0D39nk-IEPILeN zoKtc9WXdJ=nKPf%&QG7`nfA`|`<?f5Xa3%s|NB%|Z}pO@qnlP43qLS^=IQn!>%q&X zOz$OF8jNo5t^R&$f}*od;6ZN3A5V|V*Vk{6>)uuR`c~JWs;{qZ?h*Lpe5_wye|mh~ zPTrRm$rnE)=~&9=@B6uIZnI%USk$(hOk2I*-`|US1fHE``gWhjXIZO~6~9eAIBp9+ zdT7fbpr~}MV{QEYdy|xHRBlSn-Te5`BcoiWAICrbVfk_8#XHeP8Nq_dg2mzwl=NQS z;eBEeRBwJls4@4L_os_@&Z=$Px~Sy+g@8k+rUh>4l4M$W-2c?1P-|7ak1Kf0t{V9+ zQtG}b*mm!sMG6alqdvd>Ro%0*OgFQ;P15Lpe7yg*oI?5C(&-n{ITxEukKxn%ur_Mz zriU|Dg|6Q9+53dEqL1@EVMUQc1_GR)oFv+xw@%=>YVc%fy+GT_0L8z@T3Et_VqcmU z9p*K^F=xW`_`1js3qB~Uc|2qCl~<>C3s<GPhp*vC>2H|bGef_(o8LBG&h)>+hLXE= zKa(!<E&df9y=z<cgZZAN(;AdFlzjDz-2Zq%OnqST%UfD|Z_bW-Ajpxze(iw#-gORw z9JwraQjVzP>@2XIv}0-gvv`($@&DTYY%p@WWxihfZL~{fzR>?e(JGtgn%O#s<@QaS zD*f%$)8|*se;Ix4PT9X}@*U*`DJtJ1UQX+B*r518O*wW~Nul6xlk*3+9ZT9c&p18i z)7eRLjp~oeS#FPveVO3l>B1VC%lmC|^_Lrkk$W@Ouen*|P*vJDZMA+zidVg1bL~~t z;#w~^uV<Cl7wgG!>^RwR@=n>rt-fsAqaG@Kc)V(_QTorkmEqQxs=QXYXI<WM$kpck z=Hser(L$T_^*pP}*QK+(TlCqkvri+4WlE2T=AB)}t_Rk0>^SN1`r6vq`8A(9XQc0c z=b(OCs`0+r(NphlKT7?-F!|CU6W{EM3+k6m(T<K%<Q8DcRMRZIye{JAp3e)etQ6Ce zofS5{Pb)`!!RCqI?`lb&v`({f666pAg<Z<b-D&6MygX;dKaF)-OX-m#s;7k}zu9$X z1(W;L6)I<W4KsuMQ=fIex|4rfHs5;LBi5I<c5Rz;e^;MND8K%yyRYm1v@}c-v}as- zS%ayb(cyrvn@{Ad?00u|zT0+`F{1n3C4~)T?oB$e<qkrVE~#uvV$Bo?4V7{YHQvi^ z5_m9PUSLvS`7xPEbAR)BCIxj}Ss|k)zGbCyu2g2RMt!18N9B|r7N*j>%atC;gIsI! zrVz9qd0SzB)B{0_!cRSG?n$X@pG|&zj%8*1^7<}CwNM?yi$|{SnLmHA=5by|&k3<@ z4R0(9BBIPzsL9T{YOrvIRM4`Ls>zyLFSsdONO|($U~?F|nvRanmc}brwVA*k$hyAn ztyAtL8}<arU8m;=+}QDYf};AzW5q)Hfu`ECmw7IIYs`Q3@W~!ID?`IVca>)k1T8Z| zA}aGP*YDW1B|peFYF@CZ`i`%E1zZ#+q_l*+FTYp0yyo}Y?RCn^CMnJ@&fO1-`24GS zu2uX=rvtujJ#~%Ueod>A1^&o4{N>c#RC+$~W{%wLWWL*c>q~vtdCs+$pQ`LNFXivV zCnh(=t6lhxsC)KQ+7-UqVzN$J^X#keY0H*bXUU#r<os99U&a{z!q-hmSa|I@o?ki~ zH$|5%+_5|3qEhASwcFq1WhnnYrM*7FRx0Yu{rdlZFRhE+9i#tn+wHu~yG;LG<4W$` zvf}@n&F72uirozm)ZhXIxJT^n3+@h|qI9<ibolygU6S(pp7NwQRhRz7ZuZ&{vR)|h zYvC&QW&dM$g}i82uJ@CiB$)ms;gN8#&%Wb^pXcaoeD+@LVyMcZn#{}7_HO!V9hBuV z&BjSAYJSxJ_19+iEZEj9^nQAL-OK-TE6@ITU;qF1v)hU-+fz@At<HAt{+V}oSEQni z2ZQdD;^bD(MFNkktG=x0k+n86_r91b(x~JN3cjEv+@hW$%2%DF8|xpd`$ilJd2!^( z(i8V?q})vYcTax44)3cl!Aa)IhYl|(a+wigbbe|@pN4qIFUu)6)Rr#cyvJ8$Tj6K+ zfAW#tx1UsBSt+J?(e&(Mx0aQ5k1S(OP16*;rS2OZpTM%`B*#3?D`oQae+uUp|Nr%R zeN)`oK3VHE3+~u{zw<cko@+UqP`z>Wx15`MtGEBWH)q=Zzi;!y(%GCt#d~MP*Zn;D zuK8_z#Y0wWxrufx?(Do$DckSvEM9)6=(KJa%lq&5>!+vBuf6uKcY^F^9tnd3T@N2l zP5TEL5RJ(H|L<?=l@)=Lrc6m;{k|>luGYzuCxiCa)&8zJ-Y5I^ThH6;>*vqqum1J2 ze)(E9zPOo3yTzlwCgeM>&^HPyoyzs+;bl&)o`%^yQ}kkQO`SDM>)Fl&lV7nM>~#1u z(d4nKg&OBG%XbB<KG=s^cY3Z&k~-q$>>Sp&bgsc(zf&GRi&AsW3(q@z=+b}v{D1bR z1)74~Lbrshw4ZPL-)x@viE}TFpB3Jk`tQxh?~;q_^=3^g3kvd|<5h3A<nfN5v!8Df zR$rR*bJMZalQl&6LRX5YUDcXC{nax`eRtE~k3pNeK7N{!Zt8kKoatT)OHjex((5<d zx9di4^O381p(trtw4~(yy}OebJC29P*QR!|&*ST0vY(K9Ys*GCevgBp;jyXC1{HP^ z<~cVEEX&@^xKm$vTsDj$|L(5RuuO5K$9HZBu1fqf$Ff-GXJ=>U#-=KXRr`aN`D|QN zEAji-Z04I4KOVNf&0gv?b<+{n)Q8XK*T-e}dFpXK7SoH-m|y>I=hw0g+dL<$?YwR5 z;FY)kZ`o>#o=xu;I=AP%Xx|VT5;A4(<ekMvdo()!SKdzUw|%CTUvGJK;d^Z+OHf5J zJ*KEL`(P8RW$ya-;=wGJ{N_w^Zkr+S%+t_C@u<z`?X@!A^?LE^w#b;IJQHa-JfS!E zS^MWJ^Oq<azH5-N-VvC!b<d5K=1ZMi=a-)Cmp#%d5UA^{c8TXFhxrq=MNX2=cBedk z%<t#;ERz`5-qJGl>`(ShGwSED*{)$yc;M;wAuOi&tm(Dtiwhi^eKy~#`Fu7^<cs|O zAMLgIkB{{ps^DOb>s}eW{Fb|mOUnYLGclK!`A&ZEBBQ!7|Kg(7uNDc$X=f%>etvfH zNT+bF9h+R5|I*kfwVDqH*{2m4h^3Ys&t}qW6mh7NX@0Qa#cSV10pELjdrO7uB@a2C zVPClL$Agv2=WWWizg_cv#haZkm(9+};JBLDvqAKp5=VGk&*MgwN@=fSRi9^xtCZe; zBTz6|@bHA#9a2|C8viIb_{_0ZeRt)GNTUPDBR^y&$v=F3Xm|d7J8w1x!Itz5KR-W@ z?q^Bl-Xp=$_9(JY@6r1G|8{+K_ygL1Zx-{oa^mr3$0nWM&)KKyU7Nsi{6}2K`nbFM z%8rVLpV<9=pZ3|==G*i6Wh^eVg>$@4sL)qXoUHDD?#gG*Nlo7ma~^hRP|&DI@tBjE zd*1f@oVn~xOpLSaYIjx3K4A2${&Z5Exv;8aewV{GP|e<{yG!F|P%z7<<^%rre^c(> zt3R^rD%9OIl^SzQ1&btXtG1{r^nG;pILD?ard9B6-|xKF2M;JH#T-!-Jw082`~2eb z8wFYnlaJk)z?wM!^LhLGLSj9C_=F-k=L@j4zcAS5Gt=l=v(t?hmT((A2}_L$tQ>4| z!pG<2DoXgyGTAtf%gNbyw%Js6xe5oln)(lpnRj+<oGV}b@u+z2j}B97HoLu5UpKL6 zY;RkBbfv&28RtAMiItj6|3DRwR>+D6UY?#GukW$)=X7pptNf$j<OK`&4_PslPp6vg zc_hKoAaLy7-s;p76BNy+IPGZt!2MIALPz7UtYK11?mv$>j-QH;kN0n{6mKc1pR-X| z&1XaMM~4Mv=VW>g?%q`PHp*Jf&Mxj)uXOreMU@RfYb{D%1W2D+8M%3xs$xabhk^+i zT_67@p0R$vCpo@3z`^cDTkWR#e}8|!z17Hmp`uWLr1LyZmrfZ`8<mRa14lMKJluYI z=ks~l*Bi<?lqLr^n>|b6y(cCor)P6Tgt5LJl=DQj!%8NuUAv6q347yG@9C#%zu#5& zo~Cp1e1Ys@4iO2)_MQokAFj4%Ke^bg`SiQ?KfH1k&9}GZX73f}l{VY*KKI6kgU?cr z96jo}I&5vuIo6+oes!Fc8ZDU|+23DYc7JicWqL!d=IK<>C04oSVNTlfvMV3=ny<Oe zyr|wW?!@=|_4&@aesS#ggjdDQ`B)KggHMU+qoUx{3I6Bj*|zQxUoO<fC1ayCLA8%P zD7Egg?#3b>r{?MGMUD}je*}w?u81@yfLc+jLRLOfidzZt1>>F5H8T`cv$`A<1VByS zLw0dCmQQw8R8?_R&$rsI6fgyp8WV5p)xTr80?tD^b9Xy?se#jCK+H*w{in_ERV@Dc zBw*6&WgH^zEJhiZ1-e5(373I)NkOSIB-R6BPI@S8xKgXd<l5UXyGP>KTcvv|K=wC^ zYKPrO*?GV2x32x+(jKLN1W?md!fCF9Acq7~o>7v}teD+pZ@F&NJCz(?*vs&AlFAQ9 zh?xsgR0LMMwi9Liukb)k+3m^6r)xVO%#VGcqsqv*>3`-u7j+L)R|Nr%ifJ3!lK$`V zcXbfdkYJj!WqzvHy_hL0R%$Z+bYx&OsM2)sx+22p>L3`v0`g!%*y(Ayu~)9I2FW+L z`I`hsZBFy8t8aTP0a3%~>Tu4ew<xAc@uI&|ouI?ok`E6KZu`AtmeK+a4W_OJr3vyZ z=d$ka+w1-K@6SfR;|&aPrXfz(*T=7K084{Z{d9V;=G<KC-7iEJf<l2&<woY2kU37Z z8J!oZcGvv;^s~|BI8^Zy!N$!!mzLCbPrH}Z<xnS}@KbVYu*<Hl=8O8Ql9gAYdOMcb zsw}y?(n5L8%ato$$>c{xMNK=DY}u>JH{+!t*pPq#mUBk8*!4sd!NuT))YD?x9AP&^ zBRdu>+}0Iv^I$Xk(J4!!mxi#M{*&pkq5j{`=S@-@lTR$0Z&`e-Yj)*r@%Wnh#L&3% z_xIiwOWy4L`h3^ioqlsH5?OCA^P8(>XlNK_!@D#*B>E~i967>R&h7eehhT%YgS z*O$ARWEhuR+xZ~x?yjvD!nl4qtiAHY{N#&^i<i3fN`)2ejozL&ZOV_!0xFN0{#^<7 zf0`8)^-$TQv(CdnVhOKkYDo0!D=U-hcR!Z1tqQ68^YM5>#JqKmpx9!Z&oL*p?nx}S zxL!zwNMntn!w;tSEK8Pb;5qMIQL&@u&&T6-<%a3!WGdfmJf7p~UsAGVs&~1K8mOyd z^ZU)_P07dkEPub*ytMxRKc{Bdf5-m)c+4+pU$^JXJlp7`<m9zBHgXeA>+L=xG<R;j zb@{Eg6Z+k+-QB3a|4-1m{r`UPyjE;!-VwPq>*^-i(+ir`Sn6BY|M_tE+UdpjKkiwu z?6wwDmqVa(!8KR$*e92EFI&`RyWzL;osT~r_p_G?-h4B6Yp<;JwLC}Tt$MM$I36x& z<CRX6`g*tgeq`m>>G5?p?e_nCHv7}VcKKTYd~BNanfLbWwE6X7aarGK{rxd^zuy?| zeAK1g(v}Nq4agq<?dj<W+9BuZ<Fn<-^Di$in_Wp%5zaZ+v0VJv)1s4&%<OAsX`S2+ zD%gLjfZ8R$&*tSgUYj$0vYV3Ljb3T<bKBnNuUjS?(fxYn)yG<z95?vZh{xA#eBCZz zr=fX#P5tqg=`0saA2q$J5cp%WckhHpD;0DepN`s+k+@g3!rVaX@Hy-EHlCiI6E~%v z_L`~{%A$X4>GZg)`{uIkx*sLXa%Pmgx^gmZ4d}Rw-}j{R_bAHOewnBfx#`GW?L+!4 zw%^{~o}T&r$@)9B-|tSF@?+DQV`3?1cODhbKheO*oN{47z2m1t-1<L!_MCkib8z3> ztjjFFB`<x|WQtUNaBZ2PxX|?`R&Eh(SA}?v2|I2scITIVr@_-~A`mpwrm|?+OGSqY z?SQ$*oErtFH7W=cMeeKFIb)7Sd8$uQ(I!>(J$_4hmNb-JUmt(JZ|SF{p{v6_eYxzv z`@8Eq*P5C=zH`2seR8e8(ZBxtjceC$G_Bd`+|Fm|)N`CI>D#UB^(UkA_oh}K5GfBf z3c7QCgIs)}+W}v<s;{q}&a$tsE3^x<-R9)d5a96r-QCS=)HGkL+j-$Z<&RgZ*Bkk^ zy7xbc^vaffeRucvD{G^#KdX2kIKwcxO(%BOm3_VKtoe+b%LNLG0&R{@dR^a`eq^&# zyHWhF6%S-TngxsH+w#}UteLrfBWvVlVWv}D!5t@Zx8F^3j*hSYyY<TI@bwEmv>&NZ zRaPvVRWh-w!5`G)`ctv{*}1u^4K$g4IyKDU(mPe5&UKh$V?nvUT*t|Lp%eUad`0#i zvsB+0)&43mJo`Imkyad6RqKR!rknK#E`PjJeE#OriDx|LomdmO`A+iwzu%&zugx?{ z?YdL_ey`d3C7G9(y>o8N*qV1YO5^8IXJ@_6WLfL7EunV*|9#(|+oW^V&M#kR+eg!F z3fbp4?|;8neZFl$*t!_a(A8mEXFDgHnxZ-R@`VX?_7_rG{wz5)RXhD=_A$okPcpWZ z?y0C(<lUtgC-^}aR4J@ti%IzgDWGGxKIti_wx&+t6bk;S*q(By{{P>i-*30yzUw=~ z;GkVlaB!{%+a(6Zh58(^A^(5h|9@&~cwFZ8R+$NFem!XBzx7}9zUs%XPfkuYYfm}a zCHm=<_WFpTM-oR`yJbU-1Z0m{yOr|Wx@pX<Pn};8trfaz%HtbNYb>pM6rCsN`b%3D zEm^ClbxzE~$Z7L_>-T$t6}BcOCf+<`lX*$SyUg-`q-4v?_aaYjhd0}L*w2rgw5(E| z>E60n>+dDU`{kz>Jv}A*^X0Q@$BHRhTlPdb9(z_AzpM5GsG;$U@AA{tGlM_qMuv;@ za0oal)=y9T|L^a?9X7GSoDK(O_XO~|h9`7rObuAINs$4zn4mRN;F4>%*v&sOJwjPh z=N=wBJlXBM)T9lb%i1I^NwZIVy@qFz?+)Iex2N;=ehu@k;XM8@bKAQ8sr_6pV zsN<#lp3BIe+2OeI@qd<lhvrr2DgFtMEuE^GH?Mx$)f<aq_P^8K0BR6)_V3m(h1Bx* zxOP>ig$7QVZ&})-G(jBHnXR-ed9HdS{DxacpUSKHf1l@Hi#E)<qEY#vkv(Urn4IxG z@hRPpH!heXb6%Q7)xJ$a_4D~Tmd4H&6Lxk@YN%W<yGL{S@#>eE6$K~a4+(o_h%Wki zkiB01hEc`wO;WSvYf5}Flpd%l*Z%%idhvs&uiy!OrWXAZD;KPC@fGA?VY-*n!fkiU zDe~*3g@0!Mk@{5gLFZ2KdE0jvWbUzf@a*pl>AI-jmR4S&VsL`><i!`28~L}X2hO@6 zxAcw(v%&W53w!)L`Y$MoKQqg{bwat{CQ159A~QQ*eMz-A=hYeaQ@@L>Va#WLY||T~ z<)pl(*Un;|+fM5vOEq}<Id*!^Q}ijBqaYu0aAH88px#r{C`OBC**Sr0BEJjTfWj^D zwcevg;A(uqo2{v*r<pBxg1Y(1`}+U8buT}An0tDf?&Nm4suOKKClntgaeQ15)!l73 zubz*C-F|`qn~hw|4Nk)eH9QLwWD+}*kN2IFEx(f}yeP1utstP()jZ)mw_f&xMLz$f zgnCYXZ77)V_=!nQ^8K3W3KA2f-m8Rr@P7_cJUYvOgQ3_^%wHg-wbJ(f>31~~-Z$-O z+CK5X-%H;5qAx<6ws?GN%bBSD`OoL`+*9+O)K}I&OA*abx7rZ_YHYQw-L>!Mv)M_7 z0aXI7{S2v_{#2-{sEGVMSK8mz;CNti&x5rO_+;O^HnZ^>JuLow*8KJ=o#o1JkM&Bw zt(f%Vr>x5TW^nKD^WhkdP9<Z9OD20WckDi{AwAzbf1b}Ai;H{Zi`;(9cS%!kIXs(h zr=(rYj{3XP;tZZl6u4B_v&yZ=U%_KvkB!6$KGB$aY?iwown^6rZCGQcGG*)K(i^uu z8kSmV>}M-fQaNf^<nv^*W7P+Phozs+XrFv<R}%Bl@qn)z&*O5z=8#~PqHc!H%#iaR z&h*>+p5LMUq<+%Nnpl=1>+N~VIi83z`WrDxK3I1zJYK4PRmcl&=1v8hX2Gz7s~zIS zZ1}#k9o*bB@w|hokk&bss3OCqi&llLjrvx*M?mF7)%L~ek;428Ue{DET8XD?#cQx1 z_b_|f)O-D?;iND{2Y<VtDQX-m78!EAp0>hbp=`Qx9>)i!hqWKK7o^0UXT5D5QTfPc z9mn6g6&gXoEH;7#^^*mKyGuU0Lfjnd_tWsGFAw9x<J(JKUiy|FxA=^p_?B5p0U{g~ zlLW2KKU(TsfAaQ={fA_CrB8OR<Jh@F*zVwG2R^okEIdj~H`Q#MuE!m+o|nvdw@1?W zSo)1dZvBN^r}kc78=d~ye9otMp=e3(yv}rgX{&FKwl*46O1svxP2i1Bn)qbBLjs5R zPc8OyuVi@SY;H`F&u@096rQ(dj=O)p@Y8cG{R%}bTWqAco~8QT-Sz{NPml4w{8TC) zSi>LNe@31o<?CYirg_i$e=sM`Ro)JA?H(x=uPU|ROJ8{V=RS^YSRs(7_@~L^#qRg} zvM1{(GCxU~AnsJhQJ=^*>$Tve!ef_|TQ*K$UgbWc+3?PViPo*hTQ{&QS&?>j)-;ig zyiZu(D)-zGe5R)JWXjV|R;lYNL?=o<DE$;5b2!^cU)E#t_Jy}yei|etx73|T{kSIL zW2>?`-@bjzYu3xI56t`c{a)WGIj<hh;EPiP&I>PLIUf*rBB<{B`o~V(^^E3{hCO$W zw!8WYp5SJh^7<j)an`pIg++BHbK6oXXZmjsW%<<I;Ow^J^!KaD%=|3ku02lz<fhyT zN;=(oKd^b3$@iJ*^EQfSR#gi0DegabQeTn3)qr<?<+~4@haY$<njEsQ(dRI6I`Od} z;Hi^)+$X0S`#<khSkBVux!ia|@tt}Bu@ijvBy42o-E%E+nqYKb@uS=cB6|#`T%7c} zQM~ETL<cE}%gHTIq7DVN98mDe>S{1&dL?=Byi22?f{OF<;Nt=dmFw0n;}B70YJ77b zYQ}NTACun;|BRSW<7C3hIbY;iRB4{8!hVj7a~^dQFBj&q?2vx!K54hlJBFXLcWjuY zR39*fLnPeDX|l16zJS2K@ab_?nSqB^{SIR3VqsjnOjBq^_kX{R<Bzwki`cm6ywSl& z{S9)73j{URrKnuk@#>#w<01!#-#sF%r&JT<RnAT9aSv7&`hP{Fagm$DNsmC~b-#mI z{<JsD?)mci`gzxrGiQN%Q5+Ww3>7>le2O!5T~NP5Fu*A2O2_MeB8>kP7u+)Wci3o2 zy4AEYFFtu&J<sEQ9$vRRJ^h{*9<uTC+*JE^N{B{y6rY;h<lyD!XS}Hh|Mhw1{&}yj zuTj~ZJbB5Lo9Uh}gVO(hjnVr1KPvRF>eVx`ifgvGglK4so}NCj;B&{1&w&^Bh)D*X z+qZrhs31_SSFUvR*&fc)#LgJ{mUmup;0x_eQx_#O!%(3~moClkUovxlu<FG>_1hE$ zcwdK2O+N1L6>;-Y(7GH+PU9zwYb@uTUSdD{`prIfO>eVve*bUVI6G9l{W72B>6Vr2 zER#F9&hI&PKi$W_ImEdB*2myyg_r(*|0w*Y_LRWnOPh?<F6PxoZw;zk8D;6SDwJ#T ztk6^cf5sgAw{{uF4=0C{9d&vljTc-&^CQ9X*T3Jdm*3^SNV{D5Kx?gk&Zf#GC+_W7 zyh-2AmG6kU_u>~?%dB5T3JJ#fX|=X{KJ7609doim`P4K{x%?v^Cofmgy!j&agNNb0 zSyN0r&CaLKeQK2Qbi>CD^&t~hNQs_Rc6xQaYr+euhiy8n=YM@&EFr<-G_yy>rsBdk zwuPEAwl9=*elN28Z%x95t9!MWTDw8>aMzCO9qGB^#NWuwc|2wIPLKTC)i)~4{7#>% zeb&A@s^z0jh(UkB%0ShaRqk#P`fe+v=S}-@M5NPxj#7Ky5|5^z*4O^r-B9nBDt#|g zC^T}Gi@R5@bHo)dF{V~Ww+nL}Pd_`%Z~x_{>692vmZw}vE1q2{y_zZf-d4PqRoXo7 zP1sA_6Z@Jfb?z2vChmK)>GY>PXPSD>em+;?un<(0Ei1d*`e{kj2jva=dah>gJ*Vha z^PT=SLs{+rqMj>j10%D-*Qe}Zo!V3XT>10e&=2yV2g7FM>`^x?^gPBqMdi28J+Goy zg_$y<nrC0Tdj&rh>@vD1y!BCUgT7JGY2EE7&ds&H%g$H$V3EIORUS`jT-375*2iy) z=|-j8-dq3eX1d*Sr<v2<9f?WE-}Q2tPPx0V@iV8D*KcR2d2GLWT)zI!@^8L*eTpL6 z9P2~+-)-Vxs9N>2$yPRIx&QpUt?LgkJ$jZI*~{Dd_?qdzR#4`7b@lX9&3{W2SjCz4 z=lf5%8vQus@;c`tzq?vyYl5x|^skt8Y-{!>%PU4o4tb~c-B6x3W64|_#cF;P&+g(? zUcZ-JT^jp1i!0pF<$$=PW#OY1o#<^o^Xh(OuHIGey)-<p)5A}|(L`Xkv~^j|GGD=$ zj~|_C{krG#xy>yq+RnRM!#-{C`o2v!dfN@R^vFuzqNwB*d-{!xmhjD$_pko>bb4-e z^&V%Aw~l;)|Gr+ib22Muh0N}C#<Gm&k~^PF@{ZB)Ud77Jv{K#g<SX66O<CD{a_&r3 z=v}nRi}`bgNPVcU)|Bwv_C52KiUdn;F;+WhS2k1SW*G14=%h>gB6&HrgSFOQe)-L@ z!yznDDqhOK<i+CR57*X4=i0fIlx(?Ee!uq8x>)P#6lQk5El%YU3uo=C{k^Nd;^U*E zWox!8P7;@t%#5#lwQ@O&QcK0XcXxNMJ=T-V&L<=AB{$%vYkgb&);%8%ap#>s{^sUp z){B>9t;-_v84gwVT~9eT$1*H}KcB&+Lq_=2OTiz?+n@aXeqY??&YtUgDvMW}#OyB1 z-TnIQ?d`X_Z4(u3^q$YF&SQ&}xpw4^fMy>v6T?I;uEWYrYg(3XcsR>6Tj!_4(ix8r z3)nv~E#6zCfBO23MG>3d9o}CLYCZ~<6fKNdDHg#JCi(vOq*C@LFE&mVirl!z#N^51 zz%BPq{{Fn?!LPfL#V3S>Kly2>?_1z2>+N^NNxX5*36AygH6M=}ReVVJesFE{_H$;> zmBm$TY+@92Ca_JZHf*{3=<(y+3f7I=SFF(Z9${ynadd&l@B9D%UEkNvr7IrXQ{TN; zP;Tq{g-do9Jam#icQAl4ZU5cf<=f{8PbvLab7q$5=~bT>Wpt~ze-vP}vHJS@`gYqI zgDV}oOJAqCK37sw%Gv(7_?+c&A^B~J+gmP(%$@MF@cqrr%SB%uu9*8*X$$*F$I$D7 zd`EVF`1N}I?PaYK#5XutN>sML=}DHgttwgOTR+PzH_JJM)sRE}d7IgfijIicCv&&3 ztXkm_<alj;^5-^CNyERkTfaBzqVk5{4+4Lt{$-5ZR=1z?V93;Gyjfa|nujiLNX~D{ zxhJY!%_lSMbJW#o>(*v_@0}&+x`XNNRC|FBu5LSS6r2D3b~``XzcKmpGGE~<h4(8S z^Zph(`MbVC0(8;Cce6ch?oHPD`Hvs{1$7nq+qU=0SO!IH&(l?Q>zVL=-|usiW@-j6 zyKy$)P42}-t$TMLPdhUs(N=Q8!uj?8Za!;!z}fJ?p=#aUZ&9!BzPPls`|F|ymNTqM zSE*{uJN9vbSWi3aqAf{Bxpv!~j}h(=)jG6-zgqq7qu$2)j>3cO9#5`)IOfo?r}yVd zzEkTT>NXWzygKu9!*s4o%|eDdE#_6K{=D1X$F0Y5CuNCyzntEr6<50W8lOt@pPH4+ zxlHQGj4c@vw<k^5BxR(rOZdT7b+0b-_dTXZo-BBqUX(Za)upAbYa7<Om(MY0shKFa zhsWRMV+*hSoZMdzEsoW<_I9tEyqqyo@yo|E#^-lzSInF$(3jqEv~u$9x)Y7xtN*kW zIkq=En5(el^Q+bCZ`E)-d!F>`<?{KvPM2^pKD?RmcFpFTo0~peaOS^xSHS5}>GAAC zF>5-zH*SAu*xB2=^)cth2Z|4q?yU?~|GsRtdHy!JS$nI$N9Fgt-1WAuzuUgPg|q0& zGGFQ4Y*)53r}BlZS?<;=RVMkQ%Rxcicb3SC)R%SKf}l2<o{e}<%-Rov5gU^F&-~5p zH(3$vdM;N`^{UU$wNV9%6B16fhUeeX-rc)>N%`}tORIzTK8RYdPED9;--#2TnS#}s z9Q77%9Br$3^#1kjp5JX8$9z73Z(coboU}>Cg!8pCm(<UWek37Y;-pyp?aj#zwo6!? zHrD(ss-E~=M<hWu`B=}!*9*K_3{G8G==`>VgC|b7L&!~{^@8B*Z`)q4+kLLB-lkY{ z)31&PnF`BUo7`3$zpN1dq-%op<Jhf_vh6-3^=ubhq_k&J{Ouiui&c}JoR}c){7K8D zum1Cu<^J>k_^p22B*ADd`SRA*)!f%shFNgTu_<*+)4i+ux&F59+-O(N-(nY+>9?sZ z663q|Z}!w9;T|VX`Tl+q<m|QX&9w_#w(<Y1)BWeHQIYmZMOlE^gIzr1@z<&U{{D_$ z&$v^zg5$<CF3}<-(5Q(<`xC!OuCkAleC{2IEx%izx>xH-iG^9skAm3@T!jnxIpf6C zRSvh#s7(xM0*(JT6*9<mDmu5FXyFvjS<&|Prn;h-P%NjXKvHAQ1(x2LiEX^nw+wiX z*?la#Q}MWWri1$aCPS5nlRh&lDz %cOuLF(G+k425@DyGHHe4=Ar{_z|?E_Fh= zQKs<Vca{3Iedml4m+aWF!zaIS<Kwd@-Y;)1oiN#XsbHmU#dHPL30}{_wd+8OS)QMp z8@vDCuk4T3hZHQ7JWlv$fAjqPEb!973a2lpMOf5T`@J8umtS2b5xKK)eas!js9&YF z>ixzqp0)Nis7os5IGT1PPx<U*cOq3mzomQg<9ElC53<g$|2|hG<XB-bTVcT=L-DwZ zh1GV)9<NkTZ#Cfdocbgw;Lz)rb0fB9O;vSyGQ+@JRJ$cp!8_)IqFYbq)m2lE9654h z1Hb1w0cIzi3R$_@FM+Qm8w>(<A4gt$rPIMBQRH$$x-sVJ%HZSAmTwUA;97O7qQfJm z^i+p+*_({^%g39&6zV%%mwHXj*`)7sCSLWzPuuq`yCS5Q2no4)oK>v+IXCz?t3Fen z(WQmX?Ben%rvDWg7=IRBEc13am%UnC|G%R2jhWNd{&cRpzsY~y{uLY79tz~<W8qrN zoLwL@bDfi*#y?QV-QJcPd!=->s7&M8-NH}nKX3Dhy*yz}_3t*vrN8TgJ}ka0>F{93 zOXkffU;S>%*SoZR$<y<Fz4ZI^0B#v3FE^(4z3(CgH8em2bV*Cs@k*PCl<X6-ue&wp z?4&tmZdTjYs84jO3|m{FJYhzOPgu?k<%tiMrWU+UJNi4J`Ok|fJuE*tFFn;{x(RBQ zsWF~*O_tpHN3p^0Otta#ldSc}gfHzXtUB(zbyD+zUAgQ}rr$3=Wxp;nx%JnTE;&Y3 zW!L_l?*aush=Z)(v@LcXXZ*igj-E4~7f%-7lzcz%<>kGtEmK41&X`niu2xpL%A$B$ zj?tkS(Wke#rKg8TFqPf$a${oK`z}II!vJK2)vuiyCX1(;v&{Q-e*L9&k@c~+xA^!9 zGBo;H^PTwh_V?5K=H+1yT>>DRIkvs?68vBc($>-uGiBP!r+lEc?vs`Ob*3x+wetF^ zb!&@G`HHD?);{7>J*{3GcKYz)T^?(-ZoaObA``;(-D7Hxh~7S{-AVy7K+$k^mTByj z#jBt89QZYt)9CT>w?-!f1nb{QPBM;DE$s%a8~a$Uo$9b&?b4??t5ikXl&s$cs44&X zw&<x-vVOkv|JFL?tywEg94FS!C~<e~1I@QRR21(mzOu<Z;rKq4nLD5G?_A`r-RdQH zLX_#g-YtdeF*h8fuC1K0`fcgrKZ*jYQdpiw&+55Ya#mb&p{;e4abKU`zxoTD{{lN0 zE-}2@bXxE9=Ok_;v-8%M8C#n`_3pW9y?80+)=k$1=9bJ1y!N-&eNjx&ylDk(FEn{> zY<m8GQ>2yYYPHy?S9fz0=d#47u&kA^>bG)Z57YbQdNEeS(VFG!jsuHk%${2N=i~9F z19Dsk@40E0f62DFTF%YA-{GA1zDM;N5)ZF&+O%9re{TQFLsqrFN^aYV>FhBr-XtY` z;_=1W=b&b!;Vjm5Q>IMWb3VYyZOsiC?bBw*PNv?CnleH8+TkzeO!xop39Q<(R`u=d zWveHw`YFyBpYr5Lr*Kn|zLh>`QO4CIO)jOT=`*{v#lu`aD5ykA{?hjAE_<JwvAv<* zA|@<O_`j0Gj++_N`wbMLZ0CLZbXq^UR(_B3mLvQB|NFhRYJIx*pEbwW-er`W{|Opo zIwRZMePc;f8q2k}9ur)%`7{JC^1C+*&dBzv*UyWOjxs&7e^F+mc%YB^2`wMv!bdJQ zw`Nbj+F!_%D{<uMw!FJppA8o+UcB~%Ox9M3`u(jLix+I@7La==d`M-*<D`zW3#(4Y z{sdiz^1I{wOecolcB^&>D4L{|y}y@hnl58q@Sx%9il0xX$FF(En_c;^Rs0Uuq0Q;% zr>)=j>r~e32fPk@&VQVhvOWG<PZ{qn%QY)2KR*jAW`Agt^ZVP|Z%O_U9R1vfD(B7E zpw`E!Bq~`inaSC(I4kCcyrhj%#&?0d!k)ed6Zo$@k&U_d{GqA;U-|fC?&`f(`~QO$ zj(&D5__#FSbO&e|Q03pv_n$sny~QWkUizP7!l~=~Pt`VCc}(qk`p0<Txg^08T|FPH z9_7!|+M;n)DdGFWc6qOgiX9FS3cT<4{az<yUw3EU@%InwR|GE3*~iwxdgx(`fWV{I z*VfJ!E$Hs(n6PAtiuR25!j0)NulCyi{W4i6W=B9=^;^^5a!M6v?(VHFe{JcQ!GHev zn#j#-v<y~lsVI`*7d)^y`Ig>|<ine8=^ts&jnH1WvP)E(%l@5h^|vX}`FpSSJuUra zop6A`yC~q8tDyMd-3|30?teaSpMR1&VnIaDsr<5I8~fyJtuCvrkPu#^)!`^+X?}H8 zsIZO8nziNc?@e<M74_6Q@vAiF9%u#1XT{QwM*~lH9GLOFZeq4xruI~2qx7BHVW8<; zi#b!YHjAyFemvjW-u`f@j~K@fCFPhmJ7?GZe!G3`@&g+)FE5J>y=Pv3uj24Nlk#^l zuWveB6c=K&e9(6%+)48u&$|c9{pMzMHes|wt0I>9%uF(O_T28;E%xo5+-$?-wy!A; zZFT>Crq7l>RJVB1qL!M@?Dc!ERhjQ9d6~3VBl7L^$Br*V_)jl%22X~B9ldURy=BkT zZoOS6>Q`}3wtk#hk*tsu^FdK)r|BL;0mJ;{x>e1evIQJF?)~`q`0dV{`|J0s+SdQR zeZM6}=KP*Xf8IGCXBB6XJY=$={yl$Yf$sK<@Xr_T=ms4$D9(K!w`Irlmc46DSM68# zTXJ%Nck%zj@j?ZfCk%fnDOX6&t9ZmIU1#z8&E~t8ixVH$FM1ua!Xb=fr_JXx#@Bvd zSnfZcXP(IUQr);c5pgviU8@7Xm<a{$T;S~R!EeLc)$8|VeO8<?zx(C#`E{@KF4z8e z*uK`ib?fGHn^I4oa^|;9S+3f0<aOV5mEC_gJ-cxu!g`(nx15mPv$<BKMf!!GpPjwt zyt({+-0R+#*VoVgZL9aMUO??c;B2$pH&UxYRt8PD9JpX3q!Ic>M!U#WVZvlJU#$yw ztSl@9PXzdGPCqYa7;1WO=Ov}MtFzv6ZYs)dDtW&kcW<}EFQLu5k7{o}U8%dudv@Ec z<|Fdzcf}<upU*ANG39;8f81}rUG8e59Y3t!)#;t9;N^H4Q~&qtwj|~H)k49CBtL%q zShi<*p`*IUq4z76J9;UIfEN0QSAGhRNrp5%6Xv%Bw(-ek-ETYaz_d{#G4k#7?<Z0f z|2&@QJyCR7h17}6#sz{&pG@*RPKqj)AKRUE^m@&Mr>nVy-wQpQ`sdcMUTIxHWp}ps zA}3c1Se_Gh30(UFG#_=fesy^HM@ED5N8f9FE_6x0AFmc3f9F<9dPt?Y{D+qlViX!4 zy?=95I%Q2;c2Q&4x!Dzy1Und)G;^2q%h@ie|Nrk=w!>s68<rgJRxV4yl`B`8O>r(# zKe?#IEW@enyzTc0ezqgb#ab3^{PKBw`ONQCB)7Rf)I6ws2-d)?f4_HA!a=6j6D5pN zI(%jr9Bj+tc(}peNk?Uu&?JQ;OeYinKk1e@YaqSs;HF#p?<d9|l$ca-@@Ip<L;<fI z9Vww6yqv3L^u;Tjf{fG8ZMo|D<opBEB;F?z9r`wX=CNe=mC)4F)4SZsn0$Qp#LtnR z_p-ij5DCh3iQ~Sf>cP1rNV?wlnfTQQa?jP2)!belTI#uG(~{epc-d2~ZgbiBrRJ@A z&?S5B*4jUxeg028cdP!0zCraQ!9!y64$TKOB{`fYiyu0Av+(=ljSrg6|Bg9u@~pyi z(4{w9e+mc)Jv;XLfUMP#)>WeCKLt&S`zVCem{jR7f;1-U5v@su<BnH(ks6a1b-ur5 zaA)PQRC?0uu<q5BmC2ujBe+#k@9ZeN=IrulRj}KgjmhrTbL`|mQ^Tra6~{ck9n}sJ zQN3_;ZbgS|c5{f+KaQ1_D(Cg(CFZKE5IC{mR`+iKwYW=fCzen5_<#DAw+rjq`ZA4| z^IH$u{aZ4{<Y&>rD9Q5b>xb@zZk%r)V!c7^xA0>26&z2PKpn6=XYsGMcJO;|`n&7p zf}Fdvm11u#oBnd8CR1zgfla2nmt3`+x+K{+w=GOy&D0(d7F*fX94ulWjUQdaZ(cbf z>b=SLPtsZwyXDhNdQR1U3iUkZ-*5ZAo+IbJuzvlU?#chleP{3Czb2T+GNtE5yQ3gS zENE)`%EsLu%NEVsyJXgBnIHS(A~nkS_Zd7<{PATm|C9ai_iI{j%QcexJatL>db>~c z|K-$67rlD=`^KZke5cNu$7nr|FPLcaG3MB|vp?sV@H5}9$=u^J^UJIn+xn$f)=AH8 zpLno-uV!b%`v33MEC2r2<9pZ2_VX2Lixt!-=$5Mf9FxQtz1cRdE@p51uULs&U3=E= z|FGmk#?57WV@fjZEjQ%%pZWDkcxBYyWi@8iE3d4YdvBA~`fcUs^<G|G+Ih$1iCN{V zrRATk_8hov|6~2_C*A)4l)J;;Y1N0Nuq34EM(Iy4?VEJ%mYUuD`NFEoKimA+wU`*) zp(_p+*_^RquL*37I&j_o{e;cl?Vg|67Oq~iEBkoZ&PD0bFE2c0n)&IaO!Thyvwy#N z?|i-OU(wx${U<-KubrXaF}3Fj_qG_`TRR>vm@-MYD0MsA&RhGI<hpF-N}U(dQ6I4G z)#)icQ?~fG%H<b=*7*6D+li~D&5Qlnb-D4`vxqL|eJ56*x7TYizV|z08t>VPTfDXB zrsqbRozQ>HKckNM;in_ci&Jj3=g*82f4!ve@_wP|`8!`0MeeF-%(*c;?c|whzOSFG z>b{-Mnb_~GH~stn?`v!SAFte;`f2z26Wh+#ORIbg*rUm>qrLW4>6F{=e%Qaf`(0mf zjWg%ROIu5GcRsqs`013j{Lbh9a&P(@J-OALdc>B0_J*KcJ8s<F&OhP({+~9d|N77W zI(f@`ky}?atiP$9IA_cLwD0w;XU`T#J=(TVS>4v*hs`3+=69Xunt?_^kL<*%4jknG zB}~~rF(vi<B_W#K7Y(2N3Ya%{ms3?qmXhh}v^QQeUUN1j9FAuHHdVFz+38nr-Iwf{ ze&Q<kWBF<2_YKyr+8?Je_uT7w*+!EpG#u^zS8RG&SrBor?yF?x^@lbu%fH6+&R_J} ze2QK8-Gu&sPt%W|I1)Z@8h2HkmTuI1?RKF@DG&eLoRo3mcyoR7yvYyC@1<`)%`F*e z@Lc-l&fLv4zrQZuRQ5H$==p!!q^R8gS2cgUl5Y1n8&%rG@b{1Y!-PYDKP#`6RTjL6 zf4lp$ee_3ZPRYiaB}<mvXkNT}CD@mL&h3^9JPB&7_DovbJt4vQA#5!{Uz3K_w2<l_ zb5)HN__K4?>(9SuYbdfdzu&Cr#)S6xYwyo*`Sahm(*2lS#q>QUg{xvp|1OA`ESSFN zPD`eY(Wi^y_Fm6FU;f;m#Q$!(WBB(iJGG0a<xP4~#rw&{ZO&iu=W`}LNk0Cx+5g#z z1#&N+?&a8U@dwx9*=ky=RDYJ{8$GH~lL`9t>9qcBy}35OFJI`Zzqvhse(3kFSAS0a z5LkHmvr`Yher4F(51S8fS4jR_d9P&M1<;axpZoRV@^-)ePY<bn`S@zl*1#9Msk?U+ zE3f{xv*74CpLWMj>f&EM>hDu#ZsXH8GCJh6;$7vFd-bQ7`)#$i-!I)iNl;#Xll$?W z$uquweq+7;ZrSYG1df)E8Yk=5JG%)KEM{J{UD4S8b(vL1)nvgvdrRa>c^)||zj~)k z+%<Okd*MSB_u4e%4i#sdsJMNom3!?feV0jLuXAs2+Zr3tyti4yf?wLq=Y{3dl|9^S zCC+p0YInVT)U~W{dzo)ovh&aHA=l$dK;yibmTwO6T4h{Vu;_O6gtwly$}iRHk65Q4 zvGo1<wa1|D@2sgsf1f`0{C{x!smuEQK}L_h-aKyn>E@mLPgmBLac|0ZEzmhWJ)NaA zish~X*IeuJZMwJjo;=Yhtj;o%yClx;|DWR7#gn3yCS9I5d3({97lD%2WjSrW<~cVM z7Q6LMVwbBpu&pG0HZwb)$Mtmw=U;cZU3H>fG*`}sH|F9^M;Cr44$o$V>TO5PXXW@= zy!ze60CIET>75g<9J37%dV6!_{=YMggA7v?Pk5g@@x%SU|G&@oUK?dUe1B=n&v;R; zR!29p+z93CUtVdL^Xze*SNpZrvdAKE*OnEEwZ898W>2;&eEe3s@oME}i}p;Dbd}%h z=cr58e^gUEcVbPEo0{JojooE$Cq186eQvMtmc$iucXpMozFObe*SAfnc!G4!g9DA5 z>g%gkOb+n9zpwUgvbcU+&haYgUwUhJeMOg;3M~F?c1-D8TasR)ya(69LL0#z*W+w^ zrA!V9=(--^n>%;WF3%L%j28lVg*j(unNF+mnP(IE>RP>TK6is1|N6>TAAU$lZ?a#q z>w^-g*yZUiSNzE{TYqKPrB#_8CaTAiKYe+hduU~H<iv?BKO$aUTb8%&>A`(PZZp2W zmXtsFx14u!wOq|1wFQs9<re$x*phw!sM-~)X|j@)f9@+kU3@bC^q;5EOXqpc()C+* z)#T=U-y`<~${zdOssGqg(GTq^aon^#<~ontey2i9rGn0rr+a?qRsEMaax>ud-j~Z} z>+Jj>BJgx`_b$Cvn>4<Bk~`AWZP8{ScZBVu?fGug_lgJF736H^8ceBvS##FTEccem zV)y=S_4lh@uPxiF@lNPd<=?N@H?y9Qe7#rDd`3k9SCW9f!J}XG8&Xe;RsQ?=d?~1Z zb7yY(y-L=ZQOC8I@<6rkiL}}8XPiEjK0V}jT4$&3^hM`A&Q54O@Y49wzGCy+=|>7Z z&(HkY+9OjpImW(VhRye^s(%Ohi_=g3|9yMw%vm#1UEO{(=3Q5CdS#lSvsrxY*O?cd zPncM~{M?l8>hGI>d)m!Pskh#q7qQzb?cWBkS=)WipI&&S;`6bspXO@nt}FLb{vB18 z@}yV%_{nVHc@w7JpQjccXKs*ke&YJpe4|>ob7ivAwe>aPR8G%V=X~$h%*MNE&Lwe4 z(7ukn-SxlUZeLpY`PsXTpp6Pky{AVNHJqJmeZ9&k;7e)G^?OyXbzg7&U{>!WwBkwK z*Q?=n*O?m|9xQ0`t{0h7WcX`IfnvFh>;$0>p4*`Hrl3{nm5(~r*LdkBPI$ln|Gh~{ zhm>zdMn%mEmH1{>l%f^BZqED54eXs;d*to!CADnlIOLM|aC&^*OvUvo_pL8Bg4WOY zy7AmDoyfrUT6g8PYb6P>8?xrd)L%SY9=tT*;L(={ZY>J6zMQ>ez08gM;rsq(?RtB_ z>hbRQJF*cwUoWcX*z2D0(a(OulqZXg%D+wDCUX6y@uSK+-}`n%?)&*DIr`r1Z!wP^ zx<4sMYI>X9WSO#L%}>ES3yv?{7W~TJ{@m2PyUR~qh<-n1<M}<$=H*R`y!`*~e#M}r zQKj`ezkFJ~H0i0w%`N}RZ$Cb=`Fw|Q!tZOo+ZIOu{3QCMDA-FTPSJ_u|DBKP+kKYb z+<)iA!lHRL*H_9$aMxX{nJD<lb;k~2F~x~j4E0u2@J?8ITtT~~Nv5B5{liAZmd{Tn zzx<nL!<&Ej;o<h$ea~~;7pdQ4KBQL>pyB=OP~Z2Xif;8S%^#Ws*py_hEOchq4zo%- zBjMfTapvvi<^BhL**9_?Nj}yi8MN4~YN@yir;>T*r6o=CP3AfZ{tyP$;CT+>@w4XK zX*?>sb9el|KsVl(f}h%7uJjd_3k<A^o3ze1*nC%ATk>uB&F=H6A2CL5s@SJ8(@rVo z#cP+V)33d?I#FD|EO_;EehX&)qsLE9?*DUIpzX`s)sjuck^5h#yxIIRFQvUF=GOD9 z%R0GxWo!hLOJ7}^eDLr;&HDfK-&1Dg|Jw3B^^^7bQ}VmFb#~tMNST_ojq&!{WuCWG zr^n8GX(RBi^7+>1Z@%3LG|Ru~Cs+50^<{?DxwchvEgw%ZxBnR#xBGehq5#{L1rgiz zjXs^Tet#oN>XV7g;lu8x94bdSjqem5mo2*JDxS*mv`515(7tEd@y)dt*Gd^poLhKN z?aao6gG|zGjejQiHyt-pR6N*LwIWFIit{`+mE|=*KbcKmQv3Vcv^@e|pLm3S-jY|8 z?U=mXv58~-lj~-AcQS;VpP!kjoLHZjXjUmuC{#nkmcJjafcCw{-*|Nhs{=kt%+ z{LX$iVNUv^>DfyI_eNdPu=*eWV1iA7y{^^jIfC}S@jA;Lx0Zk3SMl??>B6@YxxbwJ zsG{5=t|0rQ^~;Nk&cD9D&p(}|xZzI8MqSl?qCUw7u3QO`KDDr^MQ?py;4ZI-7wdMv z+f=V2HR-{P4-XHoJ@oU!Lg!q0p*=b=Ydn)u`Sx(Mm}vZ68@YLzs@;^IoA!Q|QfYbn z{Os)X`->|MTyEZ6_qWP0w9oFa%e)BTxZ|te=E|%FO&{#^^zqr!(rFO1IqhtYzIdN- z(eu^sz3RR%ek=k?G4VXt&&)K|_0~W6?e?;Wn|tcbBhO`5`+2r0-ao!BMdkek-RRBU zQFDVmt<1d3n^!;OXY@C6Vm;L-Ykf!fVP`|l1ZT%M4weI)#yau)?x@ZFv~P0DDTh5$ z!fHMR?a4N-E|UG?Gv`bJO^H714>0qYk^c15R5KN4Q=WOEhYTjj^~g+(+?*DfR07`T zEO08V{-!wp`7U|&m7+?*9?7yX;tJ&zSs&i9RPUeS@M!7pbMm0k=SdS|b})N-X}$b* zV@dpzU*5MpkA5gpmF=>T-#=;M9>+2jOW%F}64%;PR)6@u;Kc2TqJOX5;@kJa@Vc!Q zWZw>tbNR##tik#(x79p$jNGW|SXKU4`}e*j$&2cb$6Ih*ko#YAIe%$!_l30&v}95~ z*{z;5aZmCxWs$}NP!rO}Snj$rzpaYN(?H?MKh3E{spqc=MSfgwvGLI&jvvB}&hC9D z%kA^0Y}}r;o~{1Ds+1%08n0x!91;WyCQrOy_xtS8={IfI(^MU|UH@v$e{z%W$4iTo zA1g%9)LXuzUSUJU)3TS>HtpJy6R>rMOprsr^GOefhu7z7GqHlYBR%t)Lf^WEJL<|d z{y%$L>*=9W-nW-6)b(Hg-1vvUkJ`_6mbFH{Y;m_teu#-L(hf2bTBPnbeJzIssQM}~ zFPZq$ce?Y|{Q?&Xdh4YA^ft7m|LM*6RpaHx#U4I4R8XTHyk#}Re#eBJZp=<^^;!5- zm!9;Vzh+YOx{EvZ)#?3dKJaAyw9_vXWmS!wR&V{&yke4I{i7AdnoNzLEjK=~d$>EZ zMWn78DQ&2`uK2U?YTeF1O=4WVN&yNi`+jFGj=84l6?J8O(1Oa6f;1MUw~`Ot_+%w! zHLcWS>T<Xz09yYS^6AA2cXRu#XE;DRlkL~qYSixfe|2GCVGzrwrUP$|N<VqTSGpwj z@vBP7Ww)ORH9AZZjM{s7<J4oB3;o<Oy#38@oYvc|GyTN>t&xt$o>it>Er?K@(AcxU zpmR^@(Js;MtB${3C)EAj{Qtz#TlGicCrq1B`RtPal2qQ8S7LtGcZyu|{H~_F#c2Px zTiI(pWMhN4F9+O--I6ge^ykrlw{xvZSLJ^8)2?)S@c39S_pftHIUe&$o1NLVV13lr z^;-IQK`#%1M(rIL1ajq1OkbXAy3L^Q`p#~CCdm^VNk04k{aSrxZS?jZG7IPTr(FN1 z^7GSjdmsKuVRiE&mus)%sHxwavG>l6%?q!rU#ha-;QU5&$)69~<=0H}3M`rGwtH#d zVz+IfR-&EI_N#+csngA0D@6Ax^T@qnOPgQ&ZRXXNA5EgJt&iIqC0FyoQF~hX|G(d( zzur^xowdXKbXLv=`OWL}Ed)+DxJ_91@73z{cRpP^xbet?=%dT*`A#1HyuJR^f;!oy zQ`&VZKdcQ27x7^6n`@^me?CsrSub1dtf~8w3;wO<l8*Y%K0G}9>Br;#-*?Q-<KFN6 zKJV%V$AEY9s^1l^{-Lymy>q5*^|m+n_TGNAX+c=`IUcs1KhD?x1KpF{eV6_A{tt(^ z%WR_bG(H<`-+nPfXrd*{M~-ge^9_v5^=s6XjEpXE{Z@Q(yjSs-+3Ra-g-gn6G}*=3 z7OY{el{=){H_@Hr=M0<5O~2hl6CLArKbhox=k`yjXo>7Amfh-1k|#W#pPS2iGWW)w zsBauc)RvtJ{vWBwte3BEy4p=6rptkig-=aps^sTuCzKEG^7!iU_EEHmJb%8?A=f{< zUaza)%%2~<EobJ1!m1}HCSKZGUGB}MAa|$7(dI*mgUFA@oXg94zg8^x<6V(-dt2@_ z6NA19Y)O^ncM97@4>;c}zq%^4=zi_@NN^i8+d-p0z@n+@M*00(b<nO^mbN{UFD`b! zy<gF`nVo-{ar(I%6ZjX^+?;8Aty=m@OMKPKrS(h)|DRCq_o%4Y5wbeWcU#U)rStax z_k4MG_jcDW$9<q3dI}R7dp;bMv@Tl{Q}glYzZtt-&U}1xMfB1tPua|y8^Ss*;%raM z5xlYCZ$r+#(f~Pwr@OOU+Z)s+4@MunYkRMojaMoq>d0C1`#GYe|3CKE-}nxy{(et6 zGt>BZm2Uls?+*k81r^=<WHuK2&NlO1w0QB;Z@2Ts_nfF;+aPJ279skeQ&@f1cjm?i z^`Ab>5#Y-=n#R5J@ymuO4-^xnKlxo-7puME%c4GS36`}Re*CDAmOFXw(xpo(nwpxI z)mDfEKb#zY`0?SWWBu~_#m~=8{rmfS^r4pN9Ooyd$9dL$Us(TGgb|cFe;oSwbkDC> zt3~J9D13PONOn`|dBNb!%T8J?Q_mjuxVyzdu|Vf*OU?e2$(px&r)2BpM9ksSRp~oA zxyOO+1IL4xAGX#0{uXJ(09nbbxc|xP_4~KQP2kqsaiGoJph)q9PtCud&z&Zzi9hnU z{~MBZ)oVXv<&TH$^|?(tzrULZ*?yE0C{ygbw#9#g__y-=wby02<Shyod~N#j_V)F2 zmlry-dzT#Rkxbre+S2#y%S+|IzrJ#xuq^1iF0Acw>C#f~)Jsb|86zjZ+ft!4p|OWY z(&&hg;GO2IO6P>?GlDZsiucaBzir<Z!+AnmC$%1!rThEIcHZhI^5NU-r@57Wu2+xR z6!7`|#i~gYug6vU3JD3VnSStg*t(d^_wN)HKwDuY9$j>o-zsV`W!odrR@jOtj@_UN zxUJmgxX`Xfn;%?}1&9XG3-A`$vfAtM^?TO_DZ01JcH|IQDCgwz_V)JePo5s;x8L$U zJ^fGG<AWc~>OBOGPmTZlMIvyM&nK;vo(&=@p1z>zX2}yA@oAr2e;iuzl%G*3T6nU* z*YRmzmt;NV`Z?Rhy_JWFvpqQF=rP-%y-AT*XL5ds{H&r}Vd}Bzi_ei3hJdu)<?rJj z9^+orB;NGlbie(-3|p}fp*{&ir&m{3r+;=j!LR62`19%X==${=jmr+TaF*#<%7@G| zU{C(AW=qb^OI0x(nGRi9*Vbfycb)nE!b0b}>m8kL%oE^$@7Ta0xX~@=@2{`ZWF9J> zJg2d{x4ZlF7S4zA$3IWLAM9Ld0&V=P`OXpKB&7FwHE8dy0<)wdThwwt+1WKqr`5A; zlhi-yUTi-5$hG>eOM9K2IkltJ{!e~+Vu6do*B_pdo3fT4QI(r|a9Pwc&ybXWb(>Xm zPbn%_aMZNUTABB-scWLjsmDJ)KHkQDBDValDzEgi_G=nvRi`&|*Q^d(n`6;-heLgT zi;c)3lPAFsWEFUrCob4By|5yV<75D@a~xOV3@*`2`92;Asu#EB)Zg4x1ZxX@L^|;$ zc*^ssr4DmE-W&}+d{*mqcreSKP6sxlL+x_Y=7ws{u`iDidKR@SZ-33kjP}64YjtC9 zn`&^j$0+p`zI-)zQ{8dLo&OdcyR<d*tx(~ag#{BD-yD$Q`td?av@yX=fmyQh<5BUo z*%PZOP3!+Fe)#*z{z>~(!%O=rV>9n7c~wvT!GB9>_RB3VB{yXi_pG_IAzaUX{l1IS zSH`FOz9##Wk5N^5=V8z7K`c#zjXWtWGhTLyYUivMH2tqs@T|vsU*x9XXX|e$KQ~*g zEz6_XxnjYpwf|gi{@*NXTNV?Re@i=io6Ll`xr?8^;+C2jQNQ#5_r=CyGK{Lqtj7b= z16Y_^9oUSV?pplfV$Gc-yrP+N>fe7mveuu8+!y`oYTuT5lU_}{WuklITx?9zCRN4X zk0ybZJGnj3v$`>D#p{pYRl_QvRl}edH=dJ|X(hNy)Q)L?Zr9ZN+mD|9=X`ugYv!zL ziyVD5!?%?@d|w~&{ef_!$>b@!GQ-#8+^Y<D6~aB8&v&)AyXZFVnf~3ACQh&n-4)=f zAPlO|t+@9%gl&(ys3;&jUB}yJ)9a$eTr#1HCz+TZS>kCsb*BC*;|;mxd*06I5?_-2 z`c_fhYb&8p+dV7F*8lz}%;e?vhh>T1Vh$DtP_QktxiaPQi+VR*1CBL!Cn|Vfmz~hE z#H4dggrk&8=v24Bt3K};eM3H6msFH2O+TY}bLaaBDr!qTdQT-5InK7av@G!7Z0DcZ zxmN!F1OtpF)xRlOq9l;PVl+W;*T0K>GrFX<9#ATH^h7dpuc=?ytmDV#at7`96Lt1k z6_K>4bA6?~xqEP*G}EMdh21=iH;W%^*pd_AYh|)J(aF$siO<U~YQb3xdmR>>JaMp@ zeJvl4??KRBVugH;6b0oD-O!amu0g@UpUt}SVqb9N+$lXVVRA*}ZsoW|Sz%_r?OwOj z<yN&%maHpDN_y1iaps_M(Iu1YM>~BVzq+)~(=@Zv@2Zo1{I2Fj?g|s?Q&`r2np=KP z^M2j$wf9eO@ZJ>I^?AGKwLO+=g=?k1)V>It9j<-i#-4ZH+xdAwQQ+Nh_42_D2K!hV zEss3fex0J@Uo`9D>si(-e*!iC+|$`=#aiBQT@JXjROsW{?e{kAUfBCbd;OlIuNfJJ z{^hUB-rjmz#R(cZ<(>IEddn2kON;((K5uvWYt)~uioT{FWfcEC&(+|&e4p#Bbo|vh zH+#Qc|5Y;YfYOA{o(p_+S677w?cP>4jpxnElYj3e3kU0J-rV)QL#2NCye;+D*9hrd zUF{N4JLlEJm-W;2M1MW(2yRO`B56D0#}Sdq?+ee^sC%#Zx!bcuv{NJg)|S-uIsf{m zNKI7jpYZgbaInqxFKWsw-Ui8foNhW~wk0})-GB8L-RNx*vC>gz%3ohQyDg}l<${x) zdDsk<F7Ce<7rUpvxv_C)WLNz5o%K(rMW=vTK1;pDzwg;{wq0W3erCl)X}NpPve(3m zFQ3dR)991JGEv=5qQhZjs~XdB|5+PaR$l1r^q#nP<H=3O|LnE5i<G*uHtCD%IS-eL z86kZflf9np6gn^Xw8_i9UFi0bDJBPRJFa6tfAU%Vq>A#{HT_msl~i^utGv=-aMiuu zrrrCd(Nd?+DKA(3ZEG->JZRi_D=+Mm*#3XNRxb$OoP3;5TjRLw?!|k$-|?ulnX&IK zf4^;)&WfvxjGHIMx4ZF*cD!kRyK{58jZ$sx?{8Bbo7ryWmcP4`slTD)!QX$s--o62 z?H8PtJdcr??Z*9>E2T5*e}8)`Y4Gy?{{1~trl;!T)_fGmxx4FXmB6d(>+|E^-`KeL z?!1kEe|_EjInm$#@0P0*4r*_%_?Wa>?oBSoS64T&-gTGWZoi)=oi$DC>-BQchmIkg z9}@}<ot7V;&VKTG#QxfXl0^#~6oe%kPkwHEacgUKfKGt;LwlA-{YxbE4;gwIoG)w- zZvDUVmZy)G=;eBaFe#}`MLKU=UX}*AotmzlTs`lDjM%h)^_8FhN45z}F<P}~sn_41 zZ+>q7xW}Q)Z@yvw<#k`u`}d!hxVw1EmdTT<9)<kctSEc@?0uW%b1gJQPfs_Eo9nW$ zV1?IGgOy=B_k@_AudrM-vupaS(4OhXZB}bC?GyN*raYkuv}n_APkrvqO{w73tJYuN z-Q68+#PAWciC5?2fy<1657;;P&NjRDm{D`bt5vIWIM~j!KW^idRy*D&JK6f(j>B9J zKufN*-a4h6n(qJooFLlKF?;8NR%*EZHu%<S&c5m6hr|50ukWaEjtLN}`}gzt+oQ7$ ztRB~k>BVGxHuwNNH|8jVqH4^MKpD5<Hy0K<n|*P<p{1pD>fB3Do=v|(9=baIIe%NO z`i-G^rS=Q;Nk8RlJ~+-UZr-&i>ZCI$z#~7qHs4%5RfhPZW18!q`*#0V*RA3)d$^!v z(V^nZ&(2(hiY_6iCv=)P+a2QF*|{R%*o1x~p?}Yn581fSxUQ&}n0obeV3A$rKkFcW zqo(bTW@WG22x(^DItgiJ%c{oRk~EMz)NnoN)s>as1O){Xi(ky>K63g6=)9QY#XZLv zm3J21+f!*&SpUdncK^f)6B2AC`p(X^4!8U9fZ5wZ;`Z+H{O#9Sf|xWL{#dXbbi8<d zwoBn;32EuqYDO*1Gx(jHGybk5;=q`t-oKZ7D*sp$x%t>S|FtQg!U(jzZPytY@#K>& zuKiZ^_8cD;pOT$1OG$u(#pWc39P=mTn%Ii(Z*Qm9-$y$<Mm(mVk$1a=_{Zz<^>?cj zK&J{wulu;?#N&esj??~rZoajvG}~K9=5TYs-m22yw?xBZ6f+u3^Y7i+XIt>VK{~E5 z@85?w2PZZEc{#-aHoV}|W9kn(pd23~%jEcw$-eei$#)UN@iCk3sy|%jH`nUt8F9{C zM_)B@u{Qdoq#WrGEPA)|dDQmBvp^ekr}R8w)xCS|K4|Y<yc}10eG7|Qzg#Y7NAe$m zNt+!zT4!(E{eIu=Dg%QK4)H>dqo1Ch&aF6JU#!EDcxHy7S&HM0=YKw*-`>KiyuaBc z?2!5vpN1C((*juJ*bk|x?08go@PuQdj)TDuJIiM|+y^r*E$RFk@<ICUmdxPF2aW7G z9g7PeA1kZlVJ$o4zUHRkhnH7ZPgix=X=<bE*E-?3gw2bDC;Zlhk6KhS*UZfBk2>k1 zAT0UN+qM4Z)!OfO!;J(lE<R_@<mL8>cP`%-W~O;5EbEVfc61-s?sH_|NSt6|nQ}rv z+Dq|{(~s5~9viVK4~v|`*2mdOuT!&6`SatW+54smebAP)T!;Dnn#<=7O6<|jzP@g2 z@}tL(L5n87Ie9a^o@ZN~^5Vimvnj!w(|o<1KGYw1@KE8R$nTfS=f9B<vaRHGV*HsP z{q1C3hS$lcne#6QaIWZ4JkPSorH@NaXpe5fqZ=O{9)5dtp6LVGrV~%DEa<h2Y~J45 z-p<|MbVG8of7z!ep55XpT>4_KKZd_pu8@6sqier|nvs*6#YZ>0A6Z=vaRLEGlf<`_ zMAs`nm>^hGZ&UO2xkBZZ$jxbG+n?lrSil%@dt2_>?~4)-w|(2oD{XdTPtT4W7NFI} zXLl;tAA;^L6g8>+^=0DO+2+yujJJcPoxX8(b@=VsR>&vIU_Dr-?|yOze`0`>oo)3u zkxy}Ryy_*i3O=lGm}Vj85qh*s6y<0co}whCRFy}cKA1Q~CM*m4ByhsTts_(@|LeaA zQ*1K6bbRh=m^5*Z(z4sXg&Q5p623k!{4tB$pRZDH-n@B-?kzvJ9<(cQ=7|$$W*X<# z2!CIQnoLE)jhfn@)gP12-!f0etLUmo<D(7-HKR=>FN0?5Z%R2i=~2a=DxcPlhDj5h zSi+Z|6Ks6s<yK@<aMwXlgN-9aNjar{@()+u-KeH&NPaY5WHnzYpai5uB_UABZ7)70 z^~!H%i5;906UerF8OIYbP}APkYr8fNi_Pf>?&P|;!GZxST;hygx6T`>CcnEjbIQ!X z-@dwgW+_dGW|`9SL`?IpANa@;*8}39*4f*hm5Z0>#rX=J&}H&+E9#z-w;XzSjI!jy zg?x*=ZL7WnY!PXU0i7XvJ>X?qeOCkcd>K1|6AvU8K9-;R|AKD-X#aA<q=|QwmfqH6 z0xNj(Leep3rT28bci)b4%~YBo4YHg~^{yMlas_P`qYAePN8GM6TvRo6RoKp<A$d|a zXxCh@*<B8O^8}AfDSLl!ZBpg0$djO!_$QX>d22W{m_YVFXmwyaf8w}&ea_Q47oD)% z4jPq9xwE5iQ^Fc)`99cUf`d%1-hwAgL0;vZnzsVt)d$RtJo6^@N}ESbJ;5t&rV-KQ zunyEj&t6e-*vY}cdV?6#3ah0`0WBOUuMX6&`eowUQD=B->ZFNDB}UF40zzi1vG~u@ ziv&&a%)e!_CwyIurDfHZ6;pJh!wv?q6ty-?niz9%iL|H?lb0J8XT;nvK@BFBO)ARI z=M?wp#O;ZAb$xw2%jpZTBJ7|uhuSww>^i<6MWy8Y+;uU6CnojGssH!Wsq=m1^SR44 zG@0smIys#5SeJ0Amy5H}Ve-Ugc7Cs?r>3gv=;+*5wkdrzWkYUANQk21!pmAr3)>y0 zr91&ucd`-d_x*Zx!CEV}&_N);NJ!vD>GFCd0S}{1nU~deem-aY^mhLKvlDxKW|??y z%egrzS6*Gp|K08F{&s&pI6tg@np^$--Bi$FFk<zRA3&{W?YFnK7U!4!`B5mH6&}pu z#M-EHvSV8d|I}a!o7W*mLN?DAsjNFPyJtd2{oAeAPu2hb9k2K%W`<k-GS_Y~@KGh@ zn+qN~&9o|A_2%Yg_j7i?b24vlTbn!Gf$!dliONf(x91s^yttq<z2yJj@7<@LP7;r= zx%k{{`@Q<A)uEyL-)_6j;_uxnW%{PhAkI15Ctrp8bI<EL|J)S3jf~RHNN5Hx>#_NE zBl+LG<>$hEKs$DQ{Bt^FrkP7FjK8#c{XVVux}T{F<qz)v|2IGL%8H2v!tL9WuB-_B zbby)PW4Yhl9K{2T%<N0Dudi!5{-{fP-GuPC%A-P4{@nOg|Mm6TKgQ|jrf`XBrOa5Q z!5L9^ZC$cR;~IezlX?z(_;~?zT29wIr3u=g6wjr0cf|xy^DX8Bzr3AJzx}@*=WYM} zdcFSBz3TTTL1!zbKLm}*K0om=x8cZ{dA7H`lIPgf-qM=+c;W#C@&7;1*FTvaU$^mh z!f)}oii3U2i|fDs`T6<noqOA|u4?_fzW<-<)z#tCpFBy)yu7T}=F<t~ptVt^yI&q? zWKRA6@9(#KCsWYz6#fULK9m`pyPUV{rP|Kl?{>evwJrbtyoY;1`)wXATE@X*%@jFF zFlm1AvojlcK!pJ>D2Fsp$_tL*sF*x)|Np=5i|#@WF07B<c804_$mr$0y}N5Z9u=Qf zq0oHLRo<VyrCHvCgISJW?@f4Y>C~Lt+s+Dc9pjZY^XZedRs)T{o|$FpeQLVCzChpa zce~G5P1WD|WD?Krc{Y`sbRsvofHtGde$DuB$A?4QM>B66+xPhKW7a0dl^^|zTE7_N z@2&d!X4ZzFrC#+ncS>}tb8I@aXWskNcFUi7i{1OrZSlOk%=hWi>2X?XE-(G8#Uu%e z4A6Mnrrdp7vbr4dKy{e(l#<zpoWO@dC~(R{4uyF8>Dlc3X`pq*YA=&Z=BC-0C)}_3 z+<O-L80&N~-KctwO4%DdlEx|E*5D(T?{z06AO826suh{!%)wrK;w-4T{r?zrTAWHt ziOg*4@^xoz8x?*UeM(TUwypkl=8M^r7j4pcA3P6iI%KP>>pN-EB%{5)OgdK`v$`At z6(*=kDo)<*JzY;oSU6bZ^@Z9N4W{}(AKR;+{(15K*4ESYf9n&~dD}EncD-=|dB%Zl z$LiykALjnq#w%rVViLz8V~Ir3JzSs_mR~Jm3J$V1rL!u3Z!u#BZ7ldusUGwB<?{Jn zYooTl`CXy-V@>t;#rBn-me_p1Q@pA2bJ}c|?(pUsL9KYJvNsvWC*_>Vu85UU&J<<( z@?^4qT9kFDNxgF$&qkM{oNq0pWvxn1OcL0m&-YVPWkqR}>w)(iDV}aOgm2#5@vmm; zw4b%AR*5GR56H?y{$A=meOvbP<I|U<_sGZ9?a|-==hFmhz1V^br3apFpjGmo9v&0a z=T#_OKDZ`k=cP7P1<)w!iKBBraL!}jb7*h%_ig#kE+4iC$kiWA_Nh2ttg}#B=wI#E zl&D=LE6;K|mXzGxRccm$So6Q?#;F^hOcM|)%sJ8_crBYb@zb{Vpv{oHtIGHE{t@Ni zdAMlLpEQ1?BO@;SNqMo#nXxhFB*)$G`hP#urRRqPv(zX$^vIa6-~RB?*RQ)4t>6$5 zgS7GLVU4^gI}aA^;T8}*q}vi-_vfQ~<@dYgOY`pTVv>Ad-VZt#n`5cND$bV{$?85c z5{^6Zd3;LeJN6(l^X8_dUw2IC;L=<Lnkjb8VYUPvt@mcq3E9NFLr#8?dh0K*XVHHg zTYfh+{<-xtiMYvEu7r5c+Vgh#{JKp~57&3}3jR`@zSMKF+Ra10KMP`FVwUA9824RY zvEkhGbE`QjlqTp(9t=I%K7UpP_|(xI3wLVU6h3PCo!h}7%5&t@RguO;P6rf~?>zne zYGWh&B2YulQl<UVf-BR6qV@iO&j$>g_4|zR`5WCPdu=$5hpdgdIyc@)jw?}WPkn9u zuP+-DC2TZX+$7qctE#FV4LkGT;eu1GLNTA5ZrEF@OIWJ5nEvFelxkt!)NIb?&(687 zZ=$eK!U2ZYfgjC0xZ-AjT7EJ7hdiJ3uh3*#*x;}&rNwBobpD=;bw93x%Fz$s`c{Oy z*lRaBC`^!z;Qm~}SwD&2X)2$2drO8%O?}Xej@Ne@9TcLCoQ|0-P5pkaI$z=1vfn&{ zEH-<UUx{)~oE*k8Ju>Db$KQD$_Bc3bDDL}m$-DdE&CAYOFF8`Y-7eTIn7i@Xtm$9+ zJ<lC~U*Ud5dy;Y3F4u<&ptAuVE?Bx*i|Jr1$Wc2q`d?mN&VGGWsi|Xo!(&;+K>uRb zruuhZrgX4sN@@x&kL2Gp0~Fed6T1R<BkyN*Ih1h-Twivpp^L@nCn%WRkdBl9nJB=k z+kY`FK>HqtjJLIFd%xWDCCTb4s&AL5EdOMYD7ReY=Dsp7ttr~N?85ygS6)u{`7eoa zUPL{q2SzBv&Wivg@Y757gxe|~EI!1wX;Or<N|JD}s-mXu-=7|{ep-hLo&BFK&2(`? zUZ`c+pCv6*b#<Sfnl{nE+3wWz$2)y~ZLXaAwqi>Crj*BTzU)71%+hk*@4sh&-+W8u zbe_P6Gq3;n-SaYYLe?{X>$+1me!KqL)iv$+mrM$ZDm$ifD|dC6mVT&qyXRJ~#GuVy zKkesh-`IaugfX5&L-OMJRwaR598WylGWI!Vr{=zuwNjtS{d?Yxl+PX$_ZGUR#@BSo zboftmyBK=pX}y)V(xKCyOFtN_lo3puuPvGHa?|MG<6eupPg7J>rT6m4X&#H7JW1{3 zv!81=$F!}HF_W9dB^0`NQKgH=-zY($SmiqtmOhBAe^C?Eb=}K(CiKh*PHyhgB|#Z- z9^g|WK3Zj0nRGc=F<DL$3{gMcC#wxQf-XsH35QI*hlSehGp<#)?;Sq2xiG5iow0q) zRi&Qvg-w+$-o086gKUisRT(<n{jlYT`ZA9{4@E3XR9uD5+~4@@eOsy4?GJvFSDd<} zVilAneP~hGCIJl|qo#K}QD*XWKNg-Bdu`&oxM__-Tb5pI_*}D(_lj+QJZQeOqcC~9 zSH9!7rOBd=3H7cD?nX}E9d>b8EebqXrJ$M_`}<K)%IA45H#a@skkfCO^hy7e$6cwZ zOUu4`9g7Y)Sz%{%KUTE7W>W8W6*aw+6%CV8=AVrA^t09LU97aU@~=l`mW@;3vFb%( zdjvnYy5;SBDt2>c@$%mX6!~Jl$nq|oBj7l5`m~oO(x111j`XT8dq2PS+syNs`~H0L zzAe@$r)Yk+BzW5HMV*qx3$MJ+P3QT!?6#I{Z*AuNCtK=j;{`cHS&CGYw<x>moS*(6 zWQ9yhVZ`(Iw}n%_UbDEl<@JO)!P~odS6?wbqP%KZ;oDg?d|P`sCd}TX|E)t+EmPh9 z#64!uOONKPy0kB|H1qn7S%>OFc|gvbpc%0(XQs{HFPFo12nHU%BQ$Z2LQ{r9S^M)F zw*_7<F15~mq9`aZX-!X1Mbyc~VsCPzx8-c?J-6x8tS4LC;I7gzUv#cZt~u(W;)JS4 zQ`X!QQeVC8*y&@joR>6Ktuis_cMH6_#Hmtu?%CHfJq)KhS|<y=sZXBnIVtz*hFz*^ zZ)abfu)%2QC#$)6@+}-GqRT!mWo%x@W2G0pJ#XtziHjF6ZtHd2UH10PM8(#|3$ku2 zmgV2y_f9!(PsK*r*SQ@}T;1GmO`l)&N^|w~mI}G69e1u+mOVJY_`AC6l-@7nHBVc` z;|i+n($3G*jj#PW_05fqhqV%w>-Rjb@O^e>W^(IS(0Gn_%LzlrV)jP+BJX?k|7&NO z=g+HM586SOxufjut+MO4x94wPRM*n_VaJ^6cRM9Z3L}JzotIef9ddrv%Xx^u$}l#l zFNH<bLuK<%CeXag>Yne(CwDOyeYxS;xh5h`O6t=^ji~oe{&w2reV=RCu47oQ_`ap+ z5%;Yt8=tu7mI#7Zf?DP`v-6k9-`Q3AdX1L;PKAdLABJt=yW}umh9|$Ere+WCdW9A3 zI&H}{br-sJ{`>X1b++j}=cn63R+a>vK3aEWMc_BvI(tn9g*nX&na<Q@&TM$jk{W9x zzd7yfrdNB;+x=ei^@)L;QiD7D*OI<^M&+2kf|sDNDnUU((0SqA+zNI%&Uu{Ry>qu( z4$QNyj#_BfbN!}%RPuy5M~=8`FL=Oj|3?9IhO*87KcA=VX<$9d&G9ESUFP+Ri;InO zFKx*TetSoDSNzh3H8$=>Lc+qz&*#_gn|dxxTZW}f-bQQx8heG)*WQ~e&RBJ+evhNC zszNLC*7K3&Z+s`5-JO(rzVUET-0EAP<^L<gjTdo*FWCKwooU|*4)NdG>-PlRtNWe% zTC*VM)|O1C;N#|?{c|nf#rY4b&#!rOukHE=4^T6D=CtESe@_jM+bGAA4?4|nOWxfm zw;l<_`?cTasv3jVCd^)V19Y`by`nhlY?K{zMwOpZybBa;ug6ud{hC+u^3u_&z;!#H zNrC!S*iUo#S#P5L#c$V9X=cIZ9tV}8?22RsmwU(lgs`vFWZDTTU=F#ITyYV)9l!C~ z9ldos^0$LlVQtnu7}6|LKUs`7J6b3x%$beR`t1~v{Ckqw9VZ=cpMP>k?dnqR?kL9S z{&yDnpxuKNk|)ZQ{}gO{+5T9f{BumnTpQjKTnqct=T#ot%j>zirNG8n=iKZk-41+v z?kfvU<+fsBdCwyEG(5ics@hJY|M&lWGuPhuaLP*|rbC+#-ilP;zqMkKVZD>bo_7_# zGrCPDO1#dk@JUKeep}(BQ{nMp9_V1ty)U1goxQfbP1<{p;oo0hi}$;);5fu$nRR(t zZ>;lFt<X<pOeNW_3m7<_boCUt8x}wF+3vlb;rHc*Y>lki-%i}Q|IMS>D)h-6vB03S zQ|_-~6=&jH7E|$c+1^dJE4-`9*QwX<wYti^j`dZRk>Z2yo;{z>S#O)w_MttwC1FLt z!$<F*xD*r~%YGnv>fq*s+0AUcpLVW~+go+>j?nQP3xv5A7H>stmizhh^Yh*J(_|qh zHSC!VIjP~dfW#g(0o5J@u7%3+M_I1Nme1w=f1yiJ{qSRFKW>)Z`hfoBj{8oCDwel> zeUkFvs6@W9OY5l(;PIUzSGQkZUM5f8t+)Trr#t6X->y_F_;f{b=GKzwXa47IFUb>C zeH-mEd!NLt4yiZtB0}+ss@mpnCwud1?%WjZeEF8$m)~1itl#~NoUbm~DB)nW!{Pf2 z$hyeAY){G_C?-T}h18$u^zilFx=?14wWnBVPq$&EFz6PQud60peh3<B%w^Iz(rBkr z5jkf9k9d;%{LHVft`@!D`~6fCEB6}rq!SYqjS>&BNUut){^r@y>T><#<KwplxKo<d zGM;ps<lMN>mTB;<bNl0C()njt_&K-sJS?9zho3{xQm3WcBdqnxhWfa^=_(OUL3a{@ zkWOa!IQzZlnVPx$hdc@k3uoSAdMss{_2jw8s~JK)4(m>El=I43l@zE4PEFYJsr1E! z3G=VLnf&eS;w8-=TRx<Qa_h4Eco@4?(=9CTj<$Gt$F#cG^PFp$H5gZaRZ(uyUEx;P zBVhcbIfm_%(+-Pz|5+v{_w^oc;<kHl?YUx)pC9LugPg}>Djv4J`zg`CylIZeA)OUr zle--`*Do(my1FWKn!v>5Lw7>f2rO&VDLmLNVYn=Gb(m9~T%!D>7)7?0h!0kv<8&v@ znUljQeAUQzmdVKyvy}~s?k#%+PlV33D$S|m%5GfJBW-@Js>36u9(o$X^Pevk_qTW{ zz>j0_Is8fWfw!<r`wVR(Cn52ko8gwx0<zv0bXl1`Jzey6TEm>{Vft~7<xQV<E=bM( zH{t&FH<MrMXXUIexYESjc<99eDH-==s{>fN?3s2dDW~)VGd<TXT=m0w%6H{Ed#nTh zndf(3G37m3ziWHGM941vN_#2Uhun>im+_yObk#bw{*R#KrQJJC)=s|{@1$G6p&`jB z^KDnU>w*QKoR)KE$Hils<~cV4F8me!{qgd%oM}(C7+>0$YTIdYYID|6>Bb{Vk~bwC zmh0rv*KMvg*S^Ot{ltBFipmN933~p5KmNasWv}u5{=4q~)_TsS4zHvm9UXxix8GXb z6t;HNwKcI@S4Ku(U2|7U*Rbx0SoQf8x}AHSl_p7WI==jGlVA1d*{+-A_rL$GdG}oH z`@GMx=XXBd`MyfXLBTVHr8A*ptI|2mWzvi<j{Mp7R46pmMp2dPc!`b3W?2@!SpH?g z&+`M#*H6;9qMla6($XVQFJC+}P~d_%lc$pM44%^08l29bCP&1u)J)kkVK)D~;KNz( zrWo#hapcCU*UVKGyZd6pT7GT{`uwUjSCnn?+vpP=Cl^fbWNi#lo{(bH#AbPd>-v=p zkFRgS3=SNz_Ycf8SI>)5Q{!N0JZ;{5^8YbsscQ@OFeJHvY!=L!=_PQX-k8Z#O<6(d zL!X1OV~>W#8&=;xm-}y->v{88F3Fr}xnFIbOUvbJy{k3r8xMtS_H$mWV`^eE>Dn7B zcV(-v1vZvK*S;H^YRX9vQdBl8n3&of*6lFqB*&@hzkmPk^z2_d`~O;lsQL$NTSGfK zOuz8VH2B0Gwf_~rlx-&8)||^1U)AfqzpvgO@cY^Pp!5HfU$iH_zZ%TD_hR|`e@m}g zp9^?x<+`GxaZcO3bI*=%PcdqWQ@Bvn$hCf(<A2t_Zdb%Unbj|3WmxT^a59C(x9-9X z_Dbb#Ip*><i*=8`3z#e==hmEfzVGan*SF7SzM5mdBK7rp`x)E)T9u~Qy8GSQa9ZCr zyM9&BrOrcg_KT`|_hkGtnqP6eLPp9-)^5G`)K%9O6$S_Ud%5$!bY1<wC(!fiHviYA z3y*4>EzhvOr9QK(XT|Fqbs7zOR*9=z-xK+Dlf0yhIny*Rw*@ubYRMV9mUZ_mu(jTE zX;oieOugd)DM1e-$;Krnx3rw!oD6%rWYL=He`zfBSJ!3S5jwY+{d>T2v)+tHJx9N! z{yryvApY=XhNs#A9ed2*`CnWbxwS?x_gkdv-}b)bMS}D1?k}J1P_uz;jq28AlP3Dz z|GNCmw?fyQTq0UtzO%Rcy%nk1xAG*%wGH2oh-y8b)~zKX{?K-BOo(jr3nk?XU5?KK zuLg*A=+`Efh`ZLut8u(qcvwrM`0?hetHXs^zCEbDX11lMy{>oJuXPJQOfF<)3|0aq zF4v~5hhtah+UK6)mB@Z~Ib81I$pZna;*Z{q2tIbM_||)kt)II-x^4OWY&ZY2_VmRr znf|}dR?l|4o~B{6>GzLRtp~pnyR&O^kI9_i__pbBhQd{isqLpk>P7GJ)y77jEi9Qh z@%s9B;VIP%KR<f>_;8fYF$JZJ^Y=C;yPukAtls^gal(=G!)?6Xxfj>fp1Ha@JTlST zZhfa>!4yMQ#$bgBIz~*X9xH5}YEDjjbLp&sRf*ya6&Dr@_FwatKPx?baYg6m7q9hd z?HcwqRp+e=TFiGga#P#<-KCG~wRm6Mo!Ip5?!xEi=LIY~6M15Djp(`QA2gmyR@d!4 zb@au%x6&K`oBw%JJnQ%ETl~lNSN#;K{gyeiLcg;27VnGip|>ahofKfJ+&OU~b9GJT zQ~A#?k6m@(;^$skfA633y4E`@oTuNrvdsCq#pg%UpU88kUz#Pl|Kefx?<Y=L+KcMF zs`uk4m9$QG5m(qf_0oO+(=|SF>lS6qdL!<mq<%zvS>%QU#=HA!XCFSZYGJz26Ww*O zyN}s#h>3}bNa4%cYVag;P1M#d(K5xBcKIZZ$q$SL*fv_OxOXV{&!3;gb#jl2{rvp? z*x0E%wk$AYUT<WQ9>UGXm)1K=Slw^KJKk$XwZH1WM6f2t$HzNe&(3)5;LY}^cGY#2 zg_RrAGOjBu=`(fAm7QfRaIX38j>6{Re*$8MezP2^oHZlC_l9uCl)ZaxSASo%>e#P+ zVMg;57W6u7I>8~LrFf0ysb}=Y<Nk{?-|hN*<)QKAshRh7S9iY()A2fd?4Qrv>OT(a zr|{3czCJ$iT2B4VpGFm8+m@?&c{uaVZDFxrP<Z%P!HZ*h*=D<HKTGA_-qB{1zESzU zv$&>zT)N%+`g`6lZ%*cT%KYB+><pW(N6N~A4-=nkuA2F2;olaqbMt(b+G+<qPJ2Jq zIrh`;PdiU;T{3Cn%wV_6O_7sVczwMUa%S-sC6;eZWx1af*JPi0va|k6=<<0RgZm|K z%@!!rS|Tvv)z(*+n-}aZd2&FnE9}VOGmSk73s0NRU(}dutLc7?=VYr-pFcz6j}shn z?LTHO<I<gy6{yHDvs))}lK=;|$KgjuyI)>i9sWnggJ~7}#Py7am<}=ev-3FBgsuun z6pgjEwgz2w&He1)ht&Sh3+`3dKRF?2Rq;XL>`deK`OO@1$JT|dm8$kr*usAP__o~J z5uz2Rrf9x7dGMzK(}x#N&d;|GTVk@W(y?ZP{~FPSE7wGB7P7mOeQk~BT&vPYJ3m@H z%e}kHbh6Bg-km#-w#-p{!v1i7=H+FEm5gEmI&G)&o!a*D$=Up9c3zOwBQSM_!i11| zmPxK|YX0-i^hoCmL~p#ff3Cv%TT3-|-))b4ckB?u(v_m!)|VDcoV=oXzT%a)tIxl9 za8Gv2-v_K(L1&u1#7-QNo4j!G{r{gX$K8(K|KICz{hR*>r<?b6cXg#W3Cl|c9ybb^ zk@j=f-7a?J_&KWCZMh*vkM_P~n7UqM@f@i?5A3eiuYcuNIro!h?=hyIhF6<IR{2!^ zI-S1$lcQ8{QEkS3zgM^Rt-Lv5qWbx`OWO|rGuc+Gn!L9qCrK_gg(d#m55}zp51qb= ztk_oc)GO@i->=u>e=4dxJG`0EHGTregyz)K(_Z>$w3;72eY!hrO~gSL$J@WYygYpG z@jTn=OMSA|Hx6&AulZSI$K$o@-Me=t85b1()&KqV_035JNvo<a8qeDj;?mR8n}SL{ zKRbJKci=)N)^CfBnCpLIJ{ngMap>^jmtS69Uh`=6%<QH;Q=_(KZQQk?Y=!JS2?4_i zf&!gOr1lspB;9KJ7$~cv#-SqGm@;vqZuGVdZ_J)7%RRWKJU(;}XQWU4y?e56e{@@? zFL>YHvowF#*Tr6{cF**q{@hJ}pixxrb^iRr>HD|YhT6zW8l|N0J1%~ux}x|zqe;%0 zEf(!FXZ5_O(v9V<)3;sF-Sc2hU;LHD!tVSRj^3U)#qsA=tNdduvuty9&c-~ERsVT4 zrpV3Ydu3qY-`c-cvu<7U{l>cTfHEpv~^FDJ*eqg^!OF{&={!->yqmZvV57OIL@j zXjr50q)9&JgPlpv4TC;)*W%cAe)(nbbw6E~7ib6ter)AqVtUWgH`lh>Y;E-RzT==f zzFvan=5l7f>kw4FvAAh#^4yn~moI;KxczephvLJ+tJ#w-?1}ii*uDQpO-sZB#m4&U z%VKsGZS>w>^pvYQ<K6xJ=kH0FYzpCxQxH&_uy~TH_a~{MCmpjx=FQ4he$K_zsW?H$ zs7WI2@2{_x-!k5oJ#>?pyHm{Vs?FKOYqzIQl=+hT{r$>-iAg4jSNL1FrsPUryKv05 z-Z=1Q=B=8n+Z(Opr+qlS@XP0xhg*_QTYalKu&F+E`|@<J8BbUH?G<_N(Q`V&G<fpF zi<8qPJHOi@n<Jgs()dVO`F?q}_r6nd{%kD)eRFnZy!bvh^RSfayV?gbJ9kd+xjWx- zlAzAJ4>P4hF5YeQ+U3PBR9iFeg_3f&nC_!2sTTVX=AG`XT>Ji9ox@RMc{sZv!0+@l z-JMs&=9li`sejmWykGwF&slByB`r?x?(P<UUN}KWfXm+A{@)~bxvs2;<1a2Qu9Tf2 zoG8+AI8nji!>k64gO6s5pI4mD`f&cOt=Z~as(TzxWHLHBcX(bF=yO`fQIx)6-VvUP zhcda%<(lDoC%e6P>?{A-GM!XXw%|2%QL#|mmwDFg+4sp;-dwI1@~Svy^DWZ-q@?4@ z*Keb*PvM`C^Cfow!Yd213UBRs&r^9-)BE$Zb&G%bMiuBtoLpzVK7QFXuOfA?(#y+y z-KR6_ZFqh_=A=gw=bDcbC;q#?b@|)b*Di4`ePWfa$1e4)R{T@s<~e5X>_pWAug9F^ z5UH=_XTKo9dHu!H#V(V38YJo)N*-`a>2gMG%{m&#Y@*rH&TxE@6YKnR{i!udEkzUV zbfyHhm|bXDQ~&?pn}ZA=8$>mQf<Ine>Mj1w%Hd?p<$zP%{T%x`48`Bv*;#CoaDd^~ z_I&wjl?nOZKcBZRUcsg)bEZ>(TPRlDXT||Vu?aF~`U`ffUaj4pDKty`yrQId{c{CQ zaZ7mxm&{8`9u^2r3U$nyu%YX(5~rA=X2q_+*p@$%6}=nSo=j|zQGFsA^u5O*C?n$q z`*l8v=jU`qldCENZ(mS2sivIpp@LblEpu&|k;05~as3N+P3^huucBzPKO>~oOM9n) z^tFGUm!JPX%6m%cx{m8tsj7>Mb_Qp9AFW?*3tLR^b((X?HmA^_(+!R;YCioxU0H)a z+m=ietjVa}krEQM)O?Yu_Vy#Yc}(1#B@4Nie!OL}lrcI>cPHnXS(eH(qG$K$%rS0F z^O5otu8VY8yZ@EYZku9N<%kDzOaFURzO<ioYr4?<^`345+ZxovO{aWrs!^EESXr<5 zqsZ-qBwIi0z7HR5_8piwyD?@`@N&OGI~ESkV{O+Jjf^IBa<2*tDQYsD@P$jC(W}CA zuW<VLd8tk<EP*|}U7{_S4%0rg@+Y+v+t}D>XlQh-&YSRrE2%+%dk>$odtXm^TxtD} z#ts?5C%p|ZPtVSt{yBJq*n}w`A0OY`&S764rcl=PA>`(!)So5!`T2Z%n<G+APwSmr z`%u)-jdM$!-X5MLQ4W>aJtgn%%&gB`A$cpTvFVyxT}(|+)Kz`wvYBU>-&*K?T&%?O zgHXAbYlPC`M>CyR&x9?Fl<YWmZ*us~s%M98247iKD4KO^5%1ZU9Y$s8oD&6QLUy{u z$raDPU;ou&W2tY3LzI{Jmc=!!+{K}OS<w$)&+b{^voq0@-!Ch6>(7Los}n3IPfQQI zxTWyEtJM9in@smj?b)U7{q{gs$b=Ll#{)is9%hn`kFFhV=eNwhE%o-wQ-NJ&_FY<2 zx->5vi%XlY(O(<0t>Kkvp5N#H5$8__b+UUfPO`YHz5iGJgGsJ8*!DUqaD^`_u`D~f z=sAaz#4a0U32)s_S9_uDk5pg&n#%C%<KKc+q1yvxIM}|tafmiw^X${Tp!rjRSFN6R zX>!K~5!0C9lOEIKm`}!KrmxS<wJLdhe6rNCgFinA{WbdN{_aJTujbpMe|xj1pL~DA zar4S$7w<hk$-VvLVh6K&t=DSn-d@>rzolmho2_NIfPpU4Ni}8b@^?#S8mAZSxh$1? zu&p~}hl5;EsoDA`tB>yYTl`Yk?ZTCt-pNPb2y9*(dTwf*w%#M*fBeb%>?SE<=jXcp zF3(>);ekS(&F)&iJceuEUgudI{h&18e(^@H{7HMQw`=G<F`vU=^=NtgikSMNS%rGW zlalLyeXO>stI$_J@~o&}$*<n%3o|r}C;u(-@R-fk{8Mh<5#H02oZSlUom*@7<@lmV zk&2fx-vbWb>)s)%K0z+y-i+CIcYL4m&W2;@`#ty6@6Ng{rJHE$<fijb>!cJb<6Te! zI-uQ@ldqBzIs5PGoEN_%*;nT8o)ITjpVZV~D8R6C&hA$nXa7D7PWDOPTFfE2@s_u? z^kznuNxp6koJLETnVC->Ja}-G!mfhHhO!plCrE{x&r@0-%BV5h(~#*l-_PK`pU#Ci z-8!;d!dX#Sv(3*>gvF=Nq3Z<4rUQXa*A_T3PtJFk&wZoji(2gzGi6b2jZ>?B9SJ?= zB~#ycV7B6vwz%NSTCBqMH`w|eb{9{Xv#44_s_LbYqO#eKLlbnPd6_holr!!$hwTrT zy+zIVdW~TAp@?sbq#I{{Km6j_UV&E^7fo?k!pvBr@5(Rm<*>s}ty2+OJv%1|R=(t5 zn$`_+$EzoW(YwDnw}zi?ZOS;+bva~lj9}3j$?f&p3sv8HUdxl@Xlb!szBoPq!iDws zw!h!jGgUf$s)BOH15r`Yqry&i{AN$#kTg8EbwVTyqqD*UC8MUY4;)t)SoWLV>~KB4 zqSV>{)FjPi)r)2*B<Qdx#qU{^`ubboajVKoFOQ3h3q^T6A5Yl0{ps<oCp)TQLxP&P z>`Tm!?zQ8+Rd0Ae?$y3szg{i4Idj{KId;#dZ)9PNc2sapVR@k7(>(3)iyP^>X31YI zvzBRm>EdKs=8$mY#Kw}>YxMnl`DU)_kiHU9xcTXEM>mBBZG0<kvDJ!}@VGt?$u(kH zD^VgB%6o)yZrJ2ou_CW_ttfM<SIuqKkZXCqKyh&{JHK2?Xnak*o6?q$RUw-1npSP= zG(Y;<`<V#K8OcVG$$~pPj`v6&UfaC(=n>;2-SA7FzA>IZvFq;h%%tyH)3#SAuZftR z&f=64aIe*UN!8a^g|`l__I7%t4eP-2GEDYz5xRY?N78u8vikEsivun*F)^)(-(P2v zazY^QWnXerbMwlg`qY=3W=kylwCAnTge0S;EUEPLbg{-wVzW0rdjG%3ZBx|gX({qz zO$|?aRB9HQoa`{;3_RbXpPq8CiM8bSx7=A)rCD!OF0?i7xU)6;dc^;uM~}8@vn&kb z&c3{ixBYkm$5oT8FZ+Z)K0Mt1GbXorU-#a<w%t!1XNo74+-fUcsK8TyG^VB2At-8l zp0Bi7&V%BG$;^ADYPKyZWpa75VDsf|xzXH}s;7-u8>c8vNHStt>KPv&U*;Os?P=P( zHOBMw?e>t%Dm7<6Eagqy&cw=fq{?Z_`Hudc2Za>{iYd#b_C5J{v_D4R$=rqwclK7B zo5`@rs;&51_w(s=A3X=Y5a%0g^{;m6MsG`Ljk94-VpOz|IP%dUM`7P`%~|cw6%MdF z?m0PG{qx2bDSK;v7R`J7>FH^ciVq3@q;JJX=s%Ga_%zen{2|}*S37UbI8e;O-#0@c z;T^}489fbqAM>)e<?C+yIzuShuh~wy@7gBSo9(R%1(7d}KV96venn<zICtd6kJCBp z_1X5tJT{I^d2+N{e9b1%Dd1XRYa-sP{9#!7=SQI$`-Z!_%QvetckNsCaO2O<&o^Ip zy1n2~_oqj`v&~+{@Nuwk@D;tfz{Kda(?H|pwbkMJ*Df;#F)1}ChpmtE71xhjQuz2- z;AA!5jF*>|vi#(ouxO!kyBbGDNr{OzPquVXO8tcU>S`P_teG^`ly6Af%SiYcxjD)` z;_;$OjivW*vR_%^##y{SZ<C$2vxC)!W&fI9DJh>2KRDgG&O~uyh-rm_L(UbI#0jD; zO(FfPea@G(oGk4Be!0xv-MpQVbMvlw!TfcfpYgW0eK^c3Co)B~IYmJ-Qq_%v{UCRf zfxschdGqS$J$d);9Pd4creELRpP$;@D{by)>tNKRkZ_D+Nmoxqvih}s#{2>MznPx- zF)8x+C9}2Bp3T2&gwu_q`R61z+C^^$4b@d<_g$LvZ);BO>#Do!^^7)UTvS@O%yC{@ z7RSMwZ#UC@g0#ZcoZ$cd;$m~w)m5VEQ!Z~#_pkE_-^cc>epT>tzUR|aQa<cc*v}Fb z$Fa2GkHUNw&n=0Gi88M~e*5+;pnv*w@%9j-)Kf=xs-&KplK819U{cx)kLxuYFB>c} zr9A|Sa*nVt7P~8irlh>NvGGmPm2+z5-+k4$>|>SI4_a7Lxne?h>i)*<xl!Jy#m_H{ zHeYvPb@;_6Pl6h>Lf6*2?q%;$Ic{V4SKB8uTt9x1?LI@jcZYwMU(DRUIAwJT%c{NQ z@;1xf#XXwneKtF2a*u+e=o02iMboS+3&Pe$6-MgXt$(ocz($RaXXjWN@2&Yc$=UMr zv$K!&)~{L^vm@bP)0N%j`QKJGsI0iQ)LZ=5lga)OCL*;S4^mIgFjQ9T6s=Eo*w4+m zLE~>}NlD71W}|qS^>%DRO^?67xq0~f*SELL``C})zdzrtPiCdD&yr5zq6jBvXZr@e z>Z4XiSQxz>6hc#2KKfkws?2#h>dpJp{Vz`a^;i|Ls^Mtf%k7nyGjIJ!d@^ah!qxms zO}|xQ_DeaW|LME9?(y`qO+6V+)~R;{>Z5igw4I$aak6;cWcS9LkZZpCEP_5SyR4$T z!eM9S=d?EkA6y!06xx|86%`n~r|CR={`b!xi<=_nS9`9gkcs1+!n^vR#1#J3ZJ#HG zbj=Y_xvEspl6siq^Bl|KHfN(1ZH?)yi4qg!B#J_|<=#Ga<i+Rn_Rr@C)U+k(-7(Rw zyRxJ{OhD4m@xU!X4^c_SLm~TWewOTF*OlB6=<OG9de>6T>}fn=JA1R1#=cNi-F*N1 z1=mfdf9=zZO?gthX|wVEKXTD(%KplWGe7_9{t_Ji(sAM~^VwUP{dJp)_9-M-u>`rg zZOD1y>ahIS;lqbZc3=2>rmj)3<X4u&)zyFOe#e~;mZ;CMn8LKndg<~mFORg=^Y>hy z&orzQ$-TQ_meL`omtSXJf3l{fII8Am<F4<rr}lqI`2RW1%Uk^1lHcX|fqBRGT-lav z{>i?+jZgl@(R<T7jm3gj8a@4a12hzP^HF5UUoQsN7W=TI$1hJVE{S|~=P~=L>nFUQ zS50zqn`hU#;HR;A{iSocb|O-rCdk;AEn0T=cEEkd#hT5J<hF-2FJCpOI#27po@mU< zy=_izLEQ`H=yS~AYYdqzIAvAn%Ai&O=dEheyT7QIu8nMZvw2^?aP+Bvv#x$y5^cWT zNK!Lc?_vBM?&m&%9>;QvPRwmD_Wt(%mY4bZ_=V?e*)MD`yj*d*xvIQg<-YFg71`?@ zOCColyuG!p@sp^Ai*9ti@9O_CJ}-?^mc$-snz`r99HUucXJ)yCW?xhNE;K)2hf@*X zyRV@q`hw<JRB4sIH2uIVAq*ahyRt2kcUSRitM<8EqJ_&hFYmk9xtRUM<tnezLtSU5 z6=gnJWBlhO_pL&c3CBvhJQs=7d&@F8xdpY)pQFn$L#Q!i^2Gb~|K}#p-L;E%R#EcG zxwrp^S<Kz@XGYc(-8r`w_SF45T3jEz+^qM-({7EdtNV;g-`twcC0^=($tq6E@I%{G z+ZS7v7|IUWFJo3capK?I+c%;`L`6O4wZ466eYDu<!|~21dvg?fWv{i@@W(&03^A&Q z4o3+m*Dt9sx@ET7^C17b!gE}EG-pkdkd(As!M-+lk1_YO`~|nZ&OSEJ>dWtQTN2OC z-%@saqKjwvB(wY{&3yBBI)-*x2YhU@?6uik>ZhJ^#A^NOH>b=5JWM4U`IvW!D1E+t zE9dIGY%dN4;i+0#zg|s#k|h1fprdZCVSS_7+Gxl1Q`$VXzA{@jQ8+E=U(>FWq9!(r zrpyugEn}JKaGq~V?qeydV%w%-ACr?D*8=ZYGH-o%Vd^p8x$f56)-12PduxL@+d{?I z6qe@-S3W;(cxRGwMda13>VQcnIaVoYX(aY2<;j_R-~OF<r9s2}kIs9{Vw2Ux^^@i6 z|D9s4ullVv>(-Ut{hiY{UQc=XnNxD(7j2E#+f!MBeBBu0wq{-3VY<gu^T#TEE^of; z3H&FvMtps)6lG$)?EIm&yUSN3Ec_ndpdj1*%x|$aqxg<jYI}on8;p)+EWPuwrQYD_ znWqaT_tg9h75|la>raHyr|C{^0ah~dk{|aMv_+Y%@K{@at8|g4aq=pO5bqPlpA25e zUDcXi5FXT0C&08xWb%ie#C2092p)c*wU~?Pn1h3U3QKRb!qvNrT)C6i++0!IV&A<? zGW*;m^SEiU*X_$P^lNWNy?k<Gjp*69O|uL`r29pK4r#yL|FiFt)zif%o}bUSImuF_ z;^myUlP6MJ-&9=H^sb-3{b$><GyiN)rl`EQC%Y<kp~2MkG0nR&D?_e0etKHIROzUW zdSlg1HG8Q$`*d#Jj!fG+E%1KB$r(N;Jd6%Za%N>Tb_O}iw<hn-4#&;u=gl_1eVK0g zZ~Nhw2X3}sS&((<linBO)&JZz<IgnCne+Mbv)c5Ef(gPCrW{UxA24rE{rlDfS7Lg8 z*eGWNOuPLtneXR{#92pdlxJVB-rT<Q|AoRUuiJNYEno2>(xTev=NlVOReMeG^v5#G z0<X=zd~o9A6w}`hFXR;u_wRV@p>TEm1D|(4izVueq-P(zlA@xb(o&jm;g64xfB?&+ z_J+6<97pC}i2QJ<mHXuEyG?y|<+@Yq`(k(&wq3nfo?&wRDZBj+e|hVjM<U}E{IAT4 z+b(l;&E*MopYI(zU;2BMWcaQ(7LV44tk|QU?AOzi!6zdx8M!B^JLsj*;^mC_-tFgK z2OT)Nc}wd5NUg9vA#=9shT9klyYntsxp{ifX){^-hSDSacQfv;vKKjjB0<U^sp+VY z(Ea+uj9*r!$7#jhI2aReCAL>Dc#`0jD)-}ICVs+3Nf-5%BlmO)T;P^Gc<W-WK<>1) zk?aqz)c<vVHLLKyV2kOi(6Yt;r@|iHlb>mnzL5R<`-0b{Q{L%C?wq=+K5Bk^-fi>$ z{lCidkH__~`Pwg!;oCViIZkuwZaXjOpQ}H%WlnN(tDjIEwAC$E&DEXn%`-XG_|jLd z$!h0+K3Li}=`LGncks2Gn=2;@xiM9C*8OY}`?qsqKX3S{rrYN0kE$MLjp^2?UcEvl z+h6gZqmR$Cf7`CP<j-H?zgzmROV{&dMMsy+_qY`3eQ)Be6cr_<rdj6s=afocOv>_T ze)Mjkb9=;7aki`V_e8bB3TBr-Ntmh?+I6g7{`}nVqb!V$51d)PxVZ%cGGEllaERD^ zx9&%encU9BUla__%d|c}Kkw41RPGlGF4erbC0f63!pXGx&2}HxoUjxZU3I0Wxbnfx zh`-m9nw~%Td0G9=M$`0lcb-Ju=v{XHfA^-8jlUHdO*K=N<Q+~c`MRmzUYPC4qAjm) z%-#2QUA@fHkh6ssDsqo4nJDt-gWdUfcT0KBO{*Fg=mg%n^ZwSp#!lW@D{JRmZ#Cfe z>N$IJo7|`6`dPbz{@Fac{`cCPLhpCCwwyfi@9%HRl0AjVJS$C?@JgHYaEWRiajIBh z@aFn@d0t7QmQz!;-OtarPk*@k)YPT*r`IX2Z|upv$?>AM$00?_z+l2w@ilk#?sG1` zTD?B-_OVpW-Zq_`Ti;u2{_b8sOHK26)NRB6durWR*Pajf{VOlTr^tn;u5#;1jxR|I zw3!|KW6NG$(R|m>!Yj>j>g)Ua_F+fGcX}xpt`OQ&{avs7UG3km*Vh;`Gw=NI`Mmwh zBc1iaHtc=#?P{&&^ZQC_e17$6Z^@4jiD3fwc9m*(e@mQmZEf^siFteX+H(Isc$jBu zT*Ykt*j+9cZeCm}lT+Z3%H(*U1LX3E;{AfL*B=KS@t*Z#x%}3=fHs-pTaq6q&HNtX z<d(F~-{VGc)c)s8e{XDLvb+%;Cm#Q~ZtBapxn`2}2d7(T{V56kIoGCgQX9X#U+u3i z53jK(Fe}K-Hp@+V#OTl7!DOcZx{O_pzoORu_Z#C~b$_iUv(34_G1*=0^y`a@*|{G$ z-u(XN=4O+E2M%KQSI6!yn{)Kh@u+|R0q$1^6^wS({47#4IAJYmQ(^F*_tNEcvDQs{ zn4Rndo_e3SQeV8azj4-w9!X=Jk42|u<?=A@ohbNVn!3N7laaQ{=G>#p)>!z;xqb>N zyl%5?(^Ho3nkg+B58Mo5cgi|BPx%yU_*ij)r`v<IA3l9bdhdF$iPb*BrJYYUB5-^3 z_Pjqbdv;mWUtbsdW~;+K_PnyPG98w#jbZCzJoWVSSU7pg%ggJfOf;5N-v9OWbtG%W z!6w#?pBo$=)PIQ!a<-@tdJgYU@pJY$-s7~hUwG;E5>Mf%T_u{Uf7#Wo@zCUvoux40 zqF1sr$Mh`ekgmXo%HZBqm8$ZOse#M=WTze5rh2>Lhg$63?;$I<6fQp_sp@!k+Pb~Z z;^Ozz_*(bZ$A+CMdwX(q)uG;7IikwW@1iI7H1HfyX7F#{W?S*0;Ut3x%OPWfme2|D zn<_u2eQOF?8#VQ?vcZ#i2aF#+e(YTS?#{yk-Xo45A9I@}9pUhsaP-ftZ_mz3&$24j zYLA`ZA}uO<R3-W2qoW~fB7D9|eR}hNHS@{}!T!fDU!HVH|MH^#;+wUOFIFTvFSQSN zJmZv!q2qxl!3)}w2lY7(D))TMIh)5~yHqv$&&r^ce?o#jPU*NQ#w5PZZoz)$cY)i} zR98%x{71<2=+)~g$}eg~#KexpvE^D9KjUe4c5nze%&w@#=-wxDQq-dM_qSAjHXaw2 zd15WGfByWj*t57&&&gbYzy5^yMY9)We}6pg-;#gdj_+{8iwAc~US9h6>w>|h+qY*I zyF936Y;;<|uDHl3^^`&7&&U1tKYq6GD9Tn8Y~h`M^jgfrjIQf5&tyuleDQMo@Qmqk zd-TOH-p;jx6Z2Q(e5{#bT%8u(dNA#q>^GGzKlXdd?Q34TrXM>J9y__`!0L-z>NA7? zHHGuCFr;q#{{H^*Z*OlK2R4c`J)F+W&bQ(Cp_3;&tG>PQ{Q2qW<2?dT+I?miG)kFd z98i?~dS`z9znSM<&#_PXZpe17F@0&p$474pD}Q`YY!p$<>D?Ldth>(VRkDJjg`A?C z4LehdfZ#Qb=6m8N1Z`9}B~xTT-6#u|hxPJTKPNx(R=&*5)Ty)}+^FfMR_LlD`&CN* zdU=$Xh7}zsJ?i~v@9(ZjH)c&;Rk<tZ*q4`UQqni^iZ)JPfA7M^&D%9h9$9V;UX|Kq zahuyh&CNko`GbH-_O&<7R~PI$diHFuy!#}LycesR<rMZ-e^(2?KI4Maq>mF%H|kgL z^Yil^uYYo8rm@+6mP!qd%L|&=6h&GR9aI8tb$@<P>FB|B)!>A;ql8-Vvl;Sg9tp0C zw14#~%;EL_bY`Y;<WCv%meP>m$x)@1<vu)Hee^kA$TIGoEI8$2<HUYRW4HI+TemRw z$5(ks^W6;zskdKtYAJWrtxu_YKPnXbxug4Ozoe6HwrKsbQ*!d$erZh&n${a;ak6aU zaXgSDc)?oI(MNQ@P=J-XSn~nD{nlIl`v^?ceCCpRXYNw-PS$+}_q1%C&&6>rGG_d~ z((&k@u6;gr+;>__^&?Mol*Y&3oLj2ApxlUQ#{`4Yx3^5oa=VTye0X<v|CX;ebZ=Gv zlB<36%w-;z=El=H^{duC`}eEj-y_kvyKCm_#qch(dK$YT@~TtU^YeGYb7#L6HcFa( zJ+>@YmP4YYXTcZVjUiV)b=P(rXx#igbNc<*Q{Ok*R(r|3S(-U(=UzW2Wu=)dHXOBX zUr#*`W_kCBi+|Sbs#Mz}OShibFCfgq!g$*GfI<q(&HKmuWR+9qbRX@nKX8A#{KcQI z`(Gyh;4TWEwQQ$&$r7HIm$_2ZoD`xwz4q4p7L45~>Qq^rs(5}8Ur1QXTb~lsN%m_e zOnj28md?TStf|5A1jjDMXD22$$L*`h)cbAnx|F5W?UJGD&8z-_tYzos_I7C=S>j<g zai;k-*WGpB4a<F6#g`Oy_OA@;+*AML&4i;7mrvVNIXRr@nAb2Rk%duMAwj^Xsdm9J z`KLu0x0I)qu;|_6S^Ri<ljfU9lbh*heOTuf2Pr;}@p{kb8RB8p#VOyJawXdFVaaPp z3s;-&MR#r*2VI?1xiV#8%&%Ea#|#;noZNgEcKK*>yx?SPo+wzt+v249MfAv|{sXV; z&ELPcvo*kK@g1i8*1&&zEJczgHFtKZ>{F9J|2%NL2$PW8c2TAx{U4m7`ZKz1?iOh; zoN;H0Uarq%O~cb}2Vjj%qqH*_HyuvBVg)(gz=-LhT8YY)tBY>1{ND0IlR3X#`^gi- z*gZdI%#q!!?K}C>C)>P5?Vle$ZS|}733w6A#Z~E*FCrRtXS&WCht#eoiypBqId%Hc zeE}=81TUkeu=jhbzAk!ud;9C&o&}21E$_bMwn>HGe?8@64mZd@2O{>@)rQRuF_p{V zjynAITVjMr?C$R^>om4YJNoZ9yn6Da>`CAEN0(XOp1h`BO465K&UWd8<L5OzcGmx` zKOe32CdEJR=jPzRrPf(-Cm9Xza9{FqyQZcrC@8qbn7LMr<EG@L1v{!=Tu_{4UvKB% zZ1!n$+Sw#qsVy_w59PnSv~=>GmUDBhH|O=P^V{Sp=W@I;LiyIk_0ik)Ob?&f+0<}P z&_F{na!ZEbT-)ktVtO$vzPFxkcrREX@^O;q$*uLj{WU*5mHv0z&|R$PMB?#Z7JT0f z>zDf`|9sd{FEUAR)r*5ReH}rcY~wV(PyFKXb9aX~-=@=3!ZJ_Ki~V_fUeWE_a*CV3 zes3|8Y`mp6rNTV#PDVjS8?W@Sw+|Fs%D=t}y|&fyT+CFh&<GBG6$afWlatz10tFsb z|NFDE<b>a;*7^y_JzWisCplO?D@ZN%K5}x!?kyWn#_bI}B;?iV7my)xw_e2a`;`6i zc702l{46<6&Mu2I3M$*(GsSo3`L^oaJZVekHw)cP54^~d&t7C(AiwfYzskMcUi;kd z#gsjHwBk<DZOyChJI*`3yu5Vd#??Bvuev$R?m1x0xHZ-z<i)1o`sIFtU$XM<?K#<0 z^!NAo{;OA--tl<!tY5ExcW-rg<^4UXU;LZS@$jtLbzy~N;UkvwjgOc6&p-FvdCB^~ z#co%&<wo0myS6s^%USdL8#wO${QNxg)D+D><|)Poey^{sjpX4!t7Q1ESK9oM&i2sN zVOQ42+dI9GwldAokd&14{Q3EL{qy6er|F(P{`k(ZUg^&j8$2hgZDixyV|3zvbJd1z zjw{aJiz}J><Zen83y+uEhP~@zcLz<^i~S+qG$T{6;*UwxN2NUr44&G3Iq7}q|B73k z72hUJVcYm*)r6_y7uQdXQ-7%u+*WeuGs|~L<70p3d;a|YU&LvGTcD`#<Y)V<&+ZpJ zU(bEw-0kvHZ{O}W{4Q0$KlqC4;>GtboWB15$Q|t^kEebzf44Y(|H3shFKNfk<#2i! z(3c~r8UD@Z$$~qFPfzq-Sy6aqVMXPXYC}f{ai&`-EK3%+c8k?qHaii@BX2k7*O!;h zS67858!<fEn0Z-EP3}WUgT@19(BT4aJ~C+jc(eI@y#)*NdG>R!Z){ZFTk)}}>dT9R zOcm4hVw0A}3e5DGnY5>v<LZMyvAfF*e{ynhB{|wkgw#*hk3YAl*5C|pKHrIB9fHa~ zHkxE!GAL}^^kGZpWsakaCsYqsvh&NS$ji%rD@(}ro~F|&%FLp9@VUN7`@%QUa&qSu z%D1eYGk0#KPfz{a8II{ZkLqukWnIyjE#~6OV_s=j$ssYP=g+_2@7K6>nlGI3Q%T_O zFWpw{BP@yYG+H%WG{66tov)wt&N$V>Tajz#PxcmPMd|+<@^k+Gakna{|M@|yX#GV$ zPWGb$qIZ^u+P!gz5l}j{ctU4JYfVbviQd>}C0su}+#~<(zNz`WzU<Uv1x3lr>i-xs z_j#N;<<r`rCfT_C(CO3Nd&OC~#r`CCzTo3^y_<J;*T>?<#>P7bMOzr-x<$3aKAb&w zu5a=O!)vFeYM(rMv~~Z3yLa#2e9RENzs~mU&CTs%IuQqcN+c#GzBw3>`~BVBm{5*G zjl~n4msj~ZcsX!6)P*n~Y+O{o-mKH9{HA-q+)*LPmSzJv4&Fl#h1LC@Y^`6i=X=1- z&rhev7iDm)I&9Fg_JJ<bL$AI>dj-#1Y&-k6WG?kgF4{09`LX+f2Psd^&aPW?=Q#s| zz+6uk#}M-=s@`H%e}8R_Vb^wJ;C=TX?bv!3L&pOm92t`YD|jZzFMQqo{a`b@Pd%H1 zlEA#iw|93>w-w@uKF}SwH7k^F`-=ES#m~>B@*kONU2YMx_@^pAKbugBp}k#z_Y{qT zDvqWV0x~i(KMcRbM(wFE6x9yvnV$!maS9i>)_Aa*QD{@nO`~&;7fmLJRM=lJ;NcM9 z;Ic9Dm~-^jGQYW}mNzVDU|1Ww+pLV^K|SN7Z<qb;XFmFLVosAo6DZ;nzI}UpyEv?3 zciGz~MG=}zKLm86wrKp<5)o$cX>3rFy!dos!1b01haVhlp4qUXjo)cPW=ljsBlFY3 zty}^wEb)&NL<3aaE8IWF2((;W>|T6=DRI8nR4v2AM#cTioohJeH*9EzHgc<3>l1}l zb{u-l<jlu)f{BC8Ms3x~<4p-7nn5kLq8z*rZOv0o2&{|JiP>S$&;R@B^!Oj`jMvsk zeOMX1oKJYc5-A(qtkwz7EKm3{x+OI=>=Q8Hl4SHgzMk($%F#8#j7JWBRIK^`ulDtw z1#eEh5xk(H{2^-rx5**xRgDY^EsfVT>J;h~r!*CCAJRW@yx}-g>JHoLZ%^brPjEZd zf$p2Mc+aFL`!(i8-ou!hZD(hj_a8rC`D4!RijPY3n_2#WR#2F;aIX3O?(X9C`+jvf zTlV$!`8Yl3Y;0%lT(&{kz3+qN3YR0v3L*;f7Hq{y^V<34KSdeZIXQbc9JM@Q%oym@ z$5n5m@?jf;@YM;szn@f}|3T`3EYn2KHICB9t~`C)vR&HT(9t2DL!z}OVPnPTpTB<} z-{tysE2HFb8-WAuW_7v`r!SbHkif+fV$>wVAN$vG!uDs+o*nac{>PS=!4S48MDttR zj$OMx6*Dq2&Y9k*<-pI+e{QEkUCXTaCL58K%m7E``qEF@;p;X`XE?q{NBsFb>+-(c z8<n;!+Bq%0{_oViatcx>xNq((R&S5GvWKt2Kj7=4dHnp`eawQ4A03snoa{`qucd^R zY!zY?wfL~2K_}5(AvAJxT58f#DGuH}HVWb#+KTlomWD}94VDTCCPqyu>-SWCzI33G z`E26-O>DNx4fXNOKaQ3@Jj80-uXC7_$<z736xoQ$+YU{UXZ7d%6k}p*t10h$PK4zQ zKjY$wf>ZW93~yQ|Wcaz+HEc=@i-7m>_0Cg#z|+zPqE2$yn8eq7WUVd=n53=75uwcF zrmW1czUb*GnPAtv#u=rG4X;?gC_B7~^${>&WxD3&=F_;e{){Gvgf!#gNrG42D!X+p zvrf9!%21`Luu^ZO?)tUa-#1AYJ2;%^u#91iY*v_H#M0yCw!uZERB^RU^tK$$=#6ty zr*SkSG)++0=?^y5$<3#6*BMQY7wn9SCkv)@EmrZ#EnPD|_k_kWHwICb3{PHL9!NPb zZR4z@x|#LC0s<^S?F?SG{`+f624C^y$zL&1KYX3c^_|_3{7ieRzCN1dbT-K8_073& zTm%GISQs4-NN`B>_9PgunC<@8W*2`~Bs<fKr48}RUbtzhad0p-H7F=>EMWn8DI{*e z&wdr_Wlw@;KDPu--H8U4REU7u;f@X<YnvJZ>rZlsbp-H!kvcU^clM;Or!3Rj7)op} z)QZm40u6(Kl(95UQAj8;Vmj($@-cFIUaZ$O?dLNDnKJZwzg}LkLc=&J^wspHRr*`* zotvT={EB_DAPXbdcp=vVFH%^vn=Xi`><hJg>k_WXK0}r1!cm9W|9hp)XFdHDv}wiu z`v3oCr#@MDZI)$mnjF)mcQ>@7?qzGOTJ_l7-Tk<>&9oakH6s_#Q3m^Kt73wXQPVt; z376g0HO_ddv?1-R)U6GP%xmNJ-g+>*fkWy_*u;DhAt9$$F40Hp_6g`*Uhdd*_xSPl zJ~>+{Yn>K``+KX8_x|3(`@8+r>$|(lOZAi&))#->nRj-hgFs=ff<3>WImgk;sw$~0 zE6H$BU^j*+gRBhQy<^9Qd7T-0OMXes%3-K^`S2I7gu#IY*$h7$qBJL^vh&NGS*Yl- zE_`KBt7oSjZ&Qj!=sb(Uq)Bb9ud*vDEZXJH9(DMlXwN^h;)N5Veu<+PI1UcP2pZH& zN=9x-V08Rg`tQ%rXI;H(v;|ol1U@W{UF^o&oYeX$(d10`dFIX8*Y%`KvqbjKb0|`r zzOKsWf#M9KRIa;wDkt0hdZ7%O)LnRx?_b;9oyF~HJ~JHl?c4X|#YN?5?d|MK>i+)n zDapIL>*(==v)eb7TossgNiWcygZ)vO$1C3#^)WpYXPK(Az6oC+cX4C#@fF3-&(-uU z_@@O)N;{PjjEtBZKB%_c5nOr1;N5BFhkYB9k4u$bN;%WE)v<=Z?A;y9RRIf`V)s@} zjfsu5mq<O{C#$pGuK1bHzWL_)a(_P_mzO!!*f>SOJoCwki8i2HGAt^0c}`X<`S+)C zRc!rkv-$1M=i62vThu2gDCkpnZ(psqqoZTU0td!(k{7=mS`eO4P+(Bq#>B*QLzvNM zlA5p7JoAOc!JD5gInWVsd4XfIM((XGd#*n_J6rv!iKQDT+88G*CIlHN?NnCV{ch#F zAD5PTUs)Gx{mo6{5@>*T9#>0hiR7e(58pPFGuMNLD_2EqR0{vG#DD(0q9-Q~a&9Yq zeeLP<yLax)s8FbBG%6OD+sZBesrW_X<F{|m%CTM*sB^UN3V)vPWc`=7x2Jn{>UF=r zb7hU?%7|u{#N6-i@2lI}?_V-c?KmeWFD3}F_;|T(czkK8_vKSlwJrVh(=0g-{4V(M zAu(!K$;x_1ao$S}6HaYPJ#9CGT~VY$`$OyMXL5=<&H9NgF&|W8_Evqpacahi6CP^n z6*5ze6j(VfKRG{t{&Bab+(LGyrDYeMfhr;^>930MET4~DKjMFV=gP?9qR9b;wE|ww zoZF^oN`Fb!YUXBYa?lbqu#|LsBa@dJJC$qYo!N8p99ZfnEM`=?urgSk`JB_8EV+5? zEuXjK{{Q#4B};V5wN+v3<7|tHiXO>;j!qE%bxX#+ZqEE;*=tH)Uz<~~EC0TolU~=e zohQCFq`Pc(Vo%)%E`Hs&tPNV~1?n0!y;`Doxil~9+M2e8Nn92jk0&TPTlBkvrbAd5 zi`@=9Nnxpfpb%N`;=;lfeIdis4h)qdKc23w66M*N)e-^Pk$#SOW$0?Lg^H3kGAI7- zsQYWx9(!$FtoPH?)1SM9R%l+(X8EbAApN1lXRg)M>Fh@{o^<Bi-lqHQ&fDADizC=m zpRM3>iu1g<$TfL;@Y*O-t-wVr!f6#56TBBm?czB6*&ydey@B5Z*RB1xX0sfBVP$Tb z?Z=Z`(JxSTYMQRJ@CLiuUmK=#90`OwSmy-CK81ZhpGo_Li5S<joSS3me0Nvr;>*kZ zrBgR52z&Ur25EV)O)}nH_V!fq|39D4*Hr)fq}rb1Am!k2L7XKv<o~bN`dPQOXv%XJ zHKc?J#7oq#@|p1C*R!+I=M)dtrJbF1^ofh9fOtjvgII=(2Y;?wxQpG^ZJvGox#B~& znUp5n0L5)hgL0zS6Yia+D>$5-KrP!yU(20xqKjifW<qKRUY0XWJqfly0^EK6+|J)W zl{@7b$Ajr*Z9I||f*}yUI64S;=rW}_yVY+HtU35FTy2%Yku&0qExHd>8_XS0)VR7m z_~y1~k!9&-AKe4j%Iu1?1o(CoJ?+|>>-!B-E-NG`v7G7ZVPFn%S4g?MB2Zb_QR%W; z3&SLrg~G;_pHdjTz?ss~LEr*6Q<}Tmh3$;C?pOF`Roz_5Q@_ZZ#X%_j%nU_EslAF? z%-}quz%fHs@}O#C8HdW9tE<>|`aEl7IF%51wTVmE5L~r@YM6MA8LE;8pIxZn>Rooh z-|38vKtmt5NwVGFFTtN9CaiIS*m*!pz#!U)spMh`*I!`;2eq^)mIXhXzCB-5oOJ88 z!e;Jza31aDm|-e;b9cGEy4Sm#n~zIv+`htgVXu|d!bfV5a!^5m<ApHeW;Nv-8d15< zcYHp%f2q7V+5Fnw-Q_#GS1uH-n!H`hG74&Clz>6C5z_)iw>Gf{7vu$v?U?4*|FZ<m zd|cU->iyVX&zK8js{%)aEmOUiqg#QfjI8X*`}gM;JwKQGRs852vktWqftAe(b7v?h zfNMU51P&IRww?tS#ik?$e$q~}VcC>^{+!p&Sxg1TX2+%pftm#l0vlLCiXJ3+xV9I@ zPx|}o>*7VO-J5v!B23X>(dp`8XxW^8{?d-Z$0|7qE9%3K)IXkQS37Ip?|0U}e!t(( zYcrWgA8P4qjtCjagRGyweqH+X^mO5tuSa;A8@ju>Sy@;-DvlX^IJE-Y*x+E2;)qa@ zJoxMSnn>r@*VZO$RvZ3RYFMSZ<%xdyx;b_1(=LJ{3>-SL91%K_2jd*v_Uzqz^7wK0 zdcAe?1Tro!<E{O8RJ=tkJqc<eXjSNj3?rrnvz!|ao72uNYG&t`V%A?hFZsiRgHw#t z{iN(_c7(nUHdBYXHI5^~Mv`&P@qYPqN81t(-G~hfDiw1SSO5I?`~AwIr>8(Q0C(g@ z9Z-7<)QE}_*uZ7f)LkzqDe1Y?Yw9A);%5Py)6TAW`b#2Jey(--GEhVHNT+bG(R?2z zh#d+X8A=JJDK2-XOrI_;suwdO?c5yAa4SxZE2~1g_x=4A{p!lfV4=Qg&T!xURZb{P zVG&SlPr0=vGo~<ju^aE-#c8a{ZLO_=FE1_4yt=ABG-Pv{ul9|JIga4)aXb(txWUV) zsr%!Hht5u^9Qz9D|9%bk-fPDe`Tfbs$(hg3&5h}N^5jX%1k02FSYA+A$q}I|8OS)p zJYVkYJlom3JNaZR3|8)lcv|S*FZZ;-XLZ=xj7v*A!*;sgoB~Z!PZblsrm#$}e{ywo z_?O51_M3j`Ofsqd@*=S^TuW5@*uU!U?|i50$EWcuFjt1>ff?LPW{z$l8x9{nJadXo z#fOH&=CQj<Hogi<n82^{$>}`%JjFNR@Y2J;oF%8LXT<~M`A@#zuYVrB(5dxC@TDc5 z!lx#wcJGXxFS0iMdi}asAE&(g`+V*Hd|)npf6vzS@d;1lG~j8pi1W?9+TRfc{3qwA zdQV%jtMv7!wSIH0jva{4FaGiK=f!VtZ*zXnzrQb4=+cTn<-NW7utJ1`sm<xYvy&Za z8`S;hE!kWB{nOj~_5YW;_sa!#3aLK2bLZ||-uhDANv7{6tNYKWdB7(6Sjn|(Lpl2_ zqodv8{?{UkHb4VQA;F8KrAMV<-~RpfnfKSl?iTa*TNS$6t*or<$(uJa)u*TF&W>CE ze0TZ#M{5)n6dL~bcXd7br=XzFD{Y?UD+w>O4hRVf7)?5}x=o<ND_{Z#x9yzz1q&A3 zkUqg&Rlb6Swf^wwNp`hWrzR*e-@mfoIPHwb`uP276_)etYEPM;-7hO6lkxf4*+Ls* zIXSsM^&69pPTE;y83Rc-4gv=LEG<1Re(rKmW>;}l)i^)THd&v|gWW5qF!(>)#-IED z|F++>ef#n^H#dLw{haUa?p{<}d|CA~SE>ap9Ua&s@S}c`(DhrIKiFa!7#J8lUHx3v IIVCg!0FB%~4gdfE diff --git a/proj/AudioProc.cache/sim/ssm.db b/proj/AudioProc.cache/sim/ssm.db new file mode 100644 index 0000000..264726a --- /dev/null +++ b/proj/AudioProc.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Wed Feb 26 10:41:15 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/proj/AudioProc.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf new file mode 100644 index 0000000..40a65cd --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:617564696f50726f63:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323573:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323736322e3333324d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313036302e3930364d42:00:00 +eof:4244571573 diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..60f2ae5 --- /dev/null +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Wed Feb 26 11:36:43 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="66bbb89ed9f743bdb855d08ed6591932" type="ProjectID"/> +<property name="ProjectIteration" value="1" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf new file mode 100644 index 0000000..51d5206 --- /dev/null +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr new file mode 100644 index 0000000..aa18adc --- /dev/null +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -0,0 +1,9 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"> + <HWSession Dir="hw_1" File="hw.xml"/> +</labtools> diff --git a/proj/AudioProc.hw/hw_1/hw.xml b/proj/AudioProc.hw/hw_1/hw.xml new file mode 100644 index 0000000..2cc8b5c --- /dev/null +++ b/proj/AudioProc.hw/hw_1/hw.xml @@ -0,0 +1,18 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<hwsession version="1" minor="2"> + <device name="xc7a200t_0" gui_info=""/> + <ObjectList object_type="hw_device" gui_info=""> + <Object name="xc7a200t_0" gui_info=""> + <Properties Property="FULL_PROBES.FILE" value=""/> + <Properties Property="PROBES.FILE" value=""/> + <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/audioProc.bit"/> + <Properties Property="SLR.COUNT" value="1"/> + </Object> + </ObjectList> + <probeset name="hw project" active="false"/> +</hwsession> diff --git a/proj/AudioProc.ip_user_files/README.txt b/proj/AudioProc.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/proj/AudioProc.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100755 index 0000000..c6b126b --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..72462a3 --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..93da8b6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l23debac" Host="" Pid="34927"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..93da8b6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l23debac" Host="" Pid="34927"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..93da8b6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l23debac" Host="" Pid="34927"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.end.rst b/proj/AudioProc.runs/impl_1/.place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.route_design.begin.rst b/proj/AudioProc.runs/impl_1/.route_design.begin.rst new file mode 100644 index 0000000..93da8b6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.route_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l23debac" Host="" Pid="34927"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.route_design.end.rst b/proj/AudioProc.runs/impl_1/.route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..e793b70 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="l23debac" Host="fl-tp-br-608" Pid="34853" HostCore="12" HostMemory="16081428"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.end.rst b/proj/AudioProc.runs/impl_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst new file mode 100644 index 0000000..93da8b6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l23debac" Host="" Pid="34927"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/impl_1/audioProc.bin b/proj/AudioProc.runs/impl_1/audioProc.bin new file mode 100644 index 0000000000000000000000000000000000000000..1230d2727f343837844862957e4317dcb2357204 GIT binary patch literal 9730652 zcmexQ05C8x>=smFaDgdXH8V6#fq{X+fJuRo0ZcPMXodzb4VHtj*+Fa&HegBs%NZ~# zFfuXNKQ&-H0OdC@GAAcYHh`$-1gm8L$+0jRFf3qXU|?l50P`6b445JIfYji_1`JSl zLCj<XsWo75U<go^2>`iw6c4!&V5QK-%sBMJ#9=fJWyA^#FcGVgfq?;+pJ3utauW$I z8kS0VPYt}cSO(q+qb?W?0lXo=e-5wokdSnMx{{P)7^;ONiq_`C9K(?bW6_?bwVz;d zLwldl)&`zOv^Ad|rVBtV>44I-qBv+}Fq*k+{b&L-<l^!ZE^!(<Wz^`=5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S^9B0`ixJyoXViQG!-=)6z$@HXr1630@FMKTHol(a$%dHjReB zXb6mkz-S1JhQMeDjE2By2#kinXb6mk0Jact0Bt`3VQi9A5TmvE2q%i)K(J}cqMe@{ zS-{(07cej=G||uxv^F2^bo#{!1I_#d+W*A_BH+FnWsHWvXb6mkz-S1JhQMeDjE2By z2#kgR;Si8JOIXc7s~DXJ8|WAqwV&_I;12`XIT3^3rK2t!4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FO0943@RxqP-CJP=mzfCtTvx zuyfRm;T-~lWvw`C5B0(sm!EKnQ_tp6lSV^eGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2C#gaE(S zz}QQPtt^yi9@RS<0;3@?8UmvsFd70QBn0HWhn`0{hMtp0T|62BqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zd<e)5!uwA~?>8Co$#OIRMnhmU1V%$(Gz6#+0(^t;{*%%BO{fsDqehH|z-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CK^6kC191Pz==~;xED?-4XEX#xLtr!nMnhm&h5+vX+<!89zsaynN~4|{4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd70wA_RD7a6jYd{fk2) z>PH<p8UmvsFd71*Au!BCK!yhQGmhTBILs5xsP{%gU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQJUG0ckSsXB@qMaR^8FsMAM7U^E0qLtr!nMob8B zlW{-e=>3Z$CV7l{bTkA;Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1%76M#Y?mrp5--K$xJ8IBq2#kinXb6mk zz-R~zSO`dAx&LJJev<)<j!}z8Ltr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx6#SfdwrT zIJM9OM!BOQFd71*Aut*OqaiRF0z)zcIM7@zsf{Ku${h`X(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3^7l@LI`2Z)fN5~KG75elMF`Oy#<4S~@R7!85Z5Ev98fPN1UAxCkH-V;P9 zh(_f{Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz11P z1W3Jqk&si_xd^Ekl^+d((GVC7fzc2c4S~@RphpOhdjBFJH;Ho-QZXt&8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFdRdG+V`6f3L~-6`%ef3(Wv}r2#kinXb6mkz-S0iB?PE_zX>5nv5nq; zLMVtv<wrwcGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2CF3;}xIZ$c=LL`UyGArwTT@}nUz8UmvsFd71*AwX0J z(EEN9LXKh`z5j$z5RJ-@hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Wo`xgYEuBJWd5mF~0&+qr_+kjE2By2#kinXb6mk0D%w~Z1*n`a4v)J zYeFhU<wrwcGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz<`DT2Ll8S zs3S%#9}R)g5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Auw1&z+tdDj6`<{>yfBo5Gx;zlR+FgqfQ$Qfzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FPBfkh=Dp*6O9# zK^-<qjfTKz2#kinXb22w2q+Aw?UY;2wU~i{)H;iDTL+g34J0~;*6M{47#K*kgG4Wk zDjyAj(GVC7fzc2c4S~@R7!3icgn$55Oc^*sgmVVY?opdZLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz1tJBrh?rjE2K#2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC>A;5^{+abj7Qe<FoWMN=n8A5Ibhc<?L z(RXYNSw7=Ueg+061_lNurXlMGa1@OaqaiRF0;3@?8UmvsK(i3wqM6AwHCO;t!PC@+ zQNu?=U^E0qLtr!nMnhm^hQL5qv8<gVGu%ggJ+wlAV}PA2+C9JypoR5A{7@g^G+G48 zsF|Z7Fd71*Aut*OqaiRF0;3^7gAhQUGNpkPv^0`AotCDLnmrl<qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0>dr@C_Sr$QvDPMsMrIFjTkjx zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nhD->6&MINW<64kB^hRB*EAT<` ztdJXbvC88ynkrJV9aJ%f2t&Z$0~16jCP@h>43RZ}_E|B32xLJdHdqQwC^R7n!<ir{ zh<@zyaD_BtNZqE9!B~x@jUPc_2tG6htDU2QqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU z1gISX1Ag!j0S}3E5l}EHJsJX|Aut*OqaiRF0;3^-H3SCw{za^gLK0*dj`uSn`EQgt z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*Oqai@u5E$(D zFB0;ta26pIqw=F6Fd71*AutL?Lttcvz+k_Bkx;BKXA@E}DnA+mqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?; zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=CfeQf#sMQV)PzIEOi$nR?De>>v#fPLQGY(hd5=U2#OC2t8bp3EX z6NH7K4<gRU0-+&_FiG~$NMaafK;>ZKFd8aMkRnw*x`DX#!^B}Ux;`i$mMWoKg4AG9 zFNNd~Bql-kgA@!l^&mHm;?WQo4S~@R7!85Z5TJGlpfyAZ8b4UnBiHeR#Z9olBh@`H zeWUbf2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc4a z6$0{HxMT*OILqL3(x{6@Ltr!nMnhmU1V%$((1rj%_n>tehQl~8L`DThLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgRX(7Nji0)?`y?>Fk zxEobH8UmvsFd71*Aut*O_(DK#5Z%u>djBH6m>QKE4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GZ{p;HBgJjHCB2QX|Gj%@_@V(GVC7fzc2c z4FTdpK$ed8GmhTBNL-wZsu~S}(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C;TQrkRJ{LW^nR1!m_kPVG#Ub< zAut*OqaiR<Lx6{h_n(a3Z!%O<!Kk}OLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk0PRD7n}qvMM(;PFeSD1C zFd71*Aut*OqaiRF0@MltX%g-~8NJ_xTA@B_&S(gXhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc4a6#@&O5-=LdWq|QvG)#Py9u0xf5Fj-Kq>%1s9KC;$)X*DM zKN<p~Aut*OqaiRF0)saMxRCB=9KC;W@W#`q%SJ<BGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kObXu$4Aj_26LM@8un0s`3W z;CO;vd{lHa1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J_z+-5ga9XkIm#Lhfzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2B)4S@#i z9^-h9U3^rO4j~|b-42c?*u_UhM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjD`Tg5MV~A;6yM-S)(B^8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMniyb2sB_<!tort_^2oy zLO=k!9UM=vi;s$qhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fq@Ny1yJi@G?dE#<HKl}_$WOZ0;3@?8Umvs zFd70h2mxk<nVbmbC~GtXMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n1}p@a zaM&#I5{Ja7@Ms8(hQMeD41y3~#Nh^x7dRwFg-1hRGz3ONU^E0qLtr!nMnhmU1V+JV z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R zAQ%GjrwA$;^a@x8y$i8BkO{jmgTzZ55~ISSAus|%fDwnEIbPt97!@83fzc3vhXDU+ zICID{IEJjtM;$&I0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONfZid%Hw5ly9IE#((mO6k?Hdh&(GVC7fzc2c4FTj3kQ)N`GmhTBh#X_1?9mVy z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71sgaGd#xSw&P z-oMC%BjO}p;*b~>9u0vJ7y^tq{LJwJhs3DxXb6mk06YX_2f_V}qxUbuqhpjY8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtwx{U;)%-7!Bnz!1yp4CO%4!hQMeDkQxFqbh!Uyq~32rYFvz}9}R)g5Eu=C(GVC7 z0cwT-4;}758NJ_xnlUzN)@TTfhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zO~6fs`%gyiHz6<XnQ&;6c!@(| zRCqK5Mqmgq;_x%a3mg)o!lNND8UpYTkfy@@C!_b9z=Ly?F&YA+Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsKw=0;og}OQ*WHeUb&jeS z4S~@R7!3j1gaFqG!sg<-Z<DajQ5B;hFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz11~2;jP7QR3xbb@8aXMni!9A%N>XKaLml zcgv`aqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU?_xu)Jejw#C3NHVV$EYMnhnD zgaFqG!v4T@cM4&hqbf#2U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2DA4*^_vm`J=F z{B9q0;b;iZD+F-eVZ!l(UM?85XEX#xLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2v8#g7C;Sw(NHb}j1Qw>;-mCv2#kinXb24b5Rf`a*blhw zb|kEGRK;ir437}tIziYUxbAi&taDVwXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n>f15Mp3pP+|a`Z4Sa%eWZw0 zbPx(MGC<&fIgJSlsp0?u1_lNNB$G5c5F7y{2?-=|gbH$54O|dNu6}fV7kD8MU3_@( zL2(4}31g42KZFG$k)j909mRAE0S<V6VC-S`Ls*OuM~IEGMnhmU1V%$(Gz3ON067G3 zwS^^KBC8r@kA}d=4gp+u{cyY(+2Jzk`_T{>4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R80;Y+b&{|Paoz1mSm&sU(GVCO zA;5Klus?9!?MPVXsEScA8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiT(LjczuCK4|P zzuQM$I2r=<3ISYqm~gzHmkUPi84ZEa5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0z)eV zq)rlcFRr^&2<seGF&YBHBLuik5cUVIyHg13991zI0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtw~-fCF|HOFY9aJ}NpI0wXd6M)S#tjP_AakA}c#2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4FT$fzyhdIFdE8bfbn58Onj6c4S~@R7!84;69UXQoGQSGLt<2TGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E2i90F3uh_DdHopnU$991$J0>dH%M)S$Ah{jP*jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S``50>s>Zg6r;- zVHFUgo*4~+(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(Gb8H0!%n$Bwpf> z7!@83fe{!2j5z$v@dAg$sPJeAjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83z5du;t2|EMV-HwEHj;a_9f#DGXTqg+o z1J~V-gmsRp7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*AwX;h;JRZ`;w7;rjH(+Af#Dwlxb9fwcrpC_KI+5K5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAuyCfK<Xr6*W<d|k+9BD6{8_AJVJo$1Yv*Ry4#Vk&QTSkAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%ERw1we&0rWGMx#kXxdNjXm_b8g zlo}0z(GVDnA%Lrhl6W~7T|3m>#6pn=#CK7oeN;2n5FqaML|m%)1F#x8DmWSfqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhnbgn-mZ!VbZ8w<BSlqbf#2V0eT8*9pS@z;(AHVV$EYMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2rw`ZcY7i( zRr~>?VK^EBBOwHcyFC$?s?mHh64J=1A4fxAGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n^*AkUB}&^|<bKB&>5(#b^i&j}YKG zLD(O-?sg=sb5zA>2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mk0I?x}>yAZ<m&BSd3PwX<NQb}#VqA~wjzx~w#ONMX zGa3S;Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiR*As}^<u+6ycb|kEGR0Z}Bz#pO#H?iv)6{Tee;JTR+VkeUTgvLkW zHvyl{QMu6&7!85Z5Eu=C(GVC7fzc2cE+N1{u}8#bQ*6Yj0Vp9b8YiP*Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^D~<a|p0d;5hbG6lfmRIvN6_Aut*OWP||a zD^nR5#Iwn;cvQ=12n@jxpd`PDuO5OP9(D3)2#^p0l;jVXHKX+jEF?zh(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2cm=M5q<A%h`fpO0WvDE?9pRipiFd9`B z#uMKLV~x@@2m!R4OklT`KxhbwX)AvWrr4+mjYELI0#=U2G`4>j8V@RYKp5R?*u>D) z5W{EBB1YAy8WKYQQGKJ8UPPG_N|dTmMFc_s8VL<hWiT4bC5;jvZFi9tV3?}Wi!28Q zkO*@AKx=(r7=b3wxCleVr~pYJ0IIM-7^aDX0mMVbFc}05l11>)S^Np;BBOjlApi<d z5XPbomf~SF7A1s*1RfApGpd4u5KzFP7gV-k5rzrzyTe$cG?^g)4q>GJAI0?vTNjxw z8Px_20Z=Ih!VKVg1VnN$Fff5cU>K?pi2}(WanYFkv(N-axuYR48UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz6#{0-zPD$ZNTYHC>5Vg`?_74gpYnU|X9?$RI^R z3P$BeLtxN{04U{yFg}Mu_5>l5$g1%#O=S=`f~<IyO*jO=OSTzUz#D%+szH2E#lgV9 zzzAY9Ft9*r2JpgekQ_)Hgc;zvKsq7Vfq{h)A`UVWq)MKTfx!V(?lCwpfOJFDjglmW zfB+~w859@<8W<QLHMAgu031RL1BWu031JDcFhF7rs*V8`0m5!zRUiVQ62uzC#D@S{ zDYk%ti9rDr)C~*^7#J8Jr5Ew0fmJd}f~ir0Iw8OWiVlcTpn|J`fl-J7Gp&O}gdnQG zvS<Yqdk$1?lp-?(m>3{C7-8BW6*x!?!~$WM3^p1ek6;Nbg0LYZHeI7)XdwVf<sghE z4B-ZVDMkkd2gU$s73~1pC&a+OfGFiaEa>KKuyPmyE$IZSVFIHxX(50}#T*PBki4P< z4tE6xP<SgbC~z<_C~zRh1<V2w1_lNJ1|`^jE(VZ3kV>e$<Q#}Dgbh%MQHr<_K+iFZ zjNmE)rkN4c`hsz=(IEY3^$F+benxB|g+~mMdLbl885f8El|~E<3>-`i3>-|5x&)Ng zK`mowfdt>}2vUxW8^AIk^C0>d7^KZ%4Ymdam~LbpqwLWT7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!84u5CUA_(Oec`E-w&+MVQMCL^Di=h_ii! zh>Hlr!-5Sg&j6PPb6IAi@);&GFa)N-#Nc{I8AOGEI%F*Pl)8pO14Bc@DRl+V6bMt7 zx&lK70|WC32%q5;!Z3&ih(3@!Xtti|780L<fq|)ufq~r#CWg>E%Aza;6hMIwn%5%F z7y&t1@-&X>!V>~6c;pC5F)=Wg5L5)7$|S66R0TK$CP0^Ifolt}2&sev=Uh^?jH)LX z0-&;spdy?K8d!eel!HrvRw}_cqYR7?Xy9Ow0<VsM$*?dq2nR4QFoGs@VPfc$xJ;nc zEIbfdP#l6V0~=Ts4^n-CYy?E{D2Y1+z^hRmz{^V=TtFcTEv+2DD^--5SQwbVtAiau zMu1jAFfc;a1v@YVFfclRR5S80I54mXf+au+pV0%nJe5-)qy?k^M2}(;Lx2&w?op8e zT<bCgfPxleMkpf#10yIV0vHq+92po`Kr2-RJV8<*Jb?kU;z)o&0n|KWabOT&fZQ7Z zidzPbB9M|%OnL|ivM_KkuqtrCN-aT9bT}|Es4#S`(qIr^P+^RiAjH6s2oi>xF2K;h zARxg2Y6U2P@{j_905nb+m{=H?Kv4+7Vmp`^1sGHq6p^$-O&Fz!3IR|V1Hzz^-;<?- zg~Nb>fx*D#BSQ-ZQ^SM<44{>FpxnU8z}UbDF4w@uf;KgPA_SZ>KqBC(1ia~C^lWrc zc#w=67(kE-9FCv>?_gkR5l|9Xz`(%a+_GvBCkq2hbCDtgiwXw=g8(N(2SWo3C|j{K zG&C?UG%ztZFfpxQ;D9W>Z&<{_(ZIyO0Wu7P8Ca(`Ff=eRF))ET`XoDTR0C27fQlUk zXay6%z`_#3D!>Yo28)9ji~@|HHK`z`0%%no3uw0rh}Xoxz_6$T!~$d1d0+}mfU^^r zHA)Z&0Z=YM+FruJz`!KMz`(%8z`)V~$}9??%)rFd08+=q!NjEiTJ6ZCz|{cC4Gjtm z2S95kIT$!W)-*JTa4cW|)ivOVVqg$|4-y{5WQ0Hq0~13FXxNaUp<xvR18ai<gTjI? z4nBcZix?PIDM>8SP~kA?P=M4S91g4u3=J#}3<@j_3{4D7jqNH73``0NO$-f6N(@Y( zI-Y@nsR3NOa2y7eT}|MY92xE!!CE94I9M2%1=ySf99SG!83Y;_nFJ2HFfatLxQ2?d zga$S;1~>>XI0SYnFoG(PC7{>?WgAdY=D;8X3Vj6@0R~0TDq(Ohhf#om(P07u0|U1U zBclL=2!kLaxOy4EVN9;q6BHa68bKXIMx|C31_l-;js^h-K~OI}ND$PpVh|AkE%WAJ zPyyKhY7l^E2F3;l7N!OP4p>Frz@e~(iGc+a3!GJ86{7^XA;8FRfQ3PWp<!VI4~tS0 z2V*lRABZwEGESPr(4o-4z{qfrfsw-j+^6JVV1kaOfNWcmz`)SdgwzLdXy6dwTFAg4 z|C@n<5i}<QiXf26QA}hAFo8NH3=9lT911K944e#n2iO!CIyhJuvJ@CVBPU!u42%v6 zETH{A3=9l13=9ksObi;}N&>8tiGhKIg+T&5uJ6FW#N^Nb>P8B%Ffa%dFfcfPG870C z>6%exun=%yU~*tkVsKzkWZ+O#aA0UkU|?VoU|?XG2x?MsaDe(4tPC6ukiLEbD9{<0 zz+38^92glA9AJ%Muz3j#3=ZI7OEK`UmjgKZz%ru*i6H<^%nk}1pg}7Rh6e(U3=At6 z7&HXH!--DdmKnHr4&A;5>h2@k0BV<k`QSnfoP!wU!ECVTC_zdHG%!NC*&GZDm>3#3 zLBok20*Vq23J#2p3=AP04B%ak4NMH6PzR69LlQo$lHd@496SLthlvF=@B)er#(tO@ zQrt7D7IcyaBotPtE@)sBXl&TP#ZVwBm=Tb~$ml2_tRT?9HAR5Kp_7Hdm4O4CIRsdk zIpF)}8yL6(N|-ps8n_r6L0v_L2F8>r3>qxVjSLK8zMz<am_AC95(15&mJz6Zbb*1X z;{X?nkBD@WlLlj-WW|IXJPM3Vj8~i*8YhEpYGh?#5P@z2X5j#3u7(DXz9kJD4Gc=4 zz6&^8a7|!fY6x1x!N4Fkv!S7}0fb3$&!}1khQ>w)aM-^1`k-m$rPWLR#Y=y_zW3+7 z>c10z#%wN?pL*}w)RmVz+c-*DyEw!YIJgX!aC5NnaDoOQ8yFfJxW9bi>b$nB8)goO zzangXCj*0gMB`|jkd?%sVcEF)>z1z0EB*a{=k5O&UzvNqx^m*rxy37my6@ea)p@y7 zpX;b7Cr5}52a|{iH-{Lgr~(ZRH#T;C`O>vgY}G1=ISh^6U#2vz2wT6BfkA#rV?$$O zLqlUDSq>Z3#K8QSfnS<|pMlRd^zi==XV3op&#>zMhyR~`{$x=8|0VSNztu{=|9@a- zVGRY({g%Umj5&b$vnd0=F`WOI;S9qE=Fbca?4TJKnD8h~MhLL71_w)r^8e>;1;xpo zJ3s%gVt~ZSf93!Bq33^{6#NB>6Q*<A^$ZMb@N=M;<C#C3en5^BcX$5(pg55Sbuh_r z$*2}s2z>n-94!6cIF$W#sLcn4H*fy`WMKHf@a6yf`Tvjn*AM0S9_#Y=0|OHu*D6r+ zi|HLq-{<)Fc+>y?Lt*^*cz1VG)A)D>261bc*eFe22rz&C@LzhBaVWPGC{E6u`~P#* zDoC6#9Qpqxl;^8u$5&9Cu$c3{XJBAcgW2*qARxe$p8*~x0RhJUO-%y=7#R4W<1sLm zqcpK003N7fU|>mX5$WJ^;W)%_fWbh4g@MI|L1c#l3xkjggMg6&3zHb8c><uWzgP-` zNC!k6SOrT816W*$fkD35g+YKxfrSB!Ua;Cx0!Ii`Ff<5hurRm?BrtgB@GvoDFmxE| zfcOlJ6)X%4Oce|rmO3mf^B5S|P)x{RXpquiVQ>>rVDQjk0jYzUqrkwS0UGmX5Ia!8 z&>*G5!T_&(Pz)I55DtO=3}9$%%>O^YKGgU>7sLPmBLCz6zy1$dvxVD)|G1?_Whe>( zaEvhg=jRVKjt9lb0*3$pHU5W^A1B~4j3OtEc+Jo_VffF_5MaR1@Shc&PgecE{vWl_ z!VD-J`D8RsFoTLj5onx*hK3r)8}R@C9{|oLzsQdh673&Vju8Toy@w1_K{N0mNeG|q zBbO71$1s(F%LB{+uY&-wn82gN(0z?8n<461gkdxT17|5j1q)~$1xUvzCNTscdk>j< zK&ya3TGbUmL%*Q?il86`iKAEb5I#g7^C@)&@IiMV8l?Rc0|WndNGArQ7bG-_sSpC7 z$RNTPP;TG=M+mB7`8ZVmD31yu0PRkaYZ7Rk1i5-g_2CEs@T@uxIjq8{8su(ZRWvF{ zNeF<(PKYeOu&vRS)T6}m5v>;#^6&sqU;wXk<Y3@Z09E!(9gx0>1_J{}0~Z4aXmvMu zhL?eX3ra&4vnYVq7e&C$0o5^ryW!kX25}*PTF`*>uz;6rc`!JzfG;d@WMK(l>2L&x zHfXg2BLge!Y83EdRR$K=mIzS$n2`}QIS*OG;s931cL=%y16w8n**A*uhX6RYD1a6Q zF)#=uFbXoXE@5y~U|OKX(bV7}n9AV5>8QfwpunKS>CnQ!q|hSZ#K79brO+w>%1#Un z0uCVB(E+q`&Iz;zl7WFi@(F$`M`a0xfB=&KlL7-LDEEMKi3dYqC?gAlfCCq30VD(L z+6QQw2bE1MObm{oPKg93NKgQjS(q3Y1;MRi@bzY!AX|q(D~}1;J}QqT1VDuoSXhyf z0d%K{0BB`h0|RJ_HMqtAEmZ|Y1h^yvD+Cds$N=w+YXPx17!+7QG)RVlfq}CI${3|c z1E8%WARP@19N;DvINU)35DbngCh*=xxD2?M14k5Si<cq;#{`BZ2GHWw1_p*EP|eSz z1zMiOAUOfNMH^z!C`n2PfP)=Pg98IAXjQ5r3!{JoD<o^Muz>Oam|y@!2>7f6Rt5%; zG$?blfVbR%&EbHw!uS%wyitPm5CElY22e_809|te;(?aYH*|oq4J74rFoCw6a4<1& zF)%4Xm4b>k1|}v@o@oLNjDeQmfmR+f3UGk7nu3JHK_-yyo>3jJ5MX5B0G*{Kz`@7} zJqx0#sjGu&ftDZxLxaMsh6afy4yG0bP(Ou(fk~l5fq{jCnImBaNCZ@5u?R6SG_)}= zwSeNU3mnDlnF^rTg$*>rY#OC;hX5!?fR|lEln5{iFbXuNIw(wFn6RQnNq~tVFqA>j zhn0h=gULmOiIvGgkppxd4il(l#=ykT=)eTpcHN}FATX7I!3<)EVhfWZxTrG()jsZ{ z?Jh{LkV}HA6;MNqfq|_-fPq0pfQg04gNd<`lgYtB&4a0>gF!%`gM|frA`T-X6AP$T z2bF0e3TzAl4B*Bu0|)5dOi;}aD!T;Q$aTx8K6nU#at<Q{3uwcrN<)K!N@E8rXbDO~ z3kwG$OMnumLIS8~$kJe>kO7J{P?f^Sz{bGTz{JGDU?AAR06CBX6dzzS*MrGXf`SkL z7fK8a6J|27a4@hmdN2rr7W{5-Xi#8q;80>{;yB>Iq`;`az`&yc+WN@Iq5;lZ0vezO z83O|&BS!-V=tL<{y$^~n4o*<t9<+Cx0!NK(t>7qe0Pk58YGh+zV_2ZlARxiPsG%XQ z$iUbDE}jeyFbF8BGBh|ebSQwf{xUIexHTRCwT)f6928tM1Q;?wap1rJnlJ{B2{3@0 zW+OXfDfB%!<%7yF$RQm9kb4>%Ko<bBG=RDxpjxB>RDm}fU|?uy03ATVz`y{R{Q`}B zIe<-oxI!92jgsVs05~ET85p1!_<;`UU|?ioxB$9;juCni1PcShMbJqrj0_4I3<^x3 zGov7TOF+F74u*tAM#yn15I4y4LTVPU$q=DYlEe@IZ`WerGhyIj3}Rt8D<r^^z^b6Y z(8QI%%FN6lk}APc&~TxNr=dZ_rLlpDL8WO569Yq2mxDnAg9}H)1__}njjatq&=ZXq z7#QS_kH!g!QGli#v>}n90d%H{0|OU}<|-kUWs5kqSQ^E60y>>|rZ9r58vzEEBmpMS z86PeTP7F*8j4UiHYz|CZLM$3C%p44C8g4BO3=E*XSq%&fY^5M8Ks$&)^e84h1VFn% z85$cK`br%7w8L~)-8cKTt~$T&=l}kDf3Nq?pL*r}JePur7uxeCb=jpZd|5Z)UDLGY z#@6<}u#zsWYdkBy%${{+`r2#P8W|X&aUy9C8j6IKd!)N)R7V43dtzgsNf}pnnC`0o z)3)t@X|J+WY+lrBcm3<mC8?5IzWlgy?cbDX^I~o`xUr=5O=DtYY3on>)W#LYv+}F7 z)Su&Pk6nkxNh1RT-)~Ua#RxvCa5Q`=2?2giCMNz5EWhO*MrDTD*c|>pS5A(Bf#E;@ z2Y!B=!yowVv(B7kHDick_-||sT8aPe|9^&1eg^jcpBd2B4f9>4#2ur05h1|I&%wd} zf#dr_xy+@Zhcl=B-zoR*Kb|=G^XEV7hgEzG|Nmgb$$f;Wqby27pwXL!#d{0W-}Z`W zQK2@6ZFc^YRs7HJ|3CkS4<Eq!WU8?l>q+BGhW|D;pnP)ozdY-QRrfpofYyMcSj=XN z!X4$19Rj)%jEqrB8UIzZFP#R;Cv)e^K4QQVC+E-qU<l<G-2WLPPQ*Y%Gi1ACR3oS> z4%vGMUeTby!XUsP)WHIx4=^yOu&}TQfX=|t5MdBdP-I|$(F!7<^Sqou!(Xs{kf5-H z?qT!;?Pp|Q0MGA(ghw%nApqKY$ie{LdkF1#3!IPukERGT$gnUX?R5`mU|<Fr4m-80 zO$wwegQ3F!v=1_ap+N|;hf(5$0AxN3JSYj$F^b6!0nnf#!v_WihQB|VnD~R4zuLP5 z1hC&?h~fGCIr9AZlSLv=4<G)&_5Varna03y2Hf6aX8z17Yb<jIVJp8Wf<4NjFa$s& zzR);fWB4EZ`Kx_-d^|%3Lk!3F@74!wKyh;3;Q!YDS0QoI@e^d<=g*(N%Kn!}YHP`x zfW${JRYCw<H~;^@FZlB}8v{cyGb@h^^JfM@1}nyY|IYsT^J~3`)c^ng*Z$uHi4#F^ zoUndnW|d_SxPWj0zZHT#%Azm?z_rEy4|4B*{$^qM5d4{yr=0mS-&O`I=0AT{e&z?o z$p`-bYyZ!H#ECJu>|*`O{8jdh@MVPE{Pqa;D2o~)0NIxax}Om=cgXgU2{JP>5l%aS zXG$*Owkr~TRuN=f1p^+nxJ?<AL4*KwpCagfMh4J5ji5<i1_lQ3<S&E<E#HC+7bA2b zvsm}Tc#R;X4EWT-RF2ZL3IT9qT7W^DR_2dLbHS4(gnbUKcyK6VV&FT6Luyob073vf z7T_SDH2_u*Un^nxgpwY3182eT4WI$`88}`PKs$YuU@ifz-EIKgb^;RsO<q9`PKS#y zFmU7}aYmV>hX5pJfJqhz30myH!64wk=<2}05CFOe5VXYsyo?Uq3WjV}WP#ol2o?ul zMF+QAmKn5l3&aI&C<4)7gF%c@Ok4<nt}z2Oa#;l!l^O-WtGg3G=bUpefbK98U|?io za%=#_0EdEzfQQ0F2F3+03>*sJYaHM<fMN?=n=mjiu=l}*M;R1^0Js&)0N%mqpui%? zzyKPVXW#&>^a5QT09_CaVlps-7tllYYJ*lnV%R!bpI`(Di2|_H4blc?gZ87aIDi8l z%m)zypw6EFhy_xHz@UYZpmBKtP_+XS=06H!jnd?W04TH>K;a724!ZD<fk}Z2bd>`L zGk~s~gUNG1suqw^@Ktmm1_x-}Hrxab1_rJmkT3*8A`QYGB}oebXe|u7x)(Ie#lXM- zx@%T}L6CukL4c8A2FNr4@RTD1BQvO=<6r=7P6b`+1S-%_a*zb#SP77}QA~0OfOgJ- z(>$o{3khwe1q=!d3LIPv6BrZ}7#Ns1KnsLH(#!&&mM{YgGXn#wLId=0HE>n{<tT8D z1I5*A(3T;R9Wtr`9s<Zo9K_~eQs4m{ufoOz3jYR1NOuHugE@x+2)ZyZfT9o_0U$#_ z^S>Y(ftgcbY*5t%V~^5AhX5#NfE6onXf!D?fi8^|U=U<+uxemn=wM-B;AolvI?P!C zeDMhb2P3F`46e}O8XG_{$N<{o2sVd-feT|VHe4-K#VAE$2y_T(U1AUfSLQ4X4UP)n zu4E?z_((#Q4hDFq9;dNFvrwc!T^AIAQ4T2~07}mdLL3Y%3ZNA#&fuED0VL?a&;q8N z6<EN-DWD?@a2m`HIwJ(9?5G48A;7_q!NACHLdhY315{UliZciejv@vo@T@&PbLBD0 zE_|v-<p_ts4$vil;7q{*I$uHnbekN51LWW?h6K>0A^2=tJSCF&=(sT9U?xcgc<6+K zg@J>CqX~3a1P22PlL907?m1AF0bhdB(9nQXr=uG$u@EN0i8zY|CNxTu5ds_x3=WJ8 zO#%(f3<{1993}{WuPA2#4Xl7_dl1dTzyLXf4ZHCI^RSDLijo@wh7Cd|*c6y9G%zmW zVPtR+6cD+<;?Te#CMdwd;xLs#OhBLkv^EdV-6ry*aYAn3<Iv|K*J5;_tA#<b#b=QU z3j;?+0}GReLnlW@Lj#k7(+Uoa1uUR0J*2|NYKG`xm@v5P!c+6W)Q!^kL!fltykEyx zwOyLo{(hZq%YmB}Q?9UO9PE^<oY2CSaD9cG#fBEXhK7#$xJ?m)&ohq33GPrLRtD4+ z`8#jgziX?mU!B?dZe3}LgJmTsP7ZX=gT=}24J~{O3=E)sbvTR_oeGl}jT2Z%P)7g1 z{vWbb$c4cmD$_WPA%Ne8--f}3ArwppFt~7OFffF!!ea}3zAG*5%o#lDMx}@hf&bV4 zgL{Q%IvBt-C{AFfc<|dWjK&F(;Ydwo|6l(H??XA$@c~SKSO7Bp475M;VZoUWP(A^P z;l!i)1ZU8YC-MLFe^6VOfx+d&0Z`cmiW7dDGadg|@!K#kjK&Fhp-Q2y0}KqHdm23% z1UNld82rFvD;xqW;8hbangQQ^8cZ2TE&xr*AaO^T<b*&5LlbCUqDu+G0T~~52DKE1 z3nCuu3@XSp1LVd59LDq3!z2<Jz~|z@xT7?gA;44qm04*$_iE$+{Ar<w89w}H;Qzq? z0kq*7K{KEqQ-Eq0=&T%6kx?E+Az)wr^>g|9=-<W+pg8$I8YdKmCUrFPxUe!Su`(_M z$B7LCKlsFz51^AHK=}khgU(^WW7}vx!4o*-NZGrvelBMX{0J_)Z2phN2|0mCWj&Dn zj0{uJ2N}5_>%QRQCNOKEdlnhlKpMfVEa7V~IW9K`*m7=|&?rqt2te*<Wa>e`V-YOg zfO+R4biZQ)BWNiY*gi3JFvY;Yuv`JOdW@+P#vi4r9s=++UHDDrfUobuuWnS9ej&gG z8aIYo2VL_D<&9EQ4*^&JqgOTP7LBf}M-LI&^Fd3Cu-XDC+@y^Vk`NIDf0RXj2q3}| zlqo<MY8F@mv_%Ls{{<C8qr`Wj35;?n2mw%2mJzfGk;MU&7eGe@gJ_V6;5+F+3<d^P z=*1eKiFN_df-mr%Mn(Y!2FT&uOvr2QK#CyRMoE%G02CiEO%oUt7!*Nq1KL{x69k2> z0s{*pg9G%KdYA-wOBaX(Qo+E$z|##~p$8H}!l0!UNZe5-@gd*<jvdDa1_y=)1_w}H zf#?O@RObLbZ4kl-??we@5qP9PBzU->Qt-`N5b;rx*bo3$5a2!T4h$0@o2p^u6&52n z7#g6hFA)aNT1n7CR&-_JyvQO7;1&AFBBN}=ApowyLHkP_96(!tlo-6gdSFEjSek)> zLjzJ@uyCNp17!C$Llfw-O&0LhMtS(TL|}7}MptmR3!o}TDWnhp<rZiL0AGs*su2_z zm>58ZrXrMqDhw6}@RlHk5C+gvRfHU<#Dioo?mkF~2A2bAgL6h1#D{<aED<Y!?`vdG zg60oMID<F-IxsMR?%e7E=LU>Di=b!)TgM#+rZ5Ot#>0>p6~G+=4gn4f3`t%Jehdr? zJV5&xK{*GM$ieaQh=HLY0TNM2r=&Ruf$IJSh9)NPO`4!^mqb2$5VRH_w~eDRSVI7O z$_+RhfY0(^fZeSK>5w>ZKuRj;E_nt9kp>P12k62g1`Y-VP~`&NJA^QtcM>!s!A_X~ zM=3}imK8zlQA~UYfbs<>wL{ypATC%l2ZI2END_3L5Lg6CAOaeqje*M_Dg&ZGSq(&w zVhTb4ylNg)#iN+fz#w4Y5dhlg$N=8w0}Xy8H5{OxB)I<Pkw6kQU{C-_Ac>4J$q51M zX`73Iqmh9Xv^^VZUl4R18t51b1_qXuFiSfa7(Af)4JI^7lMw=-J__hQWsp|nI~KWF z1VBStko6CcRo);ap!x))1=O<v^BEWfZ$KHK9o^uqcrgAbO>F?Z#uwBP!D=+9V$lT2 z$btwk?&tz-#G43aj}jDw04Quh7cYX00I@+dWQ8htND|(Pf{4RN(EW9wb6G%r7`PY< z3xgn76HH{3CMyI$feRXS2h)&H2Gt3I3|&l23{0w^J7f`UU62gumO0RH<pBl;@Jf7P z_<aH{EG&x{6da(Jo|5I7QB9z66($B)L@*!*g&7!lOavqZ3^W)RM6efLT%coF7#TH? z15v4?D}<2)a-<}(_$ZsS5NK^`Xkcb&WCW#t#C?7Z47R4b;>t?2bU8!>xR5Vc29<Ik z%yr-bQ^P?`4F(2I_&j4;Pv192&4AH+=tzqK4Al)y4GoOYvI{v*<ZbRr2^ndrGKh#U zF)$-4m4*gT>@hTSIb31lI0(uooFOnnpY`;83)FBJT{8g-5GvE5{2&9l;u#JwfLS0O zGLDZgV2=MU=)%CjnTsqw%BEciK;ndff#HAw@)#rBLQtIi2givdd@nX!a+E=n5D2pY z4Y>UO@6doZPX0462txXmU{@fw62JnZ1QkO7lusHOxZ)ieK7j8BLN^+kPyRD7NG2oX zM&kq#7UZ*B7(_rr@j~c#EG9Atb#S#XIN>lEROy2n$)GdSZ^G0#G6-0}*rPQ0AyC24 z5C!TNqTjJt*umhz0y|3>$@mNg1||&_2A2$j21X4QPEiI1`8=4k07J)UoWKHuB6=0p zGa~r;nQe^u`9aq=5-^?15T+nB%m!2oA&pqVWJYOfgaE(X9~+ww#KZ{$=;B$hmC!hW z&x?TtM+q`QAT$)4CVqYfe$w-au`#H%hRwWDG0H=LpZ`BUarp#%;yu{H&@f};|5#gT zVChkUZXw{gj&4?sS~MC0Lp1~hB)1Gzrw>whQ`&B2W<naPAEbU9dCqd++&1z&J;;6B zAh~^zyKwM355D#qvK|7mnhru@I3BJREQ8ELVsp+0%Yq3cVK5&?;4mL1J%VY_z#+){ z5ZVQ@z8As)2@}NFl?pu~s1dR#nFwVgPzhqx12m=!3INaw2?5YP2ABXy5RAe1ID#&2 z1oI&A2WCQJMgVk_JxD;{3)Y2_AgNJIQV5{O0hUq+Bm~<e1R9e^GM@=)6&J@bB#BWb zRYCxod9be<Cc*}RI3g5{D!~&1kX=5|4JgR!U^`F1_xnOsfpQA68YDJU1xWQ;B;ipe zIUxWqv|t$nluwu#1i<?iPz)4c5CC1)2onP>>4ve9Y4+U+9^7z*5QK#%b|DHzG6_xJ zpzCKKRdWZZoyv%rali^Wzzc^V;RP0e=m87B__9RQC!lx-YZ@i+ga9l>V+$=TV$jAG z%s@uaT{TdSq#l$pN|6x)9H1-#P3fQw@}PNm(6!|tqfiSMNFIP6Wd~9K)dyvQZ<>X$ z8DF7EL4-%i(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfe{%3pleGQ7+AJ~?l)m%5E1r(h5}^Nk%0js&-M{|&&gCSFYuivuwi5dEy%RXL6Ck1 z1`%P<{hBbfU^8ID{zx>`ictzj2&jVxfSJ#zLk_$<r2<mN!N35$|AYs8?+HWm2}X!K z=uQ)m3dq7E1_lOpkbVwEhvg9YQw$7(oQ)tc21W;n88EZpG+ZyppixYA2!KNwobEA< zfCn*j<R5%YF@~y90cwYU0i=XNY%KxJyJNG2iGhI=>0SqHN=C&<4gpwd$Ep#pBvc1K z^4Wt>u~CZb5Fixf@L~$54U7y7Vre*KM<qxO0S-`@LnhzBRR@@WtRqbToz&IBz`@YK z(EwXtrvVZ}J#!mW#PQVPx0q1G<JUbRWI>l_K+lwSfJ6c)U>O(?$8Le{GXY-(0A3g& zzyQ8#j*)>`fI$#+v>+=um>3xtm{`Dvs!3Nuq7`fmSPRH_Fpt3z>9}aHwow9G2!H|$ zgrUh7be=W?15*M-sRBG3fKIQ6-RS^7Oai12q>`y&0t161BNI4JF~R#T5HnCoq*Y;f z)uHMdVLUDe1qB8L22g4jP+$O+UPuAJD1dS;Ii&UmuLa|TsbXT}Qea?VVBuh7WCS1D z&GHa@3kUdsLTEODsU4+B4FN_61{MY$Py~U~JNT*@M4&by8MokoLIVRwg9igMD0e9} zZ2;9Qpn?yS^f|4ORE{!92?0=!XaMI6CI%*k2FRJhpi=}PhJcUggfPKm0|Nu-tW5{x zW4M_Z8WbSHpf#&33JeTf4Gatd>lzr4BM_t=6ko_Rh&_sl2>}*x)&LheAmyOVCL)My zejLCTo<K+za9IbcP8b*&SOf%E7#bjlsxvS!$W8@CH^=~lUI=fLBr*g*0oVZA1q3R8 zu;^)k#E}9MbfLWh6H@~d1LV4t1rWKWCWZwNogEDf3>-%xCV>owh=LX$LwFrbP2f=) znD{7-H3XO#niwFM2^5w*3&7#Kq@kgSfdz6{3M4o|l2bq?a0oCcfDaNDfRsp$44@cd zVqjGQ6@m;5(s2+P+#-f3fkYj2=_|;LQH(VNKp_pPPgorUK${p?85kG?0vH@1;fd6q z1y$P&3<3=eObiXs`<g&^W->8wEn#9hyntx|pEBsu6jp`~MotD11qKGT&CoIstF@rM z3RGe=$3O!DJJrCz;=$k`(BZ<w!hwElfdjZJDBv`Ki34=~iGu)-0HXjSDCs*fF>)kK zn8GB*6~Mv->D4zfFo<)2a|qZXP{e~7AmtFoC`m*JfLmCgFa})(C?MFt0jXRNIu|f8 zvM}&4XmBtDGBC(7I0P{$urN4laBwkjFbJ?Ed4YP33=Rw|E({zjP7Dl!;vlVvcmeUi z7+f}jS)&Br5CC;BK<%fd0A>je28JeZw-0oK22>Y^Vj`%K%f!F{+1D!|AR#b;fkBZ& zL2v@7sl~z|z``WJ5W>K~=m5HBlYv156dfQVp(cQ8cqkLnsf2PyDf}V81iBfE=>Vio zhg2z`TTP&v1ULi?7z`L01UXm)m{c4YI5j}Q4!W}u)MWw1maIY&1B(Fz6KIDD54Le( zXk8CAaFik(0+1jE?Tcdp)c`CEjPU+Dc+il8fq{_+)Z1u)+!Ms*pu)hy0#+jc5_SNc zmfpa?rUbHzZ!U;L#h}~>5&^XiAQ2DZjbiK}0Ip3M7#bEZaxgG6PK5SG5NbI<ts_X= z3vx~Y8>lSOaNuA7T{Xkv%)kmB3vf^X4?u$N)0P0mE5d|P7Rez1x&(lcfg!=E(SenN z=}1c(2Ll5$B2*a_K&RTOFfa(r0$new!6DbAr^Cd=z%0e0!N$wL<i!}oz^!7midm^a zp^2fznSntz9&%?ns!PB*1=O5I6&~eb34umXU$CLEVWI{@W0S%WW(E#$*}?+8tpSuX z1eh2UE-)xCFexxFxv;P(DCjUam<q7?urz|oE=GZCD~^e9xrjP&a2*if6abCIRD-Tj zffa`!D_KBOE8x}~IA%aXqZm&JG&VFeG&D9ge9dlr*uL`m^(lQ^j4aFz@HpW$2x2j~ zt75<rwvS8Cl|{$KZeL!Gd60>}-F`hkdEVfv>;Im~=av00*6MpOjq^%40|WnmP&`B8 z1d`uCaRMIWWMYCe3h=mRREmKC8Yhh(Uv*!-u5s*mYab^g19KxhPFRa3tx&q5q9|&$ zi$^PDjgEHRJG(l2J9mG%)*Loo2kyA<zs||))$Kp7)%E3)_LS=k3}WhtIDv#PB2Jn> zkpzh@h}0;FF9iNGKp?sr@L9C{A9`4rZ3Gy=C(|-Agc>s#8yh<?F#P{-WWn&@|NsC0 z8UFKwRD$kx_=#@BD4)y_fW*juP&lKQ019aa{tqnRIAP#tU|;on)qmsv#ta~dfB!&g zp>YB_Q~f^!gG3KVY!p)=1aQO&BPi4v`9GMO|FL<%AQ;cg{{OeJF+YDAI8J{3VqoCs zU$9^m1H*rQXq+(Irh;omjR1uJjyM4aJp=!T{opuxx1NC^lo1pshYuSwFfjc8Wpsl7 z{r^?}&mhV!NokOAqnP{<0Nrz<z``J=aDc%;frW|1g+T(ew4Tug+;#<-E7ZZjz~ROq zz)=9=IWh=9R!V?xV^joPund|^VN?L$b;1N{AO8ZWgJ1z@;}<&B!XN=(@&b_`C9#D- z1w(@r3j>2&VoQgCObN>r0R}d(GFaC=5qyubk{AO+qXDSFBp}cLjT4YM&<*AdLLd!^ zEghB`EG$zP7?_1J7$9Ou=dQrUyI?d(%P1xg0{s7Z&zgQ<X8!-*_zbF2MmYusW*Y`$ zbMSq0##{`mSy>bQhlWOVeEkYisi42gn1?5zAT;g&|D{VA7zC$+MA0y)pkrWQ*ayFd z15JLEizNhtgKhts{{Q?LGfw`=F);kGIrHBf)X-uuj{pCD{rb>Q7njfuhW}^&|37o# z{(obh1NZ-jhW<YfiW5epvuxoOjm8N)C`e#@U_5Bb!1}fPKd6d8(Fe*O{4V9@U_pL< z21a%D1cuPiC>K`HLOliseg<QH8wQ22wEurVcc*Y}1}j7*pp%!Q`2;ms@biL$4L_Rl zvwr=L5hwroK@DA(a!5Ym=l}1jt`5#8E}%Bq|NkHUhZ^%&^sf&K{r_er=sp{iJAa|J zLhp1OjT2~i5Jf@vAhz<cIWRB?uqi+)W5_B~1_l-d$oXq55OGjt4>c96juE==k&$IK z14HOV@E%A;hUrY8y^jnG7de<f6EF-6TuvaGWh;aan!bb@GD=|u;KlL`3=HZDpru+& z9ctid5r$3(&D5g?USb5I!P-INR$vBn&tk)J&^!wR(=7%DwzJDMK$@9PG=iob!TTCP zQ}#@q%N0O0^BFY;5Y05&-v@^v$ponHfuRYUH!wt@0s@Tiy8xjgqZD;Rz<^Zam>7gF zVAq3G;9*xVDoU#ma1g$a-Dqf+9=qhID1{+_H*_0D%PtCo7hO9%xS3!qCeU&gh%#_B zj^KheG{Eb6(0nfh^ZGz&h#oMBtO_hJO5hCv#OgTE_#v`V0m#+|s1QUJbhfht0|<hq z?ZLF83yT8-3nQZdNREMlK`6&TK#&o%E*Pl>0omdR*=htf4=fL6jS_f6KmZc%4ve6k zy$zrP3K$(2I0Rf27zEs)8bN!0z$3;E3;_%cObMWvVGv+waDuF#6mno-5VQv^R22X< zhasAvIZgna%^Da$`%)niqa^+iKq_7kO<g9WoC1k2&?s?(0E0jSXoV5z%x=hXQx=95 z4WQvgG0;$O0Fwh~=$H|z8KYZ|lzs5KX;c;*0?2pGz`~9ZJXHe9I}8)RVxR=i!~ot+ z&%n~e0NNPg*ucQV0oppjz#v}+8s`UXPDP4mu;CB_nr$GQQ4(JWaBws*fC3vFwhRmn zpqVWs^^lEOpf!*T@cr3L;F61hp(%@jfpY<92_-0t3AZsY!FDt*052ix0JR1>K#c>? zQgCqOj_!Rx3R_%EP$djnV#k2ARu~-Q;G6*pPq@wi&>kWI2GEv9#sF4O3FW{7I*b5h zL<0kZSg!y$)<C%hss|(kD(IlxQHsbA09|bYDf&C0Iv^)<K{!ne5K%=1&_+4%h)YKU zQxgLSGjw$|aCvAnDJU?27je5VG=PUHVKorM1V|<X)%>76#!#_Q3V#TI>j%&g9iVVy zU_1a?mCeAyz@fmQ0NS4g3t>o_cVGhN1yEtvzyvz$poz(Vfr+8Rfq_9(yFsamX%Tpv zB&h5X0_|#PVCVo9S4s>FkO5{U$c761E*i12h>93g8GwTUv>T;?6I616_a-z7fX`6@ zl~@xRI6ynJnLw+Fl^7s(I#UNIW<(lTI2ss485kHOV;CJo7z7v?!5ius7+9DfSqwa| z3^HF3M2uqMLjZE73Meyh34mr&7#JB8AT+GK#I(SPA%I1Ji3zkQML?m!g26$7fmMRx z7z0xZDBQUq3K4OQupDG8s56P{L^g!VQ5I4N2(WM*00mnEgTMh$XAnV4Kn{uGU~pjJ zU|<em;9zj!aA4qYa$t~P;8ajp!QcSeL(jk<y`K@ZRv*bs7?Xnm^Wrp^+$fDZ1Q-P! z1Q;4Pm>3R#uAT#(`oRL)-3UtipoSEa1xPE1$G|Xy0n{}Y;9M%nAi&bFf`!qI0aUWF z%>@s+fYd=TG|M=FHnD>CZ9|1eDZ(KDDOtc>5CKpyf+lJ~r3{#c^xGL38W<TAz&#Am z^}r4c42_`aVHSmlj*JX03JeBH3=CYZpu-86n3zCkM}e4#4h@3>Xo?xKvH}vXgk3eN z0@5y8!NCBkvBAwPjs^i3-OvC&y8{$T0U!bt*dn0Z69y{n48XC;z`z2&pRoble*vp% z0H3ha*ucWLU;!6HO9P7oXv1DZ10-(1N=6C1ApknhhC!eKd~TP600RmQ&L9jdplAS@ z0p4E<$~O!ypq`@%%LLHgE%_vHV;a&b1LZep&xDbIL6D(=K|qB;fswI+fdQJ0Kn9Is zTp<7szosCTBMc6LptuNd2oM6%0x+6EK!|~bi=n|mBY?%AiOaxAgF(P<p#ejZR)uZo zlm#sc2R2B6+QOg$kAa~PIsyRd$bgJyWJxp(U}#`rQDR_ZWMCYP6I}6tAuhnfrNFR& zhp~a9NdeRy2c5|Yp}WB6+Zix$BnU7tIDxv50u2Hz3{D(1G7PK-n394Rrdxr!I4r)6 z3=Iv8jSOs{m;jY(3ZU*H0|O(6gF-ilfC8ris9gq%77W`*1<*nO9E6kV`kpkruw`tx z(f8p%fmCBdMBfGwy+ERGL&Jnw4JBM$PeL>U+)TQ-Ou|+Ogw*}EsHwB7{d@h}uQ}WQ z?VQWNz~$4((9p=(*vJkxkb$uwp`nR^p|O!Q^W%r^jwwsD6B-*E7#kaz(d-}PVuk=X z2q(qyJz;ndd$2`fTFHR|DS;NrDIpMgo(Y416r%|j$A=(|fbfzgu7aQy0U~yf>+0&V zYX8^&`}S=AuRRtF41y0ELAzEP8`(ko8bSFa0etQqV{2w%L3f9Uiaw}5VPs@v$8^G| z2-XnzAIi`Ff#E+tKmP~*5Bwkir$KwNF!cQYxPXD-%-IV9|HJ=7D)(LtHBf>7|IeHO z@3VvQM=2shfW$Zn`~L|PCuameaRT0gDo};XrvLSzIN1+gpN~t;s5oW_{AURL@PYq7 z!v|3A_y7W+`~smF7(RT!&;g2*sxxOkd|(I%ZU1FpVBUzK1}Xr_C!=u!4Gy9y(&Oag z0)~LIXFhxg4gU)s0p{ezWf>?=RzV^Tmy%I&EFs{;FhN9sok7WoVFQbRI*U>e63xKC zqU6W`+M5U(o#z0L#en!6EDTOA3=&QXEDB-_3<7T)TSPh(SQx;2>OgasP7D`V1Xw_3 z8i+t?FdvKcqe3Vl0NH~mz|bJc!T>sqUH~+p$RxlZ(80n0S_dHjng#)_hX8d#;5r2u z*ud&47}~)5A{iJs6e}DWqCk3}`x;$9V`U(|07HWWga!{*j*fW2LlHmYOh-V%s+q=V z1_?X|_-+2L;_*Px3=E;GK7TGRXJ8IBW?<kK{P!<_!PuCUmH9v8#}0;7j12!DeqdnW zOaz}ufo#ox2G9`)u-)DW8d=RK8)pcB;shiKiW3kGiW6k||JP7=2KG>6V`F~ffA7{a z*z>EaGoR=E`=4QzvN5=>;8-vkC!i3cB6bN#2u%a$6CQpWhEN_49ykrkC(O()E)0w| z#taOsg8%;o2pStRgbLne{M^8>n~~u^s9nY}bu><>7#|>`TmnFws2B_qcz8fuP@Evs ztgNB#46F>stY2A;|G!)RE;LlBT<|XM|NjiTq4`8Wb2LssAvTIfLtr!n=o13OG)=+7 zlu+k@7v4eH$dvqXWZo#7k`N#!PKYsI+>;npqiU!X0<c+MeCCawsfI6fM&*WX2q4!I z$i6@-&EQJl3?zl1(J#cZd8uS1DP$&88M4sGWP|3-iSaphb*ML)AgcinKq46oUYQ5J zABFt|(!NE|5G0Z+6ehB9C=w%tgIW>5R+NA@E`pcE2{33dFfcKJ2Yo?mMHpBZ1Q>)E zSU|f>7z7$vIU&ok*%&}exj`zx0S{ZQD!?GX$il*)09qI+)yn|dItN`r)F9XZ+3E;i zf&|eFniC!&VNZfLK_wF`au^sGA&cZ8$sehdfiYn;)Pe@^rU(cJv<%+~A<qUmR0?*0 z3q;K*2?_yNas;u7#-OWdK+EUA8o&!w85kHqXE>sp23k`OS%Jq0+rtH0*2vt#zyy&3 zn=(oug#gMl2a-65$pKl-0Aj*1_@H|5H4Yl!^Mp8{r4Ivx24sB}m=7|Qg8`%rQrm<0 z;42*%6c|9)4KOh9hBJUR5`kB$LN)-wtr%qx9Ri>N3w+NB1B8U7a!~OD%^(3#8nnh9 zWNrYc)B}q#GB5}TFgOS>u!6Y^49qLRYv(}<Kq?U!DvuBtWg&$C6D*&ALLDp)+92lu zDuzJyf`WpA2I#6L1qKcd(2Y$B3Je@v8VsP^!vV@6keeFe`GgU?@SZ_~L%{%)m4yE> zfG<M>?P=ry)iuyM9;6dw7sv(>JpwVoREe38p`iq8qryx8Wh1B*3WaO{Of3TggRlui z6_OA{V3dTVPDn^#Pn@8p7f2pNb8vv#!W>)-pq&jMK?Viz23;lwCJu%skP6WDP!J8e zrCFf~T(v{C)GIM4Fld0cK58^DFvv78C_y$5gG^}vuVn$T&@t#hLUgfF{%8n{hQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQOc<0Y)~+7$YN_0uzYE z$o3HIP9$*%pMe2%2n_=x+g2uL4hBX>mWy28J`9WuA{)8Dca^XRL&o7*Kx2(yEli+U zMg|5!(A_1B3@pOnyG<Avrb5+khVWUoLTLjA1&0_gn~`NJmzx7<+I=dNZwNMU1Q0CX zk#r^w7~KNqGkd`JXfzKic-1@;2Wvta0|Ntdq`E>12LnU%OLYx}28M=)Q)-Y|E6|=S zaOg3D??Yj5QddX-O(~vIR{%{>Hub10faXV-L41%oXQ20=^e}M9*+baOXO@FzTo@P+ zEC-(~$#f2E;3z?42*Bo>7{L1*aBBi(AM~qaKw@wmJPZO1Tj0D=1|=Z?&Rvuk0Gq*L z65tD`#GFyRun>UG!^60EX;|pP6v3t?p?n7kJ1A?EB0mH`tpf6OLv=!C=Rvn@h@XWD zjZ)->029*=1_emP&ZNMg(a^!5(ZJ9EI?jm+M1qcW0#*K?(`!L&2GG(Xusmoz1U5^V zPk~ocFhCEq$EIXth#~3&=*k7?WdI-^6oV*MMo>v3z`)AL0%CwxsxUGvU=U;lo%!nk zI+YW2h#jP@#sFF%1il!<#eo%kktPd+8)$_o=;SRB2DNiRhY&M@PE8YVWCU3Ny4PVQ z=xkw-ISk<CdoJKrtOy5=j0gew5>$48{0*XEd{C<u#0QCk!VM%25(5P!!y*O-21SMj zh6x;vpcA-R7`T``nm8C3JsKPqND3;kwK8}zvN(b+%<y0oNoZhTVd!9FRSaNcU`*&p z0Oczt&}y&=3ZQE@zz3x^fTF;Gfq|6~yxfj~!4tYp3}QXlVvq}<Q3qlUSIhxUjF4ae zvpK*gnSz1<vhE6$A;61Fz#+iFz@ot+z|z3Mz@ot5z{CMQkCs6Zba@FQ3j-4axX&p9 z3Ulbf7Y2p~77+#p2?h~KHbp6o1_2Hx0S+Nh0~oaQi-C>N0d!Bz3<g0^?1N%K#1qmA z04;3=b!i}}L4iRLZ2t%+z<~r=83Ya+P+bm*AW(`1l`^0u^$ws~0wxR=U<R$|h9qtl z2M7%cSFmvoEDQ{wv+BV)1yX=9fFz--S3w~zvWo$FDmsV<kp;1k>;Q3xI|ij%XgD*0 zmtiw7FhRGDK%^j{1P)bD6fiI}G%;|1R%9u4val#HurM@$Qab|!Qv+yc0_g4^5Dhw> z4!&X%bY(*WNC7B*LE+oL)xgle2D*p_avy*KLjW^qkbupi1#A(RU}|b$VCVoJW;@&? z0gwM+#WgH}qbdajCIbTl50qwPVQ@rN06wCT<%9^>5LO3p4glBn;MM>uqku~T1Ir=- z&`k{x^BX`{3NSc;t_%QGDxkw}<yt@sh#{MSz}7%iB3m;;*x*#j017OKhe22Kfa(-j zixslH1a#IpSe}Wgfdh0`Sp$Ot3j^rne-H;G!==Ch%4!hp3m{iwv@~#lPibagXlQU^ zU<&AJ0M$2O8<jxUp@4cN;N~$C*v5wp4IQA$r~_;_)M7~X1lce`FeEHso`n=wEDUWB z0Z>`P!30Xrpxc!g7#JofFfciAXfZG`EMQV%Y~ond!Nj%5qk*HTV^IeS0~dp!0_eEf z4u&q!4Ot8v3@5la7BKK=wQz88=qYe);9y{2V*njbE7#Q2z{17gz`(@N#KI)h#2^43 zHsk4QU|?flP-p<vMNFV50Ug8*jzNfnhCd0dHyBtLSQ<cVCKeFM0*VRHIb2N)ETDRW zK>%C}b1?8Qu&}TQF*LL=un2%|km(W;3}Fxv1-H9c1sEnAymFv{VG&2dDJBmF1|b%P z6QH|KRGb(B90EibCOHbRfX+Nu2o(h7D^><Z2E|5(LktZ94hkRvaFNG!3$lnCv~7rk zfr){G!9xMmMFHK70*Veuk^!-XJq8!uF#m#DwV*Z>xKw9iVB!O{0KoT+fm+UpGz+Ot zKq*~;MWl)0A`629ONt7Er%DqG!vY2mmZk;<HdbZ^CMD4NZiWN~76vAV2Brf72S70; z;J^VnhYfV@HX|Ps6X*nJ1_u62Q1pY+g8~NwD6fFKL&HA&v3L*`8%R=MAtr_d&~^|c zVN@n4ei}gy)&Nk|qQNH6z~Iopz{m;erwA};unBR1Ho~!hwl6jbfN~tDE^$)eP-tLy zAOva`g9=0@h6Vu!76%1}1)xgm9Ag6mDBraRFf>eIPyj_q!-%U+P(vBi4M7!QU;u{^ zSP&dh42%q*-~~5`8d$(HPYePKEKUpzZVUoU3I-f33JeFd92gcbOyOWq0X3)=a4;x= zn#W8Iph8iA)ro->blC<olLKf6gaac(gTev{MimVu1_tJGutf|^3|x$i3=W{;4{Q;r zTLY09{v_DL$le5(VlV+{h=UR<ObAB90tsY57bqhzFfa-*DLS$?IXE=9XfP-^FfuW? zF)-+WcZzUu=zykyCxDNI1PvQ7ad<c=fJOqq=D9KmFt|+sU2iI(588bKZDK>Z^xzB8 zm_hb{)ed{2gNcD*0jOiv1f!Wy_$%PzFnI(GYT+t?Mt@rvI6=)@P%Fs+bk9bB3OE2k zr*8@{FdWcuXkY}jY(Y0<fC7{eeDecnzy=Zj3gB}$L6sP|v;rw(Xy9NFW&m9d0p^V` z0+bv<!2`Ol1mtz_%_QKho**6r=;TsR<qjH-0t-NINM;0$Nr56GprN4wG-=MrpamXt z0he%G;NAvYJvc%@)eore0c~c1V-DOsVPRloaA4vB=|0@R&;>rK9(0-%3-}%s(0wOh zgGLY`zyN9jgIb)BU<bzuc#AX#D7qXB6hI9x2KbS`&^Q6zJp-<N0~ngGOyK0WpvtEp z63D>e0jlU*ConYhxG)GXPGMkSaA;%_6k}j*X=H40aN<zZSa^_$E5x~>Aq14wKyfF` z!N??3#{(K%No8PY07U}hMFxfdR*nW221ZaT0yN4pLZSqv>}q0Q=xAbMSkMBZ!DSTF zic3r!OF9@BdLU&OV@DH1!-56|#uH5qpn>=U4jcl43~fge7+jbb*f|ykJ1__=U{z@C z0W}qb6q)3?co-ZS5(HToSRO27VqiFs(7@0TaKJ%?gK<F%haeNEGYab8vj`<=ECeSA z@m+$<pjcvHQ0eGeFhPN#85C~~ppz#?NR%*ifWmqL15-~M1H*zz3=A`xxEK~pVPNWM zVq#b{fq`qsB_@t%yEK@3+L%D{kT{vZ&<Ki?#wiU46F4piGF&_Q_{taVmDks=uf7tz z=jGiW{b!ymofR=@ecpU2-su<HN-nlGiT?Z8-qQH^$pNPm#jhS-<?8-&eZ}Rl<`xzP zhNh;*aJgcw?{)Jk7#M_S2sSsgwlFX>f9>e{a$V!X^@hgAhK7b_aJe@kq6M5!kmF<$ z6DUp?Kyk8Q0t45cPfQ$7_9!qw<BYKflusss<D`*6q~U-=$A*gx*N(jYa;2N&y6*bV zWnY7K_%8o?Zo||G4foa_lb4g9<FF<vu$^!Ew}tF2jRzkbaq1|1@$zdI_m|@x6R$V5 zFfcPTG&Ib&O3&L}SCh=Zz!5c-xoct*LnG_guC5PLH5!gLHiB*rY6cZxBO*!=o{R_I zVPXIO%o&JSIk=w?|G)fyd^~6gT|HQyfdPpEF`=ho2QvuB2wdO~1r5r`J>=(?duVnt zYN<`;@7?@43=IGO^Bad67#o93R=EG+>)-DTaDyztq719d%^ChP2r@9pf$asUM8Y7O zkhmj-i5@55%SaeNcfdec1mc8WSYG%tgE8nfIJt-X(<<6?CPyvJJp5}NBu>tJ2t5Fe zlM7!zpu~v{D1<-!`ftv_z$MMVATA8zqu~)6Cy<Z_-Dd#_4;UX5D<BaFAH)J<P$)q# zIHv^vzkFZ*{(s{;rH)OY`+?dkCg&`Tnr8F&?&rq;4FCV1`4Gwji<4g;{{2Se6Jv0k zeE$8P)p(U(JOcxxE7*Kg0%Q}a$cW%U!kp|l`EcQm%pLyFSDPK1K>6gM+)1;gQHQ5} z4d<x?#R<Q0C^IBZl<$1_g%T&=vdefkD=Ythmrw=<tZVK@RGh%>7GYvA04?89U}7); zT`dZt!FP-}fy5zqkHGlgJ4lik3_zVsH3bF+0|o{zx5O5a4lWmtL!i5r85LNBKquBQ zXoxTfC@f@P;TB==R4}yk;1Te1VPKiS$iQ)o;Q)hy0t*8RXr+(>3xgO#fPe%8gCYw9 zgYa4x2GBS?gBbK~6gL+J0VA-Q5s^2*y+qIm2&m%?8XW}nslg}zfjZR4ca0$5L88OL zz*N}MASF@4;Fj3ZVIWbWHccRb!9$0K33R^+sLcxMZ-QvhSU`gW3nN1XLx-hI34>b& zLqmWD3zJ(0Lx+J53xi7q1L%@Q2De0p1_@@!SZ84cLxYqC3s|j`4w&w+0MR2PN*GqH zGCp(W%&JwZR-GZ4W?)#g>dyfNhW{S|AopW{3aJlCZVXZXyTEtPeK>qLG;`HTK{*DS zQ2tP627U&9P`C;RGW=&b!fL?qpZPP0561tsxEL7zcQ7!pzWMM0Jdne{V9fg8V3)o= zSbhW%REd-L1*mbt@P9wHIQh^29~>tN3=IEGzOdSdh9bvF7uT`>t2!7McoaW;1}~dr zShbs#)nJzrXvND2iV;vsr$RpAN5sho25>$R<^S-X;RFBS!~YM5h6z3l%?#xa{R4{= zB?X56=HI{C8ykQ93JdH|a6Vbp!@wX4>KlSOL=3-vpFR8kztV`R-$CI)m3;CGQFejj zgyH{vY;giByBGu*{<9zdYQWFW3OksSA#@eE?CN1);PGQ%U^oGC+lS9*L1ov7ixZIF zkuhk_mVtp`BAAAq9}JqX0*xs$G6+ESGcpK*X$FRyTp)1<1_AJ%Lk5PaP;o&H(1<(( z3lj%ef=z+R3EYIZ2<9@t_b+Y*i-Oliz+Ay|9;}9uVJa7BVvA8=Dwnqd10$ozR+x&B zMnfA*3Xpw`Or5Yb@(?})17trV!vp9^kPgeh0mRg!t^pd$ZR&xFJE?)D<rx?{)If{0 z8kjm56+pxA3=HbvIAlHpqt(FrL3$w!1_t&%$bLrHK1Rj^%QX}_7#Nz+H{HQBjM9Wd z0MxDqsRD;Sh(Qbn=NHg=A_j(i#Hb%tgEa&kpe<H(Nm!hqi{s#fx}Gp85L+C!kP9X_ zN|O=-u&G<P9#ELVIcTz=sG0zmL}x&%6c8x~n({@L9_14k0-&XK#Hqxq3KUmx1sn_v z0(0TKQ3h%VfGT^?VqFbz0}53R#sejLM6JQm!30X@P)R1xM14mGbU_$ssUuhdbo~RQ zO$Lh#XiWl^1rd_q?TiRxL1LgKE)aSok}QG@3?R%PzzDh(0~7>E0nGqfEezVh056wV z7#JJ_7(m+=K@Dd?@H#M7kTM3>P>^P5Y32f2VF#*z7(rX(ASQ~iK*qPg@g)G=DapXV za|yg67PPh!Y#PKW2k_7w$ixwfL3socZr}wfji6N|pffqZr!_;v1hnJLk%1u*G+4>N z%D}>qz|qj)073$w#dwMw3=Dz{T}lj*3{Hw15)4cVLJo-x5{#gxF(ZSZ187sK0(eaX z$RbEPnE||yj_*4I6KI*R0O-hQ&}sIL4NP1NiVTemPT*auQ2R#~1uiz2SQ<E(SRkuP zFue~BO(sx@!pI8hT{<u@fIE5)9H0;u5&&&J6an2!!vNao3)0^J%5@3~jG*F;kwJ-p zk&T6k!2w&jD0&g39)!V*z!;bqG+0y^m>9%BLL(i63JoR>B@PCL1}4ygI#76kR(pYH z2GHs_P+0`#fMOA}M@Wi8fq_eqQGr7dv?qv>gWIryK|sOr0z?-hD`*=W186u4tP4~! zf$V^AK#Ly1EN+7a&=OTH1~xDkLO_c^2xsJx9H8)A#09>JiK78rc!6d?K)ZB6Yv93U z6}aXGRniU&9ULl54GiK8Tr5otEG!Hvj7)5x1{q5WsE%il1kJlJG=bLeb#OrTWJzd& z)@y-w1u-dbFoFjgL9;gSfEJ2kV08d(jAUTwY5;BXMv(;-t6-Di>PHa6g~5f<h0z6` z7jSu95UK*S01s3-GYT>ULh=P@=_DhAh=7BG0C>%-0E2))gD7Ze3RnwhH7aObD|!}S zKFI*>H41=FHGo+RGZe-jIW)Ls+Q0zXfxrZ6&T@d(cSCl)gIdO*ZByXD0IP%Ue^gk& z1<Etv<ln&5z_@@(p+lhobQzFB2ZNGA7pPe3V&DQ@9K^(+(FM}lz{J4F1Zpxs()}U^ z1&|3$3m6y}_~tb<G%z)QGHMriMSc@#6+Y;$z$WBjF|aE}2%*H$#G%BnhzWG!9q8CI z1`f6+P-zAVQD}RV15}1JFff5G%;4zY0G%k&z`&!zq0pzo!o<PE#>K(R%)ryNh>5$~ zh@pdlVF8yyhXacO!y=9aj7-c7$}J%C6d5$R6gZF$A^=rLpfG0TWncnrzg7UP(g$IN z2~123i$EK@LDC}=g9`~pP_e?mpd=s!3ItG9&A`y0z@WeZjwb=orZ+|g7Xb%F7Dj<C z1_x2Drc+EVf~OWJLasCs;A0dKJ;4y-BEiVO2sT2Yp^+7Ic@P5w12cm$cr^rr0|O6e z$0EcAQ1$_31Tg~vh5%42xdkK+IzWVlWeSTR_)<YgjWpuw6HwU??%;uLSA-N*jEo9e zpvnPMm_fpcfuU1^!GTGGgMlHSiDdz(;1`+Hq#@A8!64DW(83@vLBNe6p+kW&fx*G3 z0d(pL2LqD{1JfJ<7Vr))kSX9&3(*Jz34yV&uz?B(1BW9AtAGONG$R(!J}<D$NF^9S z-8JxTQU?wWCJqGwCTO97Xqh@NC@?fKFiD7XFoK%I0u0P7T;Ofj3@PBsn?u2Yg++lw zK#Y+=p#c=dTnvW}v2qA>2!OK!xEa+9>c_ys7;GJw1FBRcFEKd?fTCZ)kqLYb2>2ib zXw?N)HR1?RAToh+1!&6@16w15MoYsQ22kLGj>G|NKm-Lz!v+CHh6N1{3|ycJqmhH5 zu|cTA(Lv}y6R7M`U=V3Y;9=6xV`Y-`U}8~d0NoSF(J+&v0TjOAm;rT69GpNT$T&y? z8`NQ8V32>-!qC9N!OGAg0J;kmyzL8oA_XYIpo&Kt#R2M`gF7JL^KS$uFt7-swpSs8 z=@$YR7&hEskOak@0GogSIFB%?2pBj(uE}Iz5pZB&U|~AJAz;9uw1LBgkwJj50~GF{ z{tH^DB84}T1p|vff{}s%=sr3P4F-h-P^N(>fRJc<hdmcm$}2FiXfSAicEK?)u!JzN zD1nBqKsg27_X6Pj(*+R*t<VFFW-)+|k75DkA_vead(e&_NUhw!$Uwx-9+pe2lbReH z6hPfoQ2qkPHRz}#)Pw=CbOeza3=ATmE$Wa<<OIO|JV@4o1p*8B2s8#zH=mJ%p+Nz( z?HzO;5vYg3!J**LrQi(GAkd%y+Hj}90jiZibqFZV!Dhf2U>*{|_>qB;L4|=KfC1Fx zcHjhU+G1>IU|>g5Gs2jl-R?{b3|t~1piPb%E*uF>$vF%PjG$9eK=b7dkh2}0bS1FN z@ld$J@`;I&BdCLk;m84o1qEC@k{SXMEEx?ZA`e0Xv~rjj7AQEfa56ABIxsP{uxkW> ziY^vV=MscL7dJyg7cvR}E}CTabFr;Br=j$M{|X1w6GsM?W(Gw_cNL{u57jslsK$U0 z28B=tP}#-BA<D&QWX9$rAuJ%xz{CYQ#SRpvpiTs6m{)*FV1fb{%ayKXRt1g@0|O3@ zrX`@U`i2P%rY9H#7BD$5usTRFs!VWbWSJn=(U76Q${^4HsvN+P0Xex76sF*)05L#0 zi-GY+$ix5z@kjv%g+&|!(-eY2793z`U~Gh)$pcn6qKL+V5(b5E4GnIaIw=luKFvD2 zvUAn-g4V1|Tx?8COiYXo3=Rhv7!Lkj^&#Y5#E(Dx->!9chp*B;@#Ra$%5Up>cUDZ8 zF{NVbpSW-TumAtU9<Z-8b>7cLmdn@ry8eCFy>+FLiK(Tbfw8%vp@E^Hu>ssaVFGm{ zLA3=qzPKXluK##fU;RV=>ng4<*EPehFMQb8(Adb_He&M$I8InJxS(-TR+o0Jy57Q? zk&TayiGhh3948744PRzeZJAfO;Tzw#YyGRk*Kn==^4V|Ir}b}cX6%@;Cu8fMEkC|i zW##I>+_mug6z`AE?$&ord!w!&BHYTv#M02f*w6@x6L77<1PW|;oUkg#@Bj7BzWPW0 z7f_rigk4|ou%V%`v8^4XY(!-cm}md<^BWt7hB7FDb|LybQ~3X%fr|^YU&sKa0z$Jg zFa!jE2J}CC*#H0ICl?-p3jqcO0slo982*1_XJQOtXJYvOYv1eF?{+iT{96C-^Zy^l z@I8zWBTz}$5>#1E7j8k&o;{FikRW&;7z2nz)i82+|37>H#|c9N!>UyrT?`Dr7#Ns9 zaq<B*3|JW$K70U;*nax-|NqBN<vhZd;|&brA#uXO$7%+OlfS~CIN>)wS@h@oFJj}w z#)X@K;s5*p`=RjwiVX-xb-{??@qhU6!PqP`3Um(5s#PHJ7vqBE|M!EpV<Ck?0Ce>= z=;r1B|G)ewx0k;kZ*L#}UxR_++kX~5jzuhd1^r*I-&A`T_2DomPQLs%W&p)4k|8Ke zm>i=pXqO6j7bPerAh`v^0_7PL9V3PVE4vsP82<myaZzCS&#-D0D7;Y1E@+&vfO><V zIB~I;xf5V-9{`CHb|$W4EPQ+)fA@gm1X6Z=`AQ&8ph3)}%D~Sc_#b2?C?-bZ1Qafy zv3eFq2GIUO1{Q_@*vKK650VERAjASXs17u(=)wRV%@;~+5o%Ik;Wz|V09qLlAk+am zzY{ck=9R)CBya#Uh6X-S9VE;G+Or7RqX;t}s*{0V9J-IuDUm_If`f$#v{!P60t?qM zn2M1`Co(h$NU$)76f!hOfM^B-Ht<>$28IUMK1T-7KEw=z1|dxr1{aVz@Q4>^KO+kh zQwBqWkOm8QpA~oy2?K*b0}FVPM4&-}g+T@+57J-3z`z0BqX-_8M1-@1k$^#)6e|M< zQ(+5eA0x}O!Xq6OG9_%&5NbvyD=Z9po<wMoG3fH2GiMlzVIg5}&%hviM)nNrdj9_m z3_nhT#sBj#oH1tj&v}%A!T8Mo$N&E;aUK5r|IY`8^M68lJ}`U^;Qudp*YpDe19%@c zSPOPSNSA?uhv&>6rBBAYOH29f1B&5wJ$4NvMie<tK%PExhCvxbgD^Nw{>%Q~z1ujH zf#EkKuKphY)!_g6y<u^pvEcmS&!0aqoH+xIllV|$!MmpavBU{l-OZ%Wzz`dI_wWDz zpMU=^WiTF%6Hu6gFf2~~{|Duh)vL~&VE~^k0FvY3VPKGDkY!+9585*N_YFvl;Xe-~ zPIMU<&KUnc&hTD|;s5_XfBt_sb0+ls{}0Ro{0uxirVI=W;B!5|TCfxRs~AFg{xf_~ z`oyrxz#zVUG)`dQgou*@V+ID)I05GqV_9QCK~O&VXbaZ!{{W=yvVz3P|Nly>{{R2{ z|Nn>cf534PA8KrGZ_1A)PSElR`%*^N*#Dtll|Fs=_1_@0{6DDm1yTdTV5>$N0a|Iw zzzEvU2%1)8m<i#t1Tv|4fQB}pe9#gG@X~7rh&%%W2k1al0nh*mB*eht3=9(?_b@U{ z<O0bvu-$}I=xhqmeUI>Us9<r>V7`L4HjE8b16lUU$TSsV^2j5h`xY0pIJkj4{{YHA z+2R1YkC6e!Z-DM|Y=Fu$sDn;OWnco$I)e0p#*RU>g1Ul20|NuoN_7R0c}zDT`xim` z8$r^DyU`%xpgCYhMhKg!vk|oBm4Sg_IcTqBLo>7;2hul+sUHG}(RmEB1*C&e#lXXq zs6wMWGC}~<?SyMX6ll;Q4=w{`Agg8)V2OkZgSw;$<|vE85P%jsa8qFU0%@HJTn@Y> z1Hp3;@rQDtafuvbP?=GR(hvYoj}UE0gXBG;)s9ew;5HD<??}lXi3yYC0PR}<&75<9 z&S?f!?V$O4NCONs{SMA7pxQx*fr$ySrx9T~NE~7i6YS1RM$iZuXxs*hA<`q0gscSx zo!`&Ez!1p7zz_i0mH;Y57#YCVD1sWTpp%?kKnJFP8c(busKt^4g8*dN19;gnXq^|R zCC$Jf!05stpu*tB;1B>2aA0r*8^*u@9wTF5VBoR?Sp*JxaOnlQ9uUkM(F6ymE_Pr6 zC3(<o7!IJJU&a;zC-AcR2GAB$1_lWh(B?t~1_jXK`X*NJtuYLY0t^fa4xn2fz-uWO zBtXmNK?}7Q7BC2SusF7Wau*X=4s-(tB#^;cK@uQa85kIZc7U!7NCKVl4cb^LAOt=! z9ikRB;vr%qfCNorfxOAY0J*1;gTaM?1$taIg9_*lA&@Kwg90cuD=;(&a4|AyurMwF z2Q`ZjIKV+=7DyEngMb1HLl*;sBAWoq1Qm!7#9#r)MRlOK02u^Yu>uWn*4YgV0U)JN ztN_~d2+lE3fssdn3U+YG5B55Og}4F*ECC8c(4HYs)d9MAiGhhp0el7|XfGn9nqUOo zBB#W_#33NC04%}?+B*zg)(9~K8_D_uG@}fW0d4(dXn;l*L}+A^pm-2e0Ilx<UxVDh z#lQsGR|vXA5hTR|x?2X+fE5tXU|?wAW8hHYU<8Rtfr1OPvj-Hd3>_Ry4NV*!4onO} zjZ7Ru3LH!f7EBHxL%{LFz|jPbQcxxU_1<9}e+CAbT?jU0=P#&^ZviC&@REFxEh8I) z?j42H#-JhwX+R4cEFdv319W&Qg9CWkHt1*^2Sx`02T<J)x~jBEKmfEdHGo$De1SG2 zXg58h2)If|6iJ|?x*#U;BlQ_UA`m+eDnVi+41@MEHi0(zg03&&U|{HAYG6=MVqoY1 z5lW!xcW^RR;9}@dSkS?=K!J&2!78o=43NexLjwoszz+rnrVb{Ch7}4ON(>B=3JeUA z3<@1g3JnZOpqvK63>~2B06{rKiHQNau@O|cFfcIMDlu??3oY=1VWkEp4)DfrP&|Nh zAc!%tumC7cgGvkV{g_OP7#O$~aDncDZDIf&RLj5wF1x_VmWhF(gNu!k$%%o5n}L~0 zNJNPNlrJFRrNF_&A;=-X&?Lmr(6j<v2z7BWFfcN)C@_Hb$SZ&rJ%Ym+k`cgr=RsO| z*Mdk$F$IbxP;m#^+y#zFsMH9fz@e=GIyj}3frX(3dTS#i8v}SHJre^9C~TM*Bn$)u zKzCd^%xLLk5MgBSXi!iERWhJEO`E`10fXX|i6MYR!G)1Q(4~ThL4Z-gp@E@;i360S znjq~i0Z4-zT&qB<a=8kJh5&{IpluTz;0;ENE}%Odq0s=2MJRj3P#j7VKt(+x1Iq*! zMh8X)KOGJy2L}d)4k1uCy_181fy0T3g&}}J0u)l9`kIYFK!V$W19bQ!=oT|*NP$Aw zk%7TMgo$ZVp92en0#gVRLxUKD10yK6fVM<{f)|7#8kk@WXyzQq?U10$ph3ejpfte1 zz;FPv$rPe^WRW~fEubq+Sy;G&K%vUO(BLqEMS;bkMW7=9v_!}W6hyp?pbHhfKuMXw zB|!tU@=FM`X9!f(K!b}>0kky*eAUns2SLUIpgbhNpy0vK(!ihqIj<9{5`%gIYGQ$` zfy)ShS_g36h+{BwC~$xVP*j^37+P6HRG1i)L6tU>A_r(479$4-=ujKbQ5_P33``6> z35=l532S460|SR52l&(>Sdc-pfJll0lK_iC0BGa(1|<PkkX{8z#Ew;%ayTvM4P`>2 zg%Pw#Y=lo|3A8XUD2FgGa0G}baDcJ{0}}(Eib5MBhadxk3L^sxBclcb=&UXd1{PNE zb#n?W3<97kf<b`+sq6yv^A#8v6c{;JI2at6I*u|ibhv<;Qykzs86g1<A)#>qr8wt- z$H+iD4p7m^z`z7rxQDnQ5F|6Auz&!l5Mp3VFaVtkB*4-oz`$g|z_11s2tFbX4uYT& zUIqq1P>t^-pv1@lIzSj&W<d%&kV<eP3Uu_a24q<=3uyNpB;$a>7or4>gvF0kCRl<I z+~fue9sq5dWMo+Z79XJm2Lq!9sAI06z{(T?8Zc*40QDglgtQ>R3L1rE@&X-F2P&*s z7#bWH6&M>DK-+;4T|ZFQ19bQh18BSo(%gj%rGd^*gSNJ?hA*QJxDy1D1IGxYRm{k+ z5+pK0@u~(U1};_(jz1|isu@8c3~ahgEGz*}nnYePFz`%qVPN12(r{!f(_`Uc;!$;Q zU~o_hhzevC=4hJ40v=F?23r7laEn2;L1;n@0~d>+2M2>i0z&`?sODj50FU@XRYE9u zyGu+3bWbKo5Ipk9#Khn*L4g5$Gzds=1Y#DJ5IL3rRVHIm1|cRc7T)d~j6ph?5o@Jn zR*5h$2yrnsbtr(kFdPnC4x-9l9a?j=LOK+*xDGIIxCkjAj)&+}2;fo(V9+?E(7@5u zcBH{Yf!W|dhkzE7g8*pgxC4^oK!+N_0vVPmgsK^u!2LwfiR|Ff=EVwExEKP!SERxe zk0_dj<=;HEP<{Q~^8dco>DR{JzolNEms|7y|Gs_y_;l{gckSxZ3e$2GtgDmrlDE%4 znKh@b>_%0!|7DK8YhfCP17U&HSx~^WB2Yo&`>IKQ?oT^^<!To9hd)08s<<u$aA}6D zTM6FC2;OT7)xiXg2L=WPRtuJ<CI%)(21X_(HYNsUCWglwzHljCTsh+IL4k%0gS_3p zd3-_Z+3Rcn)Ya+d#Q(n&|KBb@=I_6G`~C$8$=!=@>d*?)b!PncPR}b`KmSJ7ovgYa zRn_{Jd%CWPX*Gk6-UJ&3ijxHa3>we3?3;CO{k_)G7pqNvz1Uda_2g><CtG7D_(ET- zaUv)OjuQsPMkY2yoG4sqT@N;RBoYnA#_{nVKK%U)+Rxa*z#wq{J_AEX$D0;$A5d`o z2c541I*SlAS@NHO1$0R5|NjdVAY;cM6%agi>X|c>ji-kG|I8!|x=9Em`k(Fpc7Y3~ z46LjSXdCfBvM?+Oxt0LN1=0V_%oyrJ!Dr)wxFZi68~^|R;luy`#tg>)85jfv`1u(a zcJDrHeC9lO{~RnQfGU;$EDSC#495TOV}=qaPC)x2|Nr4T$H4Ia4@kv-w*PO1FPrjz z{R-M60TRK6#X%;cN`T_z|A>wgGw`;x|NP+XYX+c!6NcTpKO2Ae&%nSM3hHRU0_^{P z76uOw24j$Vm>7%>4Lt*ilk+S+3=AN>3=IDh{=b#KZ~EWB-W0UJ9;OtH7JG{jFg63R zng5uXG5-&Z0<lLX2IZ3mhQI$qL3<a#Spzg``(Xip00YA+E?C*cz_1D|@8QA9iaSoG zhW@u_H)mk@3|<reKjHs&nLDN*@W%-oXkjrl=pi>cg5rc>1m_dzK1K#d1_2HZ76vB} z9|sK@_<+m11V}i6&IyDDCWr!e6kQlZI>GxEL8Fi?3=AodyBER75uz?W1&ybHv~g6p zFo4Vgv%!lc99u+YaJg`d*!_$G(0z*)0-!yG3`~Ly4H7ae3__rNi!7i$h76!>iQwri z&^|{d(Edjj22KXhor;j%51_Dw)cBw?(JB}kqCoo=LHig%<17N8Zao77c;6#pO&3@X zD2^Ez7(_0E&m;y5ffigaFcdm8M6h!(jo5>@8|E{B&&D(`fUKGO@ELUX+nKYAmI@1M zscrlV3M%(<X6QY!3?Jg>+n@jc-(mlM2K)c{{LJ9m2c!mu8I6runYo3f84vOZ@-u+i z3osdUS`gZL;JpQE@j+x=AYzbRLE!QaB0jRn3G%<eZC(ZjMrIZU1qD!?2(sR}%fK+% zkbz_4PZyW+a`$pjIbUAR&;W~*|NlQS{Qr~Rj~ORC>x@4L{1S-bJ<D^KA8(xiYE^^6 zTGnMWPC(&+zy|;8Askjl;|>OfhW}sx{1;q*?>+;A;KTzCe?LOv<p2Lwt3YwW^9Phq zK&^uR#{d7k?`OtH%N%En7Z?;671{o^ziS){ic5&`m?SJtc=H$-V0SW(<`Ymj8RYwb z%dQOujG%I;;ltN6=l}mdcfR$Su%J@X->slCH{8q5p9jSQg8(#6gdO((V6gxHo*y$# zn8S_vnc|tE3_sd~c0Xdo8OTluhLv6Nt08<4IT|ORP=I0Za(K|x6-WTK${NImVyHZP zKO+-pX)tKi5OgLqm`1Ac8DT3M5z~v1eU2<!VKmfO1_lPs@8HUw5!9jp@2Lbe#TghF z!HY8x%0?io#REL21mCxa9t5y`j8Gc1uaSd+fr$sQ4-!O!bTIKi_B}FnLiQ*^2WA*R z_cVec4|Go>XhMwn3`jKub6P;va;h<8fEK^-K>46G8W1I;BvBy%8)+v>F({-_6e8<p zdx;`7%As-yU@7#_Y(SnbhDh;UgV3WSr6GWv(h+814kseyAS`faAHsx^oc&P7C^Z@a zLnQ=Y$se5txgR11Awhhc7(CC*#K5otd@CAQ850Bex*5>wIxruJV0i>y%ZDT~%7lgh zWM~D%0Tn=~#Xd*`w4Q|lw13WlQGf+hZ-7px2PubPkQjr5fCKC%rvT96Z3j@J7PQbC zbWH)oPzO-U8K#MWfk75Djt`N744Z-25F3$HjaVj&0O&>^P@sW>0Mu#)Gav*c)jNRC zlxF~)&h5wm*`5lL7EoYt0@oGL#VjCp6R5QeUb~&(;Nao_T7U;q3hl^%<B)-YfnNzK z2&TYxj>ZT$EEw3}<q9mYAmUIGR33p(5(M4p1nLTMNU(tSphD$Ay+jt!l{O$b*z#<o zD+ELs7#JiNlo(tXBp5hQ_R~p$?&E-)GRi;;0Z?55?o@*p(4k3!uBHJWHUtWBs45ju zR6wc<kX~rT0A@0P_5*`<4uLK;ff)r_O$lSeXsO#U)+mh{0t%p|iVXrBpu!v~0}Xd5 z58A740<RQyfW`}GFovlKw15kA>k=p?nV1+lSQ<bpkKy?ay37%@CkS+Av;y=FMyR<A z+*@EQ7!5AJV4M+8gK7bAAi&Bm7!4L=01cdgL|8#FC&B?LyFiQZ!EpkgMC1T3$yQ)= zU|<B_=L^m!j4YfipjZXn=L<0lPRcBTZj^_Mj544h0L~|1b_ZmGE@UVWEP^0F_b@Uj zaWH|dK!J)vN-Bj0h9(9^1@JaT21q^u%Y!c{ZCKFI09gwT5<|^@3_{`{K_m=Xx{Smf zxl9E%1`Y-;1}>~I0ScB52hh5Ch9-^<&?Ouokp>3P#yQaKz#tx^XkuYvT>vVy7(sGP z9N?2CK(e3_FD6hsfr)7Wn1%{6FffQsg{;~Ji-3op6~MI=m_M=!P$z;xp%K)f00#u* znk_IB6i*;=7Em1yE{Yf!7}y$Enn2szKxYYqQoce9NVWrX|0VbU2?hqP08rR+FmZrZ zp@K?9F$U2F(B-u(plubPm;;**Dg(icQ35RlL>M?gJETGB8BGSl1%)XC1A`kA0|N(# ziwCGynZUrn;laefB%t8H0@~03u37{@8zVrsVS!o!3``3lx?v<Vi-0l=Oo%}qd7p_8 zL_1t^WHUgA)Np|A!onF00t|`*3_PH1D4@0%V+sQ!Xu5+z!+`<RV+Z9Gh*n6m$-sp{ z1ALJkL=aX!fvPRI2$;dv1)jM9v%$>+2y2uCg#c)+5ErOB#|YXd1rkBU90n{C7!(8+ zG<1UY0E4f-ZU7yH9?-%79&7;>agdk+4Wu*}I52S_I^>X+066oYn#v$jfFvLQvLDKa zX@PP_AjJZ@MUH_%19J5omH=R3=x6{R>A_$CYG5%ia5R8(gaU_x0+WUUI4~iLxxkt^ z99S3@LZS%FX8@H-;9z!uGzVa!g5WX_%0txv6&$G)BeZ7+s+O^affuNzW?*3G(o$j& zVqoHkkz(RtQezC61HNS(R1bk;hyigh2Nq*cDiWx?{90J<Y3Sf;IH1sQ0lyhgZ6knc zXlQV70G$+o!>=r`qF#grwDmpZ5Cek;1FN6{c+a9n09yJEfVZk(#(`s_fdR6U6lolc zfr0H6Obr8QK#c`7G6okJ(F{gV(Zaz1zKN6#GG>kz9u5pl4gp~d0t_5sTr5IP4h#+& zTmqU53|w0n7=i)>Ks)I{D@{Q$#sH2J&@ob-Fhf8MHBf$N1a}_6F$9(3gsWj-VF_X2 zfyW6%3slv}q`1IsVF3m%P_q|NIYR?Oz>7&BM1z4rZk<(;hD(-jhmDk+gNlv=*NO%w zh5%6TF&Qv02(U1K_G*I$zFdwlC<K7IED!@4z*o*f_A@d<Hqt>P7{q5Yz((;qxRe+a z4ls<CU67z@ZR$#J(qK3&!oelL20B><A`B-*yu3upG77@v_SMzZ<>kens*#Gz%(u<d zTCwV%Mn!p)00)-=3xfcQ2nSaJ17iSVSILnEjW8DYhHB8>xdsMi(4Bw{jE%_ogmnrm zP8xdnz8%oH0;^9z5e>J0Br*g!xW2GxFofWW69F$Lfw0VgGP!+LRdsQB^`~m<?q%53 zWybBf^(`PIx<r73YXdw^7y=l$T)uQ^256ziNkelZsO(~FM82Atj|mnh3_W~h3_4d} z`DDb$2{Gxgw3LCNfXm9@_wVn<p`pgc_V)Jn|Noa8V_Ow0%fNV$pMm)^lDW9nsoKEQ z{AYmd5`*zaX~H1@ijxmq1_ssD|2H!*tXfqMjg!rr4}i{;Kruk}jNwQA|3t+}Fhb*K zoFKx2I9BO@28Ih<_V(YuZ*DMPSg<Od-`M(o{VGsC!PuiK```9&sBwHelF2yo30oVI zno%Z+ApqLP_yHUz#tfjc3v?^(|Nl7R#F+PNs4?g^TDT=R;siWM3|53o0a$Vb5{@k* z9SjVLpmh&u{Xa-xfc85w3Mhj1JxYM~F)A_$Sb&C07(q)PKz$qN004AJy^{iDA0tFF zgfviKVSwG!2;1KXT2~L6uLRo}h}csJ=0miNT(ZKU0krqo1=O{`77Cy}hoF@z3=E*I zAO}NYO9v=UV8gv28Wzrw@I)H=0-2Y=&|v_%r?Ekb1=M*234$@pWSE_xy^kO{kX>M@ z5l)oyLw2zJ|BtN|#lXOpW^DYwf#FZbECz-N6FxIcoqG82|Nm)ep`rHw85lt43xMu- zT(t^Rra}0`1}HP=<~s1+hp;dk8_>B2X=xy{h}A!Q)&1hnVEF(4jPZZ)E_h6Trlr~2 zgW?2Kr#Lu#{(wDB{{IKX$*NVWR$-ceOGMa&fdLW+pg1uzOG_J#6G&Kv@v}0VKhMBW z58d7f5k-(`X~sr>85I67Fa$V&u1N;P2?Ik~+SJek3=IrZLmR;1x@y(TnV|3m<r{=v z{4BxU$Z;~7PY|KCfFE=(HiI#aC}BuTv$ul8$%6y1IAMgw38bt7#l`>spg18hpKKT| zal!^!r_KPQ5ur<4mIruRikfz?!v}(?Y3e|j#i#&UmcYOOqv_=a6~s_4m8@ikpH5FD z14oUaI0Pj4$TtGC9+MQ^v{p}wTLxY&?#1&0qvO8=A3dWk7!83T90JI5f24Q=vRnnK zgNZ=_!YAG|-Xl=Gqtu`Y0U=qqQ!rKmz-4eSh>v-Q0kl>Rv4#31F&z--s$h`iSei1R zMHV0_2!`Yv@RB@;0ElFPY*_^{nR`Jb8itHxq6v<2Ne%(f<~q>uJxC)7VJZ*@(lCmt z6auIj0*|4vvmZf9&<iLQq`62enGU376ypy8Sdq-(04d~PIRZvQ=eD4RAVgss?4S|| zO2Qez9%Z4102yf%oKL_6$S4Q!zC;1=(Wf9j2qWSI!9o>hkVCrI1|$W>sH(w2qXf<n zfaQ}8XdHrej)D|JLJ?1&943Wcc5x$}jSe*vWZo#Ib_jrW2qT#Z&r3*RFeV3{v+QAN zMmi0tCh&&>xP6ODAqQ9%ZUC4E$whE65CbN}$_wIwF-!=|86`$TU^E0qLtr!nMnhnP zhJX@-5(F@TPG}m!fxtX_2s<9d=}HWsqsSm_eijBsIydYg_M$k5Y>xOBvb7F6ji5Lg zbdDm!K?3NVNHWYA99o7;jDYt*4vrwD*cFhv1VoP3Clm)Jl?)iIPpA}-qsE|wfP+H= z1CxSeH;UjWXTU-Lbm<D{x_Bmq;j_ML_7et%hWLx$85pwN@Epm36y~n13~U9^X>iE3 zRY;PUOb&*E3WiDs*a0;j3=<e8Ff<4(U}a$7o&X!L$J9oW2za=d^BqZg2C?Evt_%#q zlHd0<FjU_sE>4&@7?@{(_EX{X70R%z0>cc6*0~Im7$!}cATU8-8-o|aBnAP76G;;U z*c7M`CkGf9SU~sMFo@nBHjQDNAxm!wSWNOjEr#)-T!NH5+F5u6HH<1C7y_^%1lX1Z z7@wd<hyo7(-4MnoIT`}PH3V=?HG=$$PYxsx!*1(ftWkQvLIACB<Ah#mHel`<W)|Zc zAI2w#91Q%(oKg0`gaEi#f&h}xBcc%^qb%x%09t?(VK@i;tSBNBk18Q91kk&DXnaEb z6>)CjtQl2Btq>rbZzT3m%dSy#AR&NgM3FY$g2+sg+7OdQ$<YuPz9E3fClEh^SE53g zcu7P)VY`V};ix3lLtwN%p?Z)KV<39N3Y|~D4E_ZK6pTuf9Rj%OctTMmpF*~kqZ)CB z0HSVyC;{_{tymb?LHB7vG>($=4FQ~S#POBB4jHv=Gz11=2oP*|abVnIItYC+w44Mh zlhA3ReIZdww9-+9qaiRF0;3^7uMmKhX*lhZ*osqXRD!$^P=GG2goQnf4>Ju(943TH zi(f_MkMd|10tDj%ZZpQ+DR7xl#%KtPhQMeD&?*GLgIh?3qw|rZz)TEzwn<>|QDTsU z0I1TD#CG=JAaUPNb_V)7R6=}Yzlv)j^G4a!2?6ks7SbdzIv;Ecl029XCnUDPnWGHq zgaBd*Dx{_$#K*8zAP7TfRDj|T0M!Z*Lm+dFg!mA(Fp_aJPGCVXN{@!XXb6mkz-S1J zhQMG70T%{V2L@IK2L{lARW1w+Soz?KP8l5-Kv!})vVg9qcL24GL6|}C$zbx%uyBhK z15*P76R4BOv;cIb7*;+5LLS0oVpzbypwI*g0eMIp8pIsMqaiRF0;3@?8UmvsFd71* zAut*OGz@`3d43n}>m+Fy5(8&6xKn~;Jy#wQ2aO3+3!~AbF}TF4!_Y{i0Ikg@(ix-5 zMqmgCH!v_j#`sD1JT85>#L;aaRvo&&QT}KM&^rV``GnkZ8kas?;^=-ORvo&&;lhVa z3Zs`7LhZPH2vZBAajS&L5UUQNnsk!Z=9BIsWF4SEMF<~~*3kJ7Ibuk8#8fdc%171E zAq2RPHU`7;5*@4^wE|BF@b=-6q_GqvkJH$a0X3ey(w6=IfI50uT8<tQ=zN%`5j74> z1VW2@Lg-PF(hxuoVGtizY~Z&`;3q<!-u21wFS`(7JIWdjfzc2c4S^vN0%Z66M)#Of z9K+y^3`nM+^O2;8V+xShG$79KQB~MOfI#C$)CjvaI*3x7p6KAlQ7cA92oS7iM$eI; zI3b{iF*+a90s{2~hdQQ~Q4y+y0O7d7VT}}l`UZzKY6z3<W@=bYMKjR-0pr7HbgL8y zO}2AgLf1k!J}x)Y%>h)h2;CnrK8(h+hCtaRl#Z!|P9nJ7OeY77S~D5~qaiRF0;3@? z8UlkY1VA%b$ZkUCBg=u<_~a!<=NUmkL<>xIFJl1vCuF;s76C|sndsBA2tM|`q6m2$ zmI@W%kf4V!b~n?*W-8l(-mFLPv3Erf^4J{0B#TXyeqz|&Oh5ZaZ5j=M(GVC7fzc2c z4S_)t0xk}qvsDm|f$~A;tuQcx&Qn21fmu*_FdHi&Wr9_h4uUw{Ob5HEYK2k*Xz(4; z2}JOj7?_kWtwP9S%7H~VOu^K^5ZK*3Fm4&Obu<J<Ltr!nMnhmU1V+JV2+%kLIM0Dk zCZw?~qsEgG0+MGK7)BR~k`iL0YDYt0Gz3ONU}S^<{uwOHFyPR|6dM&84S~@R7!85J z9s;t>1L#7evt9<!6*RYcv_7GE5RY0g8UmvsFbG0mv_2UGkud6n(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?; zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW! z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$Z zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( z6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~ zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAK zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl} zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL? zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvt zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc44C<F``7#RP$G;1m_Fff3y0Yd{L0|NsW5}O&s9>tLG z84Te7jU!f&TMQT!7@7AmFc>f#U}RumWi((|0HqliAFr)j3Q_~YP(8dL_9z}aaXA_n Pqj52s21e7sP)P#-YS=pf literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.bit b/proj/AudioProc.runs/impl_1/audioProc.bit new file mode 100644 index 0000000000000000000000000000000000000000..290e0a88f893b745b9422a43c884d7376dc99235 GIT binary patch literal 9730758 zcmZSJ<o^JH3=E8k4BCmMDVg~JMfu6rp~b01o-Vcq5pGam9hO>DoSC0zYh++#qG!mE z#K3EwXk=heQk;}-VqwCN%)o7AU}UOqV5Dzk#*o6mX=rF=VrXS*$&ku0r7Gq>0l>h( zuv<`x!3Cyl)y&W|1qKEN111GV1~AP4p&1&$G*}M8W(ToB*nlYkEN8%|z{td4|I~o- z0F>Xr$ef%o*#M%R6Reg2B*(&Nz_5Ukfq|9L0L*7#Fkpt*15$$z8!$lK1u>Hmq}G7J zfgwOuCIIB#Q9R^AfR#cQGvm+?6Nk|_lo2Z|z(lM{1_lOPeu9Zp$xS4<Xjm%cJvH#& zVi|ZRjJjYn1n`Cc|2e$ULqgI4>Pk|IVW<|8C|a8ja|}l&j758z)_#J;4efnGTN`*D z(bjx=m@WXdqytLRisGP^!D!~P^`i;Ukc-PtxWsAblu@HcLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3Oi2*_U=@*YN6MhRNgO-moq+I*1PC3rz3{V+ZJL_gn*+B6yhqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0@y;p0kr)Dgt19dL5$YsBb+FH1Hq;(i*|l;WC3q~UBJMg z&_qK&(As>s)9Du{3^el-X#W=zh=BWQlrb6tqaiRF0;3@?8UmvsFd71*Aut*OghN2? zEMYYRtzvW@Y@lOc)PBA*gFg&l=R^#CmyWt{Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz1_aFj&@#i}pg?Lk$v_pKys&!_HANhIa@I zmbK!nJ=6<lTz<kOPCc7PO&Se>(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd6~_69W8R17j~Gwz5#7 zc~tLc2#kinXb6mkz-S1JkPwjf9(o?-7<x_~b@6BjjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c@gX2L2=6}` zz29WSC(F?Q7!85Z5Eu=C(GZ|Q2=EQU`%gyiH=#nrjv6r<0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n23ZKm z4#52<qxYK(vP3ZIoY4>%4S~@R7!84883McmaR15Z{U*aQDUEt+Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-R~zi4fqS!TpS*_b(2Ks2_FYXb6mk zz-S1JhQKfn0T~+H&p3Mj;xJD%quv`0fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Euoc zAut*OqaiRF0;3@?8UjN&1f<EhpK<j5#UUKwqfQ?Ufzc2c4S~@R7%?HhO~(C<qxUb4 znB+0)(a{hX4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc44S_p7qx&LJJeiNz%@2EkeAut*OqaiRF0;3@?U?Cud z<^Ge=`%MNcIz}xX4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FLuQ1{SnX;M77B80C(J zz-S1JhQMeDjE2By2n@*(;6QV=q&AwsD0egjMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR zRYCy$9w0)7N{rqUL@0<x<wrwcGz3ONU^E0qLts#Z0Qx;ZgdD{&dQT9cAR3h)4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVCwK<fRAgq+IG zMM%Y{{AdV_hQMeDjE2By2#kgRJwkxg`xgnhNt~OIic$H|5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z;TQtczTbpU7>SMEe?lmTM&(CCU^E0qLtr!nMnixqAwcc>O$a%PZS?*VLP0btKN<p~ zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz5lZ2+;d}6GDL`I(q*Jp&%NS9}R)g5Eu=C(GVC70ir^H-uIgjaun<6 z{U?NiXjFbQ1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk z0G<#SZ1*qXaVl7f`4yNNB}PMFGz3ONU^E0qLtr!n2!z03yMK{@a~XtR6H+lMKN<p~ zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n1~ddX7$9&!9WiS8Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(z zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q z!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fx#LA4ujQUB)Usjk3<cFSovt24C2Tcb=qhMjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2tY%C)V1HVRxiB{>abC2Gz3ON zU^E0qLtsEdKw&^_r`&R`#S9Fj)>)L>I=D<|AkjIrRxgymz(A@UBzj>~`Dh4?hQMeD zjE2By2#kinXb4ax1O%vJ%D@>SoHKBCkJ>yM0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A;7>Od5M8#G#o}lU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQNpl0Y)_64k3P*A_IdX3j+ho5OOm(v@zU^zGGv^@)>XP zGcYhQFfcGN4Ou^cqiB>E4S~@R7!85Z5Eu;snuP!t%}l1L!2+NPo~Aa88a^5VqaiRF z0;3@?8UiCT1O~c_W$hf9;Xdl?p%nrg1MFPU?g4fHEvz5nhx!Po(IQYr%^VGZ(GVC7 zfzc2c4S~@R7!3g$gaG=KDGjWkrIF0(v^0Ix?9mVy4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7<M5*=~*R|>ZdqB#U4;>#HazIAut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?WI_ORRtYN}*Mj7sH|k<tfe(^rh1|G{RUVJg zRFRVHpo%d>7y|Ylm>@ziNlHLrh^zs$&x#2|APXX~!BSvCp$SPC&ICz8^kbKYE2I%a z>NbrG#%e5W{0Is|@S!nS?Hm;x4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsK<yA1@Pmg4 zcu1s+fPzu!(GVC7fzc2c4S~@R7!3ieAu!PQFJg5Rk|4`)yq^)tf1}LN5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FT$gz+k_Bk&thNvk0je zl^+d((GVC7fl)9T0wXg72K)Vsgkps`n~;i8`Oy#<4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-R~zTnIQot#)XDGN2S(9LmQ|iGRl~J|sn%akv_nIJ$CN>Trpp>xc81AS?`h5OGEp z2n|t$NwR-N62mY9DhCsX(NJN66shXb4aB7%CJv*~^+EZtR0-u0qy~$6DI|v=F$uaK zq+qbA2f1k!kA}c#2#kinXb6mk0JTE^tszR#_`#wcxsD$!Zh{3KsqTU48>L4>U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`TN5Rm7>B{TTM zSq7hzMqM--0;3@?8UmvsFd71bHU#*&2d&dE9L9kmGAb|{0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0s3jw}CbU)+h{fnf<-Kgr(5Eu=C z(GVC7fzc4a7XosF=zhl0`xo)W)TrEO2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1J0&0W+FCFh^9KC;$8ZkC%#%KtPhQMeDjE2By2oM(nvUI$k zarFL0;^Jgf)o2KehQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-R~z#}JUA;{7M1_nQpI6f)|k(GVC7fzc2c4S}H= z0z6c_|77%jlcAalM%_Ic0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nXdeRHB;0>8dcO(n<73o@(GVC7fzc2c z4S~@RpjHS-lW_mZ==~<t3iVNQMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjD`TN5Lf_}fYDGc1B?%&VdA6oXb6mk0I4A$g>*mT=>3bNhTf?9(GVC7fzc2c z4S~@R7`!3Cg>*mT=>3a>H=agaHW~t>Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU<8Cf19m@hJjX6RDoTeC5WsE+#}n-0qoSiB zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnho4hX6Ao1UM1QQPyY(jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz5lg2sB{#7{_z$;-jK; z2mt}?c5pnwE<P$c8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz18S z05d`bCxSW38V!Nb5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UlnvpaHuQj_26LM@8un0s`3W;CO;v zd{lHa1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV z2#kinXb6mkz-S1JhQMeD3~UH2fLafup<D(SA4bE(N9oZJ7!85Z5Eu=C(GZ|P2rwhe z<U}w>S)(B^8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?U?ISS!)A$>I3z}e zM?+vV1V%$(5QG3D4mWVTz#%azJQ@O{Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kgR!4Qx?MNrA0 zSHLppU5MR*OxT4PBwpf>7!@83fe{!2j5z$v@dAg$sPJeAjD`R_1o%(GnM0PrF=Smn z>hRGJ7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O^bP^O zA#gwAP`!VV-f=l<-)IPohQMeDjE2By2q1@m+z_~*arFL0<QN-ekA}c#2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GZ{{1b7F*{fr~^{zWDn5hw8y zhs3DxXb6nJ5MadNXO0&*Bu0ftLtr!n;2|J82<~Sby?+rN9ixoV5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0s|HT3!pZ` zXegHf#)r``@lkp-1V%%E)DV!N!~G{C^?nml<6>0(Xb6mkz-S1JhQMeDP%{L0=y3nZ z==~<tjImL(MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM#0bw0d6YXe=>T%33+kPghQLeOB@oT!lNND0z-fi zho3oK;E)&<9u0xf5P*k(G!^bY8NJ^G9-O0$(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu;s5<@`hBw-D>?sg=sb5zA>2#kinXb8|I z1h`HRHW$}@n}l_asu&G{(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Auw1&0M{Lh5-$g<i$~ox8UpkW0bKX_alD|vTSjdh4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?; zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OLm>pDP7-z{uDeqR>l{@v8Un*31h`HR_6M%J zQwZxERWTX@qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz12J2;jQIMB?S(cl)RdM?-*K zA%N=+6OI@3a>1xQqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONfEppN0BQ(~hH@ETd>9QAAEie_U^E0qLtyBKfYeFCe!z9NBVnDRDn>(K zc!U7g3Bvxsb+;p7ouev7Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^s+;5Ca2)5(DULa}dVrBSoyDgHVu>0Rjii zX-o_dNEHVNFfcGEAep4mf#3)rNk|}xBUF&fYT$xEa`mI@yTA*9=;FhJ4~ip*PZ)cI z{UIz6i4;8`?kJ{X2ynpj17i=fAHrgUI6`ccH5vk=Aut*OqaiRF0>~kNt1T??5?R$K zdo%<_b_n3Q>xbjT$PSlL-;ajCXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz+evnsgs0Vi0f`g!a7G)jE2DQ2m!7Wg#Cf* zZb!m8M^%i1(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85J9|E}UFp+pU_}xD0!qE_* zR|w#`!-V4ny<9MA&u9pYhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Exn^Aa#<kdvV>J zLRjahiqQ}l9wESWg0Meu-JL>M=ctO&5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UjNu1RSutSmGIW@lnyy5EzjmFq%(BWVDZZdNc$^Ltr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb4a* z1QtMzg3(Ye1B?%&VdA6oXb6mkz-R~zoe*Hg;Zy-e91^3#qaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3^7=Ma!OMudep?yMt1=ctm=5EvFAFq%(>MKq3jVl)IsLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb23e5FqCM6I^$v46A?`^~`7p zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjD`Tt5MaU~Bk>Z4#HjFS2#mlG zV8r2Pju$v2MukU1U^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-R~ziV%=GN!S^<?sg=sb5zA>2n>%9;5tFrAGq#zB&>5( z#b^kOhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4FO_90M{Lh5-*80VN~5{2n_!az;(wW$BW_b_fa2?hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S}H?0#YXl zyB^owj)ZlNsu&G{;SmB{CkXok*WHeUb&jeS4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*Ov<iU*Xa>XhFd9u7$`u&BzziA^qts{!jE2Bq z3;|q4l*G%y=-Q#~CKif3Aij$t?W3Bph5&K5C*o4YAAr@+QNhs=7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71bBm|^R z5_Sl#yB!JZ991zI0>dK&xK0rE2d=vv3F{nHF&YA+Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLx6#SxZ4wPsp1b94a3n8 z7zrUj-0g|DRE_47k&s44{Wux|qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtrR}fYeFCuE%w^BVnDRDn>(Kc!U7g3Bvxsb+;p7 zouev7Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1c(K=?pTy~NvsK@>PACgNQb}#VqA~wjzx~w#ONMXGa3S;Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiR*As}^<u+6ycb|kEGR0Z}Bz#pO#H?iv)6{Tee;JTR+VkeUTgvLkWHvyl{QMu6& z7!85Z5Eu=C(GVC7fzc2cE+N1{u}8#bQ*6Yj0Vp9b8YiP*Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^D~<a|p0d;5hbG6lfmRIvN6_Aut*OWP||aD^nR5#Iwn; zcvQ=12n@jxpd`PDuO5OP9(D3)2#^p0l;jVXHKX+jEF?zh(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2cm=M5q<A%h`fpO0WvDE?9pRipiFd9`B#uMKLV~x@@ z2m!R4OklT`KxhbwX)AvWrr4+mjYELI0#=U2G`4>j8V@RYKp5R?*u>D)5W{EBB1YAy z8WKYQQGKJ8UPPG_N|dTmMFc_s8VL<hWiT4bC5;jvZFi9tV3?}Wi!28QkO*@AKx=(r z7=b3wxCleVr~pYJ0IIM-7^aDX0mMVbFc}05l11>)S^Np;BBOjlApi<d5XPbomf~SF z7A1s*1RfApGpd4u5KzFP7gV-k5rzrzyTe$cG?^g)4q>GJAI0?vTNjxw8Px_20Z=Ih z!VKVg1VnN$Fff5cU>K?pi2}(WanYFkv(N-axuYR48UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz6#{0-zPD$ZNTYHC>5Vg`?_74gpYnU|X9?$RI^R3P$BeLtxN{ z04U{yFg}Mu_5>l5$g1%#O=S=`f~<IyO*jO=OSTzUz#D%+szH2E#lgV9zzAY9Ft9*r z2JpgekQ_)Hgc;zvKsq7Vfq{h)A`UVWq)MKTfx!V(?lCwpfOJFDjglmWfB+~w859@< z8W<QLHMAgu031RL1BWu031JDcFhF7rs*V8`0m5!zRUiVQ62uzC#D@S{DYk%ti9rDr z)C~*^7#J8Jr5Ew0fmJd}f~ir0Iw8OWiVlcTpn|J`fl-J7Gp&O}gdnQGvS<Yqdk$1? zlp-?(m>3{C7-8BW6*x!?!~$WM3^p1ek6;Nbg0LYZHeI7)XdwVf<sghE4B-ZVDMkkd z2gU$s73~1pC&a+OfGFiaEa>KKuyPmyE$IZSVFIHxX(50}#T*PBki4P<4tE6xP<Sgb zC~z<_C~zRh1<V2w1_lNJ1|`^jE(VZ3kV>e$<Q#}Dgbh%MQHr<_K+iFZjNmE)rkN4c z`hsz=(IEY3^$F+benxB|g+~mMdLbl885f8El|~E<3>-`i3>-|5x&)NgK`mowfdt>} z2vUxW8^AIk^C0>d7^KZ%4Ymdam~LbpqwLWT7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!84u5CUA_(Oec`E-w&+MVQMCL^Di=h_ii!h>Hlr!-5Sg z&j6PPb6IAi@);&GFa)N-#Nc{I8AOGEI%F*Pl)8pO14Bc@DRl+V6bMt7x&lK70|WC3 z2%q5;!Z3&ih(3@!Xtti|780L<fq|)ufq~r#CWg>E%Aza;6hMIwn%5%F7y&t1@-&X> z!V>~6c;pC5F)=Wg5L5)7$|S66R0TK$CP0^Ifolt}2&sev=Uh^?jH)LX0-&;spdy?K z8d!eel!HrvRw}_cqYR7?Xy9Ow0<VsM$*?dq2nR4QFoGs@VPfc$xJ;ncEIbfdP#l6V z0~=Ts4^n-CYy?E{D2Y1+z^hRmz{^V=TtFcTEv+2DD^--5SQwbVtAiauMu1jAFfc;a z1v@YVFfclRR5S80I54mXf+au+pV0%nJe5-)qy?k^M2}(;Lx2&w?op8eT<bCgfPxle zMkpf#10yIV0vHq+92po`Kr2-RJV8<*Jb?kU;z)o&0n|KWabOT&fZQ7ZidzPbB9M|% zOnL|ivM_KkuqtrCN-aT9bT}|Es4#S`(qIr^P+^RiAjH6s2oi>xF2K;hARxg2Y6U2P z@{j_905nb+m{=H?Kv4+7Vmp`^1sGHq6p^$-O&Fz!3IR|V1Hzz^-;<?-g~Nb>fx*D# zBSQ-ZQ^SM<44{>FpxnU8z}UbDF4w@uf;KgPA_SZ>KqBC(1ia~C^lWrcc#w=67(kE- z9FCv>?_gkR5l|9Xz`(%a+_GvBCkq2hbCDtgiwXw=g8(N(2SWo3C|j{KG&C?UG%ztZ zFfpxQ;D9W>Z&<{_(ZIyO0Wu7P8Ca(`Ff=eRF))ET`XoDTR0C27fQlUkXay6%z`_#3 zD!>Yo28)9ji~@|HHK`z`0%%no3uw0rh}Xoxz_6$T!~$d1d0+}mfU^^rHA)Z&0Z=YM z+FruJz`!KMz`(%8z`)V~$}9??%)rFd08+=q!NjEiTJ6ZCz|{cC4Gjtm2S95kIT$!W z)-*JTa4cW|)ivOVVqg$|4-y{5WQ0Hq0~13FXxNaUp<xvR18ai<gTjI?4nBcZix?PI zDM>8SP~kA?P=M4S91g4u3=J#}3<@j_3{4D7jqNH73``0NO$-f6N(@Y(I-Y@nsR3NO za2y7eT}|MY92xE!!CE94I9M2%1=ySf99SG!83Y;_nFJ2HFfatLxQ2?dga$S;1~>>X zI0SYnFoG(PC7{>?WgAdY=D;8X3Vj6@0R~0TDq(Ohhf#om(P07u0|U1UBclL=2!kLa zxOy4EVN9;q6BHa68bKXIMx|C31_l-;js^h-K~OI}ND$PpVh|AkE%WAJPyyKhY7l^E z2F3;l7N!OP4p>Frz@e~(iGc+a3!GJ86{7^XA;8FRfQ3PWp<!VI4~tS02V*lRABZwE zGESPr(4o-4z{qfrfsw-j+^6JVV1kaOfNWcmz`)SdgwzLdXy6dwTFAg4|C@n<5i}<Q ziXf26QA}hAFo8NH3=9lT911K944e#n2iO!CIyhJuvJ@CVBPU!u42%v6ETH{A3=9l1 z3=9ksObi;}N&>8tiGhKIg+T&5uJ6FW#N^Nb>P8B%Ffa%dFfcfPG870C>6%exun=%y zU~*tkVsKzkWZ+O#aA0UkU|?VoU|?XG2x?MsaDe(4tPC6ukiLEbD9{<0z+38^92glA z9AJ%Muz3j#3=ZI7OEK`UmjgKZz%ru*i6H<^%nk}1pg}7Rh6e(U3=At67&HXH!--Dd zmKnHr4&A;5>h2@k0BV<k`QSnfoP!wU!ECVTC_zdHG%!NC*&GZDm>3#3LBok20*Vq2 z3J#2p3=AP04B%ak4NMH6PzR69LlQo$lHd@496SLthlvF=@B)er#(tO@Qrt7D7Icya zBotPtE@)sBXl&TP#ZVwBm=Tb~$ml2_tRT?9HAR5Kp_7Hdm4O4CIRsdkIpF)}8yL6( zN|-ps8n_r6L0v_L2F8>r3>qxVjSLK8zMz<am_AC95(15&mJz6Zbb*1X;{X?nkBD@W zlLlj-WW|IXJPM3Vj8~i*8YhEpYGh?#5P@z2X5j#3u7(DXz9kJD4Gc=4z6&^8a7|!f zY6x1x!N4Fkv!S7}0fb3$&!}1khQ>w)aM-^1`k-m$rPWLR#Y=y_zW3+7>c10z#%wN? zpL*}w)RmVz+c-*DyEw!YIJgX!aC5NnaDoOQ8yFfJxW9bi>b$nB8)goOzangXCj*0g zMB`|jkd?%sVcEF)>z1z0EB*a{=k5O&UzvNqx^m*rxy37my6@ea)p@y7pX;b7Cr5}5 z2a|{iH-{Lgr~(ZRH#T;C`O>vgY}G1=ISh^6U#2vz2wT6BfkA#rV?$$OLqlUDSq>Z3 z#K8QSfnS<|pMlRd^zi==XV3op&#>zMhyR~`{$x=8|0VSNztu{=|9@a-VGRY({g%Um zj5&b$vnd0=F`WOI;S9qE=Fbca?4TJKnD8h~MhLL71_w)r^8e>;1;xpoJ3s%gVt~ZS zf93!Bq33^{6#NB>6Q*<A^$ZMb@N=M;<C#C3en5^BcX$5(pg55Sbuh_r$*2}s2z>n- z94!6cIF$W#sLcn4H*fy`WMKHf@a6yf`Tvjn*AM0S9_#Y=0|OHu*D6r+i|HLq-{<)F zc+>y?Lt*^*cz1VG)A)D>261bc*eFe22rz&C@LzhBaVWPGC{E6u`~P#*DoC6#9Qpqx zl;^8u$5&9Cu$c3{XJBAcgW2*qARxe$p8*~x0RhJUO-%y=7#R4W<1sLmqcpK003N7f zU|>mX5$WJ^;W)%_fWbh4g@MI|L1c#l3xkjggMg6&3zHb8c><uWzgP-`NC!k6SOrT8 z16W*$fkD35g+YKxfrSB!Ua;Cx0!Ii`Ff<5hurRm?BrtgB@GvoDFmxE|fcOlJ6)X%4 zOce|rmO3mf^B5S|P)x{RXpquiVQ>>rVDQjk0jYzUqrkwS0UGmX5Ia!8&>*G5!T_&( zPz)I55DtO=3}9$%%>O^YKGgU>7sLPmBLCz6zy1$dvxVD)|G1?_Whe>(aEvhg=jRVK zjt9lb0*3$pHU5W^A1B~4j3OtEc+Jo_VffF_5MaR1@Shc&PgecE{vWl_!VD-J`D8Rs zFoTLj5onx*hK3r)8}R@C9{|oLzsQdh673&Vju8Toy@w1_K{N0mNeG|qBbO71$1s(F z%LB{+uY&-wn82gN(0z?8n<461gkdxT17|5j1q)~$1xUvzCNTscdk>j<K&ya3TGbUm zL%*Q?il86`iKAEb5I#g7^C@)&@IiMV8l?Rc0|WndNGArQ7bG-_sSpC7$RNTPP;TG= zM+mB7`8ZVmD31yu0PRkaYZ7Rk1i5-g_2CEs@T@uxIjq8{8su(ZRWvF{NeF<(PKYeO zu&vRS)T6}m5v>;#^6&sqU;wXk<Y3@Z09E!(9gx0>1_J{}0~Z4aXmvMuhL?eX3ra&4 zvnYVq7e&C$0o5^ryW!kX25}*PTF`*>uz;6rc`!JzfG;d@WMK(l>2L&xHfXg2BLge! zY83EdRR$K=mIzS$n2`}QIS*OG;s931cL=%y16w8n**A*uhX6RYD1a6QF)#=uFbXoX zE@5y~U|OKX(bV7}n9AV5>8QfwpunKS>CnQ!q|hSZ#K79brO+w>%1#Un0uCVB(E+q` z&Iz;zl7WFi@(F$`M`a0xfB=&KlL7-LDEEMKi3dYqC?gAlfCCq30VD(L+6QQw2bE1M zObm{oPKg93NKgQjS(q3Y1;MRi@bzY!AX|q(D~}1;J}QqT1VDuoSXhyf0d%K{0BB`h z0|RJ_HMqtAEmZ|Y1h^yvD+Cds$N=w+YXPx17!+7QG)RVlfq}CI${3|c1E8%WARP@1 z9N;DvINU)35DbngCh*=xxD2?M14k5Si<cq;#{`BZ2GHWw1_p*EP|eSz1zMiOAUOfN zMH^z!C`n2PfP)=Pg98IAXjQ5r3!{JoD<o^Muz>Oam|y@!2>7f6Rt5%;G$?blfVbR% z&EbHw!uS%wyitPm5CElY22e_809|te;(?aYH*|oq4J74rFoCw6a4<1&F)%4Xm4b>k z1|}v@o@oLNjDeQmfmR+f3UGk7nu3JHK_-yyo>3jJ5MX5B0G*{Kz`@7}Jqx0#sjGu& zftDZxLxaMsh6afy4yG0bP(Ou(fk~l5fq{jCnImBaNCZ@5u?R6SG_)}=wSeNU3mnDl znF^rTg$*>rY#OC;hX5!?fR|lEln5{iFbXuNIw(wFn6RQnNq~tVFqA>jhn0h=gULmO ziIvGgkppxd4il(l#=ykT=)eTpcHN}FATX7I!3<)EVhfWZxTrG()jsZ{?Jh{LkV}HA z6;MNqfq|_-fPq0pfQg04gNd<`lgYtB&4a0>gF!%`gM|frA`T-X6AP$T2bF0e3TzAl z4B*Bu0|)5dOi;}aD!T;Q$aTx8K6nU#at<Q{3uwcrN<)K!N@E8rXbDO~3kwG$OMnum zLIS8~$kJe>kO7J{P?f^Sz{bGTz{JGDU?AAR06CBX6dzzS*MrGXf`SkL7fK8a6J|27 za4@hmdN2rr7W{5-Xi#8q;80>{;yB>Iq`;`az`&yc+WN@Iq5;lZ0vezO83O|&BS!-V z=tL<{y$^~n4o*<t9<+Cx0!NK(t>7qe0Pk58YGh+zV_2ZlARxiPsG%XQ$iUbDE}jey zFbF8BGBh|ebSQwf{xUIexHTRCwT)f6928tM1Q;?wap1rJnlJ{B2{3@0W+OXfDfB%! z<%7yF$RQm9kb4>%Ko<bBG=RDxpjxB>RDm}fU|?uy03ATVz`y{R{Q`}BIe<-oxI!92 zjgsVs05~ET85p1!_<;`UU|?ioxB$9;juCni1PcShMbJqrj0_4I3<^x3Gov7TOF+F7 z4u*tAM#yn15I4y4LTVPU$q=DYlEe@IZ`WerGhyIj3}Rt8D<r^^z^b6Y(8QI%%FN6l zk}APc&~TxNr=dZ_rLlpDL8WO569Yq2mxDnAg9}H)1__}njjatq&=ZXq7#QS_kH!g! zQGli#v>}n90d%H{0|OU}<|-kUWs5kqSQ^E60y>>|rZ9r58vzEEBmpMS86PeTP7F*8 zj4UiHYz|CZLM$3C%p44C8g4BO3=E*XSq%&fY^5M8Ks$&)^e84h1VFn%85$cK`br%7 zw8L~)-8cKTt~$T&=l}kDf3Nq?pL*r}JePur7uxeCb=jpZd|5Z)UDLGY#@6<}u#zsW zYdkBy%${{+`r2#P8W|X&aUy9C8j6IKd!)N)R7V43dtzgsNf}pnnC`0o)3)t@X|J+W zY+lrBcm3<mC8?5IzWlgy?cbDX^I~o`xUr=5O=DtYY3on>)W#LYv+}F7)Su&Pk6nkx zNh1RT-)~Ua#RxvCa5Q`=2?2giCMNz5EWhO*MrDTD*c|>pS5A(Bf#E;@2Y!B=!yowV zv(B7kHDick_-||sT8aPe|9^&1eg^jcpBd2B4f9>4#2ur05h1|I&%wd}f#dr_xy+@Z zhcl=B-zoR*Kb|=G^XEV7hgEzG|Nmgb$$f;Wqby27pwXL!#d{0W-}Z`WQK2@6ZFc^Y zRs7HJ|3CkS4<Eq!WU8?l>q+BGhW|D;pnP)ozdY-QRrfpofYyMcSj=XN!X4$19Rj)% zjEqrB8UIzZFP#R;Cv)e^K4QQVC+E-qU<l<G-2WLPPQ*Y%Gi1ACR3oS>4%vGMUeTby z!XUsP)WHIx4=^yOu&}TQfX=|t5MdBdP-I|$(F!7<^Sqou!(Xs{kf5-H?qT!;?Pp|Q z0MGA(ghw%nApqKY$ie{LdkF1#3!IPukERGT$gnUX?R5`mU|<Fr4m-80O$wwegQ3F! zv=1_ap+N|;hf(5$0AxN3JSYj$F^b6!0nnf#!v_WihQB|VnD~R4zuLP51hC&?h~fGC zIr9AZlSLv=4<G)&_5Varna03y2Hf6aX8z17Yb<jIVJp8Wf<4NjFa$s&zR);fWB4EZ z`Kx_-d^|%3Lk!3F@74!wKyh;3;Q!YDS0QoI@e^d<=g*(N%Kn!}YHP`xfW${JRYCw< zH~;^@FZlB}8v{cyGb@h^^JfM@1}nyY|IYsT^J~3`)c^ng*Z$uHi4#F^oUndnW|d_S zxPWj0zZHT#%Azm?z_rEy4|4B*{$^qM5d4{yr=0mS-&O`I=0AT{e&z?o$p`-bYyZ!H z#ECJu>|*`O{8jdh@MVPE{Pqa;D2o~)0NIxax}Om=cgXgU2{JP>5l%aSXG$*Owkr~T zRuN=f1p^+nxJ?<AL4*KwpCagfMh4J5ji5<i1_lQ3<S&E<E#HC+7bA2bvsm}Tc#R;X z4EWT-RF2ZL3IT9qT7W^DR_2dLbHS4(gnbUKcyK6VV&FT6Luyob073vf7T_SDH2_u* zUn^nxgpwY3182eT4WI$`88}`PKs$YuU@ifz-EIKgb^;RsO<q9`PKS#yFmU7}aYmV> zhX5pJfJqhz30myH!64wk=<2}05CFOe5VXYsyo?Uq3WjV}WP#ol2o?ulMF+QAmKn5l z3&aI&C<4)7gF%c@Ok4<nt}z2Oa#;l!l^O-WtGg3G=bUpefbK98U|?ioa%=#_0EdEz zfQQ0F2F3+03>*sJYaHM<fMN?=n=mjiu=l}*M;R1^0Js&)0N%mqpui%?zyKPVXW#&> z^a5QT09_CaVlps-7tllYYJ*lnV%R!bpI`(Di2|_H4blc?gZ87aIDi8l%m)zypw6EF zhy_xHz@UYZpmBKtP_+XS=06H!jnd?W04TH>K;a724!ZD<fk}Z2bd>`LGk~s~gUNG1 zsuqw^@Ktmm1_x-}Hrxab1_rJmkT3*8A`QYGB}oebXe|u7x)(Ie#lXM-x@%T}L6Cuk zL4c8A2FNr4@RTD1BQvO=<6r=7P6b`+1S-%_a*zb#SP77}QA~0OfOgJ-(>$o{3khwe z1q=!d3LIPv6BrZ}7#Ns1KnsLH(#!&&mM{YgGXn#wLId=0HE>n{<tT8D1I5*A(3T;R z9Wtr`9s<Zo9K_~eQs4m{ufoOz3jYR1NOuHugE@x+2)ZyZfT9o_0U$#_^S>Y(ftgcb zY*5t%V~^5AhX5#NfE6onXf!D?fi8^|U=U<+uxemn=wM-B;AolvI?P!CeDMhb2P3F` z46e}O8XG_{$N<{o2sVd-feT|VHe4-K#VAE$2y_T(U1AUfSLQ4X4UP)nu4E?z_((#Q z4hDFq9;dNFvrwc!T^AIAQ4T2~07}mdLL3Y%3ZNA#&fuED0VL?a&;q8N6<EN-DWD?@ za2m`HIwJ(9?5G48A;7_q!NACHLdhY315{UliZciejv@vo@T@&PbLBD0E_|v-<p_ts z4$vil;7q{*I$uHnbekN51LWW?h6K>0A^2=tJSCF&=(sT9U?xcgc<6+Kg@J>CqX~3a z1P22PlL907?m1AF0bhdB(9nQXr=uG$u@EN0i8zY|CNxTu5ds_x3=WJ8O#%(f3<{19 z93}{WuPA2#4Xl7_dl1dTzyLXf4ZHCI^RSDLijo@wh7Cd|*c6y9G%zmWVPtR+6cD+< z;?Te#CMdwd;xLs#OhBLkv^EdV-6ry*aYAn3<Iv|K*J5;_tA#<b#b=QU3j;?+0}GRe zLnlW@Lj#k7(+Uoa1uUR0J*2|NYKG`xm@v5P!c+6W)Q!^kL!fltykEyxwOyLo{(hZq z%YmB}Q?9UO9PE^<oY2CSaD9cG#fBEXhK7#$xJ?m)&ohq33GPrLRtD4+`8#jgziX?m zU!B?dZe3}LgJmTsP7ZX=gT=}24J~{O3=E)sbvTR_oeGl}jT2Z%P)7g1{vWbb$c4cm zD$_WPA%Ne8--f}3ArwppFt~7OFffF!!ea}3zAG*5%o#lDMx}@hf&bV4gL{Q%IvBt- zC{AFfc<|dWjK&F(;Ydwo|6l(H??XA$@c~SKSO7Bp475M;VZoUWP(A^P;l!i)1ZU8Y zC-MLFe^6VOfx+d&0Z`cmiW7dDGadg|@!K#kjK&Fhp-Q2y0}KqHdm23%1UNld82rFv zD;xqW;8hbangQQ^8cZ2TE&xr*AaO^T<b*&5LlbCUqDu+G0T~~52DKE13nCuu3@XSp z1LVd59LDq3!z2<Jz~|z@xT7?gA;44qm04*$_iE$+{Ar<w89w}H;Qzq?0kq*7K{KEq zQ-Eq0=&T%6kx?E+Az)wr^>g|9=-<W+pg8$I8YdKmCUrFPxUe!Su`(_M$B7LCKlsFz z51^AHK=}khgU(^WW7}vx!4o*-NZGrvelBMX{0J_)Z2phN2|0mCWj&Dnj0{uJ2N}5_ z>%QRQCNOKEdlnhlKpMfVEa7V~IW9K`*m7=|&?rqt2te*<Wa>e`V-YOgfO+R4biZQ) zBWNiY*gi3JFvY;Yuv`JOdW@+P#vi4r9s=++UHDDrfUobuuWnS9ej&gG8aIYo2VL_D z<&9EQ4*^&JqgOTP7LBf}M-LI&^Fd3Cu-XDC+@y^Vk`NIDf0RXj2q3}|lqo<MY8F@m zv_%Ls{{<C8qr`Wj35;?n2mw%2mJzfGk;MU&7eGe@gJ_V6;5+F+3<d^P=*1eKiFN_d zf-mr%Mn(Y!2FT&uOvr2QK#CyRMoE%G02CiEO%oUt7!*Nq1KL{x69k2>0s{*pg9G%K zdYA-wOBaX(Qo+E$z|##~p$8H}!l0!UNZe5-@gd*<jvdDa1_y=)1_w}Hf#?O@RObLb zZ4kl-??we@5qP9PBzU->Qt-`N5b;rx*bo3$5a2!T4h$0@o2p^u6&52n7#g6hFA)aN zT1n7CR&-_JyvQO7;1&AFBBN}=ApowyLHkP_96(!tlo-6gdSFEjSek)>LjzJ@uyCNp z17!C$Llfw-O&0LhMtS(TL|}7}MptmR3!o}TDWnhp<rZiL0AGs*su2_zm>58ZrXrMq zDhw6}@RlHk5C+gvRfHU<#Dioo?mkF~2A2bAgL6h1#D{<aED<Y!?`vdGg60oMID<F- zIxsMR?%e7E=LU>Di=b!)TgM#+rZ5Ot#>0>p6~G+=4gn4f3`t%Jehdr?JV5&xK{*GM z$ieaQh=HLY0TNM2r=&Ruf$IJSh9)NPO`4!^mqb2$5VRH_w~eDRSVI7O$_+RhfY0(^ zfZeSK>5w>ZKuRj;E_nt9kp>P12k62g1`Y-VP~`&NJA^QtcM>!s!A_X~M=3}imK8zl zQA~UYfbs<>wL{ypATC%l2ZI2END_3L5Lg6CAOaeqje*M_Dg&ZGSq(&wVhTb4ylNg) z#iN+fz#w4Y5dhlg$N=8w0}Xy8H5{OxB)I<Pkw6kQU{C-_Ac>4J$q51MX`73Iqmh9X zv^^VZUl4R18t51b1_qXuFiSfa7(Af)4JI^7lMw=-J__hQWsp|nI~KWF1VBStko6Cc zRo);ap!x))1=O<v^BEWfZ$KHK9o^uqcrgAbO>F?Z#uwBP!D=+9V$lT2$btwk?&tz- z#G43aj}jDw04Quh7cYX00I@+dWQ8htND|(Pf{4RN(EW9wb6G%r7`PY<3xgn76HH{3 zCMyI$feRXS2h)&H2Gt3I3|&l23{0w^J7f`UU62gumO0RH<pBl;@Jf7P_<aH{EG&x{ z6da(Jo|5I7QB9z66($B)L@*!*g&7!lOavqZ3^W)RM6efLT%coF7#TH?15v4?D}<2) za-<}(_$ZsS5NK^`Xkcb&WCW#t#C?7Z47R4b;>t?2bU8!>xR5Vc29<Ik%yr-bQ^P?` z4F(2I_&j4;Pv192&4AH+=tzqK4Al)y4GoOYvI{v*<ZbRr2^ndrGKh#UF)$-4m4*gT z>@hTSIb31lI0(uooFOnnpY`;83)FBJT{8g-5GvE5{2&9l;u#JwfLS0OGLDZgV2=MU z=)%CjnTsqw%BEciK;ndff#HAw@)#rBLQtIi2givdd@nX!a+E=n5D2pY4Y>UO@6doZ zPX0462txXmU{@fw62JnZ1QkO7lusHOxZ)ieK7j8BLN^+kPyRD7NG2oXM&kq#7UZ*B z7(_rr@j~c#EG9Atb#S#XIN>lEROy2n$)GdSZ^G0#G6-0}*rPQ0AyC245C!TNqTjJt z*umhz0y|3>$@mNg1||&_2A2$j21X4QPEiI1`8=4k07J)UoWKHuB6=0pGa~r;nQe^u z`9aq=5-^?15T+nB%m!2oA&pqVWJYOfgaE(X9~+ww#KZ{$=;B$hmC!hW&x?TtM+q`Q zAT$)4CVqYfe$w-au`#H%hRwWDG0H=LpZ`BUarp#%;yu{H&@f};|5#gTVChkUZXw{g zj&4?sS~MC0Lp1~hB)1Gzrw>whQ`&B2W<naPAEbU9dCqd++&1z&J;;6BAh~^zyKwM3 z55D#qvK|7mnhru@I3BJREQ8ELVsp+0%Yq3cVK5&?;4mL1J%VY_z#+){5ZVQ@z8As) z2@}NFl?pu~s1dR#nFwVgPzhqx12m=!3INaw2?5YP2ABXy5RAe1ID#&21oI&A2WCQJ zMgVk_JxD;{3)Y2_AgNJIQV5{O0hUq+Bm~<e1R9e^GM@=)6&J@bB#BWbRYCxod9be< zCc*}RI3g5{D!~&1kX=5|4JgR!U^`F1_xnOsfpQA68YDJU1xWQ;B;ipeIUxWqv|t$n zluwu#1i<?iPz)4c5CC1)2onP>>4ve9Y4+U+9^7z*5QK#%b|DHzG6_xJpzCKKRdWZZ zoyv%rali^Wzzc^V;RP0e=m87B__9RQC!lx-YZ@i+ga9l>V+$=TV$jAG%s@uaT{TdS zq#l$pN|6x)9H1-#P3fQw@}PNm(6!|tqfiSMNFIP6Wd~9K)dyvQZ<>X$8DF7EL4-%i z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fe{%3pleGQ z7+AJ~?l)m%5E1r(h5}^Nk%0js&-M{|&&gCSFYuivuwi5dEy%RXL6Ck11`%P<{hBbf zU^8ID{zx>`ictzj2&jVxfSJ#zLk_$<r2<mN!N35$|AYs8?+HWm2}X!K=uQ)m3dq7E z1_lOpkbVwEhvg9YQw$7(oQ)tc21W;n88EZpG+ZyppixYA2!KNwobEA<fCn*j<R5%Y zF@~y90cwYU0i=XNY%KxJyJNG2iGhI=>0SqHN=C&<4gpwd$Ep#pBvc1K^4Wt>u~CZb z5Fixf@L~$54U7y7Vre*KM<qxO0S-`@LnhzBRR@@WtRqbToz&IBz`@YK(EwXtrvVZ} zJ#!mW#PQVPx0q1G<JUbRWI>l_K+lwSfJ6c)U>O(?$8Le{GXY-(0A3g&zyQ8#j*)>` zfI$#+v>+=um>3xtm{`Dvs!3Nuq7`fmSPRH_Fpt3z>9}aHwow9G2!H|$grUh7be=W? z15*M-sRBG3fKIQ6-RS^7Oai12q>`y&0t161BNI4JF~R#T5HnCoq*Y;f)uHMdVLUDe z1qB8L22g4jP+$O+UPuAJD1dS;Ii&UmuLa|TsbXT}Qea?VVBuh7WCS1D&GHa@3kUds zLTEODsU4+B4FN_61{MY$Py~U~JNT*@M4&by8MokoLIVRwg9igMD0e9}Z2;9Qpn?yS z^f|4ORE{!92?0=!XaMI6CI%*k2FRJhpi=}PhJcUggfPKm0|Nu-tW5{xW4M_Z8WbSH zpf#&33JeTf4Gatd>lzr4BM_t=6ko_Rh&_sl2>}*x)&LheAmyOVCL)MyejLCTo<K+z za9IbcP8b*&SOf%E7#bjlsxvS!$W8@CH^=~lUI=fLBr*g*0oVZA1q3R8u;^)k#E}9M zbfLWh6H@~d1LV4t1rWKWCWZwNogEDf3>-%xCV>owh=LX$LwFrbP2f=)nD{7-H3XO# zniwFM2^5w*3&7#Kq@kgSfdz6{3M4o|l2bq?a0oCcfDaNDfRsp$44@cdVqjGQ6@m;5 z(s2+P+#-f3fkYj2=_|;LQH(VNKp_pPPgorUK${p?85kG?0vH@1;fd6q1y$P&3<3=e zObiXs`<g&^W->8wEn#9hyntx|pEBsu6jp`~MotD11qKGT&CoIstF@rM3RGe=$3O!D zJJrCz;=$k`(BZ<w!hwElfdjZJDBv`Ki34=~iGu)-0HXjSDCs*fF>)kKn8GB*6~Mv- z>D4zfFo<)2a|qZXP{e~7AmtFoC`m*JfLmCgFa})(C?MFt0jXRNIu|f8vM}&4XmBtD zGBC(7I0P{$urN4laBwkjFbJ?Ed4YP33=Rw|E({zjP7Dl!;vlVvcmeUi7+f}jS)&Br z5CC;BK<%fd0A>je28JeZw-0oK22>Y^Vj`%K%f!F{+1D!|AR#b;fkBZ&L2v@7sl~z| zz``WJ5W>K~=m5HBlYv156dfQVp(cQ8cqkLnsf2PyDf}V81iBfE=>Viohg2z`TTP&v z1ULi?7z`L01UXm)m{c4YI5j}Q4!W}u)MWw1maIY&1B(Fz6KIDD54Le(Xk8CAaFik( z0+1jE?Tcdp)c`CEjPU+Dc+il8fq{_+)Z1u)+!Ms*pu)hy0#+jc5_SNcmfpa?rUbHz zZ!U;L#h}~>5&^XiAQ2DZjbiK}0Ip3M7#bEZaxgG6PK5SG5NbI<ts_X=3vx~Y8>lSO zaNuA7T{Xkv%)kmB3vf^X4?u$N)0P0mE5d|P7Rez1x&(lcfg!=E(SenN=}1c(2Ll5$ zB2*a_K&RTOFfa(r0$new!6DbAr^Cd=z%0e0!N$wL<i!}oz^!7midm^ap^2fznSntz z9&%?ns!PB*1=O5I6&~eb34umXU$CLEVWI{@W0S%WW(E#$*}?+8tpSuX1eh2UE-)xC zFexxFxv;P(DCjUam<q7?urz|oE=GZCD~^e9xrjP&a2*if6abCIRD-Tjffa`!D_KBO zE8x}~IA%aXqZm&JG&VFeG&D9ge9dlr*uL`m^(lQ^j4aFz@HpW$2x2j~t75<rwvS8C zl|{$KZeL!Gd60>}-F`hkdEVfv>;Im~=av00*6MpOjq^%40|WnmP&`B81d`uCaRMIW zWMYCe3h=mRREmKC8Yhh(Uv*!-u5s*mYab^g19KxhPFRa3tx&q5q9|&$i$^PDjgEHR zJG(l2J9mG%)*Loo2kyA<zs||))$Kp7)%E3)_LS=k3}WhtIDv#PB2Jn>kpzh@h}0;F zF9iNGKp?sr@L9C{A9`4rZ3Gy=C(|-Agc>s#8yh<?F#P{-WWn&@|NsC08UFKwRD$kx z_=#@BD4)y_fW*juP&lKQ019aa{tqnRIAP#tU|;on)qmsv#ta~dfB!&gp>YB_Q~f^! zgG3KVY!p)=1aQO&BPi4v`9GMO|FL<%AQ;cg{{OeJF+YDAI8J{3VqoCsU$9^m1H*rQ zXq+(Irh;omjR1uJjyM4aJp=!T{opuxx1NC^lo1pshYuSwFfjc8Wpsl7{r^?}&mhV! zNokOAqnP{<0Nrz<z``J=aDc%;frW|1g+T(ew4Tug+;#<-E7ZZjz~ROqz)=9=IWh=9 zR!V?xV^joPund|^VN?L$b;1N{AO8ZWgJ1z@;}<&B!XN=(@&b_`C9#D-1w(@r3j>2& zVoQgCObN>r0R}d(GFaC=5qyubk{AO+qXDSFBp}cLjT4YM&<*AdLLd!^EghB`EG$zP z7?_1J7$9Ou=dQrUyI?d(%P1xg0{s7Z&zgQ<X8!-*_zbF2MmYusW*Y`$bMSq0##{`m zSy>bQhlWOVeEkYisi42gn1?5zAT;g&|D{VA7zC$+MA0y)pkrWQ*ayFd15JLEizNht zgKhts{{Q?LGfw`=F);kGIrHBf)X-uuj{pCD{rb>Q7njfuhW}^&|37o#{(obh1NZ-j zhW<YfiW5epvuxoOjm8N)C`e#@U_5Bb!1}fPKd6d8(Fe*O{4V9@U_pL<21a%D1cuPi zC>K`HLOliseg<QH8wQ22wEurVcc*Y}1}j7*pp%!Q`2;ms@biL$4L_Rlvwr=L5hwro zK@DA(a!5Ym=l}1jt`5#8E}%Bq|NkHUhZ^%&^sf&K{r_er=sp{iJAa|JLhp1OjT2~i z5Jf@vAhz<cIWRB?uqi+)W5_B~1_l-d$oXq55OGjt4>c96juE==k&$IK14HOV@E%A; zhUrY8y^jnG7de<f6EF-6TuvaGWh;aan!bb@GD=|u;KlL`3=HZDpru+&9ctid5r$3( z&D5g?USb5I!P-INR$vBn&tk)J&^!wR(=7%DwzJDMK$@9PG=iob!TTCPQ}#@q%N0O0 z^BFY;5Y05&-v@^v$ponHfuRYUH!wt@0s@Tiy8xjgqZD;Rz<^Zam>7gFVAq3G;9*xV zDoU#ma1g$a-Dqf+9=qhID1{+_H*_0D%PtCo7hO9%xS3!qCeU&gh%#_Bj^KheG{Eb6 z(0nfh^ZGz&h#oMBtO_hJO5hCv#OgTE_#v`V0m#+|s1QUJbhfht0|<hq?ZLF83yT8- z3nQZdNREMlK`6&TK#&o%E*Pl>0omdR*=htf4=fL6jS_f6KmZc%4ve6ky$zrP3K$(2 zI0Rf27zEs)8bN!0z$3;E3;_%cObMWvVGv+waDuF#6mno-5VQv^R22X<hasAvIZgna z%^Da$`%)niqa^+iKq_7kO<g9WoC1k2&?s?(0E0jSXoV5z%x=hXQx=954WQvgG0;$O z0Fwh~=$H|z8KYZ|lzs5KX;c;*0?2pGz`~9ZJXHe9I}8)RVxR=i!~ot+&%n~e0NNPg z*ucQV0oppjz#v}+8s`UXPDP4mu;CB_nr$GQQ4(JWaBws*fC3vFwhRmnpqVWs^^lEO zpf!*T@cr3L;F61hp(%@jfpY<92_-0t3AZsY!FDt*052ix0JR1>K#c>?QgCqOj_!Rx z3R_%EP$djnV#k2ARu~-Q;G6*pPq@wi&>kWI2GEv9#sF4O3FW{7I*b5hL<0kZSg!y$ z)<C%hss|(kD(IlxQHsbA09|bYDf&C0Iv^)<K{!ne5K%=1&_+4%h)YKUQxgLSGjw$| zaCvAnDJU?27je5VG=PUHVKorM1V|<X)%>76#!#_Q3V#TI>j%&g9iVVyU_1a?mCeAy zz@fmQ0NS4g3t>o_cVGhN1yEtvzyvz$poz(Vfr+8Rfq_9(yFsamX%TpvB&h5X0_|#P zVCVo9S4s>FkO5{U$c761E*i12h>93g8GwTUv>T;?6I616_a-z7fX`6@l~@xRI6ynJ znLw+Fl^7s(I#UNIW<(lTI2ss485kHOV;CJo7z7v?!5ius7+9DfSqwa|3^HF3M2uqM zLjZE73Meyh34mr&7#JB8AT+GK#I(SPA%I1Ji3zkQML?m!g26$7fmMRx7z0xZDBQUq z3K4OQupDG8s56P{L^g!VQ5I4N2(WM*00mnEgTMh$XAnV4Kn{uGU~pjJU|<em;9zj! zaA4qYa$t~P;8ajp!QcSeL(jk<y`K@ZRv*bs7?Xnm^Wrp^+$fDZ1Q-P!1Q;4Pm>3R# zuAT#(`oRL)-3UtipoSEa1xPE1$G|Xy0n{}Y;9M%nAi&bFf`!qI0aUWF%>@s+fYd=T zG|M=FHnD>CZ9|1eDZ(KDDOtc>5CKpyf+lJ~r3{#c^xGL38W<TAz&#Am^}r4c42_`a zVHSmlj*JX03JeBH3=CYZpu-86n3zCkM}e4#4h@3>Xo?xKvH}vXgk3eN0@5y8!NCBk zvBAwPjs^i3-OvC&y8{$T0U!bt*dn0Z69y{n48XC;z`z2&pRoble*vp%0H3ha*ucWL zU;!6HO9P7oXv1DZ10-(1N=6C1ApknhhC!eKd~TP600RmQ&L9jdplAS@0p4E<$~O!y zpq`@%%LLHgE%_vHV;a&b1LZep&xDbIL6D(=K|qB;fswI+fdQJ0Kn9IsTp<7szosCT zBMc6LptuNd2oM6%0x+6EK!|~bi=n|mBY?%AiOaxAgF(P<p#ejZR)uZolm#sc2R2B6 z+QOg$kAa~PIsyRd$bgJyWJxp(U}#`rQDR_ZWMCYP6I}6tAuhnfrNFR&hp~a9NdeRy z2c5|Yp}WB6+Zix$BnU7tIDxv50u2Hz3{D(1G7PK-n394Rrdxr!I4r)63=Iv8jSOs{ zm;jY(3ZU*H0|O(6gF-ilfC8ris9gq%77W`*1<*nO9E6kV`kpkruw`tx(f8p%fmCBd zMBfGwy+ERGL&Jnw4JBM$PeL>U+)TQ-Ou|+Ogw*}EsHwB7{d@h}uQ}WQ?VQWNz~$4( z(9p=(*vJkxkb$uwp`nR^p|O!Q^W%r^jwwsD6B-*E7#kaz(d-}PVuk=X2q(qyJz;nd zd$2`fTFHR|DS;NrDIpMgo(Y416r%|j$A=(|fbfzgu7aQy0U~yf>+0&VYX8^&`}S=A zuRRtF41y0ELAzEP8`(ko8bSFa0etQqV{2w%L3f9Uiaw}5VPs@v$8^G|2-XnzAIi`F zf#E+tKmP~*5Bwkir$KwNF!cQYxPXD-%-IV9|HJ=7D)(LtHBf>7|IeHO@3VvQM=2sh zfW$Zn`~L|PCuameaRT0gDo};XrvLSzIN1+gpN~t;s5oW_{AURL@PYq7!v|3A_y7W+ z`~smF7(RT!&;g2*sxxOkd|(I%ZU1FpVBUzK1}Xr_C!=u!4Gy9y(&Oag0)~LIXFhxg z4gU)s0p{ezWf>?=RzV^Tmy%I&EFs{;FhN9sok7WoVFQbRI*U>e63xKCqU6W`+M5U( zo#z0L#en!6EDTOA3=&QXEDB-_3<7T)TSPh(SQx;2>OgasP7D`V1Xw_38i+t?FdvKc zqe3Vl0NH~mz|bJc!T>sqUH~+p$RxlZ(80n0S_dHjng#)_hX8d#;5r2u*ud&47}~)5 zA{iJs6e}DWqCk3}`x;$9V`U(|07HWWga!{*j*fW2LlHmYOh-V%s+q=V1_?X|_-+2L z;_*Px3=E;GK7TGRXJ8IBW?<kK{P!<_!PuCUmH9v8#}0;7j12!DeqdnWOaz}ufo#ox z2G9`)u-)DW8d=RK8)pcB;shiKiW3kGiW6k||JP7=2KG>6V`F~ffA7{a*z>EaGoR=E z`=4QzvN5=>;8-vkC!i3cB6bN#2u%a$6CQpWhEN_49ykrkC(O()E)0w|#taOsg8%;o z2pStRgbLne{M^8>n~~u^s9nY}bu><>7#|>`TmnFws2B_qcz8fuP@EvstgNB#46F>s ztY2A;|G!)RE;LlBT<|XM|NjiTq4`8Wb2LssAvTIfLtr!n=o13OG)=+7lu+k@7v4eH z$dvqXWZo#7k`N#!PKYsI+>;npqiU!X0<c+MeCCawsfI6fM&*WX2q4!I$i6@-&EQJl z3?zl1(J#cZd8uS1DP$&88M4sGWP|3-iSaphb*ML)AgcinKq46oUYQ5JABFt|(!NE| z5G0Z+6ehB9C=w%tgIW>5R+NA@E`pcE2{33dFfcKJ2Yo?mMHpBZ1Q>)ESU|f>7z7$v zIU&ok*%&}exj`zx0S{ZQD!?GX$il*)09qI+)yn|dItN`r)F9XZ+3E;if&|eFniC!& zVNZfLK_wF`au^sGA&cZ8$sehdfiYn;)Pe@^rU(cJv<%+~A<qUmR0?*03q;K*2?_yN zas;u7#-OWdK+EUA8o&!w85kHqXE>sp23k`OS%Jq0+rtH0*2vt#zyy&3n=(oug#gMl z2a-65$pKl-0Aj*1_@H|5H4Yl!^Mp8{r4Ivx24sB}m=7|Qg8`%rQrm<0;42*%6c|9) z4KOh9hBJUR5`kB$LN)-wtr%qx9Ri>N3w+NB1B8U7a!~OD%^(3#8nnh9WNrYc)B}q# zGB5}TFgOS>u!6Y^49qLRYv(}<Kq?U!DvuBtWg&$C6D*&ALLDp)+92luDuzJyf`WpA z2I#6L1qKcd(2Y$B3Je@v8VsP^!vV@6keeFe`GgU?@SZ_~L%{%)m4yE>fG<M>?P=ry z)iuyM9;6dw7sv(>JpwVoREe38p`iq8qryx8Wh1B*3WaO{Of3TggRlui6_OA{V3dTV zPDn^#Pn@8p7f2pNb8vv#!W>)-pq&jMK?Viz23;lwCJu%skP6WDP!J8erCFf~T(v{C z)GIM4Fld0cK58^DFvv78C_y$5gG^}vuVn$T&@t#hLUgfF{%8n{hQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQOc<0Y)~+7$YN_0uzYE$o3HIP9$*% zpMe2%2n_=x+g2uL4hBX>mWy28J`9WuA{)8Dca^XRL&o7*Kx2(yEli+UMg|5!(A_1B z3@pOnyG<Avrb5+khVWUoLTLjA1&0_gn~`NJmzx7<+I=dNZwNMU1Q0CXk#r^w7~KNq zGkd`JXfzKic-1@;2Wvta0|Ntdq`E>12LnU%OLYx}28M=)Q)-Y|E6|=SaOg3D??Yj5 zQddX-O(~vIR{%{>Hub10faXV-L41%oXQ20=^e}M9*+baOXO@FzTo@P+EC-(~$#f2E z;3z?42*Bo>7{L1*aBBi(AM~qaKw@wmJPZO1Tj0D=1|=Z?&Rvuk0Gq*L65tD`#GFyR zun>UG!^60EX;|pP6v3t?p?n7kJ1A?EB0mH`tpf6OLv=!C=Rvn@h@XWDjZ)->029*= z1_emP&ZNMg(a^!5(ZJ9EI?jm+M1qcW0#*K?(`!L&2GG(Xusmoz1U5^VPk~ocFhCEq z$EIXth#~3&=*k7?WdI-^6oV*MMo>v3z`)AL0%CwxsxUGvU=U;lo%!nkI+YW2h#jP@ z#sFF%1il!<#eo%kktPd+8)$_o=;SRB2DNiRhY&M@PE8YVWCU3Ny4PVQ=xkw-ISk<C zdoJKrtOy5=j0gew5>$48{0*XEd{C<u#0QCk!VM%25(5P!!y*O-21SMjh6x;vpcA-R z7`T``nm8C3JsKPqND3;kwK8}zvN(b+%<y0oNoZhTVd!9FRSaNcU`*&p0Oczt&}y&= z3ZQE@zz3x^fTF;Gfq|6~yxfj~!4tYp3}QXlVvq}<Q3qlUSIhxUjF4aevpK*gnSz1< zvhE6$A;61Fz#+iFz@ot+z|z3Mz@ot5z{CMQkCs6Zba@FQ3j-4axX&p93Ulbf7Y2p~ z77+#p2?h~KHbp6o1_2Hx0S+Nh0~oaQi-C>N0d!Bz3<g0^?1N%K#1qmA04;3=b!i}} zL4iRLZ2t%+z<~r=83Ya+P+bm*AW(`1l`^0u^$ws~0wxR=U<R$|h9qtl2M7%cSFmvo zEDQ{wv+BV)1yX=9fFz--S3w~zvWo$FDmsV<kp;1k>;Q3xI|ij%XgD*0mtiw7FhRGD zK%^j{1P)bD6fiI}G%;|1R%9u4val#HurM@$Qab|!Qv+yc0_g4^5Dhw>4!&X%bY(*W zNC7B*LE+oL)xgle2D*p_avy*KLjW^qkbupi1#A(RU}|b$VCVoJW;@&?0gwM+#WgH} zqbdajCIbTl50qwPVQ@rN06wCT<%9^>5LO3p4glBn;MM>uqku~T1Ir=-&`k{x^BX`{ z3NSc;t_%QGDxkw}<yt@sh#{MSz}7%iB3m;;*x*#j017OKhe22Kfa(-jixslH1a#Ip zSe}Wgfdh0`Sp$Ot3j^rne-H;G!==Ch%4!hp3m{iwv@~#lPibagXlQU^U<&AJ0M$2O z8<jxUp@4cN;N~$C*v5wp4IQA$r~_;_)M7~X1lce`FeEHso`n=wEDUWB0Z>`P!30Xr zpxc!g7#JofFfciAXfZG`EMQV%Y~ond!Nj%5qk*HTV^IeS0~dp!0_eEf4u&q!4Ot8v z3@5la7BKK=wQz88=qYe);9y{2V*njbE7#Q2z{17gz`(@N#KI)h#2^43Hsk4QU|?fl zP-p<vMNFV50Ug8*jzNfnhCd0dHyBtLSQ<cVCKeFM0*VRHIb2N)ETDRWK>%C}b1?8Q zu&}TQF*LL=un2%|km(W;3}Fxv1-H9c1sEnAymFv{VG&2dDJBmF1|b%P6QH|KRGb(B z90EibCOHbRfX+Nu2o(h7D^><Z2E|5(LktZ94hkRvaFNG!3$lnCv~7rkfr){G!9xMm zMFHK70*Veuk^!-XJq8!uF#m#DwV*Z>xKw9iVB!O{0KoT+fm+UpGz+OtKq*~;MWl)0 zA`629ONt7Er%DqG!vY2mmZk;<HdbZ^CMD4NZiWN~76vAV2Brf72S70;;J^VnhYfV@ zHX|Ps6X*nJ1_u62Q1pY+g8~NwD6fFKL&HA&v3L*`8%R=MAtr_d&~^|cVN@n4ei}gy z)&Nk|qQNH6z~Iopz{m;erwA};unBR1Ho~!hwl6jbfN~tDE^$)eP-tLyAOva`g9=0@ zh6Vu!76%1}1)xgm9Ag6mDBraRFf>eIPyj_q!-%U+PywhLf-1tm01hLtAUL8J7#Tpp z3vLiKuz+Wt7z7wtoER9~7zCIU3^-U67!GJTFf3r0!oi>dYEUiUU{C@zkC_}mg`xnf z69X&gvJGY?2ha`(2S$blg#{9fDjG@*49w+Vix`*~xEL8396-e%*dkE31|l>3Nw9~J zy$LSGU;@w(2PIaR5R8Te63BoqP)1;2U=(0dbYyLEaA<JRU{G*iWMXh*V9){Y6ye~| z0Zju>03Qnp8a80!@NiH7jRb(rb7c@<aGL<S-c&*#wEG0w#D;X~!55-2gX{sT9ri>A z69dBnP{*tZMl+%CSHQ(#@(3E#!c_o`{<bi1f||FWR+0ngo{az%Z~%f%-xOeAIH2Ls zzzAyDf^NtF1t=r<<_FM#4I=&(z~^p)Dlu?r1yaV)z`-EQ0J<Ck%o||@C^>?H2XtQv z$m`&nNx)k@K|BV~$)%vm9W)#T7J%N6%m^Bj0!2nZLqh{-(wvb&3q0lmF5$Sqy$!f} zaD;%WA5h-|+ROsS9JqVJ!obMjz{Ca8eYk<43w%^P=rk!7@I5G?`%b_HjUYmR0n`Kr zwKyTc4vrJ>7HJMpbU7F(fEr#5@FRbraRRz~23-3FFf?D8z{znzl}|w=kb%PkRMEFi zU})%ZVGv-P!ob4d(8wex#=zRr$k^cE#G$CM@E{Xch;u_j2q>$8;!c=@kx8hI2Q;{n z%D~V7iUh`s3=9FR91SiEjG$HoXq07yL<vgS)x^Ni(Zs~Cpan#O%P6K5mzX$~bTBaV zK*})2jwXhN1q}?0Cz={S1MvqOI0OV4+KwbJxG*uWb1V#YU=Ucqs?gd4YAOgRGRbrC zFgP?M2(mD+JXpxYz;Ga;fuSMbfP)AJ<AN3rK_*aV6x6?G5lYfn2u={<y9Aj*vBbcj z($TeGf&xP`DBc=CCr^x!C}HRTh4lmmrk*wih6R%t7-lqaF)WzEz|_;k#IR@r1J{mA zOdQX4X)yJ)F@fYEaWa9S5fmqlQyLB?a9j{%xOVjMl`q^YudiQUeI<C$%ez1N&pcT= zD`L|6y!leR(=WD_Tx@L;{r9oGrSb8T15PK3Up>6a)&1rAipycmEi4QSO-+sAa>ZKT z>*iH3FbK~OY;I_6VPI(f+R^ppy2gd;4ULTr4GqoUa&JUL3pk%3$H^onP@FJ;;$*=D z2ChAym^hy7QDA_^8DkG9pG*SBNh5<u!vTkm4Hp@%9eMrbN;k)K-SwZ#z6R~^UH<jl zhN%-8?yWs0FDF08VNFtCJKywg3)x#54?Z~J)KU22<<~CmFULD3UT<n)U}k7&Xqa!6 zp0~TMCYgbOBWfyh*Tg1<M%J%gT_2`uG#qbi1l=6e3@X4zM3f*r84te0!v6o6GZ3+I za6cjbfBFCTc+e8MdayhL0}=&dLQlmGW)P4OxWFF@8kCWH$j>kL(ClQ?Qk%@*yZLh% z82<m~Hx4y0HU^ojaR0;Czuy_)23dkd8CIE_GyG=|WMGg3+Y3^Ogh4hTaYqUhJx;)v zkuZSnfPt_G#0kH!yzpfPW6*7Iau4~ZRkY_!j#`>|_}4l}oSgX(dH@<H7ruT#i4z-8 z2!HtX-<*MgOPYZ}To}Yh!y`0KAR!OB&jJ!2Fg_?&Kq3%6hy})=P=a7^P6_^h`M&)9 z|HgMp9h*S+1GQI7&RH5Y&F1gj&yD{X{{KJoA(RIeC%-=Y`;Eva#^5;l{QEzv@hZP~ z1_nk~u=%J2$R<>g5y69mIoWaY;ldr6JN%)qHaj+f^2tNFlV(e!4o~|U&Qk}96Mo}R zW=NbU-}&$hB~HL)m+@{^R{sAkp$rUI*W8V$IDy?Q!o*+zTE3&e#9#orS`<Ws?-+3c zi9_xlf$_n2kR&k}fI69K3JeSe3=CXui7g@>TrM1kKzA!MDzFHFPOM|l5MdBdSjfP_ zEyCcbU})*VBjD%4z%qf6f#Vp%0R{sF76um3N+AUn1~G;J0SN{MMHU7I;k7OdpmBNz zG3ebWZY~T0Mqo7~B5#0uiJ%b>P{$iIItc1hgHQegb*Pc=8bQ8;M2Cfesj#I%N}`0p zEwQD;K%zu#nm_`BhYk-D=zbGWn-$dG1ks?efCdQ`MurN84ojI52Db`^h5!u~CbtZR z4g(z)2A2v3&?SuwZix&H63mdX&cX_Y1}O~|uv#e{Fx_DRqDM%SFsxc-eCEuVRjXF5 zIzuwez_4o7p92gG|33sk?#BQXQXi7s7^41nf$yICaQJX&=Bkx~att=1{GrSY{0#h{ za1{___|I~L)qvqY^JfqrjQ?wKF);k^U|?W<^Wg({Acuj$nDxKGE`5El{0Jhb5-0Hs zP~(K*|9)(7@}K`dI8GE882+1lVYLqpMUIm$u4DgKbucjSD1P`1UN*_FYBwvZ!7e4x zikA@-BcPN{g?z$~h?5Tt;Cv#=|KUHw2mZr{{~rzw6MPt&8Ok5}2Nowv3Jm|vzkjti zHvak*7TBTSe6p&Cfk711Hw1Nv7=Haed-nf-r4d!XgTjL<`Q#U(>;lIL!~gr(;sjQ9 zF$ggHXFvYcfS;cgb}%PH=qhm8)x*HR<Hx|ja02AE51-G1%B~R?Cm_EgW6+!}0|UcE zFbz9D7&Ku88dGFs5P<AwWDo??3=B89K;jGx0^mJ|3=C7D;({EY5qSm{CJwL!n*x&) zxCwC)%w>S@U)%~71+R^Oxq|0BSPdh?R4&lO7NfvaE^h}0Mn;jXFcl+>hBlTIAp07b zI$>+%A$$e~$bLqK2hfus9hQLuh^a?i12mS~)B_cFQUguPGca_hffi{sFm*60fQI22 z7}UXW$b1GytAX`{^g<X64D5Z7{fw}EjEo1CYbbOuFf^fWx`SyLr3r@ss9g<G1rB`> zgBT3XFQD~A3=I2-Q9r5%YX~?%Tde4kusA^%$H516Jz-KHwm57d7ff)JCM5)5Q@3zE zpfH7V&}2bTH32S(&VW=YAW{xA<%=#o$|o)aKuhh2Q;Am<D6ZfNI2afN=E8ZS4Ac++ zRra98x*FgH6sjDI2TJycT7#p536##El1!k9`i>6Jnns8SL=JTQ1Efs`iwkH?0#U@k zz#s|U&WJD;B7x3_h>i@BMUa63gc$@FLAPRnJPip<5DT<a6||8Nw2BVKXJBv)U;u4f z1T~xm!Rx?S85met7+ga^N}#2g3uuKMsQzIDZH<GND8d36-vTX71>Lm)8XE)cqqqdJ z2Bg;kY#O8pbN~;{fka0r2IUb*xPcd_G=f%<fX?IqpVkZw6VQ$~M+SyO&|oD4D+3Ed z0!KrG0|*I#7UL;$Ffa%*bSW`JGB_!6NH8!d2stD&NHBt$#*7St4xmk`3g9&nAd4XF zWCrj)I==4=OrT}L0-z(KL8sX}HZXB9C^9rMIDvPqLhT<}6u6jRVrk%DVu7qG!Sp^j zG?_q)<``K)y-NoM25?8ufddr6LIR-8ha#YRX&68oeL?ygK)Fsqfe}=^F)}DIFtV{Q zF*sl=7ez0E)Ppd15f}p#g9eKV0~3Q7NNA*EP@%!Zp~S(!(7*&*PzMSR&}uIb%>Y^* z2P%ue98fHR_6SLFC@^pdGAeK=g7yS4a&Q|qFbF6(UV!LgWCd-5V*m|jfpvjOCXgKv z4rtLMn8j_-09vBT#lQyULI`LP2;q!8k^>Z;i@3m7F>y423ol4Xuh0Nm0}n2%z%@6h zl6GL|;80;|U=U~EVrc@^A}WkbY@h}iOADxuXOINVyD&6?*6?+3K=x!wXo1#ifp!Hk zDR3}?2OB}NHt>KJieg}O0Bww9VCZT9ZSzKv1r@7cli}(|5W|JRh0%r41)djhd0h~y z0<-`RR5>#WG6X{M1!(CcBZG*5gM$Eg&8q-|fIx#NXlV*q3urYeXk9CM7GOTf0PQsj zfKN4mSqw82#veH}xMkYF0NR1T1ZvK5fYx_IcD;jI#-MFe;J^T@gYJJ+Sil9!GvMUk zz|_FFfJvc4p#gLmkU|H8l0p}#Sn6Wn0$m)$#Gugy(%Qhpz{mt@GC<P(A_fJJ2}}zZ z7#R5GH8eCZHGnc|7kEW}6KEAa=&ryf<Y6(eD@F*R#L>i|#IT47bmAT8*fRzWwkA+% z1`1JVdz1rIhBYuSfiBG8=->dIDAB;cqr#!kr^3R-!NkVJ!OYCS)3u0+yW5DNgMncI zmqLdFivq(Ujs=WN%nZscAoCO%G`SQwkPadMRY;&PX60pI0&Txm0IkvoVTK7zObm-a z8@oZ$BNKxQ2}V${!oZ*;AOs2oP*u&q(4fGezyXdY0nny5Mg|uF2SpY}fi4CIQLd&_ zOfG__7AQilG!fuq6cIha5aJ@i$iN6TLZP9N6?AzJ0|NsygE4qD1cL(u4`|0C#0F6I z0c8X+0|ABrP%F6wBn~=2goR}aiy-(?K}d}>;_4Gn*$?jEfo@lX6jh9j3R<Ab0aTbl z!ij;QQ-Z;PNrQudA)tw60jS^?nbf2q(8j?a(ZSHdATU9|jUk~!fiZ!>!KeXr>Iw$~ zlL-UU903;a4lj@?;8F|G2m=X$v9Pd#3I_v+BL}O10_Zd&7Nj03Siy)R7(v}N@NQBE z4h|*`1py{#p@C?bIxr|OG%_$rh;%T5n#2MO%q(2sZPyGb;L4jr!GVQEfkQxykwKvW z6vbQ&hYqoF2y_U5vjVso)eP##zycR+9hd{ER3tAkIS7EFU%`<Hd=3csAOvXD1y(iU z2v8t0fpP_C%M=4!BZEdu!x{!q;De6D0c}781xdpO0Y-)e4Gs)kpbDdrgQ2lOsKe1g z=s**w>{4J5X-ME<($HgNlJsC=QD^|&6UfmplcNC?zTlVvbxa(bKqSaGNCO+xVPRm9 zf7Zg#z{0`G&>{f33l+TW3w$C4D8itMM;gTe>Yjr;AmH<F1ST-B2%@%EA%p1`0vH%J z++dId#hn0~fB-m;FsTR_I6$t+WMC0+U|?WjI>8}ez@W5&!-bJSfUyG<?x6k)TBst0 zH<JYei$H>rf&l0~It>j5g#=Khfhd5GXnKb|7gWkCFtBJaXn=OXF)*-%FtI3shOR(4 z1>N@o;QZ4C5eBW$1C3@efRB%20p%hG&?<Y-jvq*^+`z~{#Lga;ORSTc92^ut-BnQj z0>?Gzs3X*b0kL!hks1sPBA_kmkW1tQ!2LW()`0~A3-|~$22eMjk%OT@0krKMbRH3? zhrz+2;LxSu4ALObpa9x%r@#TKl|Xd}D9*uVz!_j362bV9fssLlfgyka)Z}*H1Z~=4 zY-nI$M^ZDwn4sP6ObiTMA|aqnjv6i;2~Ej43<`{(Q&K?l<qeRt9iDV0u*~sLxWe*@ ziIF3ygNfnD0fq$yTs)E*0un444JINFLIbpNm>3o)II?guFgQ9eF}1L31b~Vz7EtFB zgh3ZKLqiub3IHyeWcG8htvIKl^n(8i2h$Tr29{<9MM!rQrCSfxI1;GFfDi_SPzF%h z#l<1Y#b{*4<|832AkDzU1v<qJ6sDj~1ZbF7fJtD20vF4bu4YyRjt&C@4vwZJpt1Uf z2@Iwu7z7qDIWVv~NHMBRaA;(iAlA{4p}@)@&;Y6&z>xttxf2wo;HUsGKsk$n@khwS z00r?#0S1Ld90Jo6f<hJ?U}#`$gq_I)Ryd-F#)1+Cg>VfGZkswO4skxsI=ixS)%Akb ztV~>NOiWBnj13G92N)O*{$2GU<X*&&Km6aWb$5ra(mwI!OUKG@>w0%qOqemHV(Xu{ zZ~w3V|HB@zuQYYu&qkKZ*ZR8teb>EprICrLrJ;ebxuKzfp`oz>+&^Igbt6Hw1vtLA zBI>UHcvoNjL;mY3t}oX$!>=!V*x1n6$lNw!^9eXkSTwkxaZ*;7cCNbK!kUqdkBy0e zi5VOx3JeWjW>syOSGnOE-?wZ1tHalDt^V@aZ`G&uZ*FGnn6W2g>z^$@zE)-B>c8Bz z@cR_+kI(MbcTIbvt{)=Y%EZLd(7@Qx2#FJLt-=HfY<QfoD#!2t_0PWgNB$R3oG64{ zU+}P@p|P>89i(hTWe}KW|MT-38;6E6D1mk%`aM(l|DS=23$$Oz0Hy*$vobIQ1b_zg zKYZB#|KleY9)Sx11_lBDMHm?Ve`9B23}I(t`2TC)>(}pgGuZrE|L^nvAI9)Kj1VJG zN!SupSxy&jLC~H(kZO=1cpn%8h(y&ea(Mqgd;rG@Lj%LARUBOm48Ir{m_Tvz0W}O* z85ll%0FBsw`t<+*$4})v!k6O>4B{bi!otUD28xrv!k{?eH$GYP=ld^W<HW{=n}Ol~ z`~Ul)@c@bq2u5|mh~e>n`0&BlEHnyq4$Z1nAo3UEg604BgSTTLg+c&y^)=|`<^TV` z{3y4VzaMXJAOBy2f#KVK7Cw$eEPMt1U$5U(dl>cMFepyG{5NI*#VwK{C`_0fqcCWf z3V0VKC?+7e1;hg785A8Oh65|R7#bM<|IcwzVEE6lY85EFP|Gf8oUnj;gP=HZv6s0M zU~eA)i4%4vu462Gd>?=JfZ_yFc76FuAWon`%%sY|&mj08WF;sjM&kq&E}*e`7Dooq z{z3*8h5*>eA(#)62OS{90y?M;G_B~u03OX3N^B8oQefdY1XciA84)1V0Xn}EG<@cj z!XhMa05paMT8P8|5@rGIS%mCSgqaW3$-plT-N)#Z$RJ?B!NLUEE4f2~h3gnh#Ym$Q z85#s6SQtbK85$%&G=l*fcr6M8Lj!D|BLiq3VunG3kR}U*3rHP!#0#{ak%fsVgP}o4 zg9W_L3cQDefkB{w1w2V2&>+FWAOn&I>91g5;DGK?1dmA~!db#dz@SZvm4Sn)um!Y_ zk!4!pk&X(P61HgwH6xQ176v^}BDBaDbotMjGYrMBkg&IBV30i{dxmvA|9=LCAE&|M z|9KeB7&H9mJj%deeCGe-|NoV^4uAgt=L5s}KcPGy7(NH^{};S#`hkG~ybl|!1v??6 z%fP_HbLNlIC*$3vrTq2*#qhcwyM_@XiX0~(PoFu%pbVlx7#t`6W&iKqZ5+zL@Ea0W z{||s_@c;bYusG3JaQ^V;&mS1hoB_v4e5kSDUDN+q;smYkX3}S1h>gAb_y7OTzyFsq z7>~vYD9k|^7AODzgYwDhRcFpHfX@~H$?@<oFvv2<GO(@(Z5jRh1|-Jtp9c~rx(p0w zjQ<~Jc(26p|Noyq|392L6MFvt2j&2N1|A+$1_lQ3xgKCG*a`ks452*#89pd|VpwHh z5MMtUC$Mlr#7TiM0|RQDfb)s5tg)aVD4%?^1?%~L08(~YLE_~9f2CFb|9}4f|HJt| z;5dm7HMX}m<;M~yX!(SFDWhxb|In{WpFaHhZxCAkAJqB+sR3cIRU?f6tu$p|1np-8 zO)E0Ygz#AcnbbT$LmN;&XbA&&=`{mHo`Hb_bRen#Xn+I~VqkFwhKZ1S7#SvVf#ez3 zZbB+_HU;RuNBBBausCQiU%^`&#)hhaEPG{SnhG&_<dM*QiwjyD+(4dx0Og--aRA-N z$N=LvK=(N|K;;?KK_{d#Fo9+rLHa;r#~@lkT|uFNfq`kIx&p{NrW=s`i=h3DAZf(i zXb^GG955pzgw5312wL;Xz`(E^v{$mB8QP8m=^Mq=4*|sJJcii<(m|+V;9*Ksp-~<g zApq)j!nGj^G-#0rmw_^nRWk{&L_&o@T~Y*dltp0(KnoqXDX@Hjv`z&s2VRna;5mr+ zLpjj6M2<12%qT@^2!N+Yh&H4_@*dG@N2o$@8wloiq~wppgvoM%_AP*B&N)EmG=r*k z(EL550S20W2WJ*g?I6U!#01&Xh%g-_4l#%cc4sCdXoL(jZUe;->5)l7)`Ei0?`L3O z2xMVk2!L!$02LyP4B%@NL5)_>NzN{y15-eaCsq;EV#$F)0J7`>yzCgX&I{C%W?&Ft zbYT!sVQ^z`2!IGUFgSt@V_*P}kufkZaM^(@0tY>~^a5QE2<DAwf&)|+JFtL~Jm@wI z2hh+jV~c<jcv*b|<dQ527SQHG1qKDs;`%05@U1Zni~<Y{3ZR{#OyIQ?3=*Ja^Pq)V z3=0?pJXjoCK)DMd2fBd+63Ae!APJDI3=9lHJ3v<kB!SNO25l@A5CWf=4pEC5@er{Q zK!T>RK;C3xfZWr_!QjHc0zEF9K?QV&5J;ATK>?JS6&M->xEL8USQr<8gPKJM5*gqH zD4>!{K!Js!i-AFrO@L*B3P>a9jyaIQ0+5U9Kyd*w2&4fT;H<M77y>{_p;!U5=@Fb` zpaLV00u}7wk{|4K1PgHm3RnUZh@d?~psE9O@e%_QlLGh*NYGwHNHxI-x<yWjfr&#v zU;$W!5wv$0x~vgm2sV=S2WUnaA_Lm`%g_LgEQrv^Bth{Yr~q2u1HJ~ifs26&w6749 z!a-6jpu1&24OjsI4F-k=J_ZgY4n~le6ezerJ9|LU%Fw~V)X>Dy;lRWo)X2mkq`<+% zV8P@7G6Wny3>;11C<SE#Q12bq@n>L=*@a+3cK(9u_!dwi058c0*)p;*=-yFCZ44@6 zkOs8C!2%KkGeC!@GB|*jZG(=+abR>1Z~)c)psPxo1Oz}UQv-Mfz!zvUf_Bq0ih!$h zM3DqKstaNgKT@9&Bm%Jmp%NrE!Z2trV-x5qKG5|g91IK{ObrYQN(>AgAVLW={SHpX z3S0~w3JW@z7AP<=ELg?0fC19DWoY049r(e(z|_IS(6B<GLy3VwQUQEmM+cKa1A`JM zr-3j-2k1ILP!3UIVt{UJ1XV5!42-r)3>@G>3%p=hsey?Dyzv_p58xaKVvH;-07}!K z(gJ)xCetDY2CfBMpnG7O7(fTrGBAP5E^xACVqoatVq;`-VqoEBU}h2$QDOk)3rKh= za4>NQatJUq2{AM@tpFE7U0e(dj7%&F44^&o3ZO-g;4p?{1n}N@kXGKcAQDncfno_% z+<`WCfnyRXHNq%xXe)pYPHAOeVQ7Kg+Q`Vp0A5MY#J~ay8zu$`0|5ch9hVL>S~?j- z7#Tbo6jVW#4CqeNCh%3jpm=3s2w+igVPp_=so-G{U{r8uVCZ1t0A;BrNV`h_(%=Ty zD$uH2uEL=qfMEe>+XM%AgHfXk=uSsyG=O6f${sNkhtdR4QP0T0GJ%EBfsw&ahr`Li zfkB}|2-HpQ<X~XnaAIO%2w;!^g%qg1W@8YL;CA2u9sUTq#S9u!pb&OsU~mv&Vw%+F zz`~%w6vD*NAjaUp2+A#>EfJvL1!0H=CQ#EE#AMEa+ztu43>q{n14;u73=9V#n@m9} zMjGZ}Y5`qo%EH1G1PWCKh6aZTED9_REdm_@pd~_1pdjL91YM};1xm^cE(sc-m0v=j zJwu?P1{z?D3ZShi;H!q7I0!Nx0OcV81_cj>mIej|$a$Sml^E0$P!kJe4O~V5)H;Ck zMjV5gLxBS{fTG&Oz|hJnqQb<W463x56gfcauoyWwK!@6Zj_QyQWME?8NniwZPFNco z92hthIl!k5!Ga8$1w>L5m;_i90zezLHz*0Xg7hj#B6h68l*4I3ZzvNIEsUT|Vk3My zOQ3~;K{<qhfg?affdiBs7?>FNR2146IRqIPR2Ugp7#TGfKxcJvFtD(KubWe7VGsaS z5ey0pNM#qOpRd5cpuot%!olFk)Nz!Fp~D5#oZ<lA$p{H>2nme?D8)GsJVplMae#_O z1_mb3!ac+dfgqU?g#`pag%AT{f&u7UAOV&x0R|=u28K1DK=2W9a1aEI@G>w6f@*vx z0VPHb&;i2GG7D1JfmDJUQJ|xTH6Y81SwOq*AQ=Y~z7Qp7BrJZUGQkpz;3hX%@BnDr zBqPfTu=ofiI2af;Kpk@h1y-gI(11CU0;mtcAfyEeR?sLUlNacaI#6N7!qDKrsKD6J z0NM_W==y=W9-zaA7(nAykmfFAC=GOe8nm^AHGCO;z?~qF95_ZGtzt%ol^~H3idQu- zF>tYRaQsQBQOyVnVPMl`Vqpn*(j@YVfq`d=3j+gJkcJ~;nH~!l6OXEc1A~K7KvW>B zFh|oQ7Vv;FG}r>bgIf%$4MG!Q7`RvjJvbOF5*PwFKs66b19-$AsuDuM+g)NRpnEbw zg5Z%)CME`l2?`A0qd`D|BM`H&gvhZ3s4^LgG6*qovG8`^U<}g9j94orvr2@4L5Pd7 zsY3zOh2e1Eau8Ma>d=~_71E)g#dUyz!$n8|aXdt)LI9US0E5OMg$9nMwj&KL3d{xv zIs~+s90Wi^#~qLy2RhUc7RaznAym!K1nwt-PGkp<HZNAV!o?5(z9JQ-ctp`GEdS=Q zh3f0?mjCyyPQNz({w?+Tyxf}q|M%_t$ER~|zH3*PR+yHnU|pS@m%M%c$*ehbWjCs- z{V#L$T?^AV90&`n&VmB26@dyG-&algbAQ_TD_66)Km7R-P{nm2fJ-xE-AeFAM(|!! zs17D@JTNdYuv)M*H8C(TGB7eRu`w|)Gci2g@P$k9;>r<s4+=D78078#&EpGN&t6~q zr>;&vC;tDP`2TkKF@OKf+xIU(NbX*IQ-@ZVt~2AmcY0po`uR7q?qt>dsH)b#+|zYU zOsg4m^d{ISP@F6XV9<EJW#6oO>+iLezF2MY>&3?Ut|wm`IN2II!58{sjT1pRaGWqO zHZrjx;zZ#>>w2)kBavt@Hja<~@Zs-Y(0;}a1_puq_Zb*EI^ML1`+$PuKj?fF&{>3_ z$&&vJETBVb|Nmd002w<5ses_AQ_q~4Y&<pe|7Rv)&`m-h(f@4!w+mb_Wng7xK--81 zl7(SO$h8D8E{OhbX2wt-3O*Yb#2tCq*!chd4<G*jH)b&Y&%hucz|YUXuzUAm<1^>M z`{!Ue0aU5{XJK%0VKDxGA2XCdaRS;G`Tq~!IR=LRe?Th!v;BW7eA$%$>sQbo36Kaj zEDkamRRR<z|3`G3n1Q#g{pSa7Uo!v=oG|R({n_}#e+CBDP*6t$7GVGXvoLsgFc^c> z!^B{8Xy}<U;5a$Y(!;<2(#yc`KjHsd`TM5-4eU)p3+!P^(P*)^2mxa=5S#grnHlr{ z&?pdlWMWW0X<+#KKNPfg0h~2JqqZLw@CPt3tm1-|T?`DX!15j*tgN`>WNPStdv<dM zhR@(N@&6P4Z<o1a`T>8Ouz?m9LxUc2qa!Fz7)EeDf$n2uaAXkR;9y~J0`YOspn(s# zyi0(D6X={kXkdaUa7WRFL8KGBZxJ*K$-=;p0=at;d>kR_;#1Ig8b}*Qg$o17EHE3q zSi-SIWCoWD$B5m}C;;8JSRnw~Q^>$1$j~4m!@?j0+PBC8+GEH7+Lj2O-U97&WCHDf zWMSZB0Ntqw+5G?tOGu3mIuosep&<&iZxOVQ5j4&s0P5B=Fo5?xBGz<)^?>4-fq_Bf zGWbklun=g$1p`B&Lqh~R2h)f>h`V7v1NdxA0|UsKxeuQ~cfXxEyJ)GfpqARkzo4LU zFK33{6U*=+e!l(r|NkBK|7WoOpU=+>u6;miV3^U^n3b7ZSeo%5k03t-sJ#G_L8k?w ztq0y)pcWrQ)&(L4*%bsX{~+Qci<}_;8{Fn)U|?isVNg&2#fc#6ox2PSlMNX-HvV*R zDKB>~2bJ^X<qQq5IQjqoBg6kc`Tdx2!n4l!gTOC=DBiO?clq(w37}RrD6C~&M&kq& z4hU@UzaGM2Wi;+!U}*UN_0NC7_4n>GFbGaO;PCe&Bu@VSU$qJpCp>>Z`2^G|_;39G z&-;F6jI_*g#(052fl-m|U;Depp`f^g7>`N9;)FMkfdO_W<7hqsg_A+P54h~wV893} zhZ;V7J#+s5|8wVCuL%n(CH>tBI&;Il{QP-PJTM4A<3!kD{|^TH|L^%R<Agcfn4c-0 zDa!DpJ!tnMMx24{gkV_NCBGWN2a%(30ty8f1}}#PO<jQmV5_V_Y$%4x!}l{XftCh? zMh!t{LW60f8lMrivJo-82-)YzvK2-{jb&h9;QS7*>={8V3h<svP*a?Nff2ko1EFjL zvRXXAb4u`ii|9cB+s6o{LHimx7#Ns%Ap0OeG)M;%4`kmXQzvAPB6MJe0d!9zDDpt} zG=e6?n9qPzLolZWR4u0(Lk4K^3lEeJTB89`GD;E^0<e*Gq7;Kd8bu+pZnl>wQllIy zhX9sB56uST`C^C^-!%w5N>UmE$SECR7UpmwLJq<LclIGnD9PCmWsFjzAuv=z0G9mG zX^{INVh|F<$BDu7yi5!X8^E`sft4{afUlbYt*!&}kqDMY;I({6BBM-b2tbBbKpap3 zgj(!_L_q6V7(n~y92f;yK=lUbbb63-7zT+kI0!hvZgL6$E#7tjHEKZ%y+PL$Kn!&N zwVYv^7#J92LF4!kDaf!Hhz+q3N!5sDvIu}~^Z^ALI0!(kRxkrXKvKN}_)K{Q(COTc z43O=qAZY;w1}AV`0bR@jVmE<W%iy)!2@Vb}4xj~iAf?cb3^)!M7#R4Kpn_luZ0Bf< zfWv};4PLIm0t+GzB|+s8_#{Elolc;xAcq7Ccn>O69@I-@0bOYWl7lVJM!G^kgn@xU zf<cMFg+YRW17$y*6zDz<xGAFyv=9K*72r-acmW-nB<N}y@L@xs5QnN#0YwF*ssQPQ zRt#V!186@mXy*{<QWKa_pw*NxHjI|K4P%Ycs3D*LTB_I}zyT`Ep)$~Lhw`Ak>L&0? zVFzfufCgijnm`M<K({V|Vv>o8p@XFXwDK69@1V;ZL3@HgXGSYP?_h+Q%fP(_#)8q{ z@(acp@ieFw00#oB{DRS7K?cyk2}pz$6mudRpt1|J2p=3L@JU1t@RDo=Mh6B)@O{4E ze8R}W$pVU1(0#rTv*4u6BIrhWxX3628Uo;a0%mtWHt0fz0>L5(0(1`}gAxZ5=n535 zD5RuPXkch!U{qjG=wMP{faDXfJotjrh6N1`khS0-G1UCWAS4bFM8cq@%Shaj%T!=v z;9%fl;KCXcpkV260Ii#6XyWJqUBUqpX<z_toCDns4B|nGCKe{v1)xHU5hT~d0X}I0 zBnukxVgj`jn3xuTX{aCr1B2L9$f|9y2zdBe0bEOg`6HVEbs`uP8bKWja6mw=*#a{` z@dOfQ0oCE)qKJWkfvth13ADWpbe1qE<twy+WII6jUxE*iU|`@10EI0F69;G&DyUQx zV-RfsU0%xq+ExLIIk4%VG7!udCD1}Zgn<LJLmHHx(PSW8P?$0>Ft{->FmQ0Vcz|k^ z2@DJz9!v~O0tyZ+pbZV+szm^_F#>cO7N`}#z_bve8%9F22q?qAgc#(J_n8Pmw8JGw zHUo4>4F~8hES$k0z@R9=zysQb0&06PrZ6yqraKrk92h`7c2Hh{XoWPJ3|tsAz!%v; z1YzY9sM>;yfEjFE;F%jR8{9mAutrHx2!PfKae=yXjG%o|AQ4o|VZbthK|x?aLnmkt zF!<{02GCLH0WA#R!4^;v2Z<TbKuUvw0}}_LLk?*PfHM!OsSF|oNCE;N`=NZ87ASWF zQY@fb<QNz<AXm>}2>=#`jt20N9t;Mc1{MPYM*}!VC~zn!Fli`&0~4~C3#^&LfrViq zB#OX%22iO44rT{Pa{wkP2rl!WJX8%(!I4TaLVI?gY8h)7c!6qa1_p*MEhPpa1}2Ue zDJBjkHO7!R;9JH)^$<9Q7!U_@U@-=zB7w@wuZ88Fh7PWV0}2fn@S6eEHUg-Ih6V=* z&`AL}{K^6=>P1*UTi;U-F)(;AunH=G_bh4zpr!8sc&iF#95^-_7$7@Ik;cIo7}#FH z)G&Ys)L1|xV{nlX&0qu-EgTHsn@HIpW9De#;lRM;5D>;7z`zm4#UkY7z~G?4C7{W` zz_o>eAt*oqw38mR(i9Y94B$8c9V68VGX&I71LcQCaOV*mLr^JBxEcl)mJkLWc$`4A zKvj)QiVNHp7GU54HG2`2Gc+&+yqE++G#D7<)>##4xMcZu*htAasOUIwt!Qv!2ml2i zlK}&R01E?XuQq7l%jF1zLI9}C0x_TgeB~@;KO-Y#BOOG7L3}m?Y!ttPONl|@0K;h6 z1qqtgrmh4h4Ti%a99#lypp#V~!f;Z=%S)szqaaLfUtL{YUS9mE8mYL<eA`T|6|4Sf zRFp>vaBvy0FbJ@SaBw9sFa|Jol^ki%2xEb7s0QtwYhYjo-3i#h*od4@Sf{|^q@joJ z+X0;`u=)fP(Qx}mB14da>kEqpLkO-o5%6*n2+Is8liO!iRTr06f2y|bUWQ#=X55}z z-vUCSO9VK$Ho)VAA%KC)<x7`lfEH?;G&DDY$}Yx6<g1zam|$_j(8E{8pmPP5Pey#4 z5R(o|OBomnxU39*|Nd?q8ft88Z*On^|9`nLwpGEh42%c)8JIsKnTu<kstrude+I}d zF&KZ8CL98wIQhV3U{GECe=`Has#W#SIN7}U0O(8!6a!?>7=GmcPgI-)BQ%c22_h_r zW0n4AV7S0#Z~y)K<^}_X1*_uujjiw3uL9*0j6J%t|84(<8pp>YnT#W!u(ctn8D)|f z0-$}2AHZ>9%m6C8K)2HV|BoY1jCs$78iQ`5g<FCnPQZi2U`4nTfF(yD;n*V5!N8yh zTK9m~|APbuXul()fFfw$qXcLlqauTV1!%a05w!FH)VF~S06>@2J1Ic+F+wy$NCO2H z2G~7~u>Fmob@ib6O0b=Qh&`2HK1AEdB`X{nKzpBEKwS%Lp#a)*2wJJazyRtBaxf&e zbb#UnHrxxMVc`r3Po$wQka-yl9R`ql8XKfoK%GaBAQ-buhS>?)`v{T)*#(vw;Y2Av zWCz>-|JYhl3=C{(#>W2}82)t3Vqlms;WNY3sfQ2$|DTo?8fyQafdO>B0O)?lRjWW{ z8iY@5fHH$_t^@CV2n(~Z0qwC*O9Pojtp4Gv?iYUs!~g$hjQ@jo!DIR}EzRB@6eplM z#lhk82kde3|34^BR;^mK3eyB!BElvN43Ibg#fh0&TH0uwK*B1FpOxYKc?O1h==Mg4 zD1uB&GdB9mpzw!*A;1B2O)@A>7#PyhriLD1XkeHc+5isMRjX#s1cfgs-yrnjX9?~` zj+4=Rf(Wez{GfZW8H{m62}4?%y%i)*9vpzh2_rO4AY~OOF8==q#R-Y|WW#WY6E?^? zbp{xX2wmE;JiyaZ)U<;gJ`hYzQwPE<Mg`Ea1O^5eO)od7AclIWWF<TNbb2ZoIBE>V zAt1p=z7e4Hn55{YwR%$AGVp3~FP;||9seEp=oxjvXb2495I~;$BgGq#<tk7eObiMT zKJljU9)ao|r3Ot12+6{og0Tt!E`x(Xe9S`(ptX94Ez~E8>3~321%oWd(v$%$vH(dz zFeKlAm*hbNKqL!f%PNS;+zTSnFk~DPO>mS;atMGn*MWxbK^jR2Q-L^;hEYtV5J1fk zcnpP|{RmQmUO=%R%|&9#bRaFG7=H-BiewH4NFfKy5ilA$w*@r>Aqv}I2bDlj63z(r zC<`?N$Vj8$d;%swMmd1@B?^F#J_YeX7!fB37OFUd9MZ)$ASp0LRSgyzC2)oSET42h z;}EoS6r>muig^0uFe&u1iyP@|bf}pi^F}eXLjbfx7|Bd{UP2OsF*)#@We-y`(rHLF zfj<<$?OR+5Il!`T1He2;E`p1J7%(ALUJwtAVM1WeC@~rWqaiRF0;3@?8UiCU1e6$* zAb<&ULemfq1m@X8*zqV%S7HDiMFwf}voJ8yxnU2n7sWwjbHu-pt##081jWgqa}*g4 z5<u@nl3~W+&@x<N1iS}wa0DsEu7K1fAab-mp*S$9WWZ>BLZyHlH3lUF92^=Lm=q+t zQ3OXh0~P|HOIJYG#WN`kpY>g{pD-{q#9#c*z>w{R=SU8uFn48TU@L%5gF~*ZLXyN} zaxfHBFjO+Y4yf^9n7}ZBp+R5)D+2@f1lWK*rZ$p9z{ACy??}=!h!szAWnd7N{Jy7w zq53{?al*vGz&r!Ap9-h1P=;j{7-mSc&SjXyFlo{Rfe8ZJ7`zxJF$gf6NSYwPra*-_ zIl#cc0=n0RLG<>pX$<2GS$a#rVv+}HF^mu85~SqO&cY+8VN?OZ5P%IKz_u*F_yjdV z6ma<OhA>9S(GVD}A%JVD5#(Qdav*sac3THyjnV@a0%(OBC-h3Q0dvnVvl!p_Fg`it zVBkmQjIswN1i-x#gw>MJBcc%^qb%x%09t?(VK@i;tSBNBk18Q91kk&DXnaEb6>)Cj ztQl2Btq>rbZzT3m%dSy#AR&NgM3FY$g2+sg+7OdQ$<YuPz9E3fClEh^SE53gcu7P) zVY`V};ix3lLtwN%p?Z)KV<39N3Y|~D4E_ZK6pTuf9Rj%OctTMmpF*~kqZ)CB0HSVy zC;{_{tymb?LHB7vG>($=4FQ~S#POBB4jHv=Gz11=2oP*|abVnIItYC+w44MhlhA3R zeIZdww9-+9qaiRF0;3^7uMmKhX*lhZ*osqXRD!$^P=GG2goQnf4>Ju(943THi(f_M zkMd|10tDj%ZZpQ+DR7xl#%KtPhQMeD&?*GLgIh?3qw|rZz)TEzwn<>|QDTsU0I1TD z#CG=JAaUPNb_V)7R6=}Yzlv)j^G4a!2?6ks7SbdzIv;Ecl029XCnUDPnWGHqgaBd* zDx{_$#K*8zAP7TfRDj|T0M!Z*Lm+dFg!mA(Fp_aJPGCVXN{@!XXb6mkz-S1JhQMG7 z0T%{V2L@IK2L{lARW1w+Soz?KP8l5-Kv!})vVg9qcL24GL6|}C$zbx%uyBhK15*P7 z6R4BOv;cIb7*;+5LLS0oVpzbypwI*g0eMIp8pIsMqaiRF0;3@?8UmvsFd71*Aut*O zGz@`3d43n}>m+Fy5(8&6xKn~;Jy#wQ2aO3+3!~AbF}TF4!_Y{i0Ikg@(ix-5MqmgC zH!v_j#`sD1JT85>#L;aaRvo&&QT}KM&^rV``GnkZ8kas?;^=-ORvo&&;lhVa3Zs`7 zLhZPH2vZBAajS&L5UUQNnsk!Z=9BIsWF4SEMF<~~*3kJ7Ibuk8#8fdc%171EAq2RP zHU`7;5*@4^wE|BF@b=-6q_GqvkJH$a0X3ey(w6=IfI50uT8<tQ=zN%`5j74>1VW2@ zLg-PF(hxuoVGtizY~Z&`;3q<!-u21wFS`(7JIWdjfzc2c4S^vN0%Z66M)#Of9K+y^ z3`nM+^O2;8V+xShG$79KQB~MOfI#C$)CjvaI*3x7p6KAlQ7cA92oS7iM$eI;I3b{i zF*+a90s{2~hdQQ~Q4y+y0O7d7VT}}l`UZzKY6z3<W@=bYMKjR-0pr7HbgL8yO}2Ag zLf1k!J}x)Y%>h)h2;CnrK8(h+hCtaRl#Z!|P9nJ7OeY77S~D5~qaiRF0;3@?8UlkY z1VA%b$ZkUCBg=u<_~a!<=NUmkL<>xIFJl1vCuF;s76C|sndsBA2tM|`q6m2$mI@W% zkf4V!b~n?*W-8l(-mFLPv3Erf^4J{0B#TXyeqz|&Oh5ZaZ5j=M(GVC7fzc2c4S_)t z0xk}qvsDm|f$~A;tuQcx&Qn21fmu*_FdHi&Wr9_h4uUw{Ob5HEYK2k*Xz(4;2}JOj z7?_kWtwP9S%7H~VOu^K^5ZK*3Fm4&Obu<J<Ltr!nMnhl}jE2By2+%kLIM0DkCZw?~ zqsEgG0+MGK7)BR~k`iL0YDYt0Gz3ONU}S^<{uwOHFyPR|6dM&84S~@R7!85J9s;t> z1L#7evt9<!6*RYcv_7GE5RY0g8UmvsFbG0mv_2UGkud6n(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C zQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{ z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq) zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOP zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_ z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!83@ zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)mo zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwW zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhl}jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1Jg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAutL?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3P$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7zLvtFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;6Cw1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zg3%Bd4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=CQ7{?;qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFbYOPU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V+JV2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQKHo4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVB~qaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(6pV(zXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjDpb+7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fl)9T0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AutL?Ltr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3P$ zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2A{7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c1*0J_8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqhK@yMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU=)moz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kW!5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S`WG8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiQ~MnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q!DtAKhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinC>RZa(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7zLvtFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;6Cw z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtqq)hQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mg(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!83@Fd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?3PwX<Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nM!{$ZjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz$h3Efzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5EuocAut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71* zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmwWGz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhl}jE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jg3%Bd4S~@R z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7 zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R z7!85Z5Eu=C(GVC7fzc44C<F``7#RP$G;1m_Fff3y0Yd{L0|NsW5}O&s9>tLG84Te7 zjU!f&TMQT!7@7AmFc>f#U}RumWi((|0HqliAFr)j3Q_~YP(8dL_9z}aaXA_nqj52s L21e7sP)P#-a~fAh literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc.tcl b/proj/AudioProc.runs/impl_1/audioProc.tcl new file mode 100644 index 0000000..6327bd7 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.tcl @@ -0,0 +1,284 @@ +namespace eval ::optrace { + variable script "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param chipscope.maxJobs 3 + set_param runs.launchOptions { -jobs 6 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a200tsbg484-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.cache/wt [current_project] + set_property parent.project_path /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.xpr [current_project] + set_property ip_repo_paths /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo [current_project] + update_ip_catalog + set_property ip_output_repo /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.dcp + read_ip -quiet /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top audioProc -part xc7a200tsbg484-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file audioProc_io_placed.rpt" "report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb" "report_control_sets -verbose -file audioProc_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx" "report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx" "report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx" "report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb" "report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt" "report_clock_utilization -file audioProc_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force audioProc_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force audioProc_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi audioProc.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force audioProc.bit -bin_file +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force audioProc} + catch {file copy -force audioProc.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/proj/AudioProc.runs/impl_1/audioProc.vdi b/proj/AudioProc.runs/impl_1/audioProc.vdi new file mode 100644 index 0000000..abd1d72 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc.vdi @@ -0,0 +1,807 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 11:38:26 2025 +# Process ID: 34927 +# Current directory: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-608 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :1499.709 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :16357 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:19 ; elapsed = 00:01:03 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 6642 ; free virtual = 15003 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +update_ip_catalog: Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:09 . Memory (MB): peak = 1686.523 ; gain = 5.938 ; free physical = 6628 ; free virtual = 14988 +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.469 ; gain = 0.000 ; free physical = 6212 ; free virtual = 14573 +INFO: [Netlist 29-17] Analyzing 99 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 2870.988 ; gain = 624.930 ; free physical = 5626 ; free virtual = 13996 +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2870.988 ; gain = 0.000 ; free physical = 5626 ; free virtual = 13996 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:37 . Memory (MB): peak = 2870.988 ; gain = 1176.527 ; free physical = 5626 ; free virtual = 13996 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 2935.020 ; gain = 64.031 ; free physical = 5601 ; free virtual = 13972 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.31 . Memory (MB): peak = 2935.020 ; gain = 0.000 ; free physical = 5601 ; free virtual = 13972 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 1 Initialization | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 2 Timer Update And Timing Data Collection | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-1566] Pulled 2 inverters resulting in an inversion of 4 pins +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 153e10ba0 + +Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Retarget | Checksum: 153e10ba0 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 2 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 153e10ba0 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Constant propagation | Checksum: 153e10ba0 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 1af9906b9 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Sweep | Checksum: 1af9906b9 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells +Phase 6 BUFG optimization | Checksum: 16d99f34e + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.1 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +BUFG optimization | Checksum: 16d99f34e +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 16d99f34e + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Shift Register Optimization | Checksum: 16d99f34e +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 1d945ff79 + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Post Processing Netlist | Checksum: 1d945ff79 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 9 Finalization | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 2 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 2 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Ending Netlist Obfuscation Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +INFO: [Common 17-83] Releasing license: Implementation +35 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 88.043 ; free physical = 5289 ; free virtual = 13661 +generate_parallel_reports: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 88.043 ; free physical = 5289 ; free virtual = 13661 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5289 ; free virtual = 13662 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5289 ; free virtual = 13662 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5284 ; free virtual = 13657 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Write Physdb Complete: Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5281 ; free virtual = 13655 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1bab39224 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 173dcc833 + +Time (s): cpu = 00:00:00.82 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5255 ; free virtual = 13632 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 +Phase 1 Placer Initialization | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1d7e80512 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5259 ; free virtual = 13638 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 202ebee6b + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5273 ; free virtual = 13652 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 202ebee6b + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5273 ; free virtual = 13652 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 18ccd01ad + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5271 ; free virtual = 13651 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 18 LUTNM shape to break, 85 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 12, two critical 6, total 18, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 54 nets or LUTs. Breaked 18 LUTs, combined 36 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1401] No candidate cells found for Shift Register optimization. +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 18 | 36 | 54 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 18 | 36 | 54 | 0 | 9 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 15eef931f + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13649 +Phase 2.4 Global Placement Core | Checksum: 129c76092 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 +Phase 2 Global Placement | Checksum: 129c76092 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 16420d5ef + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21934284e + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1eade4b9d + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 16ab41fd8 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5265 ; free virtual = 13647 + +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 1b1008a71 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5262 ; free virtual = 13644 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 1d31c1c21 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 1c522d8e8 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 1f3ec45b6 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: 209647115 + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5250 ; free virtual = 13632 +Phase 3 Detail Placement | Checksum: 209647115 + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5250 ; free virtual = 13632 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 2f05449ba + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.026 | TNS=-2.986 | +Phase 1 Physical Synthesis Initialization | Checksum: 22717f216 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 26ff54906 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 +Phase 4.1.1.1 BUFG Insertion | Checksum: 2f05449ba + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=-0.229. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13629 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4.1 Post Commit Optimization | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4.3 Placer Reporting | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 14e62cb1f + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Ending Placer Task | Checksum: 10e1e419b + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +78 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:18 ; elapsed = 00:00:13 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.1 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5226 ; free virtual = 13609 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5215 ; free virtual = 13598 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5215 ; free virtual = 13597 +Wrote PlaceDB: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5214 ; free virtual = 13598 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5211 ; free virtual = 13596 +Write Physdb Complete: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5211 ; free virtual = 13596 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 381a9127 ConstDB: 0 ShapeSum: 3de8c510 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 6bbe2e5b | NumContArr: 178c64c5 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 26c584cde + +Time (s): cpu = 00:00:39 ; elapsed = 00:00:33 . Memory (MB): peak = 3569.242 ; gain = 252.516 ; free physical = 4900 ; free virtual = 13286 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.084 | TNS=-0.157 | WHS=-0.121 | THS=-17.691| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.000263185 % + Global Horizontal Routing Utilization = 0.000727032 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 976 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 966 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 7 + +Phase 2 Router Initialization | Checksum: 336dd4049 + +Time (s): cpu = 00:00:40 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 336dd4049 + +Time (s): cpu = 00:00:40 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 248795aa0 + +Time (s): cpu = 00:00:41 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 4 Initial Routing | Checksum: 248795aa0 + +Time (s): cpu = 00:00:41 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 356 + Number of Nodes with overlaps = 184 + Number of Nodes with overlaps = 88 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 31 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.323 | TNS=-1.133 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 1a850c44d + +Time (s): cpu = 00:00:45 ; elapsed = 00:00:36 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4895 ; free virtual = 13282 + +Phase 5.2 Global Iteration 1 + Number of Nodes with overlaps = 137 + Number of Nodes with overlaps = 71 + Number of Nodes with overlaps = 47 + Number of Nodes with overlaps = 34 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 3 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.031 | TNS=-0.051 | WHS=N/A | THS=N/A | + +Phase 5.2 Global Iteration 1 | Checksum: 2adbc2af9 + +Time (s): cpu = 00:00:48 ; elapsed = 00:00:37 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 5.3 Global Iteration 2 + Number of Nodes with overlaps = 136 + Number of Nodes with overlaps = 79 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 37 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 19 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.001 | TNS=-0.001 | WHS=N/A | THS=N/A | + +Phase 5.3 Global Iteration 2 | Checksum: 22f6ec8ac + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 5 Rip-up And Reroute | Checksum: 22f6ec8ac + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.078 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 6 Delay and Skew Optimization | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.078 | TNS=0.000 | WHS=0.131 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 259c6825a + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 7 Post Hold Fix | Checksum: 259c6825a + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0763438 % + Global Horizontal Routing Utilization = 0.0985459 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 259c6825a + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 259c6825a + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.078 | TNS=0.000 | WHS=0.131 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Total Elapsed time in route_design: 39.73 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 116e977f9 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 116e977f9 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +99 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:53 ; elapsed = 00:00:41 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 8 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +119 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4873 ; free virtual = 13262 +Wrote PlaceDB: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Write Physdb Complete: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4871 ; free virtual = 13262 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +130 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 3915.500 ; gain = 250.824 ; free physical = 4561 ; free virtual = 12964 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 11:42:01 2025... diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e GIT binary patch literal 30 lcmd;LGcqu=&@-IGEZ|gHtWcbtTCPx(T3nh_Qapp10RVJW2(bVF literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt new file mode 100644 index 0000000..20fae48 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt @@ -0,0 +1,16 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:45 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +------------------------------------------------------------------------------------------------------------------------------------------------------------ + +Bus Skew Report + +No bus skew constraints + diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..ec85d625b596a5ab50c6ffe3ad71af392d67487b GIT binary patch literal 1091 zcmdO3U|`_jkYY13FtpG!RN`|gEe_63Ee|fu%}p$-RN@J6iVq5b3d%7sFmORtPGS~t zDlJwh&Q2{?C`v6Z%_%9K!OW1x#K6GC50U^Gq7al?kY7|H)Wui?X2d6z7RQ5i=$0oI z<;Cac#g}E~=OmV7=I1Hsre)@&DkPSsWabAH<tM|{#uw$6mZYZW6%~{y=oTd5QBjbj zpj%W>fk#DAL4_1kUa=ChuSb;zvjL;ka%L_`E;gt$(cR4@%*E!CTAZ1lC&USN7nctg zOF&{#iI9s+YFTD-s;zmVk%2*pf<i!Ia&}^Rs%>#nx`~B}f<kaXYHEtDuAzcPK#;#n zsB?&?zn_Ako{_NvD5!M}%ybQnHMv5#*uzqbiZk=`ggnDC%Mw%a70QeZj7;<l6*SCD zbdxemG!+zr!xfxLGjmcDObyJ<EG^9x^79nJQ&SXt6Dt*rj1&wltc=a93@jCVT|yK< znz<CXSX>fIQiUWys@zhO6pYLi3=OSJ46RH|K`KnS#JG4MUQ-Az0jXpQ0*5l!G)69V z=ltB<#JrS26>U!ZNr==2N>q`cw8*4uD8wMeo|~B$pPN{r@E-;kHCPQ8EZ7}huzN5> zFeWf$FcdIUFf=eSbTBeZU}TuV$gqHsVFe@O21bS*jEn~u8BQ=VTwr9p!N~Z4k?{p1 z<39!lhA5b^Nvy>=iOJa+j0KFfr#^XpY-K$4$+NJ7k$D0m+akunl(tteGJt)=0P_(8 z*hdT>7#U|UF)m<YT*1V!fr()U6Vm}Eh7(K-7nm4sFfl%0VtB#C@PUc(2NOdBGeZY6 x;{;{~E>N++AiyBOpuk|jAjQDIz^jp+=O@4@!KlEX!C=5(!QjB)!4L`x3IHnISOfq7 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt new file mode 100644 index 0000000..25e9672 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt @@ -0,0 +1,252 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:45 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g1 +8. Device Cell Placement Summary for Global Clock g2 +9. Device Cell Placement Summary for Global Clock g3 +10. Clock Region Cell Placement per Global Clock: Region X1Y2 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 4 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 40 | 0 | 0 | 0 | +| BUFMR | 0 | 20 | 0 | 0 | 0 | +| BUFR | 0 | 40 | 0 | 0 | 0 | +| MMCM | 1 | 10 | 0 | 0 | 0 | +| PLL | 0 | 10 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 1 | 459 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/clkout1_buf/O | clk_1/inst/clk_out1 | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y2 | n/a | 1 | 120 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/clkout4_buf/O | clk_1/inst/clk_out4 | +| g2 | src2 | BUFG/O | None | BUFGCTRL_X0Y3 | n/a | 1 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/clkf_buf/O | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | src3 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 0 | 1 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/clkout3_buf/O | clk_1/inst/clk_out3 | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +| src0 | g0 | MMCME2_ADV/CLKOUT0 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clk_out1_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT0 | clk_1/inst/clk_out1_clk_wiz_0 | +| src1 | g1 | MMCME2_ADV/CLKOUT3 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 20.000 | clk_out4_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT3 | clk_1/inst/clk_out4_clk_wiz_0 | +| src2 | g2 | MMCME2_ADV/CLKFBOUT | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clkfbout_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKFBOUT | clk_1/inst/clkfbout_clk_wiz_0 | +| src3 | g3 | MMCME2_ADV/CLKOUT2 | None | MMCME2_ADV_X1Y2 | X1Y2 | 1 | 0 | 83.333 | clk_out3_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT2 | clk_1/inst/clk_out3_clk_wiz_0 | ++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 800 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4200 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y2 | 4 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 1 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 579 | 4000 | 202 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 1 | 0 | 50 | 0 | 50 | 0 | 2550 | 0 | 750 | 0 | 50 | 0 | 25 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + +All Modules ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 0 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +| g0 | BUFG/O | n/a | clk_out1_clk_wiz_0 | 10.000 | {0.000 5.000} | 459 | 0 | 0 | 0 | clk_1/inst/clk_out1 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 459 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +7. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g1 | BUFG/O | n/a | clk_out4_clk_wiz_0 | 20.000 | {0.000 10.000} | 120 | 0 | 0 | 0 | clk_1/inst/clk_out4 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+------+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+------+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 120 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+------+-----------------------+ + + +8. Device Cell Placement Summary for Global Clock g2 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +| g2 | BUFG/O | n/a | clkfbout_clk_wiz_0 | 10.000 | {0.000 5.000} | 0 | 0 | 1 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | ++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +9. Device Cell Placement Summary for Global Clock g3 +---------------------------------------------------- + ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +| g3 | BUFG/O | n/a | clk_out3_clk_wiz_0 | 83.333 | {0.000 41.667} | 0 | 1 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+ +* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | +| Y1 | 0 | 0 | - | +| Y0 | 0 | 0 | - | ++----+----+----+-----------------------+ + + +10. Clock Region Cell Placement per Global Clock: Region X1Y2 +------------------------------------------------------------- + ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +| g0 | n/a | BUFG/O | None | 459 | 0 | 459 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out1 | +| g1 | n/a | BUFG/O | None | 120 | 0 | 120 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out4 | +| g2 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | clk_1/inst/clkfbout_buf_clk_wiz_0 | +| g3 | n/a | BUFG/O | None | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_1/inst/clk_out3 | ++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y3 [get_cells clk_1/inst/clkf_buf] +set_property LOC BUFGCTRL_X0Y2 [get_cells clk_1/inst/clkout4_buf] +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_1/inst/clkout3_buf] +set_property LOC BUFGCTRL_X0Y1 [get_cells clk_1/inst/clkout1_buf] + +# Location of IO Primitives which is load of clock spine +set_property LOC IOB_X1Y118 [get_cells ac_mclk_OBUF_inst] + +# Location of clock ports +set_property LOC IOB_X1Y124 [get_ports CLK100MHZ] + +# Clock net "clk_1/inst/clk_out4" driven by instance "clk_1/inst/clkout4_buf" located at site "BUFGCTRL_X0Y2" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out4} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out4"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup + +# Clock net "clk_1/inst/clk_out1" driven by instance "clk_1/inst/clkout1_buf" located at site "BUFGCTRL_X0Y1" +#startgroup +create_pblock {CLKAG_clk_1/inst/clk_out1} +add_cells_to_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out1"}]]] +resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2} +#endgroup diff --git a/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt new file mode 100644 index 0000000..d20bb6d --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt @@ -0,0 +1,111 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:00 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +| Design : audioProc +| Device : xc7a200t +--------------------------------------------------------------------------------------------------------------------------------------------- + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Histogram +3. Flip-Flop Distribution +4. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Total control sets | 33 | +| Minimum number of control sets | 33 | +| Addition due to synthesis replication | 0 | +| Addition due to physical synthesis replication | 0 | +| Unused register locations in slices containing registers | 83 | ++----------------------------------------------------------+-------+ +* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers +** Run report_qor_suggestions for automated merging and remapping suggestions + + +2. Histogram +------------ + ++--------------------+-------+ +| Fanout | Count | ++--------------------+-------+ +| Total control sets | 33 | +| >= 0 to < 4 | 1 | +| >= 4 to < 6 | 11 | +| >= 6 to < 8 | 5 | +| >= 8 to < 10 | 3 | +| >= 10 to < 12 | 1 | +| >= 12 to < 14 | 1 | +| >= 14 to < 16 | 2 | +| >= 16 | 9 | ++--------------------+-------+ +* Control sets can be remapped at either synth_design or opt_design + + +3. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 34 | 20 | +| No | No | Yes | 10 | 5 | +| No | Yes | No | 44 | 14 | +| Yes | No | No | 63 | 24 | +| Yes | No | Yes | 310 | 98 | +| Yes | Yes | No | 128 | 35 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +4. Detailed Control Set Information +----------------------------------- + ++-------------------------------------------------+--------------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | ++-------------------------------------------------+--------------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ +| clk_1/inst/clk_out1 | dbuttons/IV[2]_i_1_n_0 | | 1 | 1 | 1.00 | +| clk_1/inst/clk_out4 | rstn_IBUF | initialize_audio/data_i[5]_i_1_n_0 | 1 | 4 | 4.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/E[0] | audio_inout/SR[0] | 1 | 4 | 4.00 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0 | | 2 | 4 | 2.00 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | initialize_audio/initWord[23]_i_1_n_0 | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0 | audio_inout/SR[0] | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | lrclkcnt[3]_i_2_n_0 | lrclkcnt[3]_i_1_n_0 | 2 | 4 | 2.00 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0 | audio_inout/SR[0] | 2 | 4 | 2.00 | +| leftFir/firUnit_1/controlUnit_1/SR_futurState | | | 2 | 5 | 2.50 | +| clk_1/inst/clk_out1 | audio_inout/BCLK_Fall_int | audio_inout/SR[0] | 2 | 5 | 2.50 | +| rightFir/firUnit_1/controlUnit_1/SR_futurState | | | 3 | 5 | 1.67 | +| clk_1/inst/clk_out1 | | audio_inout/Cnt_Bclk[4]_i_1_n_0 | 2 | 5 | 2.50 | +| clk_1/inst/clk_out4 | rstn_IBUF | | 2 | 6 | 3.00 | +| clk_1/inst/clk_out1 | | | 5 | 6 | 1.20 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/state_reg[3][0] | audio_inout/SR[0] | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | | initialize_audio/twi_controller/busFreeCnt0 | 3 | 7 | 2.33 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/sclCnt[6]_i_2_n_0 | initialize_audio/twi_controller/sclCnt0 | 2 | 7 | 3.50 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[2] | audio_inout/SR[0] | 3 | 8 | 2.67 | +| clk_1/inst/clk_out4 | initialize_audio/twi_controller/dataByte[7]_i_1_n_0 | | 3 | 8 | 2.67 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[2] | audio_inout/SR[0] | 2 | 8 | 4.00 | +| clk_1/inst/clk_out1 | | audio_inout/SR[0] | 5 | 10 | 2.00 | +| clk_1/inst/clk_out1 | dbuttons/cnt2 | dbuttons/cnt2[12]_i_1_n_0 | 4 | 13 | 3.25 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/operativeUnit_1/SR_sum[0]_i_1_n_0 | audio_inout/SR[0] | 4 | 15 | 3.75 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/operativeUnit_1/SR_sum[0]_i_1_n_0 | audio_inout/SR[0] | 4 | 15 | 3.75 | +| clk_1/inst/clk_out4 | | | 10 | 18 | 1.80 | +| clk_1/inst/clk_out4 | initialize_audio/initWord[30]_i_1_n_0 | | 7 | 19 | 2.71 | +| clk_1/inst/clk_out1 | audio_inout/D_L_O_int | audio_inout/SR[0] | 6 | 24 | 4.00 | +| clk_1/inst/clk_out1 | audio_inout/D_R_O_int[23]_i_1_n_0 | audio_inout/SR[0] | 6 | 24 | 4.00 | +| clk_1/inst/clk_out1 | audio_inout/Data_Out_int[31]_i_1_n_0 | | 9 | 25 | 2.78 | +| clk_1/inst/clk_out4 | | initialize_audio/delaycnt0 | 9 | 32 | 3.56 | +| clk_1/inst/clk_out1 | audio_inout/p_4_in | audio_inout/Data_In_int[31]_i_1_n_0 | 6 | 32 | 5.33 | +| clk_1/inst/clk_out1 | leftFir/firUnit_1/controlUnit_1/Q[0] | audio_inout/SR[0] | 42 | 128 | 3.05 | +| clk_1/inst/clk_out1 | rightFir/firUnit_1/controlUnit_1/Q[0] | audio_inout/SR[0] | 39 | 128 | 3.28 | ++-------------------------------------------------+--------------------------------------------------------------+---------------------------------------------+------------------+----------------+--------------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt new file mode 100644 index 0000000..060102c --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:40:45 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..f0c1cb2d00d7347420959479be2b38f9c51da16f GIT binary patch literal 1630 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyLFVBlgl)H4ziPb^Kz%nvBa zPmWJ1N{-JjC`nDxD=Mgv`2YX^fBp={0)`5P21bSsM#c$@43n6-U4onypoV;7Wnkc7 z6kwKO4^J%0%gjqx;&66zcM1#EHPkZp%`7g?%u82*h$tlHr6@T2`?-0#$A|g*ggCmp zD!8N;XQt;V1Qg{Lq!yKArWPA<K@{s6DjQlbIGtwoOU*3FNG(z*$w-Bnlb2tFZcbT# zPDx^VszL$8ph|_zVuj+=5{1k>u*T%lqN3EilK2#`b$SX4&iQ$1ndzlPi6xo&c?wC1 zdD#juBZ^aVQj<#*G$8KKRLCtYE>TEI1shkAuMp<!?5~htq~Px7qJzyxXv#s(PR=hX z0vVZ%Vky)<9grLIi&8*d%vVTBElDlP&CE*$JKIy=AK|Rhf`a^_5{0yUNLUnP<`sjq zg57SQr=Z|j0`_!idU|ScNotBhNxnjHL27blS|!M=;#37_K$e1|1mrGt*Obf>1((#a z%;Z$0C{R~OE6qy=2Mjd$+(SJ<UQWpbc_XJ1WGzU4gp0F6T4qkF0>a3&{G6Qpa!{NX zSLT%@R#<UyfdVcb9xsqkRVYi$DNQw0h(?W=SS|(S@{H7?RG55bG0fFS!H!`hYLWsQ zZA8FmBT!g@`~ZywP{Jw8Oo0R&YCJ&#n2ReYH4PF+AZ;m-KtokoTAW&>kY1XZk_wKc l-29?ch0MIP{GwcNGSl-KP0g_2E*?$Ipd97y=Q3ndGXT~?E<peQ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..cb5bb3226dc0fb7cffeddb74a85bce825dc47e0a GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE<^!q>0Ib3ZzyJUM literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt new file mode 100644 index 0000000..d9447e1 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt @@ -0,0 +1,60 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:43 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 3 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | +| PDRC-153 | Warning | Gated clock check | 2 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + +PDRC-153#1 Warning +Gated clock check +Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + +PDRC-153#2 Warning +Gated clock check +Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..2275bd01f956ae02992f6e053e3d4776663310a2 GIT binary patch literal 3269 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2NGwgs%nvBa zPmWJ1N{%neFD*$;(JLybkof=q|9}1r<^qNah6YB44o2n)j0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q zrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ?m{;M#&%G66CCFXlkq_=$;5F zf|7Icld~0)Gg6bYjksWv;Bx&ElV56yLQZO0iCbooep+TxXkKPXyrF(_eqKpYeh!ou z92B2cT2fjRT#{Il3d*sG3h77&=cSe?6z7)~C8wq+BvmRTDkSIUCS~S<Gh1SgLP2I8 zK^x-Zjr23)_5F1el2dbXh*Ykp5R#Eu402Cieu+YQetwDqq+(GhC`wE&0hOkps;)c} zWMXD^DyYQFEl5l*Q7A|)0v88)$*Fn@ZuvzD!9JeOt_nq|>6yhPsYS&);DRHyA~Clh zCsjwGv=~wkIJ+u<JX4ad01Y2diLQ{7pO^wFY4g(*QW8rN^}I#{mHL7DiA{=2g5v?V z0D}}SYI0=IV)(=+!X?DvD!?Sg=&#Vrs>KFLPM_F>xdb_SSp^uSpdtzkS`0>@{KACN zQi5d({Q1Smg2Cx3IKLESrf1MN!w|Fr;zf9lAyWNd%`&6mN|U09_*}D;12xwy<v`6f E06-;JJOBUy literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt new file mode 100644 index 0000000..1d66cf4 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt @@ -0,0 +1,526 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:00 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_io -file audioProc_io_placed.rpt +| Design : audioProc +| Device : xc7a200t +| Speed File : -1 +| Package : sbg484 +| Package Version : FINAL 2012-06-12 +| Package Pin Delay Version : VERS. 2.0 2012-06-12 +---------------------------------------------------------------------------------------------------------------------------------------------------------- + +IO Information + +Table of Contents +----------------- +1. Summary +2. IO Assignments by Package Pin + +1. Summary +---------- + ++---------------+ +| Total User IO | ++---------------+ +| 25 | ++---------------+ + + +2. IO Assignments by Package Pin +-------------------------------- + ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A4 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTPTXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A10 | | | MGTPRXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| A18 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA6 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AA8 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA9 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA10 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA11 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AA18 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AB5 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB8 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB11 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB12 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB13 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AB15 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B6 | | | MGTPTXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B8 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B9 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B10 | | | MGTPRXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B11 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| B15 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| B22 | BTNC | High Range | IO_L20N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| C1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| C2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C4 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C5 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C7 | | | MGTPTXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C8 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C9 | | | MGTPRXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C11 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| C22 | BTNL | High Range | IO_L20P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D2 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D7 | | | MGTPTXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D9 | | | MGTPRXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D10 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D11 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | BTNR | High Range | IO_L6P_T0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| D15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| D19 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D22 | BTND | High Range | IO_L22N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| E1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | | | | +| E11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E12 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| E16 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E22 | sw | High Range | IO_L22P_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F1 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| F3 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F6 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F8 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | | | | +| F9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| F13 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | BTNU | High Range | IO_0_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F16 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F21 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 3.30 | | | | | | | | | +| G1 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | rstn | High Range | IO_L12N_T1_MRCC_35 | INPUT | LVCMOS15 | 35 | | | | NONE | | FIXED | | | | NONE | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| G20 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G21 | | High Range | IO_L24P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| G22 | sw3 | High Range | IO_L24N_T3_16 | INPUT | LVCMOS33 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| H1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H2 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H4 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| H17 | sw4 | High Range | IO_L6P_T0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| H18 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| J1 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J2 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| J4 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| J14 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | sw5 | High Range | IO_0_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| J17 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | sw6 | High Range | IO_L19P_T3_A22_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| K14 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| K21 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L1 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| L4 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L5 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L6 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L12 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| L13 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| L18 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| M2 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M3 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M4 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| M5 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M6 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| M14 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| M15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| M16 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| M17 | sw7 | High Range | IO_25_15 | INPUT | LVCMOS33 | 15 | | | | NONE | | FIXED | | | | NONE | | | | +| M18 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| N1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.50 | | | | | | | | | +| N2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N3 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| N4 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N12 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| N13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| N14 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | 3.30 | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| P1 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P2 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P5 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | CLK100MHZ | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| R6 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| R14 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| R16 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | ac_adc_sdata | High Range | IO_L13N_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| T5 | ac_bclk | High Range | IO_L14P_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T6 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | +| T13 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| T14 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T15 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| T16 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| T19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U1 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | ac_lrclk | High Range | IO_L14N_T2_SRCC_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U6 | ac_mclk | High Range | IO_L16P_T2_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U7 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | +| U9 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| U10 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| U11 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| U12 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| U13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | led3 | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U17 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | sda | High Range | IO_L16N_T2_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V7 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| V13 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V14 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V15 | led4 | High Range | IO_L14N_T2_SRCC_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| V17 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| W1 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| W4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | scl | High Range | IO_L15N_T2_DQS_34 | BIDIR | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W6 | ac_dac_sdata | High Range | IO_L15P_T2_DQS_34 | OUTPUT | LVCMOS33 | 34 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W7 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W10 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| W11 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W12 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W13 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| W14 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | led6 | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W16 | led5 | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W17 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y1 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y7 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y8 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y9 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| Y11 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y12 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y13 | led7 | High Range | IO_L5P_T0_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y17 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| Y21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | ++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +* Default value +** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..d77b02cd75c030eef1e2a80571c7bffdeeab233c GIT binary patch literal 52 zcmd;LGcqtV(=(jJEajV8l98X1pOc?nso<QEnw(v%5R_V6np0AIfQR9M5JQ6`!vcBH H1FDPwnX3>7 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt new file mode 100644 index 0000000..7c5bc26 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt @@ -0,0 +1,147 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:44 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +----------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Report Methodology + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Max violations: <unlimited> + Violations found: 22 ++-----------+----------+--------------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+--------------------------------+------------+ +| TIMING-18 | Warning | Missing input or output delay | 11 | +| TIMING-20 | Warning | Non-clocked latch | 10 | +| LATCH-1 | Advisory | Existing latches in the design | 1 | ++-----------+----------+--------------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-18#1 Warning +Missing input or output delay +An input delay is missing on BTNC relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#2 Warning +Missing input or output delay +An input delay is missing on ac_adc_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#3 Warning +Missing input or output delay +An input delay is missing on rstn relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#4 Warning +Missing input or output delay +An input delay is missing on sw3 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#5 Warning +Missing input or output delay +An input delay is missing on sw4 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#6 Warning +Missing input or output delay +An input delay is missing on sw5 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#7 Warning +Missing input or output delay +An input delay is missing on sw6 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#8 Warning +Missing input or output delay +An input delay is missing on sw7 relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#9 Warning +Missing input or output delay +An output delay is missing on ac_bclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#10 Warning +Missing input or output delay +An output delay is missing on ac_dac_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-18#11 Warning +Missing input or output delay +An output delay is missing on ac_lrclk relative to the rising and/or falling clock edge(s) of CLK100MHZ. +Related violations: <none> + +TIMING-20#1 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#2 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#3 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#4 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#5 Warning +Non-clocked latch +The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#6 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[0]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#7 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[1]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#8 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[2]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#9 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[3]/G is not reached by a timing clock +Related violations: <none> + +TIMING-20#10 Warning +Non-clocked latch +The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_futurState_reg[4]/G is not reached by a timing clock +Related violations: <none> + +LATCH-1#1 Advisory +Existing latches in the design +There are 10 latches found in the design. Inferred latches are often the result of HDL coding mistakes, such as incomplete if or case statements. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..a2c844341b51d312747b8962bdab0f7f008ada60 GIT binary patch literal 9940 zcmb1UU|`@76A+VPGcqtV(=%4$4RDGN%ghf-EiTO|DUM}eVBlgl)H4!NNi0pt%nvBa zPma$`Ey>7F$<N78uZ&MAN{%neFD*$;(JLybkof=q|9}1ru>yt)h6YB44o1-ljEs|* zrF;=O6r3|sle3EzpcZH|GB9v33NTBthbI>0W#*+TafW#MdiuHR8d_+{`eqgvXXd3V zWabr=mMG*GDdd-yfLJN1If<1<+;El3h8B!Y$&PtYg<xrg%wmOHsQ&ys1*Z@{XN98F zoWzpMvQ&kVe1(#XRE45Uu<?m`Df;<E3TcTsIUw7TbMlk36;e~uQ#Fb;74p**oPE3v z4GereqV&AzVaXykR<MUZu?cYTaqtK*OR<1m&!ENN3<@qLii69@g3&1(Z*V0h$0w#F z#}}t0mLyUk*yw2)BKRb@#5m*xn5B47e8Zr{08Vwx6bGX*!BkgNT#`qH;KQHlsACBt z*g&a{AC&6A1~X_eXn;~33&p`@V!`N?gg4a{mm5<dwCG?5BCz<mcsT?Gn5CFOZij^x zE5%`DN<>(h&>^g-U<Ybg2|>cjL;)67Y!ru;84+P+N{6tbf*q(~B@78GQ+QahQyf<2 zM1++Y9m0wVcA$op2qdh`;9<o<aadUp5mx4O2rDYsff`n#kgzfbg_Sv|Z0DpntSl`U zozfliP#S1xEwIGo_@v~VY$^m8y)0V9#s+C{eqs~m668<-g%Zpc3|b8CprGRd36kD+ z<w0t@8dxwo<q!<Jltk(^$LMMsQYeBNWb)7k8NxpdS`5~ppyZ}JC_(*z41z(KQ$(F~ zNH?31f>4A@h(l3;S&AbuIUeE<1}%m#CS1KJBLgi#zx+I1aGxeMMIk4#Bsl}#b22he zHnd=Hy3H7pkqVJg$Vp8ramy^yPs=O{&C4u_H`Gtg&nqd)&w=vXf_>xj^HMYNOX7or z;?qh?N{fO^5=&C!i&E304Pq6N6Z7)&OB9k)6$*;-3sQ@6Disp*5_2l6Qd1NXixo0U ziWQ&+DimbqQEHvOJE)KWSy+^sn4FQCqL5Unkf>0SnG5g8c@1mdePYw$(&9M8Ex;%x zPbg{_v=~0I>2c|BoMIJVl45jMIK-_*NE0|^VeN1dOj$<MOj(BXO<9JqbWK@<(RYxP zHJz1U$})@vwIfhd)^t_^Da$YxoU*X?!3m};V``=>Bl@N+Bl@PS!RR|k%9=(vWf@^f zS<?umEF(zD!a7_*FlCugGi4dmH)R>qH)Rb*-$7E=RKh9C7)#2UN+@L+LsAyj!5xAr z%aoca%Y?ou%Y?ouYcTo_lCq`{PFW^cQq~kgDa#}_3e<wfIuu4QWtmwpINe2R!53ww zXV9|=PpN&xw6un~{~)PrGU3!^fF*TJCX~7iAgK%M;32`(Wlq)9MS4S*veZRfJDz%} zYf$<RlDgJ&kkyi3&q1&y4=%f~4!06aT^3YLU8Fa5DN9|%wdJXox(22HAgOB|;nan# zHNTEf>N0|qU04UG38pSfs-`Z|8@!aIF5=qr)Jt81(tnWDwU%(|!q%c+ODJ_2$3}zN zU0BEPv8OKBxIJj()PSnVi}Y46Wyy=UMm_bC*P!$tBzdhNoV>6#>(>xUUM8`Hpm_~O z0Tw9^$CR?n;{2jYC3YXj5N8ivLoGSiip=5?&^R@CP(HO-Au|uWDk&wkI5R!Zh!d(1 zJVZYc)W9!FRY)vKRWLMwjMb+WE2QO@=B1$O(^K%wOG_;(f(`bk7K1hAr<J5aj4uK& z?E<Z9@^JA{NX}0IIUzT*xFj(<wOB`?xHLHfJW`*RoS$2elUkChkeLQrdzGA6oT^X^ W8tczZ%_}L^^BRP1TExa=XaE3c%Ojou literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_opt.dcp b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..0ef63736c693c7328c3276912d8e3feddf7040c2 GIT binary patch literal 310752 zcmWIWW@Zs#U|`^25RZ+DijmY!c4lH=xWdlBz|O$Qkdj=WSCN|&8p6uJy#D>Z^u1HP zi)R~%v|j(Z{=$YVam5)z)+a4aG8{70T+jIDgqQM!I~Nk32tKg-zt2y}U#&H5im!Oi zJKN{87gsM$EZTg3N`iZ3?1ooflB;GF72D3Z!EaK3XVapr1CMT8E@l1Udi2NCMVi-} z^@X$FRSB>b-!DoMc)+_i=-if%FCHZ=nPc~TdGUE?eWi=O(+*^HPhwDHUc=<=)zCk` zVO{gXpHIJETw2ChGlMDia&NegNP9uv@}`X%Wit#mRKHv8{Gl{uPKxViUk}6lmc04> z8`ov}&i!k0u6Odn4wu5qMYAp}ezUgWjnMVdiTk;j)2H6EVvMpVOsaBPv-exjHc{3) zlbT%Ta0=^W&6#>7NBUV?*zP!ax9|6)w)yVZ7J2o;U&F>1KP;Y~S!^BEwade%Ik0oK z>Eqeoe`N*+O|Z+I@BZk>I`MmpROUvXx_>g@?v|Omtk?8S+)}~V&-*sV#HeSpUMAaK zenb8xQ<PoPa(-#wf8Dfg#^c_q9i{&zw=|g*ow>35{UnWNPbcqE-)XY)@Q*3dkqadc z^D(FL6f60ArKLS7(a8GSusx)21J|x^wW`lc7g)dDr5Snp=Hi>ROu8&{TeGb-3~HYj zd_1({xlPNvRlkBSoG^YXcVSve(Tu5AcR%zgGgNYuId^%UM2wl+q*<}$cM~kX7aq7Q z+LR{Wx#s&OPnOf)oPHm-(Q!!zoE4uAZsou0oQ{#(6j%H93aHwwiALM*3$KEC03 z${Y{BKYE<ZSK1xeTYjxho1~x3SuJ<%J-^(wCtu#y*V;<_VMHW2vDm06=f8(CW-~G{ zOk-wX5MbbBNGwgs%nvBaPmV7xDNWKV1E;*T@AswGPCA=++d!b@eN~s`wuUV0f8O15 zf_`6E;Fl=j8TdtL&B;=q7B$vMn;vi1_mmbaed(xNw|cJP&I<({t-0s?_P%4z+gCfc zUb6pK@}Iz{nct=O-FOPto0_dWn0$EN-HA^ZNKHHT#PgWuyQdR-5<1;g=Nz5X@3rB7 ze1KQgEp2`~lZQRcQ`e@=3AwR&qn^X23r{pOvNr^OoV?)L=63tp8`9-_4@S)uIrZj7 z<A$p$_cm;Oe<QNJz0~e)lyRk-vz@`B&Eji(wg}g44!*VT(CurS`*OsEJx;wj;Ukw4 z<f*FuGW+v_UGwHdt$cGU^5e_jm)D2(u9&^%^qTH9=S{^{&o^Iu@p1ot(>eUh+U%F6 z2Q7B0@fSWb>CEIi*DmO&M=p;{k9;2aI9$I(#M9Jck4#J5Y3@mnRK*T2)mgbDH?M2< z(XC~IcK2NamSs9yJzC{HTTZun%ZrP}?(*Spyk4%7{TaM--V_7f#IQqqT5hv!<L$iE z@N0&%)fq$CXAAg>rx=+%<%(@svgo7L-FG}sr~Iy!fBbIx<vYKp+Wg(!)w}19^HqD) z7~RF%E1Sv4z@W;)z#xnzMl(_%#R()*<NXgAh#bBDUGv>ywY<cR6>m7%10Mx6&5($n zwr=f(faptQC-&`q+w;dW>fWu-*5Bv+E_f_av@=sAdL7S_@8y4Y+<sa1u(?pJEZjiQ zqEYd=K{*?5@v4=#f+o3q{J3CtVY98oBwe8yLNkoksPw#;mbmIXYhjq?5~&$I#~<sg zGR=)Yc{?dYY<>UaJwBJ~^d-ey#au%q(xe<r6N{Fquq{(=m>I&RcVHiboR~f<PXp%- z_LTn#a!iW_PB=ff%l+w*<=c{F{`2EjZ4r!HP|x(K)Zsnrm)Q;X_+M;~n;ZP7D>c{V zNa*iQ?eD_j@741d`7h4cKKrMDqhd?J&)%Q6<TDkOc5He4O#eW#!i$6t?jg@V?fewL zt$+KlYWwP~^-Df<Np|_J)yN1ASJ>}&UP^LtM5uJPbYPgw!_vr;bI-0{@<gQb&52FN zpR!FXI(%ntCil@#H%g^axNkpVoTGEtL?ZOE&Z-xaIJZ}b{bfRpB8ARF2D=#;7<MqA zM^QmyQDQE<#0N!D_lcDp##;(XgBY4ciaF+H7<>%By)^fKxz^sY@EgU6-X(Q=>fbG% zopf{kz3*{r%unRK&Z?TWLn&^B^0AyYo8QwbW&1SmT$^}mO?%6>`1$KU7OeHi{y)$B z_Vz!zLU#Le`!CBhw$6TPC$s%-@TFr_nejf$f7S?^K4d>XfAgE|*ST^8&io8FPd(Td zJbB%$7t3;dc5UBtDseN<?|%(%`6a|F+orv!)~yNJUlmswdM)#}&+IdM*VYL8t^c!% zjRDou;<4v%ePm}~U{gc)bZTNzPNiN&N;15#*&CIe|9G3w-+lX&HoR?T>6q_%EAIPb zrPI9yvbQHZHOmZ?*y3{g&ehkGrU>76{r`LJ!ap8m-EWVIyikhpHaclJ@8KLv=i45; z*OWwh=6*Nm|MOHshW&Jb(X2zuQ`Q<;zQ{QpGt2MVe!r()Ds%T;ubi+lUTp5fQ){Nl zMsL0LZk1~8T&=(x*D^O;^mGrMtMYqCP1yg~vh(3@r<*Xo)!A};?z8v$ZmUZ!GzTpY z;%CyAvTyVhsMr<Kx{+Dx*6RzZFQ$8mD@||CPv4v&;a0!*i~NV^pln_4-9AeLXFvL0 ze!My=P^7bEb7T3lt(OZ@Wwz)&oP0OD$bkD`?F#>Thh<!r8DAatg)H6He6mESLD1Tg zLw&O=PgGZl0PDRE{%iJc6!2gE_^Cn1q4~`ZFUkL}w)`m9Wch{dF5|t{$#Q#p-Yx5% zp;vYH-QmCMpY(rSwdU=oFOKZZ+l>qM?6&ofc=Y35xcZLk+l7C{&%N-!=+M`2^_Y6g zhtF&n>uxVd7n+&M_Q~etbfvpH1!|vdI^SgUeYx?MyN@sAH(kz7D(3PPp77t;?7m6% z4&K6BjxwKX=T-Kt%$}#Y!$a?&{_4G9v)8uPZU4=@<-^i)-hM9ex}8lMJZ?QmmE57o zcHKDWeafw82lhNX_+-Yer!$)>R`*16Oxm=8>API)n$WJpQ%*RDXbOm|T_Re=-{SK8 z(5g)j4*cok+#IvNSKy%2gN(bUj+_#C#Z)OG_I77=Kl9saHIvYY&ObhKj`ga`@45<j z?{*C_Q93P<-pky#!a|@v!RgGA&55}W>Ob-n`F!1F6K%lr{ND|3`KFH4Y2LH+3WHK7 z`K*}Jl(Rs?@YRkSC2r-|KbM2d`pu<ES?rQp_a0BVrrds0s6d`6ZS9pAm-)6`%?b^E z^nh*Z`BQnf1@@?Ha+=WAI??;2p6fhOD<0i<6Uq%Xa+f^zOVP5m43-RjZ2iOYTPm;i zJn5MwHA!+^FHTO^*IHj3bKy~hgT&5FPtIMHa|u<<*?3BYTXp(L!;|a<7k9V(u$#gD z;lWXjje9=I?CE&d##mur{qV+aY4#8IW;<Kd^WRH3ap=U-kMW0o$nE(k;dU=c=KOjN z2Aj6W1)n&+JBr+~oqMVB-Lb!qTql}$9!@xS=;YIqrl<buhYk3a3%5wxoLiL8C;sqo zjttvj?HO(c{d+3}{THj(*nB+RooMm1vvrd9>vD@EquxXryOu%&m&CuP;tgaOix$=Q zZ@(wI%ffBWiks0o9cP>x`XpUnOnaa><H)(p#Rl^hs3*2uzxRYQEl#BUU(y>_gJ_q{ zFKvEIj6U%D4p-5|{Wec5W~_C1>)x|>(he<E!x)?VrwjY6CoXYK+L-UGb>UkV>y+r? zkclZbCW%RFJW&gl?(DrI>X><jf7<lsM+g3UiDW;W#o8a8cOw3U+wT1=BAtAGVN%Wv z!4tcCRV3a0?X@nsNd=f*opp3lZQjm{M#4ufZ*#G`erkfT^!usD8N^py2{^+T>iTKP zL&Yayvx8^us}g;`*gklv;M{J@yNl#!v+K0n@k-X3so>Cl-ju7Px8p*&+rQ=0e4l?X z`=Zlu$EdilET%cBS7Xf%rOu6EVz#?APk)I_<<0w~^6<ek?(L^)rpYXeTOZi7bj5*y z{|S#H)4$CyTy%4icI-8cmk}B}L)%1nmo;9x!NKKwQn!2)!&bKgC%;X(x$CFJd7Ga% zo{LG;Tu*qs_0+d1$}?79Dl|J}Y49s-fyQKxh$Yq`Gc_wkCeLF2G%Gqm>q}48ijN6$ z&!0`YIw{t;oBg!dcA+1FTI%<uo6Vi~KB@L|+bB>_`A?wMWcoGEZw^l0;n!vhZsDD^ zZ_k1@W>?#3zqbZRddtQZue{e$8oa;rUUpV-m)h;we213qadQj3b(P_edvJur&Xj() zJDvTLrSu+8oM*Iknr?9T7KMlEAsnhxt>0YlxEvv{vn2o9u~RaUch9o~1xU0_I4vSO zLsl@>F;IP`$cxO00diV3#}1u%`YT*<sq@jr9EC9xPHAa>xAZG0S^vc==gdZ<jR8eF z_XYOnZ@9H~L&VM3JhKbuc+UvdeDkfVj4d$#nA3@;)uA;jzTUGuo*;Ya-Hinsd-_v0 z2Jg~2F;%2y+ov55jsCJ~Zkp?roumBi-2ny7yBFu*I?0o`|GR14zLw`(q}9GQf7e>@ zUjO!k>&K>*WVF5vdOoSCM|9<pU7cM&l(+xi^)ReE_<li%wM*-A;rqtz%GUpMzZYho z%>FLOzRu3$6$5vVLE7P;JC4uYylL-oU8ShJS8pb)nJpB&s9;z4(^GQul0+?ke0Y;J z?c0t-F~MoNd8Qrj4_iK%<sbOt@?L8N&c6rdzL?|R^)S(}|Dj%kM#tU)A)bTDCnrni zSuRNCw^~@3@X|W#LsIzzQRO|B`W8Ny(r-SxXny>P?)0w1i&kwcN=^MV(QB$!@a47{ zw<<ket1Voryl?J_tS1hh_a7$&s_7of5y;!gvi4kr;FI7;XC*H8=68P%a<WeKw)%68 zedp!20^VMWDLRLCKD|^L^eiMn_3E}m5xN2H((DqhCBC|1LZ+72rio^;>C_zf<Mwmf z$s23;|Lkv_dis7{@go<*ylsrSOy{F>B4?kS-Ek^(I@jG*Jg4L?3AgvYN~`(#xKAvP z`=piHj;Db&P5Dtfa~QVIDrt_}e)p|h-D3xDDT}{iO<#YvMaOw6c%Sn>&HqKV*Y3}Y zL#onSPJP`}!g}|Q<%RnTZy)m(i;F8wnE7a;{pl<A$N%2{xa0rb{|tzx0;m@pdjIsT zEC~jNW$cU$yvR*hcq3sQs4qM>JOA;HNp1VzuNQdmcLVnuAD@$Dlk6-aG{W9Z|5li% zZY{x)7k&4mPg1tda_i<}f4-}#9#zs@b#(i&GYbswc1UiP6OGKDxX3GVQ{UyQM!sRM z?f1U^Xkcfx*P_RNeV<*`{pItY`=4x|d;Dqfq_;Ld59<6hnfdO=!*i)d(t6_7?w^-` z)w&tE+`jhQ|F6ND>Smr*@BZpP``FE_UB<C`o4#fl#jn{sclDF6=ilVnc~ATJR3%z{ z_5Aqs;QXoOUp}9=J}SREe%5(AgZ!_v)`?Ai{^W3dpWEXXB{_dz*H`ZMi4R+yvav7S z)9nAIA7AZ_eg=Ke?|j~U-uwOUU*}DJet4hp;;Ea_`^<d;^{VS%7H$1kP-VJn@5fCi zuP^!YTx;eTyB_|-CoVWIzx**z?s??DP`Urrk7_-|PG)_2^3-GgbnX1VGb(l-jmz6) z?zivXo7M@1c6WCy{XVJi^tF362YVmO{+s4=U4Fqm=A!rZmHOQ;KmDBf-)2A0qt{0H zyPoK7F4;Nbta$vM(!7Ufyq|aT7_I(pe&&(=qhy`!D__j1_kZ?Re81btlwULI|NpO; ze7+{5u)b*Wk>mg0`YyZk#eDJ6_v@yw{63||cb?71r*~dHiZ(7>d35>HqiqX*sc5X| zZv3rR<{4XJb$I#HFXiv8_D>6FuU^78RjzK<+2z^qe{0ChYd_xp@x_-@(%<)7?!RvS zFjS;Z`Te83ik}~?IDUxd9&0h*W08LM-P4o*6ECLCPtm`^`g)(U{FN1zg%XLA=L$L} z%<j&gaqxIu{Kt>wd2jXa#{WMQbEYG4^W8`L<{stQHbWxtwyxZb$Tx?@E+0yreQ>)N zo4x9029s%G6}Nl#ZkFJ`b3-meN4I6WWOaUd>hA>`^A7#rdv~gA!?8<A^|Lqf+uVzg z*xfDpdVh1r4->(IzZ6cnAGfZETt7V_?bT(c`q|z57OrQHM%fh=6q~Zd`gH%wJ?2(+ z%x%HOJf?pa&fVa?5Mj<}Z?sgWH2FAKY@%k{wcfp~y`{n*`gz5ttyC<Ty7sGn*5;{V z?-$xNm2+HX)b%O1x_<xJ>zBz*trr@^Hr%$U$uPXQIe$hobJP~|WoPFZmG3aB>dL&o zv(RS!_kX{>%EvwblKkd-^1OgpfBFA2|H~N97W;nttex5Hx8Z5=KlTRJ2zzY%z2I$L z%7YtOB@LPN58PP&D%$SlY0vn|p6Bx5%#^R!b66+;JJ8EEXZEKTv(_e@lfPiH;OsT; z)rJhq{_HKkFiFnWdxKpD*QJ*=dn|hPWXxOYKiPhxTrB57+kWqPCofmci2WoQXEQsj zvFy9nzQn>KyA1uMT-WGEC&a9q@+eHB)%3d7Nv#cX?5~dnZeQQ9_JGb}_sbK@HdS}% z%(nZh_cLe8?=`#EFX%LrkoGOVbyIG^#TjRxb$8|Nm+1e$>shi<z|RWnp8jQ_Z)=qI zbAPD)YZ96N<4UHQ-JuB?ldkoZu<v~HIw!1BM?+2O`;CWB3n~iN37t!mQ1E46X!q;= zg8155b){D77oV<V=lfz8cp}Q(RpQ%Qx1*2WRLZT7dz`7{$^H6Sp_WEl^A(on;$<^! z{oA|O9qpHTIIpgMsmIYjHX*Tn?T4inXLJVc3c6s;m8uo|%r9q=kD*t1mXw^qeS?6% zb;3`Rtu7WT%)55FWDo1HXFk5K<^FtBdD7YN#D3cE_qQI{-{Vs*S-SioqeR-KnNMu5 zoxQvK@L7lNJA<wjGVIF#JIf<bO=9oL)odEG5?f3%bJcmA8#!8L9MzA_T)q6%4-==0 zJW4VbTK3NUu<+esdEsSRi4)gf=X5phnJ^=4i*VN$e#Mv*yL+A{t$t=-aJcY+R{u`- zmqAnY<~N((Us$qEXr}d)yoGsNXVtRj?cj@1HQ25ZyXw-++V)Eqg~ZqJM=>>ib)51c zDRNWI!LZfL;j^ULl+-s}y|Q(mUgFM2SArHTl68CG6`X(c-Gr|z#HVF<PrkLJE}Qw| z+g(9dq`Btr(OK#Hdb^p#d~MsO+>;I%I7y4CWN*A<khk(g_oPLl$DVry=D(J_*q{Eu zD{?B2&pTmX1FQ6|uFEI2Cpo^`xBKJ6Kv}_<X>WE-y2@U{w92#kzDWFomfGoRtKXJ< z*O)tN!*}1q!hYAvGn?-D9dG7#Tdwx{Xqo%j@&v2h-ae|gUOG+i^S#zRTN&ijb3xOa zZ@K;Me|aUP_WT=bgSmH0r*3OuS1MvE$=b9p{hO@Ec6aZn4R#rw%-7fh_gFt&o0)&4 zUi|gNsS7@JT-_=qzByz^&8JUV>YI-)&<|Ez$$IRWmuKakq>^n}-(SD~wDZy>gFD-2 zU!M9jXi=QcODXAT%idcCUlNd;E)>0W{rB>pF_|w#H{XfW*O@M^_~=Q3V2AnqL?08@ zqrVosl(=%-&ezv!?uS&f_^QKCdF}+?Snk=KsiUEtclcuJTa_CrQvPYdg|Aa=52}>U zR|{Qhwq2h0xmo?A*L4?SW}VwQv-*Br$HdvzvoGiw`tHA#vv2FJBmUZdq%MD1aW!sr z_Me{F-jgNP@JG!!{%b+&UBC1%d*)R3@A2I9>D-1#%)hr7<V?}eU3;bO&MzI7>1AuY zdtRs&f89EF9_Os9-*mn#U3=!y44)%a`AxrXv&4Sdpyz9{X2utum7SUsW*qr9AztS3 z?}}<+{`L09*oEXOoaU8g{z_^-{!Qm&mC(E!P9GmnU@d%-@0VDl_rb*W^`({-b6+3) zR`D|OhPm1nX_XHJ7OyXrtdiB_S(|>{A>P{U%=8K00#s&AiB!DltC#m>!8U&(Lp8^@ zivvs!Zf@K(C+O#cN$OWu%m~?Q!DaS5rBa#g-R@2AtY7H7zSJW2re(#NRkP$1<_f)T zl5lUcF?jdQXR(u{h<Ll;?OuL|`rz)KEmC{(Gd~z+eGq%<6WOrE{;e{P+>r;1_vg%B z>NO>yaJ#lyG>_Z$rlacfOgs)cd4zu07W(J5dd>71xtnKnuG*Wl|IDP7%WXbaEH%=7 zVAC1#Zpqx2atW`reN62S`mAet#VAp|>DRAE-}byxH+iot=D#uF*5>p{N3V-N_X)K> z=<{B&<H#+I2=ybg)MmZnJLtDvBPq(xY=ewY@KZGl;gy^BO9}=55i?vY7Mht_CEzj5 z=hN9lHKX*Iocj#VbS#a&HS-X&Vfot`Q-u2-F{GQ8w$2aGR0*rtn85XRW=iGvqZ@r^ za!xN#Qk+q?OgiDs$xm^4QYY%1mQIM!Up6<B$Kd3rxOF#EKn`7EV6&mWx@%AObI&V3 zTf7uE{_5eKd?NDA43SFl>Yi6MO*8K~SKeRj!>fFK<<IgH`(LR{FW=xEZdGwehu>+x z-xlVYtAdvelEMw+EjlJnG<Oy?ELhr+&M>#CVSQCr*X3RntC;u9;kPPu{5Ya7tz`ev zb@*=LmC(O!S}Gge{H$+sIxLgBr`=atY;-l<V5at5o3v@Of~;J+4lF(YEoA<}EYCIj zlbzElgO-$ZxP<-6@&796^UTZGpTX$igswFfPh{MFuR0&_ROQ;YOm%DK6D`gvn=Yk; zgf@L&!E)r@Vi5u5>rA_NkDDiiuX|#|b93L-f{K}Iu01l`@zgtX#*?N_!@xV6WZl0% zepR;iiWE!f(mCai*e094_+>hc`;5Ux<(K0A^(R{@jRhvCEl5x|(Pg$)JmvMg;O~qz zVw_e+F-L!~7axnLf1?#?U&MX=<MONo5C6AQj$4}dI#`8&oag_c(e&=-n5U~xNT{w! z-*8A=S?p|PdzHcR5Z{2$OXulog?v`+a21|jwx&8oW!d>oBcalDe~JZqG&0sEY~NPy z+wyR=&%C6%|GDf*pCvh-dRe_cqb;_1d)WHml@ijz*}nTVCMfUpF=2WbbMon4i^qHi z);|4Y=vpW$n$v5$>}1TezZ>~GR$rgF$vt3Aw209~2A9PvH?u}*OsZyB8@Iv9+1cDq zX4-GYi%+hm1!lT9JAY@NBl`Pc#xmoqvl*Wj`Mg=09qenua8X80-Zp#7Rw1SC(k(1% zcE>i%nfh&~bJOD}{=QwuKd~vyT+Q>uaf-`k_2A~kG0M&l4?8c}y~<8w!KTX^q3<s< zTy(H3m^$@NcR~}xsm36^JIfgtJuG^lWnAgNI8`|zDAk%*fOppWz<cd`-D~CD^ga}u zL{=>R?Y+S~+^Q=3-pw`qJ?AI8&Z(=O=GMArZ*Wi7Cf*LW%YkczlPYC52roKNvgqR3 zmwqL-R;<5^Mc>QkZ2$XXdyU}Hh9_&8DyN36aWr4+U=x1v-?eS4O7eDGNG#Tv@*?f- zT%NOA=7i3XoLTN7^;2Z4Uf=JwHPY_?98y!P&!5iWbGpQ|k9Ub-fvM9f_MKcO^5#3| z$-UK(`el9oGmq>_lY^P<ds3$@5AZtSAhq%+<DLmW=M?&<@{}2^v9K==Yfj@4lIkmL zkF^V%X%_a-I_KQ03q5CUuj_4TbH1AxZqvMFwP2HeX5zW5i#>`vXJn=y@H%8`=VN|8 zHBTz3<w%vu+m`SImr(wi>5HfMyDal{`7zTz$#hQHA?pk|y{Csx`n*~6`{RdSTXs$T zd5vpH@ze`FNB%}-@4D)<NIUO=d#vfc4{8dtR;N7UD!SvPS^2g*M{R<<^Ia3Bmv@#L zam1Sa|F-DCQ)7MmImiBr|D5d}y!x8L^3^$MJghTx=4uNEOfeG@Oq*}~?X>i2qZJu^ zO}kEI$Ss(;A}k|aX_C}5v4Y03XKQ#aNF4gTZ4$F@q2HF(r)=_>7G3-#u|YUWtFhE+ z9eYWUROO@Z2j0bazTR8PbGl}u&$Owg@*fl*zn-!nYPrZ+2dnT)RjK<HW-k*yTJhw} ziZg#JdeUY;;>&;QU^i=D)7%Rwd~aG-7|yLfDD811$mVVHqhn?~{|;`|oR@ZWhK65? z4Db5YF`IIj7wzo&pnUs#`O~!X{{r&AO*xrj{%n8IDu!pej&4ya^3!D&_k1<}JTYR^ zyttPgFJ$NEirHMcld(tfQcr|^his|&&y=eihd$30^^jUzamu)HDZ6<->l&%X3KLIO z>xG)LUkYihx*NAncedR^@s?#lx!dkV-mqd`{ov=3PfiKyAscu1?RlBfDthY6+|Wlm zw_n|zBj$fq#D8C9%$aSQcsrhXz0b=r|K4)*(rnRF?bgow=5_w@RXHi$n6fV9-Mw#S z6AXSuItBZggv6ZJn4z7!o7rt~nS|?`6|3F9oi>xWWyUSNa`DBm>qVPitAAbeF0FrO z4r9|rk+W=P>I_zyZ|=JMC9vH8<`2!;jU^_UxyyI6Hg%MJTe6~8&}g3Dui0xSZj$tH z7rtM%%lbh1>X!PsE$Z|C-P-W9*I~!^fb67;${U1dc{I<x@I>fM%Ziw}yPsaJ`lR~t z(9L{(Nh^Vvm0l8EbLZXCSU+Dx=>C^dqu*;ET7LYrX2KON<|Ws7ve<0|q)Ry#tkFNZ z$g|z~>(R*EMb>G14hFOfrycLz{f;&6CY#IRPVO6=y2qO5UP#JaF6{c4;Z2uVVeex{ zIlk%pUVXcnBYH&m-~T@wgfo<N6Sm*Jk-ur;L$gbdYIpa((u&@6@p!JvDc9A#Yficq z#&B#Y?0%(myTUMI(?<5&9y+;~vmUSbX!Wg7db`B)RO$6n#~(9npD4EBc5Y6O?d~1D zTPp5nJx+aGapy3|klDSDkA2+HfBS_;y56j03q6zDI%bP`PNXRpF1?v{SK{c2xWyB9 zT*=wY(j%lKz1-~Z*0kAIV?>mtpPL;%+7>Zm>D3e5!3zIP6qlqQS*5pDY~E}=<?RCV zjjl{G$Q6s5vFwcc$5Z?_oZO9y*Hu}X7g(Kq#F{l<xzjSZHs;3n>ZYjY9x-mK>b+lo z=zi`I=C*3ho|)4ZJ+l;=k{sMJ(>7SCN7>ZUNY&zwlT%Bfit2H-y{G+)7Kr6-e$}1x zuv3%m8M|Qux9rOkotnQ-oew%7W_4+z@nZ{-<BAE~u`fNETPy4~pS`8gHNE%ZGyX%D zDrcMeiEv9lGul^hFKwkzs*Kqgk(!%(&UPtpYHjC@J0^biwvLQabj5`SsWm6}oc$%= z^~yBH`A}2k?5#E_!i(FwJtFk-H*2)#Jlb&5B_?cJWSFy=VGhTwmZhH#Ok5{p7V8=l z!PeLEbiqrlN2i>0{4Qoan)y>Jt#V<(4G;H6MfXyctoY6={n8^|Y4hfzQ&n2z+=Ys7 zq%2u+03?`tjyL=H(;VI?cb(N2v+mA3xJxuKz9nkn;gtDB)_)^poP+PiL}~WDJF@k; z`(ckOM>)E<E`&NyI5trrb_KJU`TOXm_?%fBjdL%gu)Jx}V41tv`%P@fzRjlu)wiWP z*xUOby_|CQ$Ibbt9*0>ziRy{os>!j-)iuE3yvKsf!<;$H8C*?yfuSN76gf_1$xY?| z#FKJi6G%zwB?n#pZmnyl<)(TINIE$7TdmeA?5p^adFk!3%W3Ul%H@}Zj+88&`n<#b zeouZ;x~%ZmXJW1)ye`|^FMB_p`Of#J#)hWIEi8taNjc4#l{X^I;=V15aa`TkSv7eY z-<IUKgyt}vr&DH4cslv^qZ7M$BDXM0cHZ>Reyn<8#;cy6dw5(+HCqky*^PFcYF@WN zLi^8Ro4SBKUIt%&m)b8nFK?l3RoMDFFjeQT#fsm8X(dzt#v~=W|8TEVP5CL&DSzcJ zM;O=V&ZGLbB-c9}3rW}cdt>!)!PF(!?q#IzIS>-`!@ZI>El_p7{_@q~FHh<^dWCDA zSM`|rYRXPGu2W~T7eAS%uyl3L^Gn-&9G)uf{30zZn&0(Zq4nb272g;97GCrJnQ(W* zmdSCAOIG^LI)CQROYyt24Hob2IlgIv_fz?=N0*1YKD_XEm;6Dka=Wc8UQkaho^$d2 zwZ9z3yG~W;<-Ya}`*(A)WcQ+3kF)1O{8^zlGxg*3Q}$ZP?~Z>oT@CiuN15iE4ByZ1 zJE9>pFUDzA`Seq~jvnEf=Q%lMW=+VqirjlFB#*<9?OfN!InA#&3Vi>i?Gbplf2xt> zmANMmuxl_Ug^Ef0nY<3PEKZ*`r?mdjoOPe(aU1;7IdYIg^H;~*(uGrgRcV7mxkB&E z`EE0#Wmd@xr!30Y_|ARC#mHmKZ1Y<!Y?V?=i#GDyo%n#w!$G&@G9PDN!xF2MdAp<( zKDQ|>Sv}|ZnQcdso+>PTFf~LYY)Zzpxt#BsSk85QoYOpK<++*Xy)Ij^q?b(nKIcJ} z=KH1&Uh9cMKiHPClsht|i&mX;I2Mwub6M{Gf=L>SHwW21|6tXkx%7SarkC#D+uP<c zvb}3$Irr*YOv99welyRXSlnNFYLBYNORdM2Y~?d{wm<pX|0%R-m+-;0{Rbi$=O^2& z(W+Q%6?c#2Nb%I?G70w{Ti)h>=M(n*vbnCKPxwr~xP7cgb9Na=9zXKVhwX@w+uIq= z0>%E{m(^@=Kd2McG-F-R+>WN3C2N-Vbyi&t-6q`h(vpAnCpM4D`XEM~TMaeqjOGe- z+bZR)s`>fJ`RVD~2{q@s+++`Cd&Fz*h`2NT%HQXUt7Xr<a+7U*Y<%@+&%eVzV^Ylo zPaLmZEV6srcZL%6MbffI9)-T0(5{fO%Gs-$VV8QMM|h+k%R9Rwc1_d0-Cy0#cD|51 zZl+zf(vRh5&|Lla%qxG`Kkq!1?KGkP_p(I(<<Fc;@{?y@J#<AxG{vX%Sk`~H@5*Oh zXf3v62tRVi{_Ee_v$!wj_U4LdUH-7)?EjF%r#D}k^*vxu?lp_cOPIWNw`NcI+mp0( zeKr5x6F1T-O?2lTWJ_Q5P*l72ZneR@)j7`u&TQM6E#>#*N=(PQG?sOfW-3oGyej!Q zW!bqI+nFU}pBgFdTQ%YQ$|=kHv+Y>UuVA^ohHLptg=5oS)jSJjJ@Z^^x+TMT$-?_5 zo(FermNxy~=pNjZJ8e<$hc<<{RS##H3LGn*dXUGl^ZB}o-*t{SEz&7nTP<*R+l@3% z8(zV^d)F&Hvx;y(Gdr>4w$6g(#{vWjKYA~C6C<MDTPn`>;@nZQ)9*8DUZ`C8(X(0L zdGYkq2mS@$`<{5lg}G#R((I}KO>Az|e!V{P${zN|mZuXAxXj+|c5m&9b9=7ZbmT?k zZ2q;Nt>dK2D#d4?*Z0jZXBLcoYUut$CS;zD(Rs&`)k(8O1x#%!__QjXS#mrEx7)&J zx~QZzmhIfPZqj+-k1I+`*LH0e5zW`o(z~%tkZsdhr%tXDJ0)JfRmj)B{Vmcn_|CWG zmv)@u^E;xobFN$2>HExuQ`vZq25ppGQgYgiO~Uu%LBE)n?{0*ZUk*LCKVoNFKKta| zdo6_eckJ2QwkL-}=u(RKn-;a21a+66FaP9!mA|3vD`@rVL%`xM4u$`fBF`_sbKKbM z#*Y0JjJDDZ+k{%5vTyq&nZM=gd#}rT_snjU^w#aTk@F_;aI5bV?&xQn3)Z@1W^DVq zBHiR`@%yuv@?^d^Dt9LCzj<Qzfs7|s6MEh>x?NKG#otqtu-i@hf6DHuGkL172OBKN zWV*qrs}s%9Z*eh4)#h{NoYar%m)jx(y!>vmxF~lW{LhR!JCT>VVa8zx28Op^85sCc zW+#d>5(`qnix@!j6KiAH=ZGD>nO?p#`Tbt&iVDVBACsLSo{Ng|cP?9YfYE7*gjdqZ zr%er~#22v{9GmjqTJuu1`_k`xWvnho`}UT<*{ifj>C@TzwC8tk3+^ocHvRX>yPyBR zx4B$aR`z`6bIJc<x7OTjx1O}$s`$hOMe%=&*Ia+#efn3N!GlK!Q|GexNEEzlc-ZW( zv3l=|N2l9(E&n$OroQ|XvTe=d3ky?)`1GaCDt<gjte3ZWvE=cdw6nARy8Ov5OKSWv zbykt!leDv0Y(7Cw$G?BqTsS-U#ryvWzyCc-{_FhfxaYQ4cQ(c!|8=f**Z+Oq>wo#h z`e}cit=j#o<V~dghbBg6J(c^O)4ohEIPjs7nT=QP>)n*V=ljxEoL*a_A9-hQ`SM@y z_F9*}y!dg=w7SnJPDz~6KUohx-52|-<lUw1Q{!joyjz>H@Y2fO`${*p+OOU>+c!T) z^n_x#$TIGK+dc{_&D9E9?)~fN$!V)zOwbaX;&*NF_sqN5(Z83T44Ug@l>28|Vw~eY z&;6mBQm14+4qp6fRkr8;tscu(iv@T(p6n@Ib**l8N~VM6GwZtBPXf2f{=QcB=FZaM z3$Z)B-%7<^3Y}hm>xX-1*Sw2?86~goZ!Nxm`B$m+<|Fo2FTLMwsbea*Bwqizn!D*w z@+8%RQ}gz}=6_^;a+1R|&8Xk^MPmi8#67vOw|r%N&L)oSK8vpXD|}QHz<P4Rf7^-a zEkEiuEh+4pRu^eBF}rSg*gt*)wx+Nz@2*_>zi`JLj(-{whC#|N|4VFN9sKv^-Ky^| zbb>ejpPUk1y0!ZHx?a64AM2(1L;_3B+ii`F`gwe{p!kC&_wCG;m{#@v-@Jg||8;rU z^pf|t>SU|8e5{|BBkFY1eCa>^3&I{1ZueJh`^V|Y_4=me-QAZX(`DYypOGUh>Dgs} z%3gD3kIK7Sp%;$-ke&4Q%#%;2F4k3U^1ZRC>g@K<!6&Z;#-+^{yXkJULOgcz7vn{1 z_qrHAeX-*0_2tI{>&#}p(OKvEGOVuk&C+>aUqxx}4|=qZQEk81os`<W<^SuRgnK)w z3b;&+pR6O`@_(KCZ*}$C4lly*Z%)2n7yFB)Qs(LWNj_Tp^85StzZbf&_HXdf4lcHQ zOSZJ^|I-yG2c20zY3ZYU+bgNNuKioT*k@Jmf9X3L^S*AqYxV8b)urxxclhW2>3jHD zGQ{L%`6=6;oyV@6I(DV})xGuk{qMHMo_Ie;=ORP%rnUD~JuQ_2vR{^qGH<V5fB&@C zm+ZIm#d13;PV9D-R+{l{#ka^_=})&cl%<NSq>92Gx5+&I?y)WV%+sLB_l+Yjtu6jh zvGq%}s&MW3SGPBp>t}5HQmrn0_gC2)9X+oKwuI+>Qvdv%dtRH>$&1|Gyw897x~;Z; z(>-tfs8yab%l%j4ErG=g>)j*w3+>-OqsU;N>t3%v_q7|mwtf1q;1(1ReUWwTALGeK zoi6ced^_*8?Zv&_|IQfi>YRS`=1G_N>k?znGxEIt<<1+}-V#4uM?~_Z=WG9q^WNXO zwZ8w`o`iorb?<KNc+T!3dGYgexBGRmRi%2L*wwhJzP!J_HG87Hhu7Y!N%j_zN=tw3 z`}?b8y=bqMeq_w;jsEhL&AYy9eLC+iHs|JU|F@B@|5#5p&HH=)O;qd?{=lHniT~}r zR%Jf?uln-q+slh@$j{0NyuH|dp4g}VTE@q<w*~j#ll{H*gT7DIBi86g@l(FA&R%6> ze0BSg{TA#l@BjNYZ`onw)4Yb^u;~i1gcg>WTh2U~BqJdCCzd&-U?tm$2l7%O-+g~f zSLIfH5&7u9@dMX;s{i(@tT=P3=l@)0rN{p>CWyWIuYFZ}vu*d^|K8gIl1jbzADk#J zv9e_Ah5VQC_c*$C+XWpgVZC+tlKfSUuGNc0*LW-pT>4MKeaiLdm(zZQYp7hFWPi<5 zY&H9i^y9be9vwA1chDkq#ajEgnqMmxE@;~-(EXRwZ&QG+k7xZN;l7o5Gp6l(>|b=` zkE~5~?U5-LmhOu;|M-1N-W&h2BRVVYyV_P=pVzm&>b;-)&)_*J*`YqlzpDR*s8{xQ z+>4#^$9Jt#{jAA3_uK{6{(bp~dClaEqFRFlp?^H;krzB`WWQuRK3_6P*ZQ07>hRq8 zddpYpZ&IqC7GS%0TlMs5|8lNIKFSOE{`auqKN<C#+rCTweYN|$km@&K)y~A}tKQ$5 z`e)~&)mLKuL(AR;-#s_~xys7q?|NU;zpQv4dH>^?ceSqk+dn^V&xt=fX}^O;_ncSF z`qRq(P35~c<szTf$Mr>%bbo!f+8h4f{!7u#!oP~G|Gq4}{Y`v#UFNO(KhNCuw#{;6 zei;(==)zXMvn3gGoZ~G@7CSGNx%K>l{Y%I8e?I({C1&Q@Uyl3UXH5O{H|<K#?5U=g zn-;T%bI%isQMIr#WSJ?^<in%r@%V*FpC6bIPI>W)C)VI3%gXa_Kez|<{m5*K_hKlW z5mFhj?!HgS^~o>RC1-4L&X)aS_uzs~`@hz{=%*J7x13!VAE;^dv8vrK_e$(eJI-CF zHM6hTJT$X@qaPV^_}0nQw!au_6+SK8E?d}s&Em>TKgYo47kuqvr~l6@4tN&pw|G%g zT>m|RaM8F40;|Q`|F!A-T4JcoWp^;YLL~Q(>et14`Q~<1*W3M-x%$KU>yo%dAA@Co zX?|V)ueU2FdCR-~rV{%q7dIafNJ-*woTadFxv=wuPQ?>P<SuRT-eQ=iTgNh6T5D%~ z!r7LyDN&QNzU8iYacT0Ow&xd?E}!WCHgkH-sd?oq=4H9I?|T*CzG}%?KHEnZHeOj8 zxO`hzNrbW9g}u=Ws<%6Q&uhGx*yqQi_~NdDVM|8)PAkKf1?A001om9(40Bt2z@_^2 zhs;??R~+_p&%NBOX7wfd){_gb`D~wEDCH|%pe=LJ{r|zsTMjQQ<=gu#;J2G>$U3R{ z=T{UTdamaod`3%Pk+SjrzH{kc*jk?%PkmZ`_){fUlanG#W|NcRjk^^b?lrTTHYu=7 zFFKzv!MNqYq!X5NHTwA)mesd#9ShG}V9Ka)RQu?XS-(0LDz#Og%CCsx{XSb})|&w7 zT|Ms#Kips2C-6I=gWJ<b=<SKcv-z#p&R%?%cUh_F!uFzf+be!g`O(g2sq(M$ir|Gc z&G$D*n_6|R%Gm0h`?9coSI4Rtx5+YDp4%--_)<UGerR$2EPZ|X(d}2C&-S0c`tpv% zxpx=2bT5c+QF%XiiqkvkNw2MYSHJ7{{_*gNT`!J{Ke@eQUfhu_iuXDfm2Y3bf4M|+ z+3lNrdmjb(F1|JW)|GpYX4)T;_KwM#s=<3}Q60;<-uRE)H}=%I=(vUK-2b_<<j`Ke zxsCeUt!}-zP}ZJT{vzAG#y-a5_~oh^yN){TZlC$FYj;1`Z*KbU`trU1uT2q4xpBp3 z8SBhtJI@I;`7k>!YZO?*X_T|hxJ5vP&!|P=vd9Y_#S<n1DN>-Ma+qnZVv9oI1Vxsa z%L39l9G5jJTz25N#3Cv7ibs*9vl*mRx1<fE+Q^rCu0Qu&{uYJa6U(C)WvB2Q|0gKv zs`fvE>EA!|?3AF7b^o#@SDrr~8sT;4eL(oE=J0d#SInMqsr;mBoTcr9j_ZDkVdBpN z-z)FSKk~Lz<nj}vMa}z*S-EP%>bB?>WXy9GzffBg8KQd4^Z&B@9a$ZV_Paa3IO4Wc ztY-0*gn5}UHF=hol^WNI9}ldb&3Jlu)~8j{^A6bjT;?qKaiQitvAqVWc5el`S<k7g z$(;14v`|g$(&PRcypF9$H1c0<z3o#|Ec(lT&E3A9Ut+&_dCkw}$Gtee-|fkq59N7s z)|+;oI3f7xQtSL(NvE23uq@I(>g(~q^W(A5;91?AOpnSoum<g6%vl(5b$fYBTgF<G zMn&~W`K8l3PuE?$r&+#g_WxsV)oxuas9YlSdSdIUBUT->8S^dF60wZ`56Lq;G?e zxp^d~ir(+aB|^%|QzN!i>%Y?|isrePIc2s91J^3ulUKLzU`%%}k`g`nvbAuhU9H@r ztaHTzp~dqWSIjcbpZFs4>KsXd(BfxKHc7=3cDy;_q3Y(YRmhyTaMh9NiSze~ggrXC zL5Ta1*BKsd!~G|{6bf&8PW0;O40-f&gZezK>PIIx2>G{6-J!Z?<K@F%XEHYldBl`C zEfETL(fSx_u`7?S{fF;Ef&GGuRvigV^gqL=U6?v~s>7pAd=tHTdP9=_-HK6F>#=*m zI#q%{Ff>WVCA70zt@bJF)Efql8&(PN-`yD=#<W#N|Atu59QJGNHw>Pq1)f$q8P_W# zq@{Z#NpoGDkBY{{vl_l8X347$_WDN}?zp^2F>amWV<q05S+&jLCQrONRw;5TtZF;c zzCy}~+cb!GCTo~!iki#S36cV#&dZ#q@ZQ{++9V;eN^!R0s<xb_6;hi7lR8D7FYE}? zJU3H$*ACSqZEG_!S1WH7F>}9o>63@|arY=I{hXI~HY_bZH0>N;wASyq`<w2p*&??n z>!py7=BlNq6;?%WQ`nO_`B3yW)0~%CA`7E-F)hl;JRGy)<g|Bt7&D_i3$I^oQq^4N znzmNdx@y1sN#&B(En7R+d33JJnD@GibK*LQ-h(T5C52d2xwkF;{;A;0J=wl{KLtX= z∨tm89o#RkT=P)w+o0=w-W-s&0s0K6LT-la}V6z4z)SR$FZ>be4*{U!yGbsO!%+ zhY|&|ntuo4&alQUydPxZq>|tIJ|XnN#m>Xge?uq!I3VCU@7_IO|GLc!PIyk-7u~3~ zVqY|0;McoG9jo3?bDC0neS_rO1;5_;-7&vf{`!Di;V;KWn{rZq&6HVrWUGYQDk14Z zUTgTZH|m9V&W$dbnsI|6Fl^DoS<AE(g{OzQX&I^pb@nFu$MpYN;iYr@@dB+v*@)22 z^#$8QRj)nQ+W1+o<dmRx<B`<RiC#XvA&(A!$o)|C!|RstzDayajjN6{ewg}2M`(qY z4PPMdQ+CBw3vGDcbC}lqwykLUWYm$Rq2Iou?GsnWtCe!RfoJ0vE38^xrQ-56B%UwO z_A0Ny)!<s~xJXaUcMUh(x^63OoBBv=Nv`_QsRI3z9%Xg7dl&M$OnVg7aonR&=*WAI zLZu_`y$YF*Brmm)dsG-C!~U^4NQV34@1Q+QNi#)`JN#)Bx$gXDp~!W|KNCgF9sX<- zS>N_USW~a%N3dp|b93MHN4f&Hy*DOq-Jxqg`H|wCh4Z~XW_RqL@F?j?L6eBMqfKC! zx57M?qe}&>WfJu!vL${@;P3M3x~!!9a%Fh2a^~~tr<>AOB_4|oZkl+-K%P0gcS$UF z@#IIc-Nln1sVuR*R4}dcv|k_3^OqC7Y{bJJMRsIa*fR(6K3}f5%B!c^$b61@+f)he zZylig6|6LMhTzI0Q%rYy`LwOjGUQye>WE9nDxu<!)A;U9NmRXOX|c1@OQA5*cZra( z^3)r`-;Q)A`tK3=cI0!S{~dv_q~M57!^wPdm0goNPy6+TB!w*zDn5KO_3teIuiKxo zb*vJS1{Fh1E0*o3KCGE%9AUaUr*TEv^tA$4-xTq>nC?E~o|#p)Q*6zwShvTXftycD zbYzu9wzKH=n>^X*sM7Xl=fvyJ-*|j}C#5;Nz5E&X<fqv#dyFGZ)>g{tduK8Uu+F)E zPnSP_TCoyqO+i9Yz=3n(#jFoxr=GtZkr&>@R3}?0eZE)Z1DD;TE4;r-lHR%Q5MQ** zalS){dB&l})Pv5qH+<~YtorpoV_Q{Ri^coSJG`@)1Me=7l}LZZmfOA}??Ot-vb+l_ z9S6VIe_XK7v3lbAS^w-?HJ1Nx+j%0~_y6w$t6u&$d$M}je`|$RFaMWyWc|9&8R+|e zyHm*J|LG?jegDs2JTvRpf2KvV{_SsBvFyLIH;8;$5q0HD{bl9dU%%Lkt_`^SU!)`J zmwkiGl`sEAT}=Pp=P@<?yT3(z_pE>Uu{xhZFaPK3DEgJZLo9afvj1xZu70UME~L2X zWxbSL%hfOTY@fSk{ktEhY31ejpLg|#$~DXWH}C%T>gE4aY#%#UE&H!2VD0hIOe99{ z=v;wdqxQ2J7P?1$1&oavQ#MbE<=^PE>HXrFQ-f;wlctJne|#*=yHNUwl;*x}7Ip7J z*&++quG5P1w2r#2xT(MN#)r-ozt%7LVR)rZ_h`SuKesM<r#Bi$`4!40ncnVV3|@Vu zV7ImRN8ygxz7Jo#nfFzcv2`uKf8O@*XQlJ!Y<DiNf9}1ree;1&58p^sT0D2>x?y7* z?qIQ3BueapX3&AA7glVO_v$40AKsx^;oiX%6>%<p>%^b0PrbOFYEhp%b5-<%=xqy% zm#~Jra#bkoVUI3m6^RtPpc-`G>O`h(E#Ds)>vX3ua-L?|<;E2uq4{9p2iZ@@lxq3A zbh#~bgAOe6V2nO2W;0*fRdpI`Nw>%bi=YFWcZ%uoxd*O%s_{xr($!l^{6famRnwMj zYM3^S^^aN5flDu<4Pw~#&xvMxe`kI)+vF&=?b~A5zW0>e+LW&yG)?)o%e35Af|-}Q zJQ(#`9>4l@C)P7c?1FaCfm0rg`fdGj6}N9kh+VJ_I<U%vasCsv{-epotXsC~Jm~RY zT&>KyM}+UrhVEODVjp&UFlH;UzBwtnAw6+j!(tuo7s8qkK3?5!qLpyiB$6R6^R?ot z^V``k6t4UDFs{|m?b6l8)UHj86ZyZZD@&f|`tB&ldxmM}oqd^q%atu{MNhtbpjatB z@iJq_s;=F$*C+)|`D;1p<K>Ax&s#(1h~L=QA8_=Lhu?XZqFJ)fOynO;EdHcqX)Ap) z^OeX?Cs#jy)4RF4N|v_bCo}URmW%pcU#T$Z^5=<jo|$CDUjBQ<X3}Nui&m}G8vQ1B zt?VaV?w&YjS?k{|n_gZMbuFsWoOJn%!SbiR&!==e*`hw_^0N<-RSOiq>*UTbTzb*L z_RRD}cMgd}UU%PAXF2Js;+iF)*RCHpR`|s0pXQ{?+7svG9Y4PL)Jwh&_j4=tCS6`V zagH3{_eFBqztXn#Joyr(vixGAU-GPTFV}eZtp~MLo^4USvBcWRFWs%EN_o=dt2cy# zEPMHV4BStwELB-<u578xQykTBcB%67DLqfVgsCidP4qk6%sWN-$rZIpmv2v;Bi3%I z%Xj9w`-zpgD$C22EYG@64)HrZYhCbe55M<rMNvX|{Jn<??2G)|U5l#pCtbc|u)NUs z{_e%fmV4z-W~R=#JZahQPG!qlfs>h`GcGS$R&1p<>2mwTIccrJ*K#`C&%NZIILEH* ziHY8s%$m!Vf9)n+K0a~Iu@=kNizR-4l`U(9PG;t0{F-y&%U)}}Ntc&ToKx0%->?1H ztNi7Qb@$Ib*Ii@%wp*mYcL%%4(wEV{j(ddKcR$%;b|y1+!^Gm2Ip;c`Y_Xqo`CS3i z9{W4JJMHew-miCO_I$3zdyQ_L<FU0*a|n61<E23JU5Spyy&{W@j_bCrI8*4YpjCY5 ztib1+5q~^?ADedZ{Nl$7e_rfRs?g;w<Z-z=!7|Z#9rJ1_Bgw`7rYTG=S0`)~2z6F- z4B_2rmUCiiqO({F@65(6r`GLIF!__`%9I*@r=z^*R@g0uz?-7xN{ySe?=cz9Kl@02 zd;T8%PpM0^&7NMn&%2QKyTqpG`gnmzFS!np4QeKv@;QE2)!w-NT4B{bxz50)zpA7o zu3n$c9$m3B@Helwz0mZn{XKWqD@~mtaqk0XqUy2ssS@I0k7gCf7n<eutU5B~L)&^6 zrqaXV4k6|>mPZog4Yz#JZ(Wi1L#X3bTReZ@-4DJ3S9$kq?s#~=%6_Z-k$xrT->);u z+i!1MeR%KV(1-Uvo+@}>k-VePmizavtoIec!PUo&3L{;Y?B;h}K4-)3>n(TpTD^G} znpke$^1UYV#@+2o2f;0n<L+7?kKOsony6~W&3<p+gJR!~`A#8IZ*T{OCV5#z>vFN* zQ@Y}Q_j7B)Roneii*`LXcjTE<F~O|hQR9ktKfgVgSN~g=H*NL4iP2WiT6ue=HdVx~ zQ(WIxow~lII#s{DI#nMO)aqY6D>#l7U7cWAkQ>BXDSo?Rm16Wqvk=~!jenW1PKZ`q z)%K=k1>1YJH}WeE?v`65wdb|Ms+(E5E~e3I8&{;QzAA84?}S!ImUxP)%U13p>#l&^ z3fmn+b}N)SgzQ$>t*|O~g0<qR*a^BTcHLr??A5p4%3Z|!DRj3&G+6YZ-ic=g;wi#M zj5PCFelTm^>s6}LI11KQ4bm&Ecq(?nTyv0t+7p^@u}1O*zHQpmczaQqV_f@+GRL^) z6=nzX9r?1vpQM2tA?>o2dy{OE-RuX=hfl_RX#IC7_VzdN>VH}vD^BTaUN3Lb*Qt!G z3z{jj@2*IDzSYr(%IBUWv<J$(G45)1JoY5vP=Jh&bXT+P;U@_^0Wv)-j#eK{X3Cu5 z?`n>8D%{{aL#D@|tGQ6^LDA1v86M@X=7TPU8z#(<@!{!ee(6}a!E}ZU4@XyXqGRC( zl^HT;n7f*9IuvdYo*}dElxX|<(xVSQ-+8jZDO4tGUDx5Dt4}tlg~?ny)phvMjVBwp z!ezpqcO5Re^W;JAYKw}OT6}ps)!O|7k3JL*E&QQoYPqIgw7qomqYVLOmTT%n+e0@# z+Q4IGxh7Y%-E{q<4JS-3*Tjgni{>8iz4TY6C}O%6-|Nt$iH%uB5v5vus{@ZF{>&_j z2-f1u_C1<dm{}BIsKuv!azRhbUnjoTjz<&cN_=tDi#?GzaiN8dok;uDwvz|fEw;E( zEyB*+fAZj@B^D7gnvCvUddp$C=CDZn*O`wtC>UEx>=j{8p7AK*uaV`9gFbxIVvint zwAx}ug)iT<n90o#qY4c&wE4txCO0p<_2fawA`6SzEW5J5>=7&~FgCROF?)uLj6aWG z)q)u>A9N)Zeeg82v{*hvW>1I@-#aZe_Wh!ho0+>$9-Msi$%9KtMIYV=$o#PrVRz@B z+<d(K<iW3ppFChrD!3$h#D{&oz~tuYj*|!dk34x`kW}<R#?W%dgc&k5o<4kjs%q@- zg(f%8?!0OCWA==f4=R$1J~$X!?pQQKrY6XT@0_L@yT0h;=G|Q<59S|z^58&H(T5a6 z%N<*0$kasp@cHSfvFD3VZob`p^5FlYPabe27k%h3wA^uQhD=S058pW>Hub$}XG#j@ z8(RLjI73Dz%ZG2CsT#Yu^yZi!w`RP2P?B8qVV|Mpj)ya3{uKJ~^;xFv{BYFJa>uI~ zyV||kpUWD{?y2zMduOA@zF%%~b94X6gO`s#dGIN@=)+$_OAE%CGJ6_*`0^ap*#9d` zZeBd$<iX?<Z^U=-&3yU5Ii;vT&B$_wvk1F!=c5F1LrVz{AHHcqlbcT-d6HmlXekls z!*@+&a&zR-CkgR}mJ(4ud}890n_nJ%lF)2uDUs~M7bZEm`ENks25l|AHkZlGt{0yq zM44FLknrU@<~F(c>cuAsbtaZK6n**FJSR7&UV4(S$i(u7mM@>1_vGfUm!2dXGqJp3 z?912YJGr^_@{@#?FqxjqUCpMqo+L~&v%Im~m#-~ra`Vw!PZD;SS>D*-%Xchia&zeI zCkgk=EN|@e<zvgA+??rMXi%xiXQnr~dE@CP315vYXYBXkE8CD?u=5|cWyCQbJ~gAs z&1=s*NiZ?Cj5zPZmu51#xi_$IgRK_2BhB0(j(i*@lXJhTdE@OT317`DXYBXoD=V1X z+<W^;f~2|S5e{v>jfcCOH*7emn04ccfL%^ehq9UF5suk1O5MJE3lDWUa}-{<cesE< z&dkz9aJI~$PLQZtJ45nhXN`%E1d^6m91)o*)70(57wD$eUZ6bL`Od_XiVP>82y~_t zad;Y89#NYq(=^40@1mDl`v%p?&VMGIRGe_~iNM8_B92@m%Oe&uWt!&t@CEv-wSQ2b z>?||oq@u#9CjyeGMH~~2ERT52lxbS#!*?-Qt=&Ltva`+9lZqQoJrPJuE#lZ}WO*cJ zrcBd%AHKi{we|v?$<8s;PAWQ_ej>0kwTR=Uk>!!RnKDf~eE2TLsI_m<o9tXO{iNcB z(@z9GrWSShh083uCfaULI@x*8u9LQf5`2Ph)Y@N^Om_C!ee&TMhNBO47>_>uz%co+ zCX-tGV-_F2=Ug*n&hZ#n&M`?SvaoU}v<Px2v~WJ~q+mwF$%i3~lMjDnQfpt#?8C>- zH$$fByJ-7@x}%C+_n!!y%P;D9ZEnf(W427wcV9lm|7z_Q%8x3l*m;yo@E!c1*1n=* zvU9~B^BsqmI3L(^QnBdX69K3EqK>8JmMm9i%Pe~B%a`~~t-Ydpvh#zz2TyX;7|Sxf z5^Z;=KB~Cs-V=eO{GyJn=9VmXXUi;l@5`6?L#@4{X0mg_zJn(@YK>)`zWVYR{#I*$ zP&+yLqpx4v=h-g>uICqVd@#3k`88X{>7Os(bAJuK=Rq2L?13|6{FWG4%J?Q0Su8&E zq#&a8<ijgmlMjCsRckNS;qjBpNL+UKq?lT}vYroLdh84tKf?eSKl1>YK4SyRIoSzC z77q?QDY(>l^5F^Q$%k*UtF=1|`0y=Pl$c{9cF?E&v!D+jyXp)XKOY0jIc^Iq<^(OU zknv6^vY3D1NkKs4!IQ$=XG$!34?HPoYdm>yqf23dMWBq0j}PBEH8uA6!jqdncb+`B z@yLTE)?G9C*i|(6*wr=o)KzE5^nnbVn^0uoa`3?uMozW%WKo~kIg=My%$dKyLS{xn zk%i^KCj~7{Cm-stHcx)8GUH{zuBMX*gIx+gC<MyHxcTtyQ&wYF7n<B`-g)xi+9OXM z#3vOMm>61C%$Xq*<L|>~r=iB4E;6|}yzAsa+oMk&EKe#bh%mIQST{o^Cc=krpN<;) za<R$H<=rO_o;~{H!TY45f*M21iUTubViJA$><rb|pG!<`p5AlvVC=Cc56qK`3Kkez zR$Q7P6O-k`x6f3KU0r%|^Y-482j3oh@}NGssNjg9WyO;jGBL$Ie0El9?CG+To3Hns zJXm}D$%Es`MFlSmEoIIwu#maAz+%qDgd&SI2cHyVHJ^O=gKhHRi#%%W%(6aw>}eW& z?3o&T>gf`5Y@7~1DVWx_vfJ4dBr!v#@1}v}oY@O4<}6=mA+sQ{$inmRlY%8}Cm-hU zPCmR-Qmy^6nGc_OxCWnklm?%B#0;5pn+z;vvJ#6d?jCwlP}F+z;U4bE&EmTrJ(#O! z`La*$A<Kb-O+k$APAj@Cw491Q<#cd7|CQ&*=x(#3+v1mEMy{XYgBbg+i%l<gDeRD5 z-Q={lq4@8!olOGk*6Atr#1?i$_ZO^-Pgv$Cvg?t++;uxPxH3Nf)tV;7GF_X`@%g05 zfzJfbeT|mLOgXdTk%0F)3zmL&i|gOdnsBg&%QzhoZJ+Uh<M}VwG%1$~ZN7>3yPQ{) zw-o<vnaS38yUW>O`;!zF5I;$|x9516%%d%$?FZg)JpZMdCe;$D&G+#7q{$zz37-4v z-D_f06~(i|Nj6lspCNd<fN$*%?{)V-6_hNrQuPs?;C)N0i90l7b5OYAd7~Rqu}&*K zKh)c4C)=kN^6|#1hL}s&1bZ&dcrDrDuzH!|0`o&V>n?dHE6)&ZKaqB{)mExt-Th-e z%?DDBDkel0897-V+F2K^+}mRwcIVZcxQO!&#ed7(q$J(e>@b}*`Qs76b6@+7K3wE_ zuBI7gVa_hQc*8s`hm*`ff74q3N$-Bjxbk?#zgN#D6+fBt^d#p}2fMerPmCi}=JzC7 z#(6*8k*S)WmVNVnZi@bv$)(m&*B;CedKz%(?CDus%e|&NXZKUhdp}JhoKufyT>|eJ zU6v1CQ=AokGnwcI%5}tuFzBqbRCI9cjJjX2k)c)fROTdamW;qfdsKIZFzk1kX}u%0 zfVGS-Pn1DNX~u+TZ&O~C+5ECLD;Ay63|(ygl2_h@!NbmmiB~47?bIQ~#%T7l4XI6& z9s3FnJ~DHh{owS`+~$;mM~})F59Ha&i*6B%zEM*W5c%VX5&PQKVqJM*hKhHe%9$G8 zCjH9`(D?S_$BhSEW{f{Awybn{Yw+oNllF~8kKVI~Z)iW7%6Q<I%>M;Gr7C5mKidzA z=KTKD&%|(B<G%%ifx#z#CI)Vm|0WCufuBAyHptGoJ$>b|g8}F4xf#lIemr*+-mvuG zeh!9jHa}Vz5`tU&c^Jxke=Jd8&RJd1&X91w^)z!sucRL<!)~TId`1VhF{U#$)Uuvq zW02;L5oCDdQ;<4=ahu)_EruJnAG~5n_}rY$)NohTF0x^f&{ozn%Q+@!1Xe$)$zs@G zcyvDxgU*vbtBtOHGzk2>o|!?~@V^>^!KI?(3<sLd&1KQh3slQl$II~M-W^K@1C8S8 z3<tQ*#xgT})|)TJaHC~sG{c662k$nkB`j~QmuAQ@{qU0UKpe|=j#&-7?C&IF4*D^F z=VI7)ZAUr7hULf7Sr}$#{@Klt;NJC{g&{iZj`<0x-$8fucQo;8zLRHo<MQ!6bHiJi zf6fdW?jKHPlS~M1KhL%9Ky&wby<mQYJL*5@FdUda<-Y`j#iXKGh6j$P>bV$V+;`eB zeBgg-&(6@d&_;pb1IN>1W`^(pISGaz)t?qH9AG%t9O}AsYyWxfEZu`Y4~pJ!|Jn3_ zi|2xk$_}R2I{*C{9&{b64~#thm5E<Bm|vl%@y~SyNu8{k#0k=8f@%V1?)iF2?U4BN zlZtA+rhm*?m!x*Kvz|<_d-Ra8;cvIQhC;7hjb{O?Si!&Q0F5&?HJJsh`?me*U%*zt z@$__4)ZyQg{%bmLMsa;u|A4Dz^N;&n9*5=rtDj(qYyPwUpy-UMAO8dVR(@iB%EtcE zYwC2Vc)pgEvUNE&LCO`$pEVYUEj`6Q+nxF6(o?(V9A_|fpXU(%B0IwILxjQ<_V`>m z_9s)d);r1ZKbf{_FXy}k>l42>sb{tP<(;=gu*{)iliP;hpG9Kj%GfGatyBNTS24@& zM(l%^Qi=Op(=%+}ocQ2V%Uf+`<E{MW)rXwk2l3~N8!kjuT>Ko<`=I~)XOpJw_UEs2 zl-0&9C~ge5jbFL`VEMfDmpFDa)ok_a{Hm|dqNNdf@7Nv=j&h!Td-q6ieCN2ge~*ju zk9nVh<_g_gxyL8I*|%vr^TM|qqCRl-CfGlkx~n8&M#WUO4UQkPdJ~pDGL<^mcQm_H zZ$rsPtKJ0e$6uumMjewjX<FN6{wgNn>_cCvgZB>4Hf?h4*q*^MTQL9X&I7BCT+e99 zFWM)zVoOM^!RJz`htYG^vu8!Fn#NS)-0)-{$9;|#yXL90@|m=BLbv(vljKmA6!YBY zdYyIOoISp;4{A@@&b)B_L-SMCCQae0_g4iUdOxZBRrZH7Pi~tuy;r-xPSEE3Prlv< zv(N1|Y5Hz;f2H7``9Jj*ObUAX^Gta*%l!0x?#dNPpZ7Xc{Cm)R=KIU+8wVf#ZoFW3 zB>h94!p}QQwhS#7yY{lx32;0Xwifv_VPBgqPhEuao1zcOQi<{{@>e;mP5#U{$69Ui zXNOyd(nIx<mmR$i)lHpLxa^k-ev|)^xwc)FtIkdNP2z{mQi;=>=U?WiX8p6r?S}6I zag#%J%==demZ|(u?cK2bSarh%?+u?mpZ+EFjq!(Q?}p$5>$6yX+wNZ`Sl0QYRH29S z&FqiTLR&*lC;Z$S8~RLU=^M3^lNgy_v-3zr=-cgf@8Exb?bMG6If^g#^U3@YpD9qr zKeOOM!!b_P-j?U5T0B$Vd;DsWwDJjBs+H7#|H@jYHFEn|ThzJd&HuA)U)v1ZI(Ck| z{Ta4(;vD57b&LPZ^W%IUP#3TKW9BDzse{hb?XT=q(Cf3mv{PYKul+TSjoE)X-989B z-D@R&WcA18yXJL-Jgzl5KkZb6Qng|5vn?TJ0-T#S?cE^sDcwW+erGr9E&dis#%bRF zWH^c$-jx1nb=xrG<8-Nm>rSwnIH@q!v#LCQ)YdE~e}!Y-yFXjqK0JNq>`=kAvHJvX z-b^XU8|hC@n;!C0>A%8JJ=KPbqqb2tLT>H)#Qtf=Gg@L}_BkoPS^FuXSK#Zh$0d3l zxsN|eDK_=0XS7(D&RZc^R#Z9Z9IM@N8%d8oi3OTcy{xlV3EJ$eloEI+RI|5o*FLuV zf_V!C{~WDc=JuiBX{3XM@`uK!H+Pj(octuy`@sElv8mG*jq{hcy7_3Fzs@mn{vHSA zBjul_N}Xli<+b>yLwGhzernu`Y_|HexFv#n0xCE9aoUH*EfU-lUAfZj!|tc4yXJj3 z^Yp2dE_)PX%QdA_Q^K=aX86S|y{NU|Y7~Fn@;z?K70W(F^*-2r>a|G|yT<zK9CnNL zI4W06{N&dAK>6(KlHU*3o}B&F^hfO{vEB!^C!<Z9;?3fjTOxVyov2ys_JRBPYm+8* ztN8VTHDy29dLMM2G0$STpR><XxnlXJvkn!H9>|~Cp25QJdvBTGpY%#=fq4ZLcR%s< zJ~)4Bdlrj+#J!b*Nzp&e6t>6;uBovWsFK^bx`tigo!Xv*mEr=o`05My1;;l(SG~{L zV%RSczb`u8d5iY_#e$Eje#Z3*Ts`ys<tG8=)8DgM`2Fv#&1Q=?u5*~qmv2%Rp!{R? zM`x*ni+km-anvRL>2Uj?^3=U_-UsE!$G@0X%=-9P>Y#Y<{0kg%v457hefarkxk*#H zwmomlw!`12&cDbpap#{kd4fqrKP?ru$jVv%*|UzjrtAl6?}O4K`dKXdRq8yIEA&3f z^**?LL_eE_U#8Ag`N+agt9R+$xcmHi$=Z$X=hkPp%q^{RR{nAK!)z;Ym!eP64ifqu zXP<00J!HqWpZUtF5Xp}}V|x<}o_=rmFzsNR#QybPHzc2xXGol)TsOu3%2$^b&H5#; zn|6f!i@45eZTzS6obYYEJ>kkZr5~2>D#_vbVAq?l?fH3=rog%1vu!0N{VeWHkb9<Y z()4lK{wo}6k#-7!-dmNY<lI{szdmYD;nGmMn>9B+2#TLFU)OT)gZI(j+k0;@d5T^A zW?>r|cW?9Uo0q0=C>sQ&G%WFySP`ToF|Bb4XV9$L##JfjI%YgwAHdzCdF09`$Gg!T zZ=S9%^-tQ~!T&NW*}GkO4?`kzi~e<v+rl<M%18cw(onb~>r(nDLt)QeLGGi;CQc$E z@e2hXX;-ds>sa%o(Ba1|w?8WV*Es@vZ5)@o{8990ar*th^Z4Y33v6$;eo9g3k$&^) zqe$<D^s~W@U0zr7O#Lr&{61<EtNcdeGtXV6H`1SdYI&`X*?24e@Bq~|vImPzn&Mf` zU*)*RYU8i`L;gceEYlvIipG6x|C#m$DOZSn2$f2#pSS)RhxLy=0neLitKwAFZw<Na z^J%glpP(ee$?ify4#i1(T$EY3KLyR@J;Yf#b06Dsp*X%4cCLjJ_BbtfnP9s93dh1z zd%Tocsy}9}Z7(p0TP(<QtYWPjhvwr_Da98(*O^a-TVG)76?kw^y3wFQaNU8~CQc5_ z`PVoU`S-Xgvsir$i)C_J_(Q5!Am`Y1rjxG57fN^Wa`-)dZR(W4dY?gsUHC$;l%h>< z{v}Pp9|z1|XBQMci#Bokz><HNL(z0k?CXaUIPNWc-4wvNFI1U@=cC_Ur52Hoxl)QR zI`Xe`Bzo4c3&cqmI6aH~C3Q&kho8b0*}g+H)AzM~;Myn6QOlcNw9kEc%Wlj2s|95) z{j`fUZrS_kuS11J;R=TL*Oos1!BXd-EF*W2|CLa|6$bkyf->qq5@W@8gndw!I{33m z{wl{i$v-pP3N}4FUK;!0*b#q*haVe03)`<;e{k;P`Ikx?CyUvyU4QUs!+gd=v5m=0 z_NxUCo%(61@Kc7-cD<m}hM%kockEd*KX?C<I<)tvYOlbRv(+X}H_Z315u9W5L-elF z4zCZZr4G()ioeY9PVCPvw}KN7%uSl2nfI?0l#&0T+xtN3V171ByHOoGN4fAikw5G9 zv9X)f@pF{3>Sg`06R6_!%lXsjR&ewof2m%<wTJyCP1gMNYl08WY_Vq)`l}fmTCrY_ zpFQ})a)%w-9B-f2I!JhP{Cj$yNyT|W<$gDdfCl^P9EoTCw7PL5Jku}L6Oz5a!FcbF zs6gB*4#wxrLOY-OE!>}d=iA?-7Hgm1s$II@XURDkqmmP?|20<28hmIvs6ORtT*=4O z!)<fw4|Z%Ssob>(%R=t5yv=E6`-K0`68*LN`TY&+L-MBF+w}ie?b3PwmzD=T`k^S! zCux{+;DX|RnHc*AlN_D(UKwP3xWG7_cTa&!<=+F0hudPq;^(iE51Ox5Q@z#y&x7d6 z*ZHMu{#mZ<veFW;ogKa}a$^28uA|!%QWrI^skp9q*lLb>N<{s_sQIr7E*@=e=an#g z^1hk*&UXL5k<U|Jw}dU56!oEf-`XfMZ@c%~!&fg*`gbQvH`tf+-wk`qi6?`7za@x< zT#nRw7Tv8Sr2ceem7nF6ppZlTzr><6mxi9|kKC>|b=kB(TlPkEMSTo5%i83urPWap z8aVl}er&A!+M_io7uk*edwG4jwJ2Vt?$6qruLHXkZ@bmJk)NGm{^E|eThERchaSov zdAddF-_z17VQVb->kUKQ-yV4sawYf0gU_E<&U&-4WLDp!-&{FcjY>@J=dYf+R_R&z znysr|O4MDATHUtq@Ose{Vf9-Xp%==3mUb_Ca#u9@Ys9|`QQFSOKe}&=dMQ%d|NVAW z=#m$|Z8lyA)AmiZS-C-N;yM4-7g;7Rl>cz*&?=Y8xLJqwf3BXD*m95m=RL7+Rgu30 z@29U15A?KuHa+=8=tch@QzfddehIEKZHwcTJ-<Hk<<d)8Hrt<nPks@4>HLpou_URp z(f+>NUyA=-h|_i6|DgZP)lDT#^*QUq9MwOmf6JO$BJ_T1`07P`e^%~|GM)O_u6nDK z*T?j*tgEl~Ev?+YH>%C(&(6J3(>DES-5bRk`eFLFtf?U<+234UwW4ePrtsCvvg|U} zhdG=6+1fj6PWI8~2Ts<kII7vXW@*&kKS5Tl(tj#;Wm-+uKfZkV-n?0LCzT(I&R(}H z{^N=nyG*}i%gOqi2m9@RXlPify;3<|FnD{H)yEq%cA18pe*E}l+Ub?X{v9i4FVeFy zT$VR$*QX^jc1c?Gsvo~{HpqP5qv$=VOZE00+x<DD+V<nZf6?>O)=yuz_;Tg0Qt7MG zwyw4nZM8FJTD>}H{Pydn(ktERiHd2<!`=5*ZreKR#Z2RhzOAz|?!2#9w{=!VwEVnu z+rKMWwZ1<6c}+F!N}zpQ!rHJ%_rE{AvbV0X`ehrRx>oJlvHAzA*Y0-R_dlpaO@E8t z#$|oy9=X;{KK*TqM}=Aa1KGN}I&9}HYi9qx>vH>W%$mjapWYpg7p^L;`TuZz=ftn- z``&-Je&oZ-=hgi6zIR^Of3|JkulDX4!@l1it{>60p8nqIk7;2%+o!qh`_-({1l||v z_IhNgOuw9PFG|GSG}iU`$E~hOiJ5DooS%Q@-Dq;TgfU*&+t}Or$FGQ4lE38l>G5x# zR5AHa>;3PmgjfFQ65x0E@M_h<g?|bUeNxl-?L6(@soOd4=LhL7=l(e@V%1EZ*dyDI z2kS2H{<$q;)uY$%^Y<7}TlJ$s`dIm~;I#`L?$Mr?HO=jV?8lJo7ef1Pm+kPns`Gd5 z`_AwT)7VqxqP6;kB`JL;Z$3KxQod$yb<LtTC-|FYNa;Urj+^}QNXd8qf16&GBuV`f zuYYU$m!Yfj!~H+A#Ft-Q_0qnk{_^<>FQ5DWPs>*qR)yDu{FlEU{-yg!|Gup~Znk$H ze0ZGKUQ_0w^+D(IQ@;9n^}kGdOMX;-H}8D)XO>~khXzMkc3vs7iXR^mK6)&cxfd&< z-JIZ@xaBZ^2V<UfWLl8Pv4`fuS35ubF>Mb0E6R6X?_cU7rF_@Q?_VyZtU0XRmAuvB zN16Qd56!Oj*+o5_&y)5Xmah!``M!Qdc_puJ!4K8@VfwLt!m{_0*H2&M$+tge{q$ug z`SvHRpT1C&Z-3VM=}TAg?N3`D?s!?I?rz-rNz1JNwC#;EJu9GmJ8pejn$@3aTjy1X zo-g{5TfJB0Y}pUl?7cavXA6IDSMSxD_4>o~Z&g=K&Oc=SR%L2(|Ka*0PHOM}I<5?N z<d(6^h>dZzjD7jx`nM|0U8f#8U%R?y$!giW4#Uq~OyX95G8g?7jJt6#x=qaTPek4N z#h<Etdb^K0oUyN+HO=DB#@W|boA`Rm)*0>J^YF-oMX8G>t_%JCB6rqPzJATVg^`}x zGW!<Ycr|sITy50L4>u&bB%_{w*dfss8TIzV4~Z_(Eyo^m8j5IVu01TAtPyt6s$KnP zz?x-W`TYA9MmS5$%u8A>k#jevq#}#wC{J!tMHJ6bo!qjDDxRZUw;p}yk?5Mb<?6$k zh9aw9NVTVn%FoWQu6b|)v|;D#`zZS-haM_hIlOYeZdKF2$0Fl^xqjU954A_{`))DR zD-#!reg9$arzn%~%C~Io@Ad2~k2xv%np^GJ`(la&H_OM`;>m}tlU}BsewlW0j?(IT zp_{GlKKh{Ex#!s>u3OJO82>!GG$Jh}WA|bGC-d#Rt!3`bzrQEWbGy}_oVv>JYsqo< zHSLr>`OjZ=`^a&xe=m(ob{{^!Q~k+PxhadRkBHaJ>%6?>^uzC;eC8~)maV&=TQxN> z&b_?z+_zbNGgmF%E&ETWf67a%UB@5RRxT0A{#AW6+pK@eO{-n!AMTy^Hr>zk?)wiX zl(<b(MHk<Fq#Bp<(Qw(7OJ5o)gm1r2ec|{~Y1{SH3)_!apNjHd^Hol4y+-w35nH8u z0pF@Jt3viHc=L7D3&W4wHe6r5?D)~shobz~WX>z7=-V4NYhFpky1j9twogCUWbe(H zHLt8<-`=>kd4&~?d*i0fEB)bBy_c)%+{4vxzG}U!Y+t|a`szjEGI1H}!+rIw_MC`Y z-xgO?vGMDdAjfK%xTM%Q5z71Cd<d%EE3)^|2czn}CVO9fD5~D8Rdx2Esq4p_oRuPb z_2jx{h*=r3?pKuSeblvQt}C<mv)O$2V<zkmOW0R)`e8Je*5_|Wr@U7QJi1->US?oK zf@RI|hq+==l1sm?`ysS-T~gb<;vZ(&TSfN1|FHI2R^+b(4{zsQJ#zbn^T(~(tJTz| z_si7X4D+?Ak+=TSwl%8DuJDI%_iZtq^6yrErnQDG-F0(*SH26k?#~^|GUoq?(CPTT zr&INKdXk^kzq&^+8lC?u37xn)S)e^;#wz)ucdF0l`u~=#GkA7cC+^AoZgGE~w?3A& zigC(?TeV+$e>Cb`Id|Pc=0{h<=UhL<D7IXuR@JWHb9APHjG8aIY@O!+FOt8aYWA7$ zRq+VFdQs8vf~_|9v{^3ND-S+R7dA9m{i104{O-k(zRIz6c718Qy+uD;C1xdc+TD6O z{c%QGX3y#MM=q{epdC|Zn)p#~nsDcjBQmc)xnC<w{TS0<T)BR4T-UMPe^%~|o29m6 z&-plgXW`g?XQK3johQfqV=4P=^W5v}xs#VtrkCH|$9m-M>_?xNuVqa&x%!lS?bTJ8 zvrh9zuD*JqWV-yeP~pvQc&5v54D-I|GhKdb*y<&bdiJSn!(2DV*4>I)JL}nwKRsKc zc+ZLoFI+pT;@Pv#$O}=ruAh&JWUQUGj5X=s=CIQjrt0m_SUYX$>X^EdQEQvll~wj1 zRqqX1y{J`hf9~o~-|m>Y<BPgpeO}on);;rdm~O0}_mLy1Yo{$+s#l-4Hq7;Oj9tRo zFyGU$|4xPZ+T7NT`FATy*H=5%E@f?)>*|<1jV&R<kL$Odo*unAHKX^m^4hDLwp@D} zcr8nG%eki)uVqc$a__0(wXD!B2cK%Mxw<NI@#$pl&-HhfM6u=;Rqn}6Op@Jp^C{=G zEXiAsKBZ)D)yXZb^ji2M;k3VRo%8DJnz4^RO>I?=ZjI48tF`Oe)76heW-WXjv(Kt8 zjW_oFC#BP`PT6@)z09kp-@SC!Qt8;ZyvX>KvzE<{i4$BN@R7HC^Xb(|E3aM9)mz`U zSj%qmww-&_re(El+r7tZTGq6(9eebqWwG9U_32l{s;OHpKjm%R?-%lPX_dtBL$_o0 znN6!p(B6Ib>FeZ|-m4>Ly({>6?9i(}&Z$MKx5Vn*zkeuh<D_>Yue-!Tf42LwgmLZi zUL){^TV(x<-AAPq+mdFz6I|UQ(IvSne2s9DM%qiejX@m?BOJf){xhR?d0OW7)BU%_ zHSS&G-|@$V=crfJg{SJEQr9`jQp9=VEIBdmMG{@6UpgX$ZkA`U+G<^M`NrBM{x3Nx zRK(fPwZFSGkmsn0tzwv)p@{L9B@xm`1I}CuPAW~gzw+vrEvNHuzP@_FIH~c<BBTGk zs}tgC!)zqX&b1v>J5u~;<A!Y)ml%E7Ba!v3DzeIv#}rhM1ooIz@Aazk<T0t<t948H z*v!3grh9eTW}eOE2s^sIgSG!`Uh0O`A67*)-FVNG_QLdIYufthORvYqWv&nR%<srI zp8d>ouU1uXjiPAh(e5p6*ZFsTSmf<i@<Q)lT+PXjea!!=K3+KZ^#hyr@<o2T+7`MC z%>Fp-wDH`KN6&pT7IHJ|R^5K;ZF$kkV&iVR=ech0chq?8cJZE*dAFnX`qP}-E-ycL zYo~;D)trC&sUlh^Y3`qWKaS|znNI9oICmL;OkBX6yiEra&6mD(-Y8L+Q)SjX@9&AH zk>|b(--*cfs8!y+vOm@?zC@f)CHIQ){2eui3Og4|&0l`+#jCjK#>Z7A{mS{`cK6T9 z)5}+#J8zcseP_(V8>igfr0a!qilxstJ5{~^wE8LOshKWy?>>1>I%B4^zhGyxinjE` z_+4`*rGzSfYB-%a`{LAP>tp`8U#^wSI<e30#iROtAJ^8-UAF$H)Pcaev#e|P1-8o+ z{Y)`kQW#acn44Gb`ig(6GmgZ)D_okiT56-R?K-ntQPmGSe)%aKFKRq5ZIg53f#afW zJ7-<g=&!F;;V-ewyIg8=>#?)%?&+zgUT5?iU;k{PQK)-(#h<S0DGx<UO|Cs&Kg%y7 z(*3cG-G!1vudJlre-!ssU$b&Cr(FHJr(4ZC_8#Z){qm#qdfBrz3q9r5_rH8Lapkg; za{7Hc_s%+dNu)nKYUfSw+zK1NuN9#@zJ;%sSMITDTPD$Q*)}fuepv0j!#w@hk7<|( zyBpiai8~udyKg?Sck8FiVY5n2Za?Pz*0rerS;d}<ae*d9>yFQUBkC&symHUYf3+*@ z4rS~+9{t8!^z$L6{_VH4yDA@f^{?L;zGl_p)i(RC#Rd9Ugjd(htz~C@?x6GKN7e73 zqi?pJcr5+t^PCeWZf0+sG4b=~7KdLtx`M^Eb^o45M!ouY`R7w!{caK6&p%wRFS~fK z#qG3hoxVN$AD*=zerSG=cr4QKz-q0?_cMS0ZEwwAo-y@B?3u5XYb6dZy_nVie#4Df z7qu6g%DvwdwtCT4x%-)G!+c-c>^mK`w(VMV&GxlXyXKfx)bzK`s>w{t&RBf>@fvd_ zt&GXXgV$cw%G`YX@|vrwGP;jn&Mhy|%3OWiIA`ms7gGJ{x3aEY*eZ8^(-yzgzhnKb zSME7bblGuL+RLhb@hGuXK98=JG*|3B8nkwy^il3}oAzaGxaj+cSA2Oui%{qH=!Yw( zsfWDG-yMBK;``$%vlrz)`YN$}<JB#(d*qWP|1#&_lTCWI#r#+I$CA~@t>3JzODenb z^5a`jTg%K)`gp*aWw(#k$T)9odnaJLY44&L+si8V9KYuj=~>=o+F9E;^=ZlU<M}tl zBV5a?|11k_eD&GJ_j|=3Kc1sJcVB<pZ78z2Wd4tcjEhgQOjY+ic=!FsVTpZnW}3YH z_G7KYysnu%whunuE;QNvWx<P+7PCaZOn7lhV%ANrsvD2LKh6ldq%2o2;J&#_PPAOL zFU{2U*++N7KHHTGkK4{m{%@)`?X-V|&2=xs9qM0}RPZI67{z+}SN@6O@ii2-fBSJV z=j+mKukMK0KK^L*J9O72zssBD^v~ztY<kRX^kt63o1eP66YRXtcPq}jze&|qzv7Qe zUFGr%g<lr!2>-eK{3Y+Cq7(MDv%YogH2wcYvg+nz|2KW{6UrAV`L3_nqkrti$`cn> z%hijy8+)&>++*LD#=7_2$LED6+An$g_jfFgbj`2&lPYsItLpS)=0cO~7rFiWH_hI* zBu#bi<Bta=T)WOUl-vHZa+?_XW!~}jB#p4kzvax2%+Sn!!Q8LEebc3<cD8n3DpF+5 z^3*>0xWZyq<gXi#FB+zMZk+b>$Ya&Ar>hJ@{Hks5&F(1u687NA=at9#&1=7e?b_7$ zt@Guomv<XVTxDz3)DGDMrM=GB|3fS5R>k~Pi_af5$hucD?WNT(r#*t7G-96oT>2y7 zjB@I-KE96aL3PpW2FsSd(C&{vm!IbT%S2Y>^XBMDAAa*m8t%BCD$c*G=~-vm6V7GT z{r8rau75je*)!M9rgwX-JM}$P-mHDu<g#c(KEL8OmF1y}Dqc(|&SvMA)%u*Fs&}>Q z&E@B7bX5Pid|o#<dw0M@kzXZ>;as)513Cqs9F)^-y|B1`zlf!mM=-Z)%878NX*Q}M z0mZ5zL5(ZI&i_j<Tf69y!>VOYg@V$NSG)pVZJBuKKYIYm<wQMimVZ@eWMDYOgnolV zQhs7l3d-Tka|2_&4;zToeh+8)vbFp6y-#Mp7+ZHv^>Y;Dj4XQH6f2Y$6f66${I>71 z6LN0O3hf2&Y@gqGtys{0jj?Uono~2<f7(3#sT4hF@0t?cp1e0=Hfz;`S+f;irntR# zIC|}Z&vnK|Ht|q)5yy-vVrnkxo7_FOF5Xo4SpL`K`~Q^oZDYEcv0UX~^SKO{{_l&V z*4~&A5z?SDcj{;LOBDezvz1%7KIohtyXN0{_G{ZEF3U}_)8&`W`LS!|s&)IGKRUNi zFgn59HBhaxa%I2%Cmm@QgGTKSzpn0y`MF>CZB+@ImiM=Xr3b=3Zt@WRH{-~Y36(xs zxxd)U&Lt)MTvV|`J#NooeiwnRsV{Xbl}lvjS{_~YHL7b?3HK+)R<ncupSw8Q__0;W zEHaT@_JtP~zzhtabFY`~TC=l(m4N|-QO~_DNJ)a9E1|Jf|8K%n)2-SJWkwrZKLnV` zK50I!kZrts;x4D}iO<~4yy_mBsYe$yi9QPa`hG^)EsOIDU)j!<=YKPyeQVj_>VKPr zFEVjl*nUX%(d`1|{K-4Yx#luVXPC`!_u7(*s5kHO-Fm-i$)zeSZV6c@QB|uR&a?ha z+L=l7-`@89HE++|NH1>5w|BS5X-DmHmQr)uk#YXp)>`daXDKzC4H@ri^Y8x`n>z3L zn-+ynirtBy{&(^42(1o{`1iTi{D1sJ_p{3w(@)<lNISRZF3*fL#*5Q9TRD|?@JSjR zIKvm6H+93bHS9ifdbiz^njz!imZvPh)nnlCC-F@!v)T4@k>?s#to=V>{{L?(o@KX1 zm$@8jOMm?N=bc3v9Sc&{K6S~+_HEhLRB`xafsLI1Hi-jwT{5zh12<nRv6_2Z_-~9@ z-WG19EnAxm{~Q1RapwR3GNmlFMbEy4Di$p6e8gHTdAv}>HE=1ziz8d7%}(gn6<oA8 z!1x=lank+nonQ7obi8x@Q|bNxlh1bY%ia2|%JWgZNv!-ow?rUEl#_x`ee6e#yX|iZ zEN1t*UMY$Hd|F#>sdRNy)>9E4mHFxy4`zo-$s2I|d||Lc(pc%4P}BeY@u>?p=j3^K z746__ULkVI<ZRw;FZYeSJG(cgKb~{{v;E~-!OMT;_qe}Q`@UZz{KXcdxjw0p^CVR* zBbEqdnn>-9o3}1>)>03Zpin=a=@}|j8X}ukyq=TV+f~A>!NSz&aF_A;cm1?2<u&o^ zi>ICM*>-8#s#TJ6pRU=Lw8q#s)<_^fN#mE*=gw1COqMNMu5)Bg`su{8qFmjI6B>^= zoq4&y<Kv>e9GqfLYP1*{Yb_o-9&lb7R2nT-b<XdMP~bWrW}lTItDZU)>IAWKwmQwt zJa<8hb;|O~nqL(PJDECs)Go)yAI}ZheAkMniJ`%3Y0&n)zgSloG#PUI3@BXTp%S!x z>&)gujT>cH8~3f4xWwFe(h`N6H+U|xDH$sn&0!a6NbnSCm1ueBz!TJ_u!N;ULrKWX zK&XSojP>RFWzX5-O;6<ho8`H7-=hb&+|!B}ls9h<vt!J2liHueSNcrw>`mdtWt?l* zPMz}R)ymm&7rQ>r*whfe<m{@Z-JI1n6CXBuB^}^5_dPxNp~x1+W`PvbiDErY+9n)M z+tpSc(#UXT<8Yki!PVoWEptrVBkP2Rg!jSjki`cVFfG3DsiC=TZ?IxeSAM^t+r~*u zN+!D&R?qEtak}r$|4m1tQ(Zo2PS6*dy<b)McX4ouSAJX6b7@KT{j2lC4>HV~9}&%! zboItsDeID}nN_t;CrwJabAEmAWt;HzOZDM1FAATneeE&#SbQy``i0-eZtr+466z|z z`FDE3vjzNI=_lKFWX3!_b7^w0*kt4O`}h3c_{jUqGdXTv=OcZ$(;(`f@-&v{<^Ic- zF26GO!~6}?&PWEuRBd2Ae@N?pl$2}vEAt%>D$7gNJA+D`S65bwNAF&svCr{(#ZRRr zz6(U|UdW0o)BJk0b9Yz6K0&QVXY@{;`0%{&YT4~Z-KDz)J&rUQivH}eOU`xZ<v&=x zrG@w8U0vs8cXta|l+G-h(wUl}IkEEJ%|`|fHwubZ9MCj5WV($h^TYwZJWr0EX0IM6 zW0OTYBs^GEnz?(Nv}4@VJlyKe)OcG4oahyN^kP~`h-mp4_lV_N`B%+oIB_r{ee<Wj z+Y_t~2}p}v;GeJ{Of#ooTI!5x-LDHxn#3XkHnW*q8gH_EW>je9D|lb1^4`oXg;~>P zzBxKU_o%vhPosaF<I2<3yz%=hf@DJN#7Zm7y3!eMoM1G*vuej=Him?T3gcNdUaA#y zmfQ^LpY^K3^-4-tPxzDbQW`dv9NK0x4n``M{dmJ~#oBo1-9hUEzfTrz+TK5R)m3)Z z#;i{lSHsF|4se+ra(lyWOEE?U1u;ej9tNZf)buLy;6*kAtHZDT5jhoMh8C_)3JMBF z1_lNO3JMAe=E-ITr%&ExNw{CZn7>}_nd1+|?03HpSS>r*vHjddl`V}^vNaDHoeS$} zYYkt~H^ueLNiXL+o>|K-6|Tzb*l~9nukN{g%X<s&<*qW?HvgI0>YcZy?wA>x_I}FN zCH1Fszy15Z?3UcU{^S{1Clp(4+ZOm_=Iy&?;URIw*RiYj+5_Pa={dKGne7zjO%DGR z>{G+Pvw0b3=z_0{^ylmQSH66Fw&0xFf}09?Ykg1MU1l<&x-vBA)n&H{xqIptx<^-i z%Po=!%&-hzr>0RX;rDCuoxIJ=xve^{>vnx;{uaBd^y9Q%E#12{=iI9&ZW1v5|K)W1 z@710{=W6}S8E#+vyZZFmp0dpBlA5Z_$DhvZ{>^YU@!)@kSK)D5W!ughN+@+{I?Y+B z+b~6AQK;Ag9oq|rdFNe<jcU_Uo~8s;-}(Mvjzq7_td#5{o_p+P+gSE-T)UQ1;AR&Z z`}bt>`EG&9j0QbVn>7}xW=Xj`ZS1v~$~Up(_@uV8+tcFAx{Y~{Y|hW_-nB<H-P};e z;>BXEJXOQ!UG16s`xti1f1YDAzxVx7W{qR}4;)Qx)-*r6pR@STe-kdTYw{+K6p!b9 zc(}UxoJ`*RRwoC=3?>EJfUFDL^65wTx>8G(Pe@6p{=F6w`f#GS`P=jLk`)`+PkZZ^ zBr;t7a<_io+S{^?ca5gx-j;IQCvoQ5Y(W$8q*<$GtmI;R+&?4g<L|DV<26!Zwb|=; z3QznebK>HQd4BiWuTNh$V`0a{_NGl*+<`9yn;b&}<{xo>wD;+KA7PPi8>d-bTsC|2 z{=ffE)T+q@Fy>v}A$UYkf-$F2i?caM*2!n0ih{RX!D5y39k#mcTg2C&kc*LJ{<?jh z2K)L4O*iY_zcF9NVB;X#RO7LG&7v(y|G$4dxt~e1Qe~;XmvGJr?Qo%QhJTjW^rz2F zJ@9-s?;)3Cr)RBX63OTJ_c}T`_3C7{Npp&$H)+3NR{X+T`0;hiWv*vC=Ps^(Bzoc^ z7hCOIj^|(M_i5bOSdjjNtGZw!4{OFpTa76SZ@&CkeOhaVp<nvVdie#@H~ScG_!7GQ zf;XF#O~-<r&;0Io@c2ysAIJ75Oi5HHJVo~MHmlNg;WoyxoXZ}&^F5kVr7%Z9Y1Nzs zp1A@1N{c=R97<hyUHlnmYlM;5xn)~sB)zk|y8W(&_=E$2J=?ikn7KIEA}@MRI*=5< z&$v3etN7}hlV(CMpX?NI*gxTg#|`o4$1EeC+s1UqsP|tvXUU$rdBQf1^A{RTR;nmf z-0$DgbZug0XMsR}>!n3oXZG@@U3U{&%pc8cv-DzV->>DucZ8q0d1>DbE;hUL=fjf! zo2!HG7Ci{KWvO>jc}14W{m7Xu?yNJXM9XlTwAy|lzxc$}t%fJ##2*`M-r%R<;KfkC zT7J_@y?+sFZ`8f|?sTv|ht+Gj(KLkzTXtGn<@eS2iJAU;w0LvS4knw$+6*7}x%_Nh z^z6k-v-G!DcrJ@P{JAwo<k=oC@0p9+PsaB<dhhA;KbFj<^!DY^Cw$YG=2!*`Z;bve zGv%wN%i~SG7IR<gA2!Jq^DhZKctdZ7-7Svyt%moKd~6l7ME`2FT3nd_LhxL~?*p8T zlTP}{iAqgab>-r$C!QAso6Hk@0vAqHEWeol@MpuU<5n@7Dp^<eX`P7XVBYInQ~tB_ z-Tb}6JX@k(vt8UQ5cb@E-jyBgUv*7Ht7Fw!WDHxTeK0fK9q@f8@7Bwl(k^fE9@bS? zbmhEc<SbriAt^TT*vXw`PuINU{%~*_Z?tl<?5A0u&y+tt(c!BtmTZt3bVgv4<?p_V zd7J-CU~7xBx?NqGlEzzllr5^@dAC5!W-YNzOka%a*R!rDuW6T_vHkZ;A=Q8XdN;dC zsptKQYc4LgSUT(DNAC)+&5~P;yqjNhtDb%oy85eb_2a01?S<h-pWop*Y%O|GwO#1Q z-YHH(i4%9SS83+!m)~B=B4hiqbmgmKrT(_v*S{~m5T&d7^6Iq%6^|LERtXd^g~vtP zYTlpxZSqcrc~|HB+xO)D!o_!f-r#JVs{eN}muAC<?cyEAJ|P!)^!^?&pJ=@Gbi$Ke zv)3pkyPaOgXq@}z{n;&wlP|64IL3Ta+WR1H`8iFsm0SkBD<9;1JgAU#->9TxIcLzO zMQJgge(AaOG<ZC`S1+5lLh_9VQ()M~ge5%8g05+HlF{kX;%n|Ke#6daov*BF9sBIU zvA4q7^&h4!6iqdlweUjxomp@He!5>(duw0Wi>u0c+@W_3=E?mQN-0pWH+NNkcXxaB zvaDYl)?ZxD{6&%B<*(1@ub0eE@c17Ya$cdxxPf(=g7{oU#*_b*&s0yDlN7IYCdiq2 zvGm~sD|`cNDg(Wg0~5oNi<uK|Mffr`dY0y}Ox^N^-F=(?rMxFg-kYbd77uBWU*%#V zApQGX%8JTBze1Cs563oeOKK*DWL@e#s>!I5*z{=YlGTenGW`|Y95+W#nCn=$`ZdFy z7$;ec<vW#`ZM}}y`>bk=cG|!H_d{uKoiw>!wNo<wJW_uC>Od{W+3ckg6n4(?&3mD* zW6AR*syov5lfcb;A7(vVY2_+ZR<-%9E+^-y`V+^jZvEYm8J%_`UhTf=sdouK#JQ8U zYutRZps)0a`p)krwvT?+@$SvKy<Fh9!KONgmgeq9lkdMi!n-0_#L|$%pltr>1*fJ2 zm@(A%n@Yd>e1mb?>uE3RJ(+)UPl$V{Jwa+$*^K;`4{W&8=7^{*_;@2mg4waPKzsd? zkCzQJTid!0d)&(KkKl;je|lf;>`q2|#n_ZfVQvRImIk$jto@XbeD}!td9!XVIX(UD z!mgFIb9=qtt?|{Uo)N>ljhkDu>#yR@+R96n%Bjbebbi^#z&@uuV$<OU!Am*QMOiqr zekD#d58NLq=^39k`R%jqmo_o~-t#t5gz>UM&hE;akLzlaST?Mh((!b!??2yrE8c6H z?wfqsZ;I%Z__}rr^<6jaJa;&1bjL{RNOKF*?#VS7wt3uXGhf?oTyjL!$}shJV_f;O z+!yT5Tc*5J*`kouX8ra<<I2Nw*IK4-`yR2*m22aT_h)An=Ct?A)ujkbSbv$vqFO>C zdHGCv{l|Hl3r)0i?3*G~eq5fbn|n|;K0NKlva7~rK21m2kL+ml;V78nyY$?f*%NOu zFFCsJ=I!fB3#L>U@*a-x2)8uVI=*{)WQsob!z~`qr6w6QG+xWDP>AfWs#q=mYWi2r zF6}k@G85KIu1-+<#&bl#B&TKd>^BEj9+XQv9%H&qt#v<}k|)c*&s@dX8Isvcj>z3L z&z$YJV)FmF<?oh$_%8ja@YuweF*8mqG5(OcZ=+w5Y0%N=M*<Ql@2=%F$(_G5HR;nr z;fJShOqq4`*lv!g9zDn0rffZ}y53;+Mknw8wHw9Pg(tk~YN`A+XYX}$J}yOF?~G45 z<?b4t8+J8!e_lWRS;S+3jT1jD&Woy;!07$k^p5vCHcx>&3Hz=Wi>C4OzG?nib0^gE zjocjmi`=If6?RTqJh`ko^v-|YMU^*}<=Vb|DJvW}>9goci-pa{-X*EeD)HF1rqX7o z_y_iPZ!a^8NBniDJn_ePM)rr8h!t$c`In|tx!%9a!L_EEMP}Vah8eaO&%8Xn{KqMS zb=&5o$px3s?=3!*r!nbwt-!5EE9^RSWv54|9jz<i4mvz#v%uVilMiueJ2$>&f7wvo zTCw)J-(mGCw<!;Wcbi;N31!uA?|ZwR=b3rLQpSlqi=T-F9r_!w&4k~^{G-!C=aq-k z3l(SAw2C+TDJZTy-{!&nemcLScKWiyT6vkuBc*S$oG(0HG)d{Zzw$N3`ybEcOO>o? zVCRx9nkR6Rp;~mexa`l}%}=y;DR3?GR6V`Ud)>!rzB`oz&Y$Jum$}wpua|ALta-ZG z()Uc|e4nI`R*MLh$t7L=H}kYk!{&Kyoq<oMq&N#E{At|0@wD|Er#hqilXTj*z54lD z=Z6t{z`d;;DQQasY`9#WCS5!Hxbc34@$RKQD@0Z?GDQF6Z`<F&D4Wi9Wx0go)RLs$ z!ehrbhAne3oPP1g35HYq4c>H#FA>SV7N;@sk;R+BPUh@b4*i|d8b4;beb}7wb<2fU z>b@MG{^{5Kv48si%z@KvbCL{78Y`CDm9rdJTw?cOw*0i1bEi7kZYL=9En+%$AmNSW z0kd0~;ZId()GNI>axHRdR>};mOLuRb%uoMREF!l;Z6D*_DXRQm6el(uI}?01SjzVs z%Zu5IjODM-o-=*1=czR;CwA&MMy5nD-DA0T_TaN^=Bt&YcsY7DS97JUSa{cgZPf+t zLi>l8tglVjVs`gf=DIoM&yxc8Y+1MI+k5kq2Uc@sOkQcQ&MWVPl5Ejao$picWnX(Q z)t{Gf#M)w3Yp!BM$gTNBs$PZ7c89nBdFj2RElGO1TixPk4Mm3@u43vrr&2n1*@-x> z-wUNVqTP(GwzKkhIk9j4ttj)!ZkfEI3eWS76^1fXbt1n?A82b2Gssfi|2L{BsP@-? zbEc=^M`|5X@?S+;Yf2`bFjY?Hd-`mWVDh>5ng=fjE!uve^r3ODqPKio+m_lNUH6R( z=bdQM_hu3>4Up+u((?0%f|kfv0q+evLr?8>^?k%YRsA{_gXzgXLeCigs<BOUPt(|D zRL#DhYpVE?``e0CSH`ZItyUqtRM1JXq9!1NF`s>!^~2lRWoE{aS*)TQiq-~l%xkXK zymZRQx@fm3O}g{_i4&`5zmc7<TEz9UFL~KQy;H4<RR<hq$EJLrw4(oRO}}EqUNwuq zrVV-l+KZPvF45i6?DH>d<>ezz6C7PWWyv!YESFgN|Cks<`jZX$)4qGu^S{|Ala|7D z#!%sMVc!3$Nw@R28cq+8IZ?h$OI1WTLpF5s&(`35Ih<3Oww0Z_YA5%`r_InTE#Rg_ z7el^$UMZ8wYQ~@sQQ6X!$L`u#|Jm1^wr$&_M3<5P+nJ^gxt~{PyiN*>Jt4S3*)QU( zqWOIXp^&!fOUhCA&okc<o@}sl@9!(qate))sGrzaU!dBQyDg^kuWs6;<*WS*wI?zC zkDufE<<*1prPe&ZD;QFoa($=yzFTtBR#a0-Wp(ot%kcA;{aJ5(cG)p^Q*e}?#va4C z*(Y;X7ydg}l`qDkc2@GTNwDQ&_LFz~XD|4<?R!aGJI8Kyqt4##Y`Q1iS8Tq(lR5Vo zoA$l9iV5e+!_P78Nw4w^`!d&^t=g^RlibeqTfDt9WNi$NO%?mIcv+gM%-K}&+-bTs z(=HfXZ@yi+<Y@lKwfet<q+YFDdhXrgl07m((W^ripV*|;n||OYALm~?oA`)d53c+- zj?9p>j}uyYc*$1RcT(qmSib3dP_}FP+!g_`@`c{{sYeypU20pq)6iy0%sbA0LzM}d zZ_Dln%!>JKl5Xp@_w30n^=h;AEu@|rzI$0H{D$59KjYSFLx;%ECFu`Zxjr6Qz9HUu zYl`rNUIx$Efma!`H#(-A_TI0!t@z9R$nIWA>BATP?_9Y4pp0POnzNM?T>t)=qwRR+ z+%%2r4pZ*5s9AlPT<+f0{4YY$^R>Ojx-`eCe&_02vyviT$C|%6{UY+!dUGM?Wm6?< zFMc{LkQ3(^dA7Sg{;=xVJ8yQzJov1Ym^;1pv6`$+ie|f<b7xy`+n+W0m$SaD{F1UO zpr$|Va(_bnL}p_f-dpKMEL+pMtZ&V0UGuK8f2OxX+?rMC=agy=t4^D|uTh^TV^eBK z*O7DYykD&qX;3@<a+y`qzLi%GJlI<rbHdL#e^$H2_TEy7;%7S+>1kdvt&J~VY0@!8 z)9x`()=HVYr5`me2;}Zj;oAPGQ#AJ8o8ar;?)<L(xpiUh?)`06FZQ48d)C=>ZhAmk zaqY(EjQ$FOb9IAMp8eZz^7)R&xw|cfQgfr(`3gnbT(ADVBC~=iNpwlkk1pwbK^5Za zyB1D$^lQqV>U@Pgp*VSgdivCvx5d`Bc>15ut*eo^=z8z`&$rHtIu#E4?CYPo<8b-o z)$^7$WghID)??4YdHJ45sg;n!x$H#K%N0+1JXOnCBIha2Ub>CVpJ$cav&XmAl&oGj zdux@0kxS2l8>gNZoIC#Dg+@=BMeq8ZHa(LjTA4*V^8B39t`eHE_IYsybGp+O%f*F^ z8#jc>h{>&L+j0K2m_wn<;su^1Y>lgnq?;dqY~S>1g31s36Q1`rT4-_m?5VcSySYHi z>a)a!qtdeSI=xCltUNM*Y#URA_!*<sHJ+?be|Wc>$6`X$0fpyYVz>WA7QcGG&mror z8IxU})zSr=N6zP8ES{{kzPDH9fBBXRF7KEZ2kzo~vCrm&xI_C|J^L+ekAh-$Z$F{X zSvlF|pTLEB%Sd*MD>6~%dw%Cuy=>4sbk{6(e%cI?{NFun*XNv@yverNlKG<L3-&i& zn}ig+Z~05AzT8>SwfxRo=D$<YU)<x~ysSI$ulDD44LzQhvg~>FMV^<RpYlF>&7=#H zI1e$&GEHR<+1KLm#eLPe(@Cagzf}CX4DamDSCsvvJUdW*S*p8e@_IR`3p;AdSx!~R zo%>=Vr5gIV=<TG4G`9a2U+yS%x|VA!&a>u(lk&}VRt7v%<oD`FWIuYcXJWL%-jJk( zdp=dl=VEvLG}oLIe#2$udA+yybKYxS<`$Is=KQTuzGy>v8{4$1#<!vGSQnla$@BZY z`Iukv{E$@U%9AedK3ou7D0NpfGvGG&7TF^c)c%CLH`#qNz2tSue7)~x4=?l1yxF*i zvqC!Sb?f>!Gp0?nf12bM;A0>X!lJ=?uI{;O^?mjq#v+Nc-`$j3`LT79<jZe2OOkGG zn5I5WeE*Fn*ZzB6a<yH`!kNF~T#f;AKtiO&o!{)urJj#mkN8(SVheO-I<@($y|%;U zMMe34*B!Ua*&Cw2`dOaj*#zCUy}atdPE$qe)3w6=1GtU;@Hr;Q2G4l&_Nc+{JIDBn ze@Zz&*VT~k{NK1^VY0tdK2N!;=)9j#CNAL9)n1=6jbFpwpncsxMj3yRIVa2fCKa|6 z9BKN)wt8;Qsf*`aGoG`|__BKD|9+l?1IbE36F2luDOEfF%VhO>r(F!}F6F)N*Xlo< zwB%6ShN*s)r(<7!68-aOZ`;a?&mP{oe@Ig~NF~?CyGUURv;NErI!E&VmKQ0XU9dz_ zG9*Oo)$PX{H_9ZMC-v`HoxW}P^PI;?K_6_3p5BhNXStWZ%=HnM)yq9c`PY3d-G9@@ zi~CT%C|gy}R^>Ae?vsihG0*zQX~iqGp;V)W{ic$S&el{h+obl?YoGR?;Fa0$>9|^^ zqH*&Ck%(^JD^nHnr3-T=M)$vyyK%~8I@?OUmyFkrU)mgW$b8<`*|zmpve$k-7Io|T zGMoQ)k`HxOykVDWXgQMP`gGE*FP~EHL_hePV6g06(Yj+d4pmJQ%<r%jPBqA!cj3ch zv#Xak*=d&Qrt7pTU9*aD6I^&a^vU5LA6~kiU+JK}QoAlwxl-bH=CXGQqGeHsz5Rr? zygzJ^E#xYDy@r)7`4I>AOt&jZ?)-OkyH5UQ_Wb|p$g*eiMO<bSXzsfI!`@)SQY)KU z8^@H`Bl#O<@4MHU;qj^S+h_f!j<%~i6My$dbpPGA@lp0>uV=}Q<*V<Uebc+uW822Z zF+tVS65UzX<%vFP6p(v+(`|-({WhL=2a<Ld@^jZUu3B_`zwWuGlRiIHcQj<t?lMzf z>lr4z<9yghrxpKQ53Cklt)CKbx?%AL8R5k>_s@I{^cM5Z@zlN^a8!Q%>%;s;S1T`W zwmJQ0qHow8fk%74|1&Xqu*CGRgPh5#IrpMC9>h;fNwPiB+r+fsK;rJ(ha7{~wP>$A zIki}HQ%mco#3QTIKSU(0em^;2&ZJ4E=N;C460CS`A*j??s2_7>$JF!pFC5!>Eh*k@ z&AWt|39p|xUb-Ohda}Sn@scRx&HJCEi1_?C`?ca^;QVsSoUYl=`o(!CTxI)m%)+_& z_;LR;vEeT2mB9};oj6zAQa6Qd%jcJ&T}S#4eaO95dUbnPTfczIR<=EgFDKp+*sDDI z!TGgYM1@lBdEVDIH&ate<as<({*2GHiUmrV&y+7s@yq7?KhvsKD8jY!OGQt<f97|s z9g8++-PP}AYI$S7@!>?dLocQCA6}m4vo)%=Ejai0uR|&p2XklGt0k}TPdK+>@w%e# zmI6;_D*V}&y25_b?WKpjyA6&U>3(E%>Qj-_`o!IqY-*Mjzl5b#Y**~@aI@9d`}l!T z_NM$j%^lqw7hi5_@O*kvHJ*{REy?YI=}HZTg`c&Ke~l4cn6vuzh2A4ee!NS{C|Pd4 zb7SV&*%e_YjegW<vzeD=t6w;kzT#2(()`yOG-oXS6c=K+O-cF}NA1oywJDivjm<w# zxHIdp{uVZdLo@ibnuL_UeqS&_d~WlR?{RLbseG0Bf0YmXSn#B3yVsM@xhB~&o?Uu* zDI{AkFz{yXuFQ_uIav~`PW5lP9^i87@D1T*uVwD7oprrey~*`LcgH%jr#rG6R93(K z{a{OV2J_8en*i3@Y0(1xH%_j#+}d?8{K4i;iJRtB3!mQeO6KC*@`ddkSIqtgv2@IR zsPgagCqrx9(ly({*X{Jyjy>g`ZCD+nb@@T%@1u!@-(J;}-n&uh?wuAr`^U!#Nnz_9 z_3v)jePu@8yueL1-i*S(Dg}QvHd&s#s~+!p@_l<i&nx$@-&EI|&lNFGd7PgjJ#lX2 zwe#$LJ!ZEYwuWAOALwyS_k9MV?arLv56s=3>mPnKEh2u`C$kihxX>$xiIcj+cm>;E zKe=V@b9+|ieHR<UM5pbN0VTl&k|s;at3S=1#wpEGcs$tG$4h2oVvI(MrSH=kp{50S zY^O^U8oZj{E#0f|+c$-2t5QyYmXPO4zi)TL*5xey@Wdh3v?u=xKi?TGj{u9qrp4Pz zPo!OHy>amS-|Uh<5^)>P3rrPrXN&cS33`!jxBv0HzeT+B+!Q#EtUSkmY0a#XBP!C1 ztt96PetnswQnobd`vXRig(?<Pg0IV#HGZDGVSbTG((xDj9qbAogvJ$Iy=u&Q`=cuV zOXH>9f6TdER8GmYtbG{1zd0uA?}@C8lwSEZ_J#YicQ}ftDRSi;U_KbM^fh<Fv|{F2 z6I8^e+Um8Pm@dNmx#j)hhYt&v%zpfdn>RN|=pv8nCUYCbtLMs_jh-BiySJ$2?a};= z`{X1S-ktFIcHE+6Da9@g<$X7c9$jJ8e|~t%Hjxu|{4Bp;=eE9l_}`Ov%hxQ9E7D*S z@Vo8yz(r|orM2eazo(5pG|zn1WT+nD_<fr*^M@&$OXt7&pMF~8UA%z$Jfn&hi=w%q zCii;G*VY`LVPkgZp{!x~4~q{=<#MVf7iBqKeKJ{Os`RF`OCBj=yYzZ*{g@gof5wTK zY3=zbzn$#f&)WE0ZMttu(}8Yx6ZbB|_p)y1bMr;#HRkP^<D}40yzqejx<e;gSNrAu zGMh6gJ<y!*mxqMk@stT(-Tv7cbGS`TdVZa@QS0dG^PfEJY*;uvtSm)X_neElU*gKd zUZHb_dD9c4JE^aPe{RZhJ-lp@F>~n$A6o-+#^*}%M*~bt8lC?%FBRjNz`Tq<Bj%8` zm30@>pIld`+Q^7sv7c6b2svl!zdZk76<4}T+sU_Um;-Y!{hEG{ui^2b8ke~K-+l)g zUbcS<dEkHX!{LWX{xYB5Pdu^d&CFNZJr{<nG(F4Y5}wWHQo409hj)8|b@5FN{?u@e zi_4vSwD`90=<V~>^ZQV>@a3l$5!>x0lmZNej#RHZHjD9KThjH3YzGfrR%^d_(Kta; z-tp}ve&5T|?>?!`n>Vpeaq>Nhwcea*CwWCnKip(Jw(p0MtL`_InR|sFPEV^ya#C4* zh<X3p<8tp}s~$H9c(P3r>r(jO^I*!W#XYwleK^l6IN3;3U0HVJ+nZm{KiNO^#lOP~ zw;un$J?wsF*QJ6mkqNqLH;zsUcR#M&ZX&2v_u4G#P}5hQXx9CP_F-9bKA+ut@Q}pM z>Q;ffJGy?DK2FNsIrWKCeXhzgw$m=BFNmlcp7OPO>!(>d%OLb@k^=jCn@Uc}wQW`* zF%6L^ThwHebfi13)!Vq$m)y4bx^ZVowM5GK3DZALQEI!D;j7-RIz#IF_R8Qi;kSq1 z^n|Q2QEe4o#A?hM%F|)d>iA7}YxAV$WvZ?39QacG^-FHGpXpinM?`J?wr95`cAkn4 z`DC-`MpbQCkq<l9mW(wSx3pJZysDa|cszTTl9SEyLce!+4qr1$mb7iN+&}f+{bK?j zZk}j7Vs5c_;fixdPfpj{`*e|>m&VoO5#3L1F0j~KUF9+RjQhQ;ealwH6-xbkQ}Jbg zL7};L%SsFF`QKIfI8yVQKlo+M4ql%!=|VT>E+@}`qPN-g`I`UVZCb#gb>N1Lzqg8r zcze5FuhkdRcQ2+0^5tmm5U8vS;b#8e9F^(F`7`j>n++@TmTzdt_&JN`j^Um9=entj z<d)b;+kPpFEBW8**`~*^*TlE{U-I(ftm+Y(tDpXB5?tJBu!qy~DA#KJj5~H~RJ&Sl z84ImeOP<mrexUyD<u%(X<u|4~M(0cHOL?8by)|YEr}I|Zk2_)?pZMu;xBWz7l5q5g zlkY!k#i|@Rb!y?3fb7+|1`VDE3r_0F{K&m3+!x}?EU=X6^q#|$uC6$ro3tdgWN}X8 zmK`kaMy(E&WdavZZRL3DxF+6JdrmH|+5Z^{f5gl#)E~V%u}oO?z4MzRC&Faio{K!# z&g?6a{xI}7yZhIijgKPA6pWf8+y#pIc<wKI@aMr+Y4!>3OmYroM?0sVnz#PV9Nqa6 zz6Nu6LgSD3PRz_%ufyj1!Aim{;i4$tn}l1O-Odch-|b0B>pQj3RLYPg_`$L*{(%ZJ za-X;R?%%HcIxxN1EU-hSB!K6T`U64d`V*Jt7XKD2?_KC1YxyVf#o{w2kFx{+U#Qn= zN}u!ikZ9oILrZR+vRb+RRZx9(!o8zeQrlWReY%cKmNuDZa!|)a`{0+PI^m4HS45w_ zv@AO?>rbDnLzktTXz{@xpH|vs2rkNdP!e9*AM)n2plyHmQRlTmRTusqlT&<M=eDD8 zwe+!ojb;bgg|1$k!!B||flD|)^|=NMuj}O1PRo<8%$xQ_=~<HPyVr%;z6LjWZ}&|< z*|RL}z=Gg24Q||Tk`8WKG+QOMwYKV2-=g~Xf*D0ml$B>ET;#T{5uNh(%R04h*VoKm zefke~^ZEI_I|J^f_3rln{9)z3C5!Ezy-(Sa>%wYrOUm)`mK`$%7Cx8P(wHyhI`!0w zzxvBtf873hCw0P<&whtYn^Jx|HAG2lvb}tryIWbD`O3!yyDG14o-<3~OwKQ<_xF3; zl-b*w7ro!SVXhrp>IG>x^)}<$9`#n+<{S5<))>{#iB*(X^=ygcPw(URed~TqzxaHG z@BOIF7VO*+EaLNuX4Lsfs~lkxzOd(c`6icS_XzhzPF1l}KkVako_+S%?~-TPd!O}- znR6ZMyPN#j<?1QJ<qxg57|%{}ES$V^S@Wv-`G2JLy!N{*v!<rFIc4D-OP{SjjI;Xx zN%0DQ_qSSjwxz+b(Qu>g?xG0&JI{*VHEzD0bDQzVk1zw-rJjE5yRO{7{^Q|>v?ak> z73{g52{YIZX*f3BSgT?3cYaPx>V7Wco^AUwrqz61b7=R)JtxF=eVe!EmoisX`7~Ak z)qC6XWxLmWF=>sR`YrgX^X6G?3FjUtzl(gi@6WE}{qGt-T(9o_FJvaI%bl7fxTvUj z)y%hc>y-T0+z8oR6S4SkaP*1#K1oygYYAt0Dmx^eF^D&cL}^@@_w#=4+jVh2uN*s{ zbNu5$UG}{C1P9F>ztpC(&n~$hcl}8B*#qT27!!Y${ko`pQq0t4@=Y1rZxibb_}6qa zMgMtiq3~uCLs0e&*1$l6WeVb~8#Ydxdgf3{-2K9nyBE!V>(=QzGxkvCorx{}_3gs4 z(x-M;1<vL>-?QV}Y>Sl-CBhrx8_XsytPm8FyWuGskT_}kvMn?9+P43FIQweM!xe`f zZHU%5Wqi7->Fex@1U;E^<-(yyvMR-nsWAJ<aR>IFe%9b)s%~(mwkA92W^2j)d5>BB zH-DE(j9`6xZB1^~%gKkf=FJLCJ##`dU()3A<~1uk3N%j$^sdlZ!@%YozNXPdbyw+r zH#KLz%niC>soq=9Z@A1T-5c1!_hb*N?K9b@879rIa(jjQfB9%EKIFyUp6&H_{=0Xq z@22PQiW|=nm*FhyKM;K7yWV`SsOau_bM|_~3ojJQPKw+n(e`V_S2g*21?5LrLLYuq z{>u3N{L_H{iz1l38J|}#uBqN7a<x?c^5Hn9$zjKY4|gqi`1eu4MhpLi34LaQaluS8 z_}mts<u{S#UK)N@rPs$tf6dI<m+C$9{5}1R*Sm8)oz{_O6R$f}Vb!Tj^*2wxA1Y7F z-jr}<rscWVwI|xY{;vA6#ZqZ|?Q~Z=(Hwh?lK(3@QWu<`Cf)h(NwJ!Q*{gf&CzvfV z;oP=sy-(<|_ygOMlALB-;H=?%btG%bvtupKmY%m;c1a@RvEN>^jr+E|zL$U3?Q@vm z>;v74?~3h;p6I^u=-2!GuhTLlOeU`nd&nVOr1O5G$5E~eIg2d=-tG5uvKH$)T)*?) zLvfW`e|yg}?S8O0X3z4F!#{et-gF*TF&CA)shc^u_Dh+@N`|JF_Ahi)E@zka-{`&} zb#+n9%)|Q_oReajyM8{l_^2SfzpygVp84f_>j(EZZrFW2^YUeeu6t~0gUSyvrUfh= zH$7GsIL@$%oz1Or-Rk!BtndX(4W<g;?$+~Xwq5d?(RpFT5sB&YFSKR#3^Ew^MO>*+ z_;@>KV$k1<ChA`fUw`{h`)k;1`S0FsllJeD&Q<Z)Vw7!uZPJ<9ZWq^oU=hnc_fB_e z?fsyv<?`=e+bxov){^C4Z+k)M(=v^whjV#j&NEMb&hhN#`5o78brkJh(5@`UmQcp_ z`j~o>fnr4NB+g~Yv-9t4X*T!NmN2wtS9EaoG~39=pDS}$reW&&I^UOTm(28EAyT^J zRM``5zY8a)NH5u1`f=$;_eVB6%;%S;>c4r!qx|pXq^2b?qI;|MT~B+NfAOmNif@}Q zs=L+Xu})Jvd3t{GN4p*0L)Rt+?fIwnE!#l!s#B-o)bJQB;VVvYn`*+8Z2j`K-j@D; z^ryC_>gj*&Ez{5Vls}psqFsDZY|gr67mal<vt(BMVYv6@>zT<pUX^>VpG!!M<Kmxs z#^%@UC80N~E@WQ|zG-Klx_^1^q1PL?#7Kw)*^2-D*xIbLV~@Q_SuRi6Zg&61%3sS* zPt)Uk^roQxZ=g~}-LE^2{7eT<ri2;=9rs-lI^|u=5_P$6n=*oKJc_h<U$Its*|(@I z0%DJ}i>@tn^*HjQ^Xb2(zaFwB|9|$l;oavQcMF@mqIvC;U)K6xo)S6j|69GXmF23= znGxA+Zy79pMapHrGJJT!c%it=(-S{#zA#_%teAsizKv+(?CrM8=SrOOo!yYAYwSMl z&-$KcfyysFyem=qWWM_K7w?XWtEWPng3V?vzP(x9EqrtB;<FtgDbxI3O_%-G_+sjZ z2tSE6b4zVk@rySa|Ge(@<?jKnr!CGM(<W~D<5GTfs>a3hr?&}o9&JA(#F{kyZrKxI zkEE?P`5cQsuj43Uc*(INL&!kv&slk<Bf@W7d|w{jq<6?y-S+yEmtj+c7N%BAyU8!| z{CjstT~Dg|(IkuWJ=dST*p_=w_{icP67pB7?={&p9*})6n0Jn?VqM}TMY(hf!(&#H zn}7JM{oVREu!rB(;&0`%^9y2<e_cHz>M^x1@M1&mvyGb*-UMDsfAQOLxye#jOUZVZ zSQ)b)A`Cx8UrpcF&LKYY=%X^-g_r8)GYHMI7Opj#&^fc%O)|55rES)Uu18B_{up=8 zaa|o|w8c~Amf?f44B3Y6_-pt4i=C9V+}k;G@2)8#AN(UH%Q*F}c3&UKX|{Cw9L5jF z^%@H{uv*sdn-tVov)}Ss%Z_<>wVaslPFQn9N4@-cyx6SC?H}xe8P-3!c2L{bZ6c>} z$Hp!0!J6%JCKj<KO<V9!(RtaW={tAWPMqv{*vZUeLoNUPPvZX~cCKC`lv|LlAd#Ir zH7NJ)gW4a`^(vYb^?DO6^Ap^AL+2fJt`+Y4CRAeSQ7bJL#`R<461nfwuZaKE`K0u4 z-?he2McM1WkM3P=oN=V$e(Vo676ylwu7cVAs&=M_4Nlryed4-xk^f8XGR;FuytA4Q zq#v(tH<FmMQry)o>BmdQ&{oE$6ZqWrU9f6@F<t)Ajt$%2q&77id$u6-?cT!&771uP zs9K>OzaZuD*@+oGQ$#-Ui%fcRUP9j5^pVWo1>gQu&#>T0PS22k#%HnhmdVit(-;(U z@*nP8+Iz5m>wjN~HTQQ(>u}pQuaj}8EB(CUp~eZ9j`Z7hNirIz^LJj8d;To#N2hdD z!HG+rnR@R^S$<7cWoVb;xiH7dz~tEVkargAHXo`B?7J`OHY=}s=OWWLZw({kR3<$- z^Ls*En{x8~-#mw{O%nE}ACZ`IO!n==B}J!xm-<YNbx!+s=!b>7@EwmRwgk1`Pb=d- zupbb<{kBuc|L3ipMu$%ReI7n@r)W6;3@4+izJn#p(zfju`eE3urxvCdrg4AXN^z50 zX<q_W5?@tpn7S#({)UR$6}i*%KF$hr_xLFkJo8@4w+-j|e+qoB`L@3P@*DT#b){P@ zLv=)tS>#D>4r$#uIpE<^CEJ(f%Z~+FzMSN=RNi~{6#F9{5h8WG3j=J^TfFL6Ry1rD zd9MF*W5&*VyU%@EQ}DxF@NErC*PA09oV;beyC1jK?>DW=WtfuFI^C-^N4uS){M|gM z`{fQ?6B1AAul|xT`?v_#ahI~+i^EFZf7x^4>vJEO_?%5`p7NEOB=p;j1dmv!Cvt8M zkKe>_BIudt*FVoM@%($h)37R~G5zyNgGiI*?=LSZ-*kP#-h4IAwHCoO*H<rD=2P_j z7VoCOHJ{dOa7pTqO1)lY!PWe{e%-5s3v=(^?VVd5(XgIbKh{9&&0<cwW!1kY$_r?> zu}qp->=aa`(WiHROOf(|&=M6tuX&p{zRP1b-+O&~%>w6LHfJLiO`XA|)Gph1;&;o2 z2M_j5zS4E1_`@o8>0ioP`SPZ*O0q`l?y^qSy&5QU#(1~Jv59um{@Db7^l&)OJk#!0 zt<xMIgMWJtZLazH!Qhi~_2kI@Xroz{HJ^Mh9_xu*{;P9?-lUxd4+{1w`kJ%75)*w^ z#AG{BZR+VWd4;=m{<Y6``K0W#DRJ_}jM}x&+0)eA-t089zHl~h{^#mNXZI;DxvS@O zz4U6r|IO~t!xr4NV_cMJ{xs=c8hc5(c(YHURrJja0ltJEpZ~XQy2v-RY;N(Ry-8f{ z-_E|W3;D=Y?0-VdV4^Oo%sj`wdz;_hPn{%RZyRy?L|q2Q%GNzk>OX7oNy)V{Dz16k z7<1%=%e)D?p=X{yo>29l^Yn*jaRFavZe;&n=x<$lhI^WwrB&gygY{=BA{mo6zFlD> zx=E#V$#VIMH><>(cdgW&FV&NltGQt@uWY5Yr>9CotEI~WsotX(%RlXSwnFK^@*Q^3 z(=r$qt>zQ<dT@H{UHeC-CzD#7doSz$Xfipb-E?`ggTU|GR}MT@cXgk|Ve$K@>$@O* zrW^Sjr$q|3i~kJ2vem>Zo59uDV?%D^mOX1Xd}#XfX%|1sI(vWF@}_UU)H$Yx&HFyh zq4$*X_Q#QoN7wARvf}@~$@^6~cn|WO6={C9SnZV64S~N*B_|lno-I2Nuw@1R_iGs~ z=g+B4s=L|r&+}pUp6<715?ktL#a{pPfAZFgAv-m^STiQso2=9JYPA#g)Y|1{bZc2h z><b2e&qO(i`t!=u<+oY=of`CZ#q)cCN7C+l)RY8O?VCO8j+nTZllq~WnOpZfeH;8B zU&ii~zG1;L&3+rX16~<-zJK_TZS(JsdfnvNQ%>yPeWI#g;$6gE<@>8b6QfxKZR3od z&(@pta!$k|sW`LGmv6S`*D$$mox#26?91c(SSE!h`CR-`m*CGSrk?cQ_Ue>5J3_cB z*&ehVSjM==`B<-c(ow0UsSE8K<qEscUf_?|@Yb5G<Cc7&_=Ke$9+8{B7i29ne>Q95 zqHnuS6}ui1o43tSXlDM$DZYOM&m@*9^6)ozf0vHrz0!Cur&6isMT*&GlQpx~uH5Y* zvGIQL!^T<1UMamhIp?3z?-QT4L|$AeGTFuI`1kncy|dZGmoopA)|+<n{r`nJSsD*N zEuORSn###TYLhdcMsJK;IAglSU(T63wlh}Q{JH#Qa>A#RJ0HGT>h|ft%xwls-3+w$ zGPmtDsp;wa*TP`+U*vA)OSiL<H+RJCcd*;ECow%F<%Zz%es10sw^Q5Q<<F&N9CQxZ z;oDMu!0mGklOu<Gc<+^0PMLaV%jaLQ$zBq_eQLPh(jtwEmpL>-yAAew=H774-92^3 zc^zr97v7JS@wVJGHxB(X&$rnmx#8l<<<2)Pen0MM>I&kod3yQ(2JHom(=~tjwCdD` z&!7DH&ayDUV=5C$%69i17r3}(%F)itk&#<|8H*fi3jUwa_op_fm~(OYH1^4>KfRgZ z`7*V>G--3mBqmPv&P1*Cm6De$<aY*HdGE`Ap7mC~JpX*}RcW>bT}H95+ZV4AyJoqd zMELX7vaJuQ8TM3WpNc*eDBM?HQ}?dZJb<OUDeivEI*(JO?suNN3Koy$+9b{Dw(h^B z{^iMUmU(Tl<+0{T-Dmq>s-{r!Uso%8u~pqHp*2^IZJp6vek@+y`(=Q8!piBTe?HCH zelA^Ge&NBi<<GS0&(58AvBRuu&HX*cnZ6`x1Y2Ek;aWd4YRx*nB@;NFwOTfwwv-Zy zvOC`q8pNAl%aahfx_g0u4eL9*6UG}C#6HxiG?-gZ^!oexxL&W__m%{2yTvhIcCvoY z*4Zw}VpT!qhgptr&FGu6=w9RFmCGLPTDh9-Rcp?E^M;ao#>O6Qd+sy8oTsU@FgvtN zmdsb$XU51VoB1yI_W`-r$G8q@G(Yd1*xuJVchRG8$8C0(JSQj4Sm3&3w{!QaZ##_a zSFfm)lW>Wi<-S4x>d$81>(i<Ze!9K)&yr86MK$X8yH$FB+!1KXWe%5jU2LKI)c^dy zt{4u#c!uXJZqiSaWZiB>sj43r^=Z@>J8Kj5uJUr;zj9%X<N6=to_;CzIOKHJU$eTS zM>z1>)|tP5FEL)~ef9mfprw}fTX)|Iv^nH{EFre@X1&8Hliw24h0l33>1;gKS7H>m z`wG*NipRC*r#_Z$;|@rCCeW-Br}_A>$daVF&U$y{?;gAQ_rir4PwPUC=sLaIQ+dSp z@B24g`dRhQzN|_Qowv_#QFMWq%nF&{G)DE0&pDO_njM+8>i^@$v&GE8MK@+tPP+Q6 zxMHG2*w33keAr@le%<iGd94idQb#T4XIw9D{ZNRXvB_0uhoSBA?N=Cin==|*=O(0Y z3DsvzUuCl<uq37K$sTw2+?jXw$pxnGb(r_meOK+0om;nMv`Caad;ag$r=QZt_iHay ze|zUor8Fa}MS|On=PZtk9KPLB`Fbsc{~`Ybp<~tRtWWl(SRMW%RlnG1=R#GF>%|&= z>VB8(+nZZO3Y~&oSKMLTHdS6bJnh2z*3%2@?4KM?pQ#-?T}1e<Q24DU(fMMP4w2_~ zA79_HKCo?B-@yQfaPt(M#aX{CHq7w9esp&1jdsau4$sEv*^c`ch|N6CF0;8b>0(B* zad_gb|0|m!CRlyGq5A8yI<rzy-#VSG>!+*<p3N-TY3a$EknFKGE<xda|1U<#MUObt zvKvHVPG4M;a9HZ@4~Ab;nuQPi-<u+@dQPA`>Ahgs)K4?}dN(ztEn1a)W6jm2{MWZ! zUtxFY!m?uzta<-P&Dps(=H21kr^nCt-7TFIaY;4p$D9`%?Y|w{+hUV&Q@&Mi?Xt+w z&U!AtYt~mzAN>39;{LndRjR*tB~@K5$~vWMY+&f+y!1{r^ZIul3*B?fm+X3WN99K} ze_G)FM{2uQemDOh_iF9dVxhHPPnGN{mebgut?A=`vb5NXIX|^GC4t#h$BAq09X9={ z$M5wno$&Gfv2@9%8IBdLXHTw4a=Slo`~14P9D}ESC64nvdEzAQ7|5sf=g_`us}fi2 zI>Du(mBw)I)1tV&%VwKA>Ux^}_?dLDfr`-9-~S}9Jo&iv$cZQH9bw9^8a{?R-dWPC z($UrZ)kjLUnUnvGxI<NQaM9b16M5&{X6AZv{QRx|7k;JMw|z5Ib9U4$U$y04e$k#B zhc@Bo=WcD=W~G;x=J7i0sbQy*`sbb8s(~WMy0!i^_j9{+NUJOF$o-q<)x2(g=L2KG zhzn7w63V-J+_O|=KL->`-gBDE{q<qeM$->ElQyeJbM_ry_}bf`c!KBC3suvczrPi| z^z4P$u@423;`ZrD_hnTx+FjmX_?7Rq{2cdY+p=5xQ_pZ$9Dl1^eEs|ME!k_un@xEx zx%CP1WT@=XdV8TUt0hUy?`-}~zBH~}$vunY{#Nk@P4EnI$p0SbB=_TZi<W)8Y&C01 zuiQHBoto1`1Z=PJA3xArr6gIvqcXFt==!{8PdfCv59PdnKY8YLCD#w1)#7*lVYhhB zTrPf1=W^Vt`t@h8*(X)WKe8)3XgH(l_04zjr#L@&Pivc1wkt(-=SdmHk2|Cvi!WaB z%sB7OW%ZgxzijlcRUALxeSgIxR+Y*7iY<HG-yHm`vuFOt)8;SjD;IzIn3c56_wP@J zt5&J$$0OISKX>5t=_}c@gAPj{+%0J{b6df^C0ake<O>x}efC-Xx!U2>Cvq-3*K5v| z6j>M58uisc`}DkNSB@>dW-YqmqU4@XKDNeom6vaCh2LGO%(=<LY@sSwixod(bGM7B zkl7@Ql80NwMUpQiDHYjsm+azhT&%t9a)EcsqIp+eM$K$w?O8T)!=i|m3EyrvR4&d< z^Qmw3uH0_zle6*t%C$e9l?6_j`R8QtUX|@T)J4|n-JBL^^RLDwt9Ofv#$t7&7gL-L zb2M}RzWJp@P1j<*>s6oMysyjUVmi)D3~mf$=j<19PW$M#Rj0Gy*b-ZFwbQ?(@;a0_ z0v20l8P1z{;o#lAPrNnfv|b0?*7mcOT$@#YIVzv~1y^+ItH3>1r||CAOmIHZB^5Vm z=SS(kaSfB6t?zwtVOun#UU=pEU4Kpb9*0_J1VnUMe7Km<pS<<{>2wd}mi2ju-Ytz| zEngD+t?Zfajrcv6F8kWm&hce<o+jCxse0)NU)Pz5H*cMlcRcm|^wCRqm^6#(eDZd@ zZqz&5Bg3=y^FP-^MU00{tT-JDlEUKUm^3v@Cq4?joVoeVx};Y@i_gpw?AWjSXo=4H zCu<g*=GlIDL!(rB!Lvz$zqh3_c6^!l@#Xw!EFLR!zH2ubyGJpxzV}`7a~-2D1H-N? z?;Rn5vs4%Or|hbg&@4K*SGmLeo4&wy>u0WEAs@MR?()6#Jo;4}k8|n?kuSG)$M<j~ zt_x99bar8$d*sRLM`|nIRQhgQ`ao3Yz?a|+C$6t|TFm`h_M5Z5Lzq#>q95X)l27U= zT#tz7X_ZrouzlgETE!W<ZGOu03<sMPid7NauSAR|EsOS;Q{%aHW2VcgNxPn!&0IF` z{`N0VuS;27F}He9#&-Q>^9GrHzcXjIAO6FWuiDNOxb27}4{wdm%60AqRZP#m9Cxa_ zJk7R0Z+!<#+-$D;8t+LSH}+X699iUXW$o6059(XDW*KeBo>g`EWRFS6Td{SHkKdHB zw7pbGjFwT$KYiOsr+U*g%ZV%QUh{}p{aEeG`vuoK=cW79X;yz*#eB}sMxV9zg2$Km z@Jc3w+l$uyihpceYM;#aiCNV$Ag)Xz{^z+HUz?KoYfJ<BPk5J4`?Iz1bXq&l1J|c= z)m}4l9!Bjn+B&W7!0H0da-rZu=1iYYy__s1lcM`MzJ6Y5rQ4cA_gS2C@6MXOrzbIQ zI^)y4KbEH3qZl05Us>0Fd6$Dtw6dq0ifWzFgxYYGs6+APMZd4ku(f+L`;U2c$IRq( zo`9#{eLtB_yeD(ND!r=l!=mfm0&~whEqLdBF{Cp8^tm5CdJk8=tK8H(!>u}0-|5lh z5?=ja#j?8}WTpu3N?TI?py-`v-}0McTOZeHUwKe?a9wYs!-`YNr;hx%_3e~iliST_ zR=Qu0a4rshkjfpHvUdKFjDmei)h^agq!kW1ESSB%U8eu9<{!i9`rKBBIE7-Dnh89x zeDur6DD9%<+orSbsywUq7$1-H33G0}$`<;tM&rd1YetPJCoakL?fM$t^it;ii`_5M zIT&_d`MTodKDiaTwfn<sH79fD%gQAlJRj_DAbL<&@bh1zJ)BiqAMG=o+Sl!Pv&VNA z|EXYpm)N`VB7Ir&#qzIg+wM~8v?jE50;ghVn#aNy`&gI#jxhZ6a%S{Z+e`f>qRamU zI2H?vsSAE~`eN)A_Cu=t?pvw(y~_%cUaEXp^SH>SEj(JwXq)<_4SN!|r2ZBE^vC$> zN&b%)gbi;_P+{3V_f1q%(f4!g3{Per)+iA);CsF;f7i$9JEQad%0EhUiI(D;zv+qM zdFK|V5EJH!ACDe7U@Um%+{=q5Z{*Ta{!itMxoH>G^JCGHMYqnJ;@J@p{NDY;+H#%F zxNW-PhgqNap0u94^XAKqZT~Gyr(Mrgc9V|SeyHy26gAm-GLy4%!cs$Jf5f$)3|E?C zKGos3+r<d89UpF8KL1O{*T7Urus6^(nU}3={SuFl){odXweRwMWFyvY)F5`Z;J}V| zyQ76>U0t+i*S8D%H!q&KIMH{Rdt8>{q(xgrrI^d>t{3IR-3!$_#NOe3O5odDtF-t} zTI|`oCTpMjq^U2y^3o#*r_-G`GNr@sM&FXp*_L=(&i+==nT+CVhvn0@Eth6)(_GrW zM)`m5v?J~2JAxmmdVNiiUU$PRZ1;QHV|zHh^{o;1y{K4Mn<;ke_%#W`xva`s{_oo# zt=@J2mTZd9(PyglpTsrWZ>SqBxV3rB&!~S>6s}yE!f`xE_i3+11h;HO(95=coE6rQ zC$>vGYI`d<Cw2Croj(-(^k4h-Bn9Qn7u)@#sWmxK?Rv_zTBn0%374z;{Oy9?_9&dH zmC9MUkI$!)+1k#9E1juJrER|To(+dN|7_`BY@3}ad6>B>TmF>g7yjO8QEp9fiL8&# z`3GzxbyN=={*-NgrT*ScVN1ESW`3X2d&Bl<-g?0rwYY{`^xCu7zkXh#<yp<@T>o~T z%wcUdO#GF*=ZRjJZlG}Q7VhN>U;pep)$_`XU-H+V>yd_eg*A_4U0yf73vSer_Iqd& z{v`3Pqpx4wj*g~9d#*iP6{K_4wo~lw5}n>;*)!JsKc|%)UR1X#?SA3q_g0t0TzY%` z+Gl>_lHHQ|!qo4{wK~?hPG?VbGrZxAHu9U>>}czI>4TGjxY-h4L+`EA6z8i%e|jer zm*l<jxJ2^p6w$ds{f`vh>QC;yc}ODrPEybs&%>vF7k$=y{_nHH;)4hCcO9>h@pNE1 zwt%N6{IJcdw=?tJaDQIkqoTCwnv>uy)$MjZFDwrKJmBxVpTGUqvr>tbhuBs={hArB z&g}PNs#MbB1jX6c<=ebw==KC2pC*|dr=eba=DA+LRwkzWo4*atDlD9?$XT|7KYpT6 z<F0Q~rmkA05?OO>^NhE&@P4(O*nQ#INfnFpYb#WX_If<-oYJlFfXUZW_3{nxoJv{s z_^O^II}R-2fAGfiK_ADFCo^(&|GDlJp1na>vC6u?-MV~wd-If+lMRHpgAY7=xG}-_ zLdNfV=bW}o2|AUS&}+E9CChxX^c(#HYyPFBEC2d><CJ#G#q1gU8x?v>w%O^sy>w!U zzpryc`;v9s$vc0tjc)y{u6;D&e#on&0<oazA1`|TIQcF5$6}k)BA9-=t*YAoH|I(- zHj8QY9)_oC=AXI1_AYzpS1W_nzKOm69A+Q*xb|)S)xRGvy9q^1I$TupE=i!t>-jfd z*4OKAUzBP-95yj<-*!Ka1uD~Bdv28db~8A1r^PZ|dzH(9)-~aYhi7f<J6hMcUgcLq zTzJ8iNi&L0{?hyye537X@X7hh_r4R*h!^cEvEZGoqP_EG@MP7eWv!AIlC*Yxy^+(o zSXG7f`I1Lb7EPC(mmYuJ?(90D$LW$VZ;Z2W;gmhT5|N2Hsb?du+I(tP+dPvqsJ?Y) zWa+G={K>I#lTYp|mW_M*VZ-6b6!uQroXkxBh4C&=ead)Ge)(j&@5Alhc+=;yf0q6# ztO&^Z*jm*sc<2VFW~{ib8{4hi<6l|p>zHd+)w`!HKDSe7s<MaF@!pwh-Y{$OZaFd| zD&Xl`^%veUMpG-;uXXkPVPeYNT4Ru$-N5?8v}$2Z!m6!@-ns|wD>|!eefs>Wx$ZxG zA}bygGKf07+VA?n^w4_~UykO)8E5DGKVAIv@UP6Py-jKVuFhZm^Ld7V#@gsJ@^{vI z>W0;;Uv8N4HThD4_f2()OSb=HH8PqDCN3AKe4LcjShwKB&Ge6a^W%Ri-d-Fx>&<-b z#OB>L9UpEyTR%Ve(@agN=2D-N^QL9<>f22F^5C3Z!P7{C>=*m~T14NJd9K_N@vN?+ ze$&+Ng_oRmSxE`&mOC`_D1NT-vVU7{HaDSX&chVRqzMNmvD&!oR2AaA(4VOAn{CZI zxp(tlZ9RYWmc4pcZ@S~DpT2h-Q@<ZpOnkcc@f;U!i)Bg;F+DqS=lZ_N>v<trwd>SP z>EJ5@&z`57#GPT}Gkj${l`XgUwAmTaeK{F?QZjlA+hc`(?NX3Dn$7Di`~20dZxNad zYqYgu#KT^(UubS<D{j%`&|ECh)G}MT*D&+Lgil6APbG5v-<>`mz!v#m`2XrD`)5^m zoO?gncG0Kk&Pn%`4>5d}{BZW1%lZC|6|)`uulp@jXPp#(wAa_T$XtL!F8|I_&--&- zE8ci~QCRZsRN1kpD_3`|KP+o>A<{_l;td6xY5u=A{m$@uTEhNNX4XB!XpwzyHG_X1 z*zEFsvb*{JJ-677ZvK?7B%B~3w9{_pyc$>M*9=Q8Wf`B{?q|0@=Kb!k+m7%Co=uic z-|oZ_rP$ePoTsJpQQ}zbnxyEE+-r4b_bz8P+9weDw&4GV*}Tz4fi@Egc0OG5AnxE5 z)~AmbpU~%0Ti#r7_iekdu_52J45ok^Ra@sR=2&+jh>z*X!pgf=Z0C2MI@)){exB8e zWj@=i3ief$Uz)C-w1Tf{znJ;Q8O);RHm4^Y*IFWQWb*5-D>iF1&b)B^e4Y7pMe_}> z;+N-lH}G*pmEVe+cBB6Be6E9?cXQVYW*4^?>A$>bnv^v?d3N9vhv$o5NPd1|5j=PK z%o)=yS8cdDW$uK4$2qk!$DaL5pJlP(fYHVCZd=RmCN7)#uGU3!rLTaSape9tO<6&g zW=^hdKgk`JbYy$Z$BsWIrcGUS<@(C|ylQS!mp_kUxqpD~;%Uw4hAnZa8gn?Cjo7m< zY;jw%YIT_nOOM7%-Z}Q0Z2p->?VQu`Y6FMQN1at?ost5tbsvs@dnY8j>94~6gB803 zP28Dn_<F>f&Y9m$SN`D{d&|B#X`O=hgw)1hwy@dqyUpx0-_6KPoLA|WS1xmS*_4)c z`<<JPA98Tmcu{-33y-dgTwjfkvPP9-@;v(p9c9&zfBsFpA2zq{kD*`mWSK)h?M@v_ zVQ$!2WOjO5t%;IINNMpKb_V^wtR03Q_uZO0`BVI~Ww#ev<`)-lQ?V^lPPt&oY_Rgy z#mtWQ4Ilp){K<{xGA-b)Tbs|?ArPEdJ!$2$yw$67dJ<HR8T_0)uVTi9J(cGg3v5J8 zU*G-Yo1MZt`M%N?&*e$ZyXt?2v)^ahKJ!hX=6lC2Q;#u=^6*aG60Osakf;0aVuEz$ z_uE>_H4l8RE6Uyai|v}Tsz$1(9oH@O|4();k#xQI+GeYywQc_E>lgA*$*MbF{n5YO zqopyP>D{*sd#2=H8=mL9p0?`B(}!1<C@#BInS8=&M$P^CT!)%=p4if^C_C|>%R8pk z>ub8ir!yMN|6k*IQR?Pzr6^fG#tC(a-!s=Mo}Kb(;gPxhGN*;PyuPpKY&X;2ctH5i zL%VnXnRgtXS+93nlCjwLviZp<Y2zvLEFGWJEpogwA$m((+>Wh=FSsWeUen2CJ^IB` zq3p~BcdG;Z-JY#7(+Z`NYxn+nXL4!HuZzixR&VhS-I#nd=Y+ZQUf#yrEOS=pnf`ly zUinty1M&Nc9MPe3*GV|<uXuEJm*%n=p;cDLEdIK1FPS{oU}cEr!&J`d>C5!%RYm6T zpE#X1FFWO{wUuDX|Go>0x%v(?sJ+l#y+v_G<KllDIsZhJ?r!#e{-=7o|MEknbCw=^ zyT0p}X*rXs?99a(|JPsR)aT%eW8Iy%{o--2nJd5Vx2egnpJTl+`Kz<1W^!Hk>Mb*i z8++WnS!1g?X8gSB`G0<Un#6)*_su--oSS*#cd+LE`+aXh4L^vk^;gLL&wAqIx}|&a zULBlO^lIXLw}VFC9;BX`@wU-ylgM6q@i&aW&zWVetX%PAnw{eMwKCl^V;5at@MCFd zYqjU?1FAvc)=%a>pODviOzG&kg{jgGzS9lnUKM|GW!_uGHJ^;88k~R6qZ9pk$!~iJ zm9%a10xojR`=k2*dF8G%%!_pAY8&@vGEZ|md_E{;<0PX@Id`@V!9jT&I)1-tbk8}i zDETvgcGJRTFIQZb>}tGNX7KRObw{afDlc8EPI{|F?3|Ow!tCWOTvlcM>7C!+&G)wR z&W{bfJn>?}{jJ_7KYiV$v$HW<MTzw?Q;l=o+*ejyoT|rWw?E(Tq_V>9)uQY}N0!`3 zt&P#l@|-QA_0ajD+=)Nj%^%h^KfJ@dUR)zR>-_H11$V6cWcf}+Z#s9f`kxG+M!-CQ znG^ne%>S^eaFdYIc9A)2+#Lnw6rzo)!o((&>s;R>v-JCx_HQ{yEh-yz)|bxTz`p3? zv`&A|_tjO|GZuX_JAYDK^izeA#jf)%GdA3P!)Nfc;G;t`iwNI4f3H-xV6*aP1~+cc z61BK$(yrE69qOc7R>1a$@7kox|9RC8s888rdP;dh$2<G}3va%E?(WNX+vO}C;@6~M zeQtte=5zm=b<vrnmc^PLT%KI@A^&GMH<o^zZL(`mI(z!kzfxHf+9zDnF8Q$P_mkN+ zj(h75?TdX=pUW6O%WBF#u3tZny^5YCyXNCOy~;~bz1gdhuTEJyX*OS%z?Z(6uA%O= zUoKfZ<$ZU`*wAhJdZRV}^p`H5v0vkK_tJ-qwHM|;j{RG8bD03gjZcYYa$?4^tncqe zFsesBl3@ShASrS4-6V(qX99nHWC_S#|3`UZn9H4jqT|B%f3EI*_rG8--&590@w?w1 zRG24q^3#sHe0k5y>X*zt^e1OB*R~(07x-{o)LPb&z{7Mx!!)>4#c#4%^Nj{>g&j64 zzRmY}^Y7^I31w?6g%mTF>oTw5v`YJGw0U#D`Ly}5xA-6ad-UwvzjY<NFU{{;ox64Z zSEytCIjMyWL1A01_huiHKeynQ(ASp6wd!v58?s~<T80L$*4en+X4Pr2W2_}HVb6EG z-=I(tVevz3+3ic-&by+%@E%;%R`q4~i@3DPqRVrZf5^YR)$HkQ&Fu=^57LdNlt-I{ zxJRT3RAjD74b!_W{7%wYQcG>|=W329r`{V1IiJp6DxMfJ_sJ{%l9UI$k^%-=KhAZ8 z%1*oW<m}~JWwjEM8q2dP*DZ16Y<Mdd)oQxjZsXSq#SWqFpG&@93urhZoN(;xf*DQ+ z+{2c(`&6~BzjA-UZToeS4`Tjp<*KN4Zn<t*_Q+pG)Ox~op75NV`x#m7S--Q2-(11> z$*E$O*@8JLiac}c#dmHoS?xM8uCRMwGWRm!WOb%_CmfDln0Mv3P5Qqj`u{R2R@pte zpdN5wTiB#WQZjaH=e=fD_4T{x!ge;-q)Gjdg6F|d<uCm&-hWG)xZ%f-$J3Kv8LVJv z`MGBOr=QuHpM8y9o)lFvN_^6BNaW+g>)(&@dQ9<%vXZQLeBf#AwBPSq&7z`8R&Fg^ zSbQzuT78Oqo9_>$NrejByk`%ba4WUCuys~Jsdf3y&Qy`y$ucV<YmQr*M9R&c-0@4d zVd3_#TQ_@M4Y|5MhD*kBldEp>YmXq7@=yIzF7sb6yJiq;`Ei1TfM=H6_WAl#WWpE{ zFWnHBvb7_)bxO%G?%)6C-<0F{;x<?F`lt1pmroyZNiJJ(xA*7&`324oPDuP_^DF<_ zG4t5<z*$wY-%7V=Tm76NefNK1f`~JpNk%}o%=zl#MRuF=erh@GxutTy`0&=Zor;qk z<j(g6`)x_c|H3$TTjF<V$8EZsX7>F#sLir{fxvfOuizWMR5o7aVzE-y<XMnbq4suj z%(ispcQ2%DR;?^++v@z+F{$rHy)5gE+mlvAJTqHa?SHVL&n7#(uz!Pc;F4+YY&7Z_ z4g~eide+;Vvoke){-Tzor53v?qn+2M9MjcV@^_wJ^5=FD?^ko9HCdikCahSW!*ot@ zb?9cji;MXeUC_S0LRq!z76V(LWB<3`yLvLBG<Gj{XMXg;e%s@)vcl?|$Z3D8IP$eK zpBPX5d@9Dk{DV|berNRa#MfF=)9q6$w%X=SGqXEU^Jc@$Mb4c<(GgDX)*W_Sbn)WK z6?fJ5AHEfoeLy_ud53!6#MdE}%I!seBNDy@Uk_YT&CL1Hc5m$l8%0Z-ZcqPhRYo)8 z*W5Auf96kp`}=tdj!irI@T%hNh3k8)N{;AcmmV-n);zMKNmb(hd(+7=UkmuFqqltF zSZDd{c`?U9W95Q>izX><VeIR;#dycAZx;8NzVHRp+ShtsW}Ty>_Mg3}_Fznhx!ZKP ziQ&bYrphepZ(REMk~!P++2wzaXU=8Xkdpg8X@gKg&y~5c{f89a9FQ!k&~H)x?srVH z^D~cJrrNqiIqURzI_eS^nYjj+_O|=|-@4#SJ-g%1qZUoK{`|Td=Bgd|{`-FB6AS(> z*I9Q`CgAeSe=>z15;;Xb3M^Tstv&nuhB$BT-hbBwCnyvq9Goyul`rRft{Zo-qVLQz z$K(xigjsf-D=<E^Xy%y<zAH5MG_mZs=6CDp>xFgQjZK>a&maC;xNU8QXz2v4tC#kL z+DuQ`+{TtsS@X+NZ2u<TPR_@!oz4d)JG^G@xjW<LV*b7tXJ<QJbb1~Cs8RQwh5y&s zy&JC?rrL!y$Ej*A{8!ud;`e9GT^p;op53x^`+8#5<^H_T_1r~rC#0?2cBmbc<_Wu= z?pATh;cC9!OZn-ZcTIIv3vbPEP>|PZXk7P9?c;vawBD@(OrG|;^Q=DF8SXP<x9T~; z`q*T>)7=GcZ<oDW$zR{`_n-Xx1<ab)C)}88K7liHy5;;P`_sz1_SlMiV2^+8XQX_( zcV1hOf&IPz4l{P<y-|Dge@7zc)PoCod<r)FO1?bPWh2A<<7Z6lYc*bexy2TdTC(hU zj<C+sQ|mPfmeknXJW}4+EmZy1Yj0kM@WZw<anrV4_uHY&p1vXO@ILOt4K>^2{~lR% zYT{dEGvgJ11SYELi*CCyYnuImiLam3YnVs8w?62#G;i-Rm7AYcj;gx{ud6Sq@ylq) zKJmeJtDdr3J?HVWd&9p!*qtk|NPSH#*U7_bn}X`E$GpjPwSV@b?!3S6>pd6GZOZF> zwejM^w{PDsaw*;ERXKh8jVt})=MKCOjh{W`sA~9;A|Ju6*$hf7ysk~L6>^sYgshkN z%;0N|)%&7To6&w};bWu9Eq8dccvF>L7P<WLoT20DKBIGO!IbbD;meCpwFVdRJwKMP zjZu_!tAeX$%l3M|8DE#iHwtq;+|aJn@%P3bHI+qt`*s^oD&N0Rm}U1YFMXeTw=!?; zUUxXTZs&TYM|UT6JrI5yTwrih>Sn?D_o6ayo~0j4HF?MoU%a{BeA$~90?KK^yo}T1 z-!v~fq@p$P`GoC1CM8Ty?=;n%&2ZSdW%mB^t-ofm#qI3Q55DkTReHs@=ZZmrr+XyS z|FHI2m)+|UlPYceyHxMp%8tx--TSUi>fLMY-}Ewg=ue#f&#iyat+vNGnOU_QGU^^z zfAwj0bCt)MuR7MSepB0)lh5Z&m>KtN3#)oi-jC?56Ve(af_$dEiITs2&-US>n_T(_ zcbwuBvT1vNwR!_vZck~TMS<6I-oA=!DcKGeANHPM6J5rB=lYI23oa>rI=J5=vrB4W z%Iphkr_E(Im~r8DjQgpqqFIafrm|%IJ9I=~UaQuXI|)3l<?#l`Z*rJx_%u&j>09#T zXzuD8K_7Vyyf<h)l-u08#?|J^^!tWPA!k1p8?2MLlx($n+ATHbLl4459A%IDi0fwW z@#AYutO|IxN9)S@sr;3b*B)jOVa~oj-(4i#_>hgp-!QJpWeVj>858(ciTYpMbZ}~W zq5Z44TN!+zCzmel&sAV9a<2cgS4{1=M(O!fyD0lc`?nr-t2wkERj$ivEwC>*#lLnH zKg)~MsopFyS9cwC+VosPZxg@wPw~}EZL)vX|D7QJ>U&by>#h<Lh344rW`9@CFVb0N zHhoH}{G*^#-1~e?-hNLxvEO0x{;7w{xOq}yYp2ZBpZ)yY3$_Z&GrE!$GQUnL@Euh< zc2U&0!h`48{L8%M(Vpv99$OlI$i?De={dWvCAO(Im1_+pCTutOSHE?0>_vV9j@VU| z_M79{D(~s{E(%<r{bvg2wmS=DEDkJn$m>(~(|of*_H7%J;d1r_KSejDGSAoYFOw#C z8GDOn|H-!!k9sP-YCrehnahv+pVnjg{h#%GpXeU1V<B<|4(*m6JJN#AFnm$ZnAGw_ z|Hkf|3zJJXtzdepf8*F^yLYh`e`CMjt91x+XEVC-<?8M=W)+3g%$^hqu!x*eO5M79 zsg>q~$*~6)aZHz47ND5>>)+kJ_-?Du5fxusRh9PV-f%p#U1j}*NE4x&Gkwu{>nEA$ z73WyQEV#M%_WjkKGv;r)ars?ob8B`=ht`fCd$RZZ``@<k+vSyq7pUD`qB2WfqtLeJ z=Lh*CC;qa(T6r#vdx~9&@p((1#TJZ7nLN@<<1OANo9=6QoIauaZ_e%(v5<w@r%LY1 zODCM_UF!9#;se)bt{Vrke$IdVFCxmVx%Bm0#SEQUyDsdXxqkD3&j|`ALRUoJ)|$~A zv0{3x(9LB(3r$~G@O(ag@!#cwjZcqVzglo{Qf$Qk7gbw$n3Y4O=2g|6x6nIN_updo zBeQeQ>Nk`f{WYh_{P~{eA07VuvVK!|_y4ym<z25z)~Urub=v<pZaaZZxnSOxxLcQ` zUf)?F?jh$gV^^_3{Qb3a`K30rl`efDuNZ&js|M4dWi4J&Nn(PPUeYEl#<SD*e&)KK zJmI4CPOJX#Rh;ksEK=G2!Fr;p^TPd`x?E50yYal^=@T1I{_a-~H96kxG**8jaqO0u zj?s$!Su;dtiW{1I7c)~yaz9czefLEPjzzM^zjrrm*{In3_W9w*-SRU()wVyHvL?Ow zF_&r9^l1WngQ6xrnRshixXz;H<fCnW|6cP8Rr<)kN8w#zcq(VYXP28RlO=>!KjkVa zc$2`AW3WEaZ^;uq-&;@3DqA|1rKL<>{AsaR`mq3)g{==Im<2VK9^JkBd}Vs(<n;cw zQ+4ySH>RFt+8)AT{_^Ucr+JCevmKu+zva*KmAp57S54|iwyQGdB3N~n&U_N8@kM-& zu!X?km(Kc~N9~lRBqXrPxrfNIrgRrc94>ou&wMji`9xuUnTnLKuIm%Rt9iSZoURew z=Fjj|Kz}~FcyD(NCojv%`YDU&e4qa9x1vMN=6H`@nVZYv;>9N3$xhI*Y5l!1hOe8^ zGi=L^j=3k~L!Cszzcoo|C&&5;{aGgQsN+WSV%5KU#2E!lJ_IxOTZXUWIB6eMdUcIQ zwsh>57`Y3|)rYzjba(?fMQ<OTvuc{7PSVS+8^I5b`i4#3&VF^V&wsn)`+QQg?{0s( zWZOmNg_}No4(xxq_M2zN%qcpvs_(aXEnfHX^Sl+lf<+CE3J$AlLM|QfKi^mr-F;lT zx9In@&;M7%S5ENO*0Q^FLS$0Vs*5#(H5Nf%HPYr@OVQ4=t(@^_tC>v+_ft#dhBo0_ z)Ap8T$W8S=lsWN!U7;x7v^?RC%^HFJ>Wkyvo)zDi&R4Z^v6P3@se=!gTvr)=``fW= zg{E+;W?)(S`$?bfhb~c4lW};lM=4Gu*yU@0TgO?C`$<NV93{UV3q7^Xu}S`epHbh% z4u^~<8jJfAukEOMwo`D5(5|*U?_w@l%(t0PYSH*x+ec?bM%CTDdsZEME4+E$={j4p zyeF%!?cz(D(K%x$pGua3?6FAQJ)2}FcjjM~XcnF1HS6MgMeRwF)h2J&iXY7MJ@(DI zq*iXXob+}M)028DpT&8#9d&EowL(z$PokFK=}GoB9PjU&{JIytq_8&n9Ot922@1_0 zKOJgwiCQhZa+iVWom2PshTpY1-lnW5ur^ty{fgVi?~W2nBU4^jZmT&jsh0e1Thxx_ z)tmb7Cs>Cu39E@7S#(1BKd-yypGvl@a+@Th?o20p&Q_k2#xt%x{*`VfGo$VNb54)9 z)qBhP-n=+i5hSu{X8XcF;VB+2nSR18&t{tWs|GMI3#^a4!PGr@n^u|sqQZV%e*d>W zHJ;4K+9Jl~FsY^cK|_Lc^^uh3TTgN|%JiT4KbmQ_q--T$X0GV<gUkEYq_YI7--=(= z`PfNny}Dge_ZAsrpZ#m(7a#J>Ow4iScxNYey^W*L)3Y<+zvSPu%Xwq|G_Unt+jZ@_ zWy7tojS1&|I)A;fD{=9TU}c_tib_R_SL<FhbT8X;>K;Sd{RdhLBEL=Bc5YX7jQK0a zw)D3X{h#{0v{u@dFSk+P>DeXrVsDsYt~k%Xyf*%_L*@Cp4IX#*{4`mAzOgDXrv22% z&C_-CZvE7i^I)`iJWr|U%$>RpnbWLSH*1)*KR8>j8GO8Td;9!LJ?pP2c0JZh&bhgP zP33@XmB7Kk#S3`vUGRG$wD9NZ8G6FkUw_eFx*_i~`%U&$z28)Kp6q}1xm7W(m~D5$ zAKSv|w(V<0ZvO3^@``=x%y;wagGD%NmWBvb|MU1Ddc(#it5jjFH=mJ|l>3tEkX->% z?>x_E9b^idXQa(-@qwx7duU03w&SO)JDprMbBog21C1G3#rRZL>#lJ&>lFwL%?;Po z{M((p=8)(y`~1~5tTR*|tCUx?FLWw8sVJ`Z!|lS1^beBXU%!hipV_|m6koOc-iZD` z3teiTFs&{#@$k^n_L9u%aNIaG>dmAA#~%(&^O8hXem%TyS@~>*%&AA;t~g%$Dj`{B z-OndNyM={Jf9D<zHC@zxm3dNY=Df=XQa@Zj6BYWo?N;udc5csylM^3p3o*REtNQ-_ zP^(vQrA~=w{I}h1%=;L;)+YBy@#_C43U=wJy)xJMG@-w#pnXxO=NjMmPZBx5?uPT0 z)*DL|Px4LBFt%1dn-G6iK;`T8m<d@sx>xZz*sPdZ^KRQa%Qx|Blua+M53Bt1&BDxQ zlYC7<w0->7U>lXo6aNMam^Jn<KecGf<@143fBmm1#NKh3WbBm5Y;B$TWV+23&P#HO z=dr(ZN%OvV>gKQCe~sdvC6zDGR2K{0<vT}PD&*Fb#jY8mKXZGh$9_IHWy|A|@A=}Q zUOYa^_RgBFg-34u-tC<u5%#(;P9o`$+N2Bl)6f1{QDk_MWpQC-g2gvm$=-`!3<Si_ zC5il+9kWqAzvSv=;n%x!k6X#R{y4nFh%4ep@sWb1oL9Ch#I|hkFXNo0IH5Y>8^fNw zZ$DharWrhY5T<(h=cy7C&&^V=y>iY^T={p$PP1(iTMtL($V^n;E&g730mt6JRTtfh zC-dZ$-+h=9KO-&s>Y{E{h3%)FmpphJ6JoBt<4$Fp|NCo#FOA<`Tb-bGwDerBrrPcg zfxinn8j?kBf4bpgnCI#3<fPhX!FT0f!{U3P69fHwqpH-m&GLIaz2VE|89wj+bf)_? z&5&)rd0?3dyOChdnVgSX|K4J6HL<g*J5?}+Q90WDn(l*Q31-IRw&Ue4e5z76`}EUl zFPwWBqA~v+L%GdIx3^rJtMaBt?~F=s;II63+5YFx-;Qm<{BP!JJe7EN^vl`T|4x@n z>#xsRea~K`_|RKB@4!II3c(ARB{hP%?ZsIu{XPFI3JWSbvgYRpi3p=mpD!#k791<J znb5eodB*1a9qF_8uCLOQJ8yOD?hgM3uQiunEsO|@TDq_!=y5K~f(21aEkfss$yM-P z{}yrOFhjM~`3aAv?~ZrZtzucae(Ra9{8GEmo!hP@^+5dh+;uB%S~1uCyjv@je)x#H zW^$BCN89wj{fCWIr!GF@!W0xNDBzm;dspn#91q>-ZPS+Jo+~)<p5^S~@~wMZCv*Hf zFoQ+<LE`nl26OgX7<HW3Q===}w=U$&(=e%DGuzvRZkKEImdVEOuMIJ?3bkg~y(B~3 zC%DL;>o(`^B|;84Rj&fqYcFe0a%{7+`9DvgVfM=0Ma`>B-*=pU_w8x-&6{#I&D%XX zo-LUq=Tf{iY8|)4`t)m3x4s%gROZeIJ-*&DG-LVP?vheztu&7?>wVka^zLjAwlLzp zx^ag3qz!LQv&h;9nrp=vZMS^k?4P`t<D>fdwDiPUC(U)8_ZnT+?!Wwb-6eyrm_4i? zt1dcuK9!Tc^}Ja8a4dI)>ZbYUtj}%n5;D7YjaxDGGjm8^zWbT2$LgHkq^p?(y1uaA z{C-AUfJEn70fXa7E;D+wHNLbuMh0pzu2j&~`*kF2%e0xVR{O}ZXP+vZy>WfcHN`Ir z;#0U)ZzZv+y=a*>U3rPn&&#S+FACxv)VQy!-kAJua^I@nOHmt-GJ5ju%6KdBwa!XJ z@Ggt!^h~$U_RY*oGbXT{Y@IIFWx1=)Wuw6784l0$JnnXdx!IjxdeQvy#Ek#_u0IsZ zfBes2XmB(<KDjniB>x`2#`WTxJ(@O!-u9=aD#x$9Q5CoOAIE=(L$&vRILpN@uSqTF z6pg6*bnRkE><jlaR=2S1fZWB_Yf7)?o?q3>QN49Dqo}O)HgVOn276C3U3qeeaqkX8 zXX|?*;n_`k_uMwl`EhO&%Tk?Fhu6IPt;}<n%dPp*m)O?=a{TSH>z5u_w>6|v_26!a z*#c7+Df3w-m=?@42|ZGt>U^spd)hTK#}4-S^{i9R1s&7;Ty}ci9_IRgbM*NCu557e z=2=kV8T)pxv-dXPt^5z?@4UTi%8#4>9<zFVkCM2s(XQuczK57Q*E@xb9%Ju@Sz3qK zuJ|X^r`7fuEb5!O#3!lnbG+XAYWvp_9f1-Vf&x8PpG|UlboRP$<Z_oeu0rQCDt4TI zef`98VbPPCF~!~PFYexbyjc9H;WM>!oo`t=<a4X-jf!9X_Pf#A?w#Ppz^)&#b7fA- zyF(0l%)$SH=6-%>&#+1L+2NUG=ZkIRt0pYzIKj%-G~tK+j;E33jI1A)Y;6pAykhl% zOEHt9&RQ8w5aO|Cx)S**z@@HuMxNQTV;!!m`wRu=ww|}Bn7=`A*#Ui%-l~sHVj89j znhfmsr>=Y)yw%I@)6ZoQNqe+z-T4?OX4=MacZ<2#v2)@}8KyKWTk)-bp~cF@MqO)9 z^x79ha<2{9b={Z2YdcrfWU2M<g1uIn_LwK!oWJD7ZWAUmQI&W4mQC6*-nX*W`$*|a zzYIM-b>ZDW$*;`5%MN&E?cezIVe{7QT^bW7b{x}Iew(!K^|56fk6x|NIx+9Qz1pP8 z<7b`!?ApZh?Pq|McHG`6nYyZ;?xJ@ZCvJ0k>-+Cv$<>;&#Rgt8Uth=<=86BvyxDOc z$NaB{GQ=m(|5&tR_I;M1@JPn#i%!U7y>2XfbZpYa+cV6+-92~qOR&um>r;Mfr>X@i zY<VZcntoNfw&vk;c7?={Pi04H`fYfa#oS-T)w>!03H;cf^Lb<P<=<Qn+&pxH4ZkEs zceLMBv`Z+O#rtk&nyTH`|0<VEb-sVGkX^fuE9}_R0##$9v?HwC7FDOk*=P0i+eodE zsXzC(-ekf28I~XaWZ!;LcE7rn*<tR)voV?1cU51hx^BFv{aDzCc&7ltmGbkLcrU!j zuD8-~mE_Thd9l{R+-8rEL;JcfM{oF@m(@NHb~%wZQ+>a+wC=2l_3~!}e$8!<x_jSH zUgL9vnf@wA-HHVk`}Wx!^*k7Aal%N#RXf`Da;g~5&R085DSTkB=E|&8Q`ZkT5Ph;> z-z}~Qh4Y1`6}&pZ?)^wlw`Jyiwo}nQ-E(=THgd3jIQv@Um;auU!+s2}GR`@2`082a zRWnTda@Ns#m-=qK8EL`6J5y$Mgva@>kj!!F*^sX0&=-;vzg>z$<@u|95yF@DakV^k zOrB8I_f_&pt}^F==*KJW%V}P%_x@pj=R#3w0sFDI<^ywHymb?|eYt)11>={&D%YBf z|6h{K;S<WpV-BCXYmHjghvkwCtrs*69Sb><`Wxq${fu@j<GyqLRa~^xg*E$9Te9Xx zzCK;Fbfv?4^@I=1E1Fo}<VO5Cc=Mvk0`_u_!=}ly4tt;Jr}ggG7O>VcklB5;TKl^o zeY^g!8)^Jj4>c#OHrdwrcfLSOt~~e54tI_%Tt~Qaw|0JvWL<Us*Y>S1PbsIit_f@1 z<IrbgVCI`*dg#z;(FDsEGtb+U6v<r4+L8T0+3doK{K}dOOXeDENOW6L7QM4}=dxdd zvo@^1$^TR3(u;%bccOAqW_dpRUzbpvvu^6#=Pd0tm#(keq;Phvyx5|{Unk4&n!KiA zX^zluCd;1C$rs<(U;i}4O>cG9%qk}9U;j6>+C94=J3T>2&R^#BGrg-yd$v5fQYq@I z<2HB3oo?1|Dp4ENrt|&y(&4o=b(!0edkO`KxiPx8z6*ZPeRI~|U!uY**j({~raIfa zDV<X{H|U&OqW9Y7h1mv?6a%)(U+%|wCrfbbd^zg^Q+mKp*_(lXWv>YROtrfeBgLj+ zZS3(qLf>GeMn!o_k;lFC!&U`?8ktIFhq_Nkl*}@laMk?a=52TXwQlW>wG<IM`C>-K z+=yRKOFPvLF8Q&2%30g58_J8*_FHQ=9qzMj-6(R5Y3=UBBj&<?Zq2!{w#BxkSwGp- zZ0{Qd?t}`agO{%)x<6W=k!Rx8uCZmO#c>w}0se@l>l?0%ONef|Ct_V`yw_gH)<)yh z#`LuB+eH2}uJ($*@$SXaM_1M_c{izf$~Sht^aDE{S-xW1+R7=bH9^eQ?O&|Jx#t&4 zEzR#vaDK_1vgO>{q$st8$NYI#>=f6$G0!h|so<&42}U(PQ$IdyT2@&gpq|>N+422X ztY(R&kI~7w-w&<l_nw#~61-tjr{+37)#r=;ef)g5`m%Xn@eA22qHSxIGK8)SHMNis zeRg+y;ft%vEB!8<+L)vCXQG{>*{z+Y4#ii^&s@9p*o=FA?wdmPJJ0^oI%#)+%bM5) zJKwM0!Iip*f6n*46HebUyLWziKp5+hC<)do&U5qYrhg6c)%w@?dH-n!7w4JUn;z`j zEwP95%vZ*(FVfRI|E-(+@ZXmozNgo&*!tB-w#2^p#m|^cW?R`7HyYoQo?ZRt=qJSx zk*S#nUfjN;_;l`-O%oQMJ$dMfWWC%~8TLDZFP`;$_|O`y`s>e$@~P{a9;D4WS{x?U z=q-20Np)|Q-3RaHy*zqMmw#;bF%WQKwOx~GZOs=Owk3<<<&IwwH+pZM6x(d*e``+1 z-23MbmU=8!JrcXnX4%z!hWF)4K24wgJUC-#<60KBmG9P^36nQ3`Nd+PS@6e=SLaXO z`HNz<&tx>OUTS(iZ(e+KVd(okUr(BBS$2F{Vd!JEOKq2Gd;g@qa??7vzWa9Ot<M@9 zil;0W-HZAjc}nHt0Ul|;3h}8EMDNunELnViL7C^|?<P|to~2DbP@?zQX9n+*jtx(j zG1luHQ(Lf2Ao;uhO{e;f>JOZ@8Sjow*Slq5d3NffmsN*Xc$EDTdB39}`HA#dgGEB+ z$+JxFKAk(SynLH2+wzv#;^)QP1z%q|oU&tC+l+gQ(+#8=q@PW`Ehl@*$6R4k+2-Hh zKIR#`e_JB1^!jn)J&$HbSF4{TGnn$G)wyf8f41b{J7_DhddK{R=(@ER<%3QpKNqZ4 z`u!;DruTbwn-{Syd!&2Un(YbW$vZYrVBx{1h3~nHW2z*dXx(|<q^!*JEc!vG#i{=b zMS5;T_}E_gweRO!&M9wnU)gTFvqJ6sf*U^$EH8>`kUk-;x$#rtB)6VY3;ACRejd4E zw=T~%P`%izw&sRGVfE9j;B(ykOj84`iaYrqZFSylGCk+cmdxjqW<21RPP=Z~b3y)j z?ZxlYS}odmrgkq7oO!l%f8s)iBhwDuKH|Sc!#j>!>ghs@m7nHu_O3SBpFK(ElJ??1 zKSWf#x=PjM&)$~U`NrnI)D*GC**->h4HYLmV@UA`@qWE{lGLNm+oVMT_C!xoFmCx( zzVh&y;+ef%E#CixO0MLob-eCMx>fhG{>JnNSM?@D8NAmDt9ryxwLd1B;n>G%c7CZ< zhDnhVt}SZanSN1kdFG!<EBNaR=N^3D_2k*ZPERKm$KR{0TLS+o)cO8@a7Z|WdzY$* z?qAV>%*i`hG_`b3cx-&%%<;zc@>`$AU-xJ0EVW+kE-rZKe@mP1{jWb{S^^B8XYQJK zGN|JCyQT&C@9!Q`W&T`!iF0OaBkS=`{$=}I_w!DEdUo!CrhDa8T~1+)p`0~a&8=p+ z=w57_AGF6fCHdCzDRv4=cDP^VOf@|p{cZl<y))I`U;2B$lG{Y>>~i*dzqIGB-@RZO z=YG};QVi`1=Qd9&ig>q$bH$$i><jZRX1%M`^bB6TwLW0F-**mel}@E{O<TnyJNL-S zgru#SCR)BQ<Sdgcb9T4fR&V3bdy<EqH?rBi6Q87OusK54bN{waq9Fld4aa|QF4>f} zhDq_n%;Nn&)9;twT3naEHc#}Eajm-GoSR0!HYdzftBHPc)U5YWrlET1%xTxQ?dm&Q zcC6**9X)-?4I8^>oMgKFKHip3Ti*QKwLrf;t^rAvX(um!(%UKAl)06q$wH*I(BIaJ z@tt+X<b6+_o_EJ<uUw|0qICV_pT!QJ;xB2H&f!0mqt48_f_qtm@2(4?E@!64?T%WM z^W>7$1`ZkTSeNw3TjH;7M>o!n<yJR-y=X#{+R~aAhN)*KU(sAU!`UZKo$pxucbToC zAsaoF^2Mul^VYj`PC9&rEyLZtYOjjBertHGhEdeX`sl`MIrm?yt$Eg*z0R-hM~UuL zji6Uz%d8UJ477wUG}~@{&)V?)mH7P!x=uz<h0R)9V=lD+l9SA<JG$VV$ts`ctVb70 zu*~}`5MU*A!fT7re~v|`p6pID%zyWE)|;;HHIs{#>k?Rx7;b+p{Q88Pk+;>!{Pdj* z9at?5bC0>|A1ss2EShmM-l|`2;=KoVWDKqzzhv@UJhk)R4w3URmJc+a<<6De_2G@| zQ=9h@vrc{VII{HqFYXr(`=i1#wrp6th}*EK<JFB-HH}*&?#wvz(>UqB>Hmc2vrnpi zTQ>-K?RY2rMSAxJb*Ut+A429|P35l4f8oN#TmR_Z%i=#ZJZHOIep~N-F1})sg{Nam zPQ$bBs`hhcKK|yBGIi7834R;h-v{yY_zCxZZ~L^JpG&nhr{;6LoNHvvgF?RPMGG!G z5R@u;@ReCaMCty~;<%GDg3R^OerR`lGyR{iqOidGkmlO;r{fe$MZ>=Hn*}m|TW=+> z=#}_$Mb#?jpS(*ZtdNiB<eSQN^6R$m3-%O7NY0-3qsur_i}m}-M*c^__Ve^E_tl>? z;XAM*SGj)c;-ea&e7$pm9^5y}y0su6KhyYo=<icz&tCA!PIce6eEm6fv+7eDo$5Yj z%$m5uJmc(S1-qRO-d>qz@OS0@OKQQZ_P$m-mGOHjU!2ohZS5U*!h~c6>Pqw~f?XyU z@yPyQ{FSbAe1ecoare~Yo6N6mDLt`w@~y%nMm1;bLcYuq2q?LC<6WRZRR-VI3EWKr zvcaL{J3pNFkSo*i{ykZ_#;^Zw<%7!${;S2Tj8xmOFs^7%pTvczYkC16&OUtm_}WJ0 zD8+w|^gREouH2|={webE^CKxS*S?$OyYvNC{Sb@&9VT&)rSbagDXGeByS8m)*jJo* zYyvBzsp@Vy#h^Mj*Hm_|eRGrJYu2`z1jLy(B!(n)HQ!x-<!b85%Q@U{mb*NQ7x7qm z?yjDi&&8)p8odMf_$~HI@IRil_H+~H{#T3s6sD{clv(@i#F=Or;g5ffMY~uos3#|F z*&kZYvh>AMj=dWl;x?Pb2$!CizQ>8RsH{!y{mTuka~dWFKiTYYt(xr~YmxRlmGY=Y z=9q^Gg<1!?layu_S#l}Q`8WT3efqk68^2mylo0P+bN{5}B*)k-&0!6PvJAIe^Lf6( zjAi9B&h?QxC1+n~o1QS~{$_7c(r1vx(UGjJ`r*^Wh}C+chGG|swrgmu3ERiDuiAIb zq*;1u$x|2?PdWFQEBl)FEW2Ih%OvK`sJgT7<ZHtlIxnoJ$?5z#(XO{|v6=?wTuI~K zcVFpWdjBGR;i6M4KW5xAKPM5v>#}`s*S_YtuT77%RV8>-3iGIKEt}hyeBUuEJMuw= zY<!lTvdyW!H#RS`n#vRlKk9}DR_yjT|KNqeE*qWB1@m0or*LlN5VtwGYU{BtYR{O? zotvtWoa6Jv;4rt?0b{Sbb6E})@GU%&pU!3?XYaa(|Ne8uzWSEB#Gl>^O-r`)8b4iJ ze*M14)%2rbcLTj$r)^Y=Kf$EK`)K>s2#37oTYjynGYbm-k+kB_%u>azJGNS8?Ood^ zD0O>z=)uaSo{Iv1tDdZ1%ChU&ymDJ7Chqwq4_|q4R#q<FaQTGZJ@Z+gJ^KXztW`0~ zXmpdj>Y4cD(B_r(k@m|y9U}Bf7ks{V`d!`^UaM1k4c0C1QWCwNb#02X<^3gU-Kn37 zTBMy``5mi&wsggMn~8IJtK8TPS7}$YE_uc=E8BauPLbPzi_Ry$toq}Aqv`E&zi5U7 zlb6h{-y!H>q;blaV}<9|jmNy=7xVNqaIUs|zD4_}+fm13k7w?Bt$+7gIPdP6PlHvg z<}GyJkmQmjsI`;-kmsSq#Z_5TXR~HUJaYJ;x3_hBZ=}TEpvluN97?&yFfCHEOqQWy zn$TmDO~!%0B_AKSzdh?>{{lC4l{QwzC4E)5?pF)l&{%a{%w)|uZVjD|d#5V-?q2SA zVZUJMw~R85Kf32$$6q<~|Ivo|3{#F*+f3g#;a1;$Iq&!emuGHY+w%j~zPo;RS4;Mx zI=z)1&ptDBba?E3>e{8`!>@a@K=YN^?`I;H>TTAE#UwtH4?dk1>c(<%ulK<R&B7f0 zYjciA*SW5{aQ=nkq?_NjPBrd*H#sF-dwPDn`&~1B-^0Q?9&&i*{%F*kA@az2<B`CX zCq2R~ayBO4OE`HclPSgLs_7@=xBnNd&{8%Rk^SDBaj8UZk6O;j*~!VAerh|{zB=`7 zW&HZj`U?dA-4;tL-<9A}d(heDT9=^S<_}uw1<?j~S#AgLORu<{y;S$=mHDsyCiv;F zKbH#$TK{9gdy!v(ZMzy4@PA#S`_}bK@Yd>wE6q0gpI5Y!ZBI6roZJy6<@wFbHY4bT zo6M<Jt~=`%MKCPd_Ild)$Y#$sdDSMhZ2wgFB&W~4d?QEWyvo|C>mPkeJ792W%c=aR z7rqIzcP!O*f5=tM*|hO~@1%GiIo0W_+&bT0Rk04ACQ!_jv*zy8#yY=M+RwA<&NTBj zEe&Pb%yXvN^P^V1;&Ugiz_$xqJI_wZy<Gk&<;S~yymzv6?c+{`OlNeh{%q*9Cq8uV z!X;5VHs8oB(A)D#tM6{fdHV~!nNQ^2Z&<tF)V_U5mR?MG7KYgsE@JL0)`@SeEm5D5 zZ<H}drrAa|bXNOOmRHK_7roehkWb55=w)Y~{Kg-Gf$Q?uEqc<o`o?XKXAC92#!8Ga zn|4lRir&Tiyg2ZTNic_Lv?S+j<~6-+Crw3rckryPTT+{9JKHNwU}yiy_H+JAriDvg zW8~7GV%~MSA$oW47Dbgy?iX_PSF=>OCoNyPrRtFPp37?{-pzJcnapY(lc1vb#^QVE zic_b<9u?bLK1r32KcumC&C8z7;F9R9o`!`wXBTpE`#XJ-5Z8OJuT^M%zfH&B(ccp$ z2kull_VV!XER>6WtIMFW?ej!#&5nCVI+NWZpLXsuTmR--v}?q~V9m*=MNW$AZ+f13 zXy)|od=B1P>Ze7e6VwHKTLV`0r5dES?(A&1+NOSzz4P0eM821AXI(nm7<1!o59h-t zAysLbrCIVZX0xrF{j+BB6s(`4YZS?ED%|PR{gq?t!q)Nxb~y#Mfa;UaR;-FnOuDi; z{jC4q+}|xqLK8Qt?5P%dRVgUJHY+*t*9ZM)_B@XYLPQl3F8|MST(_Vjp1<wLfhXG> zf2aB{N)+aMB5^mCd(!Q$z1xHCC&irom89XWtIW8v#!b00JF;*=IH$~=HA;d^f)nch z{H)?Pd-I&}>7652vnyOxTh{Zkd|%^u&&29yztokYdcKolTLLvQr|EzEQ|`;KXct?Q zd5uQK-IAuWmwESfhd!U2V{(2%sQ26aqIIVmCB*J%{OWg+s#f{(^44wEyAJ-}m0VJr z%QR|zvs8*jd#1g<u~Ao2@E4ou6J3q-i~8oM`KL~N&?;;8zDoI&&-*jMzY?_0y9sMI z?3<Lq`<tieLqfW;a?Nig?R5;(eqVFQu`d+A>~heBf7&*_GiN*axXhX5nYpjYh5huN zz9=|w@)5^_M~hy4y&NiE?PvIG{fYabPMjNltgc?Yb5gF|y)Dx?iqB}A583(cS%ug9 z9WBlBC-mQxEpDqnw=1Gw#y9R|`2}a)w@aO_y!OpHQGBPiuPbJI-;{@bHsV|6oqEWc zrE>bgx=l8#^-T=5VyvodRU&+L&ejp|VyO@bxWnyKx$f=N4zrC)Tmqhg%=aXZdzR=j z$d%c>S)k+JGWlM^g^d;~o@m~DlBu+=<U(v;$Tg`An{O^$YQ8^*<<vD-YdfLj-)yIa zJUZ8$xTGPvcdqTUNAe0=Id^O_x}lmBzwSKSX49mJlKbX!NY@<iSg~}{&i|hCr)~5| zwfeyvv~!*<SF!Z(sC4Zo6`k+J)FY!cd3;~Ti_I)lt^Dn>xuSG$tM0uSse31@r{7Z4 z-lz4b<+%4UN40dpz)wdeYEE#fn!4x!-+zV&J6^H=J^x8+XWOIYq1$X&vhHMw6rKMc zdVlxNxm=w$W2^5hDqZLBNZ&cG=gY!xZ0ikOYc}N?&zSUls@C#T1w3<BPJG+@H`RLQ zk^2cJSeva*Y_m*VSub>Z%2Ank!@C=6GNu`?S7vy#ZAYl?l)@zs6GGCShhFxc;J<6Z zhOGy^L!2fpjDDNNaeXE4-x;e4_v%>qFY9aak&`>}c;PGUBUco?E(@ydo+Fx*-TPjo z>l%Z}0Y;sL{O9)Vd>QOf7XL#<%}MB$ki-h!rYmdqlwDMbVAy+W_Mvz;X{ObJ_ST=x zW{Fx|zMSP2(bmhmdYS%A?eESfEw<))FFO`dC%(OW&o)1m_2n7cem|3!eq$1T;^`iN zsF^)m*L`N2o58*0Tg<U<uf@NL+Bk7d?%bo{?kN7#&cXSwOxBBc9FC9JIA7bp2$6C9 zZ}L1K(CD`_`#!y|W%usyT@rtC;ncbpTi6Xw+b$L{=Q_Q_fBl_(R!k|yZ=S^L=hWBv z)3W}+)%u;<RXPmD5!?T_t&3mhV`DH$;!CQ5$V#UZA*Q*mMu|roUg_;NIhb!GGw*?5 z=$RM4Z&}<F<L&dka%LH8a?=(sxjPIN*H`)<(tf-}P&ae;l4nloFWKadN}Eq^)Vlh- zd-;=-PE&5~dcCdPfAhtk&*vZIW&0SkD{>?I$=2m_GdVx}6i7*WJUgSYC??V0?qOo< z)mzr{Ki7wrmT50K-aGZvm*~HhOI7O~k|d)KWXyfCJ0xNAvfo*kmVPcdbwS$x$%@`O z#%qlq+a7L?uUN%BZGXHu-{l<MIn}#2EPblLGyTw_3J#{e<!ua!>+5bkYv1Rn*5bvy zU|MB=(Yf>59fx>SHmq0YKXtF$Xz^+F<YLzi(z?BilyeIF$`%EGmj1-=_u9%jRW;;6 zooJ=febs*_1TV64E%8aaqPzZ*0N<Y6xKpKOeTzJtSkGMfaM<{^qkYwh`E$Zg<X;!O z?VNPi%j~mV<h-4aJYo|iqqiz3Ua@05o-XV1;)R%P`oUAxH=Z2c&2nP?e48h(+ooLQ zk#@Rh;Lts_R?qr|*@^ynkC*uz(|)=4E8DUezhB?>37KtoTy);ezPsg-ycK(kw#KM3 zt<JE{dUzlpcIio#4Nq@#Y;`*@KhWg&>Qt2{i52I2j=Wmd`uNQBsz*s>Di(k8*gplu z*X1VtV%TBS<6BmA#WZ8q`)tcTOHUE@nSz_-GxIa~&DR`?V@QjS4L57o)l{1tm5`e6 zedNIg4q4`=fd8Kt@riMn#H8%oeQ@t-C85m8_WGS2v8zM224CI8FtJ)X#WB25<l~BO z`GV^EJ~=+z#PQJdepUT}`aSh0o+caa-eq#Zl%4s{nq~pN{-%kCt!MBYjo)47%o((C zWvYMCj47J;G`=(>Jv#EIYSF9rQ)GQ!o{QV&b-UwYqrto&nHyUbQYWmtu5oZ{&*|k4 z3pzROYP?{*x_kZ&v%UzX(3>}dG;ISXJ^x^Mc+=N>uYHw&R;!&4KRGXzkGJKR_Pf3b z8T`x_W<}>dVVfH6u4M4^MWuv5!Og4FroDWq7QD2YDbq>xWJmcd_q%mhWdE3kFK_ai zCZ{p;?aM0Xd-DJG7`FWpja!mvC-cv2lJ4PUR!w3LICgFA{4G(Oe?UL2sB32Pmz&0w zCjF_7-G%ZJFaD+|%~~TI{#m|8e~DA)c`1>*+PY1y_D@Z-JryQC{o>q^$1{6V*`!w& zSEPk+@p$?pFYJg5zcg#aJg-$VcX>&@mub5hv+BVWZkOcv7M}$kD^j@EYE|FNJQ(co zIqr$y0gZT#!1S;8-ktvS^PFISiO#&P+5e0)lKS+f?#Z+FGko+-;*tN!Z1wnm4^CA* z75MFLeqZd#rp?V^-`IZh?dq4>BATAR`@*mKV|or^^M8olTI}^|I|GNbp<Aky(*E?T zs!l6?9$s|htg_=y4U4)M9-HB6ZOxax*?V#O<yZ1e!Y&U@zi8;lZMrV5oha_mdULyU z!IH2=<$`%_`xtEmOPgos-!kv~R_AqNR)W3lx)(xbyLL@qQgirtAm_nnTTUO)Szx)O zz_6F$kh0t-C(T(aMc(D+R?V8`9=WJkeBPD9%`4_c`eeL1zj^A}bi-92SIlY4Sw15o zYuU1?)jb!vo|{k4cKVyP(<Nl;&g$$b>XpT1>~AK0vZzyInd)D|)UeRUZemnMlG}Bu zwDxZTQI-Gl0v2$1WIA&0Zfg{cSE&0?TDT_j!y&D}?|EzUo3+mGKR?~~_ibypqQ}#V zvL<Q;y*6&#bmOf=<D7eoy%ioux|OJul|N`>U-9o@-JNa69Tr6GlV-Yp@X(U-J60F+ zI~C^WsMx<*=gOzj+qXjW>V-8SON)hsZ9d9vUiV*JQTt?<`(>LCkvH63cXp-J9NHLx z<??Z}6jKA^clT%AyS`FczhQrPw&Kgb?`+zxte?YR!;;PTAbK*Vz_!(oWaQ_oFI@He zngz2KhiB~<``>q8tSHuR?<kn^`E7DzR7*71ezTJasS}u{22Gnht)V(Y=mK-h`<Y=O zzq<_=yw<F|xB2~V%e!;gr0%ve2wLzTUA$yrqv{>69Icc>#pGQl?qBdcA0xmlvA1fW zoT*QSW>=%)wpPZ5byqHR9?33@PjRa7GCm<>q}niDH}jt1o|(=%0hb;pzDp9-X-fUQ zw509Gs)e_zz6jRLl>f~vq7s{?=TOeiI&b&8^7y51dA9vv^{=wy<*n4ctNoN=_X4hu zZ(ZhYR<@B<U%Sok-gah1k4Zi1DeE>kt&l68<ag=JLic?+iE6zHhnD5c)o**gc+P~W zvqc<Mv-c`nuN8WfbVPajzss}YHD_3rr<>nAW|c7a(of!SHul~f6-8>>Im)uw%RWB* zby97on9V2q#T|lE=6Gz$uH*P!yi(^~5%)s7T>qAg^H%LYTvUVPyi=Dv=-QEZUGn4c zy-Ieq@}+ztvkqmRyU{gc|GL<K{C+-<#2tJNp6aF&tPlJT-Y}h&w(sEDcJGMYRzfGZ z+1S^z_pQ+W6tR%4`@p?Ld%UI6&9r1}y5>*YVWca_V)A;ogVO0Uzkb>D@ZVYK<hJSD zp02!#Nm>)ztfKBEhiU%RpW2bPdQYf9{>_QYR~!s@;}_(peZWrbY4oG2)ddYaS7+|( zdlJTywxjsVH`W)Y??_3twIvoX_TAU<yxXIz7Cl4deM`A}+`kUvwR)@8+nBJ&NwJ(N z`R*ch@$r_WTW)Q*Wc=mL(lgc~EX6(_3*1@f1@7+-aZy^p^r4}W`(v0$Wag^(ML%zP zcxT_puHBTa>E$C8=D4_i$K_|@EN>2+(0uW$#~^e|;t_+#k&(`8Y}|!E$MtRdv%uB# zRZp5<iAXw!Y+<VM)knp9FJGN_uA?L4VB_Y*IYKL!_ZEnT^4;ECCI7$b@YgxU2UKTm zR4%Y_b27R=*Qd;Be=>)b^DBvc5=_6ex4Zd#d#$Ct^n}TgEtxF|@;ywu`xWNi-FEH$ zyyB-1bdN?|RCJcJnqtB@Lo{#E?c|rGxewMgzPXq^=ik?(J2jTnzInUZ%2G5}jMqll zulC*T1x33S@cXWs`a|&63IFPO(jh4auZP9?=YHau{o-iT%i@{ubG3AYZzk2r9@!PO z_-oWxolvjkPww!jF8k-rZoAp3C+5->21bW}`$JURR+U-gC-bU(`I%<k$|&@!Tx`*@ zX*K6I?oPO}&rAETsJiCz<(rsU-|zdT))pWZ5gJ?J^z={Z;a1rX>Y7zbJN+Crcw5wx z4l#=9J=*f({hB4UY~C!*8|Lq;%Xlpjux(${!Lw<?b@{6%9l0Bs+q|0RpgEKK@y&;B z+UrbbvJr2nm@_{$C4V|+{qn}8mxC6$2%j}<|G#s4R*#6-j!LcYWjqfrU0K=`)tw~3 zk)FJ&CiLH{+sizk7iZN9&;PO@#8p-+;j)*)>g~E0cfFm@)_3jM(Y3oftF0}9{%an- zGkd=&>(+07dFCDXkh<x=s$;_X&CDxWZr1Fy<aE*4F<(8GZ}pmc^6_%sM_0_uQQUpt zsq6Mq_Hv0_kA|x=t9^bQbUa*UKd-{nsIBsfck;RV#x0BIo6cO)f6;BJ@>K5}`R6kK z{Yt(>&PrIseDV2dV}pryg%O+``HyO9*q^JNiMKo)cInhZuX|Q3>@$2a<lP#DimE>R zZC^UQH+K2tJE=Y%&*j_8wi>8g=KIOSsNc{L=`mLP9@H-OR^)f-{s|{n+`II*llRW| zrU!HGOSPKuN!M<3@5qpzAGWBymc5+y`kgDn1%Hbe=bl)#=(si$r#|znuio=6^)wvM zl<J<oF3Dtlrqeqc_U(o<EfNiuD{PVWpZw=u>b&#+546`k?py5eGW^Pf)0;0;Drsi7 zg{+!8A<w#fU4TnW|2E56GCZPA!Hvnwnqq#Haj9EaO}#rO{kifnq11y*uUOg5ed+&t z@81u0rM@f~>ptv#?i^qeerUmEvHJ%#debKV(tPW^=F-O-`{U<FDt>$Vg?%2sV2hmc zSEis@0yQxKH@6-Q;hy>M(X`2(U!;%z3<`Sx$NU9{1&7-2L(er7_d9;)-PIR-?d~Pz zi}wWQ`-@0>`Og07bZ(o@G}i-e@9(c?-ToyoyPfk3uhG}1%ZnGUKkVzeGpQjhB7@=T zLFPA87QHQ<X^?VpT7}milfJyeH~Y5DbnM&Je0<I?VFga9E8jEYSyHF1fAOtqd7P+b zk_Sgd_qQ37zcV-#_C#+;-Xp{{yKBmC$@Jd$FFrro8z5wNpw&aeLxlN^%#qfV!p!@A zGZ(&F@uemCB%j8-&AV?Z)qQr~+ZXUhuCVh%Nx0+jdoBTY4nO>U(EgC&vn$+xCM2^h zef7=c;<NlzO}3?x^QLZUJow<$-MJ#O6n;<r{QGP{rr6?N?myf6r=;uYddU2I`t$e+ zxhuh+3?te3XU#u%H>9m3?yZ~A*-gGv3)inJJb7cOx3)w_o6XIDf<xzTE&1iEUQ+dc zcI@(R^V_yCzTM`Qn(!{*{M?JN4LauyC*}F-PMz7ZU3{)`n8Sp9EWa<UX>NOI&2QT& z&tGONvt4Am+Lo|hQ{UyHe*_OJF`6y!d33WhA%cPDt6@~yLhda|dqhP-E}ao|*{-(h z)=u8K-z$t~+sC{S{}K`A{pIAYcc+c)dXHV1v_PxQBO|Tno3Xd9#g3PGQu}$cq#pDI zDXwt8-xXw@8dBXYU(d=|wAe}U$k97?IijjUZ?x~}HHPi6f5dy>uE)lQkEPF9J^0pl z^w~ta0;M_aW_I2i`oGnR%og0PF#qL?zKxuAzphI$dW)|9|G8g9dV^-)`j*qXnQks= z@Nr`A$#ZDdZ>vvRdU4C!D2*b|^+E^a_$u7qzmxiMKiyZU-OF~r$E+V~RIU9sPJ6C0 zrSzqO-;Tz^^R=o<SEL0zkG>cdTcpN#M&|dY6Jb@BYz7ZX**DH^>=HSowes2~rs>a( zgUX6-b~TEJl^yb5=u{}nm0LV-x!LL$rJhM9eqAijuEw(Lh_CzIUDmJu#BH_lF_$Hp zIzL*?Z0D30tnu8=e<j+mJA}{O`Ef}4&96&zBd6_tmE1j1=Ss2ptqXQS3v>QCcSw4C zS-;=6<-*F2`Of88`>rpYykugEW$(P?!sRTl&z!t*TvY6doO#CSAIs$}G8Nd@hGnj* ze|DqA{8(MlnThASB(?VFI9!<AvpO;OSeNPTZMS@DA8P4u+;+;S>|^V>f>JNfE9>gZ zch2Wgx^9&BKg3}|#_`!QzUMc6+*8E8)4M2d*_r!EOy3_&`u!oi-Qj<^;pgNX3Ee+s znZ#x+5M30&n>G8!x}&W^fj8dsoUh?r@ln36RpjISXP%z~);ss9+*b|G3OvReA^a*! zho|)WghPpfjhS;*HU>X2TFk0<{$(1=A?I}FD7&YV%k}lvzrMWo#pd9Gv}epyFa9-* zDOa_>cw@TI_rv?@Z|l5#BYd8#JhEK+#tpgFZK(?zdRDt>+8X(De0UT2pY^h4WS6H_ z`Q+_!!4m`Jg0Grv(tCJD{DOHvhOn;nCI5KFRIj2f%Rek!<a7SmxxX%fmwe=xcf8wE z(Y=1-rj@*cEIL6?TbF4)Pg5@t-S}nml%Gp@jH^yI$_YryFP{05D{R_~ZS$4QoC6OZ zzQpC1WZ)$={j`(HPmvqHf847UWZL#e;B~#*&-5FWEBQ7gABbL6WxRgZjOpHfT@1f( z7|u)iRPSb+{JP8eUhNxY8L<yV%j16i=~ZGl{Jr+!y0E9w%YNK)2z$B5Ew3(2<hb?5 z`=3g)tUnlptm)<2G;3Pr)cnN6f2a5B2Jh|Jc6RFbdKc|4U%w}9cevUg`?tiSmqE<I z>f?spj5^-is{Ym$^($WZp?HMR<R@=RuS;KF`_cCobVJjYusjYff4TJ$kMXX_ExHSI zlE1sZc`MMua`;N$)Vv*zze<bG<`=%WeKl{B^rHCzUqAfx6lc#bv5(r_8&j{cc=P$G z>d!Z^txjGw&n?z}0+(PnhhpVP&!E?w-`Ds4i#B+^rM0-4X-Y`Yce@p;r#ggdd~g0? zlB}w7(&4(8^Z1jdwf7qhe*R7NH!B5}wEH~^HLc@VzGtG`vJ($-!*06&d$Q4|SwQHz z?Cgt~LGvGSvfn(fW+3&3c|+Dy^UKG$+1R8~Q%rOxxrp&byKHV}xzKWc>14j0vHN~4 z4_v1D>cR0Hf%~rM%nguKdg|5sLcm(-U{#pK?(*%=Ry<#Rtb0*!Sby&6<b6lKo#vTp zA9_+Zf1YE&lONY-TAuY<F~Lf?OnvjrZa#y=gD;-x9-S9da801&y?gP6H$t78Ic}a# z4diXOzgl5Qj&8Qj_Rxa;oCh_(MW}qpx+R=Fo6AG7KqaK5w~V>?%C;G2K4^J{`cJs+ zv>`EPVRXo9eTg~lQ~S+%r_b?KTKe?6rgFw??$}$;%1XY}iY>YM$F6bWl-IJZg46$G zzYH*QtS;YO@$$)pnpi{ork#DBhbwizh)=&0;rBOg(d}2lI=d#Y&3HDW=%Y*Vl<QTy ztEQgV$@GV{xoMjECN=(*g|{EnCbKJY^zTnn5&rGDQDL%yO_F}h-Sw6Q>MAm4oU`XF z4`TSAe7-1Z`-}46Sx<#F_ZlvfK5?r$^Zd!1j|z*7d%ti!>c5e_<?=q(b(dd#*c`TI zMQgj~u04LwY>up0xP^84d0s=I){Xtga;rOJ{eMPl)@Y{W?U>~CXvV7jzD43Pnf@a4 zbI$0_Qxct1`&?>)t?)&km%n#DzcA;?wMQF^cRpLA&HiCdz{&76Zkro(>XUfi?y|iU zx%#)B=hN#|pK7E})O-q&m^IPr%E_amxwah#3)PAgSAQ4P&==>d?cv+IzSKk4w0o_+ zONm^iL)3%KYW!Kn(sd{LIKFagC>@aQQrRsuPcdfU`S%K;L2op4c$tngG=*5z9gvV{ z4STZfb(LM#%BQ+)kL2u6hzT4oZC|*6P3xxI$JI_Y@w2DhTgra-*!^wq9>{&VuRihC zq|X;8eqs{(b-#MG>*kMt!n<xXe2sr#FBlN9=A`(?HIrAIVa@l<U%puD^r358S6yr< z_?MCJyM^B}YoY%7M@(7fvCqERE2W*1T;AjzvgOJ$C55XC?Nh>=+}#gK%i6`Sy8brj zNiW9+xkd9Xcm2wEWf)hhW8h+1;dAc6>V1o=U8J^7vpQ_P>Q#!u)Jvgv8kss1<u(6Y zQ(bg2Hag+i{QgzSE+;Oq@jP$%D`>3v{ykp^f72<ZH~Tee+k#ak*019=k7s{<?4Z|j zH&)$y`KdelZrI6lu1MECD7B$|eO4-8>m2LXxz9em$_QB;p29q}t5SRZwC6J!pWe#7 z)=)P=LdNFZ{|@1<-!Xiu>5@-gC>ygLd>_z!So1b#<+<haCsi`mw$G`a>;0R5`#(Ol zCk#RLYV*zJ@A$h)x}whi@DJCxs%);_2kgIPc=q|Jo?f{5)%&2TU`efyS-n^6{HAH@ znEmyQ4^_=6G0-XB@vCdAdyEA8pEs8F9QW7hyt{v3O~Fp{|1URxZ0jmmdqG6*f8BQD z&4u$bcYSylvvVuczUv&vI20X@Olg|BykzsQ%FZ*9vHKTh?Fl-ZFjeg7=?6Qils9?i zd^=utI`{Lug$w3ISxa@Cb<W!9blUTbMW3?9)CrL>&MseyEZWLz`nZ0iR{c7#Hto}= zYYjeHqNf}loOv~wx2IwH-ol1vtIu7#d=lIX_HUZ`@=jFVJFZI;Ccb)T=#=03Cbp{S z?jCvJZSEVJ71HVsm(St&)Xd+pzC3pFbk4j7dR>-&Dr-9ywOZRvQ1tyMv2?d&&cW5z z@^6@wUnPYfEUAg)x2S%{Z~5%>udv@eIq6eQ?2&HUtaWO4#*cd!dnPV+-f9=jS;6z= z{UNU(j(PvLJe5;ew_vT8;3K!%_O{uJK0iEQdidUcOVa~wdD+XE5}TQRWFED;nY?J) z&He-f`^9RUwrZ@5E_c7`Oi)>Fn9`>gDm5kA`Q9<NWyXK}oVB>@eG=E6WH$U~alqQc zwY9~4q4T4^(&<k;FaK6zy4kvRkBmd5#KT7awfk=cGrr7CU^~0+LaoPo?K$fUEK;AX zuvfO#J+qFdN3QZ(Sj`s2@cWlynm=Zr_q6TQn=$e7%#;<GsUFtubJ(h0N%-y9IeV*6 zsCjKn^mqGv9p5(9d)?cYY8JRzzHHA^pUnbK6n?IGuC%OHk~xK`#_LkVdXHtlvV^l2 zO+B<=T{~-3SaF!@8`n$sW-YA_6@OH5`+42t*>&r!*nC&0TeY3&{j!zojl!nD4bmMi z#Q$&m@PT`wPwpj|mHQ2ZSDjXOPXFY2_|3Y{ub-T`$Lk?5W8u|>cCXX=4mZ{DO*?w! zQ*y)>pF4(Yn(NIt>YoUw8@w!Oe`46^wdjMm#kGwVZ>RX?IXSa^J@(4_iLZ>|O7UMG zkN;V6^Os6$@uan`lari8W>ut0Hyn%+zt`Cz`+4V%wJzL`Oiy2#zi`jWqdjgaw+rK^ z{B+={Ff70I@<%Dx)0rZVx}s;TVSavAdxna_!&=Yw>t7;YY0dogD{~pggALmzRQcTa z<;1!6+P>`PS<hO{N)!54U&+v((KXHf%d?XYLipDm{$di!UB$4$FX3je=%RL^O)7tk z+x}!rPk&Jup8u|Tox;<mv?o0$^V22V?mn_uWhWwXPi58K?&;kfUcD1{9Q`Kq?%x)j zoidUi9^Bv#xA%NxZn`7%>GW?qbt4^4NQs^B)i}jJdC|k@MIR=A`P6LUwc?ZA+50os zx_m6W9l#uWo6X#2%ErDGlWcUt?5FA!9ldb=?jlXYW!hhQ#GS;Qe$RXHdDAu3`u%On zf1mDJ5+=rLdGD6i^gykv7Z_EK-g>YpM5gGBz-g{pw!2bnZ>rrEUR0i1V|?UPdu5;5 zFBuczXWNVSw9UWl&+$?5|M6tMd2BtOD(sZ1p8kF(D*hyC_5po^4IU=hU5ihz2=C%s z9Wr5c|FqB(_E~q2?TFIU-mj=uxmGKUWkFY3d|HXXjKx=f-Z}bOM|ryb2?muZ53fwC zvb&?G&hccPm_p%G$*I%q!h?8unberIO&!d?Gw4e&ZK?Luy0tlD+g{^$Z`iDz%1?*A zj$UF@I4_p{j+%<)de+xX&QsTAcl{8SnXYcmdFER3UHRPBSLc^nx)g3t%+G(fE^T5^ zpi^XEw`g$9S=Q_TzvBMIi<h`mde}`7c{%&M?zCEo%ZXf>Z*II<x@n*Pq-X=qKPs!; zv(KFU(3~4<fB5?HEV*@OrA5_VoN`GnaeZdnB;eX8Y_MeIUCBkeo-)biz6jm?W7cHJ zT`Onw`>d$Z@SiU395-K^V?)rlOTT9yI>tMpQpj256#IkD``3P4=AL^q<nXFP6If)6 zIFI=nFMsNn`>~d9!S|f&zFqpqJysiiJH3Ao&w({LdfnDW53Bzc^9r97`ns0!%NgeA z0@;V9i;7CSe*YCvwY_KYe5&OB!@-{M|I92(vJ#3@<b1OKIBsbwyw$q&aB4(m+_UQD z7J0EP@m5`{Yh*6?PYj5eaiD(EkAKR!oyxHvj1C0&i@kU-Bd15{Vk*nb2DLdeb!~qz zO!(|u(7OG;>GvrwoL!Ct#k~zb_P_ND=LYYPmx9+O2LEq}m}h<aTyf0ziU@1L_gp9c zdS9GXK0n%H`{8@SI&<$`&dv1AD_o-->KenJvDEFDe(O($lfH&|Hj@`OY+QKf{57*9 zmP^n75_!7!prGr4O?%%i@w#B7w{*jNwtYpMeZO)xKFFN=oUujc+LhO46C-py`fIkf zyRPGQ-1FM<?@j^x%h}CpobQv|>K)Bm8ie$B`xOYWS8@lQX07R6l%4cpug{$yEB7T- zc1QPq-??*!b5!n=rQB?X_fN5GeY#RUc2QQtdo8Wmi^3M1NNzm1F#gy@!5#9Zd3TN< z{onlWN7(WDLhoZXi~sy=cvg65!<-wtG>s!yD;9g4J-0#dn~%f8Ea9IsC(jhq4w%@x z%EEX@(Tne42R?b*?e{Lf(s4JrEz*#^?n&y)Lusu3LjEVuyC|zM1w5YmG^*3jmwBIN z-BXqwuaAEzd3<Z_j-2cRhJq~@mxnIhv0QMSd|meLsm~7GF8lIV>DngMnvF_)vtRV= z<F!xhE4ympU?`c+eoriT*4o#zr~WXzIX_~e?|GFsa~Fu7JYC24=w#ZPB#vE9+r$D- zh0dDq)v};CK74w4V)b7CGf$YMzI<NMB7Q98hHKPf@3ogMOmwQ-t8j)R!t$8aZ!4L5 zb}#KG&U1UWN5*GeO>9YGKidn>N#0o#Jg*e2-22(-{+R_HCR*k8f%d&$>~8GldYct5 z^5xuZ>o4|AVJ6;g$xa*w6WFrr(t}nm%PqO9`y;7EuA)EoK;*+6d0mdHU9%rw{kkZ7 z^6q|99n+9VZMDa#U)aLGTI&hDd|LDCR_T9MeU%qMPg(>!SMIQ2y7Qs?z)OCm+QzVu zMEM-nxu-w8aF_Y*w79WI`fglDYn@cb4=*Dl?O>4r|5L||awT%_c_mNVt+gfo<>!ij zKhk$><okc&icw<6pI?&%rzb^Eb@{!Icbl7p)%SyM|J0rO`_qCcXJviiYL8Iy;PuR_ zHD1|nG`7&6&5(DbTE@KmdLwgYPj!>#ELBb2hob9RL-)S*<T+Nn{@ZcJKk`qj8Rs1; zZBz;4?cjT{W?#Va5>NZt!r9M+x+SNc$TRx;E%EL@1xvl7kG?Xu-I8J~6t8YS{av78 zu}hIRtGd#}?HkJKr|)My9jd)A_uaRbt5qM}-)!?j{BMPZjD~P+hW2}&%*nPp#eO$M z#4nxRUa;yfOMQ;0yhhv6omFzR_tJNTb;#cie(H1E=(+NQQpT!{>S=uuPZs!}^bwvn z&+MaL5<_Lpw}~oq92efxHhxvm?HAg8lY7lgiLB0bn++a_8-4q;Tk-*in!n1mjGlUz z+nQ>Zc6ZM{|FMBDN8IPi(Y?=?Gk$)yY^vau+aCV6mK~e5vh3cyp8sBR^B;a)e6hzT zC!|L;zxJd}+c`&*<JElswkOH{U})Zwc>8hP&&CDQEZs_eJzXs+(XcFS!%q*Zwi8)D z4=tW*q*Ap1SZkfqj+&MKx%}&UynZa@PClP4{yeE%N%%y><-MJK|IE{FMc<#2S0((S zw<l;VL#4<c(Wxh2gzQ+Qwq^2T8wn;M{a(o`p$q;Vv8SYkL)<@}46omxA~84VYu$9d zdWVV2@^hD#%G~alBX!iU?D*{B_E#k@7Tn%fZ)&qL)&0(srTrR7sv0ic+A$8_{2ku* zxA_P}^4ye`%Q>d7+44}MKL4*dd-a%J$1E{DxlXioa?Qe{tu{^~&sb}P^WRi`R_c3Z zuHClg{vGSd;zz#xIka5o@Vbl|@%&#C%{tm1pPX?aa9MB7tBn<VXLqk(pphcWsP<>h zeW@Fpj!tWAS!*nN)UsnIXHb3Wyg47N!Xw<@&kXn4uxPmz>vFLY|A3hR@gG;ss$kQ( zbt8Gs+;f5_-H%lS&9QDb^UFx@SD0&i%6>}H9o~cUFDPpSzWJe(ZR*HZ#p`Id%=7x} z-31&QwPMyzTCz{ZG^{+p>Ds}s6ZSlLBk<-M_r@ISHGjUyn7?6RoF|gw$0KR0|4K=* zG&Q4wZOL(M`9zP!DVr+4MymPe32geB^YoVk^XC2MbM`&EcDOE($9?Id$2a7b8`R9Y zRG5~<zs2uZ@bb-VcGF*(b4Vr!Y^#bs+r9Zv0(VjU-uHg4OF0D&yqMJcV{=M|+`CWP z4{qD?L_&l?%yW}fdUJ)%vGuFBPONA==VK#SGG)<&zyE*#lC-c`qHa|3B<h?2+v>0@ z-FfNTxaUtQFuqp2``Dc{`=uwMpC-lVRL=jT^83Vs`%Zs8Tm7y&{%HH6*6JMA4ONnR zg*%p6+<UV>%8N--jqTK|pue%#XB?0|Wy%;<k|o0WVeW)`QW;h~ZHHfpYHe5USB=W( za}?V!H!;4v=j}vY*5wPhPIicIVYBs#Tb82B<KWCQVe><N-wp3CEZw!N=CaUC<43nz z%vU~VlwML_UN$o+q3Z2X(;I^9+tm_R#4{aVw&hUaX7{Y7X_;>%|5&jsWcpQ?Fh}uk zy~iy6d43iD=YKoc`RDep%hGK9d*AF7Iy$p^8Mpe8^XpqQg`|s1r&VA7ao=%S|K4c< zhW~ci>E9@_`DuJ}y36g)vn^R1%7s3u&FpwzEl?wOa`)ZL$7?#}tz!NwJn`Rj>)n!t za~ZNV+oVs5nPg-*?DBqV7wKTRXI^$@0%z<)b&-yn=RRL%yZUTmSz}A@`b;fpChOaB zRnz3lxxDr_sJw`g+09*RUVmHX+gpa8Zd<3bcx24HUD5q;|F#Y<%U-2;t0$*zIXO9d zD;{l^k9^`5ullITLFhSG`=`HW0w<euKM^ec^;B4ByQ}^sk9FrN;;X$1KFA%<<?ywX zbx(2qHF@&h)}6ac8g_i#5^QyOVvVuh%L7J|^L*c#b42(oxMXuqH@g3ai%ry}G}}Yv zmZ5tN@~;=Ma&+>Y&iO!g<M&dNDw#y?@6)ume_5BcvC`Q7x4oQLar2d@5ob<lmx#_f z7{YAtUfpWHbx!tjopgp%H_y6S^WME~yXAa8_o_*T?mWhlZ{m$wg<qGy>3Au;cK0EL zE4q4DUQF2)lxiu*`^Yrl)SbE`pDT{mW`1+J(Xa7zR^}Bu6Vsf7Z1Fy>VH|VY7JOXw z#@fKK^n%l=xb8^3In&SPHhuS-psjlJTJ_;j<@k4hvln~z_Ea}$v}$lg-QwQ1=tj-7 z*0}ucp6A7^k)6#~+^?o+IGZ-PTvAxDC`({k{Fgm{r#;}Fp}fA?>}cf+-4z$O*UZ?x zsy8;q_uhWbw}A)tOEw6HG5x+jaeKt#*+vInd`n5(IdN;W<l=;cU5TFc>T?3Voo5rs zFMFD)o1P@R#xi~5^RIK+o-KHr6t1{a^2~$T_8EnKoBpi*dWHFV#vjqel`IXPZ5|t_ zeDa*Uz>;at&p5a6tA*>fto^mt|Iqb<)tuSNFAg^5h0E?MdS&bEy=U>XC(ox;tef*g zqa^F$ht{o%HhVTO?wRs=ibHYo`!9c%<yXyEy<Gpb(Rb6@_VWi5pUPic_t;){nbxgG zi`&?))P!8Fo%HpT$z2A|xl3=dyicoIKT&_rl><M*6|OC1y?t8!nBC#5d%LFcNNl*X zB+j|$fZ+~@I5UxJYws1`b$cEf`MKvpBY(%KoKQbmWtIbn=7brq+dK2$*A;*Jw=?I7 zvbU~Wvp;1<P|%~Rr!TIEU(HcjU1PlNP|obnJ8VClojY^ewd(6vpG0O)+Mal$JNWY- zk7((Ahu=<J{U~O+^C=U%cMHY0oMXQ$6rmvYrpjXJjl>U64jj*L(a;eTIJiorOX}y- zjP?FX9U=wGudz;<9Ta#Ycf;R%KR4{3#-_TUcUslF3R%C%<kA&QOP)ELe45;Q+phAk z%Zx;&N6E4IE0)fDG5h6Q{>`ny$2dDC&rS5($;8B<{EWZe@_EyRpgl^Hwz<5wKHlPC z74+$a|Fu&-z1o_&;qy9=xnEteKIX={AG)(QWUN1RYMWq|B9nh*PuuMGohvr{`lMX` zBy#dO?-a#7TXJvb#QTPND=)C}^UCh5m9u=xo0rxo-qb2H@k&u^)e6za$@7CO3cYmy zC0!Bw@joH6`+;vG3+vkj4?1@&N-T;kWqADhoCC*!PY>7LS3Y+#l4awu&kPp(bHyGz zZ&<ZZLf~|{>zxY+w_AxtiBGBCTe|YprJ9|+E0=s`SJ?FEdBn#fj@qwIJi7I#;8=~! z`EA<1Pnc$AK9Fd;e_gbkL;VqRMy~cr=A_3N3gt(CoR4VsV)u30S$bvb->HB1@0xc) zx;r7%<;xrXFN*bn^Bp!{+E!|JhV|5A{q3e_8(zQmEh=A8`d)Y9?Vz$%6%t$KasTvp z5xoESxQ))S)0d>I%>=ydR9BQN{$yA)J@IqxSBEeMDUIeo@srmjDLnB|*>hFIE@%F= zO4n?z<S#!058h+_{pS})%&Wp1b(6%rpYF-Y3wmws{VV*hyGld=YjK+V<-^4d>i(uL z`4_&KH-GtVw%m5{pX_q&`6frJ?ioxs5T0~D($G$+`cO~%{>J^m(i>W%A741v`LcU! zbFK0sHIK<m{t4QeoR_K%du5atM43PPxuUJ=s?Vw2x6iX)xKhR&vUiEcOQC=)i=D2; zB~cR3kN%kR@|-J!hd3*1(YgIwUHlc_WF*|0>>yGvoHBc<Kz(&ic*VnlNlpH5=WDE2 zySp?|W-s@ab??^ymC1CwU7}?;>1eWW_3DtUZ>{gHYS>xK*{!uZGLbEJUwE*2cewXY zrirhzjz7w<TDbXZKJ%r(Lf5FinYsU?+X_$C+@2_V$}1+O(b;iJMnC_8YA@rP$KHIa zwSQxsWiZL)>$IzGb2v|L+&kry#`)$)yYqhDEzr0#q402T!1@nilY1?WcQ#CIxu;yS z=~er2{?vQo>6tY__0R9JKiPgt<<6sjX(4%MRx9tix=w@h+5dAMO@iu)KECJfZ=A98 zC1<X|$uf?c2XFa(_ElcDk-3K{#&G{Mj}Iw7uV1!k;QZP+<Lu8jOBOG9DA8}VFmcYg zzDGg5jgKDQ-hG$fdPQ!h#jYjw{6`y3Hn+0h%Q>R%*mr-)>=z%G-kDb<8XcR&{i=s) z@n0P|EzQmB-u2sV2yS%x7@ijK*4?Qf?5jkBjM`s@^vMFBgr+tc1?&w|I_|t)$W`)G z(5YX|SF^v(_<nnrPDqk2^OD=^<YpRkW$cpiRz4fC>ZW{ejcBKB{`%r)8!qUp{#(Vn zdwS`2PxZz>9%s!=nSL*SY4r8}L}T--?20KHc8RX^UiFuI?UG8S#VVJ#Kh>KaXk~Xb zIs5B&jvR++TH$MbuQ6Os;wo-Cz088|;Vx0z)30~_zxc%cONo!o#06GXkDM8M>{Vk+ zi|4-3tv}KEDBqI#=+cTg7k)BEr@jebdbd~DBWXQ@)wLU0^KQwq-dSp>EM)4C^zhmZ zQ`@Xrt4nUb^5x#~<Gom;p55IV$+YQ9KQAzo*1BZB$2qY1tL#Vl!;VJoR{2w}eYI$B zJ!9?TmvYv6mDGIGT8U?plkC^kJz4MVHqG1WczWOWGG~PypRTfdxL=Z*?({CB^z^Cc zlivC+=KMJEUCwmnO?MWuiLfd^j6S&3^~|S(Pv3`n-1*yewQ}J(mkG*dfq&~u`sAl6 z#xBr4K5uzIZ(Z!uOvj@hoOb(?9ab#*Igdqc-GQa@7g;-O_@@`T-qI?LXX>{KDp}98 zuYYdos?V}DE_N#w%u4>LE5tsv+m?2?H*J#OZuP#cE`I$&YKH_iHt*2ck(+f$FGuVD zQ;B@jhd;^>xr@5|w2HV@H~C*npw8o;ZVKP+SOxVH-M5``$k^rl_U4qjz0K2QZ<(Kd zw&szm;J*|47e7bOy&Ag2e#QciZ^hFa!*{>2&OIaG8CZD!+P0b3md<=XSK&`x$nGXi z<`<Du1@7;&eAA!pE7!uk{pc1i0p6<`n;L(8(EQu_{LCCqrCZ(#H;cGykA}Y&wlU&v zf8hMf=v(>oWm{e=F1dU*ohx9&-|Pb!U6T%|eZF;V(Hbvd<1_quGrW%EOka5W(l%}8 zA4hk+3U#S}>FT;VyF{o>S1w>rXpx*R)6aEY6ZUTu3v&4T$t6$2#VVp!L8k818I5k6 z<?0`f>Y1{=>3-Ee&%<<X?VKr63LUY2*S+jqRw^@CtWd0)t<D{(EOXjdHb=^T@yV*t zCXxAz9d@}M{Zx8(VM-(CoNG<(4^_3)Pc^o<%xrkH%vFU`ad)^l^9wd39?QnsP2U|h zb*$JHdhr|YhX=PlWcM7ed(zuf{Og)he6{1I5~nF~Z@ps7^J^ckJu4Y?y>ji<D<ZkQ zkF*=ERZN~^bF8m>eN9JaU{K`!J?qK~U;h3j=Q=fba&4dg)Z^T*7dkIGs8i#}y_BhK zzW?{C_Q=M^FBd0B{at<e!n40-Q|3o-W~+GeDc8ICMJV{`EBtCt__VjmOJL*5H3c88 zYkm`-|MBO|I~OzWME^2drQANp+vd!I$%|8eRjf@_j4hb7C)8DDn~7)I@hv9nzu6vB z&f#6+9Uds~L3~z0?v~x|uYOxUD>F4_s=u@MUf0~9-Hr=y{9JPO6x+qVe=SMLS^fW~ z1}yhT@Zt}2I%Kwg-dw?K-$NA%8eyTm-P1S8zrPz2^wc%<w_4-Izg)4GFD&qP@n2l2 zWtzoW>DMk4lA`tOx@p9b7oz+YuOq+j^G!8lTia%^vEZtF?q5MZ_LokR-Cyo(KQU3- zAeF=P>G_N9=eHhl3b}j!&HOt|A+g6Lo+@WGmt}t4!BQXZb8}8nK}!7R^&OA8<9u!= zHNC#)b-(*-42N!WoZWYKw<Y~AZtZjU^fD%7XY;SNEgSNS7?0m;a241Y-sZ#qV<m^z zqL15hx9;OSn!RhAQtnFK6DQ?UEV>0RizPCzFj~gmFlCo*WFr5J_8r;&x~sdpSJj{V zwdHEADC3RK=}wCj=0;{t`(=~*uf;L_iF3~4;I<%@YmJ7Rn~(hYm43&I+gFM|qW@eN zkMfNdmzl5k^{vo*8>P7C_}bbZT&?e)g?r0{&8<H6`QW3O=0~GfCHECA{dH-A{mGb1 zWvdtN;9e|n<&2L(!P~Ek-(B{2D|~Q)hsI;6xoQ;$)i=9-J!^VynU^P5QMrJCq~X!S zbNB9Wf7&mcnSN}e$6Cd{_q>gBZuFFA{JT4~>yg%Bt#-zTA9=4o+3S6<wDRcs*ncT0 zk0J~=Nb`$+FSIT(EM(_-zp9DzG~+#+c?`$f!%wmNdamJ?e(^%*qg#th`cHnVmJzve z=c~di9+{aNSpF$X*u+lCO?s>JV*i!yPr+<kZRF$lZ#C}tGWS{inX9Mre<ks4vvxRj zX_b`9yge^>@7BBg*NU&SI{x!B^DDd0r+$<^o_%tw&diAtW$#w^>mO})oRcMxt@qMM zQTRRkJdU4Ci<c%B=}&h3|5;Y1c2?cply#q89Y~#6yr8^o-Q$?q=4Urf4l-Lc!5~mF zI61_rE!cV4-ouCHRDPY&9JioGD&5q7;w2Wg_UnbSf)_jK#x`HP>tmv8vD0F|vek@6 zDWi4Lj5-H5XcuvwaN4M}%bPQF)9Sj*%qGhhT-;TjyNYYJ&~-~47Hf}x|0LvUTf*m? z7iDF-`HBQEcrr>?&)!+YRON0WpU3x{q5rS1f}pF)rBjQ}#{ZeXl*={klIG+!iUqGj zGj9gVMYccx`tMQY^opAN`WGiI^W<^e3f>naT{Y7}Z||Qyt5b589Gdd$-pWNsU%b+e zZ!!y>$Tnrsn|TNSxNhe@^s8Rj^Ll;eLHC(%lV_$XNvZsnUv2Mq*y*60<`1WD-VE2@ zo6h@aw`h5SPETEC_L*a=c~(zXQ2N!fFFC}FyCdw0yi@AptLMzLlRRQu_ttYNupc<3 zvn+kz?cLK8%C(Yxx19U;-s0){T}5{GcNM>MrhZCo*D8Cer#W$|)xo|!kyi~a<_WVV zEIp^b^uz_zH@nzp3ED{Y)*fHFB>()kldiA4&u9k=9A*9y<jXsM(c^waufW4X|K!4M zOg!uTW~JTbjm>F%e^V#L{7?HVzG<V(%bQn<&;MGn?MzYX;omRsFSwQaIMLKL^%Tp- z30&4z@A`WD->_-#k`gbR(Y4cj`Q;1T&s=Zai4#4b8Eg6Txmn}tPoKKxD;+iWb<K6n zRO6gIf$O38>XY5+O<(VvPh6;fN_gvr0`Bz>Pr59L5q!+YJoS^rx{K}4o=pBLJ~`~} zF-!GlFGOu>gV$P%?t30`Ec|xP#U-a*-Z5X=ZY<&YQO9)4{%s+P(uLYT#2ZXhx-A&u zGsBnPbNxeqn;Wd<cf#H8YzkWRXMgMr{*S$78-sT5j%GDxc09|^uz215C=ZLZ8xx~i zCOnEz{;$fBC2kX>eQB+%;L$xQf~Rlq_4(nt(t4G++1%*%V38}j=8yP2|JA*GcRTNh zwxF@<2IIYI4~m`!=lD<A+TA_p_M)3xTwbZi2%TAU$ZMXfV0lc+x^_F;!z;ula(5mv zz4SQlayGAvid&<OM_uuG&4$<aGH*+^nMk<DMQmPWv1Gqm_TiX(fjPO0=d+k5=>H2@ zn6a5-jkMoS%RND6jSqVc7YDSk)Wx{1w~y$VsGIQa)PcII@3*RSC?+4!wJN+kfh&-I z>7}LLUmF;7s8}AevMF6r`<>@QH}}G$K|UP6geF@T`_GK9Slle@c#kvf)}gdl1<XI* z*69~z`gX86KbN>O??fP%O2)DFpN4Z!W<Ha&bUV+!diy-%S+|Z&;8>+{f0x^*+UL#j zuclSs>9OiCjW=sxFv?xFWQw3$z%@1P?^%AXR+o%b(+rHWs(V+w+2E#l?Ej7Yi!rNS z9GS8G-F=(9{;dj|F0AU@?=y{o!-#*w#(nbnS3m64eiifafPSy>SKYScR+~3!tAzdu ztoAg&AO7%tEaSX|Q9IHfe%Tgveaf5nftvzOhUAG9#RxCmlDXX{G+F4uqOVtH&GQcE zdw4MB*SY3jOH700T8vb~BPzFX9K5pI(|Mg>=Wf-Rye`qPg?eY~KC1sX{?D)T?d|R7 z|IYUKXHfE?_?&CZj?Jru?rdJLGWB@XEK%o@JBOma?z>mIn@6l>uW#u8$2psNC-2{` zvP9ceXWsh2?Xu<17hg>~{%?->G+*6&+ga!BZI@$gcxz~9xYHmpFRpoNwdYN(z1b%u zrG?x$=E(_tPrNkICHO;t^sLsj{kLv=95hqCo<4Quzr_B(rxo{ayTy@R^gP*4ao(P% zs*(beHSRJjKg90*KL7bwY0H1?(hLT%;xAwGGVK#v_v0qR(cmXi^Uqi1Wc{rzWSVK= z?pxUQNBZplzDt*!r{<O&FPk1(C4EyMVAph$t4yDdg$bOMdN}b#cH?0m?VPMHd&La? zKbAfo)?SliGOZ?Mhx6T;A7f`{tS&!tq&B#L=Xa(@!du74TW;P*=k&T-^a$Tswf~mH zm5G;wB-E@vbk6#c+0@KwvwJGr`3X(=+wH>s-C=N${}6w*?(aGC^|l%P8O;0#p1oTz zqxnVTvqf`1IWKh+(-B;4C%B|pWOr;}wri7c;>L)xX$SqJQmhkBAAh%6Y{?z_d*2$? zD$nR*;jz!s<CKeE{&h}$(vE<@{wcbDUD;fc<o!NOUj01$R+)E8y#U)opUamwJ^Re$ zB%GiveErSB7mmLh#jOGt)dZNb9ozcyi=6JPy%TwaHk30i+QOriud|XRYVLOisdDN6 zT#Ghuv{uWSAuJTZs--RH_3T;de_PWB-qY0VgEw5@w)yVKxnuR~U0TbgG>H`dwc0Rc z#jSIz8osgIn$6`PzbZFkW$CJIjWaKH-fUu&J>pOho!!M*c)&Z7QS<rk`yB6I`b;@o z`cm`wbCxFi>fB||kL{i0qIYfLqSx!V{>t!PJy*ECekWJEg-eR%vIlE6KD&DBbMdTb zGZCM_tqY8I@l1Zy;PO5$k7deteW|Yp;$~a>?hX7qVXfEc$0zMRzF1%NqJGDvy+VQy zw7=+0pFPQV`<5HB@~K<jE-hSoi*?1Ednpn3S)7_J1Q#*<TT}b8J2S=RLF0oPEZZ(B z-PQS*wboMPz0CeICWn@4Gf#Z_dEyLC_6I(U2eOjZY8Px*F2A^lSAOYEiP;%JxA#T{ zx^?YQ2#mb(LU+Ej)YhfZ=@x$<{7SklsBF4tuaDI`W%(!dA*|&ec&>4k_qk2(DBK!X zzL_gX_~_FT*RZ3j&qgeqd{?LF>Ejn6zgk|ju6(_kf8x|7`{cc2GfLJTfA(m>&zsv9 zwX$=W9*<qz>R=)iEcQ^+%TuVj`bmmY%L3m&lIxhc<{p|Ykk>Gi$D=xyC4TpVhs+;+ zCb6D;#^#=7v+7Mv!H)~OtrotE4l%mGad-2B3z<tknr-B&5TB`di(PISTfoH>vD)Ld zg(s`!4YFo<p61_US<<!Y+u9q9cRY%l6~9l8cWV@7IrsEkI`_Y(75kXIcW>3%)s}1Z zbM?`EO_O(J8kUJHzUz9g_Fj7C+~zJ;mr`#oW0R(XlRkVrDzu|wLeK%NPrNrH>gu~6 z*VyG=+rIw7lFpL-le0U^eE!N52MfgSlW=^Wpc44}+X`vVCg~MNm~*wC7IkILnQXP` z%k+M!JqvF7C=~G(*f8h^ax8TZeX#FPQ^RIa#UFNQ^FQD5oqK-%z515Ej(M{+8DHJt zVQM+v)-1GQ)^@hD;noRqh1=xZKN-6kvn2HdKAV$PyKUNPu5I7LwZgk2FYuKd+@87D z((ZuJXSv2|j;K?aQ<hf6u`R3O;%8oK7#iS_a`~%!x6IG3wxCU-&hi=uH?=x3NlkGt z&pdH;%AL?o)$kMIOxn@4NA(SSEKV0$Hoxj%vOCpmEBZ-E)Nyid<kQDZW#VndZ?0c? z^xW{T;OpjQH=ppdbFvQ@I{)A9bLYQ)z3#xuC3(gBzx(tl8uIH+$aLxF<|v+jwu{rD zgL~su=?zks*XqCa|ME6di`yW=MyrW)GuK?>^rFfI>gvMDOIPiA+g8i*aMJt*(*7*Y zs~=9|4hY=mY4lKmt7%&8L!pDETk21&{lN83k)iVjTe{+(SC4e0CO&(gb3!%wv26Yn zjra|x>UsG>g>(LY<yhm_ZO{98e^KjRlMC_tzXWo7Y@2qW^&^A9tOvTcB1&`qsXwaP zHS2KRgW7$rdRfXRZtZzE?PO+9m6P>_XLTQ+?_po<{;*s%<V61T#a#R$mjdigaLPZN zSvvV|MtR{c_5E)`xP%17PChAF`sJ68U+wneW=B?t^elegX4vrbfYZ<Dx%)Y09_sbq zGWXBdzg;Wxb_8*Mj-0*2kMBZVR+8cueaYv+j~@Rzse7kxq4t~$5^>M2^If!j>}UO* zf3{q>?wh8E<p-u^pEj<U$K8@!%5e0Ym5SNrZgE$y*Zbtz-ydh+be#RiE#pv!>Bl7f zO`fF-PrJ6cj=v^(@50(!BDN|=XHU1Q+xh3@@v^NkFE4&FV2t~kbY`aDgU-6&>1A>Y zZf<EkyG(7b=iwHPXI)#r-Ma8kdRtnTV&0L&O_RQq9-Fk7P2!-(K5=KedmkTOT2XXk z)6?*GCd*zdO}3lp@!E^~E)#P;Cv(T{2<G-*BEo7RQHR|W6u6YK8@49h?RvfB?uCcC z%!2D`o{9?z+^S(o5Sz(*A=XHI#gq%rp16g1P5dnuI@vERMs35jrs?vR4bI9<I61Yk z^`5+e6KC%k^_AxPr$4zD_D)hPUd5fq)na=7O#dG<UN*enRNv{+#9Nb=r#&hBTtu65 z#YTq0+b<X&W!j(MpX7JOg~ONW(bpr(`DD9(KAi96v3U*C*1HRnm-g`NIJW-d^D0FN z_duOzvEKtcj%pjNyYIv_ZEtA9Nz;7}CG4T{p;!2BeBQWEXgxzmyfVYwO&L3{xvhK0 z`F;Ik1Bc`%eqHwa-OlazTV=lFtJ^)}+wFm(FJpSPy;01XlO%HF+sb9?f$m%9PS4C) zl-0mdbVpD5iT=YyMrOslWers^;xh3YO1t^fzp7~8h{_duEmk1U^wZ~?XVu<)H}?he zUtC*~e&N%^lSO{|-+jaO%$j!iz&7KF|DX8GHQuu*>rdd>T^H09BpJ_`i~d_zVW;L5 z{>Qnv<KoJXyExvmADeRFq0=PgYfmP9d8pq!?fwzo@>L8<+P4&@u9k8;`Cs}RQ~pY= z+3(BC3XX?n2l+ehzCOEquEz<f+xm(|hYGGPu}fdjzAs7mc!&4f-%Q5AtM0WLKMe2s zB(XgBrs)OA{~wjF32Jl-UpSbuYU5QyT~h&3=2ounQ}<-<VtKsN{m5+14WDLuOg!4W z;7yb8{iqwcl`8e?3pP#v_>9T*@2WkGe(gyyDQ~?*{A~>7-I7dCKiVC!^+U~fk(G)| z)=TfsyuR~Tr}~-$IY*Mdw)Z>SNNi$yTepaVeNF!<aci-CDP_v%Id;b!XOg|#uOhna zc-a4%Jngj1eJS3545!XJ{Uc3|>F${WA+f(c)+gy1FPPqRZ2HDi;s!<6&iviza$LB8 zsq-R_y!rZDhhOGCPFbIt7_(Y**UW#@CwbrcD_{I<s!MWi$9n1ME2k;V*2w-@SABlc zvulrLuM(ZpccAe;zw-UYUd=!jkE09k%jEB0lA+FglT+A9^sLsEw02eg&`0qvJA51O zB<&~&TTt2Mf1B~>N4CxiMS~@qZmcn0IVFDb)4S6a3K%vyvG?D%7P)zJp)^PL>&ua0 zJ>T`$25;1~S<le;E6w`!tVM?nT;5q$O}wON<)~Pdy5gnT1;wf6U6MOzy!J5o?~=F0 zXvJ-T_lv|P$?(~=?!4v@vsY^C;x($mvWwqj1SUA0E@(PruIzJ`n_b~o#ua<XqI#{Z zyE3Jcq;%@L9+xUF+qT(WJ>+}Q`g;>!_&s;I;aRq|W(&i)0~OCB<vcdJ`ky<nT=kn) zulecJPg|v}G(}Av`qG=MBjp#XwX*Rh6|O(TB7MSeGN+uWu7S1Kp~H7q8>|x9u-RK| z>eR`bE0^c9tAwAZ{%gb*dHGrUq0i17C4mBSxi{5{N9amF+BsLMz1=D<H_v=~>)d10 z`xx|P)k`<>#!4kR1#-?{&zl)~)cj(=G6Va!_YC|FR9GDOTf%T_!alQSKldHXzRrE- z%bY(#E?3_%9RKF^Qr(30h>DcUrryrthg|HcRWBE;J|e7o_+5p|ystc0R!a#kemKYY z%OV%A|61?+qU!4({pP)uzpkh7n9IkUw^@N}CiOgLXTPCvy!`paTjK90=)YQPuHN&u zDdBy{FSnwvMme_*%sc1zu;i!LQIq`i_4b*Og`Ig{Qg)@6YWxsMK6Cn>Zb059ubmro zRYUpCcwD>n?bD)lyr!#7MQ+~<kK{K#xjmxou-GF}w&X+khBeoxeRBIP-)A1z*gfO@ z_HBRnsm+#+<f#*Tf9i&igy%fvb2SOwg1$E%1?fJVI<=EahtFUcmqiEf-`l*&C3EM9 zOIe<F{Tsz1r2K9Xi+kno70xxXQg^IX65g6_%Z_TWzWiuQwsBW<ZTPp&o_k8H;o8YZ zynip$@ZZ5#xm$T}-t7lDKQ<{zGx`dpME!MXm_6m`vY&5@rtW;ADSjqg=2_iSAN|SO zZJx$uoSnN*<o6*T7FMR&9qEUTM5+Fr9&s@F-?uxWH-ovDt&U~K6mwqGJ-ei2jkk2& zme<n`TyU&o{;^ch^hB0&=)0@+tJd6-I}?AqE!y_|iYkR)8c$>%=YLL|So2QTP(7&Z zi1~ys>pmYoS31MzfSmDO!H3bVeAhY(H{JZ?5vR2C+J>bkCLiE4{BB;aqw($U&r3c> zyf-H=R_QjX&1T|T|G8OrekETe!^2LuiR+Ku<KFzW@%)kqi`%#Le!phaIHoeoCs(RB zZdpcZ(vIk_k6xSJ>o^?vSWo=(w3YwVHyjc=pLDC^!^Y4{Hi@i7`So^NYj1{%O^@wv zV2OBU)Tfo~8k1}zm7u}B`jmCc)9&>Xw%*!lzPj#MhUH&n|DZFy(n2*I6C=F-FZ`tY z^a)qLMd8^;RVgh@no766I0l!bZ@lz|Gr84D-(O_HLcj2$y{&)W_UcJ|>aN|{wP4-i zCtH^O@0Rw9Ht(KvS=MWLx$dqxN8+!gNx#ZZvpn6n`SIdcnOs{ZcNXi-nGqYWRhD(y z;Gfbx#(R52UiTK5MV2MZnCB35@1T1|aiqyiYcA`BovY_uI~E~Py@%u2MC}9fXFQqP z-+!;~+!{{DueMt^zn^$D;^6`1&FkVE#HVjr*~PtPLfI9WHM#-K>zYLN9XR!;PT;+e z0!vYpwu$>x<(W>O8UNfYcz@j`NG^Yq${lBww^n~{JgKnQr=Fnk%2}t{>9N-Q(;sC2 z=Ia}YyO!8}eHi4MKF@utPtbm+?LRu4+x~W5eD!JX)_W3?zmBG4@7Tq%%Ch9s#Veb? z&J=atzAbIXBi6@3&uynTN7&wvX1iHAWkarDh*Vk7y(PsZ2M_SBbaKC6b|$B9!NQ`+ z9gJM50!{B5WEcII@hX46Y5k2Y`qM%=OuyDXNnEt)N$}$`bN=wwuOI(iVd31oOn2v^ zDl^^JTgxSCWB<6d+&&T=r`@4^_@AWN_eUp9_P$Myb}4++&41yQ-Ra5x28DABuW8Bb zyHs)_gU3;g=WOZhmRhrozji6TX|+ClaDrfC-!++#{R`HoyiAL)`C#U((Ee_^Y`a6r zlfEw>xV!FM*lxh>TH^V@wn33K>%7|O?wb=Ya%451JQ}RtmREb>*JGQAJ!g|=Pu#id zxsD%;hmk>0h4dxwS@DdGGXC#UBGj+?ezd*B#Lp>qyS>wvvwv~X>!r(hWPFZj{9*qp zsDG?`A@`=R4v+Wir<5u3S~5DUdX;YN#8xWEx*%04-Fn&LuF!yYo_}+fG6V;)s2@M_ zdT-V|gE}Q{-rCZT=Y<nqt_$&u>R7bu^o#{!j=!a6H}ia+#DDU1L27;d))!}4Hy<fG zx3Ycq#$_+33cY?|`-Dkc(r8MH@mqK6`K6aEOOAiA=yQ0zz@hv5<M&tVkJ&vfYh;xV zQ7bUoa_&7}^Xl*$(<UAWl+W@AY1`!fxAfSz)s1iVPPt{jTP)thsci-4{4KQ&AG~$l zS8p*@%~*b=$}{OlQqh6QsU@xJ7S7qBzPjnU0#}4+iiuwVi%aj(Ef2JICm6NmwXp8C z4tf1})#aofBMH$r&yA`C>?hyvz1H+J<;sEYGuPeawYuNAf2H!%-n(fR4{R)NG2L`; z%7qWlZI5p}nc<<dH@w6AH0Q~qr3|55VTbZH%fdq|T=zeo<C*nEV^+nMN4@!>o(nCN zBNJ=&o+LWWW0AU5zOFZ8)?vv9E1FI{>OWfd^Z%R+kAIW~zhIf(^I?AJYvwOjs!ujt zSe(SSX<F#wuC+InQu+9#6YHMG)X%@_oszvXSMo*NrO7U<B$R)g63BhB_Go%$isdfX zcYbr2{5~36h{xJ8t=aHu()ExPQ|4*t?`W#K*;Sz^5nr46K0l#SqbrVcVd2VcI*aG; zec$A?^;H+oO&1l9bw@d*|NlSpQKTY?JyoeM((sqvffGz;_Wccu(Dt9(T$HK#@!i+c zHg~R`xwP0tV?*V&YfN633}?r+<?43(?O#;2StD~puES^HcSnz&l8jum`3RGa*7^AC zj&|<p$5xmf^NP1zb!d|B%qLG~3tsjtIH$jCQKsaVwmiRoCki(xRQaD&Eo%Jx@!85H z%DKiihvWCRd#^t;IZyt`5i_qB1*fGR9?)U$uFXt|j&|XYXF7Oy-@cGD0ReZm#ed+~ zqR`3F%Y9Lh_vej|5ghU^xhuC9nO_dC|FzM7>nxX^0|Kuj|8G}#vTNH9{?Jv+%>R{s zJuk&&WY@v)@#(>ZH@2z--q7H)U(w8bZ)(ySStZk3+m`D1W=ExYd2TM$<(;H6@8XeJ zO!N6vKJT0KbZ3M8+Rc|-J+h2Srftd)FfIPEKy8Pq^kNS&r;d$w^G#k^?h=hLc)sYe z>d&}oUe@2(+x*oS^{=>J?%eiw!R6NmidAYak3RZ*>&~b6@7Zzf;urpI`_R2pqp|<V zwA9u>!yjxdL2G7(*lq0j(Q7f=&#G{~WzLt3r(9PR`*_wUew#Og`^Id`okkPRF8TTJ z63?@E$z6|*O0y}{`~0bYWcM)Hm33u8vG<~P*K9x8txsdSxo#2DQ|2yd2?jBTm-{u_ zf1KjpaQ994E}!Ifedc*hv#+e&$CD8DYUW<YtUC35+dscpd_U<Icg2_KmhqR){Cm{d zD;ktqQpmSw(Yb;nUEELZlufVdki76{fy0GUxy$xB-FsB^EjaMZJ0ryk@i|(zs%jk$ zn<y=2$~kvItd&oWDYxK@?aVEDdYvby9n$=4^Ms$7r6shvB6izL#mk<>pR5yh*S`&Q zpSf?g*O}S77;<Jx>_6*z_trVjC;CAJC!R^}RjF&YY}^*~-(%8w>ANEQ-EqgZ@0swp z{>;e>LccR62eJGzuRHnhv0DgNS=}F*U0h3ku!$Z{T(RwbmAcve?~&cS*-`x};V+nC zj>Rik%|2V%W-RGzaZM#M!1vcX<)6<OctSQSeVQr0PqIH}cFJkdrHfqWy^q`}xMSOb z#uXP<&0gT|SH$;jfn4|d*6rKb?d#?EKj(5)H!t})qu#>h@(QuIOA_LIvS%M2I?h+~ zwY=qx!CFa=P@nIX+V))P<pSxt+E2=4Z|IoUt`T3csqEva%IXrcKE|bL%&xi5{F~ZU zPpv+f{>S8{-=liz=7g*6+wc51qW<&Ygkwf|(}lVZ#1?P`Eve{Vm>{{dBsSAv_JKC# z-gR=*8T&j#zWjQ1N$LK|kk@_@EF2N>bE>D=)TI1m5Hr0RTwx!w+A(RV*uQ+OeOFf4 zo5&r#WO?SF+G@@<&i1Q6*;<7<dUu%YnZ@*JrQtR)*>KJ$%wctF3tc}7M2fkF1{~9s zNOPLbbLzPNk(YBmM9n;KnYD4Pcm47?rG0MO`R~umcK_lyZGsrv<ZtKr0_1Nq|M<V| zT=o3VSC?zaUav3RZL*U)>Y3czKMS?*Pt;iYZbqBVRc`hR|07xEIA$do?z;b;O_t+q z?bPn}{m#1=t;-kstv+d!?{4ebXXOe_6D{7k++s7gTh;M!fBE|-XJ_u{&06O<k8}Af zt<R~;j+@BxU4EmYx=nchF}ZC4syA(lXX!HX9}?SaId4w&iC%Gm|9ZU5GW|2Tlqanz z<G#Oh>hA5H+;Jz0jB}Rq-@anJQ%t({)9M#)lNIk&Rb+p>boA=F8=kW>zchCp3|em* z*u6OUPj$Ju@84(hKEKTBi@*5vtH%BB9WLS`?kgv=_P$wCsPcc(Y5nsiYRwuemc8D$ zS&wty!!4VyuCc$nJIv_p5nHXe29ennY_t9b++bcW>>}u|?|Gu?U53G?YjdmQJCx6A zq=huzoRwc1_CZEyxwKll?CG09sVvHK{gdAOoPY3z?!?6J(@y-jyk*+LT^IZ_bi2Mt zUh9mhTs2K%*W4G;YtI~EzVp;wKtR6cEr+GekBN$ZvZvT@_V;4n{Z*<~O8C~nGer_t z&V4XwTekG!iHMz6bAk?><Y;03_|J5Pb-)^(g0KT;m#Ive+IsUv#)oiy?`1EhnRwbR zby?|c9cO#%!L6fWma)$L5wX9Q8QuQj<x@GU@qC7Wzr>Cf>tefRMv?z_&ROi7!ON`w zMS|5|>xSu0#T8{-J6>Hg+I+iOS-|4-FWvY2y<G}t0uszFoS7!k;Mx-*v?60du#itf zjgi4pzZVyu&OaHJ#rJG0!&8r+XPMszW;V6XoG|h1cHSOQ`zMj}9U=^37l*A<;w@ER zc)Rz1s_XuxVN;sUTx`8In>}h(&+fv-W<_)O>q56Ki2v|mc9TbR{=IGA_qiMVxwTVM zJ?7~p*)K)RcQ0_<j#1rHzMLbvI9*#>@7dMgZ%p24y}qfC#oB6g`-?39x)v4Y6&I%1 z|J1QpZCED%EAocmRfbans=5cWCDvA7+SLDdo8}fxscrwC&+@L2Y~^`XS<I-rWLB`w zl^cIAOMcjM>F#{t-dEXTyw=BMdn6;=R<UNd^opv5_uENq5^In(+hxR2p&S%?XH(#X zn>+TO$-5>gd_eqd-8mKO)Xx?d(pFw$dntSTj=aa``&=uOG6c1x_N^$MTA3?o*L<}# zNG(3<+cnLk2lCsm@^3E@kV*VsU(ct^e=C^p#D^&f5zd_^3#Rv^x>*(*<Zst+Hf#=k zmGkvR(($GHj=Z~Duem{nd6UO!#s5d2$8C?jaNBWB*n7<dH@sGQ-IV<8)9}Jf%DY(6 zD&Y8;>6_xdyIs-Fa8uB~a4qh#frDR}1#g7y(?#NoTy>}JH`_CFv+5~JBj=N1>PZY% z3nZRjH=C);$m%5_*&}{pubTbl+I=NPoxu}(b)wEr`Ko*)VM6tVaR0sbN6cDo-Oo`u z9rUsMkj5VQX$=u7k+GU_8nsN;b6INl)~-HN+;s8$iDm9{48K}^Uw0$6!+?W9Q0C)* zwPlN}7pK<UD-2!cTjj5_#kF!#y4~YLZtB}CEIR*rIUYRwe`dX1$BoH1c^@imPnf6I zBy@N6qkAgr&hPp8%k9{i><Y8lHRqnb*c6&!n{gqNL-s6R>Ux#Lh3EH)d}zLQ$;2+O zsj+hF(>)z)c23xDG_6eNk;bEC+gHBbCFHxk$M?}E`Ddpz9<H+bqZ_?PMrL29uwtH1 zfwSk^E4osxH46n^t6q67GxPMrw{_pRGgn4bK6HDm@_NhWo$<=EKf8HMSt`TNty}FY zw>4%%&ZCbBeCEF+&wdtD6kfZ;fj9nNb6bw?)s)T0=c{(7Ual6I`{r15Uy<hHZ2mWe zE499SfBWR?ue?7=m8sDNNB9zFr8TQo+}?Vm`doWkrq-><$Z2<;Y`n{8wXOVi=j9K6 z4oBtPuNsNj*X?rKIP-~UtAf!40kd~E`tKUu+ITkcOlRYXNe6CqFPG2`6Ua|%;1qkk zabG~c$HbnUs~=CfF*jw0Sl`v4_Ucdj7cLNFoqR%O>d7_TksCK%k;oIh^GPml(#*)% zX(zi<xWDVGsh2pude;2JX@|&#(-w~oD&K#gd9E^Guhxy8%_Z}yqF&#dzI5BAiOueb z8zvZMb$yW9y`EX-=%2Sma;JBHe_wdx=S~~{2=nIC`=q|_NIvk_{Mv%shr9h6(~f>P zXlUqT*5z<vA<K5V{#S{mtMj;Ren?#iEPWmNl7a7Y^rdx=To%0cHd@-j{PowNu$b?M zcm7N9y7DLE;6y1?^$(^O%om=K`kE=uA}zX2VC~fz+uMt-sXSJBQuDRhco9P-X9=r? zn=eOYX|}57?FBAAci(T!GR@Pv`KV-?-$vI*ZNXJm7ZP>z|FZAexn#RZR>ph(?I-i* zpPrNy_j7|mWQNE2N#4vsl3jnK`X2vc=DkwMIE(Y^hyNN9B|S_JzuZoK=I<obmZ>Vy zf2DTE_R@GGtzV2T>$Y!Q_S#lo-D8Qms@dNQYbGaoZ9G-I`J`n1aS!K&6C$T;e9X&L zV<)s~i%qxAkq}a--0?8LTwsr5&WvAM-&o9@n87n;=B4mkAFZPORST4q<~zoy99^ir zP*TD~c<QZ!Ri)nEKbKqUt2}x5h*wUc@L8VSnw-h2W{4@IM;_*i@{Rl2bVO@LT<iCq zMGoonruql}V(xmlsx7hn+P`B@bte}Ip17eUZC*I}on^va!+Y#ITOXS)JaDW!^vH^U zj%67+OP!>}|COc*h8$SHv`kJ=<oa$uTg&7`PalSp(>cVs|M*wiU8=Zr`H$M2IW5*K zGuQgbR$Y9uGp_b&W3B4+SHaz(zBdJUZEd9||GzKw@SxFmKIvQU_LdeO>MH!{bt~yc zx#FC*otyTBt&rbZ{G1^{PAEo;@#mZk_K7QESBYyK%j7*M;QHR-XFThQuL<RGT3%~w zrNuV=&l10N!hgYrg-RT24ytLrvfR38Y04cn?j^@&UXQDY%v9O?!+Gzu_vdm8udLj0 zov*W|&Gx}jsSQQTo@`L;RnpphXLfGcCC`4F?9&w&JulauUm^9x$Tiudar<-SH6QMM zlWE=ml{L<U?Zu_TSr64&Yp<5?DV583{qRG@-b4FcXD7ed!KZZ5A}DC{dC6%PZw2pQ z-h3>wX8)Z;?iu=JlQ}khdH;-Ys*~So!5!81Q+nPrD0NPGeq&c?PS(7aoz<`Zol-pT zJ3-)grNsA#Ngmg-&%XHK|NrvZ?~gY4S!Oh~2T9EQ9ejC5j`e|8D;GOIa?=$r`oocY zhxyb=@0^2IY_F|9lqvquCf6bEcg{4%p1%jqmYX!)KWh{dwR(Q8*saEo9_`n{+^f6X z4{bacm@r#Pk#SDg#GG&YzOGyudN_HHqSunZ=UQ(bZc+MwaFWmzWzBEL)1DqZF4(c9 z_wVvhpJiEYkLLu`-c@=Rus=koD6Fx_%tosCLiC?Xf4An>8Q$Sc%0iO&CR`DUKfNYm z<IGRb43(-Tw8&e|lXtyk%B^rMtTSZsrX#n)KOVTd=FOR}eoZffzrS-=J;*+dGgAKE zw4YruDT^0zUDJ_0(zL4TyV=SaI*Nh+vTGK*tDpX-A@IspbyuU<o-aGqmKDu<pxy1o z@ulISn%@O=_4WnX7B+X24b_vk&iw5DR_JKslLN2g5_W90d1hDo^ITq#{4SS2_D-I1 zXY4oT%d#W~UnqMjlIzQ0vG;Gx#CWl-KTh+^+U&74z3I~D*;h}R{LzoA-|VaU=a9?q z*h!V=+FF>KcKus%CI7qsa{r!3Gwu|IXxN>0l;6HE_t{L}S>k`KQf_okbf|e1`H<iC z&nIR%D}$8q584LIa}QSZd)JhA@chj7)CyLZ^Y~Wl7sa(ZLz0SiO;+Y!U}R9>TCcyl zL!<FIOHV@A`w1R;ue-8*!u9qnYZ9J$!KSpcG_u1+>~N#wn~=!=yZUF8g?zr&$7%YO zkG-%WWJw$|Px~izwvOF<dS=|nV|#g(pJj4Q`K&j8t(6x}Y53|JX}IqM7t3?8-3M23 z1eZB&6>HO8ud-bIWch@h<xdZ&UDok>w<Wx#NOtQp1GRuFFAi*I3^>I2+)J!4R_#%{ z_{HxYY3Fy`@L9n5m0h4&z@^_$GJW0buo#!lYe6>BpTEDlq-v$na(RKN)U?OJj_SHD zS>f&7>nHpCTy$4$+U=F?TREE#yv=^CW@P_4ILd3AYt7UxmzIkh+^lP;@_qUp3-;LR zX~oC=t^LJa4sB34x!`@otRp7O`tKhYJ<vG3zl|ZKr`%6h;K=Vg8F4RN7K-J)65V>; z=H2De(suKQ&2D<#lk5M<*flf?XHAR}550cPIo5cI0_$g+Qwg8Ec?{}ixOHxN^Czt1 ztzfqGx3BkuIVK;Utnl{jr_6Jg`PY@K6O}%^a~gMv!n6s?SRRK|e~l}cv@n=c&e-%Y zFRyLFTb;VwJM*@x<}kDtuZu9a`}6%NDaTjG%OzX(1SD%u-&cB3BT?Pth@B9Vm(G#L zb@IB#-}4q+)#z;AzC~?L!5x?O6%H45ZkJxV<utE$%2gjn2k%DP$G3GKIh|Uyb8 zeqV7L$MM?chl_+aNk>n~lPo>_;_-H^%uwq>p8s#^YQAyxoK0I+molO7#h1EYzq>SK zXWL9^Wm=T{_H}l|LJ7MAZB~x2A8@^p+?e-$R#Aa%^xR7B4Ii)b*p!s)SsT!JLXz2y ztFq#A<=(i7wJwE<Z+K&Zj`)9><FHBGR8&*O(xtAmoi*~mqhDFc>AE}ib0=P$cP>m` zLdBLtc%AFTX-98~#!YhgxJ%KG?bV8@OVai7l>(brZd5({x@cuncEg0~?Nhk7Zn}^( zTlkpgVz=b&VFJf9i+BT%%Y;1BY+bL>WG<TX^n>hLP3GQ3YvugU@@T|WT~^M&&7=3{ z<=jhKi<tWgn~Srz`Zlz0QBiTdd7~>$BRi?%($_Q*S^qSDzC{M|ySBUUdN66vLQS2G z8m~PnHK*U+!cq2)CGe(*;u7AP-X(YKh52Qp)ZffEUU9SZn)8>BKDKlH3^>v|7A>?g zW0-Y4hC}x1+XGHsU5$~MSHG<BxiRHVVz$eg#s4<ftXiO#x>(X^@&@6YDcq_>SH5&+ zyt?SL?Z&L%b*sOAxY=U&I%vkXPn%o|E6t^AXRkacbZw>cnf&rgvzVqy+<13p$J5of zjvi*&&Z%*fTjGPwiMWpolb^j&y=^!n&rCz+`<_+yy&<bM-HJ@wvt{3W^}q<u|0jF( z-_84<x&E7z$ep%$LuZ8(S}xNX6l49rS$Lj#(%;f3xP9p#hUulw_WYC7?cC0P@>ywb ze<=RZjLXsmtnY&5tzE1)EUY^HE#kd-tzrSsr2m39xGGMh&G?c#Ik)t~IY)238+*Sl z*IP2dHQ~dn`&%Dnuiw1pY55wJb;X6ty`&!$*C=jC+hsO?`A0dE42B%@Cz?f@o<;VD z+5URIt5JIA)rW81ROV|RI&|U|pO0$twUc2?mTHlQ^ulCQ7V^&byv>%ihVwn!%Yz*q zY`kU%-6lSIBkptK{o{)Js~dVRDZO7I@S;8A>gsln=Lyx`6Xmqt{K@8iF!#ydwVZeU zt=_-?`qszqcX3Ycc{1V9KjC}c|GHv--F5fsRXftodcpo2<D+Na*1wD4=o45L$*afo zcuCgLJCDB2WytP6BOQCpl0VezS6G6=)EBc}RQVfzV!Qr2L4Sss``kr&f)^fzba*MB zG-V9DDZ2ZRO;_?)8_|NP<y!2GX+h;B7Ho6QnmNh)na`U4`PR1w9HDFaos`069Ojy- zaQ9<GLHTqxL6Lsv3&L}@eG}cv!q6d^RIpX>82h%@(<ZX6f3$L8d;Qmai4|hkZJKW} z&sOUbt5)vu5Z+Y$?oRk`)^$Igqy}`#m+t6E-DaZNv@?z|$x++K%vj^OaLp?xGns^- z*G28!LEU;?=5LK2)O?xTJoh|Ls8wC5(8Xnvaa#I&U;jVe&*!_pMC|!2!6)7`>YjAe z-hGlMGv8(U`8keuTGb-Ecl`BZGpJWee{xaL-rBN%`=Q*4@+N-gFWcYh-haH<^+?F! z&y|~<--wp0rLLOorPf#W{Mn}MUH?~q_MI4}@$y~F(;MC|>Ri_F8m?g5(cqbOf%{^R z&ilO73zafWe(U$Ex$Ii!%z0GR*!9?_pa&;Z6{3!0KX_)<7<1Bii|ECFo*S--&Wo3w z@$cH|?MV{uoliDoSU%VGIX=Bqs*|avxc^rrPtym@hpRc(@a{TWBJjmyYGURL|BG>9 zJXL=iuPbg_@I2o8M(8Q^wuZ$DH$8eo&-j0;4ltM_Xr6Mj^rG61<sW*!{K@%N+2(VX z$*Q<ee^XF~Vbz{(o!gcq_q}+#RLW=a-0+3JLxf^aEiAmCR3mwtS=KF7W3QHq+zjU% zIakGgTv%cBvBBq^oW{FV&&As<45TGpt{v+*yE;YYWrRcC!ZRx}N~(jC&awQutGXk~ zdy~?Ge=^rT9oswk)sae*^NPAIzq)u{DA;e=wLdv<j{DPn%Wbx}1}Vo0$_KuSUD0>g zw5M{aqB8&T?ge+4gU?#!#_hYObw691w{Dj?<A;N4!P`&hsAS(V_g^oNmH$fiQ`#!$ zFEegCRoZDk{BeA%Rfwtko;iUQT1$SouxZZ@J$-P$?14|t2g9D*g_>K3?mm2~(^YPN zUvl;FA9s(4guh$8+~A+;OGV>HX^O{wFPc!eU&UHSBeP%gfoQPu%wCCyFP#4_`|6t} zW2LDeDJ;-;B%~?D+hAMfC$Ue#hc3*2)||ezZj!so<OOY9b7!CS47b(PNbZnZc<At_ zyYnM;74FLNU3Q88<LQ3N`EJ_-=@_5T_-($%;c3-%V&!sgUhLj`$YP%y&mN&K7hgVE z-oo+w;X$^T*2;VTRG+uqa(uW{?CE!l=lg$YC$J^FsxFQ$xMI<GqNTLy^!r0S(K#3Q zp4Xfr?JC}2VavCPZN@tP-Tk}xwGwSJJw$%~X=Q0k5DyDKYnb09F>}ec{Zm{_XPTeR z4GWI(pRV4uPq|~wpZ(@F{cKwOGp?!4kV^P2HuInOWP?3F`dVt6LmhssymDG&N6)H_ zX@{MR4-{_w<NI&>#M#@+msBUccyan}f`muDmDx<&WAl#f{O|W;@{W$FR_cDgLMo=m zO#YPEz0aQOW!O$9o#GH%pDW*=F#U~<(>d{mW7QT`qo*EnJDGfb7X-@~l=o=pzB>5i zc#+0DSLewJTg6r^k6hHgRQu6P;}hD(Tb;G4Ehpzh7V<NncoeF#`A9<MimFvNPew)T z{$0-QHK(+3R*{m(_kBC>)QhJmlm$sk|0xf&(W_p%N&VD<(kr5o8Y(82wU!!iZHr(j z7GM79zM`7r>QKh_M_6{1JYTzoyLU;ji(2$^>n)K_Jx_a_+#`^l7@5l)@hw`@Y1?}7 zvo&)BuSOqhPUzKDG|)(&?xxhqVy0Kn_cQ-qZYEFf#=Czv94<NhT6kLZ2^r2`ie3&y zt7MmV7~j3-x-z%zeusZjoAFZlU0+TyH=eRhR@IKY$SZV9@>%+I?!q_qi;nzNtW18y zaM_e|j%%2P&|0NGzZLH!xJ13Xa5dZVr++S&_&MhKPu+hkpK4yyzjxzB%XRmsl3G_I zE2jyI1_-A7kIA!GEwsj^OrrnF_WS({+Kzsx=}5|KicNp;!q<rB@UGoj2SgL*O){MI zX}{}VHlvL%BrKkMDV;13$sh9|&oZO!)#ce`mak;)U+h_Kw>f9;rO&q-Lm$2TH}lS7 z@!iw4?yIth7OjlErWqt=p?KrOF{OnIjy2!@{h0MedG+0$RcwCI{!y0KuIUO~)4VFw zZq=N9Jw&!hNZTyVU!~&wwh|^jX;I<pqIWhOV^p5K!u8tY*mAK$igoXpV>=(7T)RT^ zb8)!;ckAi$GM6{qkFJ+EBKE{m>fv0cT9rPLtY`BTKYxh%f6ULRl4p7Nj+qapgck2k z-6s6~!K)mz(hHSg%ges4{(s@g{?1P~C%MV?Di+TVEcfsI*~EUsXg&V{m+gG@&-iWy z%_$O<WZ`tUWXSS;y73mTQh)!GHuXZQ3sbDm&;JnnsZVN77~{%0LeCaIw0>~HpNnr% zW8$XfmhSrI>n}6cP23_G-Lr4SiEHiCX4{=JX6$(}X}akT!?V|1a<p%(H2JCUL}|uK zmYf>z;*zZz%QKSdzquu^?v-l|ZLNtG+gFtAv-$gyK!cE^pOeBOgxsILoEY%AU`^h_ zNgkT3Q$9G|Kk6RX-jiCoJBNv%xpSj;;|2DnjZd|Ur_Z?j{k_(Qk9@HuM+4YAuX|iw z9<XYrt>Si;-5+N+oU@tpxnHjQcFO0g%P0L5a^Tp$;qQ^d5(zxa*B2do-g|h;j@RGr zDIA@CC@76>Uf=a;p4ppYT|V6j+_~}6-;;MU->u!ee2)>=UN0~4mRBM_bL-fdAGFB+ zuX=XSO#jL?_Fc*6ryc0lyTMX@VNF0!7_0l!-1IL&RZdRt-9kDKh^~0b&%d>5=8Oxx zcNA?){)rxco@o_*JU@3|(+%dvX2#OA8)d2;vx_)m7W%U6|Hrt#b?>|GYkG%nY&U#l z{%GSC4#B%q7AWrA&wpQb?d<4@eJafUlj7<>A5WXIuUfH%Lxk}b|08auqpzc+ZwOpJ zVaVplarZ+T-^=cMeNX01mvDZ*)t1fNXm^#}Jmqc6`u5$`f9-U?S!w=>SZ*sxroF`* zuXc7PJ1%}-6});5j{!5A^H(16dC%((&YltydO5MBs*fuyz2K1lt#vC4zl9uMXtCWj z>Fd?fvu|WBg%wm!^33Uyc_nn}`1PkVn3g>EN;uUdAs$h)e$rj5{1XpZw10Xq7TlSn zI(^Fzjn`XSSgoDpgZnoHJ}OsPvT%XXvAOHN&b8l?ko<kx53kv8E8_Ec=5iiy(=3;n zQ4%Tm@5HZZslBfAeA_t>=zO$)|7>&k)CrbLMP5JMnXNNjdQsSlAF&J!JSB%E_0P<{ z_HVh;^DvR5&{gSLuCfVS)7~Wgwl`lb?)>YgL|cMG8*_XKM=Q&tWhI_nq0jXg9$obE zc$#>=@i|lNjYB~ajV9rp*Hrt}BiUZre70I1XT0_g+e(Hnvr9UY9`CJ_3|q5YbV0l@ zOL#x$%q0uI<r*BF&pg|e^|*k7l~HUg|EX^Uk?m#YqCD)6ru=Qq%jJpQ{wTHKjQY?0 zcfXsQe0EiE>&YbL$8*Y4M4pLmtJ@TRTHN_j!hR`(Ym#rjS_C8?tPWk?BA00VR&kM< z%E?+W^J8ZxJPFQwn(Jt`{)5q%7uSVCCQg)Cv43;Tr&P0z3)r5gD8)(Ve|)Sw)na9b zciwqTv2O2)R~RNQ|6O)6BYt}L>(c8Ha_jYuUE8Dgrf_ymXojGbmeTn?TVr9#<;oMz z?^k>LLu0jK5X;hkFMbv7eEUlI=jU^d@)?!!=b0ayo!q+k61%0gRwKXn(f0yh@0llm z2{>#hx}f5b<n;{-)#0y}lz4S_-smmgvBd66OWxw_UejZF3`(!c8y0J1o#+Z`6v&KQ zZ=3qzTsu$C`KY(fe9o~G-xw-pU46<MzWVb1^Sy=J*A$)J9Q@>K`)dZT7XS0-R_VO` z9r08pH#F`U!&<NDt&2};UF2AHxU77hGY8YE2Q4$z+}?O*eaTrlO`+Xm)!7Y|YVRD* zyb^Yw{KheBLuX9L&55GFoceEkn!V-i|Ndsvz*(N`o?q9qpG-<KtiAGjQRf!v$*~*T zrmx#}z_j;|_$M}L7ADrbyL&Gzei6Oz?Bf0&aleIIMcvdYlho66wjDWJtGPbE!sYZz z)eA}G+TUJ$FA4eL)cEVvWe4LIQ)l;_=zlA<E7SBv?xRUkM*YFO&DLU)OEpa-);6CK zoE?4Q&Fy%_L%SkZ+g-@|p;L6;@m<rgVo`mIu=kHI+f*L57N}*hKkFas#8Vhrt#7j= zB67Xx+VAt$?0v;>`be7ReQvM$k@~f*YB@9K#50~>xkLC9W8b4M)k!|G-?!ZSe^-E+ z=lRTRqc6wbF1cYPJJsRz#z!lA!uD-%xVL+=#o9IJtzKW+z}KLm^r^#ULC=5pJBN;a z{%MlkzuHl|_T<J}_Fn9rZ5JO0+FJ@-J^B8I@4`b>lSHS_+?V9tT=AIC`IBF|>GRIV zWy(ztm-E)<3RZq_PDtxep0eP#?onamQwuLKo|#hXvwiy9*d592B7Mm>?xlp?-_?D7 z%l%(jn`^E6&Fp-d+C(%=7Z(KE&uI`3zI#PJTEbdLdegHK`S*v^AI-Sk{#$VM->92+ z&mZZ@{TQb_U##NVJ`aiL*ZPGmOC6%jU$?R5C58ll?oRNm5((fFYcHN-yCy2&=eg#8 zr>6ZYt9VxOR)6x-t~VTP_GjnJ`>S%UX8!p<f>(DvyLBUei)8w7Ha8oN8wPC4G}Ju0 zr{Bm~R&saR`?tLbbF7Yr9(O$2n{#Hx9=nLSLhJN;t!I}yWIQ>+7XEQ_-)m{v1rsIf zm>PMXYr57;Wk|f<kjZr@FyXw*OqIP4t86w3-rA&nEt2Jui*>{%RnHUl#Z&gabN_UF z+F64YPv>ZhPJ5xYQAdA6gT5>OggV{FzvmvW+;jBOCf<$Q#@8i3+&QBEE{Jbg(MkzH zo3y?67WUUj8rmtDZ|hs9b?mSH<9(Aizg`g=*Jl6M)41{eCxx#uW{L64^@2eq5-uDK zg=Rah?UmHG4n21=e&5nt(=snh@fP;Q`#p4KJ~L<Ynlz5C%ZcksU#-)dBqC6(_juM5 zPAU6h?T1CB$4!k^=Fk7OI!dcxN!b#f!vR`eeTCP=l{F>r%5s-Zs4;gx^jh>sow(ht z^?kDE{#O3GZ<JWr(%~Uz&Yii(H+ja4(?7XtduHu*<J?~T=j`lLYkTFEFNu6V<FucI z*7pS|5i1&#nX_`A_r6zhnZ<co@zB)QXRSYY-4%AXyQV7<aZ*D!H<?%Mooamu?@zB2 zqU!`h)b|}znyq@k(oX7gicjLE{wbdy9FOG4aW)S=R=#(3qRt{mm&-?3MYH62Ki&Ew zc;{eaaox^2Qd{PpxqD1j;f+aqc2{%6{c;c1rYYJSmSst^3&d8nrKvuN4z>)aH~JF5 zb|5%o^OwF!d?t+ig8DJvO5}GvNIe+ZC3t>kUWCKfx+|(%S$`fitnyA-qqkaCC8o6b zn+=<&|9<Ay*3`!g8z*;oPjfK#T;Uqr7dmT|p2>+*hAdy!Z?xffW$hNG6~w(`N;;Qv z+dS```;PAuk^GsVyw|sQGjoFGvzP@DlkB+X@9tw*xZ%l_=jW$f-ov-fxT)tx!lRU* zQi&6lmN2Jk2|6}}?%$zhHY3bV%}{vX_KBJ9aZe8fet5!rGUUQqdAE6$iVt7(WIfrI zV!e|0!G$-Q7G~sG?0A>o+~nk~e7yHjwa=?ad4Gr8s2Dxh_tqSb&-F}t@v<!L(aDdu zoP1WU{`2oun!`g=`D%k7(`L=#eiOF!4!_&AYvuorPt(1yDlY5Ljn>AJ%@+-RS;rat zHp{!c%ld?zZ`7)H^;2%1c-4OYT*4}gqWOGE2DcCYJ<61lvdMdfB73seZD+YVtL=R! zc$MBduj8uC;&Y1sd%+F83(GF|>9;p6y*s^lTe<GikBfKBdc^Z4r|6twPU7)ZmjzC{ z`M*xLy|X?;BYel(+q+Hvz0R}$WVDrg_OY36Z_cwfNqygYNG0~v#O(ZTyO<ka?(SmG zc(=pEVnTU=d%8gc7gvZ%>Z{HjnI=)^+q6TMZ!~Q#+s40qWy}(P^;*$AyVKX^=oDL( z7VKZC%J7eEZc})>Oz#Fcr%4sJRt7RAesP&1_w@M*JHBuO&ftuzQ>VUtYu&c1BqAxf z+qKS*x3+Un@43faz7}T`tRB>79oXOVQf!*b+BSb>OP3$UwVtO`IsM|J0}_|s^bfT9 zCSGydL`%)&2+N<ItNWuv{|Gqiho%2)x~XD(Zm#v88(GmaO>DF?li$@Qeem&$kI#9x zI@*^zeg5@XjDojIcVs^8xNI@kaa!l<X%AK{b8P4PBcEfnQj%qh&*#><J@JwZ7I$W~ zvp+li)nnqtxckq2>;i8{{`@ZUX{BwV_M%(2n=i5QTC>WPJk5{jyS%0T)l?PDEy))& zceaGs+&*@)yIjd^<6G;V+0_|ojAEWsdTd#hwsHnV%3TT57s;tz^|CtXif;HB^QUhL z3vMSqsMllR_21U#u_--ka(RneWpcTc`5Zsv5N(5!$!E2sxwWPnIQu`@d;EFRw^;p- zZ<^J6l`ptEeN}TkymEg$$9b1WQUA^arR?in?>Fbtj9)Pw3L3Az?Z}vV!HKD%M)5y~ zfu79q#53_P_joIP`e-+GvErBINwZ|{yJ!`2UvsgIDV#5OU~@q3t`BLfDKA<l_p`q5 zYis>5`|F&w(HEkQ`{eu2UA?IIX7}0Cy;p8=Ro8D-wBT*b*%F=~F|j0N1;_Cz{>e*I z561LtR#R0DTWr~Q-`l>cx$(%^D2Ay@JgKX#yUP}x30u5!Rn#lV(|6ibP9F?a^fR<{ zni25i#$?8;uk@|gv`byQ7<XP~GwY4NCn{K_lsAifG>H1zd+yBHFkSXN83H@%M2wjd zZZwyAdtT7++Yv3Xjpx|c!z*3{L@}=9?wPgt*@V))Zd+6q#_H(2HTcl&k{NWOK7aX- z2M;co>|nh+w}Fj6Q?1yxanroX@#;@6xqg_W`sSx2-|g<1VFg`opDtE7{<T`2s^L6Q z{_Nuto0k8I@_VQJm64T=oUt(@ZOyyQ9O-SnJGC}_THh>te`EQ#j)Llb)tJ5R4>fkk z%n#rD%`C~^ZJuO=pTpVX9of%=I@6*y%&KO1$#I-VBh}FO?Fp^(KNhB){_=lctKapv z%L@~}Y0NSATddQtIDXO!jroepn0GUmXm0#J-SQ)cK|KHQc}g)xbB-NrR=XXgd*X&( z#5uo>$sS+Q<mCOBGtFlk_Vzybo&E1(%E$A29?yxMZN96)ar%QJXCy_G^483n$15Qa zE54?EX<K0KI=;;7E>2vHtt>_B``wqUKQqa=^z!U^uawhrK5h}ZW25KIr7e7_r{~Mm zkPrOjt3=MXt(vjOf4-;(zttwk$6qc!wRZJUE^pSk8gj<Kd8K;Hq_)6B*Nh$OEBmS{ zZSQ{1POWk{W)#)B$84^R!koiG`xeZrZBG4@X%%kpOl=qc%cbXjg}O{(d-mS&)E&LU z;eT$)?3pl$KV92IhuOKN`^M%D!M2>Ymx}}TtLhr)7OW^dX?In4u{xK&_6l#eDRX@O zyb0%>v@E4-ilm@JccJIuuwc0(B{Odvm;Cwt`d%A>9V<8Idriw++10qO((6ETR>2eJ zw|{Kz{=YLnZrYj``!_gU{d{V5(}F%O=682_iYoNI*Dt=3x;5YXYiFO+)V~I64{VA1 z&YGIrYHcz*XY$Fy!=Zx9`<5@=>aua2`9@a8<DO?i>w6C8wC8LKyRmxK_minY0%eU0 z<`p}bd?{ZPJy|QM-8yLB|L-zU*59{PnsI)V<z2sUJA=OEeb@W)I{5-pkM#_beQ%v^ zT>GT`;>+f`GtWZ1<Vu!jyWS59=h<J8*1JMs^@5X!<d&SSGTr?l^Yz-D;yiWUeLJNk zvbo+nssB~IJ9k0%%xOw1&lZ=meTY7tSUT6RwRuryN$ea4<Fv(7eHmZ&?DlYp`<cY} zOzpvcEwj#tF%?#SG-sUkk`_pt{WeECf6s+Q9b1`fcCIRzcYDHhuHRhJUzjhX+&X-B zhUNQZ;-~fZPLK`#Ae!+_^hU5b^SvL3S#DhV|NF`5_hDbk7M;EM<KyqrHB5^_-|f7- zrR-};O~=`ke*0w$yLAsW{Qu3gwIoB-B=3b|(i<(!<&0;}e^g7#(On|Hf5TT}-XF#@ z)+?*MN#6AGYidc;^v%c2wfbkhb&KNLf5tPlul&)`U!{H6Zd@};N;WvMGQ_^Z!~6X1 zH1=KXJCb^9B=>1?|5;TqOXSLe3w37ukDND`oq1(R-|MvHj;-yr?Q2r(b3SI;rA=G1 zY~2ZCx1Jx?0?&O!?yM9(`K|i#4%amwFX%Y$T@#x9{!f@r=+SEinJNL7qh&=yKThJB zq9(jvt#@Yb)CqOG)@RweMEB(Rh<*N}v{iJ&iy)WcN!y$bcVvl4U!66r=A~!os(GT9 ztu5x>n-Tc*Ur%p*+JAe^AD7)$MhCBXyjgSN#^@{FK{EH`oS8xl5A?;Y{-#-RP+G7t z@)B>~FOS8d95)+IMFeg-cE{(V)?A@WkFtb#*0e7DwNdiI$<=;p8>iXs<a|?kbK-{} z=`%qVBCJLKiZ$7`&OdW&cGQmR+pgW1A&|-B!}j`2Z+WQEBW9oFs^<bS5^VyWEH+r= zx_WJ*(fbMCt_VAQGOSr1?D5r*<G`hvN1xWL<d}5k+B%5|dEW$rYaU+r+u@Q}p7Q^i z>mey)bLBbZLQkhmlP-^%+3}?#ZGH5QFuRkREI(+xX5G1MuG~7W?4*|w1`oQ|r^puc zyUG8a*}T@>->Yu9(A0^uG-sP6f4BZ9S>U&aMe>ZTu%z8X<(oeK1z+uF%#h;XPK}oT z$8{qw@b#_xOyR7Ne|PU;IT9js$L?j)Rz=>-923SpM<gU>$TcLay5lSO=A_&&nfoa| z%d<~3&0Wyt^X72VTn`ua*9Vq3f8y>tapZ>Ps$a>OiOw@JdR%>fpUdprRz6YvDmU8~ zwsPikA>T6^EcAbB3I9`W$o(sOI3VYi|ABw$KXP_oxyC1YO>>8Zw*ANcO(E%rGKyr` zlKTJKyzo9EcB<f@Q0R&pZ)w}NvvQR8iMN>NUf7@Vx^r>ye|zaB!;~k=SB`&3cIH2% zXTE!y^1=P8YMI;|zE2ir{t2Dabmp<?1DV>bmrQ<^={!04YUV6{&Wp1uS&wNmO<byT z;a;hl;qBA9-)z_mA1XI^zEqBBIPG3NRo8sdwZwyu%j(0Yxy<+dt5M_VKKY+*#eJ8J z4>p!QlJaPLZ}fxff9mGf_nduSvaskjo->-}!&Lk_KrHgFQT!$Snd^D(FTd8?Y5J{K zaZ72*O|`eGlZ?!tPCdJ?(Us-q6;V~jD_Tc2<Rq7H%<hiV%6T1ri-+fYf5X)OZx^iR zI`Z@Dt1sdwKdljnY}?@I7{z~Gymr&+t-Bt-TO59EcIHAO*-hf}1tf&xg4CtA`K=AU z@BcH*r(@?jm*@8$3o+ErzP#q2WOl=9U-lP~s=MrWOS=g$o?UEd6#TG7SnT>L&3BU; z9U4kqAM9LlpqKN3(W^sI8rc%B9!OW6IB~8_F{7TrF1UQ{A$GgB5ofzo0;Nxy2kbWO z|2k7GJgbiBJ?91W4fUe2Ja+q~-`F;2ne2V1|75bMm{t7NSqdM{CtTxy8)rA2&#h8R zP+oSaTUpk-d1qeRJeJMdviiA3%i={mi`bMO>@Ms*xwl^9d&Wn`&-3kSx$L%_PqL4{ zIP<^r=UKa_6>n#DI?);ZA$QWM_8+?8t?66tNX$EvRaSU1to63=_Pp6gJ{872D}SbH zvq*6b_f`kC3qND#yz|w5pHQ457$3N^SL4pfnZIjYcb$n1^`4?^aw@p$jotRxuU~{z zp6+NndctyR^Y75IyU8_gO;4BHad%vFkadlW;9@h`yv;AuQyMCwxC{QBv*@wk^waRP zL(!hqncfC<AN36$@}J%!%4V#wzJBYMAifQcPX4*;%(QY__4Gr!U$aDtR9EQhIUeNn zy)!-F&i}w^w|W0B;I_6e))1LeBWl`Y>&qh|`AEuv`M^GnbK*gXPS;l6R=si}CMYzn zAjT!Y{9oLMDV_Q|UN2Z9*7?Rx^HB4ZlV4Zp-Lla5U361Z)hEkVD?c@o?Z2GJ%m3B~ z&VEg>e)aO-lanb%S8sfOVK#e3sPi1b^6&>-dW>o-Yh>P=YIWb;|6l%b<AqS4HTrGr zmx?7M7VW*IvqSu)(d*KkEB`fRRb?G@*txHDMx?Svsc5C7-ld(lLl<(ZxYx?c$(uhh z+_FqicD<`c#tp;7of&39H{18^`(IXWy|--thg5;fwVkuy*F;{4U2t6UO8V79G5zvy zIKxC(-LHql8~NJbo3isNU)M>mUuVv%&f_(5|5jd`_&Y5;Aehr-ZixMZZNHStcdXU) zU0ZiEErj19-jIJsmo3At>-%|E?&oX2;Uc(i>ttp_wG1}TOOu{+M#h^)vU*5OW|a=# zptrX@(@v{$nLwkovFoY`Z_eq;r=6}&ZwOwR&)r&baQfGkEDDZ-4d>hZCjN6iVDI*4 zs=V6T&PKZm>4eaIJ*H1`4P&|HJ(MeuuX3GYQhbMPxqzVOg)g5irz<mReiP-LXnND( z+5W<v)@SOMpGazwoBl<&wdr=ZM`-ba+YCxvF>79YXDmOPDi-fkyd#_KYnsKvbW_&s ztP2|T2aBQ^P2AH}?xe6jtxMu_baK3z7qUYt<zG&$Mdr&(0^e^W%#2(aDSJ*^;X&w5 zwtt%)%K~y1=W1GqNH-O>*v+dJ%m4fNoSgE4`<K^!luim-%j*;Hk%K+pPD_)b(WD;J zDfSO-63e%)H1=oCTdA^9i0k|2*Gxk4f8N}k@n!v&zdELq7flFF|Gu|AbAn}`bd$gy zyS)DnYaDGd;;zps`kXIe&5^oFVJG*>wzqXt>?Y1wwO(nf^X2?zm9B43rSq3Wv26Tv zZC0Jw!jjm7i&opIHAG*r{9TYy(%`Z@TyFh2(M8i4o607>jy%ltN04Fb(;e&EMAl#E z(kj_1!yd82ZpS~hudd&!e)%$Y7l+MiaBsM!^XGQZKe-n>C5+{@#ij*nv3Tb-b-A70 zt@S={z4zIye;oHCCkXTu-Aj18RO#2XVp+}PjQ(hEx!bQ}b#L{Yx)>r~%AdL9ch};o z<1?-;ah+N*{pF_2t{<)HHy(C*ywQ<fGW)yoMx*d{D~=~38E@q(Ri~cfnouJpr00<# zEw08S=p^~>$Jqz3n%eS|!g-5}j&k`pi>{E1N%<Yu_{hY=_PN-X3o~jzTwg7^^xcma z+0svRlFDjc2Cpq)4D+pAx#9NX$MbH@=KJye@FLkOx8}yY>Ho7Z>-gL4<x<9X&TeFD z>k8=g-u1TF#KpMr40i_aSCy60%IjuqoyfW7^MnSC4}HJRZYmN#@7JgE<l_RKHHQBE zOD}h?c{Ew5!+qbSIf}Zn-hESoEt8+x&wR?NRgiDi^*H2(p!l;bx(S7np`U%t>?D88 zT5)CT+eXG)-?cw4dDZ{2(sHhVa_If#hfkzlDB3oyxLnof@3}X-pXeUoceuW$)oteq zJ^4eXOWfZ5I_<&f{oVe|%ch9-%Y6M(?<e_QkzlUa{ZxxpyE^hw&clO~A_OKm%bPMu zE}d~-WW|D0rm;WGUPmq6ELVSKvZi3GT6^r%<&RS@sn*SPUc%vF96jNj^QK+}-V>~M zi%uWTcopbzOy#1Mo5!6p?ys&LpFC^d+>q|tooI3PRBN-`l-SUHwKw*zH>y|k{^xi2 z>IQ*8<AfutR$R&Et!%S=`=!9>*(S%k=d=0hvmz!L@7=p(a&4f?BE@;{8d>7(R~Fgc zUKD=h(?gj+Uz6G<-J55Pnm2SlKDkY^@pYK_!@DzMw~DgLBtKQr%Xq3S_QT9n&_n<4 zxt{^=r_MdFyJ~)V^1(ezcpGPlI-J_KLP@MX<Ar<n)+g%?mRK4W7gn5S+9D7)$>iO< zr&Y(~5}8D@8T@kEvs9WnA5C3ox9Rt;6%N5~+GfAE?3I4<W&P1xM;(qie9`%Rp!;k2 z-GtnJLzXZfuk)AQ7T*rAy!)#yS^9BvkKXaz?AQCIdvnb-d~)bq#%|?P+yCk%?es|6 z@g(kO@UG==)k_>^*;-26;5$*afOFx*6RFoEu3fF{%5YOiF>$ul?a0yV`*bB#zJP7% zt_zRl`@c(eGU{I3{^4*@LGAUm*>AL>PO&YCoNhmP{VS7)t0wcMrcN*W6<YOj**TL3 z0*gP^OSoOhdQ<tuUqWZmwm{||i(983+1+sGm9f#fe_p>Al$De-c%M}8n$eP3E$;c_ zXZm%yQpv&_D}B@c6_&gRYv27Npm@otCGnShL>D?7I(|;aQpSI!)a&D&ahahTFZugy z{CVQ8smf}3_B$~G({IT4U9T>@%Ddk2VCw2B0gaQ3)D#aiiF&V*y=5`+!mbGyx3Moy zT9cfa@TSZxGT!M{-RmC@UYDiieR4Xq>ipFuYL65qO5ACQ;cc(v=a6$hePCtv+q<56 zXH&1dOKhEOmp9Y=$NUd79#~)8T2z_U+f;TcCul~@7oDVp^B1SS@7;E8MePic6ID76 z+4m+fT=*sa?}FWmS3co>KkH6~$L!mveOBeYv{Cy5<82SNF|u;~eEV>c%A8u6Lo@W3 zZ{G6l<ePi5Y!>aaD>o{gXH&WLNa`)guTmv;`?!uJMmtC<tzBU%!%&ns&+pUJo=f7X z8`l4bIJBGLfY2Y2b2Ex94!-)<JB?}n3HAq}k0!O=pK7XkZKoUGO7U4~Ki*2Le$=^2 zZnA;VOP2p0^ETL(o<F<1<oUl+yY8Sr(o0W&6Yt7g$HMjS+{8qltDVf{GvB<|jSL7{ z#@_eN!EbuCLGYG8<u>QuPM0w-<Y!vd#nh6pxAf|IrJsM-XH^}$T;OAS^_RrmX^AhF zwY+KE@<qUF_2ur>(K`|wZ>X~y=3jd7UG@`GpWB4jVrJWWcREHtSoSMr!7ZmLuMCvR zynddQxcPDWLtgFYLFuOqx7J1IZnLjUcRqG{p20k|{Zl5-Uv%o>t<2znjpd&M*DRag zu!C#5n3UgwPihu+s-i0=vY#n>JtvGST0Un($~vDlUGcAFCCYm_pZCSeY}z0H_tUg0 z??06@ViNZCn%DLSFfnp5o$9bsZ(ZJad{(^0`i^4%)fp2sB!2p)Ci{iO32MDNbnwAv zv0dd^3El~x4fj<<M^F0jC%k^kj<`wo3koB$TEZq4mEY*&JKoVeQFy|=$>k?c9ksZ6 zKt^cIyI)JKYV=P^xY^|xH(5R2`K$b0PR7wIPdFxA58WYt?#{1G()^R>gkIk@kx%mn z$MWx@=azS#+Og!PnAm{^<219cX4X?Q;&1PNx-p0K@4LF7ob}5-aru1eDw=yu`Fzx# zKO8?QFJHTIJ6VKbn`{m50_$59YxsG*+HNfRTQVVblIwB9Kc^2*%}Q>(c0TQZ=hHL$ z)>t|<w0}7N*K&0$uSkl?G}Gd!#)#`YTV^a5TDM$SQejo|8<#@GE8i=R#c$mGHR#O6 z%74#$e$N#9C3;Ap{>P0ol?s8g7v8`1LGQiVO0)hx<L~X6`>*`nvU+#+Y96te1<&qu zT0Nij>woa;?ePj;+D`+RFYn>~UmoeYRcD27#Uz6cdyeLB`*W|ZEt-<4Y`<<Z`yuh` zuV02py$cDwQ*Eof?S=8a*13x1D(SU9U&Y+5<#qFYCBqWx_ivW*?AX>0)8js}rKMU& zp6^<+>rQiM*Yh2Y3U($h4`2WF@s8h_|K7407d3rCcPMVHepI#hrl+!BrO52NMUGWH zj4zM7tg1Z1;^-zMnYDn;Hgz|D&cg31AHJ{8YuxBppVVT%&hravOQP<^*=Aft`(K=E z63IXL(5d0`{mW0LOg0K!dRp|Uj4%^#@wx8pg&TIpY0s>Sp0R1o+;1voE^BR%?0USe zu8-sU9m&;C<^IVY`Lp6kMXUYvqYN{X3;iWS?yCGMtZtn*KQLyKj@a&VrDb22+!wli z>$AajnbX3$(lcG|taR$AdwqJnVpMd%JzLiKtKJ^ZtvDEb_T+Sn_k52!ycgO3;l6O& zwZ%5L((#qlZBC2FvwrBmpI=uedgPZGr|aId!(0=LIA;8fcX-#)RmS+WDSr3zw;Qr= zPp;N_nl7-gvO~6RLKIu#)#V*2(_fuSnJSSYc=Z3b%iluhpV|AXMz~7$l<oORr@Fgh zmSq(bMSuVNVEac_<Fta(a}4J%>ohb!sXzR!_^RqguB^_wTP!h;Lf<!*JxY?}^Ih<E z7t4v(9{HnpC)M5Q-{1CXg~5S2CUc%VIN0}O%Z9~2tZyAZ_9~sJxu&AyQTz<+eOH!! z)~ov6x<dcpyxZ$%)@WX@Q#FgzzV^o}hP6Cvu44RdhfVd7GpDRg7Miq4^xn?EDu<IN zZz#@GoOg;jRy_UL_pn{B3c_}jZ8>qblfk1rHqSk%kD)nI(?UUh|3~R<`Hv6GT%6;i zp=|zcW5mh&yVl`0=eJ0o(`>hl3797u!qsze(uU7jOnQqt*?vF%T~_^a-HdOW1La)J z^qem~<9`1r>*wyoQaMBG9G{?y4Zrt>u{~9o-?!w>(-|3?=DFFW3p0gfn^basTh{IN zdqdElg&UtQzNhDHci=eB6m2obzixRCepH(86JHTks4?kH$x7?eeEa8yCw#9h?w7Wy z&B`>IdD`BON9(Mzui*8Di%;&|3y6McD=Qxmcsrvk$VYe6)Zf3_mwc(ZJI&BQr>|#* zb!XA@#z%~5?E5TbDjYpMt$)QeE2q9Z)juUT?fcuw0?X&hZ{VE!yw90u*IlI-JRh?8 zJ6EdTUCXO=kSB=qO|(a)Zjf*Os>MBrr|a(#DLr44wy(HGd{gDRwJa^kzG0sXi{`7C zY&}rYARm41XZKmYe{byU8?WdW_WnG-Vfs9Uo2+SeheY>&<2c{;dh3sqdoJlE{nTH6 z?>6tePZ4dQTW>Vn_3JYKGv&`rP6f49)@9bN?ZT&GE4qJej#Qj-e}^B#PraFcZpHKN zGb+~2_frkDWSy76ld$%J;2W#epQFC`TvBSDFB?(htP|^eUg6d2w4J#|FMhM<zde}n zK0(F$$i_{}HwP{g^06^H^Yy*o!~MH79v!Y;+c)hhgUt7pE<VZ=7z^a~3Q6b7C!TsI zb71ue*R*{<zeRVeY|jk6Ij!zXyyB&26An*$TfXEUd-bzP<-1w(B%_mbPJ2oI3JG<w zIPJTN;oek{qZjy74wv_Mhn<nIO{sX6nQ1C^wDCK)jikZWvy&QF{B_m(L<MdaZ52*3 zI8`m%xqpxCi?f%#t(7>+r@At%dv{lHf#!B?sXN>ACuUZjSb5MTW*cwjZT@XVpF0D8 z+a8}C&vW>na5dwb)#>>n7k;~SR!;aXd(`Y)6yIm}B7eqxM|6Lh-v6-fd(xgZ(>C4v z2mK#hv-+rCxhwv>TE!iwC!2zH9!xuItC|yETz65qJZSYiXT$UvtQX9*Z<p?xS-g+s z+?&3~&-xs@FY!u?RB!fDUEpv)&rbSB-DPcQzKJJit2xb2(QXen*l~981t-0*DREoR zbo)J5*8MGFY-P4Y^`3e7JBO-^$*<0~1-Qum-Yx9o5_8hX=92s7DOcZ4zkTA;jm>PP zInJlL=A3n8`?Y(Q-IECczhXml{2ww|xaJgnyYa84HPx*`q1fW<?~-qK^XD=oX-$)g zYkGVvBKE<;VCf|1qt_Nhi2r>rzHnJw@%{N5el*3Nzc#<D?aPXy$LFW&{hB4<Tk>PF zY!lD(wN_!T6*d@|9)DK<AYyVy=Gy4#KFVLNykBn>5covlyw)X-DN_=YP486SS+#UZ z^bgk5+}q2)N%%aQ@7d>Mw`g$!_XTrZ_RN+eqLoehyICIoO4!wQEXZm4&)pNtt8Sf3 z_uvfKdv$X~Fz4fwxoomM3ufF3KQTQhSo)zqyQl7s=E$sXl`GDR6vVBGU11wv9Jg=7 zYR`K-HBDl7VzXV>_3vQsnynzJ<r!0IeAj=GlC>^Z_MD4q6LJ({uP5ZG_1@64-TU&S zVq0Yi|JM)AR~g@n3cR|>cRELHo}psJv(`_Rv-H+R?{rf3kd>Ie{h{5~uVUgq4%+<c zKQ*~x;k1sd=qIOFi%Cq0e<NdZdtvjT?U(-x%WF#9ic)+N|KR=N?n9D&8z-kYF({j` z3!G@@%(QG^=5b-vd&iloBkp|3o9%w^p5PzF%?~*37HJ(@crKuCa^*9vz83Cz%>fUr z&YNfjJ&Id%Fv0lZ>bTB%d)DscdL#2k;qc-qsr&4@<|+NsJfGzI$tB>(+{0T-u3WmZ zUf;||<3prtLgUg(qmykG!Rk}f3>KDp6e;O<oI2X$A$RfGrzY_qrd26=XH&Yj+~~{e z=5d?DwJfyy-{J!mfiEM@@6tYf_gaeiq)lB_b61^;P`e-cdP}_d{k8JSldI;et~7~$ zdpE(&{lcYmzwbV;13zs3QuEMH_T)yx3$Bh!Ce;1raoxPXT{4ZK(e&l*PnWJdcsF4g zCrhvN#=RT(L^=xXEkE6v-7YurS`n*|+nQ3%51Rj)X5Qbi_VAp;b@9I*_a$0BTUEU8 z)Vp$FLkYLDKg7>eZWGJx%5>wMtaf8+mgEwh-!tvIqIN6|dRQ)cs;GJUo|MBp?!pI; z>7}as&0gNBEpY5^tV{dxRog9hc`tlAQ!AfCygzb7bjD)2+0QCPXCHa5vv(g;A5+78 zF_q|DoN?jq&n5&<W|gwv;3~C%aUxTy%1-6F8Gk<HT7<_%%KzQ`N~iYOlmkhUTW3zL z`gHVFmKE=bwliL0FZZk6%Wu5c{_^?`j~!h5=S8tx?LN6<;iLQS>essMxW;7vy2d)q z`7ndQn=e9_Qzz_M6%`$Cthk_BXiwAb?GoI-`)37jUM3&cplkWz;=+vir8kbRR_Qj} z8~D;=_BW-{ohu)FT0CRD@T-4Wt-Iwo1SVdrRk-4~@zN|V34yG2y961MpLaZDR_kn2 zeD27>BD+-JHseua-Mzng^mAG-9<bJaTJ*l@FteQD{?#Gt_g=iYd&x~lG5Nb&jwSql zerJipLWvoD`ExiO;$Ga@_9WAP?o5-GLz~0{TV--px~G5h5WfD+-pOL>3n!0_(TC%$ zV>U`InUreyYvuY4M~qWkLYQAjgjrNXdR{U0{r9(M%U$l@=S$N<!j2x?vh1g`!sAy# z2HoyrZldlN1f6{3$|gSLJO9;(KWP1%&+hp-%10-kU$S6>-|Y9!H~#J0uHk>?(xaT{ zj=z&G-ZogAv?If8X8Stxc+Ka#J3mjH^Znl%yEUf#@<BgE<sU84Ic_)S<6|o(?oU&7 z&%fWQn%&oRxrp`V%4M@fg`#%(Y;yg$b-QJR$u{3_c9RRAi>GDr2hEs}H1~h9l74DZ zrr?Xjvn#}dm_9o$+I6;OsZPRCr_RFGsa}^RIVyg<?P4^q{8IDdXFJdAZl5q)_s)}$ z#c2}zij@6Bi@d8DpB+wM;oa#t<M#}MMW((7qgSrc)Zeg>HD%Jvc>>=af938tu$6o2 z+%HA!iF2w>l{+kXC|%<oka_FEp7u9a)l^E&3;%!Jm>lzFhQYdb)5K&wJT@mAm9Lhb z$UVoiH0JPwlxg#$4x9^F^~U^f$IaE{r!8*yav%LydFSQH{EcPHxZPDJbxhv9dP`X4 zRTa1EJ9RVr{%U`lJpbL4r>3vDx7=B{Il*yRxvQPWo}K%wyp_X5iy375^3UEpdcT?F z<<x&k3w>wkGi&cTqv<NQKCSD;13t06_6DCSuOB;S9A^2tp6U5Qy9L`%20sbhQ2le6 z=ZX)<%g(uS6d$k;<!DnX<9hw2zW3A8?E49ME8n#`Eq1Hk@H=9SwndKZL7R2Yf})~= zeutix(JwNavHF5U>SxFBQWc5bZMLhd+j$o~)0&uA+^d}&VZnSjyzc6<%`QGNsV$z1 zPgcb1NUi^OwDtG7*Q<6&J!SBk<kR;0`Oe#apR8-rIc+ez-{_=e{hT~s)A^Q{9)3?= z%5wb+NBY*1;`K)&<d;h<3BA0jE&9Ur2hT5mxFzDOw0dK{mU4COkKTzlWw^v#kF8L$ z-m_v$&1<a(S?i`=&8xoa?~tJRBkGvVs^B1<AJwIn#R@y#rmD4_-=t^ORWSANm%=|! zE+063VCI>J+a?{F^nUZl`giRuN7dGxGG#FPdn>X?f7V@v>xM@SxG%5MV)(V=xt5ud z+1bhR@vgsB_GVWfOTC}+@ZwIpZr75V=kIiLTTfam;<(D+;Eeo(#uGa0j1-)lX5`Eg z<6fySw|;ust|R(y8_Ej5?3v<o;l#q=YVAcr);D%^mbG0wymaq57SFps?S*=!tNGPd z=G8ss5ZiAzb)w(p?qIfR?c?GRTsw6_(q#56nJuFC=D(58v$&&oig$P&N}TcY>j9OF zZEyN*b>2s&e?PIfenQSIHvh9qCv;D(jjTPGvTdr**F$#w%a*A+?%S;GI^SC1eT9tp zin*4ucI#!FxAn74Z59^W_hVzm|DJ0?UA|g1-y$QpU0<D$-pzUO)!uKjH(uK@bH~zF z7cIwMr=z30)}||T_1l+ReD5!RcT$hEdz(Oe&FjVpvF%=#PoLSP82A**^4K}tI{x-` zy2A#(kPnWPC!=b)*G-x&T)y6hhgYb0Yeqp{Z8B5d&0|L0K`wHiQjd7t*;@X$@f$x| z#G=Omd#}&mIAPI;tUd8THL0_A{&>oF%xL;Pr$-;9oYpT{d8L15Y~O;@;+HwIQ<#qk z%}V^R*q~<Zwt%UI21hb)GqPT2pOD+I;f+AD=^xA3$x3USj52*Qwm-bLJoC2E!zriu z{xN3mZl0wxSGuk+#9Et6K-5W9HlNMb+-{laWXp;Q9+kqwDtZ!Or`G@eA1>QDndhlp z_LGTQ?_cM0l(gZwdz)>kWB$)e*$aX)6(sJ?=9kesvzVdnzw!Cmw|t8_mhxSiz4xG> z^SvikRWp;rAKlIlICS;=+x<&2$`?2Uy)kIvv@pIR`q_eQ?;pWUYCTb#<dY=QJQ-_b zHh(p?@NW1Sf8&sZclN0r9*e(z|F-)7nY)LVbie-frC)Zd#JP1jyLAGhu7?D?nyCKY z(RNCLD6=Zh$rrQQ|1F!ia(&mU1xJ_V%=sJg-~8FP;#tq`|6KG&t+zJE?8|osozwA= zEL^t&9Oi#lI&$I3`q;L8d2Im)Bn#5g=e;ycx_DL0<2IYFm*$_<b!q>ddrKA?&b<`O zvoN?s><eGjn*C*ezs&WwoqRlh-@op^*8X>#11B8|Jy(2c-Lm%~qRF?nvz$LDaf~fq z**nxu#f(emSaE(|`wCA{q3NM^che?cHuLaaqc3qnbfwR_-P?p?&PDO772p0jpL=u3 zxkn;lyO!Qg>PuS^YgQw;C*zWo;=&}R;`39)ZU<g?mL4R@^GLLRLqTEV5--VRer6m- zB~sgrpIf$EdH((V_qV(zQU@MAl%4p}wM6TG*Rif$v#VU!-QCe~a?xs4^-ar@w0qS> z?`72`d^+gc_UlO9m);9SrfWVQx6kI7oO=GRXMS3#?$NmQ#f<8Ug&*Df_`JAndT{6S zZObBl=!c()j9T5hd-1s?RvWs_Zm1QtzwBEPsWor@TGxA4k<9;7SbPl~j4NIg$3BxO zJfNK~d?{}3ip6PpIhrT_W_0YCIdO?xsnG(xk1KAe$@{uK3XRuas4igqVejL^5ANv~ zz4y^D_@JHJ9OM6RzofNu&2;URQMZEkx&2~o;kC^_s<OT5!Qv|+4?8pWX2tKD@om!S z({1frr<~a@vtsJ?3t?NirvBKtBk@^S^*7%-N&ZMTrv3NUx-xF#xDgf<oZI$^?*RAm z<O{2rdptMPt`9mYZ+eLL#f4?N7T5iI#IkPv>U^`(9p@4xqgj4mmTo$Ge_|((`kvei zrd>}AAI%kA|NYPmk&xgkB_E?5y-rTFy;M^1dh!h8<BtF8rmZzvKD}9Z$Chk0q2FKU z#7GsGeE#xo$!7UK?C+zRj6$wW)%sksvLiR`wBx}Nwy^Jh?SBqs?TeL3h}JonYWX@a zdEJ@Wo3cL@>aXrT(Y48}^v`qW{qg2!?=9&FU_ATm_m!Vk#}0|7*)ed;WIorx{^fY) zHdP+c=rWa%_Zc4E*FS4q6%HzD;4Zkg@SXpuN7pJm*38rkO~`N9`-Jg}yr!KIZ&~ns zmy_>r-QN73hpEcJS@>SQ%VNhx=Ukp2`X+flp2J_z*0DfUIs1R?XZNz5>ksuk|9pJ2 zqU6QL$rIz=uC~s->%jRxc$#;?PaiYO5-~s3k8}PP2P$^BGu+T%V42kZM}s41=Susf z3dhg;JPohvE0Yx$7uf6jLYpV|^RbH@JMyQjxbf=z?QQR5MFTkBbRJQe%u>;kXY}W! zno91Yy`ti(@fsh>eXb~&2kkoTFJafm_UgC#s`+2MWaYegpP$wgm*2G4Pr!ef&C-cY zJ_mXhpLx;n$t0_t<Ja2<b_XQV7QA0|y~yW`xZl5DQD3evHLCQG=VH!Xy6RA0_kHmQ z^<^*14U#VG37Pb^Bf;LH!m5GU^2go-URf+buD9E4Mfy@oUAs2=%KF6UIq`6|)jexc zzaMuyN+^^y@;uM%5RD1lsW;*#UT$sVo2Ig8@=D(8rvnv=zT_^Sn_dy?J@M{|-_e|z zKdi48bvt@(X1g&_XX5Rka&CsmpvA(cs-l+gm76{O#(3jc-0se}77@?0W-coie_60i z*ung`QS-@)-PWGo;@=atCw$UheC~^)%iTNQL*Gml+b8*o<y`AqYp3Ohw%t=RI@;G? z^)6r0w<;o-W3tA@Bi;|A4_|A#?tkOn$9Z<2&;OdF&VAtQGQFyW1?`tNyIgwEoyFie zFZVjnpCH~p2boweE{J|v^3-kHp1_K7AA`Spp8aKid0^knH&P6<3sufvvfNksHC=1M zft^}154!H2w3n;Tiaq!LPx_}#E182XpD8!{D=l=)UyNss;l*!E)`t~6>V!>yPmO<k zRCV3_CwV5Ullgt>i@)6W>Z^Y`<6yk$=ce=<EY&?e47?L3>{y>O|JBkJo$7brrfj$) zIA!UUA07fbpGKt2I{$Q)qT0P!HU`bh$#Y!3WytGKJ$wAxEz_?yv+52jM(o)6VHf|c zs#xQdSNgWRVD`6R)n?&+b|UhRdWB-=vQOQ`?+=_byvBHs@3euc{nFXBRs~NvI5Tbh z53?n%v)t~*uvELQ<+#ir;iPq%fonK5+C>!q350PxygrNd!KK7nR_BTLzHQyKSN<F0 z3`fTk9CAJmtp>(xIc)ddT)1p+%kBMlop~3U)X#dc**iYTueoz}QDRo(;p3Y(ZaDa8 z`YqG4{+feTuau72Cce>W7FV_KT6_Ig^oOl)=XAz>RQC41ByPB6W4?`7?ynC{MFC-p zXD*OR+_wJhL+N{aZp{5)ClSA&C$L;?$)8{!ZI_kHI9AlX*c|Zxeo^B34}0(I=~7nx zbjwbG@$yTNC5LAIysUZQ+WrIe4Z`ZD_j;N<{}~?k+bnMHqbJ2&*BYF3-`8sL+B^IF zns56fXxW-syC=`t;oRz&`{Mh&1p6|jAgRcsw+)T|MlM+My6MI`@!||MU8mGC9{Vp7 zulusUl`l*V+Vzli^K#yjH+NRN<o~m&;lkYC8*ED6Gf(TjqrjxAcp~k}x}!5^E}nn$ zki*m^*~-${O8u|>Tw*umn}54erefT-`kiz7EcZpBF}(Bhd=1ZM=?T1e-P#v%B$0Ke z#+^sP7Pag66E7)G-<q6yZWhC|n38Q9A5Pr<KCV_o>n!^U{m@qtcQlh~W0d%0cOIT> zc}X$&KXY~YmtFTFmnPQPsqc_m)%GDkH`hWy|E`^Dz2%ChyQ^cWF3C*zyYE|~X5rs+ z({?QDx3GDrZ@O^b&Tl0<ZnYF$v=el%c;l*Z&20HEp}PD%d!|lGWb;oD(%Ily?3&JW zP*k6Jx4TO7frCpIXkQn)_AT!w=h5=(7w;NQ)$?YYEOg^y##5~&2i^VCuGaRS^F46- zj{UOTTIU0%ZM|rxeyaZ^@8Z)-Kc9}c_<eWC=Kei*Bdxpp&bK}&iW819`E=)L#UJHH z=FGHd^OVl6oqSc|Z|KvT!Y{aY@ci1iqBEfG$+xh|U5$5)N_IVxDVJV&is{{Q{$n$z zPP`J4cKOm5m&9{zyq5ml9!pP|JS}c7T{C&k`)%Bw^^0%1{f#zCc=7GQ^~<vtcCY8p zpJDJ*-e2mwSi`w}PuD6MmK*telKT2#*5ldNFT9j;j}OUc*!fmD!1JNN`t=_r?#G<| zzI0N|+|&orGghnHJn)S#{qtq3v(2u&Ri9tZIlFL^Vcy>Di++okHP#+zP+Z{aFz0LP zY2730hkq^3pEgIOeqYUVp)Io}Zz?=2@vN`y*q%^TKmYF+F7xxvxuwf@_d|y8hWGRJ zl>42Rgx%%URdz~Om^E+0hMw=c9KxGV+~&J7_l&gQgtPA3{+vAdr?Jg2%3)eq)OF<* zQ9nywcu)H=;r=bt&nKP4rXBsvnZfLDtih(SswF`)fXA)o#MR|t{Jko&!Zz8{8H$qc zOnaoPeXS@u;a8la&XpApPae*H5NZ}U{a@z1nOxnL=cFqaZ7uxv%Y4eCGcp{#-oJiU zw-?XfALljg*oEx$mbF<k7oL%RVE*q=$LZwoQ}g(L^PlCFPMCagolC--ts6>Z3*IEf z{M%OAB2^SA!6N6P?tIq8aLS_2mFhxwJAQTA%FlE?DR=wG+MmnV!Y>yaa;)6JEj!`7 zO3bZ!hWrQrWHs)aA?Eh%YO1!zo+;YbLduNlSD%yF_av>#<=F)dbJzdvx?xdP*Li~z zx@DVOzZWatNlKc@Z=Ut`RA2kg0(}FY2Q&Xl*7@~)iG6;n_1{v1`0G`ryEc`|g=a;c z+H~;2<fi-_c5f5j{dxx&Hy!Vk%}L|=xXU%%XTALwA)}J^I*oH3%XZA(c4p(dEkPgC zmZjdVt(tZ^>GXrTe+RVtPA;F)_}N9yUR`lxsZO82>7_~eE!FKxA{sN4_-<Z0ILrH? z*0D*C+=2wz_}V-TnigMR%etvq7$%>p!?0CBJ0WT541S&6{n<w@y;f;m{%_+^JFad2 zLcaF?*`>VZt$k2Y)hn6P+Iv(Qavv4ESR?Ec6mcc=QHTEYqzbD^ecW$L5<0yPx>xzB zgjog8+`0JC?VTJlNA;br_^AGJ`k(aIqCxXdLdeu@4R1EG?0lNpvh?+(#jjUJNDI&S zrT*fjO;U43eAB{bOb!<{p1gbFB3OIpVRB#O|L#*imO3qcDf}}>>{i?RdUn6>t*j9X z*><Y7Pup?p!KC}CpTuP<59zH6pLo`=`0W%f)fa6hk-QUn#otwyIV!G|SR2vU;dw%8 zLta{gnzMN}b3|eN64PZH6cbvLt1nu*hLn5BcP}!&w5-<h=q}~|3(PAY%-X8|=hFI~ zgQ7ndm2sSmU7c>CW8bw=_INsrp}Ft<Q>s!DIp5ASEaCoaWdEA|soch;&eq2_>=Zkn zq8@1VQ}o^%wnL9*RTT%EoFKUKocz)W5?$ZIIVUo+Ro`Kld1-RauDkc=EYSTueOAJT zNdD<Zn^!+QyePuR;BQ>Xxg7_yuRbYymwS-?G&ldbQ|p6_(wuiJ?(1c(?ls!hXFj3m z@}nB1T~D%_RkdIBA}#J!P%ueMRsaK21A!wFdw1lX(YRIaG&^+C^n22&)*n`1+IH;O zL6?9d9}8G}eTCSSleUZP=k474a-G5>?hS$#p$|i?gJ!tT_N)vR?wPpJ($KtNVfVkg z868e<Gy}YuSwt8>Ks+`o>Xr9{@0JV<3?R(Uz{!wUnv$6xP?Vpnmy%YIk`x-k%D}wt z{l0XKt@?iztXdfvLYVk?&zxC%Pn3;;fkA>nfq{vGk&WZ-?(JWB-`)NHfA{xoUwN50 z7?~Lw5*&8KrShO!$G&mX>jm-*3?R&lVqI}bB8p`RF;lnxVW=w7^$StxsJzp|Sfh86 zFUslagsDAjY$rCheQY{v^hUic#Z!{u&F)i)Cj#V{B-Z?DZ%_z1FZL(8Nw6+4hT+V~ zOOte$Zuq3}=gGqCwM$;8YNy?BU;Tc~qYUOtEazFyvOHOHw)Bb4+0rL#&XhjUIaB)N z%<0l6Ij2jXoH<qc#OGA$lQSnvpZJ_CeRAeR=@Xw5rRCoqpDVdDv)8)2aHdwQ+Q!pY zI$TQTTAuim{L1j{jG{B<&!j&0$Spqjh105g*`kFR%hYCRdZuYjnz_d)xc^Lxvhl?e zKKh@Q@NnuMYTKZ2hyD4%k^-4G&(;;3jWPUw`f1Vn7~yx5t8cuRRDI+5#OfQ*CRE>$ zI$`@}&to~WeTDqL^EQ-kf1>bMF3+-zU%L0<u3D>Id9yp$-JW%!)S7u$-ecSAZ>yj0 z+Iu_Uea#;EaQ<%hugMp?8LoS^Hu3DvOSd?md*<2B+KV>Z%TwkZPPoZ^uc-d1rJmlr z_peSdgooPP_i9yI@+l_k$z#@bneCVPA6mREk&wUYb$qFzOu3!axtNkUb|o?An)`02 zIviiAF#oa1x#AeM*D~#aCh}ih9ocutu$x)tE%vOtoj&pYHp|byd`lIMxvzbZT=!6! z%k022i#K1MK7O}uuV_orool{zw=GZRON;-^jbDGi>d&%gKX~_kylt7?a4dg?Wm)yC ziFv-k7uTAx-%Z<mUf1mXow&=bx98q`c5TkepT4@qvzzWNipe{#wLOh<d(_EXy`pZ@ z3DG6Tb(iJtD6Ia>Cb_-R?dA3_7j?T{d{ZgO{<ZUHWuE@L;@yV}@~)b0UpX^c<jmWf zPp@q$nyv5ET-2?9>dCiDJ$Dv4`6=v=-F!TMW#9b(fjaASsjNR`zP$Q*<;#{t7mK|# zFTI!>^+Jrbr25rP)7N)iS!~~VR@be#`uLr9CS2QJO}jnil<u!5-(rfgm3Cfr%`2V| z{pazwPdn~DmD&EP_jbv#w=)@!t+gy$t$z2J$MzW)-`bY!K5TGjRbAd?+wB?iZeKpP z*7AAS?t<A5?<ARTpT6x`*zA*u8>XLrx}AMZh(=mu-R|uCr<u7E4_taxSom?xwi>xL z>Iy49F&G%Iq%@pL-@{+EUrYXcv+i<5`OVvE?APk9mf9J@nR4Lx;obkLqBLu4#bi#X znmq_wYVY}oS+8^MGRIC4Wxt$?FHxN$%6b<Z^cb0@OU-T5vl5=!zJ+B+R%_m7mK|Bm zd8^kk%-xZ2cGIIrp95YWe|q`zh3ncMyBWgHmz$Lzdi3*x&7SQ1wGK>COJ*IPdiANJ z@XU7W=AAn}UO!s9HRjH4-VGJ|ww^jF^HeEqQtt!B>#rZhR=>WN`{DAYBBmn2_d9sI zpGWI=N@_As&%5*N_0%65AEa73oI1cC=Ul)1{1=DpyU(=a!h|)MH|K@Nu~tQf?v3|f z%|6L!Lit4R?APC4M-`R^u@!w-wtL%&O}89Q9T1uSch|NpRj<R|uUehucIv<i`%;01 z{(Wn%t~%v*%7L@bI<R!<Z)WvtVv%9mVXIfE2eFm?i1@mC*Q2Pl>sE!W)|xn_QQ%MG zN=;Eo56KzU>_Tb+6B?&9^3OTk@4b5aG!Mf^O{J$=*pz2^NP66R#{K9{qsM!`@)J9n zZXZ0-$!#*j%kdG*Ri+c7lB*o5gibR0MjnW1h&;e%npA&J<-n>e*7sVE*Yxi=^{RC3 z3<qW<lY0;Oq$ZqL(7a2yFir5~+lE4Ru8`CV54)~6up2N(3!UuPC7QA4Fz<_P>sHyl zSeemav$bQcSYdv<aPt9c0kwM%XZxy6w!Av6M8bhtL`f!riJ6^6-^S*xjmdMHD|!bC zKYs`*zGzb%I9ZUnEb#BGPXb$+j-GTjJ$ba`gzJ^#M}=Fu)7mA(zb@&%dC|4z#UtJ& z?B|_B&mFyT)>Y)}QDav9Sh-mddapFaKTqzC=;UycTV$&@Pa(#HQQ+~Sc_oI{yE+6p zKNSa_EjHxc-La|QqfhZgmEyqW+QOYlhbF7Kw=vu-WM+~~Hni|^;kYo*I<er!0!8L$ z-riF+RC*Mg1mrEVo+Jcx9eE+ZQx~`5F%x%IhzDb<Uc|B~2Us{K3RE;0JZ2Q1B&Vq* z(!oE^Qg=%3r74_`Q@ceq{ia9=esXT_HF8tk=+?pZ_hPd9x!I=a#~S8NXyuxs=Gj&8 z^?+k@jUneHCFiDJPZkOvKg*SNq~>AK(H3>@d57IPS}q)B<7~O4`0{b<k&N^1qUQ@W z=RWom?=!wHv;5`~!{Rx!4i!qhd41CTZEJU+=bDT8TJmCcX$EQ{8x4=GP`So&F<)!p z{Q$@MI_J-K<|S@d<KAv{Hdn5=d;gs{-QY!kmKp8z?Y*-oZu|4T=*`F0ip6&5?sDb5 zo8(yg?$5Mc1>M_r+J^5iJ$WZ-gI;)EsOI*}$<bd<yp?=%?MdP6+&hm1+LpL?3;dlX z_KUZb@j`yM|J)7tub6LNIV<|gnYWV9uKn3{H8St>l;}S$ogCY<IJU3si=J}qZD#zP zSyS@1-pDO0=@yk$Dp}37%lGe{dDVGmZMNS!m&>>NXu*vIJ3~+2S(cO+xZ(EY(`#=& z4a?qnHayQzRMU5Yz{g)353_OZdD)P1nE94aEyJx3GBMSPA9k4ud|S5L&v)ewh38vA z*&^mgPYWkYO2_A$*YfnXe&(8f>&BU--+CR+EUk;O(VKU^nPXp!SGgr?bn?kizk}xj zH%t!RuyIoN6OlLGPndRO=YM@r%2ly{l{{Dc_4VCHy;_t0=DId4-?}xeVG#?12U|_U zHaYPFSEh9Fn90r0y~^e!^)kSEtzp%Ui_ZMtIS(Js{Z-jiykhN5n>&(c5BHw5xnpX; zzy05?9h=2&Pns+(zjMd?3EkD@vm0Wr*!ZQ%s2BE5{;qlK<Xk!Hm0ngeovb>ItSXhP zcAjqWTh-{dY{z-eKVO~&Se!Xoef?h6?4nIA?OBuCUvlsVD)XOL<o8|Q{_FVRGmjPh zifux2ZG2K~TpY#u&wKLwZfxK6?M33X9=U5mwl>_cCaken>h#i<+lqh8JyjgI_Orp- zla(F&DtB0i@2I$T&L-q&jL+;j`}7tq6Q0(+{H4m%MVVHnJ6HHFR~Fy=Qsjw}+1!el zJ<BHdc3WS5dDM0DCFfA*T{B*}hzIf>J{tUaXGWH_cxmoAXXEV!fzQpvi`|L^pBswj zyFE-=H}`|U-lC6FiZ1391<LQ7(HwKcR_~s)c=?XZW3HudlB8ZLt$Oju^2MT;&ljC5 zF1#MHym?)e?wf^C&5N(ySYc|C)!P%ry!hI_E3?G5hN-S~^~_dTvQ_ZXERIZFkEqWR zuN5uXDwV;@=C!!xlF~vGH;uOfUd@b4e>!IB&)H(7eztY;u|uB0#g{@XGBxLzi1<Z` zPilK=HOcI$)ugaPr7FEAOI3JJma6ogC{@vIdF>(9|Jp-}<NCxclGi7ih+m&*?0J3S zX7}q8ja{!#-0XCH;%0~I6OHYzPc*i<K5?_<^@*Fs)^P`GeVLP)Y|S1TbD;4F!<|;y zCk%H^T3+USzVw*%pDk6d*S~I2wPYyIjeED-JNTLMz1EwRkr$6Xo$^%KOmT99`;NWV zO505ow*S8Kv0%2jT;ATv9}AvuY?nU%q2RtipPX9Nio}W;jJ8K={cM)WoOKFoK7U6b zRW2>E@anM!*())8&$QSLr>t77>dyB_z>)9X*6nGL3qQ1enAn{4H}h+naou6X7=zBc zWqP}gii+&o`$*u0zx313h4U-y@`}$LUmN{nNAc`_>E%Cn<g=z8s__Z@(NR}xv$4ix zdCe6+yJsr$g@Pd`ejdKEF!u>p(gQE?mK*L|Ju7~N#x`b@v_5*(!I$*0CVQG_*J^&N z9r_*iRdz{M;w*FeHFoR@vsn3ahrcM_*0UmfTTQvzZ|yw2(Ck>zidsh>%PaS$7OYtF zBtYV1<Pnxdr76-8$5=l;3JA8Dx1J^Zde`!e&bcy&kJrko9%{Dx_wv@PUthy4-u~=3 z`uT2U$Kh3Vp(;K<)~#EWrDIca`Pt^Vm#1((erh@Obn(ln&o5}6pX)czc&5$rUYqHA z=N*37_k3Ni^9Esdg~tMSd|vL9Ib1yBVzIb*6(4ieyL;mE4i|@Uh5X!bjVE9V$9<dj zqo3FQ47U5MuJg=4B!vCJhp*~7^ZZZes!hH9W%6yK$+tI56n@R<Ge_Z|i{A#0B?n%c z$?Ipo^?Y!B%ZrCy^3xZJ+Uv2i?9F`6`O9ZQI+Iml-Q~QZS@(-(-Zx<T?K}TN^t^=A z`7^$+{#AH!#h<pLpB*bN$5v~Lg|qXSR9+679CIggV)ySayLOzF6W?BTsIc%kXGYAO z-8Kii`)_AnIy!f$f~W2zBb67A!<PQ?csg;BvZ(v1-YZ-|X-kfH)J}X<<k~yQXy=P5 zPn66)eSEj+y89mG>pOz<$|8JXrwBRyom1gsdCS+WIe15W-jr$D_rsVMt?~F7oTl~A z|98r#+h!C0Y%>K_X#%E?%}d{G4|!w$<zl{C?#29HCSRhLG5$Jxr+D@IJI^*m^F05y zZs*;(rlNUg4ffY&ZZtZ|uzy}yzuMF7Ypr(f2v!w0&wBF6t~KV)v;OYr*E@D>X2^-T zW6rJMw(d)zb!Y6Iti}D-M?38DMEHO0@c;AtvDwCX{n5$R^5w^h?DBf<*W3|b|8qzA zk2A;Lnml_HcF^wKmzI9%v!4p*huGx_pE|yFcFi5jlgE3zYwlcs{rKKpIr+jTybhDt z*&ZBoUoIv7wP&*BF;AHWarO7NcK6P;(VRQUZ{zcG4$tH__wPJj^U!i~Z`k6?FN|-U zDYo+7H)-_~&B#;5KbZypICA`Z&}z?cP`<{d{kYyz?U!A#9_M3k&v$-lcmApE`G?{z zli0l)p6|T7>z0R{M&m5!zyr@$Z;C3n*VR^^#P0QB=~J_sr`vXa3tP(^ut2N3+_bys zwwvdUyU#hc-xhgT_F7@P_3s^bEsnq4XPT$lP*@%4x&6k)x3>IuA2~dei&fv=a6QI& z`(CLTw^wS4CZs=VlnbyBo~|3UDWLI^iswWHyRthU3=TJQdrg$ODb#4?(w@N4e3*?_ z@~)`xJe`ZOl8cxZRU2|%TGajP!bzJKDr`s8&wFk?cQWLhr-<KdQNLAMJsXQOdQ{wd z+p|~<<(4J$2YT}Rd+?ui<KMc-xkYYOqujDuxkZddGkw~MuSw<Q9Qe8E#>NW@M>_>o zl@gK~c)K%KGFSS>Ffc@4Q#i`WnqVNRbfn*VwfG|D%S<`5SSPnhOQ#ss)W=3^N1XYT zx7NX*DZ5)|b?E<H+dvIjGquwxjcn5#Zkp;edS4G<u#TIjFrk5`Z1-vgo@EvWk!ppW zZQ2d9xc4}y^YFATUwS@gllskcAN~{P7$&!=-n>-kofPw6+A)Wlg`12Oyi=_sr>tq_ zQD>fhZ_5@H#!V%e>fKSVHnc=DrPdcoNlQytGf%&mFCp05!=$V6<u<6QZaLR-^fRMX z$9I`M+M;YSuVk(y?re2W6)TwK{?JhT@23^rSr>!lmd<^m(tm0>tFz9Jj~X>m3zV6p z`gbj7HTd^X<~Mt=k9E})w<*d3Uo<ZF%YF7^DV8feYyN-2?FYvFhm!Wmm70p_JPq7& zlB0juO^$C>BKI5_WM(iJY2EeszajcM#|e#qBa7TKKW?&lv4HF7`qRv7?^Q9fq=;xN zx?n%Sps|6c<=mD~2let@&!4#Em;1ew{#NwfXwLNL)XzoBGve~5oH<?FRJrr)`svZx zl{<^4PM3DC+^Ns0|DCV@L(yubJ$EuEOqc%pv1q<!T;Aqmr`Nh3sMu+|bh@;A)lU7z z)4yHtHVs(y{7LV*lQPd$>pp*qGt&<>(Z4Jbw<sZQR%~3Bw*F>L{f(**pT>S-FH@9c zUFGrbfas@BET1l&`DnD-B<|71>EXR!ghIc3GWl{z<V(=|%9*eC94U;uH|6Z<HHW-) zo;`KlAD5=1zj4a+?>V20lq)-1_v}gQpDx)l-D2v;Pg!32#!IG0Ts%FSeeaR_xVSmb zRhNDK6lbBodDe6Z-R6TKdYPhIT7o+xU6@Z=8dyCJtIAZ|d*{_6=eJibEHu-45%Bv> z@rvAMmRGKo@NM0!dv4*kvw42+V&fh@**4SiO4!jkcV14PEd8{oXnwd~-sP6b(e{=* zKTn+e`;nshcRpszow?S0Tdzv1msg##*m-f|<lj9HpP0$cy<>Ip<Xq0VcO)*Hto=S` zPcpZ4NL{hecFUEe2X)V$TstfEjKMPT;_l3&Q<AI}8chwD>9)d0G2|J4F#FWZ=2Jb6 zp`BjcfiK-cqy(qRxh(x3kZBuot8bd~()$5xRpwoj*?!enzTE2BW4D8L?>@Km-#+uH zFk4H$oNcnq_QHveza5P`{#N!~;T^WZnmby(kIPoY%WS{sdHk*LrNY}WC3mj1_1%uV zP$>P~GVi|4@r#yu?;q9d`FW)4px2|5XA7gdfBtAa?VjW6e^T{<TKGwKdA5W}{n6q( zcWl0Xw6{9uj`;DTdlwf9ri;%C>T8jXb@fXT=@5*Ksa7m|U3K*H&kCI_Rj=2%PP^Li zb-5`k<GlI<kA6PO_!`EVU|`zh=GJI&E?+(-bggVe=>^B$<IZc9AOGlez25cp->z*@ zDUoOM{<Ixkl<k_oH)^j~WY`v|?K-={*62i~MAjZV2x@|-)Rct_sR?XQJeD0U)R9>8 z?eV2onF_%T;g`~-?SBPd7YklwpF8zJt~G1PZMW}tww<@$o@@MO+uDbBwi(a9ZRS>d zd)~yIxyc6m-~RqOds^hw+a*)(8%@6dVdDJ{{-?X#iWuUQj1{-vwSN3CFLt&E-vQah z?LU^Mn%*wH9nvYfV#%?q?99B^Gtv?S6c|_p7!FG_a9mE!d1l5c(x7dkBFn?W$;-{j z-`DlBD9}SnRdUv(mKes6wJfsVlgg9dyT-5Ac_C8&?&#jK7wNUf;$E)a@T+%MuWm-p z)Wb&eOV8g=QD^fxczDq)P3?FN7C}k#pE24-ky;t=CjMq)S=2ncbq3p?7su`Ae|r4J ze$LuIzIR$8`ILM98{E0ldt&wH`xRav>|d(9`q})y&2N>yg`@XD39r2|WiO7Ts@m^e zdUR7fZ)-*1G^JX7fuwU04r%MZiJW~qzhqHt$I`kP@ljWAa73<}67X!@#m8K%LKow* zG#+uK1{5ChTzN@%%OUUYCqK29Z#<bi<@60<kwCji7V}+B3RX$(czWnyNvcENV_Wg3 z+}1?_m-QE<guhggxmJ85VzRu$yue;HhqYhUzjfNW?|kOWmg1QXpR(JP)~_sl+q+A3 z>Xe$F$y3+3O<pg#jp1tip-lHvtmlN5U71u=Gv`m1czI0B-O}!Pm;MG%QtP`Axp&g} zMbiZra0qVmX^gX2S{|ZvQF@9lv$2P~;MRy4o>ePe#BTg07qa1UulH=%16yqM-YI4% zKlZB5*|Oea&lm3$&VBN>tS7iWBn$6IDEb-E*=u}1V@8y;EKj{D@51Ar>sFn$n0q{B z@%!u;hkW1QKYyI_AG2qxn}zuP^wzm~$GxECkK+`V5Tl)sW39Kk@qD}bYRAQ`4<oM2 zT|KzIYFXULx$n7lEpI;U;ODz`o)=@<$B5V8qVMh3=`|9On{oGm_hM$L_r7y@qrO-0 zb+_4H?^3umYvH+-FKuF?4$X*g{<(C%^4<fhOcbBL=n3!T&1n1Dw!EeKg<ZqB=eOtG zo*L0zaLUrx(_B(|frgg2FQ?-Yt(@TdUQ_R#6|_mKntc4~zlp!DWwvzOsfnsz@me)) zhwW3ozdPJ*@>sUrc3<*}V<Ycdo7Nk9l_s5#SHCUySI472hN*N*?5Yl{-@)mh-rTqF z_Tjba`M+hq+mntvVY7wa3VcgE!(bxM_+^4R*I(InSAX5D_LP14uFBlEU`~$yyyLY8 z4(A=IxxHn&&Cyz}jW2w!NHWd*7dyQtQ|{`4^jBAP*J`f`x0g*e>Nbtm&X`p+|NPd9 zxliTWFPR5~JXm-9%&K>WrI)6JNPI5&W!bdt*wjRmoKM_pr950uzMZ#u?-&(fb)5C! zoA!Hi?yk=EE8V?xyRc8A<f#Yu7cBZ193QWqnfvei?I*XE-V~X0lb_>2W}3qDi!W|& z_j<wc<k=&;43mr_)qgIq+O=i)UOnQaxut5Bt@?sZMa4~<J<sp%dXdlKwZHYi^#f<6 z`QB{^mV375|7)i|8+Ha}a3of|S14Yv;Iw%0qG>LHTe_BP6if?KX|;0lZuu7QB|Q4! zdd0*3JdvH3BErw`cgHIIN>Po}oW*!#F{>5VTZR||o2nThcY0JF=KjC0r`xnGefP`1 zX`#V<>W|9}&fe1xelmB)HKh$tjvuR3b9~b=DY)~=*Nx(gKU}Bw9muoDtK@&RSE1GN zFeB5V^QkMoZFXq?a?>yJtZlf$<JP=5zY7X$t6zzp^lS_E+1*=qedfw;9jiK>-+fbl z&!14H^!<{2{GGV&SH<azU4EAbKVIaeR6RLraktw}t<d9!yCeU-{m-7D<aKPN?u1!h zdRuo+YLA+$-gS1<;;H^_XFqrUX$|_|6!xNN!J7>`#Sdr{MslowvSmuDWv=#&g8rhe zaBj6Kg~W;t$wGBMx8>Mms$H<1&0Y8=a(|Ym>ec?~FP2W2m=I-u-{%RJbQ9;n;D_#Z zz1Cj+bKP$raV-`(9AVDsKlRYM>sx+Kd!T!L%g@a>Q=N^AAGbbBPulWqb=r)?&~3A= zH~Oye=Zku(oT;+n@c-ow^QN2s%PJ}@S$yxTv?haXr|qKe^TewDORtvOlRKp+mATVV z=kdd-8NQ`=w=nImeZQdYcJqq;TI=3!IPW&=<n^s57n)3+uw}lp$=~9=zwUH*zt|AV zH+O#a%SgTQx%|^Fu$GFJZe6$JdD+GA`$2wIy3I3AR%V(moN#iI%CS^S+t$zOeP8@e z>MTjgd$;#!U*smU=qmv{KVO^;V&L6-Fj)9dDO>w}xnnva>391Ts@H9**4JAXS$FE~ z`TgI#7lrFi5sTOqP$?TW`$~kY!%mBvt8E1BZj@B7V%zx5hdU#Vtx@Gq*0GBJi+k>W z@VztD*7@kaYYoY5e8-|}d9JSJaayw5C-CXVFWX*MA6Y9o&Fht_<6~vstje`<Yl}RS zj2?Km?MPnZ&trL7LR`A;T7;g|x@5`HeH-l;_ddL6VJ`Kfhmp_Z!)C@B59K+=-_qOW z<@Rv%+Gd{-=Pf)o!~Sf8zx2m-iu_W))++un{G*p#muGQ2>q%wuznl)^WBWRi&agk; zm-!)`?Vmue)%}S1l6A)<^rZgvY}7Y-lau_*gxTKkP_?st!jIW)ziw~X-ShWkfz0g} z&wKu^OiY)4t#tUj#9`N#jdGIfnI&J&F|09q!;>77a^mK(eHk-0AA8}Gp_lb@!Ad!% z#mt*u1)P&_Ipu6*x1iIG$(()ps|h{w4O82T>Mn%vHwN<c_a9d%;OOC>Gxe}#wZSU> zqc<8OEqO}hts9+<s}#cIkL_#@wq!ZRe(qG_<*yMe@?9I5Hy3f7<DYkGp`@+AYX0uL z<7y{9Mpkm9^Lc%}ASrL5-Cq1nVWM=0`r(@rO!0>=8=5U|o0zN9#lv3Q)I6PeE;Ey@ z`2KA#0@z-1wYT16ZfZT`lv#N(LRXqczlW#)^t5@si;CW;x86DvJyq$-xs4W~OjkD9 zZ4j(hzN6?@8l}DJm;-CE@$^>`Gc5OaiL(~9s{A=Q*KErEYpbthCA?ZE)pu&;gXQ-& zuG*)@_MU_D(&|(}L05(iTd#<#PCPd4OW0wFXH)lObp5)Kr#GSHwdJ7?bxm=bR`F@t zwQp{!+h?})ajIKsFW=Rg)Lz}YYQ9DG=fpN?#dyZ=_P8SRL-mZ7fJEkf>GeOJDqs82 zU79>~_XJTp4o-a$R`Ep+h8wQ_UMrULOl7B{@uW-kR@*;u)FsW3eEjUrmHTWNH<o)Z z?K-sArTBcJOx20fnAF=Xou<2wIOg5mF!38t{4BkrrRSeZ?|oBU)+K$ebL++WMV3`D z{fQ@!^l~(5a9*;VDLwt_LOC`~v!l0*x+`_nW;*WvUHmijy!Zm;EsMpMemSajz(nHX zp8hos&o{NMU#oL*CdX+3E0tLmUfe9})8^dt-S<QN)<J(IbK92%2czFCJ-F`W@(BmC zOs9W&mwIHyO15Jg8`2lQm|iu1PtU#9H0KTOCk@WX`W`!T?pVosy}zp!j7q2ds3?!0 zXc~LM^6$5o9sF~b@7Wh?c7(?~_}%?lYawIzO1uB>SU(;P?L3{oz4gqE%C7hO-TN=R zNqgpJ^JePD_)kYSw%nL5(r`-ulfaGrKUjkr7Pbd48?XBy%=4`^)xeZZF!6?lMysx> z3a@kiLfN#4hps&TIIRs+84{0fP}C5Q=JGITJLi;pX2YT95@$r8C3i76oiK<FaNkzu zF@<Mli`C4H4gE$xl+T>H!ss$Bv3)_@wlx#lBsaCH85gt9Py2BBpVq|rGX6Vj%C%Ga z&UKbl>%DK8KYyxCdwgAsd}7}k=Klw54Ek?6)^*4}Kfdu}<yEN#QX5VkT-CaYV>QQ` zdFM{;S=e8AM(#uV8w>km@<zwsG}b?n-OT<+(c15`;PHYs3(w^CRaa!0gc$gysk~;` z>@`nsN4XmFm;F;MO7v4^tT$3jUw2vTvfq}1kId_H1iZVl&ZY%~*!-G(?yX4dJMjmZ zN8NUZe!eR;aoY)xl*1ZlUNF6g*{vUXyQuz@N8(Lo<$z=HXIV=kH(hI++Z|YFr*`A~ zvLM4xjL+)y|K0qeHTkpuRn?$K&(g2L>8r%QMa4vO=Paw8nIgq5W^q40>-O%mzg|sR zwNycSP2Oak-bj;}vj0!y3u}*P%(zgmGVvXAM|xYgaA56*oCyV+y8X;-b#Lf@UHf^W zYO>dDD>at}pDLZg{LQl69<^>8f1h1y&y?`sU%zU}$3`JV&MM7aQ{yg#*u;JR!f5E< z+F3Dy?bWMfFR3L9G@l&t-RFPk&@UGcS<Ra=%knR#CCC2zbdPy;?ozYVhlRTX4nDPt z)6f<D`oG*&_2P;z&U|N>dXw+|ikQ21naGv&zpy!d4hGN?g0rQQIIeDHVCdSyz<@fZ zpPG^epVKd?VxFMpc=_3ldF46P$G7Faxv?$x_O@Qx+uO==Zhw1Q9)F}>P`q4H^lIeI zNYS4*Gw;R<#=g4tF6+#jMNTa(Ej~Q|TRzxx39B=w8vhlaV8zey<L{2%NelIB)-X$a zUYq*N;b5|2$}F3J$s#-QoA`?U?i8qcwsGqc3wKYS+p-G{W*TiOxGJY#A)dn3BFqx| ztYeB)>_ooZDHrFgK3017io<W46DgY(Z&I3lS6933yv5g7rh@CId9xiXdgwFZvx&wV zg@(#|jAuVI2pDfW@nGVLiPzXqr%%^qnzV*VUSZXYNxdF?(;4I(PCMH4$tWJoIU(uK zEvYZrxt^&_NWrPYflp9daOdQFe{IQ*)iQsSPGp=9wtKX85sR5aL}#~))?c|z3l%tn zr|q~q^W*H5izX>Ks|J43oG-J=B9O&;<s`xG#R5#OM-Cgv2>;z7QQ6z<z*ao{_N~zJ z8ji?`%#mK5$}_hx$P4(aJhD*g1g|Wo>-+p@Kbb{3TIOpnm>itJ%WJ%<qw~W33HH+> zyiP8;A7Jw@aHdvsx0_U_ueP8#lcttupGn-E1x*)DPOvS!#wNex_(qj0`S<sn5U5gc zoTGE*$Z6L3uNJ-f(!Z&$TI5lu&!3|XsY;*t{J2?nhJ@a5Y*+ii&Gy4_YR9T6nuf}J zAuMafy0-|0?+9$qx#07LLA<ns*~#nrx11L@7(?4GG8lL$PA@TQw``jc<ZIUQw{Y7n z*KI3u1X~WS6YxH>V5!q=v6b(xFZO7y5PzcF$hs$}IYn!O;MD?YbuYf+QepM!R}U~= z2=ilIvCn00l*R{<o12<;#Q&RAoEY57b>>xO*Ok;3YmwboF0{xdL_GP+u5QWX()?oz z*Q<GYuMZ|QxthrcxiA_!u1;8VP(g8eU&t$&O^rpazcM%N5BwU)GAA)eZ^oLHXSdGL zevmLB<BDdmjcyu)&YE5;1B1m)&ACO*-)^`6T<Yqe8XBR@m~i-aCtKu1g$-$~t*>PM ztXrbt$RF!qyWpcZ&z%(v9m1+MQ8CiX`F8M%HN@PU)RU>KxisoPv*3>fi%i=@ZfrU* z&oK4dgN_98?>T+vPTsoL+3<d8gW*!YjW6flu;n|ktW?~mtFD@**lf=vS2mWQ<Rwp5 zPT&ptRGer!$!n7Bxk%=Zylg-2tMIH=_1U5RPmR6BVqG@Zj)HZf7dsC9)MRF4GAUbM z?$!H9C3f4pXtOIp=~KMioz}cQtruyq=xkAQ#IIV{6EiIuW-eOBqc=4oJjYPV+x4Vi zhd}DH0*g(o(oWMdRDb>bchI`gw)*OlP@kO#oDw?XXDH0vq`FKxrM&ppA_kWE`zL;j zILmcYfB7XApG%6frhKSRbeD<X?cU(iB{FltCwIp+OiVKltvuTNRW`Ho)N!UniRYy& z4z^9x4KQ<(3FYm5Ff~8+w=di61g2jG&z2o&`8`2GGJ{p*U+9vPF@FjdJe7+M)40Fi z#N&EG(@n<dOszWM+-Jj^+RknEl%KlU(q+xRNqmz{>_yGy{%;mo(ww_leg%gg=k0Cp z7V$lbX_*_<7`)mv{b1CQmHncN*y=@QtiQEl;Q^kG!mBY!-1Ci08D8m6GGyT1@?`Op zMJu}A{5oln@a+Gz#@XDnB=uW-!;Vfqv3#DE=&Lzlugl-RNhvQ5zPBNBql(7D5WCgx zLapj=VhoIKXn!gzy<PZ5WS!tUyCUEHy|OWrv`mls%r{t2w)#Mx;_J=sj15kTq8#te zu8lD9<z}28w&-!M!$d~DzpW<%6ND%J<51wf;&E@eaJa-X^&UnUmN{I)3-YGquU75k zPWUue%44Fwgezy{6qA&IH9Gm9PxmT4U(oh@LgZ)9qprUj9xDm63b`HRIkmsOqv`p! zuoIJXgg<LKy8JIo+nTnAGcSJWiGcZS!d(nZy)#up9hP!Eov9Van(Ja3(40HHhq0jf z^OQBxx(3aHOw0R!KCwLF8KC6Q9<qK?%Ek)|G?y-zA`qk?8t_r*<bw1AVlxbLxt=L^ zKCWH=<9&_&xxB~{-gc3-(%1M@^HL<4xKGMFDZaVnapTbmO9Zvbe*e3{74XrmbNNl3 zDH|p}ZSR`&c|j-Fi3G+Y6M~mEe)g_EwCo#ekmO2l#YxS)pEHjiVB+8w&{p)B%6;kZ zGO37;hN+gReNw9w^8`NJs~0<MC&;^FZurdDuL-&Sf_`$*>HZ~&^?6Cz&U(%d3&UD& zH0^!aGqcrj_tBoJBV8R%a;BDdX1Ir^r~fF^Tfp>jS9JA<<+X2H^Y+<uE<AVlMNfQ5 z;&n5#2~~b?%J=kb)Yv4Orokb{zj^txjQ&~qfBUvOO{#v<weH8GNs{|p{kJdQZC5eH zEl!Yo&yBf9f~QvBC=6iAZhsv&Z{~~Fd-hjO+2LjwE4MYV{?G)bjiL_k|F3<2Y<~MG zBiV=BHgEiN@#E((Hl1!3wF>EmicD<*W=6G+FAr?ROa46kT=RX?&aYSRy>|P4QvX`_ zy#?2WZKBO%)c@Q%zVfnQ%&$qa*L<;^SY*66%s=T*%`y&wt+O-B6)K;I#MD^KWS)Gt ztMQA4fXf$`Q~Un@RNORefs#Petxum1W_$Jt3a71RP2cYmI`5&)##x(e?Tk+T6*nx_ zXYISp(h@vzx=hHU5E;h*{~7i~-1(Jh`r^%*ANG^f?HYeNKdDLHwf1t|=LMPe8MmA5 zjF$>jtp54(WL_?-Mj@Mzna=$6x3YA$<eNVEcm4LcYga<TuYdo1b+N^-K8F`azg2j% z|6Uuv=Xmcqb9VouACH-oePfuu;^NM;y+7Ofr#<j#{2bG0EYse(*KEl|={Ku?em(hZ zi{6o6JH%_3UG6?N*Q;j<_oKaY{x>^sP`E#Dj`Fn)3;`nB6>6`DS)TvUcV0#I$*Rf~ z2Q1aj*GG#RwVVu;`1SpoOpeV&21PxojklHiWB<r!cb%EOdh?}yKi=o?f0x!YkEop< z9X#8-=h1TG=(*85ST^?9F6MNd`=?*uyzagHUi-E7_T~J0?d|RV+zU`S6u^@Ac>CM9 zxy{zs!xt91%n$hQuxsbeyD{<g@$u7Dgl|}Wjcflaf9=-)(|0|cVm3WG@miKK-r&$R zxAbbaS+md2c7NB|ZfGxj|MACb*DhYYI<fEFw`u(wzrXx){Ko5;X|r#izU#>}x3f5h z>741w#tnBrUj2GC?DchB#>pSF&%ZgGy7-T>+QIDxJt9l}+D$?|H%$_m>S^-WL;5kt zvxDdBTkIt~Il43?RxaMUb@S%U>(2S^_;mkkyq~SjzoSncev{8mFHf&tE%%xE<iQ80 zzqR>4zjEcu8|MB=BGUrDoadi(;pNwF*S>^=tM_VLJGkP$w$Sv=ch7EI`Oa*$ef-iD zm34ET-e)?#cJ0fxYwJJT{TDX9o5K9||5cyb|II5u7o|PhpItlQ*2_5g{M_Fw7I-GT zcB!)Y^ZS~Kn{jD%#00Y|f(e2Zb|3z}m%LQzztUP-z(DWi!ZrM7*XvzZ-?Jm$y6@XX z^I6Fo%V)_fo}qrx&-gJXd*F{p8$X1oE}rp5d3lqJ`prFc>`8fbb<dP9xGdijU|n}e zxGcgtuFQCjy~{oG{Ik0kZr1*-oj>Oy%TwQtadVPqI2~Hx;NXzpaAt<Xx0cR?#v7?; z&;4Lf;NS@osfqH*Dyb1sDN(Vo@X2A3u!vD%@hP#`p!k4^ks+p{AjasPWWn15O()M~ zH<bMNajtF4jNb>V+C=!dWwj^rmL@Je6?wJR>fA2No`#OCZYK5z?;4f#H1(Fu4RkuJ zyTGj2>C-Qfr8}<(^GF@33I6!z<{e(?YbqP0Kd0`kjz52To5%JEceZFWTt4$p#iQEf z$(J6f#}6`}y)TKsTD~o;`GM(+w%h*{lP5e1tx^4up?-7k$)u_C9-VCI=-qVi=TURf zPeFR?QjRX0e(O@D_D;7`21=WsT>LQS>Vi7XwP*Ew=AQJp_gv_ZtIxUMA8U0~^VA(C z%y^}?wDggqM_uRT2Id9@-KRE^y7BAk?|*LicKWV%z^jA8b@2<2cb4q+YdKn>xW7j) zL-tj_+1}nm8=p?d|537VeO76^#&S8&X<i5FE{8uje>F8}rRLNMbJMx5iO&@agcX>| z-B&zcSbR+Egm?bq43orZ&&1anJY2QxjPTKr{sZxs*LMiiuz9c=ZnIVVvq6mUp3vem zKRN&Dz1!H}H2YhsVU;8A-mJcBOh*GYL?4=c$o;0(vPpq!&sgc4{nohKbobQD&K53H z0zSUpE@S*s$!%9NFT+jay!enqEh%cVm%NORl)hdzm4!Jl(xa;L6(7ewp%nFKp{LVg zvetj@dfK?BE8W##?{@9YW(ldzuBT-mEtq^XHD1E(b<35K!x#FMr+hV8mBe#}d$s?? z4H-EF+}|>J6LpT9JrUHNw$^8d7O&=Z|I$wxX^lU3%+Hnj^SnSScj|7bhS%@(XT6t_ zlG$`peQWCUl~Fqv-?Ew%`v2<8uAL$ij;lIu`cgUfu4RVFUR%RWpRYYzA)fZ6r1!bn zoO8Q5vey;7U^A_}Vejqe_UXgkIb13e>t{`Fc3v8lwXj7?`MObNr`Y~U^GnL#O55N5 zD|S?&P-E9IkvH2_;uaL1`H-=}HRjy@XKz<4i$7NLY0VMXpk*^}%OTx{yDL-bZv9ES z7`eiVcSBjqzoea)I#;T`s1(`$T{1|{)1l<)YMn2=h8(@2(pgXCbC{%?<!Vip?}U7d zx?podZN2uUiD!*YWt9|i%X$>8o%OQcJycCD;MnrpPXFH>`hG9!yHzItvY(YFL%0}4 zb6pl2P1q|KqWd`DaQ9NBySG-kMQTKdmIO#?YjCeEzp(6Fj@B8&J$GG1bcFM_T;dK- z7MXv+dL3u~r7}*xCCXJFLMJC&f4pZON4>DV&4Nx1mcr>vf=g7duRWJ@So(!sw*jB- z8@nY_x4(|<Kepy#tn$N@x5i<oO}e_xR3Gh;O?K{HX|BCC{=iogUw_W^=GlQ;I!ga9 zm)m`~_PUYTtf}YHCKZ&J`@PoIIGMdPseH>h$#}-TzEJ+%=NM%VuN2<jXRT-yu`Rfw z-rI8LoyMhq&jl8BdvQc8UO4se+Nn>MeQi22Tbf(ky<=(VjvJRGXCBt-i*sr@sGgMd zUCMf@ufr|1JgMjme~U}HS3ic{+V)ufjZW(_&2NV}{eDgsl3X9WUe9pF%%zzo>N-rP z(p}bkdGOg?-D<|%q6BmOCH0qFUM)GgeYtMQ^?jR-iu<-LNZ8A8^U#b3T>r99CI#gR z^$J9PJAL*0=c3JNmt}vpvUBN(9NoJA(@Me4tfzV}-yQq#WX}8L&B7h>cYW{IEt2KZ z(6jee$<;r%obAu2SH+um_Xc-AtDT+Td;iUov?I@c6}_&Sa<}5b7S9t`R_edVdHg~n zx%EQ=!`rIwf^pmLmmltW+kCZr`ja{3;?`cl78fTOtkRpvtv_S4;hD>vO3XL%3_>G? z<9qKNOgr?!C&{2PG_ELjn_I%2`48V%M|EenZD00MKS^w{`ab4-F~u}FQC278pBqdW z`PkoGlXcAhe{0+IjAi2Q{C=*kdY5N1|9*%5y5w*7<~MfUyQr{YOR~b+6`|X%oOJrh zy(VF%^R4;6?!7R-JnLNb#xl=ct_<nz<-DEyGw&NoiwNt;T@x?v*5APX@xT=KtcJ8l z0k2>6-jElleLp#*uDq~~{c=z3t+$1neknekx%;i;<aZm5Lk!oyUKEn8EB0jFjD^=9 z$Y@@jR*~~1G~l!7veFezfw|@_SEVLSYS_=!R>Z}7y5_?vDPF_f;zArnuUJ>9ZMbFd zQmm=b`P9OrjK7SgWUukM7tK+<@bH7hqP-#~r!SRW`1jiD4P_tvUY|7-TKRf%^?{11 zzf(lEx4oBsz{8!K`sT&%;LbN0J6=x-WbJ2ud-}rVun+9&hoho?w|)L=%`Ya!c5RW* zieKyb>WU4GIsHx<cfU2TTDvdhxktKk>xRkO-@hp^vp&sdw`-a4zJj}JIgdwGhg|t! zxNWEZqr7MG)>rqN^UN}?PhE9I;P6fP5WZ;s7i}+hDXt51iE0Xx;yKM>qVxNZcDC8^ zFTT?bpIjaOhxth2h4WM6xpsUyJn`92o0z>@p9$WqZC;*Zyz_eB&JW4kBTn5n(v^9& zKr*A!@P*9prRRT7xX-zNV#S2#8O636tB<8+AIm8Cc$3F*z1`zIdmj`??7rG{>au8Y zt9AcA=k{Z+GldMSE?9ofxG%a*QuX}mgL^%=UbOz2`6tQA%<imFVc0osqie!ROE*2v z>00W0{?hYpcb|2=+{7T47;GA3qN8?PMV{x^)#6DeNtdfrdjb~z+7tCw`;&<2-u|oe zizH@>_&cRV*3Ou^N~zycE_S`5qMKWo(~9-cmEsfbMt_}A&|GriP1^<5y5jg*;SUoF z%jfK`me_I5`rB*Ud%3&#=07!LOf0s)ZeiDQQuWW|aNW~Kp0giPoc^Gp;{7YhL#MVm z#+&iBwoO~JA}jetg-XHh-)nc*9bWaK$M4$J&ilqc@1*5?Y^s@Lx$EzPIZ}+y73Zc_ zur(j;tddKrIke+X!`0vkDH3^Ze@)GpcR!rgGxKOl-03ftwcg5E!pXh?x^=pncuGIK zeS6p|n|Xfd?b&-%-rvnIZ`i56pr3nf)ta>}zvURO1V_di3as7Rv3%C;oTQBE0$bsX zb+uu(&H?k>a$|nvJY<MpbzLIyq;k#6S&fH17izwqVw)A&c7dOXPj8;qyvbAR&VF1y zzp>@G?4OI*nPymics$X{?|6OP?J0kc?D+ZddfM-f%;kzk+wBjzdOdZ||5GNmOLDtT zhiK%s14q6cI(fwKTba$rY1OA=EHpkHarP{JYUx(7axr&nGNVS%HJ<DGe%o9R8o&7R zPlqSmW7%2VB@WMje3EEO-obp-S!eo@*3%ssz2}<``j+sqvaZnIp822eM&{wS#|3g` zf0LMM(y3#hRMp8N{`gd(ALBjYsqgG3e64wGEmQnB@6K(3)>U^G-(}%cayqTEVw#xA zk%xaJy-w^fKAf<Hv7oE;ini|Y2??xm`Z3E5=R{?5nC^XMx~6s3jm0l)HY8MJ`2V`T z_~MBT7y9-6{msilx4ABwZJMDvD=OyAx+>3R7RiZ=7DfFD-Bp+&ly);&_`r#+7E-TI zCTxjMsK~bc8D+LWmW$IjyXnhvz17hP_G^NzrWZ0^SE%SUubyj@5&c!VzT#q1L&WpP z9>F@dUc78SIraVd0~hwM>38~JbtBTP_wv=X>U?jrWKYJ(G2Jmb;bLm~)*`83>+Fju z>Y5u2Y&ZN_tp8o*`<?p@dl(dAwoEPFZvXmt&r7WvY3IK5UMWa<VXq^6|A${q`?ThR z5^lHOaRtQM6oo8Y$5zQY_ie3kBH!LNhpjR<YCkQtf4<T^<-E4P&#KC+ErK5>i6_M~ zt~nwoDRTet{(UEeud#2pb?^CM`F;0~gR55_p6J1_^~>yi*YkGS%V_;Qc6cJsQ9i#^ zyA9RBorgCVB{*!U44<a|NhSWHv(>`}m&%Vt3H$22lDX21YG=(T?5fGrlWb1EW|?p- zD8q*3x%szi%z+bMs#)zkUr}?|Wb3;4vpe5ScrW$BLf-wQrjv%wI-hgyd+K<YUgoj> z*+22>eEFT?^$QloKawl^`t6C5`lkyULgw36$O;Q^|CX40V8YF%lRwq?@02%rw0ZsW z4d>(AS@wNB=&6v^?0#@!a`OX)gn}y*raK4i*jQ)gwP|9O*Q9d-tl@TkA0@nP6%Tz4 zsVJ@T2})bKkI!U9%dyVi?E4?~J>qm-{P?Sf(aIkhGc-?4IP}=R@%oDN_r)51ITu@m z4(?$OQ@?KJViz>`^t&401N*)iPI|WE#TSoNmsk`6Q$5&PdLjc$x2gVjI%(thNviqr z1CI9NZzXE)n(eYY60ter%6Grb0{%kP|JSTP*f7O^(Y|X|2jiZK1)lT|s(627_KBoV z-9MCm%oJF%HgnyUXQ_o3mu$`O__J;k<8N=XD9_)~vtC@(w3*acaphOwjs2GI5^V|s zo87M`oo&x^I{xgfa%tPFohv6E<cfXqM?d%VCI9D#zPvxYJ#BW>@4d?vf3KZ<Yqoyq zr)9EdoFWc(Z;1NVJ@+2#c#bDrL{`#|@{dcIrH;hPHGjxXq~Z@(b8T<Iq7e#Sc+ zpSs1=xBRoJnRdXj&!b#?>Q%?7sjVU(`_uwiRCRODm~@yj`mAw&&g%SWYVtZO;q?X2 z3xp^7Yn4^Ee*8D<{%_^{+?FrhtMw<OR_8pv^h^EvH{+SQ2Mvmt`J{iJ5#Y4g({bK% zZsm)()5ip2OX66WXE)#b|G;jQ*q+C1@kxIDN6zW2TfqHu-m#=LF<-ddj559nAG*-f zs8GHpL^e=HBf#M+UsZSPvx|3|rA$>rMAucmdY6^M_Mb~2DK|vqVf*L$TK5OPv$XlQ zZn{wQ=dF{s=$6ATZGSwuY=1asyUd0&ou6j^k2R8CWmWd`mDJf&|5eYdzx;D{TIoEt zezhHsrN!(FneN6}8`exsIq~#X`|eeJ(!nnBs=3kcGh_ryzucUC<@h{1ezhg<ewD@M z3u&~(_60s&m-)rxzrhrjs+<Q>@yE|P`~OwtS!*5EXV7+j@k;Nk*MUo>F;4x$Rl6hg zCHKzXKR#c3-_)Ow{{CVK^OA4XFJIqDpZs{q1!e77C$pa4+SUHuPNT#AgVXZoJO29L z(7)}zYP;*MSJTC%Q}@}=bLvxX)lt3q+~#$RrrEuXx%1v-JhHvCX1)61rzhU|yX8LC zs=R9Jb>!6b+M5B(+$yHH+J_`%ZEDGy@ACE2gHzcR3zb+cou0J#d#cG#f7c#any7Af zfBti0pXT25cemYdT1QLeoXg2(`d9hyyb@!4+{EecE$){%_gS44OJ}<1czfEql^2^6 zl_y<(E~6N?LoO>hT|Bw#ndZ&KmNy?~3IE;XzWw&JIgj_=oSGGU;@oka(|;?y4X*xP zXS!4&Z0oUTS*f_tH51h;wwr3RYF^^qR(9I9Yig3lxtm`N?q`KDu6gv|$8_g3!);L$ z>cm(5x;&}r?bS7_lV^EIT>Z*5JJveiN4N0VPIWPBR&KVS(1cX>`dh{>bM5E&@=X?d zeBeap&UCiC2Xoi({IN>$|8#5n%3R9_;>#v&7TfEzFo0ieg5S#N#?yBGzA2wk+R*Es z^z(eGQLgh2G24fY=1Xi>PMFD3ow7r`{K1?vQC>e~>Ly<__}=ct5j<nk=5>qu_-#Aa zB<IfdTY4`2`QNh>mQ8)ma98P?L9~3jK>G*H<ufE7ExGsoYS6a5H(mxRZZnrW*kQ11 ze|O=nrTiObA1Jw`)c^MuOGeQPpDE{@b!J5*U+0M4wPc0yb1AcmtFJd~Sk!uA&%sMN zhYT+2-&%KbkNP2J)ujR5qAssAdM^E2+aB8Mx6n+tGgQ~y#Xf$imE2ABwk2*msy2Ih zJ(G_7Vg2~}|D9WXgZq?%_<ZkkA7%NJ@$`S&zB7Av>F`$=#+bYhe|Tg~yXhgjmd#0R z2cjNHUE|4Ai79MP>~fLtIBP$zV5adN!6oG<k89SXb<e-Xs>M2AVz)b=#<p)9t{UIt zcCsf<YMh%WcH+Vxw(k8`dglK1oVG3V^Xk5@m)&RjTRscAC31dC*U_}Q61Q?>Ci54$ zJWANGQQcTDZ$*t1OZ=N3M}MuW`87{+`crm!?a*l-xb3aVL$0~+DvmgM;!*8C?;9VM zlrL6qnPqSx>IUm~R_~23kFVlAYt5vdWVG^WFcZ_3)Mx%(J<B&QC=g+eV>n|u`*5bz z){xnW3qyjM3w~^nec@=k`a;@l-P-kLQQB?Y6?=9ccprFH`da_pGmqy!T>Iv?z3&za z9U&%8*^l3%Zz&}_tMxutJU``7qh9y#d6V?z8t$&&$@9rk#yxbGkJd>8^Al_K*Yhp+ z;#l^HUo|Q<i&b^9_oTYVGr4#7=yfb$oxaOCChCBl|2)fN!Ix8Zyx2CO(DU&ro<m_X zHT-$5-V6~5GYVAx^U3zs=UWR+ju^~qS-+rO#b@o#?^54?ujyH~clXt-;^fR{3IQ$A z`xPh4Y;7*oNSV7$T=B@YjZY4pb*SB-vTW6KF_nE^O_&)Arf~%wnsHT}kuUZ}#CjvI zyNgWwGZtpN_}x;!sx|UL;MMF?+6qNkHyo^;g*=7rF5g>FcChQa!mP{BYtt^c*~SOj z74?1nbFKc-v6>Bx%;k5FKb&`1V}bGEKe3<VgB>N?1Wb<3;=6Uy`A3Pzt5t5<lOkF9 zx#}g-`yU8zp0xbqC%-CAPJsiz-V3Q+w!Wpz&i<rMPW$WPN4aHZwYrV2yZ%~v^1`o6 zr>)r++)q-EUF6klzv!b(;JLG6K5QK6Yr|ruY~F0_-*ae+z^ADRo+6n_O^+iUFl~@M zrsDqj$9(@?Ywv3I&1G;Xoh^9K$T+nAuK9l7&$n826O$$DMDLl$b-&Tuc+_oYdb51Z ztH!>ihJ~FM_k7zdy|#33`GfO^LiY&w>hZ_x-Q6@tAn!<aSlP<>=AV0)3-VVTKm6)& z?%q%5_zqe<mo?nDEPgdto5ZwtZmZ2qWuKNldgF0>j{L^he&e&@HdcEC)^texF^rA1 z`7FhA^L(e5m~7$IBU84dEbA#g&9n8P)@rpleI`Zbh5Bb%>^o-~EDzalqVYSBw?FMg zmf`otMG<oi-hK8K{mQuX^k<%UBY_4{*^^d6N5Up#I`i~}KE8XTfmw;y{IsKH!f9s# zuLpv^`Rcx~e7CDCcz#y8{=<`by53zeD<?5r@H|x2{{7`fvCi$RB}|O{|GqVzivLht z@$hh&rkMIVuCuWh3yjlO2fTZ+_x#f&OLs?2{_3dCPiH>AyLx89tb5nDO=a?4yX1JV zz^b~B3Lbsmy1Jj+D&I2hV3@7Ep}%$U_p+l#ZswLd(uy|hmp`5IaPr37Y2Ewtm?URp zhvdX2KA#%Ap?2C&zpiO|MZ8Ly;(MNEn#^^0F0w;?R>Rf{*S0YDX_y=>`16dvYR1Dy z>EBv?tCpYVa(WoO!8^<61D{ZWNBLsO8I1+|)^_o~Syb`b#qmw6m?h)m#raLPOjDDj zxIcZlbkn|brPsEQFC5lS0;WAJUikU8m33as4(<?+P42mjO?><8{m#uvJ^my+VuncF zv6I3a$J2!LzSq~?S*iC~MA?n?zwFf;p*EtrkF3xAFa0X1%lh1IM(C{n`Ti1>m*;eP z3RmcMZU5N)bd9vg!TN#?>!+L+;n*@|zgd}?#eU@-f65N!hy6Yz`01VTiTj679@KBK zta|iGaMN>X`@B<)iW7O4P3VbF3YeC+X-!!3A)Ac9*8*?;D(!pQ>^DEG$Nt{YqbslS z-^*rO@!`p#4S~7qe!RK0uku=AHTTp&PVPU}56tTq`zBW<6ui>Np7w72bNRoA?r7$P zc!dWBA1_>>*1^>tqZ#X!wc7R7qmm1g7@yw%w&jSIla_5)uad`xeU8uibPFp4oikiJ z`=v^c+_;oGt?BZ&@8%|)vn-i>o_$Pw&-q$>_U0MI9e1?CIgQdYt(RT-TcLF`Xn$7r zx2t>3Z00U9c$R(tdW5Y0g?D?;#CEP^P;)(VB<9A1jjztE3y{}%?YZQN)R(F&qI2WF z|LxX$uDfpAtd||9`qnIBi8Et~IVbpPmQzbf3*$`@p90N4oKqF*E`B|kaLGku!|Cj& zjBdv^-~2FFW8pg~A^k&Z_vYkYpL=on43=**-kfJH*zdJ_TW-mtMX%oF&3!OCzvYMY zbip~Ttd2Kb_GeDG8&b+ypK^G4&6SQ)4*%Fc(Fap!z1Z+-+5`Ul&L0aF{bVl^4piE# zF*#<PL1*XP#u?IjrO!J8?ys27x;ar|veQ}T@E<yE!u%ZXHnj3iPW0nzcwl~Av~f<5 zUbVxgfVnH3nA9pyOn=bA9Va23lUV8a=~c_C1_#+?GRB!XGne0aaPicWmgA>V%0s=a z9ODar)$Lm`;R&z${;fLuYLC7wo_wnI<acY2z8@zG+}8v?yW;M=&HCBj`Mtlq1Qox& zUi#o&%Cu#NoW5?YIo*C?o{{DI1%aZUs!z8EaxCL%`j^qq&X;`U_1&HuJi@$*3bh>X z|4e;TxBSMjHJPpZQWB@!=+|5}zq9%AKc>uR2F`D9Uvxexs1KN=yx{q)&w0Vm!vELL z4Ngonu~lKQJFn!r+-cI97=}L9eTN=%TImS0+J^423OjwuRa0HE&M$P9N7}9ftqN?P z*f+6@F-~LbV_Ek#YTa*6_fxU;|Br=E`}N*`UcKy0<5O?usQ53RD$S@8s$?i6BH_`% zwK@F5%E{3;P3{~&H>>hQ;XxUG1!EpvW1km|&Ie`W({^>NylDGl%I)60I*+^Sk8jR$ zHc0>Nc{t?F;q|VE-4*xObWQv5_g2`vpZ9mv&8%AV)gnG2E_LGJIbsSboXj0Ov75|t zV?Y1S%*@+(YF9?)y`rnlCaLe{#QjgdSoZaDlPJfYteYEL9NJ&1v$ji~lT3OS^T~5X z(@Dv!H$I%wCs}s)e%&{J=bvvob9xTE$e&V{w`WIw{ih@EtxlYX*i>`)WA@R`*9R+4 z$8F7u^LIW|dBV*4rH|*$-?u(|v@Ue|mUU&#@4LS@Cfto_y820f?(*i|UTMxppZ|Mw zOu8L^xsl`5_4ETas%P&``YS(eNzlK;f)P<HAs^1{@DTre{OTvwbyGF}s9$?At0#=b zR;oqLbk13`!@5Eb_pxv+tW3JNr^?7SD#Q7lQpXEMkEQkoJ+|y=W$7jwB3;W&EH{?! zbT~M_XX||4>%1QqGj%Wj^V_oFq1*bi?%ikEW($P$O`fA^`SD-aIs55T#avha`NZO} zD4{L#oG{xnSC%K%;oB##>Dey7y0?gfB`EZdkN1>tPwoB9O~-`R=ssdwzh%QkBbTrz zJ0=}pH}~xKuL-Y(-#xi_Q_EK=J^%W#?l~&gpZ7*hJ~XFi_B3_Hd7GZ(sJgE#)Y|la ze$Yad(rufBv%2Nd|5h%37-zQE@c-`3XWCMd>m2v(+Bsd@!ff$5-*>l5yI<y=+Womx z<@>3k<l4-i@&aoUR><UWSzWRCF8A!1ykU5y_6>pRObG^_6>kIuKM9m4>lZy=+jS$C zXXcxP|9X0#cz>0%$y({8Ot`#o-@o;Xm<}GOni(p4Yv#lWb4)^YPAp*06n^Bu&fobX zc-E_}wxzc?*&7`e_V{0K%JuwfS+c0%!-`20Di{TOOBMO*n;jTk{+5U?F)J1D+OW7u z;CD+&ZWK@E0@bVyok<64onQR%n$fhk`cTupL=kqU(nAGe6(5`H`eKV$6tulqQ0J$} z@^13>bq5<h$jw@_v|GMn|I9R&j>)@XnmNy0n!Wy-*X*A&IoG}Fo>+FfH+7$e`WKCY z%O|tdEkln@ezU|Sv3>I9T(=#idzHP}B(I(NcUOr$rhMAjX@AY+L~Fiy@zy3WGbT1Z z_{OhPFr(mc!-GO$MbF<ntWhdEu1u)^<HIiY-f-*c$H%%@CAeHY0+eb}l{YFJT*$4T z80~j^+wlj<oI7i*QkO;6DE;2~&+Kef(Ce=otBiAP^BOC;Wj58Q{W#zJDdb#Uab$U& z*@aJ`O>>@x^~&?g{9V6i`;vqI@1DJ7y#CUgny#qVQv?~CIR%;CG26uibr{?~6{_G@ zccY;CX63r;QFe;9&z3r#jm)jd-kEUg`JUZ-E;-KKd#|oMMRmj7S38%n3G?xp6g$t| zDtfr6Jl620N#cPmH#62U?B2a*>V_@vQluZP_MR)Un19|$&mzO$`vR2J@6G#mcgC}S z8?yhd{9XC;=E|3Kzkh9bw`ObR)}0=I_Pl$L_HRS-^(BvA?g_XZzx&oS-;LXxwg@ep znrN^hW5uR7;R_G*{G1u)nxVwXlh$mUms(?bSx1EP=#%sx505ys@7$Tl@=g2V^3yli z7e&o~@w)xC;Q?Nwgdmodzfvg;+Kz&%4!R2(`Bjw64jf#(IwSnx{15x`=OxWsW9H(x zZ<mgl+P!l>vUUcawVrU$ao?^mQ(LPFi(<P8Kf{+>3$v*yZxd?L_h@u+5)E3Gx$3O` ziR$QR%Q-V<v=vT&s^f8Y;xdPp3pd<mIP3^$|2Ffm)Jdmh9SjN{EFvsHijU$Myv$0H zBqT&MI2i1Nm>BrVQuOWSh;SOYY^cB9`iyG_`}4wsu{&Q1=S^RuqP23>x2W(o-vviP z);)6b6MrEl^2X!a<Kp1FNj0DCTEdd=E4;UAlYR5g`OCZ~RkN<RUHVtpz2(Naus(&V z3(vb`<ZtaSmtNrd^!;^h3EP}wZG9<05{H)t{`UMYr|xi*x#_*t?tDe1N7r6-=H#8q z@Vv3jbkDkFA^IJA1vkqj?_9<gDE>t9%Wo(CRi!7ZG7gC?yyBv8JJYdv6?1!e@W1Mw zU4aaVvL|m`HgJ1&_gt{f<2x6^tEJwooODt1Vn@>Vj~AmZ72VrW{MD$%^_P05%SrDm zs~oh`O5Q&x+dTgp-;|b$4~$N7%f5)6tCyD6I>2w8q<?co!vu?IZjX*S3rZv}n7`Y2 z=GDxJkzBmHygl*_o?MDL-?w~4jF!fSWVtuioRv>ya`R2Pz6b4X)p_zr??;5_zIAma z?`7uqWV|*Nj>_B6a%|uGkb_a7`;)K!-&cF{7lWy1)i0BvH+R#2_Wzr&`*6yJpuI1q z<y=miW~|z4bW>xMZ9vY$6*JCO{bgS!m+rsvNJIVZR*x3;hew&eah_BvX?>PoCOsix z%f97@Dp?I{OGOp7ItRP`5SjaV!;$0He`&jZ_^rNX;>6u;5pKLT-+x^_yWhz|S;%Vt zRhKE>_(d5fs;>U_qja70<3*Qt^WG>B2>bMArP%C(d3(5ioWCV7spIzaS4-}EUVZi1 zt_6jge@{E-FaEh?`udF*>>}nwKYcG(da6zD=k5(Ff`tRbr>_WODx2`VK=aH+)~BpR z5^9`B1sa8{d`_)Am6a-;*;(|+_E1^Y(#(^OA1G&8+2%0JiQrx|wWsRPjJZo=cge}@ zdVgS5r@cyb(uQ9hyR{uph)BEb-F}K)QuZ6?VI@1R58hQ~C#oD>HY#h%sA|n!A$)>& zPuqnHu3I(>{XLOk@?plN8$Nr@gD!6p&pBU`vFDkDw-(ox(iAVN{=d7j<`}=wijvuT z`S_#Qu*)ePFSm+KVv#rSE%>f%wf@=Rk7e7RU7X{#BtpPp<&mosiYLC8(%yeoIW06_ z^~onkWo>iKmcS;<nJk|LH(Nc`efn19Z5d~N$;`^L_BZbu{XelfEv|Jw#~BOrcJCRd zmn~9X)R|>#(=4?iX|BVml;TRwr!D4t1jGtYN^h&>Vf>!3f8yrPk}Wmn4jCMKXIzg} zjnOVmK6G2>)Wc(e|I|K4?RJ{X$>Gq}*`O~ayeQ;g#c56FM+XIzo-q|}yS{EqzU7xU zn<O-wW2Ue7+`RRe{3_10uOb=Bs+!&lCQB9GY2&`AZ_#e0P<r9%!<?w?bJ(NK`OTl& z{KslS$(gq%>0Oq*|1{ZNZa;fpHgIO_0udj#3uZ!3zD3!bdFt8nZJBR$_5Y__=a?Ha z^^_$velY6K)KcM?S?$B2e$p{z!dCf*eztu*LUG@M`)8fvd$B2Y_qG>pwWs2Jomo#F z{;}ruvW2DoDd!$9iTD#+UbT5Aljm;fnlq2z&G*0XP%nLn&_9uPZy27pSIwQ_!y2>b zvh+u@jqUD_mTS5sPA*)>AAQcLiQ%>Cl#_QBEV?pR<?u{>JL%G**OAr>lXN7Ow+Hs> zo3HDW5zx7OPR3$QlgPCrRWl1JlP9{LbnR#`c)6<jl=bxwv){$s?EMg#y-wF~kBC_4 zal4I1J`+}LR^T{p=TdmnZAa60rVXY|s)rA3dHM9Be$<|$25dE-rP8lDDtaAOn||cB zMv2zuO~vWgQh#)ItN*!iKk3uF)9JOL4s2c*j_@6lXx^$HZZYGR`}+_n-c8$^#kX=; zZCG0tCbzarv0mcz>HF7Cbk_<o%%5sAldrDql|aqM@{e1lXzz`CI_2ZS$3~vYArk(~ zPgJz-n@=)I{&{G{)ora+-mNAZ?AG`yaWYu_^h!Q;=HdZX7mkUO4mVa@Nc(oCC|F3v zZt_hb!555?j_(ikJ$xl=`_}sUwRP`9Eeu$=rB!C<eVrl8AjsP7d%G^O>ygsIRXLT< zVwQj1ek`_CWKB!*s^8LF4syxUr4Oy$`|H!See*>3m8V?oedy&V9F*YMxaZUHJCn-x zuIT4*mF&yz=JMn=<%*Kc$oZ|uadatzzf9;8MdxkV+y+bIo}^9{c|C7YhUHctUZvw% zWvSd-pJ;4v^t}GY=(~5k-%m@^KCfer6~9mB^&aWi=kT)1iP=JE>*2V&Mv||N-TD@J z>cE+tFqgSa6XPe{%QY-NrfIcQ{K=)n1dWQ<KKciZcd{~z%S^w`am&}ed8eVnk{2t# zw%a^#Tl?XS%yNfCp^qdTueB{IU$tOrR&;kF%m1dY*R4fn7VYDotvIpHe_F-qxU%|9 zQ+CEKmFt-JxPZO#Sg5hZE`Dxa)4nYsr*_2NwOtx4yZy)H%9S@_eou72E|j1x^<vvZ z<#oY!7e8%He|~&k`(v#)clgZIdY@cf7CTL~$zpB}`|+2}>y^Dq9c({)EkAna#?^_% zDru`uJWJ}SWP2!{{?A9@nQ=jrWZ=9NiUtgvsXRy4%@R{lxcWCvSS&=~AltU{Cyxg$ z%DkH6A^k$v<#$-lrRN$lPfgf)KO0>rzhY%uwIpYSY+Pr1>)FK`8>$zVoS(>U**2p= zUUxq0+F~X9om*Q?zjsZ3*zn?E8c+92zDLZPCU5gPwbNjw$@@^gy$TVE)f(Hx75~0^ zvx=wOKZhsso^jEvSD#PXs6UL76P~;2{_%-*J3d>9+DxlmTJVLvpk}}CZ2iQ`flR_$ z5}Hq%%>N}`iS-hn@;dZEa^h|W4Tn&!Q@cOTTW#s<`t7m#@?AW=jTctwUex;Vx#siK zGmfWiRFXH%Ig}lCt@PhE%_TkIZmj>mc^uz-WM;Ws?!Kv8E7)8FEyMKxuQu4)#U_+% z$L%$nZ?~;M`TaPix&Tw#{6e+$eFyuFoIkK;&h$&ynJ=WD(Br;We>B16+ZPWzyWZ#y zzZlzRL3;j|)-2(<-yQq?+pA38Z`Lz=_);E7Yuj2#@#%*A+Fs!IYM<V=JC^oQdyZW@ zV3e=daIyc!h8rh)<RpK;Fl;iIwqxGz6`B1vHRkM9ycO7UW7Ud3Q)=$3ZE)79KYVE( zciNhhCX@6w8bv7Y6S*0fnaW!4nKAWLsC7Z|jO>_c=8+S*O5FYwSbTZXoWAkTB?ES~ z_kC|K#@~=xe(cS<M2SmGC8{p%jS_D2X1vkq?yf$WQhBMODd?^DyPwrP-1)vH>wBiR zr+oDN*|Tbkb6CRbglo||N<P#WKU=LMxVr9R*R8a}QO+CHi)5C6on7QB_%=VPc+<2@ zhj%Wg-u~=0TmCWpX~=WVh}Z0Pd>0efJpa`p(>$#@KdxW8!8GcT;Q7|$x>ApxKiq8- zRUq-;xtvezcd<uO(<|q0e`fxyeN)HE<u5GO8Ga4CG3mmc(DbC$xzeqjn=56Ga$ZT| zH!0YE=uXJyvY+R4PPV$arD`*($C~I&jJq|fnc>aPZypw^GQxkY1-kVw<rrSp@Zg-k zJkkA>U&NtQ{qF*6v)-iH*Uw*?7gkc19{KHI^S^aV3R<LFk7UOkn33@IkU;vKmj++z zo@()`+9(yLHU}KiY}s(sOKisPyn8QaKPuSo8uv#n^7wRTj`fw3KCj*pES&N?Nlc*p z^bt1x?iQVoAMb|wPx!y)P1uLWlkQ!!?Dx`t$YE{1<70<~rr>O4ZH3%-ht~Z+q<m3l z=9j~(lQ!l)mO5YIe8TF(%iuq+OGJv+zqzL0{PaldP6cN9#aCYod~1HClh9<8{p923 z*{kNyGg$sh_MO%HA5EpNdyc#pxY0j(S@DamCob703HC>e_iXt(%}w|OuctuB@mITN z^z41WJVWJ(XH<54Pw!2cQp>5KiK}!Ta5mS!(l~$R^71!|+m?Gh=MMY-ezk&S^`}#% zW~%m*cj_dba0jKg?%VoXxpBe&TgKDhbBAeXTAg|_|5$^t^~H#;yElBcS#IJkQ<!J8 zN1%Z3fO0hN&1fm{SI75<fBN|2=<~BVHO%=(A4qU{Y0Q7}_STLk)-%mTyeikdY-Mcm zoqIni&9klQV%q(>bYt1RujiNA->L`;tucA1EYQ~W=DL;Y7iob52PSY&Ia+45uq-Rz z{_x*`wGU%sb<XcNbKu*KfC%O_wbr5L`>gXG=`Fc@;p-}X2a^=}r3dyeZfRa;$B=67 zA@=DWcUYTn;+jvhpT|nh-?pFi32#~S;U3+Fbq9l99sB=9t7npDsQHcMYHo&$v;M#L zl{Y=Jx@>kj$E|JqH=GHQ=ZH<%7t^ee@@;)h+ruBaUK^9;w+X1Vp5Bz9`Tv{y-g64i zYlIlN|1eEaR{S?#Vo3n+jd$t=UQ$A0&1;qSe>QYHEceHwbm~U4zmxhVA6JQXkY%2= zs_b`3xw7P|*)8=JN?dCHm6q=D$l<u=A}h9`_TXpX1IBe;57O2MtiE7kD>yT@qGp#{ z_rWWLh4%_iud?YXO1`$t{p-!!6^#imO{bS{T(t9e%#ZRLX}d*U)?Ar-*+b@TiHg#_ z5C2%syU#h88c}op&&Ddd?vKu&#oAx7NZzY;`#K}<)*rurmtP+(dmH%i;N**{I+5pO zLiDzrJ+E21-j$EL_Mv8R`1c1&wTo&eKfY^TsgUzb*5t_hy#j2}8jjv4zSV7NR(bNr zck0<+6;C~HhNmy%E?8~#fVVEitoZ!{Zeh;s4zc-n71e^@?Gu=)&t=kg#K<N7o`wYr z%fiGvzrU_}@^kuu+bug!iq46dzufulQL%jQ8T@fS``Fw?ZnS=Xes^zky1M77>i_4w zS~C($_;2qQ$W!r;W85>bYkzuh-BiKQ9EPyeh{sR9_6EK^U>IAoI%=2f@zoN~tqc1v zhBn?eSDGLyyZX7}wR@M16iSNke%KOtqu0_Yb=ASg(W{@F)c0`w!Q9~VVDZm4=82{X zmIs7|ZttDL^-|}|)tygfbp)kVxzwe--4Im#&tUBx#l0@EN(xHMqSBtnVi}!!)?duI zcu?^5fk}JB^2*<=sjb^Lbz0ow7`r`^(=<=qukw%nyQYRUz9#jVgm<<6yVn+XdzLQQ zdUNTm33(N5yds}`R~a?cdB1!v%o()Q@MGzhiuTtLUn||UqSD!STlnSWeEIh2@kYLC z+1^P{zh<h~t`a%>((9)Gv5m6Eh1U{3zP%-q)t+P;9=G{4)1^jn9f6-F`;FM<_(j}$ zaJGdb_{G%5Ck^T|S)N<BK2qtJ`fP#EafRT2tGWZ#&t-CkJTnSgYCi2;@q@PFJto3) zuKqbYSF-o?x?j<JfA_@hezS5$%iSb~%m?wjlTO4>(KvP7((jwJdGYDTGWxFq&c5wG zvDKIV;PzRUBR;JEc}p+lX}Zk*o_?07$j7%&u}jy8oDqD+#l;?T*ROZOt1iiuA5K@- zz3DHQP}pI4ean35^@lf2SGxCZ%dTIc`pdkIraol7xc+Hq&9=wu%xcYE*4~!9Qu3-h zjir;L(It_8;Wl|C3+7|LCno$_V4EExbFJ97I;7-)i_uwY{*wkVSz#*_s_h)twmt2= zt0|#aWvVvs>A8o(b8jf^eB?Vz|Kgg<T7o6UPed0h++j8_X?a)mLniQo+(kj5Z}U|> zr~S?oE6HXHZQ%3~%$?VCa8<-fYi<6gQ`S`<WO{bi$Id<Dw1;!4)$P0GO!j>@w8TQZ z#joVP`*=D%??Y7H|CpOw%|Bn-we(ao`^7UQg}(aptt+FnL+<9s@&7sb&nrM+yZy5G z$v2MHb6HQ=AaR4+(LHf$?}cMqcV6cD&nDENn!9ZNB9lmUBhR-hU7ud^oKZD}srUZ* z6(4Wi^<aw1nH*9bx!d-+(<ZGKM`pH0EW3Tb?Dg3PPi%!(`|4G{maS!WUlHZGbpo$z zruP1Zin7aFl(z2ab^3RWn=hkFMJOgxoom|nH7bT&t_#I~y8N3pcV(-^$Ge%|Z)fUT z|J?ne?A`a~(6^ZnrgaFJRBF0Ucq$lubg{UXALFxCk8^&Uv0rq}Mg4jl^OcQp>Usz4 z-pr3Z8S}`*Z*G$9vWH)nrw1C|{$9H&Z({*-($m~yw+~ol-Y%Y9{Ksh0>!Um5&%M^z znp(2DY~`O&KX!ff6=93l|I?SRnD@r&Z(f%8Q=k1(UYqYOh}s@1u4=yPsY>bXZjsW2 zT}v|F&*)Dzc^_EKBrYd!B*$ew_p4|CQyj<D$US;oi{~1C%nyFi#v672>vz8$^OlNn z`KGUrTDxIU`|Hmff~At;?zMAll($RPPtUx<e=lo&R#^O$rhOaM*<G?RC|YCu?oh|v zrudGegI~p$ZiqOaxWn$J-?L3EUxgIjFaNQmuZy3nwAaa6?vS>G*p>YP^8`D2<PHWO zU*yLhz;*QfrSEQ+8$K%jiI)1prtG)1uz$JebIbmTvhJ}RD?fibJMn0?$i`$-C+^-C zVJYu9_^vlMA9Sc(!tR!0w$oIYY2)4_qQ1uK?xlaUP?SI2o98-f*|X?pY_2mTu4r`H z_OLRyZAh5Na_M&S-^Vwv-l-OPx~QRUdZc;o-;m62dD`;Jy<K^?3*Nk+V;;Bqa_UL7 zu3N_QCqKF3ywbPpY^ZFo&$sDa(VI6iZnt{BJ-8}p{?eL#`<B0Oov`vi`JcHvSGCq? zJI*RKR^|SyJaJC(pYFTqI=-Fh$2*THMw(pMwX*oN;0p7~|GNI#O&kn&4d&0jd&j7G zmC9Vvh?<lwTdj2$`fPYIIVG*;r=;||H2!pU7OimE35(aZoO&a|w}9RK;OA6^M*TGH z=kq_PPVbo~qkjFe>SEU|vlTWaa9o?mzxaiJ2-9RHwrO)5%^3rV8oA}%<n5Y2NOLwy zm|QnGIrE6zvndlJrRMhO&yrFRl=`y3+0^ISwyV42`##@dy1q>3TTy*9d$G+n<$HZf z^OrsRDHf+%mb9P$;+$P8^b@Xia`7$j-|KKz@%Z`2OJ`Tz6xaUZtZF;$PzCRjpKpUg zZ+h9SlFbg>uFC!I#A(m-Y?&{AKYd)I*7NcA%blORe&pYnaa<(jMPKiURr+6@XI&FX z=vZxfW|qkO!Yf^mHdsz9DbTgpGtKjwxy^ac{XSQm4bPs?kl49EmxI?ZKr2DQz}&d9 zULoUv$QrXnTuU!>skwc8`0l@#ia4j<`>=+ccinzFO|0xqe&F=6q~*vmkFC6GUdU}W z6?8asRx&DzdH(MEX`538r-oMDkU7HUnL5dp-PT`7bqy<j<4l_jwMp+EYs=@aSQ+%_ zqJzI`%Cy2?w(+Hm-@?yoFT8K==dCj3;|(DbgM0N)9{4hNS#jOksH?;#{IdAkmi!Iz z*ZQLv8N8<Z9i5z6*YI}=UuXaF!i*H*Whbs*xwl{SE!&Is(!y2+yZL!@#Va~<jRej3 zvLiP=*T`A;|L}$T30?}qv9=Zs4_Bmq5!kcy&I`Si(_MP@+m20jO}t}M+*1=D`Ce~H zb)jeWuYcw)A=6ViH`S&rmfowUYyHQ&M?yRI+Y?R3mKDd_bxz1KPvz#4vJ#lWS}oz+ zle#0ospN8*%lx!AAJ>a{op!wOEs^b}pY)`&2UoVOVm-YfVdkqG!@y_HcJBK8g{hc1 z$2>lKDqF<yf=mI`QwmHr^Cte0<FEVdGj+DrhHy1!z3gd%VO)<|p8cK4aj$4yk+9Y; z@BLl}E<TF<d%Vg~%5*O0;h710H}CqCZ?NsYhoGrL$HtyUIhmv3caN_-=k%*;|B4Qy zqY^6HH=X<S@qC47>-{d>ty-)m`8`*bPGa1WuG&83#?DjDtCapOSiXMS%K7uc`Lb60 zFtRydchxrKTzb6vjkW`Y&zZxUZN0_y4gLSco?dIuT#$SD;;RD568ATWp>Nk7+cmq8 z=To!$dAGN__6xF1-dTC?hfsmP@Qy&ft#OvOKE&m`<lN<PCy>AMEXx)DL()8pW=KAm zziZ;2R~K6KUodRA`ti7oQiNQ>E6-;Kx4n4lT;}{T*?P5sV_){SoZ!U@PfPM&E!26; zyej0HZKnN%sdlVC6IVGG>^U=KW2MKDV_fcw9C=PAxSl*@a?RF<CI0Y~yI*%law+@f z*xQ6WUst>B#^giws^%98{~mqk?yoRum030mmx0&An)2my%01`*oH6Z>@S}|XQOY{| z8YkFm+HSGS{Hga@<3^Ox=8CqnlRQ_h*p*tpd2{llwDe$|x(V00cYgWec<gEX{J(eo zKkI2!8E!c0aNyJggX@8+P3soTJ8(!`bg|l_fC<yGy8A>J*~DVG!p@ic7I?NmL3xo* zxnl9+-F-W`533qG9Z9$8Nd73Z^Ctg>2&d>%%jZN#7|ix<?K*upL4H<rTv(Xh_Hecf zo{!n4%wf~wwQFo#Hv8%Jg>MARZ=c}Vq#Uz*MsmBMeyslaIqJtbk{OQ6tua@acdRK> zx$^d{ds|NTvmLtTy5dK{;zT83XU+a%;fF>iI}173iW3Ay4MXnaNk;z^y*YOZ&$g^0 z%Ug#xslESK893c4Ht=h1_yn2F|3bSz8HcQMfBQSU@!8aD-v3<UqB({}$$l4BTW*tZ z6mol@&%|VV`G3-5>1N}?CiCRmpz{ZE_a8jAZEkO_bpD%^<lAMN4(6@7eLprfHumcN z<>{-^tFm_Onm<$DI5@U==X!?Yl56LEK5DHYE&FR(_#fxVPkzL%y1Thf!t$_JiPd2} zEpx?I(T_P7^4HrM?rY-dyeo71yz}yP7w<DYc4M^ulV@_={Ftxyq?vi~?-nlKygbn6 z$CTX+^%Z5jE*m3_3-~|j+GXrIz9J*QZA0E3kB=(%6{eT<7k*f~^LToE_;k5llT%8+ z9l5*9^0#dgZ`S_@k4`g%n$*mHpU5e%5<2OCe6w4J_3Q5{a*wNH7hDYdBl6=u(~no{ z&n@*UK4B&M<gG<bN?upBaBjw@Q*E|P((U2*_C2!nTKzcZrRrj9-MyVvD=(;*|I=Cj z+t_4FLdGLG)5fQT(xQU9b&kqUvR`!h%+K=pPESkoDyMb7l)jrbc<vO`o}wnyrueO9 z>34qljcREh=e9ml)H--)-P%Q-D;a07vKMGy<QH>So$J@=`kp8Liu4o1+4lqNYF}@h z{rdaVXR6D$6koT~d$MxsIjfl$7ajFhU3rCZ)8{kHOIi4w9z|?#XVH8o>l-Sp75X-b zuj*a${*YhKP8axmkStLCZ5DRF=YE*K7vBao>oq4@-|pCQ#36}uO7{fcBi?_#fAgBH zS4i}9<o4fjlC!IB;=0L#M*bew(x2W<<Ub)hk1Kx7@hhj-Z=3Vy-N$7Y^G~yweC4@& z{MwxUq_sO1r}f;qG2Pwk?xVeLG+K_XP2J?XzO^)oZPMSHwV#*!mc;QLOHpQPi!tk2 zG4ZOJ(9~N^+>z7IX`T~}XDL(rzvk-g&e9{ro913h^PAKlXI!bP@Up>4@zj%BZ&ph6 z^3A(-N9g*~$>~g+T-5*TIt1_ZfBbgg<((&flv*y47R@!gd5CSzw<RhG3cdGh?wz-G z4*JWTvTy#}yj`z_mdm}Wt9$zF&GaUdCE{8tE4v+xCLNkma`JRa$@vwxmdO4)Iq8s( za=!Ts)oZsZ3s|2SIC=N(UBp)Jv;TUO(A0&(3p{!&cK;6v)mJ>e$5e53WVN7(>tC^n z7i>gwE-^e^B*Abn-$!}nmIdn_CkZF7+ga$iJ>T+HeEAyf<*5u4r4$7O!Zx-p&60gK z|Mojq_o_{O%kpH)nE#5o%-eD6c9c%krQ7#2jjNq4R5R|@I_Jl}TPo_z&2;vLh{xIL z{U+c39onDB@IU7NUAb!xN~`+iX0mfBxy0tx9aFf)xA1D^tB0a;-C7$SOlq*o_kVp? zBR}+yon+_f0(I%|d8*&K>bHJBHtAaM-1TPMi#;M`B$g$0u6yZwp!P9up4jB3tv@Hf zG2g%LtfEiv$6S+{*B)KHo*ei!Sy*?H?8|P?#Xh!fp-Hb|c?(z!-#E;(<2lx+EH?Ra zfqmGk8tb@{vuAqF)m+|eY-N<x;GmUK+3Fy@X%9Ek=7?F#=We!Yyryw)|Eu+uOj6fG zOkKIFLnA#;`hU~A#JjA7r{z-54H=GE-(UPb%)_@at#o_k)(5kvb;S4m*t^q{$9Lmi zw))Px5M_ZKsv?SMv*jlqW8r9Q=Xw#k;e%_FcH=f3-sSQ9aj!k(11@TxJ}~3is^=5V zJ8$fXZ4Tp1QD2_V(e!fetj5pF>Yv%44_h%+mhb5?QC%tf+lL&a_=P_mIrzRjMA=BF zNUtry+J5=75Y?@LZ{MBuvso$7wI%%Ut4Y6{r58T?dVRNnftHPn!^Y;wIg^iW<vXLB z)Bd%sbav7M4YByYPnH`B=>@88sET;IE1m1gS?gJ6CcWsKdxqh-&f%GT%Y%<jVXL2i z_mN3!OMt}0^=1cD3(FmNi@nqQcRep&VBA}z=yB<;!0z6a`JK()%hhe~?Oj*HX#Mli z`^j9dr^{ZQ*SGj->*5_x4Nh)fWZunSXHxQQeP)5p3Z)-kP1jlq1<5OL9D3!Ke^K~r zQkSprZpNz_zpL`=PfX2`sEeMPG3lx@>(2!-F7M<IOubySG&!-fv@kJzDW?&a-s#H^ zFXygJTz2*YSN+jimE}o)Gw#?g?@yPVeb-}h%YChD{Wlj_ci2~RExW<B*J!Tl&S~$% zSf)PwoG1B1_z{z2Q<cA2@t%k~J1)72y`L8&^D+3!SH7?8)=&3d<usa5EULPqRB&=g zFk_||tBRZ>Pq^bXztAaVYbtDx@$o6uiuQKxlW1*oY2D26L*#*{Av3o$>#c39vb}s? z?Q5lzwmy2O^n+n152w?@fQe@dxqqKKYr?xJIYH^TdGD@?Wy0afZ)*LP>mGjbNM`oj zb1s$ajf}1=N4Mwh6%?woc+V2jYbVohx-)F4yH{(Il2_K+n)jBufA%qMdw0<_|9wjS z)bsmuvV9j^DteIcK=FX$s+(KF;_LF428--}nOVpFj`8hx6-IIISs8P!zpi$7a5yZo zbUA14%(i9qKjwR#bk(!s-Ko}luVHUhEx#j=nC{v0bq>~xbp$0mCiJ)*;=8`^jI$s| z)P!?t%XF;$WVHF3LJF6-oY=+gnyz8NA#|-Tu`<25W=i1ikVD^gMTw+yh=kZqUh^x7 zC$-C`EA_Fx?c{6cJg;PYKKmk5^Ns(hkRJA9xz{31cc1&K$oN)OoU`ljO&zzFf;uyA zWlk=ssL;`|xnT6+37^jlTOskRDVwvIJ_d;jiJacinfym(vxL`LiEA3A-b;VIzR;p9 z$HSEF`_tg!gaVI_s0Y0qoNSEUNy*6p0WK0Mv(7yhdwi_leqA5Or)~2OtbN0I^!Fpt zkh8+G!@4<5Hl8ivx+%gH5fo`S^ZzNs#+@;uH#csS{FT(TPx2Di^li_cn<sEDm|w*6 z#zcax*>BZh)x*hxnqGQ7Yws1$xp4WZ>!L#n1~ZKpul;r_ch0{>@0Lx;JDU2qCuFI@ zLX9(WbzDJ)kC)H>uw$C%0uC2vo_D8iX=>eEz5V^A;LH9id~a`3JfP}5J!#JA9YJ?j zCwnORUz@qsG(_$FnGGjaGTwV(6KKV_{h-C_bQ=?s*KbU+lT2=}+Asaf-cS19Y4!{J zH<*6qGcrcYefl=r+u`{CBO-k7pM9M2f6k@%3=g*dpUwEeJa%RX3&Y9GZjF>;&6N5D z4jum<s}x(h1gZ!riAH&!J^uchtisVFRsp`=+})1e!o~*n0#_f^olbr||9<8l&d?*t zdMy7pu3B#H*|hV{xrnUmAyMH<6B~}(yk^M0`Ck4%?}TZpjt(K^zNK-_35gp`&aLh^ zF++s!+YwPV@xV4Qw%Km+C(L8NGEUgOGH0921j93*WfThxA`S>VxF+eTSvA*GO?&T; zCwB@m42~IaMrgcs)tTg>);2j&^+Z>Nl5$E>Y`US=hr6efoL`pQ{d_+^OjiBU`c$K| z%HtAxD|zbES5=l(tuV88nS7)w=dbh;!|984e{cWKc$eX({F4CZqg4m87Jr*-*0#BV zlhfwvqSga@=XK?;JNJ5u?z*-2FY$ir+2zvBawp}HP}!%u(>B>f?`LQcwvPEX+5dDN z!_Bm=vXBG6vmMG^jv9UV^k>$AKleWw_}ntBE%&=Cn!09gU#{A!|IZItyjuJt_@e6N zMf;w66qYhy7x&LGeID_%@ap-Qr?s;0KM_CvT6DAbQ}M-KpX-IUtV!EuIPGM{)86-i zj15yhKK>YOr25r!0Vm_syi?Ve6%>>d6b@S`SUgCR3GTMp5*h3vp|GJNKtRHR<Hm*p zmk%8U7Xl0n3@kV}E=+oI(8R>!p|h)&nvvklyweX>rf0=))_k+!;j{9VW!xTm+x5ch ztyiW@m}gv&w4yS0_CGai_V2F+-!p$!m)Rt+;b7!V(^Y#+yqI>^uXmJguh$jJzuEuw znA3{KnQ<$htZq`Rel>rkd2Q~p4Ou0}-s!H^t5%->?GWpl-D^VUxcG7j-un7(>rxE? zjd&jK2I&ijC+>M4(sA8(d&b^R59_UG<-foDSt4_W*cVxEE{i)$R#qhcN-&m>SvNs^ zVV}UatyjGsdlyBBtbQF8dTp7>>lYHzwo4aIb31XghmGZI^%?fo8DEccz3E;gbVOW0 zdhz-WrCAfScd37LIr_M(*ZkmuQ|@;}8GlVVP@1hV#aSU?O2FYg{!go)Z|Oc+xizbZ zLF>xf;+U;X!IQdviuFDh*if|N;hv1eM_-6cog~4}74X5su{C0e<Fyp4a|#@iQ&=B# zT&phd&WZn`6t<sr#x~V}iH{3DeA}D3xNyT6AL~hPx(?-NIP8qQqY^9>6!}f)<NXcq z-q^SwzW?oRXX3eKJH3x;|2b(iLE}N@?6rkEdALvL_nmBi_0lIIr^PDtxQMsGUPkNP z^N;jxIq=}c;hDPEIRXogPw^{%U1ceIbF$Xy(wg6$#a}Gc)k_UIdRcQmy}vfid3KHe zx2mN(?B*EGVmv4(d_QA$Z>XgDhSPlJT8Eep@w2avS$scNZ&B%)3#UAUBvXuTJdJv* zv`dZWcegdKi<5rGcLkG>PzMW5!P`2wQ+<CFHzfq`oP5WuC1l0b1^f1w#Bp6(9vt3j zl2p?1?V#A^mn!d)K81PQ?Z0#KUZ~k_mW59j+cPbQQF!ITSoAJpiqRuIoy@vAF~O43 z&<)vA0(<6V7i%ZH5AmAzM=>d0=uGnpwR5|!{gz@{nzlvWrl@V{_sa6O|IRL6qj}&> zjm^vq$z-z|Y7#S;iq6m6#iOiv%SvQ-;m_?ZlMM<#$krBE`X04;$u#Hg(fceRt%h7O zMz?=1JM+o>pqizBZbi?r3ub?<Y>vd_mgauy_@x^=ZPxM7Un~4xs3tzjbJSjbxrA-u z`R;}08Bq&G-fjL775Vas#!kcdho2tnF8{Xu-OrP*n<wuv+2Jd2wO~y{{NicrTP`m; z!y5T-zutaR<vVF+W{H>kk7mqy=nyo+!1GO$=pm(uO;0)2^0=y;Yc2ksDELM9^|uM> zbvM4+NW2kS#BitbZCm?|7VT0I_2=JbZ~n%s@Pz+`w7vP|zU>*uH*9;NcWc)Mo4e~o z=eE>MVQfj4dh~38ey`bbwYq?+?IQmerhmS&MYGBK$f5I7;&vGMhF?nlx%PA1no6S; z<^}HSt>3xE+r|A;O@A-RT`j(C!Cj?oLKD;()_>8_)88HBeP*X>Ul;dXmFh6Y7f&p% zIx?m&Hg}WG5)kiF7I_=I{o(R8?^jMgWWLXH%B^*>vfthn+g8>EKT&ZPoaLsyeog=P z4L>q6raS5Enj&y{<r@h>{@6o{Bj$0qPd`z$d)Z3ue&Z_*YmfbJEaZ9Z$JA%INk*{d zMf@jM)u%ZtyN~poxboIZ_nZ6EoJ{pU3{v|Y_IM|DUiAxn*RyA%V(@~iCSq$nyx0B_ zo%n8gSZ?IvGg9}qudv*>wCkArt$W?i!qf$`S|`0UyLOd*>5I=>JG9xCXZm<O+bDEZ zaFf!#ty_AwKW3gIBxNrDuK&WJ@2yQu4~3`k-W0$8>|*szewh}dz=)kUJ+^s@cyXVa z{)km~!roZl(99ljajn;8y$`e;yX|tyCa_HTRm^Ph>PtV%Uxh!}ewqr*v-h;{@9RAq zx9e10?WqlS?r)rN=XkE>ulvvT-#Jwuq@mqA*Y`&-&t#>w&KH(M&-N6$s`i*`>fb#a zTK!({P92v!Dph4;&BC4-JK<YGXujS-j{bl%yg3sX%vcvnn4L_T;<F)fzWj@o2`A<A zCn`s&6gegAz4gEJN#l{yrd=-|R9xM7O1XKFzEa+@84?1DpIi5orm+hikbP3k6T%yM z?1A|f1<%c{;aszl(;TlISZV)g%BgrB#cA?O{w{e{c!qPIN6&$&N{3!oA6Me$)X_fP zcKXrHu+!C(3VFSDaCx(E3%q*z@x_fivqv0uqTbI^qd(|Lt#w||yvotwynnHmr`$R5 zmT7K_LsHHMvDtU(DQ&!_>E;qWSwz>=@^D-IHdpmVhhrgf3XE%}zF4{M?l0Ef36h$5 z>jIwGti7IcE;ORZSMSDy5*MFaNyR1;UrqG+a)M)-+%-1Q?!%#`cis6JS6uwE{qc;I z(}TC1DttYoR+#0I!i;}UWX?Wad|-FbqrfwV7kpw6Q!cKXGxO^GEA6s^tK7NU5<OG0 z)(ESaUk`uGC1{~x>?L3w(Bz%;=T1-^kGzk_>HT}AW<LLPYoVC(f<yLu)_&L%wb%CE zkBJBGE;u}E>gSW2Bg3RBM6xb$AOG^8P08|?vTRmpdqG=%=gD6IleBu1)!%XNpMEO$ z|I`V8GQYhQpS$1CV&B%D`p0z_syxo@;mnu$>8uwa#=6h*diQ>At^-WVf4{QjJ7JQk z6*S5J`y=<%s^gs8xhjem_F6A8GkkUM!TWILPO~@NRXaHOzP7w&-828X@caOE14i{0 z1*@IM?o2Uy_2GA>QczOo)}VZbHvh}1heGs^pZDgf2!EFIq9b7C&xv1+{&0U@WWj#8 zxqXh%`7Pqf6>~Y3MT^+plQIt|&XU>pHmu^x!@}%!rB*7l>q;3s`KovMwA(!iE_jsh zb9m`Fw<zn+3*37TFo+qs-27}|CZ7Izx{R-)OnAM@El%U#6|P=$PN%zFo-@;hFIc|g zO3MKw#x;Mud-{vl@BF84xqR6SdEWD~{HuN_YD>(QmCMbr+a`2+sfW5nVEyye9nS7M zblguBoj%OID%Saoy2Sg3-r<|we{tqdTp2u<*Esf0^ygbowmQ0mF3Q_CAwliiCd1&( zvr>Z}F0A@tf3D#5@wLBSJiK20@mWVho%7a0qswg@PMrG2pe=h(CG6H39bVz`3F{ag zCl^1I4bYL-6mAxnVIP00OZR5@y7Yf*o^k7aQub);Jl?tdU%<*{)Ae;Gy1d<69VWWH zYWcjd>{{|%w<BMA#LkNuo=sk<zu|C(xuw*adnf#}I^`m7OsU)z{5$@4u=08p-Wz8u zzRk-$wq4yN?2vVE@TIyg(ff-pixh^k=9_T6d051A|K>6tUDjWjv;G|@sA{)({B^;x zmrOM(K1R&H@>OFO8E^4ODs-1!U|`Vww4e8h%LRuZ#ba**PQKxpvHljL%XzzF7dPpK z86ABY?6%He9rHu!Ck2}7MW5d8zFqO_{kxiuS>KYAM5izRcXHCaui82*C(WPJQWre8 z>HG)JNzP8ZFJg8pMzPG4bepI0i&6X7@d~vH*KOkZGoJhII$#x+d0Llgb4a)D$Ci_J zcLNGb>;;*#<ZEs+DdpdGIwSe_%d_om-NCK=%hzAnZhZaARDIVQeWf1EJ5x3-aqP>P zS-$&d+`2%K0GGX*Vjn`Ce(qQE3%sC_VK%)W^U%`G`x9E;T=<*alie&3uzSn?ZD*TS z{)}4hq`&gG=(T@$wr;DcOuc{iuJ3iXtDY-d7dndmTgdX|oblDVjHCxLC(AcoEXobt zd&EiAf%R~i3}0>ghvjMC>nhrM&nVQNy(gaam9u4Lp<?(My^hoB@oJkH=7&t#&!X%j zG~s-jy3*y7>%1Z|C%Ig?!@?pd$<`#!BO;mlW4%h(2IaH+Hg&pl9iH08{Oiy**$W?z zdPOysN=%x2rkCLzFVjk|y1=!p{>;hxI|5|ArMQml^%b&Y+BqvR;h@G(&Z(+ulbBB{ ztbcd?4`XiMDn8@1s+6XE3_&fE9QlI;COzDrc5Q?ENfm=NlJ8j8x-h<RoFZnv;#TIR zkOMbe!!GUD(phq;aal{4iN>y{x|^=Yy%d|f&GJH<YNXNXLhHGIqWC{<e0lcnk{7G{ z`cujyLwTIH7dZd%t6J2<qfoq%gN6V6#TTz^-|$B9C3hW*?NmLaG--N!`~R8d5<=7Y z*&4RIGn@0gDLj#xGxO+ydx`VXvO6z1e)f%Bx#_(iXW+MWn-6c2m?3umnb6NiQR!Aw zv{fbqM5<Qnh<GxDPWC(}xJ|FJ?Ch3%I_%d2dR1fAMY23sU_9<zaI>Y%J8$u$Zq5dm z)p4&kJT=~S^YWa`-gCP89y^wQe-hxK^d@#<%$>DQ%Sywq*B;A${x?-lbn5!KqGkd0 zHII`LZggDQ*tER%?#cT{*79V&``9t_I(x4AoWq*NdEcjBDbp-xG7CLsv#T}sS9$-B z2%{5kt;0Y3dNZ+}|LV-&8LicZr&su{2(EP8bKsvtV36K6S+4uj45sHW>b^R%{b}>8 zCwEw!!;%@Z-mD4u?U-<RPb2?>jmH&^On<cGcj=3X=Z_wpxW3M=^3~Q?{>P_(UyyY1 z=Ix)3GjFS&?)n|_eY!4lea8pYmcH1el4(MFelzYWNzO_Av}3l8i>`QIviXkcS8Wr| zbNuaoYQ{QWQEEm=-=3oQj)xw(H`wZ|FDKO>e^#@0FO&4{{=o8!yHXv!zw>o^MGI{? zF*WpC{872%oagzrn<h>9#KrUKPUVe1N#Pvkdu6(7W;(yxcck~o9l3XGPwsPz&G>Y4 zSID<Lv(I}(@Xnvo5cs-ihSk(+MNihN(^i~U<=^1a-{ZI8vjK1J#D~7lGZO827xGwZ zu*^7|JX_}%&(y@70n;?hF0DDH6neqqT`b?F?<Yf_Dwo^df7-#-rmV%BwPSOx%1Nc- zIMMp+&PTX@h22-2yoB@SE#tsN;qUqRLib9ZX}rJTvtEh*30uwOUj3f;wnyj1P8U+P zJ9lg4J(-p1f^}|=QIqGey`3TP$@Emg=3Bu#_xbfyA1r_N?1<ER7Dwr6*TffHnany{ z_V!v)^J}$<%YQQ%zvnTns(r<*bN}Zxo<B$Wi#ys&)HA1_7tCJ8f2HG5o0QUt*`=$h z-l{K_p5pUfX?OShlgn<V9?4BTXPERgLh-!Cgv=A0Z~WYNeU0W$`@7q{vf0x<RrW2O zxaZ!s&&;>Zb4lrhse4U!n@||Tcx$ak=&9~K?p94fT;_QKnH_m5xy^kw(;mOic=RS9 z-ykRSw*58kV{>?!6k;^ud%b>d+2`jY_O5OA#|KjL*;R{*^!9l*3f1;Ay~{mqynI#Z z{7UDkS7TNb@&<MFE>^jH`Rt3(h--EBa=c6JpPt;PvH#dVCPR-cR}J&(g=~FiUfODH zbxv#JGPd?rXAhYAUuc>=@zL(JSqsw+-Dz2)s#vvX<Ki5HnEeY6bbJasf3)>q(e7nk zr61~V{f{zPV)4Z8KoYO|`GZ$(8*^(vs&ZVVkoKoiE7e9?Ev9PA&3y@{KIYtNe0ilY z&+Twtn!sUOuhX**oZc0yyGQ%ftTm^1O<2A>AZx{ix|MIzjB?Wq|1M@)f0V6WGyCG! zM|T>;^dI!DNVs0J@^9+Q;1l&ehc6w|-nFQ|$N1wsrDz^UKeI-kgM8O!nsB}_T*s#x zwtY*>@9D}W)tfdnoo$;ZzWBQgkKxJJ+hv+|8{GHwSjKKXN1yY&^{j{AiYue!rd|r| z&TIe1k~#m;O#VsVgZTbhoKL@eWNmT7_FWGza-7bca&2?b_1pJn?2zm(4Sl<4@5HI* zynnh@I$c)}UmD{2FJyOc)VxJax4FKG9%Oy${N<$TVd;hCugqiZ4d2Q>y}#}}*P+E_ zr|a$dTkkwKx%Ez^<@*$W){x`9VSZCot<8h0zUk`Me4WzUAoreWe@*W$jq@*WO%#$` zwf<Sli91VvX(qpzRjjdO*_z*zPs^mwJ>>7R=lVgbJSRS-U(A`|&KFy*{9jTb@@V?J z%74#}Y+Wd{J=AVJ=k~?xwiJ|=mdCDnZT-H0MdI>Xf%4beuZI}Cdc?YQ`3J@5W>?8O z?{;6$UGZ0LvfuYtc8unC_?NGK@yh2!-~<Z~spwk?tvn|}xsI(0i*nt+{r}a4!LvHs zDnf&Fs+|?XTu&=LU~pI+_5a1BwQPy`rx!_7uDG3Cewu}Qr;5kj*-P(T)!ZsuXOgAi zbNy|?_lj@(l9w&s__sAwJ9|>kgj-tSOLG^lmOohb_@i9#hODc%<82zGPQIVX%Y3+Z zQ`z*~P}XhlALn(<>bsepY4R;=NiNSkp2>5jK7O2+cetI?@9LlAqGz*K@1J?CWQwn6 zT|fuhY%8&Jt;Q2C+ajx{d^;2JYffQ_65C6^Z<21^Zv!{aZCW#3a$ihW;igk_m9=@( zW;MOIb#;5Y{PN(B37M}t7N5VhH8Z)_J3h~<!J%LE*QfQ<&)npU+9h$L{Porub2lE5 ztQ0(EsJDFa=LZebD%OeX^$Sjqelhcw+YwFOQ`)!H-nh;%dl`N6*t$u+<tM7X9&FvV zxK%8w{#kDM+3%CJraTHL=!r`CSGQ_c;mbK&p3e7OapUn)TSIrJh#%fxyH=g7*cyDh z>esh_0Z-W%t!=rqo9QNVukg1`$$}||)MK{`oPGbicl(rG{#Un19+SU(c7707-#*VX zyB+^TD9C@}Fxq{-=eZT%OQSA!Im3TBcH5M=53%aCnaBDWD(|1Oks<tN{fb4Ivl6(3 zocB9sgk4VQ*x2XC95pqh_u{D?KAKINBR<UPHGSp1u=`)a`fY0!e&2ibgWEdXOKNk3 zvelJ|+wOR$&)<6Q`>ysyt#KV|ngxZ!R^?Qs$SG)+t$$d;bx=il@%$^%B2623S`1zv zmNf{QXg^8%{PPdSQ{+p-S_{IL%KfdXdY(F`ZQn<eB$lIJR2*Yo+`FG@c)T#)s_#MR zp9u4YFBO5UvcV>oju_kywMbIlaN*fR<FM}+ZyZW|!Lcbh^VXW@-B0z~s;)F_eBj4^ z<+QQD9U-lamHDY^uX&edh{qbQkh*KGnek_4c}uDKG>M8oY_e;n_qHsXwO2$s=3-^* z=}jBUI5|!Rt+}4|{fctdwHx_wW}Mg9)e^dPk>%{NM!A}Y&u7+5D@a-PyCCuN%a8Bx zSKg`qyH$hZ7sKh|y-r8Gq@C7gZaY}z`DBXT?*%KbNBleaDpKm*dlyj?t)s$<b6A!v zJ-pE1{zKj!X?vfpS24Snb7*mqMh7cX^<yQ!-MmuSKli?sJfC5<&vtUP)|HQJ&h>ZA ze3v?!ndX-spXfJJrg5Q(@+ZHP({fuM-c|jg)w3a#*K0z^xf6;rr#^W6Vv6{VccT6& zH^b{6=x_h}ApUjkvHb0K=VV=-)A-!h&3a{Q<QFqxzsDIrxvX|a&hmI(`0-z%@|>%` z=Iogqx*>S$!bNgb1*JzzML1+X_+5Q|G&P!UeUK-Itl)`n6B<8P{gZC8{m^vW;?cK~ zuq)R)uDI=ba`mF&u371kuC7Nu9Xzh^RNKDU{G>6%j<m1Q=6BWRh2)+0%fEQzqRSK4 zhm%*WWIk^n!^Qvo+ufH+3ol!5Y?nD<V(j_RFP$&pb>7<BGlgzvWfxp?YpiJSDv8Xz z>Jj$)Y|fr#Vz+)*oZ`yeI6=<haHkUEs^d@cj2cQmo@*7<(^Qg65?dy)YGQBGlWO*T zKWZ)KxN7Wi`4m`Ypew~+%I99iILY^!X2tQkIGG#=#pd;kqp}XDEm>yu{jgr&mmfNx zL%PJHUiTROOMWPvxouk$ufK53Z>cF4Zn6Aq^j<K{<FL-TxxF@gJ2%wT^*UusUn_3h zG$n>b@MGh(2T_Yx<xE%EdG;YwhS}=10-b#e51e^$?fSHCgXQWki^}XDK3_E{+WPXb zBU5g@$;k;2PqV)w`uJsXWu@^`Yc<EThszaq_nSulRlm3QSW(BNrA5762}>B+RzCBq zw_uv(R=r}X<gKkn?6dxD{#(j>$HJb!v}T6hF-P9%OeS8t=P*4vFTnc8K~I`BM{TE* zQ2PrRxjh=zPrqzzV4Kggt6aT4vW;g-`{vBfXnsz&FYJX+jL*ldtlE^RdN_^OCjQ_% z3%@@P&)dH~=)%)7eZjfKazUL7=R3}9uD_>h(Ge+Ew*O#SXx;m{9BIxw)<hW|4p}nk zTM?_kevx#qBZjMO`lh|A+beWEId!&xw(NGtyGPr9hHcDQs6E?UL;k_GSvEh||NOi3 z?A(v+hS;#)jL>y6AK7_{_zTDQx8IdpDVZvA>s;@Io0eh@-;W<Nx6jK~{_eMLYtHYR zuSH(+N@_3tF0(m(d&<2{>dl>xEu{qK7$xk9)cx*Q`Cqe!S;#x-#jEpI&fj|XyEyXK zf=?4JuyMN0;0ZNJ-g^F9t!(K1*p@UcZLUSn3Z7@*efNH{xg*nRsUOLwM0Pfce9$)+ ztB_gMGN-3MFFm<Kc3;Bgw!0g;E*?MK^vg}RzP-;^|3-}F^_}~Y+Hars?|Xh*t!Mtj zh%M#4PmSg@x*NW&cb}1yw&TAJcb}W)r_(Rby|))CR#@hzd86PihfUU}95YRR>7P%c zvJ_@Hd#a~sI9@);zu=POW$XFd|CMdF^;mg({(|`U%BJT3ktM7#Gfr_CR;6eKA3Xom zL_R=m&#bM+J92Js|H|??#oS4%zc#SGknhRK5`%3I@2W^K<Q_i%IrUu7GCi)p&VlL6 z&NyH9$@2VUvAZsGo7MYG9-q$re>L*~2lJ)!FA_zY_om!@uCZ-r{AGPb#h0$;@`iho zU)`9T&pJPM!tyCk0*tyBvh7JeqJ27X%e$2~uXF}>y6w5u@Nv4HeAmi=*MUY7D_Oh} zyS$J7O3dQT(GA<!svB_Q`mO78E?B*scBJ5!g3F7@N3L^qJZeJYd{cMt4SeC+Xw}<P zbAi=acSe-IxO--o{-LiKmmK|FR_oq8x~IbXyU#ySxB8c#Tps+hEIe!Q<8}H>Q-)oR z2j=lke{ueA)I}lg1sWkSlF=_@zdnn~<haYybLIQft`%ZkHU=Anw%XKiX6~|R*)J@; zQttgN-Fc5Z?={?1V!NEWH0K9<!SVS2g58#}+x9(pwd3^c%53c({S^*3S3L;eX1lrm zd%jterM1N_yGQH%4E}a1X)(!9TD5APLGhwUuZ2OjQASfvtFpcPI_t<@PL&g<zw^J; z5z%|Txif3t{=|-;%2n%rFHJD3<Pmybv@2#qoqAfWu1@9=H7{`!_Ty*Q&lFQXdzd@B zs?>wGa@+GWRbgoyYHZsDtn;1+pL|%T^77Nhk83Wv))ksQ5c=$CQ}-n-qGQ#8b6-X8 zuc$nGYk^bdy&ay9R{T}W-}Fi7%NhA+tt=lGoqg58|I+Nw)cbFBo!34TIWZ^GENy@O zI{p53SM$4Ul3pBa=SzF%wokBMIjL#!rRircsFZK~u|IPz?<YO8xR9PJDQ!6hFSbc4 zdS(VC#5<)XojH7M3vachg5$A=2Xmh2TxsT#c)NC{eAMR4Z`OM}?KSkbJ@)YVDi`JW z{#Ofk$j=Gvyc?3r9wB(K`buQ*C5LNW2Lk3zn4)PN@SH8QX6AzMM-~Ul_^KHfgq6we zn7J}adQoZ6#*7E2A93DvdGNlmM8M`z$$D?y$F+G!DyAQN={EV<fqTd9v?$+UU~}=B z@QR^b*oxcWY;nxZFf;C^Z%^C$|5djN7X@Tq`TwzW-svl+7V$38p2j$rYw5b8;<$Gm z>Kaawn%g=b*-hz7n8C6!GNE>Pc<m<Ta|eQhgD(q2%THmLA|@ir>)6nv<goZ!i>kq* zX&lm1yPQ};O?1qp_?Vj$k7O>Mt5wx-ZL-<A=;Q+}Y)4d;_e#I}?tGy7*kSVm_KH98 z?>eU>J1ZJZ`Ds`rAiyCk)-zpNS7x=1j^L#P1CA0MB@r!AMl~Z=$!QABPU+JfjVCAx z2VY{orqFw=&qwg`gCv6!C*HF)n0Y@F+hF3g%{t}6LfQSA9-&KABzRgFm><u-`1er4 z#ej^A6pav(B^eqnAx}QmURroCAYf&{29Fai9yW|@`m%yn&3%188H+YLiwB1WUORZ$ zapFQ&eL>dej0OA_6((w;`i#vT8}2`|Dy^w}Tp1ZZFWmq2YKFhJUVT{c`R2>~WQTKN zGuKRNY~tvBRL{G#DVt5})1|V=*^G1Yb{?pHHrJQ^HKS(1A)C8vS|bfx87qvRZku{f zYUv^Mo6G&o1UKI^-~80#)jrleKi`zacAwCBH-(*Xa=~v&#?<a^1y#AK=IkC}eO61E zw+?m-7e;PMxOC+Cw8Vee2iukhJuh57YyWI6UhSeC2Ts&1f9<*Etd-INv4lj$=?^T_ z?OZc7b}tX`ni<b~{=^iSy>FGS>=%!)nRxs}hgf7#Tl@Z?nXKXuABzTf7lcSA>K%Pj z`Doo;?|YjLrZ$>Ho#{3-_7E_x+%&_yF3<JwO&fNW1=^-pPgkcH>-NoB%3f7eel2rr z%1PT};X0*nZG<Q7GWo-taQ1GoWuo<svnkE*7UgU_x&7tF-_Jwx#2n`OC##0`%<0i% zzy4*-f~uYR%cchYpSbgU|1aMOf83q6dOnp9zulyzcF5y*X^HQN1y1tIDlK@d*n}td zOlNHG%)FSO!6J5W?)AEWNxrjl!g(cc>Q(=YfA?&omFP~d4HrKYNT`T*Uit7^Z^kx5 zyOona{o?dqvb<B+?P#WN@m@E<(0~mFE9y@t`UU@6^(<LC@8_EB)@L0Y?>a<qJV`s3 znBP77-p-Q|m(<_ID?aYsy5-6zvjsIShF5y`9G`hNtTz7iq_X!u=Y0SFiSyq)cjCcj zH^;sv7X>8;x0Xh)7B{7X-Q6;R{K<x|YS;h2IBi=}WN@fU|Ma<2=T5z<<kDlic)<y~ zruj|Lu{PFMuk1Dd>)@WIwnFZA<r}FJ^ZmO-1iiXu2z)%F_;B@W*`GGwKF8JuxE#1J z@ejK_zrT<sQ^^{)`$FoDO|tFGCq6hT??{_9|Jef1S~F|wv;9kV%ye6MRZ`qtY_H?g zomR>lcj#TMcamF|Bep0_e}yQsy>+H{+_kE(qT^3X{xZu~hx%?j-}G$nY_+Ykm$j`< zb3D87;Hf`L&7PZQeNy@86!oa$Wqy%eeP`Gr*7#1rMNcYTC4M{Cl6y6hvp+bl?()u; znbNB>ZNHs+_U75QTY;a%f_~{N&*tLOb^2uNzWv?ZiN)<b^FKNqmh|HdKN__1n#{^Y zPtI@}7l(Y)s(Q?MR&#eSyI-O4xrh6*R^QxeaZ|FDmF0iV71z|W_R)v>C0{k}jd%SU zf6Of2+~Ky&?rqYWSMFPueVKP<NrTj5-%YZAy*{VZ#;F(R#)seAb8g<E#5vm{KWTC` z=LAlE)3e)T=buUbk0i@If7UH(t8MzCp7A7C_2=fat?SlgcxhT#_Hl4?aXF<J`s&PD z@|Mq{N+6?SWfu>h(3y-4oV<)qFA^qjaB>M5%~-+7+at**V!@JZay0%z#e+GV(T4*0 z0t6<Od9Kvwm|b(e>T~DfkjDR}Nk&_jCd}XbP3QH0t)(;bZZaNf()!w1_bTQZ^ONoM z|4Iv=2e1D-?b1qinc3+#b!`uBImKz0koctidX)TLuVR}$6AC9~{La{YF?3ViA6NB* z(@mqIbbpp#TVK?0sHrgQ3)3sPYs{Wb{Bsx_#iqR88=iW8(l@y)UOVPjcG=DTv6ug& z<JZMok4OnfdRIS}IJdI4VBtcmeHAR*Zg5&vZ(!WMK=kk`mv)1C(~MmcD<p-l6fD(F zXVN|v^04o?y+MDP?|h4j?$eyJCfwwnv1EG0Qb|3w;@{tLV^yzJ9OUu;dygaSkg!XJ z^39Xyr=L3>d^hNK%{2LEpQkRl9<oGoy->h2r)herQg;;RsXS9v{@lA{^{f+ye*31c z%hbp}w%*cF>@jP((5%>{lHYFKay&3~_sO4Sft7by`4m~~g+&~MuR7hE+II3Hzqr{8 zr-)hp`g^=Svz%dItm)}I8+nOmyX@p*NALQK8MO*EZ6;F6pHDAcJ?-Rwxf*@jO96Jh z*?aD6<2ofPkotAf)dP`FzkBM-PguTN(mVXk?O&c5+>JNqe|n-i@0|Dh;GFZ{`)>d8 zKJue|>F)gsd{5tbt-m)tBUU%ukyo#Fjyg+%#K&5f6B>QJE8H8?#G5A9%z7EPx6tvZ z(}hoa(xya<8>g?Eo*QXDGcCB(&`Gk4b-M8M+mkILR!(_&+h#^y(B4XiqiqHE{gd<y z^%*QmimLB4>dxH1=(nNfp{L8vGg;o2`1&G?`H=ptZ>h)grA{?YDSeh7uDCY!qm1>d z_bJPn|NY&$`^Lcs2mkF^{IBD{h9{R7r`o1-?JqvlHLvKR$?r?ue<T=^#MyTyrG(zz z;da9@M^r)bYSTH7gYzXe3OZ@+KKN}Li-@;YwNCc?9^Z@enO*(0etZ8-j9Qv`VL^hi z*-96qKaG!P8EdJ|OU}>C4|SPW^X&5ZUo%&);V_zV^7gepK^DKd)921fG$}~QX1|=9 z6W`)(ew#zC#VD;{;^MuD2C>_JOkK*`9dOxmcW>Eh(Nim8QaZQyUOZkny?)gx`Kjrj zid_G8o!J>UciSV+qWeo+d|#@SB?PR{DHpc35K%aO`xuYf-5c+c(>W$iV5*3D*Q9vi zNA0KWa&6O7y0<-w{Pbf@ajbyCrul{5_2)mH&}^0|_;ov=muqp8>c*vCb_mCP{@Ep? zaIWj-iaGP8Hd{MfJ+-)BXi-Q0mNQz5S{1?q&)#a^bjHZP<8p;$&x)oMx9lBG>pbeZ z*`6EqhVhl++SAScOS<n~-+BAnb;o;M*WLWrEt+wB%I=PPMiVlx@vmDw<zkhJN?~JO z>m;7oLtA#4GOk%UMeKE!XG!4q_Z-h<nO;iOeqjIZ@o}zl=8Z79EiwPX<9v?X3FpWZ zzIJ#`&5BIX7)!UNE9alAd0=}r$s|i)i)`MMtSjfQZJy26usJr7k>SfUf2Ok4>r)~w z^qx3ia6P?H{Qs%6SizdUxko3?kg@o^srl(t>CE1K3x@B1ZNoNB$Z_*jlCzn#j9dEn z{OPGv3oAcc`F>JW^zm$;KKDb7w`b$dvvGHdMS@@V1pDN49&BykvVYmX=k5o~q)v%o zueZ^cp1Myoh}`?d`xfgJ&i+&0aff(rOgZVSD#|`_{b{vh(GS%>F`quLK||)JU~M#~ zf%GedfW%2JTU9@CA7i#;DHrKTKFw6RL-J;oz3I;<4BsWJKB}zJH*jgQIk2I}_Ku$M z2c>0mwtSpgoX;krbE$oGY|7u&y89ig_ub;|*xM)4zVhc6d8vi_yk~MvJaXzO*TS47 z+p2x;`cHUTte2spU${#9+2s|lTC_hdH{eKl(k!#}6w77~(TlI0CN1D}4?fn%vGqzp zp^HsEqv&su$S*RYcMUkga;G;Zy?l`(xW6dwh<4ANn`@Y7{S~|^>v5t_DOxITX=Lca z7A>j36|0OdwzaUzzW(+n*KE?pXwfBmw~8B_=@&TkW$x;GEBqI4l=~@{E^O9c8(`co z)bx^N-e%biOuyee5MA>8|G|ao*Y7)|AM$zGp<inlJna_8fq?My0kTZ1suZtH>t$%; z*Wec1t90|@SDtG5Tk{^39$IyA)56=~n#DC~*RE8%pS^!5-{;$_>`fA^Uj%O-N_~1Q zY31doX<K6XufF!!Xxb8!9y|HIX8yCz374g>RsC4H`TjDCl;R7#OW8$5-%MQ0Fm2z; zuiCSjC(G9vRoc6!TZNtYu*v3w<9hXn9%(t7o9-|M$Z~cote&$&;7_MmYr=&S&aY;f z^y#&72p?&h<nNc}ZgSe{iCALhju+0h`uYqn8bv)U)Dj{$w=k@UT#&zF%Y@4d--wm` z`Cc7aJXg>oqHw~Nzf->16-??bic-9FM^)AD;}qB289g(ua~ur$ZtL1Ud!C5*#)nQ4 z0gr`L?)ooD>D(CoZ*|~>XZkY5ESnW-ZSN`i=l6xZ&wRO-bIH?F8|`8xj=0u&?^$OZ zp1ovw*0;clPu$Mm?z`<#6jF+9V{|`N>b533@XfDx*Do#g64XC*bnVKzTi(2DkI(%$ z_tEEdmliIPezJ3V+;WeSv%&=xe@|a3-`=p=PBcOB%4=_HuLm362c@)6k=>EdUB?&u zC{AO|u}7(I^l$pKIDB;8oAc@Zy?5FrD&b#cy{_yr*slCCQ`f$KrjLYwo0E3o-|ZhJ zhR6tAVLURqZG%eLuSBJTVH^1O`1w|@_-!BD9(UoxhtE$r&gS|D=I~m0IfZP!mnbdo z(zNm0?HCCiE#Y5_B)(^~9{BL$`L|yRzt`Ed`z8mJ`sMG~_B5lZR`>CmJ+&qNI@YqP zmN9xo{0S>}iJ#+-KXWl@h3cgBDis>fRpzJMP?CS{kmx^g_Yd_I6KB25{P@)H(f@M> zK1U=LhF=kxtzf$E?9uIZXIPBcc4=Jb{oK3VER8Ea`+H4zy4Dg4ql>3ECm6_IS^rGB zDQnxhlEWEO1&mnsmz+H{>uHV3r&#Old23I6v(aPI=2<oIu~Uov+hPTc&Q!7cCp@Qw z7|6WfyeIskEhyUf%CWoyj{`Pqx_E>gZ)@3l&&1JJhjCqT$Hen7rdd2QKf7gIpDdWx z>bLYL^AS_duYvyCnKtBU|Epn~|7wc+$HU!??U#4tb@YDQYLcbDD>C7Dk-faTP4Eok z5H1T1qdkIeUNE&u_s2PS)$07#e7QI?KaqD;;Lh)wuL>6@sp}+0FZm^yu;MsJUE`XQ zOMj`JR%x8J^t;3TnRd&}zA}ZZyz}Rs#=~`TRVPZFPbrwFeCN5aV*bis2Xi)9@BYEJ z@S<Rtq{}kN^^2n(eCBFRTst-W>A^*@kK(4hs}MNCsSz<jDdKnJ#cvtS6HA@Ms_&?X zeR0g;OSw=p<7ZlN(xz!wSBO4~yR@yKdU<_&j$HLK&wVdu=sx_X;MHVXvv&V~&!a|0 zGko4S{?CaOUiw4##ntkvwS^y62+cqDu4K;KFY3*A_D<lt<Y}q5-~M&}&Zuuu|J2z2 zu6Uzmv|3NhtM{(|&rrqGWnVT+zdrtV@9$K0V~g$ig&}TR)!HNP@!yjP+ax=CZsXal zpH}R+AjrOmHCr;No%`TZ&fgl}vW-G@LQ^!ISv$<-0^fM+6`8V`D@JnI>FE8DjC_@5 z`%{Ip%0r;?RL;d)4;Hedy1W;$vp>q_Sjl3ev)AaJkX83|+a<d!nXQsmt#RME$$9UQ z6KczEUAW6Emh$n${5`sMbA&4Fe?3$YIXi*>+jre2m4NKN9pBWBh#kL`=6-06&7_Tz z-I*V}g#PHfklB66`}6<0;!lfDD)k*&B2(b?vFBmLZr1q|((n9Pc9WxXQ^)pYw$oE5 zuKnlwTI<%iFFEVyud>on-9B^a-y<KwO_^UR9h=#-LRuqKvGw3(Uy+N;PyYUyF8`ZJ z`~0WI`H387<%%cF7W;8Udd99ZC0o`9U;0qzqNB;{Tfnz%`-LsvE*q}8$NPBEHH(mD zVV{cEw`Afx!<of-B|E}I>K>;|aWXr$pvAkoXfd~3MCv200;9|Me4f!7`QOSo_6JsO zyX?B@+*wAMi$w`d52`lK@?_NX`@Z|e+(|!KCFi$)lG*EUv`FO1goSf<?N7^-JDPAK zGt9}m>9+K`Ml0<}j+=z7ubA&sQnfts_4YJ#Mc>m?cJFo8y}I<fx5P@--G?VU`glFZ z?W9-KOjap5q2~^<@7QPXclK(^NGQ2Ual0sn{j)nG*^znV$1US|1y}qQPueNFS?6o% zDVt2KinsX|fmi%HwAas$Z#Vz`b!8M^XTekrsp@r$u1uZ7zC<PEbdmfDM}w*U&Id&- z7ezaIyjjhE{*U>aoPBq;9skb0<wcF-6%lbmsa^f+*4%qHT}16_|1#y*s<j(mhMjq^ z#=j~1S>)TK@28c1FhAyz@R{(w;K@>z!^c-kK1$MG9<x10=<l3l#UCFQN(EZ{`&XN) zS`xdBV@j6egk!O$5}$3KybIlZx!SMQVZGG1HC}rCsh074seAsFG@dJ;`7Ti8@cUkl zL&vuo-0lA%ad}GabDt-B-(?jgsQ=Ytmp*tbVqVX!FYoWLN`1-OT{DH_y~P#FWX+Aq zWtSa#?zi1^i_kx~?48K85S4<yES*DJ4%uw=5YBip!;oFES}1PWlK%5t!nO}Da~(Pt zHB(kT^79<O%k4}DJ3GHKT`Mcx@YuY-;^GwTh?%^q29h8D<=8IFzhL+vl8^uMs=cmU zS?)q2^M4+7ocFUPZl3F+jx+1~a&9Tw9uBUay!3#B!3KvZGw=Mp|I5_IWVW~Kw{xea z+%=lW^LDn%H-jxF!xx=yzaD*@?bz=5H_seT)qj}ts%P3|-P~504c#j>gtHt|lUTBI z>WW`aS!0(y?Ymqb+f4b}UZp?YhCj3`<TYBcZ2syMkCp{ml!rWtonN?N&(%4{n~j#- zT(a?RLz;59&zF+^9d7=cMY|SUK2YAPXX?Cg^ULiFYfEI^LhmUmY3`6{Sa*Kww7?vZ z1IH6Zj<o#s-z3Wv`{CI(amBuyPNydR+tQm+T-jlMpZ%fa{0&QfY@Ip%*Ak<Q2{(_m zW-RDfozR%fFT5+y{JGkzWom}M6yJZjC%Nw5LW7*VBhejq--H(I=xxp3*eYASZ0a1x zx2xG|)?Qd!uj4p9`OW#KF%Juz#e8QKy?o6l(W`m+%e=QL0q^%XDK6b$I@zMbY;!B4 ze70<#YHneYj9U<2(7iu9R~v8VkFFP*>=SUe;m%p37uz(F<h$=zX9Nn}78RSl)$Y#0 zO!W)fkE&jHYR7Cba~@;xn#k?{YUYSaUG;wdiYI%=Gs6SjTi({3SDO;g`(5<N>&H)G ztnG7TtU9Yc*DEW3OAYZ%@7y*&`efLFm|gqt?pAbbwJ$cAt>At9O}pOjeN{K^^4^kB zczp5E&howM0_Oc&BQdY=`9=dfJvlAmgr7m%0(NMXDF1uS80F5|<gr<LMu71xuB1N# z`Wt6oGxF2aHkcJ9^?+$b*2kSO>%KpgP3$%BxVg}#X<wDXYTpW>8;@_BpM0}dlC9U1 z^`gMF<ww{e_X*0x$pu`Ei;ubHv+d}Ihll=~b=Zfs+MWyGY|xT99H7hmqCU83dQ5^v zk^RBvRpBY^m$=VG^z12?UoFd#b;p*s;lwg)t;t%vIVR`kuNQLq-8Cm=lF-o=M`j9$ zuas%_yc*}B)qL1y|52YWp6QmXOA0u}jvxJBdU9v>bHC^PotxVZs@<Re;fux%=El$o zC!eg^wtPpe^oqUn7JYDB&f#c&SkSk3BFmX892v(B9i2Ym(5E+!=O(rHE_<)-Wp6Os z?VirXJu`J~naFl#i&{7qu!fkNJ($3v`*FSgjJ9>>CHVjRV(z|g<7AlJS^4Gp&8Eyn z{_;!@OifN0Eo<1%k}JK{%4K)=qeS7QldYK)xpm7Uoih507F-cbb^MuWTJvTSXIkmr z{LU_CgFWnh%O%e_lpL$){+`vGn$)(r>e2ouP5~M(A33wQ^mfL7+W&DzLT%!&$#1lt z2P?Eq4_s};;PUCKigii#l-QgWvs-`PnQec-dC#t3hw}!HD+$d~75agO63d)FcOU0> zFP^aGQr!l=>$TU9oibMHnoxH4@~QmYJs;kOS#EjcB-<5n&hyQ>3|pmDMO*h)_#O+K zD^YYqyzz(p`i*CO*6NfwJX!Q7pu>00se|WV+{us7S5|v;%C#zY;?1X<yhJl*E9fY? z8h+4lIPa+E&=%9PC1K6YbMHK^+}W&c&a7S-tKzJ&`J_c9OOfUzUcX7HTJw+nY)f{N zS(WO1CuW6{!QSu{w{#~@oN$q|gXdG%ty4GF-Qcb>n=tY0+tf5w7uA`6?_@pkTi54# zNh9^jRguFMMrq>rpE1pn=bWfMn<e#SakgUcgBf$OdZ$gxwCK4$(=sOEMtEo2F@p^o z?no|B>5iPMG-D-)#cB)L39Ek|(-uofkDT0k^x=hz3oa<}Bq!v|W~f%abLim%l~r#l z*3QVxxO2ob=0ov$U#7I#3m(q&32<?6$e81LV1a8>fKRs%yW>H@hZi|4Dk8YLT^yAT zXk=utc{HWg`9A0ScH+U}=RG`+Tln~$UEB^uT?@M%nRxZaU6-wbt4lXd%FJBlU36vQ z-wO*|6`fygyDnOKWrb#&?mpGNV`saRzC=8J?R>T)=*04*5bv9Huhy@dVj+Ca({1D3 zU!VV7)i?Y!w^%XLFi%HpbGWpz=D|M-Iy3vT#HX&jYO7?r^~?9B>;3t=XYqV}RvqOQ zwD9&kc|+C<QL;iukM~X76PUkv{-(36sVi0n{#l-&=EZiQNO`lC=bKBBv9Z4HZf;?J z{{Edib!uz(ul{Wf<_-;YTt`_FxM$qhsGA$`f4y44&z6bp57diY>iusndl@D^TdHX7 z>uX2WshnGNEQHJG`uv1XY3{Ed-?5o$mGQ(Tbn@O>^>oJBt5@;roqIGXARthD-QLf- zpU-bP7uOifS{cc;T)NV1kNvDyFIH(kZv4LPjcOnRzu(RDzwdR<YIMv#vf}^zoL8*% zelq*N-K~nAI%(?rH99GxzSSkivy0NsA2SuoC=w~I&pooygQMj5vc-qPDzjI4P5Ru+ z#mt*~`%ctli?9DInU_2$HHrW6yl0up&1dCeS!YW8tR9P8aQJup+Vk^@H!Kq7PE%Rt z!mX#X>UEQEx$xupFU!-W{`~vg;>7vf#_TEKpXZ%l`abLbJGNN&5TozYnPNQGt+o4G zpZNRpuZ`+5-K(RY{p{;zVspRZA;jdKcECtN%kHm>Wp($tJ&s*zdJjwP1j(v8p7`?g z>ddJ+{kPs8ystA?&yb6ePnPMCh!AJD*5yPYCf>&OR<Wr!94}-VU3M4HE}0>uT+(qX zAvy3n|FU|Gzud3N58BW8=e&}0!_ytCOQ!h#?3ndQ-cr!&&qw~V|9Qg?oH+S#dnn7y zTho+nTTP9o@p5VM9k=PqJlylTO5W1$-6M$$FRY{$*4(|KJ>Q|Sl97|K)#(6p)|ZXu zYn2kWvvSy{{YanocK*p%|DFDS{hOPcnyUJ-UuIiFv_nG<SL=uWo4?JT^RoWx)82zq z8SYHlSeX3!*tXKcCwp^mgN`A1JM+ej45N*cJI{Km-F*KxEO&4C*66>>{X_r1SoisP z$z1WCHUE9q{hreIap6^WPN%~y3K}k^OdK^FqPt!u>g||hp#3I+Ma3dRhk=WaNr_8J zhCxo4jgga6kdIH2kx@)cl+jA2#b0&qRms%ueFE!4`K<T+(iF^Zb~h;#w%b#Zd95(~ zf0Og%80AeF*OtcwuzWW5D!iPvcKzDVx|=uTD4$#P?&ZOKcf>!&E>cbUGj&<1<|ES= zhqZWFS4>Y?b?ZsLO3n0dli$41d8_iku-xPHjXukY+@q)Vc}so2Ds=RB%Y~Xpo{G*L z50cmFX1X5XIx^|cjz`-hcNHu6>}o$}TGDa4@MPWeRbeJ~gSQ#f@wC6upBUnrvNTvF z^mxi9E64i^9pBe7Z~3&c=EpWK`R3Gw{SQ7&ZcG*ZCV%g|!l#_Tqr9Phdzq$%Cmw&; za^FmfyTI<#%b1L1d~82vr$3*zu!_(6?jk3>>%On--PrHUQ&<sq*=+S0_CE_|2u-Re znDK9^^Wq1&-C`*r)iIOaRT~_bWD@V4oxj|9p;VP;g}4Iato7T!n^l%wJJI#0uzNo5 z?%>E79Ky=dC+qv<cguHqGDY9Znf3QtzQ?-O3A}svm1-Y*WP4UxS6j72k6XZ&yIbm4 z#^k$#>ANepIX`gvd3-z9+9zqe7On?BD6Q|Pwc8b-*>K~^wQz}g`&D~yXZ%UmuAEwV z=4F(oQ_adaZqG}n8xjOIzrL-p>dXb{4Yn0QAN8(CKZ<aj_(sjCyWr=p-wU_LhR-vT z6ug<7WIkzcbz*#>5v%X--=~%|&-tJ}>qha9i}5ONStifE<ntv{J@|~#vzu-W5)XtH zJvTnE**8FAgOu@q<9dhv*XF1gXMC*8TqG~>ZD+XB?@Q9r-_1qV&Rtcn^Y8Nm1E#Z| zuba=9^1fH=e8Hh*7sFi2R;NGT-ab=B*K^U<&z3LJrYkD=TfRyY&6k_A!*+h~?>m1I zKg}^MoyIi5P;I}Bvs{7T{aGeiaan<Ga%x^V|03MxX1?%um9}Mfo}n{qo7I&)axGFO z0TDap8%++T^f0P~1x{XfFs3z5*Ws#3ZE65pgX4+PH?qdM!P|Z=(YEtlTmI(5jLsZ3 zo2T&wXCu5q_NGb-YrVSm;{Au7llir?(&is{aBNd<;Kt)KeAn&d)Z~|KID7EH-mCBD zZk(m6$K8Kjd79ycnsAoBlRuok@vA1>e(>YF$D$vRUstcZvT45a8qxTx{%-$Q<+?S` zK0Vb|Kr`f+hScnJ$$pRbt(qOThEe>R@Iyzz-^ywKN|m;;n*D2L-EUAaJ*FypPOs|U z1%L9Zoz0ws*6z9KcRKyjp*7*V_ssZjbvjpN!{vql`|j)432vKar~1QbVf;f5fmrz} z$%PBp+7<SG_x88@;lpWCsOXh&>3u-Kr`C(w?mbF1yxO_}!M_ELPn!Nj+tj`Ex>vOB zyl0=;w2ob{E}s9OHcR-`p0zs{``CmoVPI4ivzI@Smf5oNa@D<M|5I#=KUYbZz18!p z6^lr^Z1Kx5MZNj(>4QnG{Z}IP?mwU7`>ME2=(~UqM^S#xjnA7xs;2s!f0WN^<hxXU zqU4*-v<-qcCAZY>+H94!bDrN8t}>amKhM;2-|F7F?MqN!bDWRHdXv?y(UvoQY1KuR zJv1-XE#I*r^Y!CrcPm8}UE6=g@>iLP%cHm*Y+J-ad;3eb37SUg@z30re`3AcJL}mm zZp?oAmxEzX@0!kKHC}ZVnvNNVbyXZQPt8tDOmLpdTqgBFrHAq14fWJS{nbA;A9#Na z>H2+fK{w|a8Hp1e+b{1`d}kjr!$tBKhf{aYKix?tNeUNJ18)2(D2uq5na{E+F)8`_ z-1X@lJI){D%eJj$Uhq!5<qGSyJ!UiemBP=z`CXCZF1KD$O-DazhqeC6zni(Yo}B&P zVDkC){}a`+<}+~wMPEM_fAwZ_?s-MOt~nRvxn1w4FF9hS`|bUT)<tn&A8c3cI_?;h zlxPyPE|{};wgAh%DGZE$pATedDk$y>G-ppv*%rC+SaN2vWOnV0!yn>n*Ix7cS~ly9 z$_6gJ4u${8UJJHPVc}SGUSN%qvEy{>V-r54&8hHx^U%D~{J=A*L>18=8#cY<+nbP~ zdPrOUwwJ`Vooh;NUH?C|K;rtO$<l!WlV2RWBIQ?_^!DRh^-cS4yuG5dW=8*Q?I|ng zM;S+MGCRz<erHwhl9e;(S?W|&=2U7_=q0|ItiqA1z-!B%q`?!NocT{?-HmeIyQ>bT zx$OH>R8xN?jCWGh^&6btTpK^}-r23`^<h!9mFtmbYtE{bm(TJvnKu8shT83?1uQ0N z2e16TwnUfvEARarQQmhfQ_amkrEYK+K6u35jI-Q{DecK`vyIPhZPfXesU{}H7dgK{ zGCea-GkJD_Ldd1|^aIBhOi$vHDwwl2Wd^%xjBMlcD<ZEBZ(X83bwkT4Hm0(h8@~Q| zJMD8p&1$tjOS1DGax&Y_ig7aAb5m}?5ofdWai(V$X%~H15iC>`lePPeq*riwV>08! zL@v9t9yaOI52j}6?~Bhb+-Q1j@1hmU`KLWR*eP|X<m{H2zuaw?#`PDjf8aX(V7_7A zyBXZS?yOq=>hReIE4GD8Zqed!HQ&&DU6Osz%dclWBf=g|pEl9dUTjVxuVb6R#*e&v zZprRa3%;;v!S+evlYXE4#nG+O`!%6mYto{lCn`Os=N+^U_|ubUwei6hIUnAI`bjTt zTW;W1cwLj0x9)4x+-moX<4w!!KX&DAsoD9ZIOv^o*8hX^dxTyrTd;l7V#(_hXRbdr z<>-UOQSY0szYEA%=rC_vyOQMm6+8BG?o?X*OxwPF&r1(uKhD`Hi4xup6aPt0+ADJ< zyzje_fboX!7hm`KtaRRdvU9T7NxmyjpZ?nXB7W1MQ%z0F^5?&`eaTnDXw1aAEaXyW zHFNjR%ii5_jQ+aa*OKZjmuJrx@i=x>KF7v{rF%lY_La@{CTT}P@3?6%Xj!FlyFoyH z{_c&jeGhJ|dny!C)8=|YE{ikm`ju&0@`^powX?kL$=zL7YHh+E*t+EnJF`X@r}tU0 zq-&g#E^$2{4bm<7EMM%;pY+Im%_Xk8Hx_<9u}$$OpRoIdZL2t0K6p6IS6yb4GULe7 z8<|@?u0E@|ce^U;>O~#F!%MS+C*;Vyi`&%V$vD&3IKbq?q}t?v-dpChU7Vt_hRON; zsvm9#Wv<=0Y^V71x);k*W%lcmN?G&juP9Dhdq(5H<>W^Jg?61DGoEP9sL^tMw)eL) zmzCm*UMG`i^`+Lo4hP=YwEN0c-y3Uwx;>qz*26#fX3vuQtg%0G{Wo>|`}*(3#EI{g zh5k|xydLMc%x%5op@&+Ji=Hbz?sqZ{{KBRBn$bk--!GmT*<EYhgZ-5M|K{(T@F^_q z<1ZHn4tt%v$NA>A_d-^seEFbJvnXJ0|NM&ZiDyffYkvxEUhZ*t#gcQg`%{m5-IxCU zvvGAF*Y{MtO`CV^mU^M&cXrRs6gyjkw<3EvKd-7c6RD^;>V59al5(r_agqAw#oabD zFXe_g^0muPYuX{cnMH!zuxizbz9wPecVC{C=qfa=@{{!Wm=mji_0w->p#t@CkK1{F zUj9wCWLMIU-0*d`#k390$6lVUjrW_h`pmDJ87&5jv}86}2sV7$E>@O)SLb)9`LhT` zBi@d*NTKY_DSVe^lzcIo_WbEAu{6o-E1!<5Z(0?9US?n6UTNoRYd5YtdyUQgSnhJx ze+-v3Im<N8t+?7$^+%xT?&I0XJD&aix#5SRhoxPLqSM5?A?~I>1>%LSa#bCvh!xfn zkdw4!DEeK;JWt!Fu(144^@C-+?X!f^<Klg4&u`J}i|9z+_xOYI2aYcOA78m^uf!;_ zoV+se($=^F9noXA>=}R0&iel2Zue4FMnMOe!qUaz2cEh%_pYp|C<xdp@X_noN%Ozk zCwBKdn)@$w(WUO==e75holj^~-RYZR86w$zc;BDf@wqJv-M@P<omPC>?rXpPSm(z_ zPT7Y8zdZ{&UGeVF_n=Jn7@L=G71WM1e|3Koayemk+jF6Q_N*s&l~nR2_N{+*GTMhF zdfkha?^?}&?keA9^`d&(+=)jRek5qTKX_M3dF%N+foXTotS(FuE5BtupLKfR?}*kF zzqx`1kFz^e|8drD^{X^s+M=X8&-&o?V+D=ZH!r;O<~=)CseU)(q5bu<I9g`0{-2u| zG-=hfe6FRlm=hoJF7xU5DF5W#^do;geAjHR`ta2(rg*B>BZIo?h@<Yg<)2?)mK5L) zuKapu!^^W>7w7-_#~o|Db@SGO2iEteM98Kr^VfOzVL#`a{l@~F7p?g7V_^$}yi}c& zY5Bd{`tK_Ju~kQ}IA5=Sc=liUlg8O6&Rm`H{N_ELr@t*;?rF7f^}TuKmQa)7?4wH# z?I~RAwQ!zs?dJUkL54y9_nx$QZ18VZ{>=DB{wc?wSq9IvDE$(VG)v}B{#W+QU)O4$ zH+A^-6yLL3_l2QzLtOHI6`5}44ck6xrYeg3=n+qt;eFBb^@BL|U<)as=LQ#;O!k{c zDXf{lt1Du~gOy75G9C_$QRnXdmU?_FcW>B*Egj0q2|vps6{GIIlw72!_GjLju$QH^ z-~V=73Ec2ivM-QzSNgVkh0l~sV@b1piM8Cd*Nm7AHKwGc<{K}2$=kd!Y1xVOjsF_& z*Vt)4nzWDW^SZSSM<z!8Pg<lb{<b*gRqXuD%eL(P+WH~H#%$?Jjo-1Z%>^;v-bD9j zY;+OW_SNqHg3a|k6U6R6vt%y2f7bC@?fgE&E|>Tqen)|Xs=CQn{{6h5W`0A|_~S~W zw)uOO<ZN3s_0Ih6*~|5Vq(z!4d?XLAOwzF4VxYUeTWP}5<_UY|rHbx2f97L)%>Pu^ zybFa(m%Z};nLaDCh-ck1$tP*HkJ#RI-Tr&|lIuO&vIVC~3SAWYgiLn(Ue674Ik@Ph z!IWyP?INGbwR1E>4;k)dS4eBq?XwNi`6s-uc(GcMBJ<WYN1Mc6dPvTmW0)jo+xE8m z?A1wol2iizGi5$-{?(yxr@U+K6sI18%HO-aW8dvJR1w~*lT)X3rRJM%q3HYM@<-x& zcQwic>rU2RliJy_@N1{daW#(j)qes~y`3YUzuG)=Q(lln+I&^hr;aN=guJMjJkNhY z%B9PVe?kxK+8{o2m-x#^0$*ID6x9R#IQA=VIeO*Xi)Sn1O*biv++#SUutd>)(tHP| zg*R6|5L~p@dRKBZ<HVfXCnhUDdUkJq+``PAm-+n{C;xx{D|GXQUDZqeXW8*gT<Uvb zgH-ZT;j|4aQ-Aws#Ie_%I;Qw>>6C5UHk+rNnOJ+_jYFVaoWFF&>HO7SxelkublZ2- zSUujc^i}JNU;hlP-yU7R>rl|4lM~F0Ys$CxnscX|UNR}+*Ru_piyj-qUE$-XU$E|W zo$uk?r^grdikp>{#_U_MV##*HLiY1L!N31`AFR%+s@~LIB(qn|(Mlp~?rWDDC$1b` zR(xQgXyc{4X*mLW7j?gWw(#{wzJ#)#1qA}!9_5>LKg<0~zImjjebWiaH7nW5H+@YF zW0}nNW!r*?j_?VE%avVJCLg&`FEiKs=bOd|Pm6T|)f*kFuX`z+d-*#+b))>zH=U;! z_AftIRd#5exASucN!E=z%U%5bhi>og6EMhow)&@8jiR%%aE|GD8IK*`AAc{p+veQ1 zrSG5R^{FMDUZ(2~OisJI>XUw(rTofsmI-b5F0ybx+#Mp~71=p+w=UzV{x2;~kv(&F zD+f9`+$?g6?4G^b^khtZ<n5D5d*|=Aj6CE%Q}WKGt{DxMtg8xsa-6+1Yl?%nSE|F$ zg<mc)MJ%v2E&8qS<VnI&hZU0RUwuBn`mW%T%L?PW7k6D%Z!paEYnacuHvi3$&FwSV z-z?v`TAWkr>HBFhj;$*USQ9;b*RMOfP2*D03xxwhUS-O~%dg+}TEM;D`p;GOPl9V) zI$!_Z>Ni!hn4fF6zt6X}pQ%C%uR7|;pW=CG(Ae3irm4pHsjShP)kk>tx4p-mj&3iS z%n>QSc=ZgQh>5C!Zc4w`u&Pe~?Vo;coh|qLFUMT=xgC_&kFDsLCLs8C$)*ODm=m7N zZ+BZIC9}KF5H-|X_<P%nB;mTA99Fl9;;t53gyO#L{}e69r0l$Jtp=Y<vwr^y3dg znPi-JmfRG0vWYdpVV%?Sf4#O<Uq2jLcOm^(wxeWB&z*BUpWjd2Z$Hua?^HgSN#bD> z<@+ueu*o*?zNr;@=~t-rF#g%p4V%{5PdMjp{c`T)TLDoYZ(CJqm`yn(vSYW(Jl1@b z^{g*!=l*4yXq$VhNBF6Z0ndlz&)+`H;*!`N{(x=rUY{SHu~&AOo!3(Ksd}TeE1*Ga z&hv*}OiLawQa`2Op7FChHq5$3N&R~Me}f)tQ|;NmzD$lY%zMVF*Vr9kzLL}1{ZMzh z(%+ny5ez|jQ{Nn!z2qm;qKVS$?+1OKIVa@nI=8B+rv!TVd~XJb9qHWh?7XGUq7rqt zSkCO*c1PdZap}$HEsb2-*ztwy@#-&$A`4ci-VF6>UGje41HaoJ6b>zASoP91#YO0a z;IFnjk2Q6xs*m1#c)ZJL@yYG0x4g|;o>_59+T7NZp=q=40S>Xu?4rqMPy5OA|GLgE zeXCGzh6a<Jd+HO9t|_dhKfNEYf9{^g*m9?a%eu`$BfnyLc4ujxqjTM~5XL_T1dS$M z5i6OWvMNI5#OwP3{F_cpIWRH6y0^Ge#YJ&$pmhH)<^vIOuQCKTzZI)7nUoli{Z9Sb z+w=vcuIKmft#{_j4_(ywvu%Z>pW6bKQ?p+f8sGR^eCYSw7YvUx7A(17AATZt^GSoP z`G5DSCjXm#vrOR4w8bxaRaQU#k)nL-4_D$fP43siRm-Bqc0KAy%`LIqHhI>)1Dz#a zjV5<5w<ha^pWH2%e1Sc0(UJ7Bx`nAGlTXY!&%E_THrM>7G;<!N-)47jo|fP8Cun!< z2J5r(GhbzBYTWm(+>>6mc*5pnOXodTwtFr9yd>#&%r*0XHkBubrC9ze-274*Sup*+ z-MxLA&xY_;Jz+L``Q@C<6Kh_l>|^|`)z^hYL^V#Wd3@zAht<+Z+ozYEZ_9glm7iY2 z9HI4|VP<FiGY`qIWf#^nHVMsf=zo{=U!nT~k5;;;`R+^p93>W0KfTe;KYn%n!6hE5 z;!`r0H%fBvdbmP<wygI>mavV13Y?d7FHL;){!P=O#U@SnxqeJ(3edah?Gke{_RpS> zkige7>%104&M^=EdtzUQ@zS+6ej6ROb?Hw2d8Epfm9OP!V|o74$SS)PE6UqbegwGY z2dvd~U`w~3wuD!(>fhF@>!eQf1kPqNlD^Ve*7?a{?HB1an*(;<n)xQS#4ycJ;?~Fh zb!m4e>Pt#lril1#D>~WwWWK+3$%}$LTNchz{?y=h{l3@Fz~%hq@9({3l}>#*J^RMK zoi&Yh%dbcOUH-E)&dyk@(dmxVZqb7iIriG7WKEbMesrzWrm!f>2yVUo=VOX>qBzbj zS@U{X%~@A(ALXi3x$HM%yIVQ8h$n4WJ!PKnJe9ADl$hQ=ls$7@yX37o`#rf&zw0BD zt}YPUvo8B^#i!E+|ID^tvXiWN|7rG0@9-Ys9}k<?svk~b3OIB&vQNun{^eWKm_x1w z8tPec85f3b%XUqVo);{dbmGFKDg6tIdDDHaeVTF6dXM6Mb=KkyN}tacMhaGBhn_au znPvNO_br_>d%SmExUpX6-0X+4kFuw_Ff5GQemUt*@B0O=xBW~jA~xuhb1&%n*3~%W z)cPH#6ux%$YOpQYUd>)DrSd%9flX9Pb9R_cwBs)JmQ5SD7j)*X<9y=(__@&BEZHVs z@kL87_xOH|&;8Z)v{^vyNUgeYeyvvIjJ~`3qCI`Sas^A@zM6J$hV9jd=2=P8C!`fD zb1zGZfA?qEOBbal{adHlO#VJigPAd>)!SeFaH0#pz^z4&toFf*>33B&FS~f?_X{z- zr+<6dIO5))w^4W(r=0%(%8jQQ?@mu;FABMFqF45Hc)amJyP(o%XV+LoZspsd?BR2l z<w?n}<!0e$<YV(%gAZtH-AI_)75P@fLF-h|p}(qcK4hwtEag%)iPxT^c0{=0o$i~M zl@ra+xKEIpWPIm+x5+Z?`nh?`TA?=%*j>|=j6U`G+bce!C-owhS2o>SoaSDtHD}hh zylCfHZ{_PUSAAU9#`J5yfYyfs$sBik!zbHITQV5xRc|tBq%RP*Ke=<s4jY|iOso3X z)8vnPR|>c`6=ktJo?|ePS+}4<zWV?Ce48R~ziwUmj+mpiEPoPq3O!HYlU^hr`^#P6 z;<b9M(|?t3_{G{NDKIHCDH>#*O*b&?uriS~%bvOGT4o6cZ$qK?l`q<FOxFIK*qy`v zP}cXI&eUErwt1W@>)CG4s5rQihs*njYVy>bQ~s>W+xT>%?cJXfZMARDEuI^$rlaHk zdsT0tR%QrSi#q!{q1kP}GV=6Zx5~54JX1CINTT^&J|(l&i~c@(!f+yILg$TVs}`^4 z{mFc}=VjWlXIl;zE?*tzfB3)Jm7<kbf>%C!5T-RZM6Ez=>eSoIHYP`&zslKv``Mc2 znaa~{tCijQf8?>c+_AZP=g3KgPIuC}eA8O{!-C4)lUE&A>CY-msb*NtE4zBB$>f$T zO3|~XI$qmad8oW-s^p2EhmQR`bL{7YzeoS2ezW{I@r&Cxg(@MF-=fEv-t^kBPCMrM zKYmi~tnc<4A~*hhvvT{p2Nzr~UEi>_^5)HrGgnUfb^qYIN!QnIaDCiyRdloEs|HW$ z$!1)33yM6SGRyz%?%sCu$=i$asl6MYN50qj&-ZVCju%fVi^Fl1{5C^}tGn{{&HUxl zT&d1}Ciq3lwn8q}4b3b)&CX7T69NqrSFHKC@Y|REe~bIt{+djZd3)!~pY=Z&?&cR6 zKQO;HgR8_(<Xq(6<C3?Uc_-cS(Yp86>&V0PJ2F=PpJM(=ZnB`+6k)R|6Q@qR`D4YL zIV)s%O8orZ=P=EGv*K%3r{6V}6J}1OEgb@%Jm-FHbyd_6P+8(>)FHv3EO&_QkXxfv zlLqH8^>#_7q?T^R2W@*-F5$V(xj=Qr?!7WgFBqA6)eC;%d#d=g%CzaQPQteJdMsPF zSXCwbKRCmaSM#Zji>sAQ^N9tViP!5i_pW42KDAgif4_90&Wyh;QD*;V%Z61Pnxk7k zzh%GqBMBzC`DLA=w;6tOMXXxbnWAIR@=cFTA~?nBiTnKB&mNn-T(N$7r*2Eyvnk=8 z&&4?3s;oL*x!8Xy-<H)n7g@X+FUPKU-1cf;k`lAW+PO}<ABIG;?_PZ~Rd2FO^y&%j zVWvM#PDs}|*ehC|4%6v*B)DW##MWP7r>*$prdXWQ%+;P1(XSXSrnzsr5N~){+;1BR z;YXj<MOQ}5sofg%`Q7!K0^dTT<J9Ljn{Ft2agO~%@#WRpGXk_WTz|Xj+@p|=C0W8- zrf>?csywmv&EehVNo>F5pGIekYijOR;(olH<F8Ik{rsdSFKUC0%tNBfuKre(`>na{ zYQTgq-`_dTZJx0zCXMUj){5U2TMExHJpVq);PAQGZm%<DL}k=UFSAm;ntN%zp;hgV zEo^~}SN_TO=*_>cHRnmxS(_JW8P|V43BHmX*(Uqpyqvo4B!M2ulUEndQ(y6*q<O)+ zj$+d!hXCGvKiDT(b<`KXxTU^8wzlhTb&X1yWmdtp>A&7puR8zE(z<2)-;$6hc4PO8 zi3v*!znaTu%JV<|_b%k+gq0lUE?!(BWLg{V)6p5)yxOVl#^MbN9F}r?c)x-322&<q z>S?CWcT;SRZdq-~So*K#(~|k?FH46ye~pa|lba%-%6CI9RWjz*wxz~5)8<rfEPj1v z#?q+1J+p4;98tAz+9}Xq{WkP=1l#EkG8;Yyb0+MGyi&OI$xhqlU(3UV{oe1f=b9_= zW@b{=-i>uHb_MIXrThr=cROu***^Yj1mBAN`>*hxENGl*!WQaL#j*ROSnrjo9x<~L zH2H#gcz!LFJHIfEHRkxHNB*K4my0C`7NmOf35aOusU7Umn9>(;cG7NxYb^IU*>1*Y z3aYqqD<}oCEDSj1w=P}k+Nx9c<(2=PIR5N9v-t_roiQ_%)KB<beOk@)eKEtg9KPcp zXPglAo*7d$@t5y8k%`~_eo9=b5*wSMDO}@Mz1HiYyol4Y{`-Gkyz{RA|7@B?;my8; zC42u$wRQ6p9|@0<+9tT<rl9`H*!`=lBs82Cvu`*obGmHD!h?!sRWXTMj`%Lud9wdq zP)zBz#WR{&DwGy3?Z|6#e#+M_bfUu7#3?ps9Zz|Vk&Mzxi^loQ>F)x95B@pt>bhUz z@KnRwlR|C&7HIc~MirN2%(%JiMc#gmS*uh!R_u)rZuu2)oB7@vulBP6QY+>=n(yk` zmvZNf)r$*7(}SMuRF2iP=+|Jm+RXMhd9nB;DZZy49b!T_%~*VL)a9MIqXP|gWtd33 zy6rPZY+8%xT)DKV?Gu0OoViK5RI`fd;PhSI(f{wS$TIcQh~ql7qRPB3_o<wAtM3%% zSt@)-N}f;R-5f5l{j8*`>#CD^?{#>$D0Qs-b)$fB&8y5sXU&#m7j693_2uAnshUaO zm+mOqD=?3HTUXV*h~%qm#+)q?&$4D*_K)toT-aYAH2dsk=1-Cb<wcy{7wx)N*=D;o zSo&Yh>7B3bTsJTHIGMTUYVVJIp?BisE~;)5JS|&xe6N`Jw-u$+>_406u0ED_N#5qu zEt?}Z!)>P2nJkvJoc#3Jw10c<{b+Lf`lgAiu}5JZ<A)8pUyi(49bCM5Px<d3svp~J zGG-og$<z9t`)%6kP1mcg+I@GHN-3Tzd9QJicgb~gvq`mClO`Om_hyK+RQF$;^gX~J zZCdemiTTNs=eA$iHSf9oR>S;n1s$g>t+jKw)SX(&=hi;k>3n6If%eM>|4gHg^R9mV zF(4sP)*+Qm_ui}d<p&yU%>P^MKCX8@-PYXu&%%Zcn)#aIyX(x4rzPLdo%?cv^-SgZ zv-}tGWv**@dOUtI_q|l9;bxai)`yoryp*dtv^Tr&(~>1Yx6l2u&dQGFN}c~vgY{C& za|@A2VoG^h?|Ibgzgz8VoqO>_>Y};X>hBh8NsWH$xx&FvW!GovpX&`YV(qrGh<xSz zWTRD8DPK?<x|B&`QI7G3g`eJ^-?*@JXF=%4vnhXUpH(TW2`|yVmh-3h-;tCB?aXg@ zOg|Z0Z!V9UzwyVzliQ~j?hCe@qIL1ryuM%CIls)Gz2kFIA_q@&Vv?Qs#=70B3M$UB zC2FSyp5=+vzwaSzDS0aMO;YHOS<)`GbJKTE;%5Ew(Q;dz(oFd!d{1u$zIBxM;LCsb z<LGbpkGUuJh*|#kDedB#8F|NI#@%xve;w~HIpHgn7L=(fJgKy&f2YVJ-^@>@r~D;7 z*p7RBUU(wy>kjKO1=CttZHb?XUHW^c%3s<o^2kYY->Kc1X->DLPf5vsm3p{p@!X{g zR4mwc>hn&{J(8SyM_nk9;YKe1=F4@{j$5=AgzfywCF*xORq-fueb(7c@_XM~GB0mF zRBdF>+c9^=Jke%J>myrU7H#edZOfX`(YoxJ`DEGL*fdoMr~SEeeqPA<{Uf>BeD$a3 z<hu!fKXE8d4|-h~YPj3MMKQ6J(I9`i_gQJH*=8RnB%XL(dE3r4?a{@;TXUCk?v;Jy zGKpj5d9D9jPE0>zki4ws?v1sMCxa%-#S40*cu%!5ag7XFR1*Ecf5)kx!3tBRp0nlg zcK>_%D|6JPO-J_!@_F1o{r;U=Y@+k~Y**V8Kd<X=W1sfulTq%!R6)lX|C#yLoiv}B zX&rx5?O3tC+<g1%-Cj;DzvSO;k(9T%qR=;IhSnz5lS`Hr&*l1T7IHFYdYRo+qd4vG z3ywRl&DC7SlILU1{O0sg!I__wvL5bAmhxBintMIfZf@6I5&iqUfr28HQ@`aNvEKg7 z?@0AVL+_kao*9L%>dL<RF0Jv|c*g8m497->*;X1)W9>FsI6wBg9Vqfs+C<~5@uDQ_ zIf+Me*KsV0G=G+Mz}(~JYA?g53?8@nMdKHJ+@{ve5c>1X`N%Hm0KpflpA?$z6TQE4 zXOib>(>tC07v4^?Uvl=Ohts?3trM+_T4g>w-~FihMBND&sm=@0t8YeoH7(d6P`Q|8 z&-Ug;+$|E`?-#F7J<fmr%<Alw&M$&`gX({}&ptL$Z2iqM!3zsNZF~7qan>uPg_;K< zk9yAeU+F09yE%e!(efQvj~`|Ictn_M&e!EduRn9&xBSET^xA%{g{N<DpIQ1Z*-Jbu z?YLL0)dCTNxvMtbxgpw>T+W)`zcD&R|K7$vOVwX3#`E398l8Pln@F5ic)qv%@pewZ zY?BlQo7F#MroL7d;0bOOu06=(JToova_=Wri7%%tI3`SKl9;|c+ssb?;;z{rpYSIt zmsZF#h3&UDdDkKGiC<(w(Nt3*nMD%j{hKa#PqlaTnq6}sz_5QYqk`%2ht+epzLGz0 zemcb4<KyO!23huRo(GhtEK-Q`zS*dnc~$-Gy2gpo6Boovt~tDN;@rqsmX#4}%{+h3 z-(f8<>4tM^OP8nUGNHU54;O#@Coyr_$&;x^H(N`_d(>pRJaTnA(s8Qrki_OsYW5+4 zC%rk(P5UM`aca``A6p7fM0ju8wnI0mox%BHz)dDTRW6g74$<PLveR$Plz6*)r|0Tu zjslaD+CMg$RhPIHc%RD24(c-eHs7pTyS(vd?yG9Q{-sJj$uaS-4rU+azNofj>kdbi z)cwB#9M@`EJ8yWu_QONrjAsE6Mi<WdJn-XUVX9@YGdTaYoMD&as|eK-y)`L{TV8p* zUOVfo<K(rgvWz5GmmW)Unrf{Y*?n7{b<Rtc1$!^NH_{Bu(-adI=3W^&W#4oQ9=8HV zX^A~%!S%wE^EPV*G=`^@&U(}K!+q1wMegTLpRQUw@rz^OCDkPp#b(Cp1YL6I;^XgY z`S*5tf>rkEpeYk(R!=CMR2lD{_4Mzxs>r!9%k~-i=^d2&+s+jwa582;^YKMXKJ(9s zNwJ^%i}BmyFaJ{A@62*K|LW|e?VEVk`pif_B&@OSL;YF%m8w?d^+)rUM1O0O3B1|8 z>hWd97e|ihUsZRBI;`{m($<xq=IgDS>TCE^%wqG0t*4kRztp~9$qBso!+C+>e1<vh zvLfq0-G1>jWaqL1)|{%@AG-A-879mt-FkFqz!&{#d(L|29xCHn&A)xE-8+ttkGtA6 zJzr{Q_NB14nR*;Qsk|akm{%!(hhaX`Z{wZjyV{+KxKx_V0w=7~ElW4v$*A}H=7Keg zy#8CvalhCj{G|2I>El2DxjwrTeb44f@$N|8#HsnNmM_m74?1ioIrX^WT$Ohn$-L5m z*;Z3$d6qrssTUWITjR6xeB&*RT0gxkW<inP+Lk|Jp7z%r<vO!;4=)2>kEc(xV03;% zTI@y7V5#S)5-x0T`qignaqEfr+6T60?U$dD@wpNEH2nFB{X3fWO!-&)njvETMe%=2 ztMv}g6As_;=ZT@$pYA)XY8CAwuWCOCiP&csb349c{`x=X>XRpFp9BOr-c1mYkN?x~ zzrStzep#ueIoB^8o66zT6f0m|D5X+Zdz5{@FW=-Uvz0lV{KhArGrxasqs#2r|KjBI z=2vw-LV9{vmD(hI#M{Jjq>g=-dcquk_*lCZ!z86elRE0nLT?E-6eq53I_zO4u!MW< z>4iOQzfL-`pZ)ABc`M7kCu^F$$Q0d=#T?J~ODa7#PJR6Aex1+Gv(HKtE3*EsRD8Be zaqg*{U0x?OYNpvw%VfJ_@lf$vgypLh$}4<6Tnpc~ug6M-SuscPjDi$<(30ZU%j`Mh zW}dkrJ|l0RbG_k(q6fB5>$lndTCm~;!w(LXl`jq)Jo2HTB%soKr<baPo3Wbtw&{wk zlWK3DUM;yb&N6t@nt2U|dSc5LEe~5bFV8BxySm)iw0qaf)aNbBv$HOKIwE<<Rj^xx zL7Lk~x}A%Cp^ERc<q-yq3hBRpv#vXJ`B2*LUs>6*<+Aeo_wCDDw|@Qh?c4vq{c%*v zEnst&gqz6vr`P6It3A1F`C&=Rp@8+gp@$mZHcwvTXf~;E^8pi!H!^x!jvvnMKey6m z)%(!Ol+9N%d6i!9_inB8^zyW{+h1I>r|X$r*`KuSzt@?!-MUzC`ob}BmQCU9pYCt9 zDO<0@oZsTVj(1O8<j1`xbN}D?<9_SecMr9tpMI3?-Mn|^y_W_0YnxMlXv?fN+mho~ zC&iJSnQ3|P{{7qLm!r*t<8Rl`TlsY2!q2B!HH}K_B|9fb1S{Q{;niTGXfi9PK|mq< z-6^%7QaUL<241SIj4L}NKV8yKPqaS&XNln|zjcR>OgEjqj?++MUi(Wk*E2_+yh&<U zscoDsx2WNEm4W8^>X5uOf9v??-{xA7s=RJzlH@Dis*g9;?f;qgaL4DC@M9nAm0IqG zPyglaxjad^w_=+TqrkVDM#oRYE<e2dfuZB6Cma_qBrIXx_2R$CcY#kH4jNoXE%rt3 ziBPHEsk`b^TKX-f<4-nJrg-rzU0eKj>yoV*&-B}z+#f%kn{Al7+WJktO5Amkmr~+) z(kD&dBq8y3+c}T>uZr$o(Q#n<WZ++!mziLi@Ozqaz@J4$=cOx(X6N#({@in>uIg;M zCF^lbcVX|mvqwHRTV8NrpO|^q#%S}crJCHb(fz@NuXjF7vpc%)!qptdm*zFW`BybK zuc&+o`N5g=<;73MI~&am9r&9{{_*U)l`D5Wd_z`Q-7o#p+GF>tZ$@4c<^NficlB%U zlXjol`uV-Je%6N$Npx<AKb>n4dG+7-O>;I1M{!S!3w_YH?n|Oq#7dE(4exq59DVD~ z*82%%=ASG1Q2aO0$0}E9MbKJ<so_4CMS6_=z2`F@JD<B)fa$|Qfd}eI;$@l<Z&ZDw zYut06Sl=@IVK?WS#-Z%_Aq%fA*dNUMXJ&}cM#U4$g;u?k^5~EJsqCA&M#^{-H^(3K zGwA_m-ahJPm~!OpzlS$HC*-WE=JEc%dF>x1W5u6$ELih{FRzbk@4x#tQ`lbOo@?j~ zzSn<y?w{SgxrlrA9y9A2d$;LkT3pi%1^g1%&Q@BuNlt~KS7^Of-J~fK7s)9+eo=L> zR&%%BR(=uoe!Et-gdSh(M`xZI+<5kH<>u(#?{DlrO*9aaO$hnE^|a7gR%utmDh=IR z3qn=jX=q(uzvS4GwsrH4UQ#)^dH<=iJ%*oOXFizRo6YX#lciRW8=&a)Y|Ss7(CJAb z+8HNrn|OcR)mCu&!k4aw@Y_4JHx{;<#>ZbS{`X<KW4{ph?|Jvqci!1==cBOobHTEl zpVi@2zJhHNxBo7y@2?hh_|tcUUC{O8o%ODvy1@ljhl~Uo*^(zVs(%ii`}c;|`x!oa z!}z?z^TivRj}?^cUvY<PQ`!m}?L`Se%j4E5+1ORCneQ!iV9we3A8vL_Id_x=uKwP= z^zycY6U@K+NzeEuYPqYc_lTo~=)qEf;~A^VzC08W^8IPi!vCN?>~Zr&<{~!Zs7S%K ziETSCIxm#?Y<<W#Y5KLb5{qw6mt1d_S-`FJgOBa8*StizwuZwF3xgQ*bUVKadUkz( zlltLp+MWqUGUgXGqE821IcCpWkRT;7oA1q2={?hv@_NqwHMtz2b~4HCeMvu4o`UzD z2cB|OKFvRPisoM97v~d;N<A&HVdnkDqhiKk=Hf})PPsZSaAthx(c|!Ew*KGpOS^B+ zVkuHsdi5r&(7ObV{%KDW_)C4lU)<twyAycaTrXI2Uq;wu$=Zb~?=Er`&2stPI4S0& zR3rBsHxZe)Yo{#m+@kvAoLHrY*&Wq4E^9w5ZPK#X5p^?L@{V3sRMgs+(|*m@i?_^w zu5{s9q4dfWXTfWKUhdo^Q5L>u?#~tX0;h5&U7F#tY}wz`YjqPUx##K%JS!B)Q+#ny zJ%H<5Mn~hWrFQ*#8J_JmoqNP}rR(euU;S`8BZS4wEk4F6!fwKHzy05pbRJhs6E`ip zQ$3xZ+hNP;|8X-s4!C{iJ|Xe+)%UnHD_)<wq+uR);iTJwn&pyn1IuUgO5OKsw7T!F z<jXjhUEh9=$2t{H|LxQN8?0Bgo#nYL_-KP@PP5A|n^V<KlR4~`nx`*Z6T8)L?f3R& z{l~AkUw<knb0j<FhTN53x-}2?i#<B6)A}?^k4?C^X^Ei4Oy3jtr!TLV-mv<Z)2_mH zWrKZxSMfC+)f6@fyvS0#M*sKAyprOZzJDI~?p^6KA%THQYU-g(pEWWQHSZXk{Np(E zeX~PV)Fj1+Q?wS({<-{%SjQUqvhKoKDU;o1e}8Wd54iHVqlVw+V|r6|5L0GUd%)qA zIafEluI^;Fjlap%cOrFD_Y9La*UX+g(Kjs@sc5?P(Qf-q&;N^_x70Q5uUWAzM0?Gz zm;L8mgMRE2Z1{dRT)l?#=08!}_x3xV2~GZbTl~VkL@q(yw1n{F7fuU4nZNC7E3RF1 ztXBNZcg<Mi&i-|u&u537Q$DjUWa{c|FYjzPsVD2S`RuBxiw^Ak-1~S^wuPx=@Iu3H zwq+}>t8YqJts9o@_D+mhUH`z_{Fw`N(%0^ZQ_x!$+4fs#<42jWd*c4unLLcg5*psj z@_P_HZNYN$9b(zym)b8MsbdRDRN-NCUNqaYc5lHv^QqQiZ{GgD+xN$=ZnC+}lHxu5 zcb*qrThp^m>+rwyV3(6Is;X*hR$V=JEARQgfQR@0>fd^LG5E3D$qiql72KQ7W)&`b zo}qko-5MD_B|~rdTltD6j4xGQT|5=>Wm3#i%h^&#jy{?Aj`?5D)Q=iGe#x_?0}5T! z%a_O2nE6h<X<zKP`MBc3U&&J%a(Ztw%+7pPBjmxe_y>;{|H~DNx}H6JuzyN+q2`q7 zSJ_^KZ|xU(s(sG6&(rGP2dU6&Pd&<QUay;fPcu!v{M>%7O=e#vuUFd7w<|&CWHaym zgEs4JrW{!NV9J`=d+KiOUZ1f%Z*SzKDXsbKEkD&fUw_tM3$1>{bGXYw<cXB{_x>Fh zpRRIjQ@DEN(<iG9Id!-1Kbjz!xk*D)(s6ZNlTxg6-i9lGx>M6;<-B=wSHi`!cKg1E zsgf$o?F&xiKi%^`iXm3(+|z)r)&dKCFGtpL!Cmr24cW4OI$B$@{x!Ef*}#^-v~r)U z%eplOvN!L(!Zw%R*;r5i?fz%mCh=`K7BS7K_w1IiFYU_9oV1==OYEG&7Hag-`Gm1z z#QArVCHK4Snmo;Z@x3yZn%W3flLajAm&>s~D*fB~s%D@5(rQzlW51ht>`tCa*FT`B zZ~y<4*+1jI{l)vZ8^gK(-CEXl;^frSg>!iR{`<bJp;&Zcfavuvld3sR-S2u9<v;Cm ztdRFiWwtQM8F%gFKF_ea|5CO%g~u=1ds$}t?yAFA7pa6?%xg~AzgYRyhnP)2*KVnO zwXk>cLbj>3ZKqu>``+EQMx`O+X;E4BA^*?(T-)y-J-O=e>n90M=1!{8-sZpQ+}5nT zJpp#XMf>iF#V$W`g5}WpjsN$Bn}^0HFTQ@@H&<=vb<wjMZ=EyCXt%%d@o=cr=DyFa zb{yJvEB|V`Yz&%I?0v|0SzVWpwbZi-MXN5c&$z9lma1U+^z+fQBab5PWc}fZk_fvs za{^ayeNcdLS}JFZ+UdXA9n<nZsCBty?=qO%d{C~EXMcePqoSysvKLG0?pfKwr}G}X zz54R1V1CGfw6x=!E4Sqyp7XnOLhRGGyWfaz{P*|Vv)fvlEWiFU1$Z;Fh%hiPa4?9+ zMny@4E>sp|U|`?}V@`&|(v-~nfTH|lz2uzil%&uQRtDyE@AsuYeq8%K@2zd185<iL zCmSmp1I!o(urb$zxZHS8GcbTL1F|tiNQP)^)&INT?>9yU-UzmN1$|t4IsyV0%9;D$ z)?2*}_+xv;^l^PyT_b<{XURA@HJ1-IF^}gNR(=<B)R-N6l7Z1tS5;44uP837w)5-K ztJ6c`yFLrenI05h^;zhZ_j3K6l_$R}y)@k;KI^m4?CIC`nEaab!aFODtCsW2QWO2! zeNTP{J@=lYpSy3#PoY`U&+VD=bJEP|2JyRUPJUWyq#wG^<>#d*-jnoQ_qjY%Ju&^* z9+hWH4fJjIJ^2yz*t<txci)m9L65vU^kw&@{0MsJ-J;LCPvwWujOqLKJbAiQPrtA- z^R#!PKI6VG-zVMouGP=1?0mm;@AOT3TAnV|)_=Ko%6FlA-eGa8J_${o{w{9Tr%89c zOZ7b~JKrtU(08oNe5bl|`n)|N-zQC;{vfWZ`eg3X`%`PeU&Usg_u8ZVGBUGV_3qS9 zYg*Em-kJJtO-j1zovC@@n_^d%sot8J7CtF<<vFj7+6ULUq%XZb_1T&yyC%(<8Wo-t zn^~%Qb?T!vEa^+HOue_JW!I&bUU^|gu`5edFHOC*=1AI7Q|;b$D!V4ln(7qJ6svjG zYrb~nx+^<{o_o#K&Ro}$w$xZVbzMrD>Y1r};hSPsp7ENh9k|Y9=cFfI6SXHsauzK$ z)b5PbJmb}`ZMp8s4xz_h-P)S#rtA=U<khY%xh`af&_l0gZO(NpX-oCBD<fB)_KFF+ zwJGR<SA+K7wNJJO-S>(Ldla3Szf?y%G4kapuPW`QYg@KYnmTpW8kf|iyQeN&ld?VN zu2)dlqG-)iUIAgVqCNALs%sxz`y@qm$JBdaMZXWe=dY>1@VnX8{=whIdGc@mIecfY ztZR7AzSDlkXJ%{r1Ah;`<<F@P_|1Gv{>(pzZ|tRY0>7DW$Y1z*@HPLlKL@_D7u8+( z#e7YE%|C~)?1gm<&)HYnSA1r^B0uNffwTNR^#Q+_FUfED$v9hn&Ci1`_+9E3JY!#I z&+ylAmVC{>1E1OF+CTVn@EN~JeZw>M^tuT@nT_oa{5klPU#C9c8T(ZG1%D1c;a8~_ z_{nT!-|*+)4Ec_qjx*$K{vG(p-fN%m=inoLk@^Wgn2*TI{5$Z0z13df&%p=$EcFb} z*yHOSJY|oqJMfe}x=!E+^8xuke-FH8ueHDMiFu#=o4*RD`8WJ|aEkv+-GuMVd*ma2 zI!=`j_<8Uy|FS;^-m#b3Px!=aB_5xs*>x`^Gc$ML+82kUw6v-Op0Wqj8GL8nDR1-B z@eco-KMe2K^X&_6AAHYO<KJ}G@jc(SHx1?NRWfhhIh3<kmNlfa?^J*L(e`CIdwEEB z{)1HZGMNYO9+a_fvtDtR@hx9kxx(&dEAtg8dmLxoJ=JmO?tzoMkDln{7gPql_1v~Y z{ify1bG%&shl+#dO)l~8vh_UgxyL+XkK=S{oq1DcP1)UiW3m^kxqnnnjYx8pkff*i z<hkmV^S*Fa1-<aha^qzGx#z?=g)+8vQ>XRK<VjnvVkzjmXp&btTTYsTb@Q#;lb@u0 zD8CdlMe@fr-<dWmx#q>iD@>OxnOJ=3F3*7<qH=0_X6F(FJ_i?FKFyPG@yvo^ws}FT z0#@!`ym|Jf1?6nT`X6dGFDqj!T)ku6`h9DSJ2o-jkiBs8;A_5TZw{2Q7nNQ3#dKSC z!@Go1_Epv$o0%=FJ?<WyExTZ!LmB&W{T+J`pJwQqbzgGF*#~b~tDnR*JU1}eu-=e8 zuPk9#^Cj5{?-rcpyYVLBET3Ds!Y*c0YmU2&FZeFKc~Hzg&-%q3#^-!y<qLK)n^;?H zZayPB<0j)XKE3jUoy|tpEAB8p<x?wH*x7tScEU}@Cw#}=IGo`-^yWb!d!Kd29mdCe zV&w~VG#`;wc=w=yz0F$V4&y^UwsM6X%m&s!HZkj4zu3gAXMJN+^8s0gcMtN}>#Seg zZk#6j;cY@bdt_NdDtma@g6++FWFNdeaEfo$n}$<-@5&5P*~_d~+-{sAd*Q9ZDZT}7 z67txKtSdG(?~t{)$#{qFUfF}P=KIn=?k)JvR-JdCn%UO;#@@zvyr1qcoaZgdFL=&Y zme)|-d|Ud)y#?RcwwTY@+xUj})g6UzY#YoM6gOX&KC`p&HSd!<3%;_gF^|~W_=@+@ z9fotfLHQq^v8^z-sO^5!5+)%ql`StXp{n_k^n`m0&hp;4lW>;TEnlIE+0>k4FXIc| zOLrc8W}9dJVh`hUUbFlKmCPpQ7RAkHq-X48e8#JnpHSIsWWHh#<5OO>e1*#96VelQ zGCtuwcE{li@1Z*nKC<<hXY65o%qy0^prZMRw8FgyAK2Q=HTEz*<YmiOs9-iQ|53!O zZ~mf)S<n1NQS$+5hI<d*v(=fu*xfiy`orCX_iT}Q4Nuv^^A?mh?~#6R_rNLMRd*Ur z@xIG5c*<60zG8Rd6zL0h6;AOkxRdaXt;oEhsCkF9#ZJaMy!Y}RlwEr7xljG;ye-dF z?oIwOXG`^@cb=7ghl+#Vd6xTaDi(U@xn2F{ypU?4x1O8TPtFT@zU0Q_1ph_FmtK1o z`xV)Gp7UI*zH#1`s!6Xr3;mjkgI;;&`#IUZ{Gwv6K6743mC#GiT)(8^OS2}s`3u>4 zp7mU)-Z*c{vnA&z+xWk->HNIp>|_&vr{YU9CmZ=26$?G{oUR@@FXY*hQ<F9PXW4ju zT5@9Yi8(Blmu5^pGDqaulH-$Q{IhI2KQ1{sS;XJVhV$c+!;^XZwQM>+EIBxt#h<D8 z()7uD=3IHIqNko~srf<Wz~p~^pR8BDSJ74fICo2V(6q@L=9D~D**p1@-y!Rhr#wUb z78PB(>ly5~$$I5G6;1Vvb48x2XsDl^t5SYx^5jQ;MMXk)JoD9eo_$cxw$J#*+=KHZ zKb&(o&r_DZz`EJS_<(Wq9mzX08|O+sIQO88ZJY6mxr}dl($W>Io2`sH<}$wFd2!}J zDO+*c11n}r<BYkCuX)1K7g#l07&jO<Uy<B1lkpXgU;2YIwq?c|a~WUq+&a^6mgm}; zgc7zz#sS977bF|bDV*i8OMhV5d``09TtYG1EMo`bW@F<Ma~fw#CY*av#5T=X!?^jB zWWc$EBDP7!9dj6GN;;fNIKy+~jKUcnx%3AX&Br7S&LtGGbs2liVSL2Hm!4qJd`ME@ zTtWd`lQDyFv%c{Mqh>wh2S&}h#vF4PAMpH3dtlzYPcmaB<9(iQX%kY}BGL*{*{Y0B z%x1jDv*yf#Q#>oqJji1UPD?Ov-X-ZVlkqOkqB9Jqc%G#xm^Z5%cg${_EP3GUgIu;e z{U5cB@42huH#}$EqkrLZ(_Qfw`xMS|f7qk&owYnJ;W_IL{T;Q9Z@IJL9ey|66hE<V z!8z`vc!u9hR{9mS2j__I*ynJLJ1YLbucoWwEA}az<9@J5;VWx?T*I%X%i=pK8((sJ z#XJ0JGSg4^++?aRQQP=}`^p}LFRTmnJw7*`7q8g2;IqM&jq8OoG`5}aTL7wR0$frg zw>5lbovnYNhH;kooJz-M+-LSMd}f`lzoEu)rg+G{hEJ@M^(WLgKH)yGhv5@za-705 z*2K6EKbVe-o9t`&$l9$RP~-TBTOhvR2h(A3k$nvxSex|)Y8YpT*Hku67cZ$~oGzYI z>G*(~A^yX6rv2hy_Bx#A{t>s~dy|g-flp1^`WLDl?{R;KOL)o}8t3qowOoJ3C#K!v zSN1xb;(ie)@RT(m&fq)KPH~$`$2;6}_AtC-&DSr8KKP!iCj7$gCR^<Xv5oUY->h>e zXRQotNN3%ty<;<zwf2G7gKxQV!UJ|Q-4Z>s&Y_I8G)!PO(+$xJkq2LMJzI02l(i`A z!Y-z3qHESUl(H6vF{HDu)UMdfbVYQ|x&vpqe8K~EF<lbf63IAQbWP;J7hEpk3({B@ zYBR(-&JwLzcc7ScuJ(hNgU`53!W+_9)59k0WHQ!15OeS;mri&<8tYW;1u+MoaH)g~ z>|`?1ZiqQJL$o8(afYbPx&wu*z1j&e2On{XgiqMPbVO8U-GKtuR&9ltgAce^!Wq(7 z<HH`Lvc`rTNM(%<6WGCYK=jYr1Np4A+7~u4?Gt^oR^c?)hBXgPaeWD!u$^g-Xhfvr zRMCLQgLk=>-D!R1D!J@H9&4%ggiTDlL|q~or-+_e>yXFlA11J!NnN`k`rvJ@JANOk zneGdJnU`>$v%-JDbC%ue7i=Bp2|uuOe8>52&Vh5B1^xxqOt*!v%xn0@vRQqCt>awb zBl8%(v6T3IsA{rQFR*Q#BfMo^!&jEo>H)TnbA%6AI=<q(H;3UX%X0OCVy4T&Q|2{% zVafG7@Qfwf&!CFwqVNh!#}}Lq{u7?D%vb+l<M^D@!hb_0(^=sKmIr4Fr_4KWhSR{m zppxmd@DxkOr<@x829-=Fg<a+~oZ(dPH+aU9<R|cqrC+_k#_=(yg#U&LrlZ0t^B6v| zw5uD~I6ma$@HePnIw;&=d2qULg{9+k;R4Hp(}Y>(F??XDSN~w`c%O62oQC%-)#@jT zm~_;46fx};elnNgJxiEhz*CkGzk>26P4x}dj(0hi%xQSX66nY9l%-gGg7v}4!bj#F zILZ0I??V~WcELY=2g+IYD&H_>x+nNT^58p;688z|EER4OtedQrHyAVB5xmoPpp0d! z@&eg|Z#Yui1JYSGDmTb7&K2C#mvD|F#$6$uWvz08?7>$YA?^a{ECp^8teVWr7nYXJ zeQ`)gi;JOyp_FC0a)B|^WkDZF$Cn%)?ibQna@;ngu`E^=kZqhL*wV*P!jfs%VBK_H zu%d54G0Pm~8#0a0I4<-&IKyG&o{+{eLwScx<5P}PJqwChrYO&lX?((=<nEBhGC^6w zn8{F?!?@|Vphe$;LY5xo2${x5976657EOl*CHfW=u(T*k$TU9SIMDOpG{>Hv1*bW7 z^dy|-U~*^Zc<}zIT0=TZU6$KTp9AGgt2PI(sBpYs&ZH}R!sUQD<6XfwiYJU14b~|i z5Yo10Ja};5vAP1m7|F(a9G~18%$c;6R~RvADesUzI7RSA?}9v*AU6l|CJp5Vqo$pL zCwd>8<ap%vAcdtsxk9?}HhZ1@gWrw!_}~0wc+dW&CgD5tF8LFm8ExcG{5>$2|He;- zckFrg3BMa}@n5J*_{O|Re#Pg;8~g|A8qTrD*&94(UMD}{bK^Ds4Rr}$nODhod}g$e z_xO8YHvfXU2Va=;>=J%8UgDonx8N-MjhckB>~8i7zZgyBIsP)dV82xJ;4||)`4@i} zp0k_TFZju5B5(1z@eKcrpA65~_3RUVHX6yV_`~p&UCmzMXX6R}2|pR0upg^&IKzIZ z=D|niKKYD443F8x>=*oKJi@O~_uvC_o4m#!hKKBI_6k234dj1(V$_#^@rhAS{>G=q z1N;ni58gA^$-nsBFpd91ZNhuzNV|ro%;9zmzBlgSe^7hi6#J^0hEweC><peVm&vdA z-7tm!Lao9n_60Qw@0g3^D?T;u;J5h6aEJY#-Ggn7_j!NhEhuNMHaoDJ(N_A#-G+B; zpK=(^vlW>aq%)V9HSBJ@&3hwnK^gNF=^1w$-mtyOQ7B{HAiZF7<8|IMHyd8FJ;_;6 z%DhH8;%>t$wnsS(=h%YGKcq3QkhZwnFq?Nq-hvY5CDIw28O@|4HaA}6?Z|UD%jRUx zu&dET`p2Dy=WJHy2X;1|<;}=rILme}N1>QG&8%T(<7wWAyai|2w9FlLHlF15$Wtg{ zPBCNH*=Q&&u({Ddy5dg5V>T)C13Maz@@nKM6f$>6Tij`Q$i`*vu%q!HFGn838Mb{n z2Trr?%4s;wwk=1YfVn~X$L)suY`@GFq%zk?-?;5CjW^-ufvLPNauxEKE2KAUYSfZm zu&Hr3ug}efyKJw_6jGT>q&qe-YDmwx-EfC(UXDT@v!5Bm_QvhJJ7OPvXWA$JV()=@ z+#li`&a;;3FQ{&`5kFAec!&GW&W5?%58@ttW7;OZVlTs6)--*E>P9Q^j=c<TSYO0E z_{vnQ_n?Z=QaocX!)w+s{RLHx7UB)XjaRt$>|}Vw>ZkwU8PhUxjlB#nS#QNOoMpWh zlkkOUk$6CH;|1=9IEAyUcKQ!08_#hU#3g)YnkDW~+-NL*Vo$?N?u57ppO~hJYZN!0 z;tq&Q_{20xykif;Om2s`gfpy1VieA>%IQC-XgtPk5SQ?gsY~2r55pr?KK+D>#zWi! zaS0!on#3838}-FM6gBFJKPYO{73bK)@PPH7-h=YSecTy48Sb-w)0^;=DMGK{DN~jB ziQNqMSl7fXIK{dm=D|CrV7-L$#$DVVI~ne>E{b6|#rjN7p}bLDykmF6WbOm858g86 z{r|o1{_pB}-@pEO_quN0@y|Q!pTD(vcYoj8>vnI??|XCo=Z*GStN*`>?|pq*^Lq2Y zSF7vhw0~at|5@PwFL(F6oNo7Wd!^a_XYTP|uGYM0uABA0=KTAbbN6e`x_?f$`+U~s zS^U1I(|?{?{^#WMKPS{bPq2S>v}Q(q&GG!2WAQad{cDcc*BsWbIV4|mko|M~z0>8D zdi$R;%YXQP@BQz%)1Uv|ORv<afBMz#{l|N!!Yj4vzX#uY_d4#><=QFjpNjRr``f*n zYjbD+-rFp7>JO|N?{U7F$?%@#i%&v1(=Oo?#*8+?C*~fQ%Xwoa!#kEd^#tq2TbvjC z63Uo139m42yuo?Eui+d^oVr0e(>mb^#*NoFH~1x#GOZHsFlMw6_LzHMHs=Dr2PI5- zY6(`2mpCW*EjY_^!zbY^i<`QF6{D#z$6SUNESG#96f?~eeldsPIg6S40!u~{VGHBN zGn_MKGCX6^Q%|sLG!kAhhv6xUn!18z;|b0QGZ~(+9P@EF!*a;yK_OG0aK;>l$1Gy% z3oIIsa4PscC}3(6)|kWakcCZM!Gh61_=gdrzVHhpMm^yhMvVtJ8T=mPGt~*dnB6dq z^Mh|fK2xMxLn>3a+5+>&J)94G51e9I<<oGA<(-;ADpQ&8irEcQI4}4roMKtvlaR+$ zBwS(CxP#MTCc_<;duk89HQZ<aVYlErW3}9YYO(3!jF!w_Y!bdR?&3f3nZbttMD2mO z>^CYI-ZAINC;V=>#eTsq;Tz*7{uQ4aZm=J)YdFUoCvWhaaUK7J&kfhuH`pb7Wn9JI z@%hGe&MgLO4aK4&GBmbrU=z8kp=ITyrDVWxjyXvF!!yPe{1&whv)O0ZE%?H?gg@gm zgBgFs=Z1^y9d-_9nVsYrel?iz|EOtr&TJ)r;Ag{G_6$3Qv&`pg6h1Si$t52&O=ft; ztS6uFv%!deMGeDKW;J<*pA9G2CsZ;#VLp~)*0xA%4L7fk&gvB}GR|Zl?bKVdaM2=z zwT324uB>}}TIM=<Z&Z525;#$C(u|2t?m@;@mmYcaDz{2<7O5OHRSxY-@wAxq*kh*h z(Y`5GLUSitxLX+u&6;R(yrWMfUFGV;F!v%^&vPDYQ{1wQg<g6rRi4>*C3T6p@<O@3 zDd{SACm!fAv7YqCBhPJ>@udeI1#VWdD+^R^O}x}|Bz4K@iFWRjWL_4kxY;Ok_N7={ znm+Me&z96Bw<adIzmhq5&SQu2&Aum=m)?4;QGVLzVi`1ZqL8wCm(iuE{Ymz({(78u zUnBpp@5%Rx@7y=Z->pk|zSu^7>dzJ57T=xt&u-J-j`AgY`!(#HJ_o*bFLIk?By`uK zR{3Zzi^Zio9tFywlAZjgJfiHX&YqR#Jnhjae^=7;z4EPzn`*W^Uu-Eq@#l*xUzD%( zhu9l^zWB_&zgNEVXU7NSlZX2S?7jYEd|Q09U&6lVkH#7IX8FIhCeM^l^efqG{W(#p zva{dGp6Tz4&&ucft?VED@%VhkeWv`ypC{fgKHaZlzv_?1Iro*ywvwHvJj#`QB~QLv ze4u|<&6lUj2J(q@CciGu>c3Uv@+<JAd!Aj;=ZmxZUF@U&a+Im4%U_jz`F8RB{tq=z zPJf^Hz`a(!@@L0s_h<(Dpg$gY%2&+g6Mw!q>0U1XuvTRI#5ZmQW?i2Hr}YMzU%I=Z zeDU4>H8n1&%4X6#>sV5iZKXffm834Vm0nqA^8MnJUO)3gpC{gSE3)g_ba8I~C%a3x zUwl_qm%f^3lD_yxZ;pA@-Hvna)zSy^o}?|l)0_6tY}efr-xq61udNH&F8JELO!{G7 zO8Vk!{rAik-SsF@HkF=w^F;CDbG;XGO41ge={=LvvUB27x9QTEc_zCApSxw6G2PWD zS$wJ2$NbkFkD|pVdzH)=-Qg%wHk8iIyOOrpP<rjnjx%mkrJvsHC|Pv7^MZ{?#l$(? z&thECmCkmWsXv;t;<M8A?sqX?ssdlTt`V=?x#GQ&fjDPeiAP1?L)SLp%2_)(J})}m zc`K(Rb@8s=PijfbRe|%m-}r1vTXgYG_p6vGRTtm5%okod)1ze3g<IV@`n&dWlq>J) zyy8>xY|+l{CuT(|w{%|>1>SWnD;E#kx#E;-m|oLvk5jHy;;}n9PP<0x-P+y3|9+8= zjrhyBE!7j}b^p`*wEM+r*D2z@aVnL9Q@R($xNHxc(fvu!YmdjrMMt}p^t1My__*kJ z_o0}Uii<P474(&g1s}UCmsZT1vSZ>Sw>D|RJQ4GWvpap%weGGcTztG&%v@`Z#yOXM z>6^Y&j%S>5i!*yQo1<LmZs#+fCsq^Z^xiS6y3LWNtS-DaucZ89zTkT|e>JDO8F|VY z!YhBpU8%k}uXj<7%l3))-0Gxd{aDf$UFp1+qhdbssY|Nbsy!WF7MY1}+?jFKb+K@u z-;*5^r*^yO2NegtaGffA(eRK_<;9uZmn2GWcAR#LHshMZvF(&wh@RHo6JM0f#plMU z><*mP>7agS&WSTFGsPFieMwW+l>VE`VsY`Qa|8chUArgWCp>oU?c(S4yJ9|ZT057# zQO%1F3-`4DkV}&JRlDNT!V~Q_^0z)+nB9J7ir<u{iig^-*_iwanBHzDKdC0;)1vd; zHu9TlUVK`3uH8cZ)|`&_3-5M5lH2u3V0Qa08<pP^o;lCpUs&mJ-lf6sl+BXg0rNUJ z<S#XSpD?}MNB&fe$5+LB?MG}xo-K60xG;Qs=L5A}vpotI9cj;zUsU_z+rq2uQSy&I z1-x)hR@-GHIPFNghrCrSN2yYfDgRl!5bKF@k6hY?CH=mbO`LAUf7FiUx4_&^F8M>B z17@`c$xr%pVNT~awMEq(Ul$tlPps59=RAe~U}5bG@0U)QVp*F7W;Z{xae2DHf_<Z0 zNcDubj%9p{iZ8r&%9d-YK5^2ykl(h_<K4oY8vGY^OVSqZZ2ls1<o50flUtwgb=i7+ zU2wI1iH^vw3A0*V#HDI8PC1uzf7IKOzVP1t_IqMon|BGkc3R7QGV(;}LM!f*dM3LC z-a4)44%G`uQ#{?OCvLPk;F;58?uC&a#fs-zt;CsPUwkf8ywm#TiQbg-g|}ME?uvb? zIq`0xl`c20p2`k^8Lba8_-EOAlqnu+{UK%)d*ZCq6z)X5EjuT?b*d1%v^n6OQ<>um z{?M7iE$Iulx8C9VWxL{>Q+$GWRndfZj+K13Vm00=+;4usvQthaeW50|qveXy^+6ro z0y6%7ms(2>TSUpRJX@g8o@%+`y@EOWU)e2B74+Cu<y0hET0bs0r&kxw_o+zWp<^q% zq>`KoN5zCkOlACstTpl(_i_KQeUZz!tBC!qEX&gc8vcAu)+gR7sB^#I-4uObUh^`U zl5&Bm&8uF>x_oCaWJ+Ogv22*hZew@gBV#XrLd}6k%p&p=elQ$im$5tWfw7feq2|B? zW)^vdXN>W351ul{${l#h7%eC8gW&-CAKL@@4)+;!nSaP__}-wyf8bMtHvffchkMK) z<Px4ThRQjl9=OZA%;vy5#!~(XpBQ$ryHqkvVLxN*@Q%@6PQd)YNk#+yf}adK*!S2T z$X#-OVvYMN+064Edz4>FW`1W#deU$zVx#nlq6McL4cLv017<ZoU@I~TxZ|*uc`vU@ zs={uDTIQ2~C;#@J7klqsea-)$vL|vE>|z#?JCMJ?@)|?O7N#paPkys6NndcqY%?<> zpG!xY!r4YM_D3=+iWSZ`+OeOK;V4;fq45e&h~)+OCk`oWT{15U6;3ruu}`Woy0GN2 zLk!z1qY2X+_wlf#DI9EMW9O1tQJ`?R{sT{oMZhD6E@oZ6BNY}GrtEIq#N(2xpv{~p zx#E<4?!QEpwSSj?l@GoUeC0~L7vuJlpGqD-{1?ou*ZXg|_~cLj^f~pH0zR7jVgD#^ z{(r~5L)T{R{d=4*?@i*P`H$^WUc6ei=jh#UuNG};Q+x?(4A>|??JG%Fu~vTAS7JTs zt;bg7i+$k6L8)7pEN7XDmGa&`lXR8q6QA^4u@ZXiv08a0sEuKvytMC2n#z@le(pua zlR!<3iG5enRLqpuN@kX*T%35NN5o3#g-52_FPW3YOU_NSaK9zvS*&8BJXcb)SjAX5 zv9H8((o>IAw^cHoMN3XjRB`W;={(~xLAh7b^NdHLTb0bo!X?KhO1MYKcor@>GEu<Y zO6Fw2l0y?Y+@)kZ3sel0Yb8&f_K0<RWOQlTL?(A8nV0!0`zQW#yJRGE-=kVNRg&|x zN4VQ1Y0Z3<JrmdTgruryDc|iiNmbcB@fD=m5$M)rbm@*qk+Q9%W}b?=^1<FOW|MBa z@0b5scjfoRdHogkmp)&7=e|q+=}(UH?mOl0{ycHceFvyX^E>dZ`xg1Lbt=CD=k_Pr zH~sB6=e}P4?9UTll`ZAB)*bnE@s;~3`J+EM&bhCY-}{r}>*CA(cWP2V?T01uTYq+( zb<ehw`s?vU*;Kx@j^$V2tbRNDPk&Z?RzBBnW`F4q$65DuyHkHooN=EaA6u95GjL|V zmi?kX8K0C-_AA*deHMJ;o@^)dIdDe5oPE)s6(5z4^^4gD{n7ZSe59Yx-ssPY56Xx7 z+3bb>aGY_ExBK)daC-lank`QkALwVW|MmOC`^EeEx6~Z@e(|1rq}`!U7pL}ru{-sf z<Gr%BeCW@PQ|{&RzCTaATfD1(NsY_*z$yI;YD~UQyyNa~*Y*3vN%sQzy|quaU3~Ah zPx@=#mUQKNy<c*+?4J0}t<vn!=D>Gu<z|~U3%+yPE`2jEWVhg3x6RTg^FlxkmIU)f zn=ih0D>f^->v7I)t@OscExRVZaw{}z+8p@GE#J)P?u!y-bLp9RA-e=$y5*WBZN50G z*Uen$uE$xoh0=|AQ_>cn@3k?1b*H0v@!4JzbEnN0XZ9MI8*LVR<~ChAGA|@;@u^-7 z^I4#_%Zc6-IV?Lb&geaoBa*iGc(06k)}4;R#YcNZ%)Rb#6fQp8%VVx}r=wu;!Cn?~ zrp*_p_wLELlB%pHoqJQGK>0xLKeJD_SL7?}N`K7VvOREG?}nU`ROP+BpUe*3K5@z| z)NIkFi+A0E%{JX$k*BOFeKA)gRary&Y_7`oi<5gFnH6mkyyKQHy)*Vp^~CqCd&S@G z?D(!^EB-caNp;}7?hi3jsxQv%eiPGDeetd9Hu0-*F4Y&`xNZ`^x>Mtu(v9vHF<+`K z&gqWPpHvKPN3D%pQWZF-`vItVG`l-M-)irPv#!g;r^dNd1<vli5wqplqKmLr!UFN7 zJ70WOI^S)j|7cIfXQi{<7h+1DEjrVECZ?tG;#1dY;!}67_@s2ITTOq`9*s{*M&gcf zCeM@%#anm2_^5QeTS`A^PsT^3quoOKPJ3Q_P&(YrrLVLn<Ac({?gKGjo+{~!m+tI1 z?HaAewTI)wqW#@}^j_`uIPF>^{x){XQzaeo*qt8l7wzf(ptq?gaBBC8n3D2~Q@Y>i ztpYXDO2l1va-4E47C#yL<;kKQ-E(4C$}iq_%@h9X_ryBzy-T&)qq!dEU3Lq<^qZ2d zWF!34uOwZ`TKJ(~iS@*{E?b2!`k7cyeB)B8)-{)-Ovy@kub)Y}()G?KK3A*+U%RXp zUg;;2u4Ey+)bC50(v?m>^&;bmFI|=jPxQNzrer3(c4kJ2(#6gzJ|b3vFI+O!e$6>i zyy#q~h5D^I9>q!~!gFV86e}4EC;F9GLfT?;I*JyZ>{L<jn$vN{WrA?;Oph}ziE34I zP82RW)+wPLH3!sm=@d}6nscIH(V<Qbb*VWX1xg0OwKGqgc8OJcWOQ*_CzCqUoEP~@ z`#XQBT{059?@}$CI+NqHOSsyl*&6vudpg(ngrq8I3E%ZKNmbh2`ATh)k>FjIK(!{L zi+5a#gl%VP<SD5OAN2iVHu1Lee*T|!SAJiZ*Ipri>GOqm&b#=ZR&tzo-pPNr^29mk z9sGCgQho=#b>6~%)=uSjz})sE`KH>AbI$Ae&sLuJs%Xi-)$Yiz3$L74@gJ?^IOn{Q ze{Utn*M*nc@7SdL3V7+fgnw&g$64oWIjLHYFN&u8t#&NG0%o<_$$zR@@mcX)yP5o@ z8jiEh>2jxPPMmR`!5?dv@-tv&yO#W-nv741C)<_el|BnRaZZ*K`W!H$T~5BJX2nOv zW9?${K{Xm56_2#@$s5(I_@H>GolRb-hU1KLyxgZx0n^)e*lc;a@IX6*{IBX0?-%ZC z-(qv*`-OYXk#dJVU6|VbMebBJ$9qL>{?N*fQ_ki5zLh85E!@?<#Kz@&z?Aj{HYVRE z+;R4o>jE|43i$WhKG}BRz0*GKuX<b374Nlv(b=+l!aJu*u|t~!-Z_<vZQ3mG&S^XM zO}!9M^K3KsNxhKtg*RFg#20P8@Y<<ZtSHvwoYPwFje1*lO?c&0DAu$&;FVLpm{aVF z5=C?FnR+3+1YSDjiY0BnFss!~TqxG#tkXj7M!hL%3(vROh`);IC|-EB)kNHB^M#qM zM&d@B1)e!g=Z@41Nn3cTRYQDMj7QPJ6Rjt7Sax2R(RxHjByHjGRvGcEn2y4QM_Wb2 zy<#{D7anfq5!Z_8C|G!~l|`Is^M&cHdvva(D(Z3PMrsr&9%%h1_9=QrzM?MoN8K&k z1E#fZ&?!k(+}rv|>`?THQ%<2`i#A=j>l7@uDSAboq9*r6U6E8p4eqnLD%&qiZha(H zv`OHOQ$F`j*)P=--aGDPe{0$CUBQ<9t=y98fO*XyWTsSKnA`kDrltDATgPqeSLIx) zFT8Qw#D3LM<D0^b<`*(wsxHiFj^UqF9Prw44f|TTB~<})njgqask$(`Ie_2F_QYAo zW$aVsT&e<QH{X!i@@&DyW*7dT;(!;93)q)hzWA(gzS)ZZkxj;Dg|p2UWJ;bbIMaMa zrls=2Q^#rSQ!Q6~QaIJD#y`nM<CB6ByQ7@RGX+ETR?8P36^=Jc@h912d{j8vEX40* z^WuZT;bty=C7X;73J04H$b5OKpwC`v*>T!2nvctd<HLge&42h_S$mvztYLpEJLRc@ z4tuPn$NL3)nm_PuDhim|yh5g={KAyxH+-wCJx)26u)A7voN_E?KPmg=$$}ltb7WY` zFWh#_<Ngub@Sdqkd_y|p9_|a98}72c&{H_i^g&0VoUvRiA)Rpt_m0?xw@g{$4!av} zvYyaeaE>WSoMAVE6?aAKfjO)@^c>DHMTsBS)o_({g`UDWrUyC-rHuJv4Z9jHv+js& zc**1??y#%Dj5}d-gDJN}Y{LttD>@1#j0?CuHaDDSt<YOg%s7YpMohyqrVBa`&M+B? zC!{gX;NB6_@RaG4&VnMwDcmz+8lEsIi94h*PT-c<%wWjPvAN+mtA*ZzLdG8Mh?s^) zOhV!fI~oqNO6V;pU~J)*h-rAhbU^39X{J3o3r;ib&`CJW#3atJqhUYm58Va%j8S3- zwm0l$O^IZf#u^jZaF6MeSi^P(ZSEDD7__)|L?4*KdP8?X9%GQ0!}bOZ?uJbbJ6TWY zJ~+wrNbErhV*z(Xbi-}NI{pXM4fmMeSTek4{34U^onaUIiDCvD_7k=T<}%-~WO&Dz z$DdH$aEtkZT*5boP3$X*8*VTkkZU-{7{_n$oM9dNgyM#4%p2qqzA~(0?<i)lVE3>+ zFq?US+=DL+d3*^~4VRcF$SpX_cta-PETbF0LKTB4JBKa93&u+_4?Z)@V}D`8@SM?% ze?cXK3A;sc!x`oomJH7r_4pGi8;saj*f2b0RO45uY&gL@!II$#<1rbBGmM919(-iz zW6!W*c+4oqzo4Sw2(yCRgAWXC>>4%<4;k6`6)G4E*nbo;=(E2lV$fs1QPgmNnL+Ns zdxkpp7uF5am_NuSyl05yYk0~K&bOevVGr{I*#oB-SIIP-VtmJE@RXs9eT8+y6y^)E z3a1zs$RxaDC}OWDYS_VSVaafZ@gCm;vkUJX_A!6u+mfzuukj1d7V8P`94grk83(*` zC}-PbEbz`@JM&Gx5Nm<A4x5=z@`a=?xY3xvzR38(YlmXCB3X}f4r`e=@@=u2@XDc( zt;smxl|w$8lkAHU1#{+^d?8i>FCB8(l8i6RYII{4lJz+2u#mZtZ%W#N^Nlv_uVgxk z7o2T0VRtgVFtgE!-N;zrnZtDENWPG?1*aM{*k{Rj6fHQ>c!Gz;^1_V9BRnE$3ywF+ zuxH716fQX0D8lX~!%?{4a3c@9mP|*%f`g4L>`cZNrZ?{4xss}&$DAvvQJ`?3@gLhK z=@t13y38MWx0nY^Yuvz7lB%$`@e|u2=@X|MLfIA>UAXHI%(h8-MV^8t^F>~fR0R#@ zv%D(i7bZ78Vk<HdxZ{w|yz}qp-~I3H_x^wLv;Dig?f*Cb7XS92SO4M9<lpD#*1!4F z{QLY{`)&WP{B!<&{*C>n|5tvhf0Msa|KiW*U+3r4$NZo8+5ffun*VG5E&k;{r~bj8 z$-mCet`GQc`S<u)`(^*9{B!>0KfC_MpUuzaU#xfeANbk-h5ds6OMX88EPuY<>i@$( z>7V7#)?fHj{A~W2`ZIr;f1ZD8KkfgNpUXeVpQ=~;Kk<+HCwZg)4*!gw$s7J}`T6{# z{PB9J|A~LnKgu7i7y9q`=lKWu!}VPM75}7vkUv;|;Lqo$^7{Wvezu>skN(H`hyBC+ z{q=wTz5MNd+P>!ho4=Ev%Io}(`RV?C{+{{||2BT|pIX1-Px1HjQ|jORTlw4llzqv6 zm!IsX?2G@O`1|?E{2ldk{xE+(f7?Fq_n&u<cl*D$tN!-zuKRhr-M?SFo189h^ZUuW z;&gfI-w)mu@9uwVxApghcgDN>-`JIY>%7ZeCU5n7&pYFE`Rlb$-dx_r|JrW#?-lQa z)8#FGFM0PlP5w%)-}l1J{V(m7{+{sea+<u^?=?5mzpPuZh-<IiqTefSs+Y)LsJ-;& z^UnU~c5{ChyxY99|CwFJH_Ofb&+O8^9lZ0rNd9!~sW-tp`JdWN{_XKjcqjkNT7~bD zoBL<f9(wcn$922D>bIA7@ISWe{;lzD@(%t-cJ03<-UVA+vupXy^G<mO|BTvwZyu-4 z*ZW;?GySw(<8Ov{pSSnlx2ye~akD*t{@&V6Z<<r*YyW=ncJg-qdv;;pR&L^-TKn$X z%ty)F{qNcZeOp+aIbY+q!_D+O`JJ`%-Ux5+pIrOkTjlNJZ`F(|PkQ+oP3q5ivh8Nv z?h{$Ul_#9|j3yke(_#K`-0q!WfBBlnGLL^8Gh1iad)ck}m{Ff$Pkha`n|gY)-)ua} zxBF;9{n@1TyW=)??esJ3+J4O{dyRk2BcaI^N0j&sJM7;)<SVW?EOpMHO+V-1>b2`; zy;`}nPwLh3xp}i*as^i$66!N(nI87*AYWd=C7!qqO~O47n5B0d@PELlT)X9>tFY~s z3y$0t2OR$2X<gQunxyTM-NPz=OM+X^L|-^jT|ngLg<lquOirg{H-EPjQE70z$}5xO zQn2Eof{>%`p?-NarHCB`rHW1yWvwa%ER|F^!df(CvP`lrXgDcrGYQJLUTbP`HDGEv z&M%jyz@sp8SFNM$C9ZCb7?y`hY~qSLI1IXfY~s-GezA!|ulvTPjsva?dXMr2>$+b= zw@h>WpqrF07%A46Di|)daC^rd*9W?XPARR@X*#9!PRuY>u&jGUbjuXi3%W|DloseD z<p~ybS8VFo;c5}da!2W&*u%1p`_4b)7JV0}<~vx;VcUMgw&k7TCmE*mibec|&jrf( z8ml{QJKvC7^i5z(`wZKbH;S)hl)edUXkSp=aozchWy@>DCo+q^3an|5ux)vz_(+E7 zoMI6F$7cd7+AVBbW;@T2Tl7U>Nqa^yhgo|>amPjH4mqc@icb8DRUIbnKWti_D_Zd% z1hsoJ<e1JXo|94fERe?6SlMyfIYMsH8AUCA$I6bA&K`0~p9E6)7%Mvr+Xad{4B9Jf zS{^G(@gJ<{IO?n+r}R;vqus)$<)I=MzhgzmL1zv*rZbBBWDcEH+$Ga=T5+3<(g%Tt z_8-<Q_Z5HfEqp3a(|*I+Wtww><&mk*FJzV83skgkDC*E^Ur^Ms+u6sm<*wo@KE<a3 zCG8zW92)I2tXu9V&XZAkC*a4&Sl+SSc?a*Ka)Eu#FJzC*bNs;PbY7v1eW7)SP4fZc zjysNbBwOY>KHz&)Ca|q}g)GZkg*0|W>kg~t4q28r3NLsbl?oKIJ+$JmY|fBnd94t} zzR;?}qPfAi<BH=RNtRa%e(Vp^1eP^x$g;dtxW&_SR^b{?Qi;H#<^bc43yuwZN@o@9 z*dJPUoO3MTODYza)$Cy0VcdK|re&sM0^g$|foaVe#vP{|1Nf4P1SU0i$gs?Gbl^)m zqi}>r>5PIL`$LP4V~z%VNreJk%^or=j}-XW6D>LpISTM46$msnGZ=U1H-9kd&})8R z)S=tVA;a=O;UC*W^NxLv8Immb6~3`eOcjV=D@+xrYCa*&a!+9m&!STbD|jB|2?Vnx zns@AS^pIq^tFVZN>6F4VHbwIe_2v%gmdTC>cpv2o<kkQ9+x%X>>i>r4{Cnyzd~UyM z|KgwGdHD~26u<MA|4VqzzoUM~-{!aSS^ph=x8Jls@o(Wd`K130zuB$oEB+pyW545{ z<2m`L{|A1xU$tNHPw|}mgFlL2`SbrZ{A#~!zvE}~OL?#V4!_#X>JvV<o7PMGZGItt z<&WYQ{sr|OpWDydSNvP}nSW0GjX%xL<S+brct+mnf5J2V8TC8<G(VL;^=IKH{weh{ z{xm<4SNiYpjDJGC#AkNHdXCTS$L%ftE&RyeQy=lC`H{TP|Ars!hwUZ)E&RaWQZMnR z`GNd_KMzmK@A<RvwET`giKpe6{xke&-*5lp@51-|QU4BnZ{KU5@{@U*eaz41d-9+D zHGF5+u3zzqU8{b_@558<Z~R^Ojz8$1!}oTL`i4*KJMB;WeRxv-(Z2^z_zUVQemCEi ztNZ?7cl$ltH#eEz%YAv1SkAwz`ov~-o9YvH56`u|ag+I-T;BJD-R-w*FT6`E<KI-h zVsrZq+XL?!&&kDoH%RASS3O~K`!(AQ?-EP-S5<dxX1A#JxO;fE?Sgj?OZfA?CG2Xy zWIN&A!n1NW-XxxtbNjBai`}%E<1X_Hxl3;z7W2=mesPESxt!Ve1v}YIsx3CRpRt{B zllhsP-uHx^?MBrr?l3=<Q~R#4v;BnagqzGy<c_^@JR^7L&BH?ezUquS%#Y>7zAxC( ze#BPc-NOR@wrY(#%n#+*zANltH>m!xiCw??#U^&W>KmKd57;uidzjB(SN-C4^EBHJ zZxi$RBfmAI@`rz0u)Tec?Sr=mPsy!%(|Ahm-8X|&{<7*7x0|QfUU;i`N^ZfM#613@ z>WWS6J8Ug(GT)KA_w7Mh`+e&l_ZEKVtA2N&n%%bi#@^<4vY+lSo|i3pU+|o->|H~3 z`)%tR_ZEKR+fqJbZ}S`3S9cV@@ogwyP~3jq`pnMe*RoIUEd0v1raWSA^DEg$cNovf z2EG6AjBiD`#op%G)-&!c{KB`SJfoQ1tURK){i1cpJ;$@MPVX72+D*!T>}h^3YxVv> zW&2s{jC+h{WzXGF{LGj3uA#F1v~|S2g=b{7-aAybpS1S4r}&94<sCz1yJ5LNal1iz z#h&KJvQqC4RJ0$p*0`tmk*}lNVo&o!S+4gE73~MDIqor@k==La;Az=icN$O2Zo8xS zfv=(b$L{9)vcKLfc*<8(eq*=uH0y+&ho@S<xU2Y{ucCZIQM*?8f}-}_);>F%@5;V< zr|^`oq`aeuU88))?&dqP^X@3V<MVsRP~N`XddJy^<$U{!U(7u`&+@}L$MZ5}&lgy? z+Y}!#ZogxBXJ+$U%LnHkmho*XUNM*XtxVc;1?zUJ;*Pn@Z)9Gad05I<{Oo}hyJd04 zT;|s@Vb2#>wObT77`I=s+%uE;m5kr>2WfoEiZ$jkzm&Okrtz%IwKIt&e2a<$jN30* zHk?yDD`WTkfo1zS%Yt)>#eB1h9gN$Ji%-mHo@tqI?qLz%v|<h8_EVMt=MszfCKY$g zVV-H}a4zwT%#kySXJq7_Kd@*&W@&IPv5>E;*kca!BN@Ku2^Q^#ECtRb7VtF{GZ?q) z7k@Bn*DHQt)UI31F^Bnq%)e(3%-i=_X3S*1FZ1o$gjBwWX9cNzRmCS}GvAY0b7tWw znH6Uq=J5qTOE7QWW$7`K`L4{OGmNKXo;_1AZ&xqwnB6?t^1#`LxqNx~KWdxbOIO|B z@SJx~{)NwNcg<hyQ#>#IVUOZ>-tv11&v|#`@2G8lE1h-U;dk3j^Ar0Po|8_x&+wbg zD!-!k@Er3U`y9_nN8La0tL>`!ihYXbq#x{2{K}huui;nQW%C`C%`c_B?mPTyGs{o- z+-90DQQQ1N`pO=~FT4x#JwCUcH?P>Y@H6k6{2MjR&!jKxd3Z+J=zhX8-WmBjYMP%) zpW3tV6YrG#88yvMq?PVFJmZ~^FY%epFrVXd+i`P?eG5PG_T)#@G(VCSy5I1l?XbDT zzJ(unTk<7pnjc6X*z@qT^qxHnPfPFElXzO1=|01cw*BTm_AY$S8+Gr%_qM&}DV5CA z%wsB>?@52U*YKT9JAcI|Hm&>})rY5;-`KnG9dFP*hwp6~`3;}icAB5q`|zanqk9jY z@D}7(R5#z2s=NMRciTPFH<8TmrM|35Ea%;oePT14P4<b{!*fk<L^8jV%DbMhyX}_g zg>{K#yqmIDY;L<@dSG4SIjOko2I;)(vL|eAyJos!U1BNks_c%<Y!=xbv4>}yE?D=l zgg5V6!mhSUrW4jJJS%l$P2yQ8x9bYK*i5rIVwqn^U0U<7n0H?Gix}qTQfAi|>|`^^ zw%FWu#&kv`^D`;E>j^vCjIvk6Fh7-2yRNXa?S$!sNaiO}$JRKWkvg>IVIgl{c18^I zV=1xg3wE>}F;!Uiuz<HMTO)?~p%mM7g&k}L**`Y1>1V&##HN>hV^iA!Q-*a9^LgvC zUqm-gGySkOF`qZ`T0<&t__YPw+xD0~SbOl4)T%X&r=;FpGf3qv%U%)PJjL|FTE$aR z3)Up&@fKxQY--zKY7xnNN9x|S2W4&djepEr_?@Tv+<|H~+w>c@&F>^X&0#z*S#-YO zIZxTShU&K4#y93I{Km5-eTHrG8_8F56u<FoNMBIgcHQ`lW%FyvCvz5l<yn&+VcYyl z^3fc|bCN;lKRn}Ek#1qzJllB2yoFzQmZWDCvzetw6t`V8?wIFzR?_J_Lsgqe`VX7t z=aN?E4^+0DHO`pFcvkY<9L3K(Y3CX$+fExt%v*RyQtP}!W!p((k9mrpcv8+WRJIwW z3lz5*q*vH9KbDj_f1sl6sIkU8#g9B4=@vH44<)(IJ5;nCH0GGcct&#HoP(z&cg<-$ zExB!u;s>6F^dHvE_a%RwTkw>pCjExB^EBfG%fnNRU(8i}&r^}Up{PwOeL+#%Zet(I z=DU)w&M7?QDM{}rV$(>UVcmR3a^4)pcRYUQ7|PqW8}I0SSkALA`GxG^d4?bQ9M4OX z9baJGW|Mrtxb2SN9m(doh7bB4mho&$ULni;RwC`Vf_0l!a)&JQ8;KV^4@-H9k3Fzr zvrNvAWqvIYc6@<Vn?-ViaoZKcJ(A3?B>av)NaI<StRc(%QsP!m<5`JoJ&7eei;@G3 z+b$S3^eLW|usi<1vhAE<L0@7q&#Ytz<2K{u6Ee*+4HNnv7V%6=)-Y~6Wf;(xSj00a zxkH9|rlCV$;u(n}J&I=}<c>eEXgg+T(3e=q)0ONY!~95s?|6bm+aW`NzQh8arep@= zHvQxeMs0e@4~*J$lR0FVA4vQ=_Q1StpJ9e1^L>eL$0nrmL>wzf<*7<OA<cYGVolG& zQxYqB9_H}`A4@QA+hyn>$$VF0Q4ixOiD$<Y%-htHJEWT@8y@I=n9GwF|Ko4dd-1CM z8=iCTiNEl<^{)PlI>qziA8Hi8bC>T+c+R~ee#hUYx8hm*9e%go)IU+T@SJ$keum$y zR`C^o56#ivQRjG0JZk@eU#(a5SJWw<6Ms;n_?0_<U&F7~%lbPa9RgVna@W@~|4VuH z>QwOjaGe*Ldj(Qg-{3BeUvYcYSMDIO{Q}=7D@@V-QFrhycR_rG@ty}Mt50z+i`V$e z^iu3y&QwkIZ4(2_xK~9N<T~$Sy`Xz!;a#V>H+0Y3bb9evOlNyRr`zPSb6TzUX@qZ% zzj>2s`a$i>W^3Xn<~g29lz9-oF8*j<BKMRKtLP2CxyrapHi<3Em^iz5SL?p@_l{iL zo8`*8*J+QI-$$)twTs$kw2cEg;xj(8n#D(aZoR1AQRjG8+-X0<uT~S|-nxgMx#x*n z9gTUgXTwj{v-%5WYWh76DCzDgZLe-h*b#D9wdvlJA5$M(oxYy!@Cv=<TA!!hz8zi= zz4xc)7j;wrh5uB3UCwwDRyt3j{#Vkom6z8BZ1<}5`nvM!e71TelbWv5)nV68tY7!W zw{Gdt(;<`NbANJuSiNt)(fmz!y3U2ojt;cD`r}H{!_-;pKg_%3(){!Cv+%0;kG>P{ z*@pg_dN-^xWWK=KI{~dTy?CBXoqTz|P<_yxJF6z0`k;A!{vL~eUw&M99=5w|+pgr$ zYS-;k--i1aY?r#5)%(o9!T;u^ysK&2msUR7_Ulg9<<FWomTS1KUuJW2RmrLoYr`T} zF7pX}Zgn;0QAkJJvPZX1oe4`%c)Dy+lIX9icS0if*|?^yzO&NMf9k{9SAm~b9$8ye zuT?zTQZ#1j{q;}gefrCJ`d)ac|3|-<WgBO0U9@-7q~F^`=dJ&9%*DyfC`$8AR)My& z%TZ0M)vnupj2DJg1g5Tf6VtjoG(PmbxVA>o_Q2`xo^ng0O|`8gw|egkNnP<|=Hf>e zCN7HhDqd;sy;P4?`o}JjtkqK=gl;H#l9R|>mBlr+>dLf*R{c&Uwp#C2s296iUgB+C z?lt>>X81wNRUcMeS<Kd+<=Xmcuh-Pc1?4NkZ&+=1zPO3+snwKui&<93tuHE``Z9ER zVa!vW(p@WOK3nB~e)Xx4#yC?qA+K|xY3F2G%R}ykW~{mv66qG($@G-FRK0)pVlnx@ z@A@{ao@I0Dt=4h<IX8>0%84Iby{ATTGk3i5TUO)v1A7X7vYzHn-4~$gE^5v{FDUrp zh7H_P;%EG6dg8y<{^(E6bN(ysC;kihb@`S5s-n82y@xV?3D1|_GyhxNrA6O^<>$_S zGw(^+uFaEWWA2@w`{d1$H1+G(=lTSed-ZOf{K{{&^~QH!($vkDT35civaEEu$=?0e zJ9*!Uq%Ak@w+^&2%R2e`@}10Lbw2~&lCnd)n`hr<j-Ihwc;;NA{6}|BuIGF2yViJT zWwEe=%+=XU=}w`CTh#N7Ch{oFFpkdqb$PM!oR{14mfg^IscSqVuCU+W8F$h?foI(P z@da}{&xlLyKk%dVsJ=#>;z#a|lw&myFMMx$*m_7`pf2$Pca!ngI>s|T)oF)#H*-ct zrWGtZelf=R+)4eKpH0*COMWs<-@qNcPv8gZ0sTL<2j8n3$G`a9G;O}z{sZ4bo&?X2 zzwz5?ntsC1LsRu%)GEH`uCU+uPvtpx*uJXYPE+**ejd6T9=_&QP2#EGE%uo=S50|U zpmjw5M(x6P+I!dk*tY6V)0DMQ`yIZEy!LO4@A$;35kKR1(;e}7HHz=J{q`|@Z{4oH zBe!)|>;2_^^+I==-iK|p*Ug){v-O_to10AU!?s3W*xY(o_eGxKd9e>Uisjtp+Y+9y zJg560?_nADw&)dincj+}ZCBXcY8Bmam+6hzi=2n0+{N1->|(Wy&bZ6;S}bh)f?cf^ z(G8nhujuZ%$@EIhZ~KEZ?q$&$cbQ&_-O6b^D|Rg>v4ne3bin4;3%U(?if6^_wm;a} zdQP_>FR_?=R<y(BR^#XscbaDECgeRV;+__*vAOk>Za`jQ5%;9%jyp^<bsh2&&xjq# zQ9L6ixBbD6)?>N`d5MMGUC|zQm>!AoZBN+IdPr9wFR_5TDVkw(tA6x{O|5#-4>q;x zMswU@dLZ_1+k@?``*bsIGTj&ZwrxTxcf_`WRPL(i6StY}iLJ?5cuH(V&ci(J;B5)p zTX*St++?~dwkU`3l-RRv3fo)NqdRUlP1Ze-`!JU~FaB@c)8C=*!>ji_`s;N*e0TiI zx~b2#ZQ`HSl|I+Dj(=EJ`g`iz@U8I|>r8)7eG^`~uj?<@H*Kr<y>+I~wXd&#Qgii} z=<D#+@hj^@pKDvhFRlCfO#8}uzx_p@r@jnd8b7h_>N9P#__aT?zGz=uf2Bs$>dIZ8 zmhc7p<Cp$?^;!G;daM19{$zdDKD+)xO=+6i(e*~{uY^-St?BwSb>`{?JgwhFpZRR` z*%dxLd|Ld}pQ}D;pIWcBf6^bVPufPt6YE%iUY)W2NR8;T)yLP%uq&^ZT3+;7^l?B* zyzae_@})l}zx55>=k@31H|-<)v^&GwllK|@S@l8tklLBm@7A&H7uv&AEc_xo-sQ55 zTT9i`r<$jhzp*KOzVgocT{TCaYTliH#OCU=)w=Plb*w)^=B@v?@6+#9@3nRPeeG0# zirx>ej!*r`bvitJ-=%7;Pg?J__pD!26Z%wJEB>x8>-UhC{xgGZD^Go0X&LXkbJJ|C zcgs&Nub98-&#JsvpENHmKV#GSO!NNwB{r=;uDlPP9lY1Jb*FjgH0@pM7uB#nUA=St zlYLd6rp#LZ$Z3DkC(%3M`N5%ePt8JSuGCw;=u^n7m8*PGQ?>T2{Iu*+HCM^%`)g~K zPrAM8`^uYZzu8RvF-2|W+KTO$HeY=goH|cx^VD}?m35o$aGekCkA7-*bjQ`XYv1^= zrfJ`qf6C`->Z$|F-L~(#d#Y^pt@&Ep7v0VJrg?R3%6zX{u5)3f+q(XC7HZ#Exg^Im zebuh{2YgJQE_cwguf6%IbhTx$tevWL=%bMG;Ip<{cTIgAR<tdvw(DwFsg_~%T0c=s z(WfEF%Vy2tD$>5X_E8S&^W_)T25qm}Ec(j7$$##@C26Znf@}S@?zs9gq$k*P(#=z6 z{TJJJ+O_VQ`ZA>7exsl0v*o7IQ}bMRg-YLA&h>ZRt<O{5`ZokC<{eF2Z5rKcxAlj} zyWlSWy>_nY+85Ve$r1e}@+NFS^wOKJE}#0Wt{p6yclGDwXJK>fbLH%={t|xV-x-~o zw{)lIthML<Z21xLJZxt4%$Z&JTKdt6eoIqV?OXYWVSd(~S4G;V*PhA=-6{GsY;thn zO|MVtN7pKCSK2K4BrJKG(B{w?Yvr~V-C0$reQd4R_Mkgjh1y5f@@+S|v#LP*&|0>B ztKyJnL51_Wigt^<3o4s->8@9S=Dp>2=2cBjiaB*UxWwIanPalsmz8_8{ZlKm_)iB1 zOk1^i%A4Q<@7hSNGeJ$>yn3!XLLLUSdCTgx?g)7lw7F_p*WFmH@0xpdEq^m_)~746 zQ<tyNDNWZlh_1bP>U3D_wnv+;PFu^go$1c2_bX1X{j=@WZLiZ|HPLT#r>1J_M91Fr z%3r-_?T2lfHib@IyCSD_`_(CH-)viT+v`+VNwn)tu2W&f(I<1irmWtvc1{lK_N%wU z^1}bdJ*^IXA6mWc(O$3fp}WIh#!Y>$WfT51t~7n+@zrm1uKuW=@-(O~{9#;a_0+ea zTf;A23q2dBI{WD=EAN-Orn|08KDGFZ%+cyA^A=b2f3kg5rn!CTy}nzqtIj+Pn&Cau za<j;+r7o7!U&Wj<J{z>ayH#)Ljww$9SGlj1J6aX8`gLG&UsWvEJB=HQlloT`Pk9r# z+5MtiX!Vr0fhE(5Hig^?oZ)>^*L1tcl%<PwT+2h|EPf&rS~cZmU|ye<E!Wo-=I%@7 zSkpB%K~0VHm8Pq`H|kwIwO!;v=*IAayYx)cS6*MbMMw0<c9H2zuarc-@}0fZW4c!9 z<|{9QR(R{ixu$F0SZbpAlCOPJvB=cbaqUi}Ek<v1o1d@Ha%cS{H`U_Gyu~8@N+vd^ zP6n(GKfCkPS1rr%t#L=IuD%NFaW{=yS`|9y^5R21ip3#MLJQV;?R|ANbVY|dFW*xw z^{=ZgFN|1UR6ONDV5{@Xou^7P&Yi!y+H1Yi-c@HqbJj%_Uwsj}D17BktuI;^7CO1E z_bR^nJRon~C!1G!D=x0KYG>Np^?B9V)h6qmim%RGZM5E~SoB%w^zg`6i8rmg-mfwW z4~=uJ6uBpJck!Alai*QsSCX$Ntz7>$bW*r$oa-~K6RVHKs6Ja|;GWr8H06G1f4HTb z>eCfg2Nu6sm$j$s<Eo>pMb>-m;rh7h@M@m*T6?-atU9=wWj#~z)#<DE#9V!<r5B#N zQ|p7)fz|)kecHY1y_RnH$Jnjy%RVo=w0cvHQ|?Z#)1l#QN_)KCuiCTvL)xc3o@YbD zR;{vVlU((Fnc<YwyiI$$PNiJE`{Yf+)hRP;X8L@NNi7e(8yd83(d?DqS80ShUJNod z4_3J{_hD$!oN(Kjo*!53&{7XS82hzs>g|yI!9V@3T3?;FvSO>sy)RD-v}}Xl`Yp8% zowxFX&s6KHb639cX|=xkHe_4yRX<njt8YR!1z(-1Ri<@g<qMy$R#)e&j9EU(IP`VM zn&7p5ORI$Mg%sB9+UxmVO)n$w)}GGK%WTfCeDJ5`Hj7p0tC0LJTYfHa+WYd1Z(!Xo z*{-~0_h-LaX0`WZ*V~Z1qWn$2Gruphwkca?G<Q{r)}@uVe2%8AG7awaQ?;5pYo*h2 zCRS$U=nWoI(^j2dX|w#*oUY<kXIGjmcQU>@bEVO8BV*BLA=85+{X)}Lom#1}eAXPV zqE#nWp7^7Zu61JNF(1{mRR+PfeorkzABXe=>-sIV2z?aN5iIMMY7zP{q$QZwPt`(n z#>#y@Pg7Uv1sBfDIvvs&%;@*keCqv>+ThHYUHPl_uH59)nz~9m_@(bubJ2StVarw- ziB4VluI|>S;0GbW%Qnqkm8Yc{e9>1lRZAoItgov1)yXR#Eh{n-y%UljywmpU?<wzt z_xiv6nfYGx-tsRtTYpb^7hE~-(C3hM!R7NdeGZ<sykP#WznmX5Z_oc%_v!b__bYEL z&zL`}*6Z6!EBmK^mwXq#7rfE`pq=aUmDiU)vw8Y!%AEN^^RxcG{GfSlK2N>YpUw}< z4=xXzA5^P#&cDgN@#o9a{yqK&E499^G`HXQGxKcl3j39nufAxS`_Hrs{U!1;ICoys z=PR?8yUiE+t9d3kt1hTU>x<@v<(F)}{=EFyzt7(E-;<}B=a*a6N7ZzFUU_!D&iqR? zTxWyR=bfrKbtZU*f2>{V&ybnRwdOCX$@-*ua=B8y(P!aj!71~a{;d48{Pgl;Hmc8- z8~L|ZzWS(ne7V&8q?)Xcnn#xl&3CGK^+EISe3SWFe=<L79$L;eU#N!bOmO_XPoF}j zFW+Ia_36q3%NgeXsy_98<-X-xY>s}vaxXY?-l0!drY`?7?^HF{drfWs(8{h;!R7wG zm8agV+_ij(jqCT2Da#kwn0}vfC)j^pSM{lr!3F+%ZJ%zt@;+#v_gB5G>6-VJe$m;w zd&;|@%4vr-hrA0apSEeU$h)BJ-Z%9^cZ<9Y+U$K&FEoASjim|G7j3@sI;ePBQLNXw zptar`^|tPs@+zosTGQr`S3&vHoMK;<XqtP^)C=7u@-irQTGHk#vzEF|7mD>d8??~7 zQEzJ6%JWNYroW2mDqeYZsmXMw%~xhFHJWa;S>##Jbni&L(6p7OmTF9&72{R3^2E{; zI;=ad%vgFvM>K8a@uf1;vtqgmR~}s|GTkeNt8nGvr99KMV!8@e9$d;YooVxx=}Y(M zTus%~^UjUbD$qQz^xw2k(W~+`b-h38ZrvU-ZRrM`(p1g8OFvCJ6n*McQ0TNpo37jq z3ZAwpdR3mLruRi%(Ns+h@3Xq9+pkPs`e<6wCXqWq`QAHazgACqAGp{3t!3AD4O{oO za!ad2<}LmpGqw84+{JHXTC1<T4cz8_RnE2g%A3GV?pH0fzG>W8{6gky)s;DmWBMl* zhrAA4<GxmIX;sLa#SdhrR$ZCBIH2Fk_SD(HW$shuT&qH6FTNqO_1TJxi(UGIibGxm zE^uFJ`RcRA`NdZKk8H9&Yn)wtL8kQCiZhGP$h1~oc^Wv)eX8ZEPa3BdtMyN^(fXue z<nAbE`b@*nz18y7M~&l)rTUX>vOa1YT`bh^Wb^8S#^J?W{Yo}jA2bdwJ|Oe;sfNCL zsb$yc!00|M8?Fy4_Ama^_sZJqbYPA9TiK~kHFVr#Exq2a*t7UU-=?CFsf$<0l$Kwa zviMEkDr>J(fhF#)mRzR-i``GketoiH$Kp9MtmRj32j)5d<$G!!@;;!t?UAh4`GDQd zFZrgXYuGqH<tt6suy%gPS86@wZNOINi+rZmQ{DuWwspyJm1$Tx@8vU1*SNm$3C~q4 zk=FsMomcXSrfXO@FXj81rg3GVUwe`9l$QZZohR~LP17)QUMrbZqH%HI6&_J5krx4( zZNFqr6|XqA(4zg8j90OSiSt}Ztzr#h=S03z%PCI-QrlL^bQP^QxlpCOOQ!2gzy#-B zNv|^jiEULfrwUgbTPV>UCF517c6OmqyVD<;R|Oh}7jori$#fO0IJl6doyqvh^o4tP zuBK|}Ip^*?`El9tg-q>CQ)FJfUuLw)`6usH^U11d&wQuvT)c6wbLLD<zL!2roj>w! zH4mA#aKo7=)>o!3{L*$xnk!%JqN#J_%*;;(E3}*g3#Z7udbiARg{FC`<f*(ByJj!q zQBBp@HT%uCwk{))DGQ&qO_F|fGN91eQj+VP+M$K_@`C1OepTB(dx^u&yI+1@e(%4} z{_DRj>Yvr`&Hr-eN#$h?Y4ffg^Ui-;eoubqUs-qPbMQO=^14l*h2Qyx*6p%gTp9e_ zf1CZ)e=ff-zwzH>fAy#4H}xCyU)Y2te0=$JxuyNie=5(HUz;CMANAMs>v9YGgFiLD zE;qMd_^;&G<k|Cm>b3rMepzk?E+#=u=6_3`Ex$0|q2BBB<>&tM>`VV0`FZ)7|1A53 zKVP2lpJ_kyC+Fwor|0X`YkdxW>OaNa_n*qo;3xhmbxnUV&-f?RaeaoCpGSUNe&pX} zulld$$K{9qZT6!7Tz*`B04ts2>Rx>ko<4uuACVuz5B%%xfBwDlee$&VKk9D%);#SW zQMc>U<a_>=_7DH2JYBA3ANcd-yXBhpH~)rwpFCy$i@L5)!c*oytDE%u<w^fSd&{4k z@0M?$f2Zu%-IL|Z@6G-8=E&~J^X8V7U%IP#-mjwU)ZLfo{L0D>-F;c6etT|K`J%g- zW$HKQzIr3FTlkG%Nm<q1%yWM0tWVxtS*m_*ZdiHHUCncT1!Z1$U!L__VLkWVlQi|q zbG^!y?yfxRms1wC`SJ_DMb;~CYL=*9n0x8Xmz|TJ`^~j3e79xi<Y#^vWmcPmpZTSg z9lG<fNd5HOQ*T0c3P1ImZ0-3@WT)`VxeDb{n<vkhd+5!V9g`pX^;#Rg+p=TwBfm~- z#djq;CO`CRwHAD5vSacCzl5@1n<h`6yX(!7)aAO?tnXNMT)yvDXZ`i<l2rA5bHA0H z+9W(}?z%Tiwg=zytFVr|x$=}>NZGF2nWy~9tgpURNmbu9chMV`)a5(pJ}Il(6nw|e zudM0z%Ut#Cv+MFd?OpkOnXUQ9drO`#yF0ri|5UN?yxB$hyNV~zon4T>YwyW#%Wloi z$e*>>^V>2j^P~4zs)gsyj?14_Jo&Y6QC`;G&aca^&JM}%Di)qIJ1D=ZSooFia`T-# zPkvc;X|_jxR<ZEx+1KuvR84;2o0Z44m-EXq6Z6`8Pb!0-`_3`Xy|<)Nc-HK5ccxTM zo;lkff7hOqpOzV!hu(9ky!^y>lDX?WmuG4xW*@tw@@$!bx$V6t6~T{vd(3t3EvX28 z<lA8`doQIT_@Qr$IqyA{3gH>E_uYB&beW!c;m*v{zK!OL_r8=*zVBOWp1HI0{j$BY zH{EG@x=h>r<=rXe!uNc`@>UfIPo4cPZ&uOdyS}C7o;y3=Ez>Y}+?n}KZRhNHcSOo3 zPoDiCuWI+n+-3J?)}+6hn|a=6kMYZyndNGCXMQ@<lD_QD%y(x}($((F%uC-icV(H{ zt(j@*ljg2G=d;oH;5nD{W!GmuJM+Y9@|>Ab=}B`lOVzH<d~}8-ec6?n_s+CfU4H44 zmu56~Wr^CQnYYdyNn2)W+<Q*NYVxd^PU%c@HP8CYH?BN)#ZvgW&urt&b1iAhjEz&z zrKG8ynW>k)Y0k<sK2wbY&zV?Ge&RFHc;ZaXqGg80oijDh`1BiFp1Wcp{Me`4So7Qz z3*kpT?Z%SlLM((I`ZODJo?}T{rf*#NlO<}#wC?qKTh<xsuVHd^E!=gXqpRoCo&y(- z?b*=M)p2OXt}{ncm+2a_o@24NeBY<e`0LpvscQRXeoH%LBs^{Ax-(16gYWrN7)Q=r zdCDgwZP)C~Q$A(JSI?@Xs_mM&=nPBhvYj)Zq*WOO-|_KFYnuHsS8coYpSXkHx%P(N zC}zE<{bJ{#ccLZhCp_n>ST~`%)jE7bG3y=eJ8=iUacvD>u=mg#(UkQ8&$%{+H|%Aa ztGy>K@tkPPdWGj)Yr_-v9(pAjvR>dhSHZdoRjuaX4to#16ulF3@C(<{aD}~2v$Z$u zJTyzYC(iK;SJpa#D%K0yOLiW5E^4#>LM7`t?VLEr&s-Vn3Z8Mz3^yodJ)<2HckmNe z>bihR)>GO(agLw3CWb2%v!2lI+1WHhyJhF0$D%UpFI2D|)7FV|{K(ZA?y%?3Ls6df z0Trx=w0YtjKX4_i`|y-2Zrz2aTrul5JmqQ(XV`P-zUZHI7s^?6!yoKEbWe0s4C86h zbuo_bxhlgC>~5N>9kP>Ys`j1O#8aYg)&-QaYKAY^?KDN(VdtScqEFU+c*0c}UQook zLwir`!CbEW!5`*2y%+iB(^$?`wQPem>s`$!GnwXTKJj~aPUMXbV>#FM;0<$~-il-_ zH?U^Csd;6l(;Jb5<qqjw>w{+)vtHLcG1KX_NW}6DR;*VwkIZD6qq)TI;aQP@<pox( z=D`MYonDG~EWeP(m9uO^8rR}rfw@hyG+X=_OSm$ZeXwLbuUX>PSj;s$_`)2fS(<ZZ zIz1CP<HK0YH9dI49H*I@A%2ZTT$6(*%yD`ma>9qPh%0%SLK;`%vJV!l$2Cp-8Vk9) zg9GL`JrWUEUSPp`SX0EWv4E>NSYQs*49%LEP17|?W-?9J%$e!*K!joW2Xof_nqPb! zPmBCmw!yqrC-{I-t9I~(*-rOFJ}gT}<qBQqkjhmaJi~}}x8@aJ$5SFNmI<VC1uQc# zXWgl3Gt=pg$Q&QWJg)rUg6c!>g=^+t_}yab|Dd*Mp86X*$M2k#^BSIW?)2aBnZ?@w zK<%No!a4H;ezV+CKV#?kjk9!~z;Bit>K7^xy%v6EbMPx?(Yy=4SgxtBv2*;&SvZg3 zIp<3Miq9-p)aTe8JS*%oKj0V3CG{<pOtaP3R33UE>@t7BGtPzn47E<P)NAYxe&(F( z|Dfj3GhvhY4bM2!=S}#@V(fpQ=Fn4No%sRJIH&qAs5$gRSY^JzPZlHphMGe&)H^Dj zW~kfP9sJ1I>z`0_=#j9<{0To;j;PDn9sI!A>aS39=z%cHe1>P7@$()$<&2$o;3;SH zJb@o92h{)A9(>PP>wn=B%Rcotwu+~PH`qKpCH!UHgzqeS)FUdLrm6>29=a>M%;w-b z&QkvgpICOOyHqkwQ9onr_>R+mp1^k&b^nIyL$`(RO#85#<-Y0{y~OiE71I}_bME%O z5bHEg^+BZ5JE3<v2hRx=OfT5Ya$EI^USk>OX735HPIFa{=rNXYmQ4GwtHsj0Ahv0a z>K47mQqI-h0kKYVR1ZWty%M^o!&u6>+`C{i%VpImdW|KVxzi4$ab{05*u`>Dbw#Ap z3n7Q;6Vf>6dw+;=dM;!!eZx+cv#JXs56x6f(K~oX$Y6TGPL|WEQzD(73TaF?*vWEI z)kUxIjF7@~gEY>hX##1S{oVyJPLG8orf=B6a#U4CkFk)m-P<6>>7fwEbb}o%2UQy) z4^3CCh;*8+S`c|?nktJPV*zKq_lIbw`$AiE8uK};y-#dn(ed7~iDj?q6J5r9&ai0# zshlCx3bwasdT)q!x+}Cqr!kK+a2i7@XR-H$=tGlLkLVseDfD34hixp|mH)^c{LZo0 z{YEj%J>?gchu#U6^iO!sQPDS{y2aXkLov%8<vVf*zj17JUtoLajbKWDz;lj`?hUp~ zbCvhVC7u(E=~sBpvDQ7o_RuTAkbZ&Z90h$7s#?t59c&N16ucvI@C(OMcLiIg*~%L% z56x2Uk#qdQk<}+q#d1M;iRGc^u^I8vG0_>xaS^Vr%%Aog+Hy>(LT=$_jydi(Y?_`4 zUXXcsM$o7~;Tgva_Z>D(_tf|8=;~T`?9`D9dNQJ=50WZdjNDh)Fg+Di>sP33@z73< zOORBWV9E4E@R*F_8Nov`4?lABxo6lgJr)$}Ur^C<L|H-Z;RlX3cMTh+hk|VV3Kc8{ z(<>W6hnNa!UF!Pqlq0V1!c&fzz70<~8r>Oe4&4|0(|4hqMc4g-^`U!$n`9VI3$BxK ze9uwoe!#kEs&a@W(^Ta<vWcg}xB2x{f{anp5^8p+WZA8JMb`0@;EO(iryK!FXJj4U zarn0dRJQC;wy<QnBY3axfmzEr#Xo$C`5bd9oIPZk-V0Q<Z%F6Z<Ge$rX`Z47Ut=N1 zZs!ZKPV*EWNIJa}c*k?_oIpW)fi=r*#Xr0U{m%%<?B+RmO5j`Dgj9|h&MTI-Mjw(r zbX(xgyFF8W3(cGU>CL_+&sFbD|Mp|w7i$(R=LylPK2Lh%y?Lwt&3z)jFTM3%lpUA! z`O<6e)%qLvU3vBE(i}7Wm6a!XvtD}V#~IaXnx6AsrQf<Q<(cZi=}M;Yw`w{+FSR~D z{nDN-po58x;ulqWcHQ>cr+@S6-jZjkC#P1$FVguu>8ba0{Y0(5>$W^!dUCo#ywc}O z&%F!vGbKL@P2D%0Eneue>KU)u+J)<`?40!6Yrb~ox+!U@=GrIMnd}yN>$O$;=DL#I zLeIQrYR`@2JnNMf#uTerqH3z$xz1$QC8;}8L&BqCUzVs^uF<|5sadXSqy03}vwZ2@ zsZrrhpi_#g!ZvLdde2|<`OkuH?7R2azxW$_^6lG$a;m#`YiURRJSb~XRySdn&||M+ zZP9-Y*9{CO_1tSV<<CoeeP-Rhe+plURjt(h!zTS^eBo8mkmKR&~gaMunCHN(q1 z%a*9M+*CZlxA2@mQagh+i<NVQ?4db|JNO*W2}HFYuxh!gxPnjdoWKJf#Zr#^wg#(~ z%ZfWBn_dcdwL4g~m^mjHx0pIh$TqzYxWc1Y!m&W$63@e8j(N^6WSE`{n6)pkWHE8J zFm5@cI75=@nSfq<f@O=5^9mWJrvhs23YIM=6embBJrOv@<9J5k5YNLxjy~rM8K%bq zV(kkoT8=0x@I5TxXmi$(VR|UQ)~;Z|V&MG4h(+J|g%OLM^9`ex1BwiM5A!+doL@*c zO;h~9o0!iL+18NC5#F}Iyk(E#1KxwD1Xl4ho)UQ1W{}EJ=Db3>X^P?nUd2-a3wRRq zIEtJrj9PXmT1Yb85xCd(;M=A5-uv{w?%M)NUtjiY`90~KcV*n6&q43J%i}hE7JBEs zUH|63kl#XYy*KNh+!ykE>5b_L@ryu-tvIfzR<p9N@wvp#<2#CZtdAeCJ@(cxr$4Zo z=T`C=Ip=Q@rG0|cJU5arSRQ+A_)O;TSBav&i&Z?=lGn&Nf0ZcgV|*^L@_0ot&z0mk za)-|v`t%1@@mxyYV#zi;d5z_<7ltnV3!h0WJkDV2HY>SC?(k=cxyK*a9D8PH(%<+@ zBE4^7C6Dp(12)H=8tU{1K9iVwe1XleCx$Bhf|Wc*#~W;p%}DOBbeoZEBX{_tMDOtg zn`4g*MfxXJ@El2&kvsfBqV>3f&9MiDEd7knB;xxXK9z{=JNQ&0x=*lz=Roow*~9N8 zYL8zi;@Ow{MppT>;Rcz<rwqUJO)TfxlN@2`HZ?iG^4ML&Wip4~Nt7O+P{gw<*~OA= zO7a<5=XVnReS+mY>c<<bkKH!B)ArGt=YHZBzU1=;73~YtC3YXaAnP_S@qwh<JA-#T zhtC-lv=>_Q+)lj0*IXvC`S1i;x4DT&_?XKiO4>eJ^;jM*kZqfjxP`B|RATkv09m&= zi3cRzUK!luVJ?+eez?Gx=W^l{zUC5%+_r;h64`BrRy-FIS4g_OFmPy}m?km*@CO;U z=LQz-8!dUxCN7XXHZw7W@9-G|gZ4s8p3{j_B;B4GXtWzz@|;X`;cGr)pwMoZCXv)8 zm?qJGxIo73v4KSUMhl*!i7I@|g%a(D4P@LN8gR55TJRi9Y>+%QJ+VU4ZF*vX<gsaq zEPTub67`2aNW0xP*uvACFHwE?gb|O<;T=XidlR4VGUrQ#wFRb1gtQf!_h=s8AnkV7 zU<prio<v|9W2!{);R({mCMO=@J$%yOLEA?&p6&5}{vG-*zIXqP&)oOoU;I4!PQRpn z;&btex{1HLt@m&E%zY>R&c8$7#JBEW@b~B&{gnE^=i(dpH~eLt8^7mY(mDN@dd27B zYxgJoJ^D&Nq+am3ctPF7U)|>W9sVADsek9sp)cY~_bdE$ogKg7=h0d5J^!4(h-cLa z{^Gt6zvSo9=lVAF7k_e}i_iJz^jSQkuJD=o%>4$RxzEJM{5$kXJhd+HC-<p%pMOrD z#3$}o_{@DGzUOD_jQEzHM<46U)L;C;eJoz*pVLS2&ixL5jy}}qsSo_YeJGyipVJ5N zgu0JU#pCKOJ{6Ct+xS$xaX-VKqxbdy)Ls0}t-Jrh@1ythH~nEct-tP%(|hsC{Re)x zPK^)w$vQRu&fla{`fut2zjJHuU+~*?O1#6*qj&V5)O~y+Uf5qd*WvP`Lrl_IPQ{n5 zx*k(<2)q#aK7D^d)w_n;UeCP+pSX9#@A-S^?dJREYwTbD%{iaGXa38dIp2-%p8r(S z_I&f5^Y3`RnW{Znb7pJ$nHMqFxTK@iyH9h!K65U8$Nal>X}=@irf->lwodJL<lOT~ z_RW8L&ZTYKGW%v;+v?pn=binM6ZTw3Pk+<q>*t@<EPMHD=A83B_QlLUZ@x;OI)AC+ z=g8OT{qtLIzWHi=?YxnF_aC26#-WDuGk@xQ*sMFh@Z%@R8RvQIt?%4fQ*Io8>HMvl zX+LH@NRNDA7yO6k%Vy0GyVaj&PCfs@?(*+9r_&?sxc~Z`O<y#>w{F`rW3%~F>&l)Q z+Z{U3Wgq>g=i*!A-RJMw6@QAHb0@uc{?*!NJ0#zvZJd2|<6fR==hAl0ew(-K`KD`U z>&$m=j?8+VK5u?)-L~yFXIsoK%-gn0@^#v(*#~dtbjeE`-g(u$z~N)eiJLja#^=tR zHHh{#Z{E~>c~|U<S2rK0ZN6YOd*e^*%{Ql=6*KqVJabm;gFLleH&;AOOEKf#BlE{) z>89@6XYZ`pkk^(bzHa@7*`7CD=iWSPQa*9k?DTTu9Tu~1zEvvQtWj9Md&eg3v&Ln` zMHyv`R<lxt`OYLf)Z=-*B<5T7%=ZuV)XFFB;6CoEvD-m}S@PzN?me*qVNvfM8GR@e zkJh{0d*{tJqr0a+#HdxbpG(`bwX8_p(fssg$$6(^Y(Mu%O$)r|bj<Rw>X-gA;yXen z<SrD|(3)fu{nzK~W{dd;f9ibQY(9TsUD>ahv(Nk3Yya)}ve|6@#-BN7(-+V0tXuYM z^M&&c_THawf`X~E?%2<p&(dejU-<LQne>_SXa3~*y!rHb9eeH1kx$d7%=fKR`x*Hp zJ;kp1PtKY2Bs=cUHy@|>%{Q$(_T%QG^sf1;b!|UxK1^?$FIwmJ<K~0(rumIO-<(d5 zvwQtXa{BpgHDW&`AEejM|5<zO`^;(Qf7sprtpkec-JfROORt>&ur}@KX07>wKi|CD ztU3Q?ZP@pjQ_jDz>;5D;<@__d$-m#6OfQ^o`IG0}=I!V2nEk$crhN0gv)^)#?VdUB zY?=AxyE^C7D$GvbeRD3Y%<S;pH)Y1R&t{n~zME5KeDmz99I@S!Z_-N4s_*8UOItVl z<jpmu#@Eh<nFrt1IhR&o=6(0g*|Zh2=jJ_2GroM*%Ut>HnzLy+X3?8(zDQd%d*w}? z65|VJFXepOIrDki+}VYB+jh=;mX={=y*ct(TAJD6J8z1NPoF)N6Sh<GY1-u3o_S(B zC1;*hFqhsubH>?2Ip227e4N%h+c0n2j+u|rI%g~9mF<}MFs*gAV4m5InGezu%zkg0 zIsNReoMWk*b!W5YvF*5dKdo-|*W6{P#{15GGdsOWa@yH-Im@<3-b<^P9eH!jsk9KY z-M4d2rIpRTnyZ#-yzA_u9JbWWJI_8btKJlOC(X~S`SzP!<L$A3-W@6z-@E(9X6}2j zFK!-vr&m%wF<rc(Y~t>2>)jhRbKi-*^X^cY_}1ME?jC)kmr@>>F1~Sh!(G<7v3uSn zozsgcS4<aQyF20T(N}sQ<$~$r1!WU=b(`;YxO?=a-kmpxO2n7$R=DdrJ9fj(qqAar z-Z_<sXO#)=;=T~O<mS=mdN$=3cXFSL&3WfkES^zTm?l1Rx4~xaGqEx64i$-~mIdzQ zJ{9Zp&Z$Uz;%<e_+$UmtZnn;dZMk{$v7Su%#U0$oVs+j*6^eK6cDQr&p&n0p;12FX zu{`gb3d9r2KBkJtm0e5~k15-jD&Dx8;m*<fdVk6;Zs*qB{owY|dwQGRFrC(0_r@t- zymI$}+pSY$LvFH8jlJ_W>6G4^vcT=!n!6X=cAXOIaP#OLy(eWKQ^X5*7i{9*5xeK@ zp|_jvpRUn=y*KB4>YnK@cjkOIx_kOlOxyEKcTT^HNqcT|=X9R_=Dlma8QnUarayV_ znscceryq=Sd%o%V>1Q#|s%FkP9i^YVH|ML-)zgn+*q(2?a{69OTh+~%sd;+Fd)It1 zx^((h%&}*iOsDt8sa4IKb=pavd9Tjd)cMmZ<E~XoK2M!JJu|ND*(T%Zsc~t~jLw|a z)8D*j&6(7x(*xtoDrY`Poj85sPM%Mj45xSQ)H##dKix9!T7~4})b8n;anmX!AEmZW zmy8RmkbIchJe@O+?b#;%>6JUzoKB6=yImCdAhluo-@m5Ejy^hldc}$pzTtrvFU~r7 zBgV{JGIOQ$CbM0W-!k4^6YM6={^{%YFT66xPq^8%x5WJ6dRuq$*`*JDYS(|wm~;Hi zFFko}6CRfuA8)Ps^E8U{llJdRE-dOktC!~ftz>Iox?;+cl%=X^X*2w6?AIHtHDq#i z-j-TA{bsCM`OV2EPsFID8kyzl74JTCGPQ8}*;qI8o3m^uzihfRaqnmAUsaNKQua-E z+-NMB`SPW-$>h12DRX=Ri#`e%o|)lfFuC-m(M@r^aJ9pm*pKFI(wKbG*R1^aY46oP zBvLZoByUJJI&1Un=Zx3M`Btwd%&h(MX~wJM)%_do(!S4-IT&{M_<=2zZv-ZCzf4}y zFKBn{*+z?KxywPU?>FwTvW@sAce%PJ|NQOblJ1}HKG~_I=4~|Z-+8xi(~bAZ{$j6d zb@B|ut(R{pDiUvw<uN_?Drx=Jx$_r*PApdQIF-4yD?L%;o_yGh8P{&y>@?iM=<2*9 zB}47?9G=aeK5x>P{L}B7w0Y$Flv$G-<Gz{QTyi&M!{n<obILYFSxufev!}$!eDXp+ zGpopVDV1v7o2?>Wr>xsO+0`%2V&>zNBsK3jJs&siJ9)@w8*72lp_99Oo;@|vot(I{ z=l!O=r#JabdupUJJ$9$h`%QaJf6&`p6gl<uikPzUn^TTE$p?R)@gjL~|Juqo-!|Iz zf3<V_J>zY1vE1QWo-c;h{dX&MzHhvB+(+KJmgijZrv9^bW#1)c9{*r-?8T28kCW&2 zC)$1c86joZ-&@J^$<S4|U(rp@&(7^<#M9(dxy?0iHl0qMw5$KE-LmH!ZTgqmiKUer z-a8s1U;Syul;h79*|>>+pPg=a>)O#Q`ReF1Ta0?t=iiTud$izLQn1)+X;yAMLld5u z_?TE%SH@#gZpMa{&zy4lg<f}&<doCT^d|3q14<#5J9*x1+J5?u+V8n%$~WCR`OW8; z^~`xE%hWH=)j6M1p>}%in{z2;YKP~(DKol#GE05&+?+C_n<roSh*?X%NhwjQo||(n zW!>bHGuM<FT{{`39z0j)TuOnO_uMyUQ&vo#>-Q|p=<-P~b>+Eh&Zgw3MH}CIk+Nv= z%9%PPMi)+A^7&>t^LfhL$%TH~EN4DT$xyR4j(nDqrgnJFn<AsrCr|l=SxP=lnLOFk zPs~zs=1B#0Y2%qQP9F0428y@d$%cO0EM`7R>71<SS7tHuVM^;{K|eE#nGaGD)P5Vy zoPKhb&#}}^x|3P`*eq_|PpO;y)puE{(Y}-4)J_{oPCL2IXPJ5Ay_AZ{ku%qvN(oWh zJv--AO4;PAzG|sPyG}0hVN2b#^W+n?YNN<IDSm3rv)|+zZ9iTo|G9R}_l>swA3>3F z_jrl?>CY1Lju*-A{ybyu@dEkXwP(I<ymdT7es-<Tw~bc)NA1{tOUykUCqMi1jMvFU za@n;#UpHPo9wOiUSz^xdAo=Rg60ee%_wTGc^JU|u;~w(apCx7=zh-0hYsQP@EIIC4 zo-Z3s`fKf;{fu~?Jf}a`ZrM+XS;x=WO#3-w=5Yi0-8E-EZ8Yi+wR8J<<4N+QepfrU zXND(^AG1+=w$Y&9*6!Jlh{wr2{knF`endP<?&z1bOZyS=FuA3l*G}z+#Ej$nY@R*c zsMlXunR7b1v7gcI+xHpwlWY4kD|_B=+<SbJP21Cr+WjwWr+t^WmmDUy`jf=e<L~5V zf0}VOxwPN2vgh4Kjef_<oOgyhkI%Ca`#xjx@dt9%)n{@y-alF+{yH}2eA1romytQ; zhIfyC(rHWIc<1OlowRhrJ4f@xH^;6iGrV;)O?-0fnsZ4TyASHQrEk1`^qJ1HT{Gq! zjS^3e%_%j!di0SFTl&T;NAKyh?Yi+YDNoEec1?-lrK7iWj-_oh?e5i6+cjg>Q73Wc zSe>&;^SdkcuI-d~o;15VQ?D&;qj7htURs*rnWK8*n`73TNt)UnsAslw#*?Ip-4i2u ziZ&W{cSh=*N$T&m)VsDr;&D=Ux2E2-9TJa{+Pfw7!gfeJOlt1t)MHEAsNY=~x#o0I zjM(i>5f73Yy8r4v+a7U0DN5{dbWZ+8o$kcQH>Z-Sx}WN{ZJ#mq=qeqz)Q!83F4IZd z9&uM<$G#oASVRPGwm$VLe7tn;QCV=VT)apqDM)N_w9cud0I}K8K6x9}yN~KVOEKJW z^qyF;^_%Yo_YYU`-!7JTpIF6r+t%m%2AlSWmO9@zShwG_<oUkg_Te1<)wVs~Hrza% z!oRvW;!Wa)_KT9tZNl*}v5u~;S{L{2;1T)zW$OalH(xhcw(pcvdoH%IeXHEDD(>si zTkbV|-Ej4ANdCmCh&hKJ$V{ucF*|zBy+>zt0}co5x4EZuMwcz$aYY5Uer(>s9W%<g z_wJ3(*vT3{HTsO_!PUEWx8BuVaYsq-%@+gn_L*{FRT3`~bNP~sZ_GOE#xHE^b2f2d zd!yX6XB*BRw&8zm)AM=5*~2FM&c!!o9yVe>Z9L;$!uIC9a%pKB%$w)(iKQEaTtE2i zfTT~ULGZPMQS8#PJ!ccLukff<NjyuO-X19z_H4tc!y5dvc_!QFd@?X<ca$@GW?<Of zYWb#cgGqC%+%t=aXNkSdigL#+W;{*oZr7BXRw3~yVL|g;%Qqhk4j<;?SC-K!GB|aZ zjbB)X=WJpE-*2I3PdDhb7fPPV-?0DiHW{%Bi3bVg^<V!jwVokjpjEPa&%Vm~r+nYi zQu8)wRWw^lzB!o?`0v-!TISAQ;ZG7u+yBZwE04IJ7{&M4I_Lcco%Te_H>VP-+Mmj{ zmCu-Zc$JLX(+#^1FXNC)E04IF7{s^OTIW<^0N-qDpLZM7+mFgVdt$KT@IAib-ACW+ z*5qHT?zY|jU~lWZ=r`w_wzt01{d9-vylzo`;d8OFyvFM8+tD}fE&8@WY|Hi;dt2Y= zzPh9IO>D#V1;yRhqtEPYeXaZC&Z4hkYqm%1ZGENt=nm64-JtxB&%{=2x7gb{J9@^w zMPJ00Y|kj>HrpOi+<h^+<DS!5U8j7;s&13*KlZdf*R{$&SlN9xI^!PGS>1DYls=24 z<uz7zpN@{Wx9E(nR=#6p_sM9FdrF_gQt}upyA8Js6n7hJuh`T2SXV0lU`6-QXpMVH zAH_PhTkL6lsLPe_SkZkjn&TeR8Qpz%4xQHBb*JgH?zTHhAH*8A|JdDnU-wtu!lz<2 z+i&c4ofe(2^XSy*7k8E3i&bpjP}HrpeL+$8?r5K#t#@@_<taWDE7{&r#I3P?#_rZT zy7TTRy%Y1xV=V999=(J2oAr$M345F0O7@f+*fziATV@?G@8AcXY1TL99(=>oW_{yr z!nWqCd~Vh^-Xv^lzAC9xW^m)+3!ZOQH|89SVV`Uq@j78m^IE=TRuOX!KH!;Vbz}Cy z0CsEHGiMW)HBaSpvx=B~@CMJev<(*zy08ZuN4!W_(7aUgO|ilGgI4U1WpauQ&K|tL zQ<k>j%)v7}ZI(BlCQNIdD!Hb};M74i_Q{~sX4LG+XO?DQ*xV}lrqJN{K`HiRnVdp{ zqX&i9on_t>7#u#x#jY%qQ($oL-~pa*sRsJZrIJ0T6QbF;Wq1lU>_7O2?X|Se>4ci* zx4hF*4Ro4gC4KTY>^b;>ZL?9t)PpN{%FJ&}IrxTcwY1Nvgpy`gNuE;)#my&qzol&0 zac~X~oB55~33>H@|2_R3{yx6?-=n|Y=i_(Rzx+29G%EA-U+Hsw>-vZPN`Fs(8^5*w z;y=^h)8E9G{_Fb7{Y~Ghe(yii=la+8KlyX@m-y@W)%7d?i9Xl2s9*Z;>off;`~ChG zeV+a@erf&0e^;OBo7J!Vnf*oo;{GdtM1P6Dh|m1@>(A-W>(A}C_<!q<_h)^R`nf-~ zKkFOUC;lt_IsIvT>c3Tgx<9QyxnJdf*Pre)@e}HMe|n#ZPyAQ)=k&+*$M#G7kNV^N zas83~0{^Z4oc^%>(0-2pQh&TZ=o{46{ycp;KK9?EPuHjIXZp|d=k<I2{ri9YyYxx? zetdO(>QC;|@!|h2{nmc3zi0oNKcP?cwd(KwHGQhTd;hC{lRk;xjSu|S^y&JY_@a8- zpW5&A)$0%b{rYYCZJoOGht}QqBHzqpeXsN7Oj5bnu5wNdsY!otsh<%)7`cY;m%}fc zo9gS{su^=mPMMf4R*^Q*y4!m524n6!k$27=DihnfdBNPHZ*)@91JlJeZf=;%IyZ99 zxukPCG3kow>yPsNek~TfgQ@#k<c7W(M^w``{^~S+v*lX!VvD0Ot1FJiZoY9uCnQ}k zU92E&qE)x~W{0^)U+UaBbErgY>1KtwuCpUI%se_Pvge#riC9*epcVIp$R#t6KG(64 zpY8fw$0B`Wrb){K7VRG|<~Q<fXlNGO#og<0@%+73L$Mg<u4|u+1h<85Q+nev^QHus z$r2@|xWuSrx0xv_iboCf>^3=s@LZn5IxBL{OxI^RXU;Gci%s9WVUFv}$dGeQMPidT zPnhHSMCZgArXsQAG{rQr#I%nV+{YtL&NUT^b#D%s<N8QPAidCn`*5VlxuycK=FI|g zSZ74m%xs;0IN}GR+>Pa`{p-2r&6N?^Ww6Q62z+Ug_}_$!sbVo{8&ky^H#5vRdSB;H z+C_72-OUeXAHAou=?v3popooN^2I7QADG=bH8Nx->(t0QXOm9pyh#f*=hoc3V7BX& zNQaq6@8~>9`<NnDxVgZHdq?D+vxnZUTd+teZh!U9cUN~`pSQQ-`=!m--^J~!etMJp zeB93JyEjjti`!9s_g(7l@V9YWs?WYt-5ox6Z_@XsyWQvF)>ogsdAd~JvU=;gqr0xZ zid$8E^d|SYxRup=Z*rHezr6R(o77$5FXNU}Z@t-lHZJ>{)LriqebegJcdWa@XYIB7 z{^`!@V*PV_&Awl{!+ka`{oAQKr_aRAsE&P?x-)#{Uajwo?qnC~pWLhTU1_uUlepw> zLYu>9?3MdobZ2#;{;|Dc--GUG7wR9`%lF;r&gugFLwni23*F&96BqyO)28t0dw0Cq zn!5hLUWV_#ZlBIyzi;oBH%GT$zZVzz?a-#{Q}=%PcIq~FzP@&Ks3h}s@$3CBJN5OL zT{+Gi3y=_1jNQ=SCN?ce$J;x*+aa)VgYtTf>WjA9#YNN$%D+C_c{=nvpKL_rwF7&1 z|M+#KXA`IE=FZ4xMm#Bt6Bde#UNWn``_}ZSp2e!_n|E8oLcTa3U!A9~S$*-XXsW(O z_1U+o+pkaF`{-NICh<FQ`PDn`eyyJVK6Y>U+nwFt^=!-E-dkE7K5zGjJ5#H#&)xmz zPHXk`x3SyGuikU5zWye5Q~A}M+TZkU?0#|QYt{8RyJOx@Dh_`gyQX~Yy`@#*b9O(t zGqvja?A-zHt@fTi8@sH0>OI%0@Y%a>+}ZkU-NoH5?}LiNU&JmbU%K=4XT9^gt=>P{ zll@um?CuM9N}sJev-`}Q*2?QoW2co*-MRXc-l^Sc?<eii{-kGA?s(7inVw;J>(197 z^^WhBdY`l>`=j2`-9qo3_PqX}cX&6~d!;?uAM_6HK5*yjQ$79i(w*I>W24`3?cx5g zZvXB-?_TZpJ{?<A{?_){SL5d{;@1KK1H-57-f*Y%sovh*pWYqXefm_K+9B}0TwabL zB8mq&HyLi!(bH#5Wa|<r3EkO!Dz?1bcjxJM>vrv4a>unie9G<xcTCHt---2q*R}if z$=HJOy?38}>$)HQW8b3hqSbK+e{<RX?x{Sg_e8rSe&Tb{inxityR6r5_{?=D{La2Z z-$b{rUr>AWjdn_W;B(Q9>l<oW=Z5dumvl}$CSLKm=-TxOwMSoRhr|m$7cGdJ_^Zo& zy+iHMm)dvs9Qq=<biG2Y>+J9il}BfV_v~}}BAOK^_>1d8_>#(_&$VshFaG2@7oM}v z>9c4?T;Vg(nd=QcbNL+Hx8Ff8Rz}s*Q2k(qVa?H}+B)%p&qSxLUr=-OiMC3-;7=~2 z^$j&gXM}fDy3Po<*>~upXz%)jnxl`jMdBy^;5rg6v+vLc(bn|}HAf$4v&1t#6OE61 z_*67D?%-3==s3Y2TnEDc>^<~ew08Z4Ph9)L-|SU7t-WE-qf^>n;wFCQ+7lj8={hw$ zpz`Ql?PYroy%Q~6Kj9PCu5g!1)+ym<_By>2^^X($&ZWM-q59};?K@!~cXQnj{jx6U zyjDf{!si=eMR%{h5bHWG^g*QSJFRzX4xQ5~5U+kC)_(R-Ca?6ff_B?Ki306Ejwpo{ z?&i83dSzWxnds)#6JlNGh8|hRR3=&y_HkF2<?4di);XbD)-{!iu3jAw>pCa&K&0y{ zt$S;jN=28iF4)X<IdsaprV`QIu!Cu$*<psexGsjSh;)6S<q$qGO?3Y14>7LKwJgFn z?&LZfx*+oC%+Qo|ht6mjJg*XAmb8qtoW58!O>NfMoj)vCrNawByCkMWx<1v?2shlx zbu!dtUDFvYg>b_((WEfJG|~Rm1u?FVwIsqf?%+Bas<Mu$P_%uuL5%A|Esk)*9b5-P z8zPTR53PuFogP{cd30JR%Q~h4(fZXNqFwK6ZCTTlFIv6nAC)jKwE?G1ghmMLE} zEG#fpG$gEWdza?w4biT5wU(@D$`cI?V@wq-UOgfD=;Y8NYY&~&dJy(;8`t)ob<aP| zU0uG;w)o?@rO)-YFs!s|{w?tC^7ivn4%Z5ZO3Hp0WVXEK-BkTo^jN;U!Ec6tXSZ5U ze-~5v?2vKzyO{E4n~cTZ#cVIWc`npi{B6wU;*;k>)7RbDnecp(@%7g+#m|c7dY_9~ zTfFhyR;%f+VhW!%8Hc}$$$#cF_jQS$dGXA1p;qEAV{)G*8DF2Z)9ty?T<^0n3yT}i zO-);Oey7dzS97|H*PY#I^4!Vz`plh1&y9@5pT$fsjyxBdw(itUjpwuGco(fZvGc?k zR?F)%b{;t+nzruvPMPOfbGi%H9o;GN+-nYZ;kv^+d7f*{=`L7za3{-iCgbbVckVfJ zHC0cqICrLYf!=|g|DJuCy*gh{xA^1Pt>)p=c5XORnyR;V=ci|fW}iM46Z&kC(e=AA z!Ou3$UY)0>S$y%VXsVt@@!7Mg=GQ0heDtiyNc>Jre(}z^kG_lSTmHiK=)B+$^PJ9W zmiaHN?y^~apt$Q!@Eyz6xxo+SJ^CiHZTSjY*0-8z{)*LIR?9o)p7hPTef*?d;cpvF zqubfPcf9?)jsK1tThWA8n-rzhu?sXDwBBgGSmU|5!{>(Uf}JMDm0iZO=5Ta%tjk!q zt8#ULuEECT1u4$Y)}9RR$*hTa{Xr!0no$h5&_|uao42K$y|`-8)bg(D!DlS5Jh3@? zU-OqAW15cF8h<^_U3;GX2)i4#H{W)i>T{i&n~OHb_&+qiHg7Z2`A^c_-`D6Yzfi<= zVDo}GhrWsw`CY8yx)!`<p3_&6LO;gmA}g0y6mwk(o-^;zSxq1Jg{#v=s%zXoR	+ z-ZOPxlL+fG%};)g<y_j`{YeVS?uwON8jBa$u-?;LwO~e#^6{%;tZy|WJl(@}WsNU} zl+`tT%6qlwg0mv)^)ivd{JWi>rp?=>y?gNnr{^piK6Jj9PJBPl-Jwt6@~lN?m2$SH zh58?SCK&!`&(UAkX1mY0=~c^JB3LZ<@yXh2?kDzcwR$d+?PplUbuoBFWp;_+uI?MP zEzdNa{28mdOqTyBS*on{bJ`mvHSPPqYP5OZT$|;ZGVjo5k?6V0AJ`myrfK5e_)H|- zZ(=2v@$v&UN1tly_y;}{nYw&|&Cw@~N=ml6=F2C<ye`qbvNgzGaHrVp;0czjPog$v zw?=ZGiORkfRNQ5lFFMcZjOL*^k3Nd@EzhuFeXJ?wzwpPkCy{Y}6Dzom1k1Rso4Q@> zUR2n*NwM9hq9U)|itf(W)m?6IWmVC&cagi&pIUaG)8smT$Y%9topYPb&fh8$n;k4L z@9Hz1OPjsUd)aV*TyuCc&-qI--JjO(+WzKV)hDs3!944(R&yQPTIbLBOeEg#;ZxmX z+hy)o#jGySJ-n6A|Kd}Tm}^cl+-D=EF27+E_DqxM{48tM2a%EInu@~SY5qI*OQ!p~ zNZs-m)~DZ#M4jW3$v&_7!*64Gm(KD7MP1s<FIc<Y)BNC<_*5j+&+(~9`SKY>T)Ts> z%yl}Y`NB`|sYrmIVL8{%U>i%<JDPLmFufDWUtS=6^u0!n`$g+6+r<xLTjvG7>2oR< zsdQ^h7umUZhcTD+;sdfr-)iKz2U>I83Ov*2R3=jDCTPudBk+Rc(bpQ!dJdI}6uDir z;<^^Nrq8KVq|l8qU1a6r3S+J-fphu}oz?Jh547UC6u3o_b#~wy$)hhcT-+C?i7Z^q zAnQ6Su%_=&vB=!T4`hx$(=c&wOcP0Wn`p^py!e33QPB2^z%-Gmix<coeWIb_E@;VR zw75a$=#0P)N!J;HHhqT*MS2$}$Q*s7A>uyKg6l}2Oy8jbk=DfuGDjb1u(&g(iNw1- zOcjZBJD4gG?Ivi!bs+Fh@1cB=+Qk=)xb_9U=~X(dv7zVDDUB~~6V18y1V%`@P7Mr@ zJbG7SS<j(7k<!HzjJS3Mx=6B42|Uy5lqcfvCTPy3zPLg9=xvQV_kPu${=W9!_HTQR z{+>2(d)fU<wc6*SEAE}DeSI#v?B1c;*WYw+Z_m2Fs5bkX?#=D5Y@G50cjX_ob1D+7 zyw_CQeJ*-^{@KbSQ@6+5pY%EGb@ZCFdr`eL-e1>R<R7fmE*0F7zc=#8yXY0&8){#F z(KYXH$f-H9Wa%9DGm%GLMlZ{sy3h4j*zD~$_H2E&_TqMz`$3<>UMN4h$8^{0^R?$n zTI$<vH1EHv=`I$FkBf_Ub#-o+Ff+ZFGdHqDzm0ol+BO6C1G%w!i@rCt>YiR}6g@S1 zO81S=9Om4b0R^qP8bu5i+m>E!op$tT>#_wW4&J}^BzjW5>ps_Kx+k_D+oSqytwFx+ zzNbIJ9!K}&>+W0nBkWOhN51U7)E{9Fqg(QM_o@C6o3VZ0o~KXO>g5+!W}l93%xB#9 z_4~B@(Y5)RmEG^x?%lp=PwUgQ+W9Z{PW>)+FFNers!w85x4*kL>(jKm(WUvGmEG^w zYUDdsX1~+jxqaRq(eKkHZ+~#Fs`_;9+WT8;uD^=SJ|DFw`(<Qyx$fPqpVqXduf4PN z-I~;N-8)<Ju5XH6U8Z|$Yufcmv8&HTZOlHn&NY4Q^{vm=Jl!>I&eo{wNwL|bx>vV8 zTEm*Y_R7|KYg%_*dl{8?%_w$tiSDJXx7Hj@TWgx#yH0i2v{_r7t~14IpN*QIUAgY+ zPO;}vv$HeTwWh5#&Q4vInx=bZtKRiZF{{r+P0bEmXS#FRlc<T=6C=5c)*5DaMrxml z>d&@ZcXfx@<EZXz&2>|Eh&_sG&z4*lx<l+?RC6}xI@Ywc`q`C{t4~M8T)VX?>_JpR z_TRNnw};)2in{hFIy--@PIhAC>r+ux*-zKDZl5-F>#8-bscUy{UA885d)VEmplgew zwNFI_T$>f`owrs!`{>%IDY`qh-n&+0{rbDk{moV9ZxxHZkE}X(%hvn*8k_WomfGLf zSf}5#<o>?q_U4@Pt8BZ!t+}~5<@~DRus4w#(l1)R{<_98edj#Y=WDKQjyNA>>-}|& zMfyQY?XPRh(-+Pwt(rD_v(I@g+wL!G%+fbnW}l5*oZdNa>9aK#Hand6D!%qSa$b7r zyrY%Zo<+_|UugOIOytb;nU>t2*PPz0b6%@B>}lkbbl-WZm0?dJQ_eNnWS@ylI>%Lf z?QvvZy6L>571tg`cBQM%YpuBUFtROObe?O)wFi++>5Z1JPe;a`dsQShee<?Cq7`Bf zBJ0zC&b?YbZQAA^=WbbRpN@<;x2tH{y~xV+hjUY(uF*;lw0!+;jb{4IxuNCLrfhz3 zuB%9F%I0V1CRx8e8CjTaY03R=&GyZAj{TB7UB2et#&11Gt*6b~Sa$r9toHeciesl_ zU!RL8J9bF+b(zlXjakPR$!3@7+}!x8N7P#EO+?ADD%tFF5$lpqO0F)|xwbLvc#y32 zxrl;eUb3&xMyyDl+xIk0=ki9c<4Urt&qm}Ni!#3UB4SbUN=fY!oeLW;^?bFQ_B>*4 za$(<A%W2OdGLBgphdql(J9bFsb&<~Lji-7-EybQjOiuRf6SWkZxl!S`l<~9~8xQq- zwV3ueqBq&FZ>z<$M-iRLihZRP(;h~&CJXkNT1<Nok#Ovn(X{Cscl8`iU89@K+Q({f z?S4dE^4H#_sXF^Memi!`NNn21bv;YX!|p{?Bu7fFJ{1viY?pNQsfe=VtG%kJI=eP5 z>S0Y?vvcE<V^v0BcOv|bHA%nD)!FX<r|!^q;l1;3eCE98|KjJ7cj_hf6Q2uL*iHQ1 zX+3|#XU;qRcj^v(6W%(1!QUfq)KlyOp9^oC-|&}ZuK%98q;u*q_KMGi*UnG)d*qdR zh`r!*;R3sfzdFt5JN!NJQvFWNp)bNq=PUemneD&f=aE_dJ#|iBgtP1fe{o*$U-I+F zb9Ed0i=g9Na_XEu3uo9BJ`<if-{3Rn8UL8NL!X3G?E-&tp7QspbNVDaalXQ5&J+GU zKU-$_xBNWvSY5{c;t$Sa{yKF|AB8*TJN!BFP@Ts<@CWB1f1Wz055fs{AD;@x*<E}p z9Amffsc_?bhCfH{tN*dP_?=UC{)68~?x}C8VLGk8uEy!TaOM01zgwpIhx}xj>VKy; z>6H2#yTI?9n)4U@cA4Vu@bkzW^(S^8p9mMuFZjf{!+%fhp<Lnpvp?K*d9U^@r>R`H z%539q&bz)(ZnDhteUkU+oZ6clrgGu!vp3vzd8?LTZn&HCrtg)TE^pKl%pKE(*Uz4@ zne)2uiJLC3)gsI{?&7@ad*mj|9N#5*kIt$Em>2HiG@os7*X5;}hxx@c;T*G#X~K(V z3*2p)<=c|SR3e;d_HifYdEb(}refjQvoG9XndLj@rpq(6GdWDf!qaDOxZ^U@HzcpA zNO<z>33ptcsGZ1RDiTgMQ%n<1H2b)N^SG}`UQ?lP_w0Z>E|1g%%nNsL9`+T<Ybp?K zo-J^PWrlCf&6erDB{x~7`{vwqd7#E%{&73!e%~*-PN&s=m~GtNsWbb)rcUkI7jC=U zQ~O|+m?|7<=9ns6K6}O{&fUIOa-B}8y)Y9@6%H^n+|Iev*XE|n9kn?*OnJijvkP_~ zd9PZdf3dpLcKU<8E%UtJ#5sKzs?=+IF0^y{j$%&h=?C^6d8?YEA6U(K%lk~6(>I|~ zJ;7?u8{QXo9(k?$EauQxp(4GDRh-wn*Tgw}6)MzYd@i(ddPOnk74JE5ht8_{=m%DD zUh>|ulV!H|nw>{psJiGcd?vJTI>TO<S>82qhdv9<o&I3Yk!PwV`i;+o()A`*avD!R zu;<8ARUQ4nXF^k_FW7VBiK>deU?r!~^oBi0W_Wk(beZ986L;vNQ1A4FJx3m?is(<Q z;5_0j6L;u?Q0sJsJx3m>vgk8D6N=Y+_*5uX@8DCRXg$FS&I8_mVh_C+s-1qJh;yI! zn^>jOsvBY+ol^axH?f>^k9WjQm#N+XJCEE|T^4ibolxoY2}PW{yj^y(Oz}Pw>-0{@ zUr(@{Q+;~F?jyHV@2GvW=DhFu#V_f+N`?BubfMjoFU)nB=lNi!%R7~KK8Mb!6sQ+k zbKds6;@4Crw0ZJ`xh``(kN7c_36-dQwCc2+Trjs~j^`G?rc$BRlLO|u%<()h)8&=Q zJs+l0q2-ecj5#lRPVs9h5z19Nm?o61W@yEE(R0O2mlrAy>J!t1=1=}G$K|<-h5ANI z&a<8iW*(X8nc{cojEaGJp(W>O&nYuqo~mf58(MOn^mOrSI-{bXZkQ&Nq$Zdq)IYgk zj>}^e3H6N@oJT!X{Fn-b+9w;#ae1i1p>AlwdC;?A=8@^16*FC?dlt+*GR>34kEuYY ze)5OeF85Wo_%!7URZl))#HllRhY{yq&nLc2`9fi8fvG|vYK7*Vnv*xocDbvv#HT4w zC{T?tRj7FKgxN<XdmiyUbW-Jk+D9|a?e2f<4t*Eg+kfLT$36EKl}Fwwm&i|iE?6Nq z@pp%H|Ax;TciivT9r`A?wSPhFkvGaI@`29<H}*HwvdnegW0!PJIYwUbx!~IVgxVvo zltbhNp9>bqP5jki-tSO*<fZZ*n?qj&m-Z{vy3BUpP<dpQdyk#d7r`t!!CxE~+?P}y zd9G|De-U)iQjVR|XTc1)!e@dr`wc#GoN<q_JM>8~RW9%+$0>IoJEu>A6Z;iDbDVJR zscf0y-cotwv9gT(#UC8U+;!}nJ_>gBJJcL`sLUfD_=Dq+JCB{y2f+lnk52{T<SsrH zjFH>;RIst1q2|ba<v(&4zjNsJKd3%(PkECK(`n^(HcsyaEBg;rw@h^psbrbze#bWH zl=2(7!0#NI{R^sHrnoy)9=W6ZMDF7g!NUH6PaHek_t+lF72MzbA=c%+(l?!^a=|LG zjk`JSx;}|yndkaM@6kD>H#$t^g4??{#Japy$`Ci)&2iK9N~Fshr37)ubiwuAGd6Qv zcRdm5@>(fEeB&;TtFA{PS?0Jd(R*}ODL}k%7l(PbL9EM5B@gk7X@WUo8`A_AcMHU} z%yMnfV=58M6#KZ7<GgE$UQ@B)?CuLOEVEqaM7lgvI-|o>EI7S;LyXHz*ATs?BEiYs z6aH|m_3mcn7S`Nw;lQx~1yRcj!h)JjJ`z$hBBnJg;%HJ*5I0N{OcE1J6YTFUh;ezW zBq6?W2ggxY6+Nax!S-$g9*>>Lb@hxAzdV=9axo>mVAm|~NqH3z5ODFrjG1D$x;6-> zI=i}RAM%^Rs=*~Ct)*oo?c8X#;Dv#_xs%=g{kj#65nF!jNc~v2S@`wc<GJrnM##hp z-&fn;eN^n8O4+t)=X?v^Ke*Vc8sKuoJuF?`+(~}BqrADJytzT-kD5DwzTJBBm~Dl* z+pX#5-;W&U)$@D9JFoW6okbT+f95WFUS{ZbX9J_FORZgAi(OtU%jzFHSo>VxJuZ9x z{P8k#wVnO9=k-g^nXzh0?VTkzOh4{DIqzuJQJ=!puPvgYtUtaKD&IMtd*Qh6hmBWi z?;Nmc+tq)2dhMM(ZysMul{eS3%ZvV2$bIKHZ-M;w{k3=gd@Fo?`?&9EyS$p;JKny1 zJS|n;T<7<W*qg_F^X0eislD^zTjAzS{n1lv@2q(9xNLj>Z4r6%-L-dKeJh;&X~rG9 zypsN-Zy$@L%A0Ha-f{NrW3}x!-rME**W7vZt+04gzw{luynMU7Jm0$fN4J-JSF4`& z;hu~C<h!$9+*3L4`{9ntceV1oq~~fo%y;hXeCwN)?^Hec=Ij&qmYnlV%4ez;wn}8` z>NxhI?WI}e-jj1SELf!E&SKuQL-6G69rs+$`9|d*+BH$#Jo0YGeYam`i=L{jGVlB> zc(h;T9?Lo3p!`qI)K-{V?(LjCd&a#bU(}XJC+_i_J$u8>le2nP<fVL7TWl`4w{zC* z4`;;6CttX!mA-gRZjsr^*$wByDkht3<~^rYG5dM$-0g+;wpB_$$=$J8@ZOWpYV+hP zKTX_mGbp{fc=EZM=gv$spFMMS!8tZ->A5%K(q|V>K6`WBnPukD&wNeto1Uqq=S`{< zHa0)F=j2mgo&4Q<J!j4ix!3YZZL;~qJ)Tc|PuyYoq?Vkg^h_-=?^A{F@!2N#T0W|E zn+NXkeB>*TUsNG{c(%yBmJe#p=7M`TXUwkI**Sf7$xhDcvvYQOK5%C+FG^QlFWtD8 z^MSjbebY}t6ZwmOPCWDdm-p!V#Dl#@?k#z*7L|9XeDdDeDLXl*&5qgGdC&J#UQ@ZS zw)x5;VJ-8WyH8G;edF$uJhO|lJ$7>5^<8v_<&^KUJf-r<>gJuhJ15URaQDeuwLIgW zb35PrRHbi9SKDKJ(RlLRnJ><%ocH-~Mx|V>JS{0*ZHMvBxt(u)veKQbC*PcT;@pyR zK1u0J*1}fCm2*$dnYrVf%Q>H@^g~vYug+X?PUW1>gEK0nYWZnRR+BH!+%dEBrH@y- zlhtH1<3!`hrpA(UJ74%*Iipgdw!qlac=Gv~73Y=|tIaXKIj8fP&xJEj&iELmC#9*) z*q*yn_k-Di+yByvEQL?coHEn%sgFjwk)`m-nJ(vA&iE*#8>Oiwr3s~}^>4p<FRWVn zZEiuH_ujW>b60Gid+*p&v)#8}rQI%;zMGqr$6b8;ac<vs(|cyAYJJ9;b2uOSh@~&G zn0#cW!nr2}YHh}vb2uORuz@b~GBEyWB&=`z(nwg(_@>e112Y-UJ;_(AGk!U{bK1-g zXH)XkBGZ~u)xy&jnNQv`^TF98r+ikOX*uQdE^N2aWKH9Zvpw(nEIHGXrxuvTl&V&2 zJaP8P$up0fJ#y0LLE0xX;q6zM!f$VqntpZHnrW$9uU&nz=Gm`F_ox5Zx8%EOb=;xf zLbm!hYdhb0f7-)x-n%Hi=(%cH*x^q?cc$OjcjTMu*43Qr%yvjU%xYaNxlV1T)Z?tM zIH%uIbFU}Fch{~xm%T}R<K7c*T?_P+o-38>9jctT<La}RC0~@5txv4fJ)K>>{^Q<d zPnD|mE>#QO@BXvzS()Iat8wA8V|t6Xp1W!j{=3Ha^VYN1E#hC-tUZ(6zJBJuX+LH? z%I;o&abMW)S#PtquYY)s&3yLc>k;wVwY-Jf&fUCphRrJaWzI7FnYEp7ykG56`KG!- zf8pmz*QcMU?0oJ0WY3bXs%!KkYdc?gKib1`&O0dn(=*i-(vdYMUwYr!bL5NaQhmi* z&)L&ARGyqQy=R}x7uBpdp<hB5rZ1^H`P|zk{?bpObG>_VkK`)1ZO^Pc`7F0q|6&d2 ztoW3Z-lvOaz4Jb|N9D6>T3pl5NvGrA$TEu;O169JRNvgQ<czmgywlG~C#QStQ~9Kt z636s&lA*rf=Sc?om2=!4d&~IWp6fQ{@`E|co|#;{?B<_ryY{Pz<?@~L%+jTL^fPNX zAA5_%FZwa*$aIB$Pd=!&>1)<-KJ;dbSNb7jp#SrekiP!QPeOY7H$P1}Fr8uFllQ81 z`Y)?Hr%nH`H|4!*WL(oz)o{0DnYAA!%R}cSK9#IE|Kbym{`nu9dhVuPiDY|k_(o@O zx#YgnKWf{a8{5sF_)Ky^;k<>vdJN7B)Es+Y^sT4O^48NuGmRH-)%+{1D|pIKs{i1M zA3P^g59l?YGm6uk@96ePa`N)0^V*(&l$d#ZgKgVm!;F4IZs+e3#m86FcHZ;;6xZ}! zNLzp9Cm}8Uoz*9&Ouw;r$vf4cIH&KEH1r!kP1-sA#NH<-y&uIrdZJpOUs>IG+p8}8 z(e6q2roM^feDC$;M4wr-^{ls<la~k13#*Kpd0EH*vh~|jUS<BLi*DV^Y*{WkFRkL% z!_1Du5;|X^JKtqSgiT6Utq7a6dy=*G#?3-^rrue1q)c_I_QKecZ@f~%gVI$uYB$Dm z&YikvUCKGHm~f?Z)wSA*u_s@7g@g;Gs}_V!+BL~s+cEa!ORqa?j+Ce_-Ty<jP5O?( zoF}}_`Kn9Su3JA@J9D#;nRevnNf)Pf@I5}|b!Cl8iRuDv&&`w0Ppw$Dq*!&1_RW~i zXI>Z9JUQcK<nA2XIdf{lx+g`d)3h}=PdYU<U|mX)>Ll&X7|xkf9oD6s@j9|b<&2kH z_@f<@j!iXKmr|(OrR^ER`N)eeJZZ<ILsJFTr4*<(X)|t~q_6#P(<D9ZhnptpYIDYL zKJfY%_GtU0eN!_cIq!RY3!9Xx8WC2Ms#>LeGMe+A*P1m;PI;|Z^CV9-I4o)Vq+OYR ze3=WR8ZX~4;?-NebMCh?sXLi|e%;b<zm`Y^hbg5>^=U7R_M9@+A@XF*jLbJ{UGh}@ z!-TdAscSdRZkv*M#rJTYYQA=%^~v|1HU5{XC)uh$wC$WX`OQ3+?<$pSzoondu3vRM z*0kcp3H1r5?P9-ue6+Y@YrpaXyV~GyjW(R~CO?_?<ecZ5IV|5*wySTn^?d7@;crwe zbaV0*OV2l+3I0ycRo1J|EEc*x`GlqCYtIP(O;tiyCm*rooHKdJyeDTp1N@7sgv`|q zZ9QLldiY;@rjp~g>6yx6bwS(CS(97lv3ya<^!rpPbbfNlyq3=@v(+!!aL$@M$I|ne z=b1SypH-%-Z?y58IXPrr%O{n|>Jx1|pLm{_!}3Wb*-z=2N}}JV3ZdhZP3E<HROwa^ zwDEl8Dd1mJA#`}M$h?*hD$VMGHk>mi*I0H=pIl<eIel`DrRM`r2LDgxLi;Cwnd@@e z^M~K2@<}@C2a6_Yt6#MCyyyABFX^dDsGrkQm2&l&MMAqLUzzK2%JYSv&{LHFKcjM? zos(@WJ@0tVnZxo<C11Tz`s90$8uv@qlWdhA%6871_@>XLT&2>jDP3i!@=jwRYvqHo zC*OMHxCdDa-I{o&&!tSI)J@1*=*Gkgk|$q#_-&drT|t9ufmYJ`{m%})+R)~2VQV(Y zQn^sJbI!yqeJ!ObtCa&~J?Bh3AnEza<6aL-smgNYLSv!J6Q}gGl&Ivo9ZFNlb~CaP zx;Sx#q~{9{2lq*7D)W^;%6LBauyEgGDRg$?0?CszC#LirIpbmAUSuhBdg2sG&!-+5 z?nahECnvh}wVd%#a5qX*NpcfPQ|VVOl<|D*A>qEsLg?s3l|Gh2m3C!A8PA6v9PUOI zLI)={NS>TNu|m>w`osdslhY=$^syAE)GL3K_Pp=0rKcrdrCRx<k&uq^P9vec6QA_5 z<g0|a1*NKlxD}aC(p26k?RnQ@Nl#0jN}wB4s!Fl)MCp^0Cm!iNa?<00+b1)j?frl1 zj(k_%D}VE|;JyAAKTo`KFR`EWT)Dz-((j4Z@*6)3-s!(ncjTM$R{4d0PrPwYu@8E# zyivaKFUQ>eJ#{JP++*yOo-41FPyBo0m3xT2&~xPiyGg$$n#()>J@L~0PR)@o%1ilY z9EeX~mcQ|W)!2g5x0{=r$1$+sl=2e!%+G>m@{yk>UhMCvb2;noWY6?#qKW*^KON8A zt?Uo|oOrfBqmJdQ`?(sG&&p|bO+P1|?vJQja>iZD-s$JWll>lbDxZ{7?3jK|G?W+o zJkdbD@=wQOcPaZrKPDdS*QitZsN5lM`KRNdJD0uFkBJBSIqF!>xbLeua@u`YP0MNb zZ8a(%lpExK{_eQ%{>yIBQ{@`@o4-A#^(Xv1F}43it;&1l3i*wnCThtq{4{ZQzt7K( zyY8>-l%6V=$aj7c)R3R~yW@`gyc(5v%6@iC-zRSG-;w*ITzQ}L%eyD$^?t~6Iqz0x zzG(ME8|j0aC*JA3bF*V^?}NN2Wy;&6SKj4#>y~D&w0okJbmv`;H*PO-o|GyVn?2ek zXepg}m*cftnE9ez6D_10H&49MyXPjyD>py$M`_B-q&4qyymY&j({k4BT24xd@*?TL z%@Z&5Hsq<Cb+a>nv~%LQ-h#Z8V&z%Vj+-YMOP{>cF|#)z?@5vJG-=Jv6HoO9<fRlT zPm=Du!!fhhAur{O+mRfVGj4L`k9JHv)@zWLQmEV|?RkgeksF_R(vFFTdIj=Q3Y43q z88=VVm;ShEqMr1_O%rvcIqz^haQkQWX#2!{y%{$-?z??6o0O^?VOEr?TqS+-Hpe}; zH91R8xvj`~lBXPOmb881u3nFu9CzIo<*=M`duFDzeWJQ_=k1Qky$5oi<SOTF|9kIQ zb@cn(>b%E$eb49a-v095wC83v+n?Smdv0dE{o%c`>e+8|w{E|9&#Zd(o7~d8?!CO< z%&fNWy=V5^?E38|cdk`Qzs_B~edRr|=Vlh$m)`sK%<Rf-zx?9j*)MaKZl8GX+A}k= z?Q3`Delfdv`^p`$D(M%wnR&nWoc+A*+--~e+k1RJo0)8%yHoeGneq0-du5fgpXR3K zt=`l7Y1_%$D*4@ede7ue*xtL-_e^eLUiF@{AGaO5Es-C+$M@s5Bew<et@oV$u<g)o zj(q7oz8}mCw%6`Fdpb8Z?{U%XX}6j3nfJVXZ?^yTue{4e()V+#x2NvpJ)Ik#cX_w& zd$T>a*W3wvYNoaQ?p-r*%QtUwk@Vf%z`W+7+jnw{w%hL1eP^b={ovhiWwUSR?BD$J z+%@al^KMq8UpBt|E@#)~r!#ra=j_~kcjno1IXgDrJ(p%3{WfRI=CkM2tfS}NOiFK_ z+j}l&{pPbX&z725Zr*zCnAPo9Ijc4woymJHXXWO-GkHt5UA}qeOd6;$xMcIznZ0Lo zveTsJ`j(iPZf-rtW)(f_rd|5yIctl}&fPRizdVQcY)*RG={aZ5<jmL{doIl~dM3P! zc2g-`*;x8XPI8*CarBIva_Pl$))tx_yD63)JV&?C?8r^NbmKW|3(OAPWJ?#G!+Rzt zKJBwn^z@rM&TLEFcHkyM`tR9i^SAB0x#i3;^V|1wBGV2V-JW{$OWNt#y!mF@n?q;z zp2{iT>^t*p-nLygmz;4kkDhXK!5K62*>`gM)4FG$J(*LmdGFb0-)_Cn-nahizHQG< z?_K}0XWQ>t@3Jf74u6h%mt7vW`Loo!?CtAs?hE@Z^)`F+`jh*@o^QQzJt2Pa=UcC{ zi{pxGea~gDUB7YPwqLVeWf#UZe~x;Uoge31`}T{e`TCjr!hT7;%+8HV{(NiJb+>ro zTHmwT3)eU9oAzw$`Rg|EuWNci&2W===g+rhUN?$2{w(z@d;0pwePPeGp1Q6PKfA{F z)7BH$PwZj)d27b?BYVW2Z9RTnCO*5S_v6;1*G1yJYj{6yJ$#)fUc09E!`6e>S>l;L z-<p1X&z@^fP4(92R_cB*J#hVB+~?}G?@e{rf84w6d(^b+8}^hvHQjstQ{3U|v!}8{ z;}(CqbvHXWZgch8ccz-_FYXn4YO1mR>|V9+w<ceI6j%I7>P~k4`kk>)zANn$f4TR> zyzUQiF6Uj#^cPi6v=Kj8Jn>HVot+(XyC1|o`KGi@eC1w_x2|dWO4Sps#5?zLym5UI z^W>{ivEHL9K}+$>y&SJy!}J$bO|%eiES`9!d(TdeSFV2gkDe(l6W83!@zV8HOv_o< zYcVNblop8x7Eip;-4Lg8*40k`QRT#Q-34(epOt2bI~Gqg7C*VCV`g_k+>=jA)5JB4 zC!XpKh)emTG)cU3568@Ihq#n8u18{2&bZ3yKdP8`tlJ<i<)c!UxaS^@N3MMONfi?h zbqmC$d{Al<XDptmFaEJ;qMrD}qKUfVoO?JPxc<|7R6cQEcg9YR`>x;gCOuV(&?|bX zR3(0LH^)8KH8D$0xvq$L@=hsOFR6Uuu5OQ=9Cuw8#ju=meWs^WK2cq~b9cw&?gOz; z-YVs-{=4qk?x^=!)nSigea~m@Uj1_2v~*LO)lb)zrJGu>ez>k|_pG;BTUTFPXSRFR zo2=5X?pWS3Q>)c`*O{f8UcdTe&9z-pud`OKUb#*z-PB_B(skd`Os`z^3oqV0>t)u` z)f3lUOEWcFy*4tp#Ps6TD{I7dNxjI*4Er5(7SzqN2)`ZUTWo5wdTyj{v8nOu#C2sm zXFbhI4O<=4TeS7$Rh97WnBFs46IS;|`ku*346BYgTe$VuRf+KE7~jIJN3IHlTgRL& z*m~$HN4Rv1Z-J@7>e|S&r?X<i9&fre?J83^bIjX()BRU}g<akxbw8_mb!sH<>8$Xu z%h9^|rhBfgSre9Os<ry=TC-Hs-B(|QP2MDRH!Co#dDE>sSw*XDBX#pk)mI-}`)%8- z+b(tLkE|!&>wGhl<GsrlpOkW?UBV}g1#N^+&OI@=^Tte$cP@GAN!Al@bzbmGDO1`c zywZ5$jm`spE$3X~)Q!@W)(KBEo_MWugI`Li(kkIjV?hgH&$%aNcP{XIQlgZnmSi>Y zQs)G}C1+i3_@tb5aZ^{a5;PU&oXhdT<&w{nVx@V)FXwPPcQI36WGQGOY-v34Oy`W5 z9M4?z)RQbH8VRqQ!|~KbO<l=y;)%`)GdZ5P9P@EG<8sL7Nug4oaOND2$1Y;(i!3G{ z=~VE0QlQi(tT~6{p$nV3l7*mw@J}N_ec_izf_lO?jV2!GWbk{EuT&@ea(2hG&JVsR z`AU&$O{q%ZYKzP#?&*Bsd*qbMDxa29F7MQgQkBYtSI+L3(s{vG<&?_;pOieMBH>D- zi90$iW^&wdxu^E%+l2e=KkSx#SFDyh^jpA||7LB+JLgX}Ea#nz<cppwmdQ2!o^ZSU zhTW2Hid*<+)^@ycer2QbO>qPN!p{?~x1Xu(c<ubeX31B@HT;pa9j}}p*|3~*4wC=$ zOmPLjWo^go_8E3dz9=r?&-^T4#vl24!o~IuJD0Q0PV!8@CYbR5tm$~}Y$bo_=Y+HE z8FnmZozK~*d{#`8Yx+6ibbEx|k~7X)@=iY|oNV{7Q~9KrBFFS|f+4@)=LrV<l{FoY zou%Xt{g`mHUBgc0qhbfYWlhIJXD)fC9}^C?bJ($*ao%Th<h1iHo0ikg+iX-mC^qo_ ztnRq){7Y`pQ^gwoo7EoE+7l{IOl^N*tMXp4f`8+u30nLMKTX)(?o-)u*ZGy4(o@9} z{?1PV8vHY>JMK8ovr&1c=qJbYeZuzk9lB4-758z!j6E^0^@E<vd8ab*MY|{1a39<} z;ZEzF$d0+K5A>dtDQ@Fl8O!n3DNS5y_XI2M&RC8&PA_zxlqwdBJ=!H;$(<R?@!Ba& ze9^867Tk@SCtPXW6Up()$xr-In&L8U%~+0?PPcSg&N^MwNhwiW#2vVK!iClbJ(aUg zcH)nAPB_<EpqEmtIE&kH^8{n=lQA7LTNCu26e&*Q*4#YdRBM1<N|E9u?#>vFnXL|b zDQBFH=%}1=k`sTlW5Tgk1HF_&#V&5o7>-9yeBwzvCLC%N&`T*$Y~p6zJVBrP<E9CE z+z&TR(B<Zg;dtQmPwdh53Hw?zB026meG{9Msu&?wl&V<8eKMNkp3@qgC8wNL=sd|& z3>Hh;K4Dj@M<mBxr$ss}r<|UNDQ%yi&fOW^F}d}C?vq@_JocZq9q%2h_%}UQ*u#FY zc*5Q07ji1+9Y4sZd{-#vOM0%bgMFuM$6LoNey8dQH=9q$Ejj0y#LrYMV8vc(dty%W z4mp=|j#2!FswP}*ULmJ)&hdea%2$PazNV@Pmz#H3cD!`-;&-Z=V8)(UJi(M*(zfG; z;}sc|FA59TJ&PxtZ?2GA@>yXH`%RmUXO0(So}6(s;!k>}FoS)kO~+HmQ!-0FDNJFX zY18q<QHkH_nZg8i$zlORcFy7n$D1wWmV8v`VUM)wc;qO=-&8T-aI=Kmk`D?k?2<Me z4;&B3JUQ*SM`p=s#~m^$ryZI2nJOmiZ~h^><h?=^-=Xpedz(`%Ii@woSa#fV{KVH( zE}+f6vPeLSeW&$_Da|)zm%LL5;&UpWpuyf)G+}4+3E3wn9Ut*MdZJLkUTNKN+o6vA zk@bXojc+75-aCBZNhw#@#eC9Oz=rvx?1{OJHzYaUIpnb?Sx>mtc!4jaOkorAO5+JP z8V~TboO6g{H%eDn$2`$^!nMW?d?}?0tC%~D1uU37Wlzj*T)_9FL?MqY$!fx-#tD2& z&N|%SNjdA_#;#-~V9LxX%kjeD63>%jg?Y>`WjLNYn6WRi6fj}7G@fv#afT$vGY38P zB+Cg#%qwL$o;s+pD_KrB(Ktbp<B7vD9+xu?hj^Y8D)cdD%5Xe(5My6tG2uv~0^gGY zg*IkQ8IFezZ0t%F0tU=KjRf?WUm6MMG2b+raG;Ta?@7Kw9rH`+j%ke_cvJEfBH5Z! z6~fsTnNQf$_<;AwDTh@&EvFpbu^FW*lrgWA?wHbefmh{}!vda^JcT0WN}~xo8Z9I_ z?l|0IdsN1-pZ!5K!(R3c&lxKC9nLepk!yIz_{5UIhJ8XcLpk4pbBqt<4!mW&A?NUx z@q*leH;gCb9Og22*fQK;UQo=ip55R%Lkz#eImRt=46hm2$ThrTJYvaU!9JmiA)k-o zD}x{ZfwPP=<Q!fyPLOk$&74rokj<Cyg~5g2;Vff|9K#F7C6*26nLpSxoMV1q({Psg zf(?TSd%-gXBmM(t7!%|UJY|fKbC}7z!iM1#^9&n?lgu493`Xn>l?=&z4rdr!EE|q9 z7uYl$V@|MXILaJg({O~@!KUFbvw=;+A!Y@ehJ(xkHVg*rAD%Mok#U&L{Gf;-nor>a z0|Wnq_Y8md7QAQJBExW>@r|s*H0A?E4B>nZ?-@SvF}!D3BjYfYc|j3FIlIAAhBtf* z-Z3nZVYtiaV#%PvZcxrp#Qxz4!y~=}Cm9dO9=Of8<L~3|^85b3_<MX_{fB?f=k3e> zFZ|ta^Z&r-{yX(|ezwo8fAH_|H~DS<SNvsvYoGRC@pr$~|Bk=xZ|q<EdHhwr_}{}{ z{FeVS{<6Qe5BtCHSHH#ohR^+1>i7I)e`W9Y|KT(FW&bt)vcI&y^{4r){k1>IU*s44 z5BS`Fp}ygt@>zSk{||rmpQ|tUm;70N)_;f3{l@=K{Ar(ApYZSTC;4grH9q&Bst@>= z{7HV&|BgTGGwU7xC7-cB@<;iMz1;tYKl+c=8~jWDDBtzp;}82Id%pjPKl%^V3;av| zAm8+#;d8(K{|}$~_5MHj)UW%W;}81-`+xr)e(&E`pYfCZzWuj<6Q9aQ{40DaU-kdQ zZ}xlkYyK=gWxwLj<9G7G{}R9V@2dCs$$r;<(I4hh_Rsz)e(zWR-|@SBa{Yn7kKfAY z{r+*c{k>h)_l@cDdwyTo+<&+B#XIHmb|2m-m&=!bOH7yF@q5SJ_P2If-yL`N->g0H zZt*$0r0<No`K^9e+&w<0cE>yCb9PbR5AN!}TD#(%@;SQ)Z<I^r^S?Fj>c3pO<7WFy zJFoAKyZX(3Cv5IF{Vj2~{e|6?H_9dQ3x0cS?mu5!@osUk{G8u6?zBI%yYS}m89Srz ziD~jPe($)`{?zW&o5e-)Q-065)BeOx>APc^{Dj{UoB0iYb8PNEUTg7gaiM(A?}$6? zkL-lLH}2>^Tr2Tzae;ixZ;3nY59|)Sd3@S#&zr@k?RLCLK5fVJopDG1{@NdJ7w5}I zeLJ|le{XHdP4;QEF*n=q*?s!fxSe17_liyYTEBPPK0c-P#@oet@<HDmxA$xOZrIen zv-ZT>$0zL`eS4T9U+}x)cKdDHy7v#O`|nl1*~$Li_RF2*?{d4opD5<H`F>*W@wwGE zcCx>-&3m6%-G8h4!oB2ga+|)dDDJ;eec)d6Ior7RhR@~JeV<U=f314Mz2vWQtG;&> z^ILrP*n50-^@4kkzsTjiORVa@R6XI|;<L6l?j)bJb$hQ^#c%qZV=wy)+e>#If0mo~ z{ly;k=eB0=7gq9{e77j>KT|zpC;Ky7z4wWg{YKwc>|uXutM*>8vj0T&gq`e9Y>(Y> zK4W|6&f|}Aecv<ous^mHd%v)v|46mMy~iKq+P-V-VSi}L25MIreE(6zumAl;5x?H| z8%6yGsu}J*elJ(|{l)I~Y1JR@Ccl@9eAoC?F8tlX^8P*55AGg5WxMK5^C{bR?+l;H zm3?2ayM0Rag}ch9Y!}=~ekWJ-y`rdpN43RH_B*!s-aRzyzhC*|+~RV%>SqV7`E5Vn znA`r&=F=JG^EO4#3)AJwo;6zc->$rIZgH91md`Whw!g7?bw;^NZo}sV#{JhT&&+Ip zZS&;J;!?RapCjhBzp{CBhWVUL(DRRJaw|St%x#}tIpf^o61gRxGmQDoK1Ue$U##pn z=X}=2={ciSzsctxbK0NVSUo>z*?+b&;~eu@n{#KBi{;XuHCpzcu8cUh_>7I#b4Sbm zla(Ill#Aq2o-tbX8-5lr?l<^cF{k~pjnwml7X3#nHO?s)%5{9UnA85yhU>YbMgPG{ zj&sasZ1$ZweA;H$ndZ|r+s-H#$TfWaF}wY~&97$*Q{`$t-<a(_tukTe@u`(B&MN22 zReauH)UWk<fl>eNN}rkScWquhQ%sdB`P^Z|ukm@t?Dji0^Uf&e$@x8FH1FSDxnu9+ z@3Q;ezo<Pvul&P4=kwNO_ZR-|vw45ubKjlvJC*Ho%OC7}{7rV-`xUk9Z>`hrEB@}Y zdf!pY{>J*np2uHhi|;-B#b^0Gqn7=(b=dudzxpiRH+=59Qog5>{gt)f{fEzFm%Z1h zWq)aXYftl8>uY<GzsN3nAMm;FLV3eJ<+Ijy_aFZ3J6B$?FZr|VtoII|`;6b8sA->B zp0MxnC)sK5H9q&9Di7F~{7H7w`;Hp+ndJ`qlFwKl*`s{MTJHYCAAQHl4fZ8}l<j)& zQN#Yon(uz%kG@0Y0{fCb$Tq!a_}r)e{==s}z4s43_36Ins9}F#{qNqx?|u8qGb-8d zTYtMZ@u_UYy~3xmRqsz!v){8`vuE)s>lJ$*zmpBVm-xMJSGh+e`(5irdzepIKf9;+ zy-)ppM|Jz;@&kJxzm?5<{Uf&hy;arqjp?#`USHVUcenJ#I_2|LAJ!<B%a&hDOqbp9 zdPi*gTdS<=j=TGAmY!I*_?%VJb;jL%R<A2!kIyOHvCjFNRn+x^yZWw{u2`ph&g#J$ z<x<)FYmK}5E|>0zY=3Fxb=`4SpV{k#&3&e?C1TrOSY278Tq3*Rwa4bZ^Q9H*78lFT zd3__M{h8H;HIL6&8C_3Ilb!K;M@;)ut5a(h7s*a}JtL<5iIviI$28dquO&9~8NTM& z+;_axV%_3G*`C)CG3}46gswO4=sR30v2JmJY|CqjnDz%&2i813ZMA33;?q_;)+C>{ zV!F<_qi=udkF|^QWuvYg+}^jhG$oRKT4_vV`#q~q*BZC;X}?~viBIeGj_Bi4N^h)P zoF^M}&2f95#_NVneLG7}tbKga>e01_DY6BxE27(PTh^U_SlxH8_>Cp|d&@6#lE2IB zdVZpq&*u3F+v9VKZ&<Rwv&=i6SlxH4_`<y8Z!(*nuPE-jQG8%t^Eu17^M=o5);*t4 z+;^>b!@T6LGOM0<6!Td;_pm)ayLiF8$6sXf&Lvj$T`Hb1Z}C~n8*`G+TDqNAtl~3$ z&SA^`!t&Cb$Dd{9J%3@t{@l{+{K85;ljj!2eP@biSh7E})H|P8*=O{8g$?^tOSSWg zm3=3QCs?vSu{<`%`HbbEIgdZe^gYk8VSj8Xc79<+-;rX4d5=HHv_03bVSi}Jc3!c9 z&*1rwB0l}+FN*l|p5G|yJ5bCp@9}$?y5}#f+ou(On4A1wCh}b4Q<?B{3(NcV6hD}I z_>|?UInAdm-<>mjDpU4+g?0Os;tO+?PgyRQll)Gm=y^p^-;QDnOZGdK_s%^u>$_k0 zqi=D!O!cvY)_k^)Z^*X4v-s4*eBPqycwxFs*|A3JzT1U2`WBbTY<WCGw*8I8s~+Vt znGKH@824Q-JR{lu+Tuyi;!>G4k0WH;Us*irVLoRObo^tQ%!<brvhA}AXY?&Dky-LM z!<f(PafET-#lnt0=d%`0#~H2qOdkJ`X@72Eb^M@Z-`T>9KIXF)=X#WjWzvo{TK1hT zjObf@#zO13qh;U8LXSS>BAJw9jFx?dj|GhT3?5g=v_H0xI)2ci?`WY$pK_s0$72hb z_J<Z+#~m&D4i<9sF`u#6*K_!^#jc*_(-zx$lnZ1U9{-STzi;vD*uqqqn#VV!-KP~M zNFJYB_@Y-iU#8;m2BSW$#|w=5b{G0cw%@gQbxbi;rsQ#l5ue868Pe@{Eavqn=gIgT zV>IvEUbv(7@ptKc_h0-yHZT7}o%4C~vi%Ex_uAY)@VWO+{+*v~bMqh6J^m)W?f#0t zY;Voe_ACDGwYuN&m+g)Di<-w@rHl7H{Kac|KjSakYxA)E3xD-m+;8~YdnJF*PqtU) ze)}IjlU{aT<1gDw^IJ8|XU(tGB!7`!bU)y8?}hw^I_0zGcKaXx>^+xXP?!8!de(i1 z&%MU?PyA_{nV(Si_>=Us`x>8nPvr;HC4Z8hbid;d+su52y5uwFM{1PMn9J>d_@nn& zzCm5`N9nHn9)H*#ne**W{Ly<TU!X4egLKn<hR?nF_dk5<)w}=TQ?KrQjz4S<%>V6s z_`P>ue#TF>`{v*FO?)aHv9Iu{bk+S6zuE4Yuc=vl%6vu5<9E`*`x3wR?#lQ0$#&O# zQ4RAc^Jn`MzxS%&@A%y|IsZWI<G0dzw}0Gidv8{?ePg=xp4%5T_ukEYk*9p#>_d)n zxpeuq#B}K$w|Cradux`p-EnvC&D;}ti_e)QZD-ugYjwNg?y)(!JMx^*nMG|sxU2VS z?utC+b7l{6luM=aw>9qSy_~z_X4^|MukDVzdd+SpZ0<F^EpfN)h1r!H<r3)yw>>uZ zp3kkwTU;zX=k|>|ZO_au<UBrOX0$yqO?t-d9e3KEnw`p7TqHf^_KZ7iPt26IJElob zxGk}n*YGyS=HBDE7I}*crF(8i+-ZAcCbYeANAKZWiM+)H(k-_o?zBBHJCO7EwAr4V z#iz}7<RqUqW7^KRqj!JqkKD!i(ox$EZtvZjn{tzFT5inEwtHruwl!|&)xN!A6R+0o z9k-87$-R-gI8Qogo8$IgjoS^IdUxiY$bEd$?9sM|DbfYED{i;lHmzI#u)6nN_M4q- z?@hnNB!8FMb^Sy!ug&!ndymb{zOj?-ooU|s#OmH#*%#uHze#PnzM{DIM)rZY=5wZT z>kXevt-C&<xc6H2hPdRfQmd|a6!ThK_t<-EcJ_j}$6uuK)+JW;Udo;jxA?5-jhN)K zrf%yMt9VVXbL?e%VR|X%@n@-d*I(>mdv0pBeqklA$#sk3-ZR-VcCtM))mxuf*=uxt z#U8e&rfTaID|=65PuR)!#PnE<^BL1aF^@k=^<B@{!}i!zZ2iKD-XqxxagRSpwO!ZP z!}idWZM|Xzufg>nMZEggUlj4`UB6M(dmx)3?(uu6y6Z1?w@u6b5S#p7Dso-pQ>pNE z3(I@=WIu>Ke9ClHO!Fzzck2wFN|jw-vAb<b_JvsGQ>F`IlHW-cU9TwW-H~mvlkJY_ zy>$=Gdhcic@LOCiRlV$>HLvaE8*|&<nSAnLK5tUAyf9s=Y+0jq@9oSRev8Ybwp^Yu zx9yF|D<9=DsSTGG824V!JTtTHwaF8o#idefE=SC5du8&-hxwdI(DILIQY$W7%x#;U zIm2&piPVzI8OFS3mm`dOFJ^Z5IiEFgTFz+IYjXL=oVMpCR?81s_MXkm@MAt}a?VG& zSSoE<qh;^u%m}~5XH2w~J6iUh%=GY6E|N-F#%S4Vcv-->*Whx+oVLd%Qp*oo^d8OB z@KY|7>bPt%r|qE$*K$XT-h-JOe#~b~_W2w>ZL-U!`LxM4ALRn6hRZ)@x7|1SwQOOk zRL$iZv)!g;Cd@oGHS>k9a=ui><qbx?T9+3X_3qB}nb~&N<kd38RH>579Y(wwmuJjw zyJIrXM>$W*ZyBR`@Ak|cbH7#3dY`#>`CH50?<Tg(-_BcB9X0RrhdI-#Z_T~@W=>o6 zt+$!mmS3IcR(<PD=BDLWEp@+{+_?N=&bO*tb1ujDPcDvnow;WD+Ih>WqUKzFFlSoT zt=X3Y{H<-zp3PjgeCj;6s;JqQZ_L^DY|F*VF8;yAQ7<wVEMIE*_Or?P%U1r6ZE`=G zoV|QuPT8|9XD*+a(^h%wY38)$Q!UqiGC6fw&403u?k5wY<&N{ro|zafZ?$~;(d77L zDgR`f+>a(lFAMoQ+r0f?a`-Zrzp_p42a|)B56t=Y)I@)IDJamR{kUy-KWy25`H$ag zYv0qEHOt@5o%Ym3XL+op@B1x#E`RXbTog6+@`^cS<+r9>e&e^=+V@mu$#Pdq-cy;y z%TLbz_GHVB%X8+imEXFZnYZ|F-!to|_Zii0k7a$&XY5}5vTs_tiOu4teP!t;){7tZ zm08bvo3VBA#Xd9ZS#L5*-MVFY%S@~m@9i^7H@SZCNzXMasn;2+7q9FSOE<AtytMCI zn#q-me(uG_vtDK_T|BYxTAGR3;<b{wB_<ayUg;6Dl6sMm>GoUZZ1I+J7cJax%lH<X zm@J+vsatGfyg0G1%yQP#j8wPPGQCAxPF_@T@0RI3lQCg&ucYspj6}C;nX`pkj$M>+ zkCyQ*+;ZfifV;KK*@7*HE^@d_%lH<U7%Z-pJbOAL*6p#;t!WpT+?i$G=9}!l_{;6G zk<|T+>cy#&yr(n5-7ZV(=9}!fxTYs8)kJIY-Cna)lie3zxlJ~bx|<Q`)@*d^PDasU zTS?tK6ZORhd%u~@x@}xH|KabRd+Bc~+1?v}u}S_ex$FFi&pbBgPt+cpn|`B`?VWMn z{KVfqx6&`zC4ZCLbbiI>o*U^0?3&LR$IUl<F1hafgwH+K(l^*8f0bNyzT-2G#d(j~ zW3$s2*ggItnKv)-SI?#N33iLm8sD%<K5OhYU-1`@>3NP?wim{iY#x7>oOk|34cl{L zv-t~u@|c{r_}p_QeMTkQGh@B^i9dUc&abFpdupsUU-4(piS!ATY)_1j**KpuK4kOw zqh#Otj2gDb#$xjq{^&W9u3-20gJj!zjT*Lx#%%KyfAAQb{{dPr_Tm$d-uWA!dJd#B z*gbwPS$F<Lb=$P`54OqgB_roGK9vlgxA1$<p7aN{hff)=vS~hL{BEA%Q^~UPE2`V3 zq+hUAK4rYXCi$IY(fNu`Jv-7ZD%tKB-<$VvThIN}A9{<+C99_$+|6Tq`bKQqJEKoJ z%;$}YrWdA5mQ8Ei-E%wjhTh^b$t|a6#J0ULdZnXWCb{ACg3UeGQ_n=Uy*7HHv$#}p z&FP5PwpT`vbePW>1x^2$Cb{CYMQq#b)ERn<OC*<^&e+Ujb~<8n&&AXZJ?FDVPSY88 z^_ZOg5!3eE$ZGn*ojqq$GxV6x8lBToE|yH2*0{6hbZUg&;xk5C(;avAoJ{r5Q!bKB znZ~%Y$MCek<{pF76)|m(jija@+|hG1RYOm?P_pB+MNHd6Bd+O=J9-YLa_BLiG1{kd z__Wb3o#xX<+jNu*BpXiuh;F-Y^lRF}RLPptH=^C9r6xoko0|GUS2<s@;`D}1JzA$1 zZ0gya>J!;^*XY$W#Z<|X(;b_5G)~WmZo6YNPe(aV(r+5$_MYvjJ7gb!m)Ljwh3&C< z$sgpL&l{HYFRboq?_IGt=$nR~OGSr=lZaxMKuTL$&ZH7&ArAuq3AVQOP_^ep?7|L? z(Mt?H1&(S6G*2-+CE?tos00O_lbAnCg!op^wiMSrU-y1)Y3PkFOhVreT)1JDF0L;& ze}nGDgvU2-P0;YWl#rmIcI}$wle-<#e++d34J^MINt*%VT2-wR;_I8SU)fR=;2G z+oMvwwwB~ZRmTsTTNm2w-Fjrp?UbILDVrDW-S<oN=f|!cbJn&_=6@7<=k2C<m+mN6 za^-D}w%B(1<}|5O)ss5bP6||><GY~yY1(gPOAnn{X0so#oLeZl?V4Jevwp=|BaXz= z*LHq(pO!25RQ_q$w*So5>AQ1^>NWRmj1~;q8?Gz2>&k*ly?wcEANIyAG_Y^<Y475l z+wpF*xQ$-9-tNfrf8vg;+o0EZ){<X3b32ckno&Lb>Q>XxEe{Td{o8&fQTYEGQ3<ha zr;^gk>Rdv9aV_FbQ@$agb;ZhsJ%^M1&zp~&vz3!i?)xTq)5Y|KS&OWXK)pss{lx3n zr+Kepsp0MAlh<B9RY~vh+Ri(l3jaEHU-Mew8Y$7cq+^w3`qplXxz|ckrajn@>8sju z_0YlvTXR~UCYAJFU%i9Z;_>0N+DlibcCz&g7F@jkU?~GT@9OP)zJKkwuJkF{z_=r{ zRxalEC;b_}7!#jVl^wsm{8Ov>k1R)ySkHusiAu7o*G2BEQsnVIV3K`gcTE35-}4*3 zuWjJmt~)nl!a=7kr-bgFb!h&w`B%cb-$p*h9<#2B9t^Y8@NVqN$yl0_5x96A=d<7Y zc9?Fs@heW(%(k!luHo*Z?^?fvFgysoQ|I$(OU1dzf{Zp7>Q8LUymzW}@>dtz`&$Kk ze@+!jz1tUjs_5yWpR-Q7)L-%{U42J}fmf8{VaW$yfgj0d)z+$V#Fsog_C4G!#W%qF zsVe`@Xy2_<1BDk%Ox0T@V4j@9x@pl?gB%my0`6yS0j=4)CTU*Qn{jB8jbH84Fiz!| zeSsS!?{Gb4c`K})WfIjD)Y-B`^Zw50NfoyQ!n|2y*Tws!_b11+y$CuoWy!*do&!;i z^OkN^o3-VkRMYPV(VFL0-8y9`Qvam$lG4=N6F-H5HgRZsnBC&~-IlvRrJBjhOD46W zN#VMqbIp#m58~G@Fbw@z;cM|qVb$*kvPOMRXI%^6jQ+!?^C5BfTB{uk!aX7awANjh zT;p}2sOI*mn|s|?p4#*yy4>pJ%BkVr+oBduxyG(7WEm3IYWAD;VAwjwBg~dJw|qEu z*D7r}ll-bM*DHyhhjWTr+<T7vuS<TdaHsKRX@&T*6Px6}6kR#X@SyR|;a^SueadY1 z6<cnen0RY~v*j$?W63kEHZ`o*o}ZHcVx_Xb&heiWOWGc6da{7+sZ>{c%VR#vsMi`Q zWi9MdmdjeVTXmS;dh>&Af8VvghooaxC|j-&QMXfDv1m)_16HQ56Vq-mluy~Ic--K% zz_G*bt(BHLa@RWFe=zm%B;I9NYMIv8&dpd`lz!}5TdL2r>kHZUq&FoB*#zu;9Cq|k zgp`w4gm+@Ff@x8xsz^nWOu-%TEt@n=W=u+a{)wq=HACj4Tl4ShFmN|8ya@mNYUvZl zqZb}K9sE8ac3SR|_bVgxbbL4Fw3e<@)R-o7)BXIu@7muZH(3>2<xl+he(u_bGd^(d zTgs`uV6*hSs6Q<K>y(V!B~^{>okFK1bB4-_`WLM{nzfoyyH`7Qc5%@jx8J%efBn%} zb;Hm6&9tR0PPxvj=2hvRd&GQ~OZv<012?a)zY@44NpSZ*u`^Tmf7*O0W#Y~k$p(4# z%Dcn7&m2(d=DL2<oj3XE7KX^Dsmyg(;!<xqC94QB?Gawee{rtv!XFN|n$>>^-gXdq zbIW;q&zu^azq0QAjh^cqYTrv9-2FASRP*1nBH>BJoJ-#`9cgPg=HjvN>U_=<N<A!D zi@3WQA6!v*E~2@~sKzCS|NoXlUvrb?mmi*LvG37>FFqY@EB@$clq}0=J8|@3ee;Do z?Qhhk^<6w7cukp0YR{3525YnKmHLz3>~>CV2ohE`nPaxGr*5Og2hFyUcAMZ2f;U*? zGWRN<TCnNC$`<b}yO}$FnqEt`ns(WG(_03Pzjr!I{-qsR=x{}YscDAQuZ(2d&1dKD zE1%|h=WkmVgX#r)&nMQ5HI)lnMfrUhgMJFe_u5s7Jb%sbtVWjID&E~c)mHbHgVk?- z*4?ku|9|pnKGW54U+v9np4V@Wy{T{8Tpse@GUjf@CIuT_k#%pr$Eb0?e{;<E&TXfA z>3qd`6Zb_PQvBBUN}oT!{Luw<*2fF$wbohct-oP&MMLn`ZnZugWd-Yn4_Ea6`v2(R zMm@KSb90Q}t|`rRIM{G2=Ek)$MU?<ybvuLHYn2y*eP11lTr8kq%itFhurT@DFUgkH z;4JgN?AN&gm0WMs&du7vy?jk>*7dk^3-V@93ZK1l?b}n8x87g5;pg+>%G4XPF6~zT zIQfK@zqx6k;)RK~MEkX}zw{RvZsI$lwzF+Vp+)+p+1{*9bF$8~)-3Yr^sLd#IrTQ~ zM{-o>6r=ys9A);f@@!bU&|u4v1$+xt-OYJBz1KaSYQ|Oga?^@W{^BxSJw2+YSSl1; zC)lX+99aIfU}J!VA(usw0^gpOedUWa%U|bhi#C5#`Z{e-<?D{Z?R~vvudgr#?=HP8 znh?#NdUowZ#)gfhqFF0zpBD#g32tDqF;|rG>bSK?*LCWn&YB}^jSRx_#?>>klwP^a z_6kV)!0tM0g{EbO@~3tcu`??FQaA)YE`Pm{NvbwPO)(@@aEa0Pty`uZm|rmKNrbPc z>nUmfNp9@l^>WreGk&PUWcj~K);vcpKbc24G(l)1dpD!^1s*BhBdV7IndVE$DTP@x zeN3Fn{`J8P9v${Ii8Ee%e=6=adK$CWLxG=f1A7pA&Eb@3vm6)BT;${6+UpoBbi^Pr z{PDfzPN%MMtYL6&(ETJ3XK}<|X3>qZx0(g>49o7Ow@emuo|qBl_vP1N?guWsMuA(d zIt6~Y+r5aPbJ3b+Vb|!4yBe!bX;g*p*YNT<q+!W=%KOqbZOO1=4Uf3KZFAFJm?_7u ztf&gRt&v;m^5+r9qv=aRw{N_0-gCl!nYC74i*NIk#D09$=_mE*`h(b~0fwI1v5jRD zirGbqw_H`dw{_OWY)`}Q6P0v#TW`PZ!LO*3c{TOcDmLq=*IKi$zR5Vg?v=%+?kKK^ zLrhE8Rq-BqW3c@Bj#nH@?YtMru?5D8vM#kt3S3{XviZ<Ga}ATNs+ZqS>A!s1b<=T? zbyd%U`lYRRp4^vw*W!(9vbyW*?k$s7uG0>e^P6tGecgMOm+O|Yv*^8A8}z^KGI!T? z^OrZL^?gnMmGts})aompubnOYMQfkBxz8?{|MAAXkKVP97<Ye|aL?CD-lf*M`SH}% zOWP%^*ZlhP@>P?9#Q&!@?5|eHt@5tjv@PA%_R6~LlB(DC1nhNNz2e>_>CBajB~4D& z>}=V6q#&K^;oluDYmM4oeYv$dAog6)dCp}{hR&9aTQ90koO;@KkEvtnHIJ3%*H=w0 zxn#Pi%H)Sviq)!YF^*|g{95*FO*vBK8+Nf+mS1de;0t)wxIv$_Y0l&+@xH6hnKmiJ za9uHCHGdhwT>Isb?~{i{nhNIMg#QX&{QJ21eEX5_Jk?kHn11*_@@e?DR-3=#23wzb z^Lv)(A#c}sC9U7R{+{FV_kJBo`uS5QbM;+TwqLG&(XZdiEoaWpQ#W7lt*X7B@k4~! zrSVL+cg3aWg+Hzc`>ZN`Gl$_apYXD0wU_tAo}R95n^ZSjX5X>Q&6D2GVE(`OdD*iQ zrvzGd<-I(+CC+tj@yc*lTMLHzsOA3i)#jSLyXNj#n&-5NE6`l}>dPSg_k|~o6kf&r z$ou%BqWDM-&#M2O)7`JUj8Ap?%C&Cxl<${KBLn+u6>|zq84Ig!pWm*fH0|Y8d)r2- zNt>mXvwtq$HL3V@p|o-Bv#p-`7O~HT>vGQjyu92tT(sXpj&I-dMK3rn9p0bm@xiO0 zNF<ieH%RT$@>`mr7mXX{p7<%W)%xkAZA&-*R;Wx~7wefM&*{<n+y1caqE9l#vl2dY zKHIS(IzOk~_IJg#$3I{GEB;z^_VAZ$=~H)YN|Ra@pLR95u3Ol?*78R8voBA4<hRey zd-O$W1>cuz?#=6h^bhzi*C-WSbv$(WWV@v+tS8P5(mz&daqf$uj&5nipOUpJ{!Dop zZn$)w;@py}maB{n17+h>cRJnWoiMFRF=S%s`%30j%0i_J-%j3LnwaRlclF-9Nm=V< z^h)m?F0sw>-nZ`j%eUM0X0A9s#VXaMHtD=y`<Bx$-3$9aeBFKN#kbk)SI@DB+@Hp} z`d<InPVaZ-Hj=uf|0JvT8a|&K7x?OEsqK%Ov%k##tn*d(71QSWRdNCmjHZl@<tv+2 z0`ITA(r6p<D&xQsr<L4_uU>v%m~kXn=>3KNVXfK44@?qPeph={GxZnGBL>C};p<jQ z|MfqA>S^8eRg-PP%{_uAUVpK#J@C@=?zfjl=Uxh5Sk8GbbV69hmpk+A{#eFtncMEQ zSL0LV&Z*26Jr%R_COC90owsxD2_yd-=M*Oi%z7f2A-gsA@&tpc0m~v9Hbhz`R=A3H z9J#7h`D0`5m2l-QbF-)?Zrh(1ulE&relpQ+QS#i2&TCmk`!DiLx9!NfK1rx3>#wfI zts|{Y4hNR@=I(A<Tom$nTAR)N$2%otHkCc#`T4%MXQg$-Ue_AF-7?*Cw(53HIsNh0 zAFg`Vn|^a29ew{QXP@w=8Q$v`EKg*fvbi(r=OTv_T@wtsHD*s`3O=r(<!WYEUvTHy zm-IBbW69O0bDpnpT6)M=@tb-_ch1uz)=J-^En>oYHgxrWK9zlSmB7oa8x7l(UT4p2 zTq*nA{<)2*g|N<qjqi8eO#k}(+7@H2Uo0BRa#PHf#LUxS^hs*}$eUhm`P6QX@3xk# z=#Wz}#-`al`EeT;xO56s${n6_^zi8i90wSkwD&%JW9g|?eMMOR?Q^Z&hh+RW&7ZKz z_J2dj&GYB&w_Oc76}Z#AKXJ*7lNDQ4WFD?PRhxNjLXG_CxaVe5cy6~P7M34uH&1@| z{X)m=#0w_Ztm+<ngfl!d_K}=dxbfwY_m_?Y>B!iAyB#&dZ}l~=OGhV{e!3iDxx2!2 zu8ow`5rvSHx2KYn8x?s|&a~bN*;jbzt<IIi>iXG2x~3V^nAMxC&Zkdz37;5hRrsqj z>+phv=`)T==3kIcI4F6b;Ca)<h<)q7%IUQ@JTrK&zlk+N-;L>nclZ*HMV)Wv@^G)( zcEB)3?$oE-Q~RerF&1pz5YZcUSc^5_R#Jv`dBofoA&Yf4dmT-yH@JWGt4Q{|a|NDv z!V(0}Ogne9#99B}(wS2?NWOUT&(Ok9bK%^L_Y<wWejl51mS;_G-B0mlpBB6h)bL+D zPwsWH!>@hz;yO25uTBd8m)CQ}K{2}i4WEe5gRGRV5ii#qSuea~RaK<?<g@+PYD8tZ z%(?14{hh?@bX;de9CN9Tk2n_d<mkSTh~--vZBCwA+iN9NeYs@rnoJujcVGU%2v@%& zAC?@t!&xc1`K7W<;HRxwk~W%VC8>U@OVmU<)n`Zg_w}l;W2x#eGuVASztL;!9f6qB zevdRXAD+5yXDYw8eA<*R`Hv>;Ggz`ZB$+4u`Krk&Lfp<a8s>Kzwx$Walo0p1@49vB z$y;YPuE@&_Gx=-cQE4nVf7^ZW5UZ`OCGu;o*NQKFd_b-5<(4%X!k#|`bFYYHC(T-^ zVKnXN<#lC&mxC5+EX<l|o2hbSnZM}Ahs`baW}Cz2gjOsqP+TVQteY|9ciV&$21!mA zw&bZy5ww$<!L7DJ+w4#lqouBHm(<!d>nm<2o_|r0^hBXJw9Bs4ao%6yEgg233v@2E z2u+_d>&5SSkD2Tfb4+%;usXANj?DG`Ma6#q)Mqj#uWOHZwDE!U$rPbgi@sl)_E{+U zlKm_bAs+R`w>>PjxJ4e|R}2m`6)jkl+~~A0H_<>ZDpOHV#pKEAd6}x_dQYR3mWO31 z-~3)_bm8Hfr#)T0H)ix4WHyx8om=}-Vdn8I3~v0&U5D<n3I+7^<eg%8a?^#+y`0NQ zmV2g)sdOFx<#(zJkC@$=HuJZ6L{Y-ygNJjBF9~sHEa~wVaa?R5T^1du6exLQUfY=s z37u_r^S5;~NdHLj_Byel{eQxiKPIfb?^D8}QawI-`n+lpNZV($X_31{om7(QB-=LS zo!13FB+fMwTWF9MFwyzoX%-fb3e6i#T9ZQ$<z5mzy5Q1V8^)vhsgCYzE-$$<EnBH0 zI`WWJ-#dq;0X)h{EU7MQqXaKJ>e8HWM1)nNS76@)-Ux-{g{o1ErTbn^)b%z?=viUc zGQszC_#c({EO%4Z(htRF+xkqlzX{%!p{J_U8ZIllG<HSWu30<=s)m^cF7emy9DNz| z%3q?`XubXi^<xFzg;N<S)?8T_F{z@NQ+l`2kF*sGoX0yn(nN0Z#0$Q&<p}KY4=S56 zv-zILtmiht8li?&H#!%TlwMUjx+w6#8I@HA>LnA`*hR4fcr&wzFo1w~Y*bWS%(bv7 z#taM~%*VjVkXV|MnIBM;pR8Arl#(18!pgwB?)|=Wjjj5B7v#1wGPurRthzTbBTOJ6 z+?9U?O9z92NysMOX-;g80xT;Nj6RvLu(0HFXbS{rEI5@k^<wLmRcAU1)KdhXZoKvS z_P<qHyH0mKs(iEduC=5~;e{QadyS(`Z|a)2j=58@vEGTPg^i1?oK4Fxu6ud7joL1i zwuRqix7<xL2ZIN`-*;Tl<b4@2*XL|cuTcrR+oGi>KQEf8$~F6Jj)CQ_8S9o_UZUnP z>&Zr|4U-)o8Yb=0+fosG;ZE`*Z@b6~%VSx%e{HGWbz%8g<v7Rr-_COV+LD_n`X%%F ziUt0&pY6P`+&6{m*A{=x7nkR`I?mt5!}Tlm_`C)F($83GZ&~|ZSiW|1tKD01;V+rb zJEC4(J{H+zmpgq0OYN;Rp)Z-!m4v=zPIkYr{H{>%0{`kWPW#^|CJBDYyzanKd&{Y9 zfxmT!z?V#B&L+FJ@`_@=w&XV~@V~A0r_nBV8vmEf^@rqMTn_u_FuzRePNQ9JAp5V> z_C&rfnbk5cF24(ZahWZDf&cDfY`?Z-*6@DG{Jo&j?yY2gtKD0<&=;4N9W=kV{A@E< z?Jc7^wqIM)Cp6aGG&<ri{~Mpgi_6zOGS%K%@s6qXmgNz#7nl1MZg!Y&#vi`GU)nvq z(eACZ9qX?x{u&pS=PsV_FyD;lF3Ybi@fwYFH%&bj_*<v3{7Stn&}f%CL*T`9TW6L= zySLN%Urc{1ROK-L+jYjjn^G(IUR=(b>9jv*+Phqb`QN(OzMM8ob=aRH%Fp~Ob@H|e z>%=!W>^h}4|G3Nj8!Eb6R>l0X(KP8kTbg|~&Tc)E)bV#IR&_#;cWsR`)!!1DI{kdN z)BPJNdJm>$OwVm@cDj9Isr;Acfe!m~6bidnz5HG&d|`R)D@P`)wEJf+nKD_m#eQNu z_T157_ZxYSC{d2kV9EL48FG^+?^^Jw(*MN_*=5DMPOaFrY{jfYHS?HDcxH#RP8FV& z`a6_)#eyuS&2QEvF^FrbnVe<|=AO~Cqb2R8=_00*Gisg-J@jhl3C&8q+~T_F<!7M< z$!3~du0}6E3oHmX<K%j$b6kD?^p?Dvc6TEC!tLHO>^i1q`LK;eID_p1Q&@xUDdm#W zYNm}-ZbqrkPjAV)X{<kG%FQV4`R)xmYq~Dz&fY4;AQs~CV%pvy&IR391a_sa4swcd z<Z;^mW(r5kx{aP;9M|v9tGUO&E46!Fbaq?Y>-=!L^X#$7%Oey;rZ6X6e7DIXD)i~+ zstbnJstmVM^930c`G4IuEW4l=-R`xA@7HaEzAdNg9`;sE{Ab2BvFxV$&dB=RD%sP0 ze<kHAcb46hTN7L6GktGa!jtazSB+xMZfa#QwEkHzYpH9I%l<d=PHzr)^dI{X@z+R2 zWJ%;VUhz|(N<Y<}-SldPZ%K>)xz1B@8fVw^S}uPXTzbauV$AkyC)t;TUy=!s>ACYE zx!HfB+`QRq-IR;Yr5N7mJEzTlJayWVvYYxd0$SG=dhj|mG5jn{J{+|&DCvcDg!AL% zW$e5*DQOcD+skfhh#5rIKC9aKWx4b29EGR@es)vRZfbbXS^E0P>4lMI6Lb>P<9TMK zE>=jKwDk3p$Fo?E_fF=Oh+84(%$#<t`?UJv*3_d*CT-e!dL3hTU)7bGiOc^bWlaD3 zm_y>M+pivj%{6cR4_7Qqf1}`b<U~YHy++kBy;Y4p$HS8<P6>Wh<0(7#!|%)KcPTdO zf-dRJ{=y>JpFOGWrly6(Pn)xwg4a#>_`{Ru;;cm$qV2}DbNg<ZhE_yHD7Dp{oTR!) zqwQXIqD0%h@Av*rx=?NSW}h?T(McD+X9PW&X`(4q`(oz}Cks~bXpZXsb4TnMoi09d zcmbBMU=@$zs7`<6csq9+vvOih^!6{7-xu+E>};ww+;)4-(_4)bf8CBc*2`XH^kPnR zW7C8!ueRH&?~>W2bT_h3?QNQG{h!XDnYZ(nzbQ37uAlRz@_XUu*lka3G#;-1{Oqv% zW}$y<J9Sna+qBBLis^fj-P--q{BKgMCabwx?^^e|?1!9hm*3rVXFnd6-+Vni8~3W0 zo#T=|G<$0Af1TxqU;b*JR+g8njqJ7Aog;OA)9+RP(o(kzhIdT;-*xU?|KmBo4p%PN z>vgO0)sCM#uJk4@w)p4hXsKW#&VN?p&oP7fsngfK)R+{ucV_C3&{Vzui>0T#Ue|xV zgTKdYmifo{$J4*hp1OA7!p@z$q%N*0{Kd3TVHI<9i&ExQsTpenlW!h>v+T{R+57ty z<UhoGe!Md^*7TkCI{Pr=Us9&5zp`K4miqbl-ojb4?{9KkC1h88GHwND_0E7vVUOL5 z|2#BryZH08{;Cb}B@d5Ii3*r@!N6;l%O?hrri4<di_QO7dEd_x+GwL+w8Dk2Yft&Q zPj{Mao;tq&<aE8%Agv_!`yrJ{Yv;^XGK!dJZ9F|&ZSmb4lQc8qvS~(}j}<k&)HP4L z;t=s>O|RLF8OqGZyM5AjHOT+>nPgU68{_uoj$*n&k^8r%hdVr^tfOL*5^gFUpLw?B z;>M(7=WayKveBPxl4-G3qOZH8^I%Go<I=QaDGxjtw@>_+e9JAmH|^UQzo_1xxfXgw zY+7!7)6Z-<8JG5^<!px8&7Li1(@bQ<IY0lDmGCsqHs4#CF@I86P@`h?-U}0p=NU)) zrm}VQ&6zxFs-X38O)Kfbow@tFm^SKisV_4rKOy<%X!`1lUbC0}_Ar~0U~^&e$2^mj zzdhEfm73eA?Q*%ar1p{I!%s5%4Xo-H&X<f6ocrB*c{6*tbF82FlGizVB>InjUi2YC zzvTXj-RE2u|BlP~*}5^dD$LSi#b2Z8k?%Gc%vtqLUaKnIuP9_^?5e`an)m7!-7oqv zH+EXR!oGhu|61Hx-DUscXy&6k)kp06N`t39kCXRP-Yj$VR;t8)$IZWY{wdD&`cka@ z|C9WtLaEByn?DZAbpG0=HNSYn??)>NC+}-EHU75grd!^jIKAsVi<54wm^E?wvNxu; ze5A~y?}Y6>YH`-&WH{fs?q|j4JmRXK-pHug@&3%C8`HRVu92=^6y9+<*YCw~<Nd5v z>2+6j>CeAf&!VCJd8XW*)cte3--_~jq?kS1Uz_^#anYtZpME^P7kO^toHqBqiS4J( z<@h{%VC?=@Jt_X{irmY0P6=(y?tkmd_I#hA_meug{eO<ne{tHq@ZAZX+*kXG{v_HN zn=G$?`u@!IFWYN!i`SoeUXn4*QOv6`>Du2Ni?_G_*Lpv}-g>j{>Sc~Le)X%L#n^4G zld0bu7c=ehv?s<!@6Lak*!Sb<r|`%{`#&xI$-cDc&!GapZy%d)Ogo+VCov>Ii+g9z znP-#!-1uA6cRW8JK<jzNO_Pp#g?+o~%WnREJmvJ}S<&|;`Vv**H++_{%u$KoCf@r* zLuxnMamUYvE6+qm)+P2;CEng)X!3c%^!4#+o!6%9{?Gi;RJQB>|EKc%9sjIg^|~AG zS6`#m)qZ8pndXRhb&Jkd%A76a`fQrkp4P`ze(IQw%9QHl1todKpZY6LJ)N@N9+dBA z9{%uf@y^_vi@w&j{^+sU?3mB<d1i@UxSY!2K!<G;#EU%lz1f#Fdz<F!x`<~QSJSQ* zMAki7w)t0b+1$>F|1X?rPute9Ti<&1{o2-;u(Zch&Y#Kro!l3dD=k%+=XdvN>O_{k zf4G<KD0%wvbn4IC`wHhCXQV}oX{07L#np-Iug`eqo+q`x=Gp3Z;z`j9-xn!Pk1byN zYLkp=-qoI&mUZcJ`)k53|KJxr`Qbj((wx)w0k@Yq8$ExRYT<Q4?$f8kYtH)Jdi!LH zV3~5f*{zBq`I{#)jm6hr^_lth>86usW^^i7UyRu#?tV8%L2uK{p7TF$#BB)A-g5Go z%%rqqJF<_Zo5juA7XF6y@wS;gxAWHWyMNQlHwgOn$k=Yn%(rRMxu$-zKhC^VbMV}a z?(<i&)ZXeOeVREd=i8>eUN?K5EX|n`XdG{L(_?Y3t?B$}p2o!$f;YLpX&v&uc_KZ_ z>_%=$p+wFT%WdKQ-kQ>teYd)=ACtM$veoTI_7lmSk$r}Fm)zo-d-Z2!N+;i0Gt<)S z+~U(8W-r>3`cpSx)h1n@<$pLj*4NLAjQNvjx4Yi#m(29T8{P7{er;@C!|?G=^^yBC z*W0U~esgM@rl9}M)1SVs*SRQt_|NGa!LF_OK1HX}f2?*>TP`{4<|6t3iu?XqvL89N z`OLbQykN6`#a)l<GoHO)^JrO3+2x2A`(IDLyMB|d|Ia_WV$v%A6z1GoWwd+Qg)=v@ zTPAJ|KN+Z1oqBvi_oZoz6W&P7+MbygR)70geMa5SSIe~WgU$bKK3z2B>_5ij(qO;f z#XCR#SfAIozCP^#pJN8oBlqpfyl^_Owq5_u^tr(?f7o{F*mqt2x%uO(WqW*Y-u$aA z{bZlk^jNdGcf+3=S*JK#E{wDf{PR@4c;@!ECo)66A5HIx*_PV<VMFu0-w$*IzSPJG zB|TRDp=!w=+P1jqjg*H*{&bI5SuTeIjm>z2y2D?Yb-H{q3yu7l@#0J4uA2^VB{uoo zv0pE>Y^+F#ezvVZcM@Nls@A;Kc75(SRr;a!FDDkJMDClus!%5C?-KVTS5`cJoEPEo z$Fu*35by6DLXoleb}rb^dM-;PYxh~NeCO1a1y>krv;Vvl+&I1N%FBJG>lXKYUmKWy zI7C+Nzv*A+n%Y8juB)5u7i>ArxO~^c3#u!!IJsK6Y7>{{-CxJZ&h?-`xmJ#~V8#Jn z_RxMoPKm&;6YTt%+>{o)GHhBB+87h2DH(l&S766Mw_i3KFQ!P#B`Ck0BAwK5!^2?q zpUOwa-G1#+uaikoek&p|W&T&ypQqRD6l^uh6_c*%`Vlhyp!K^(e~BlJ{xfd(n9sg< z{NgdAQ%hG|>^Y<z(S3jN<&HUPHkh7^*qq<HsnYa&Vb9ss_oNa(aDIQYWLLjmkJMSc z$;X<T%tDrNRWfa`m5<w~#g}jSnuou2uj!)$>N&+{_uTi1oPRWE^`4aMFZb@ZRZL&b z_M@e`rR4hS4don6^EdDdC_m`u{<q_y#lIhJpC#)STQ0e~WVMcW_|B_0R}`)N9yYa> zVZ&az2u&N2Zz6JDmG`3`bJ-=ziFPef^^7`GF5-FG^7+<D-M07mZwo7lHvL__BCu!E zj#3TNKKJO(6Y(33*ju|c20Pu~dTJN5^2r&U*E(8WdmTA^n2%uwli*oR0l^pN%hgw2 z)Ow@UzSkw&CB;l{_bEH;9EJ-SQ^Tg_9w=^I68cnb@!G@O@`YSFffw3Nx%@nS_kPE= zi`|kN7boan%yUlFE_J<re_!H>o!@Ogt5se6YT*?fk>(vYYl9@Ojn&N19MfiD<0D@4 zpC94j?Kr#OR^Z7CEj-ps&u2TavAsDl;gaXugrs)Am!D_c*vK$f_R*^69ahsWY<y+8 z^nA2aJ5!jgJG*yBu*&Rj{8NN9=3IKN+33aKm$~}Df(11h&i)q<+xSh)b?#Ge&gxxl zHS5!hH?5ECdp;cK{W4!^X7Rnf-PgapbrpA>xaZMXrO4F#1uO-dzf^Ku&@#39*M4QD z?y8473X9S${YqsfS)cyMFTHYJ3$M(j=c)^Xb7UCWw*=?hi7}U$&~PyHmyJrog3i55 z_9irlABmf0cb-B2fJa0x(-j5p`QZ&xsgcKI1cRs9z2{gPw76R_b=$P>x<P5#7Y&-) zzOTLXT+*?b;of$yXjZn?W7g+)in%ZR7S=fP;@&lf-fb?@Jf!-@Zs~dLL#Ygj-wOl3 zuCP<GQ?g_HQnPa2lpe*DFH`LDIV7FFoDc|}>z>28NcC!^*L-moZh@8Of^TUVXy`ut zbz98vY3ScDuIu;L<tXTAzW=)*b;F8ZYrj<5XNZc)hx`o#sndzudMQ|K?V)DD56evw zy(&B^&mGNs?Db>Krq%pYa^`C-<#b*cmos<MRxh5}eQXom7A;)TyEJFZ)wK0<oxfQH z3a8&uJZ`M&uDR@Y%(n@XWnz6KuB91HVqa!IDUZ|o-q8+|OYWC;yFLGUVE2r5u1hAC zaq)Dmo2YT+R9@ppr_~Ei$WPz3$2V3ntS>&)*OFiEzoo`gW9O`2J5Qf_5cK`{$9eu+ zx=tUenY(ks>2>e8Puus!Pd$A<HQM}mZAWzIC9TcB`u7-~N&nWGINu|x^|C;%RQ>-` zp9;?1Hu?8X{E_DLND<9tR~}`GD%j`ceDPwaxbV99w255r6W51ZSu!sz;kx{8-;eXg z)hwYmHD(_>bxE5+p!|WNi2J?Ljf(s)tO7%X<X%3=-5%L59p&k9Q03aMB?&^WcdhF# zHImgoIw5I~gwjv$weqRw9p6mosayE<y{(j>XsQ1vJN5Gm-kQBGbna<xNz9vcMxt{2 zaRy~mQv=?6H=kD6dzHjquXL}luW*aKUTT?t;`Bl7M{{GZmpa<a>|1`fechY&$99I- zZM4@b_5UU)P<7?dn>)`6G^Lkss+af}up|2Owqs}ati3HYNm)Zito3qxhSNNbm#bMX z?vZ^w{poAB)xwIkruoMs(r+A`*lTkAOxf(K9aGdKO50>Nn?0N3U~+w}sduqMp@Af0 z{tZ3*1-y5cFW)2b(N?j)!uw{(R?%M9BhO-HHg0+=#GF{WeEFUiKf-4yKUxx_RUEp> zWA-$y7|Gzcwi{WSC34mrJYIIpN73NM+0rB~rYFaHd9#;#buQG;+`DAqng&gMQ`@Jl z$BwaQr(Jb+b?$1{5I*-H^@VlLrrSMBzUGL_TE>{n@_g+W>3Fbc&eGFM<{BQ@;W=%c zw64-U_vVR9_ZHr<xY_WqRmwW~d|xMLoY)2d77mq^i$_IWv_l%XVtgFA0xvA>ZE9{| zI&)U|R8W`jtPQNfO-mLqndwej(4wN?XwxKkM5jw(ooQW%0gEDw;}Iqf$8`qH^$(im zT5N7Ou4tBNvAx64%v{gPCt`8u!0iQW^Vq_EXf+uGviJ)7ALZG@lk_0nSEunHcgzK* zkLs$dVrvw1x-PC%V2wM`d1NsIdnJ=hih!D4_zVX2NG2f;%}dNYJq|2p9S)ux4hrW? zbZZVUKNQe8u!vda!z+GEpN)*Mw=^s~6y3KSaAcNzVdJmX!56M^@Nk1(uE>np3fbZ& z)_Z11-gSSp^C3&5Td3GF)5Pu#>wjHb^?OU%NfyI7&a=gCi7Z+mp|Y#(%{8HO`C5!$ z7M#Cn<#}P*x>wp<Ra58gU+r?$TjX5b#0de11$!p6>IVwWiJck7qSP?&Pjm){X4rW_ zr&ZM(-?KPAEzFSmlDsHH|GI1otH2?-U3CKAE<9!a(Q;hw$AxzLcPE&Cn3P{|-Z<N; z!QbKxqr|EMAC4botU2^Z)XZ$&9>$tOOL!x6ru{EGEOkIvYE8)Mi(EfiVnbK#xyfRe zC@!kG{*%34);R{dhf{c5E^bd^m|wunmiVIn&PUb{oU`>l+?%f3;C~~f(d*jZ{^)fK zc8Sxu9QdokxgH3oEj+O7|BlC;HJMCdXEvV@S`noZuygf2DaM*Z+JZ|$WYt+dylF{P z@F?AU{A&H3x%>y7bH1){`0{jzP_Y%m{11HWRX6Hm=4w6=zSr>HUeAa5!>g7=$rs7* z?e%z=KU5x?Thb^uP5DOs9a-)J&pDqPY-Efyd(hvl>}vlogf+`V?8oC(iy9p34{cP{ z{&0NjOKk~}Ra3m&7(=<AYPyU5cwD8p&HV_=gU>C=Dx6=6Sn6X$o*n+y)X~7<?7$P5 z^oDU^mguQ@9Km(0|8}f6!)WljCE0I{&5y^6Hn1H~=P`R&w*9pMgI%JSu%;;M!7X<q z8EOu#QN6RS$a*EikCxIY0k(Xs6Srusdel@Tw7}O;oU3(H;sgN)ucnfQ_$!GXEiWX$ z6}_=|<K|@Kpz~@1m%;k=JBnT2FB9B5U4`j{XV`vcEhoQCRdX4aRCRM)oXy1X+oDCi z^~F9F)`voy+8GibN84|3n*8Lj)>7wJj!%nsP2eitq3~_4ZcD5?x82mqttv@;Vm5x_ zJGSm#*AVS2qkUkdR>$+RY)-BHE=!X)*B3loRJ5wi+P-XpW`&?y>$+Q=PFx~~n%+n) zP*^oj;MZl&xE!bHxfg>sDDFPWGTZNR)6y>8#HUjiaHV>Bd==(g#8uX^LHb~O<d+b^ zEt+xHMR*^VhCWI*eKV0majj0fLW@Gni;1SHLK|PKz9-dKkmB2U;pgecKbUi8J-sur z^hvzN+}qQSv)ev6$XB9J_w%?VM{VafyL$x>A`>!qGwI}-3#2OTI%Q)fP^h)*l<5c6 zT}<wxv8mF$E~2qd<+QI^gjPnbcAC9Q?d7S->q250xa2N9k8R-M{t$4mrJXA`D|Dvn z8^x`!&UW@}X#Y|f^kRxsEbF2dilAnp<Ov0yR7G93d-DxmYzprzi(J0<LFnwG0RlSJ zlfITX_LiK~I(4W>D4M%tLjtST3stG6$8*-Ln4YT1;car&J#>0?K)}8tzl0{CBd*$! zF)qrDW+xBj88@9jWc$?n3Y$!-+1%FDSsXQ;s}2NC(@uISDsWp`iN$T>janV%gHp{; zrM`b=zV&5a){<5GZR9R2i(4co6TXRWMNQ|X1BFWq6}G-oy><SIrK^6RfJ~<J1+|@O zDL;k2*7Ah^UB)=`=+%n&MVbX)R`O&_{_`kn*Qx0mH;!*^f6Lg&xRXuf`s_((53{<c ztnK33YxpLaG1n?P_3Y`gX&ND?%nT<kV%ni3QF?hnw8$-y*OohH@0#Y|*tp@E^L)-9 zm&-Z7%;Pw-`MsUMjPo;}GZtrw{kE_ZTzBXlgZ^h-^<6iDYjYandmn`f-!f^g4eL$X z@ZG>+cF1A_v9hZg&k~iMKK-$+;q&7Ms&U_HZi+7N`o4xC_t3+TSWbnKvdEH*e|DS~ zmc2h#pJ66A;Rxfd8-a^=epYaNt(lp=>ikY|)2@q_e#K_BGR-&UG4L$#HM>=zeL&Fd z#sMo9f!tFU&uS{ARQ4M;OnADti-Uif@*HIb{%OfK3X67UaQv`IlvUiKc{MOn-+hbQ z5}sh?jn7#Y`dZG>Ij-dJXMwL>#$=9lVQb~Kc(R6uX7e<xT9v^N5IVJ&{c818(?07J z_o~CPLzw2TS+}YrATl<z_pxARhzrYn?XYuGTUSjz&oh6e+|8+-aa%riHuklcecAHz zA^(MmqW(fstD?RhurOon(_9gvG5?rw=m`-gCuRv=Cjo|LNd|_)g)Y304W^olFff~i zSZU}PX(;GfnJI9#Fcx`;aI`Qb7)S^(G+M}cJ@Vl3*ibdE@}x_7`oZhZ@2$SdzkKz< z%d1XWOp)IAaPN`bOSYWpWOzA!a*Xd43l{y;U$=i{chuDrKGYWeG*9;NJO8bbYb5T! z7BQ-u-#lfOqx`MxDW7cIVyruy7hC=anREHDjPke52Dv_x?fdWl+o;W3BlzKlm+_Rm zcj0Rinl+_ciynOOukKs8#;0;=^@P{Aeha_7{q9-cD+?aud20^e-d_7AmFdn}x%kUH zms<b+m$%m8=JnIxeplm-vC`^|8rRZt9xjr6D)^^PfO&22WA=~oNe<WFw+DYXJgsqw zjKyBd>&cUXBny{LJ^zyHtD2mXif6BVTIS-jKLnWGf4_WNwJ&7u`SWI*p5>R<8t&gO zw({%mlPeR7IW`^Qc)M-3Lx`~1yP^z<)XEP22Y#Q|Nk8&`^TPOrT{m;y;^*6n>bF;) z*(5&6>|Dg1Jhm)`1_jMI?;an0{b^N375ki1v)26%-?8-F{-*b*-h5w_DzfC*N$&}Y zo1?NteGQf^UeEmanevAtb_<+JauOUhT})P7{1hbYkQsSQ|LeWz$>-R7Od8|@uJiqf zXyCjQ@^43BOOw|Ou9r9F=4c&U@rH9*=$nt}TlYWqSrQ|=r{&aCp{?3se=f1NM*aD7 z#e`|a+;^>+8o`1tVyDfj)-Snu{Pq#wU527(XZL)#p!@yrvCML*$j9Xj#eMrYK5Z%9 zdGGwJS>DeMi?8<GU%~Zqtyh)e?~QiqGrKu^*Z*ngJiyAl?~<@c(?+&yE)`MtLku_D z7D~BIaL~{?U9>*uzGZZ_W6Un2_e`rk^;frUOj-X*x3;t^_}1&vyj3UvB>k-ZDYolR zc<I$jmX?Q;TB-#lyi|NTW__rR-<O=U>$7M`>?_OPToJ+-o4m3;PV*$JYIo{&3wYG1 zXDs3=AjV^mBzJc`LxyYlEV~U&D)(F--glMcyptRqd^_-!Me4Jtpo8)|86JFgo%rk3 zGmjOHpIARjPARxp$u8~7`r*WW*OW`lLIuvOH?|3;ef09KzAK>eayQ4fDwFn!r{~5@ z$Q59zOf2McHrjn<XUp}~?}Za>EBb#{-&^|1D)f+l*h(|g3^{`($#>nOcJSphd4Hd^ zD10*GWK-9bd}Z1}=H3zCCR@6H&-tU1HKVbAr-uI&8@=_rO_u8%;Q6$YXTwGB9~0cI z(=PA-W_d5zZDLln*s|#5+qO;dS=M7W;mjG=j~jBiU#<TbvdXDDcSBTMXdyGtJ5A#g zvbrzk_IXV|m}v9x`uEDscTUvC8y`Btyp#P#+tFFs!b;N8mmgH0x4js_XcVqIWtH@n zWbelQlqt{ls@6;ri&L8rWUk4voTusVhQnpcS(cogRK~#Hx#sVSQ*E}sZr2Y6E!uir zdj1iawuqZoH*zd=JhQfiaiie2S4WcU*IHh`^X%wyX0IGOzJ*a{AGCRQ9$nAQ<8}YB za8YgbWtGi+i5>dCCDo>?tmQdV`0rlFRke;6zFjfVC6|R5+Md-f4h#NpQ1yhv*=X~r zDRRFGe|&Ro_gMGzK>Ulx83p{oTNm#1k1Y0gOt-$Nn-ys&B3q{Fd8jz2j_sB~+%KJN z2OJ-7*Hyjnt8V6JSDE14q$iV-54MGz&08sHzAJWPaVNLf;+ZV#`Hai;W?!11Q)N^8 z_qn$A?##)5-410heZ=wfYQx-%X&hE3t|+h044JWEU+E*Zd(R)wxwEU`&XGTylRQ1l zYd=c<%qVy&`M3Awp4X4>eDlbDCb!$xKw&|{R`uHtzH%%JHeC7c$ASeSLQKzCf9(`L zfA`#pR}~uhk5-0PCO9?z_Kuw{8P$KA!$8MrT1{-_wPV5mr+S>}o%TIys=|$hrp~Ez zbi_Jt_I`QhR1&x6RNLw2mCufIZt}J9NNRNHvnZMMGUwd3tfp_juL?UX3ch^jn)S_@ zp~3S7OYP46d1*JV;n~(txl8uUHR!I_{dd!`GPF1R`LZo}+Be=vgiPPK^VQJ;W&643 zU*2b%CG<P%bjFO;4H{bAul8)KJtVYl-a)OzKiebU|FByhS$Z$w()y&8dwyMU(3Ow3 zQonGr;Z?P){I?Z6y02|4<SsRSKel4#_lYbk*Iw;9&ZYLp&HqUw)6K-Z{9id&ql`Z8 zT2UGBW1(4#Y<9=ST?%=P#Sg>^nD#da>+LvE_aocXFv|P#A&!MrB^j?0n}3J0e?BIC zxYwbs*_&gbvSq;@Ki#?0ISg*e?W(buoSq@bp14$Ovuc&Jk-_I#?*AO)C(lm$c0`^3 z`2TCaidzmgZhctz(}REhquO9cr!?ccPh-|=NcrA#lJA@o?^-K(W4cg#+)>L}vzz|z zG5p&b^f5(DRAx65S8LLa$aJo%WFLbou31wQ(pNrO+^72KqKWY5jYf5cE;C*G`eZ3{ z`^jR7&#j3Z3$rTYm3z;hm)l?(93;F_sLLVCdCshbSvQ*m_;yZ6ovnC%hRAj;?bnxQ z9A0>HLvXG9=AXHidrwV074h-_=NS#|CZ)gWc6Y<>UGTd5Z_oGUtjd*VZL-#OELhXX z*HC(H;lj`T2d7+N4b?iO*n6}xQ#_3$xPWthd#?YV&LDPS36_~Ga}V@ueGSv}&Ud-E zoX=;5joK<JWoCue+rEOc{661kn{)U4_Ord;XP**!yobX|@rG}NF3WeD49ms$|5&B) zT4if^{4T#!f2?Uzwv%C%?RQTugY^}MoEWAl|G6IVL4HGtO-N>$oYF!?lhi=3cN$zD zs;v#$wLcc#%(p(!ahU0=iGOLSOF>WrYv?66iK_adDIfKwIPRLq!<^3fQsJ5?PtUfq zPIp=E&9k}Qx5RSZbF=gBR`mRP6|VAg<BGqX7yT+GY8+~Q=kwS#!MQ{0lti`R%_XvG zT8~`ryxb$YaLx{c?X404tBy_y6JFrzZIe2|RMS2DuA0`<ew`<Od|sY<HA}&I|AK!< z_XRn|Dd@GUere9WJLgE&l8lA_t&08?WK5|L)%)mPz-(ADTVt_d-z1I42}V9&<N3ql zq_?zPnPhl_#b@f|$QPmg%Av)V7E7z2POm&~5x3z~xwNiG!^}NPQoVaZWw+}5`Fi!} zSKjpae$D2J8y{-!gh#rx3t#oUefP^!jgGmj(SP?hI0(PZ54XOzE;Y_+!s6L8x|*lf ze~~xxe7z$yeR1||9(8r6&4z0jJJUbEe6ncO!|I*o`MxuH57cyTRNG{t=EzWVN<>kD zW!uB`BJ*w3WVdyPyPq)H$GmI7rDIywM;GvV7@nJQM1$voNNKD|-<_q;ZUic=X;c$^ z^HFcP-&BTsm(wK*rBB$_Eln?*Yh_gW?v>ciu-^80&Mk>o7FYFsXb_mDP;ArDH*5N` zAOZFEkihRr(#a96jT1C#YZpCd{KtCeNjHC1zZ1u7quPgy_f6X_Z=m;hJxj4=R%_Hw z`Ax<#J9a$O@r~W-^kAXBQ)a@}DqgSJbcNa@YtCPsxn$BN>CMY?-IVz69JGjjlyGBN z1zYB~?9@8;58jL3mgpRbXjKaSl~}mHg6C0}7u(wsef^-VE#`~FEBh`nT}!c1aB5Xu zzI;VfO}tF0Ld^u%pNZ1*|1Wy|aNDcaTxISC$2H+^m~D~;UD`ane{1_V9J%m!nY7|v zBj131{4SDV8Jc2#ry|VWT#U<g(0=_|_UF`z(-gMdoUJG>k!{qnG(zLXT{AhM-X3ld zUdyc3u1Op+ZA-Opt3JFirC}9cfcxFrDf~5yb%Q552XAR+OX*l8bE!Yw{)&SDTD z^?I7`-z>LE%9%95a`Wb_u#SkM?(+^<rWZf>GOzU$<4R%wiWlVtdg_}#2USbFEtTGQ za--Oh+r3A<ZGR{PpRkR2B`?0c^OpSXXXUde3lz7m>RgpoZ69{1@ulRt&pU;=RtE9E z`n-06T)+hOi>*;7iyYF9KX2^Pc+*h)qp@IXh>w-K%?wQg*_0J5fvb{=ta%jqw_fg# zws#6@n7P~}rTxj7fR4y;KY>Gz4Za(Lf9oABxYRd6?^|2uL&gj1dhP}&Tz|YdZ)fo> zF$Yh#`Cq5}&FtGcXNUS6`7;(lT28-;#VXgjm)ie)%e{YbVcbvVO?t`BGrK3K*SGJe z`94EJvgnlP+>VZ-uI6iN)M7V;#6DZCG5^urI?aW%G;Lo@+Z!$|CRprP^H<81KjN%| z?t|L&j|=v9lpU$i&-f*`@c%<mvtui!HfA^`HWWxEw|0D=>N#t{ktK_z4s7FCwfuDE zU(wy3zqrNhS01=^@WJu6wxdgL8+<r#ak0T*hU&~`K1}vqC)sy3PM^8jGsq$G=<AE0 zx|*g|&r6&3PH<|{lXsh%qR#$SmN$)a+SI0&oAQ8nW{UQq50xG|n^_!mL%v=SIX!#o z^l~N9Z(G*gIK5stK+%1rz<u7_)G0PUL?)b9e>LgsnS=J{rw3o~=WiFe_c!$iH&3a) z_y7M3j9c_qY3#a^aV<G!Z=u}P6P|y3!;Y!{t~?Z>a4GhwuftS3b%R~nOiVuyEq1v6 zXTFBlp-Vkn6L+k4`0Vn!B#8e++JoiK%lTHED!r&%W+|B9s1o<z|HLQuOjosCUYAo} zKeP*4KjGtR{oL06D#Z&C-uqa}9A#A%FNqhk=GV>Tzv-pq^IziIoNr<pQ#ICI;nJFQ zv&l_*`#M9Oj1N~r>u>+OHnk@5@#N;21#<&@9=?7geda)VS_bo6zQWSme~eUgcc(4< zr5S#+>#|jaMft-&!h+gmyS0wg3za^)yM^t<{~vt&t}4v`Jxz4g!JlU@x~!kQtm5RU zFGp7>@MomxK1{I)i^;5B_$49oP5s|#6~}`OGq+k^o;`KKyu(Y5ZQ!^qIqP~zsp6_M zHrf4_JEnP`(d|1`#9V9Xbth~)b9p7>#*6>7Tz0x_7Rbs<pU|8-_m}FWP|=IW#f>-a zS62G&Y=77F_}<PNUqA65JgeX6yZE16hUUbQ8~ff|eed-D&qcNEJ2gX<uh^c;(O?&} z(^s4{;h326E$J%3%?=YUyw;pwYI-?+`S<oOdx{Ts<Q=S^7gX_WotrYRPg(6+7Fk<m z*2A9fPDfYGf5}nLpuqO)qv0DD2^O<Brq4ewgdFReCv!$FQYr1m&Uu*+bl+<yY3|m3 zr+Mwfw(sh>p0xo7(x#X;2e$TPmug<|jaW2SE@k?HYbgtN8$SDYY0Jgc9)(rQCQf>E zZdY(O%ee=gWx9Kp&rlIvw8>J6v-0uoG@F2Dzm|T~5S3$Hb;Kd_^`!MJ**b~=x14N} z3QqO#&E{EKU>9uc@mj|};dhNhNnPDduA(WcSNMl!PQ9#oK_>XY&29fXUs-iJTuQsx zzv^~KV(dI-FDnh!I?Fx!o?=T`5-MK@+(>We?O)0kt5i_we`5D+F^hJY1Irb|m&Uj# z7$!8RsDBYJY&A-XY>8P~D*7cN{GW_i`P%LxjpY+#n#C(W^Ew{z-o0k=HnwZ2f1LyM z@}35GYp8{-l&ySy@6z$@b1j9q3vI8;t>qRneC4M7T<o~!ljG(xn+`<&=5>6zf6mrx zeSXq=C!~w?ZC$Su)%7j%WNfQ!%i$h@9}$l_FH8!mot8Oy!pj@?I2#|#p7~!%S9!(~ zt5_ecP^}YFgAa)2>UWAMERN_sBrJX_Q}*kmMJwx;y<EJzoLy6)%If<exweW&u1?#8 zoR8$0A3w&Q`gZn|(kzXLb^QNqrrx`|tN+Ebz1o!vyH%KDlDx|g9Xsl>*gpODmnG8k zyLSA2{5~*SWzx}IB5z$jS>BTWR8*-mza;C)v#>ebtlewkjlODJR!B=;n)Z6FX1~_Q zxoww?!kVKL6{0&t%i_}Rzd!VI>U-veCbg=$LPuZA@As8T-1+7vJ8wuvi-oJjy&V7a zTV;#1O2zjH%8P_lU0D3C_r#^v&mrQ>D_IskIDI4X{*n3u?v(}W&zzeWYj>ew;py4- z1uWBVwg(?yFnhxy@r+%bnv7GnNxnL9=@j1#9iQ3T(-f>rMB6uhuJfG85U^eGn6C5Y z$5r1Juw7_+y7R(0>r#R9b|)0vN=!2TxFqE9#GO<Vcho9gIQc-JzUvd#`z*&TX6T<+ zj+*#knv1>FwvPt4F0&qVEL`4a=)Gsg<?A2kZvL`1jmt?iZFle7A5FcL(+gjn+Ma$} z`sG6Aj;vj;bez<>9V_`*7*f|xJUF@6PtM=Ws?z4>izgpb77N>6R(_w^b?kD=^3I*F zUjE?u-YJ~tTfK|H?Lhgp4fl(une|Ekzj0dZUghZ;=Y7ng_lwdl{dKpR&cQ76!LRvZ z^V`%subA19ZKj8n3a`JZ>T0Wfr57uFHECPd)I{qRrsxAYnfY6kwzW-9w`OUIN>Ex^ zdOg#1+TypH3SXMC<-B8=oAdH*?*je<92L)bzuP>X^11T0{r;MV*7-L+_bi|LJg0n_ zOKr$K9@YlKY6*T0eaE1S(+Z1k6}TTzW#Rn)>!zaQiJA<P4z($B&He?xnX;u&x$ZI3 zU&lv^*@DyOSTFihuvSPi%_2n1Vgvifm$t%lQbelfiKy5u|D&oRm@THac<rVqYabpr zoYEI)zFMkz?J1V<E7h!LPUSqYJh^Ulpbyul)bKUDAHOZ1aci>1?5Z$_CsskeWm`%Q z=XR$qw!MCOdW8Sdn5za6>t~lwoi4j8cZ=BmNta_Rr+nt(lz3jX$slW^`KsrO<un_! zOcN^NV_2`vPU~sdoAbh!so{dRYs&eiZ~u6VUAZim{A=E|t3#Iej_tA9@_Ks<Fa9OX zWkOExg3{Gb&pBPD)jZ8=X6l)3yV&@77oIbAUGr3+lW+Iqny<?*nDU*gNqF!e#mMyO zRHegHLIj@%CS2|5kzXg!uH^XR;+*&xYnQ<EjPy9Ctt_7e_h)-}X||a<HC^>ceRGCu zm3F4Uhk5DJOa%^ovo2gXG~=Ywos5kM7rr=f9bhvEwTyBz-Z=BcH9L{Qdp>0<*WBI& zTfYu1b!MoX_f~uQ{sN{wf^7#&l6rspE%9n{swh9Lr0I2EcXQ<jdtrvfN)w(+WLKEY z_!_B_`Mg)))$~^`!AY;JWFH3wFS!`(__&+@*glq1+(OJLjLCbl7yth-btRAQ8%e9% zB~P=S&A!WU@x$BrpE()gY;QPg-A;bmz2dUNv6AJnJe8}Ao<I7fIcZsjYk~8V?f<jp zXLFbR-P!pvaTVK@Dm#_A`RnW2Sxg>iIk-FQGMP5-w@q7R$YGYv-kl!Kj1D(@zrWPc zx?qtlzPw|<`CdMg$jRQ1jpv7HJbiz)J~6@GqtoF>XllC$-?bC6FXawSe^qglV<q>G zZ>Nv%dwj{WVVhlKaXgFFb1zBtr87C7FD;wvv6f+P|AK}xh1G&#hjYvnE-NhgSJ^1C z_~Om|yQ-Geisnx#{r7!YiQv*ig%uZ9U4Ja`k|!{IdVS2IeL-o0%O?J8+IK4Ib=<4} zoL^lEqY7m%FFbU9&*oVTe-1ZYuwhD!v8dd2@2OXf(xneVUE1~jj;r3AFg*&MSU5T4 z#nboRM{|-4oR^1W%<Ee!`s}OC8)>(FZ)UcAv9a0q>iSAHr(@>%&(Edl%siMf@8QIM zw&^))pDW{5%<xb65xINi)yEUkwrRa;Vt8sUEWNk<@k8~-lX0gxLxf}HzHYl3y*~WR zi+f%iVvjwdn*^6@dLPW4v0syS_61k94KCbG1_EgUE`pDaZ0TGjwO{c^{=Sx4+s#f} zPW*p&<FKzw_r2{uWm(J)^rj_RZI8Y-ca?AOo%%krTiz~#yt6K}_Ap&NA+(^@xBJ&m zrHt<X-+!=jyUbY?X*~ah#D(6T2}#B0lUt7ewX-(R+gbJa$>F{#>m#lzzr(uT+FazZ zSn%c0$#oA?4^=B2Z1`RfCRghp!`N5-;sZNRw20@$^E#JLO|@8Q%W^o_oJTuOZ&9Pt z_Z%aQqii4L@1**REZ=Bsvhmh|Eq0~7n%)g>-`YgHPEpbMbkXZc>+Q(mJI^bcU-1XA zy*wo7t)`<gvFpH_lIbQIjZyVH+fB~){AXUjTJ6u4l})U>xw&StOrIK^I&G5WvR${# zRox=B7Ou(5ugE>4>AEo`RqAvQm+QBv7ya9}J;_V^q;^8=edOCb_D5M$8`(1pTy@{n zaj<r7b$Iwz?Um+^Eq55s$#J~))>>Vwvc+>#hSIib-dl`u`5{WRJVn*Y6Wb=fw4R!z zeBl58@4sv9??3<iyMF)q|G#VZoqzth)~^0dt^^~5Isg6p@4xNlpa1^z@4xf!KiA)q zSNK&by6eB(k1RDsiw^#<Q#DnZXIv&6IRE|czrX+AKi96|KYje-`)T(d99p)qt7fl@ z{H?Xc*IO=l-+cY^J@ZxHW?_aU|I>p1?t61Y`nvDb(|Wg(7PZ`pjO^t|U*xi*VP1{V zKcA+HO>Eb)5}P#(H@wMZzN(VtmHsN}lVPFFvQu6rX7k%p`X8;lV<Fuiz}=>4D04HH z`E1CMDS1W4e`m+ZEX&fBdhLJMb523XqzBc?69pW$_gtDhz3^_0?<3#qzFt0M8$Fbw z&a9euKzPL)S<i=6vVIRz)=8||(<H`NS+LOYT3FJXTQ3eTZ=V*tT0uhR&4HPJqIB+U zF0d*W?@ivYr20~0)w>g~uf7Rg$(ipe?D*!vrqi$7cpo<T-?(tOH+0iv?x}YYIp<_E zU$Sv#+rm-t#a>n5cMIPan}1hV&YgT%{8yWtu95kdl%MgX%^l|gJ@*tXTu~k{aqV7) zZnmi$xk9;q%5VDjFV{~>UNUv(<^z06e_GdNvCCGyk;{?Z$v-{0Q2eL9`Q1}Xc08`D z6DpjOD_XEoFDvX<(i8g>{smu`ua11)e`{-c{R;j(kEOzzp%3QUqi*C;NZMG-#KOP; z!u%*3dGhjd@`^)|ck(10W<4s;FwNqy_l0*BvJQKtq_`|Js@wvY+2d`vnD;%9iEH7q zQ;L#}XsXE#-^N^F#jtq79k*%zx;gu26+Dptab#A-qfWUS2Hm?i)+z}qo|J4CcVgVh zu!&(6!*+p*^Oe<ua{2wFvmQ-Y((LFJux6Kg_?K<gx#nNCEL8gcnC}c5r_Huy>>oKU z*4eSWt@rxV_<v>Uhhxt-ewEwOoN%CzNpX^Q?{=fSS68a)YW(KQ-0Jr~e?sGyq<}@j zEL~<hD~0~`>vzXgO^P{mPT6JkT!sFPuN62JRpxlBZ*>2?%loS6bmKygT}$Kc7KoJW zkmY;vu3_D(XLqLRhVK?FS~*K^hwk*3yRusrhNLGtP2^k66L{o6!J%6$di{G3B=JqI zlzr>ww(+0Ut;`LX%zG!UXJnmeA(Op4>-NN$St`?YC%9iPe(m>s<I~yC1^mhvnX_7@ z1({jTJZm?5;>r^ldaFCP9?6m0-FWao0UxtN(N3mHo)6b~msjNk%0+)vf9JGn(aTxS zE){znXjhQ1_2>+led2%o>cEMqhR>f>D%x5_?-V(@>eJfE9$Ra9%4$rtPAyFjtTJ|T zwwtR`;Nda#&ca_OH2CLM-3mCJ)VzRk`MX)=9=r3DF0EZY!Q{2R>fT*va%axgu>IZR zb-b?lmH%Pa{&OEb^!4ql^t$?8)nmV3(j~+C-Yu2Wrnbp8Y+dsEq8a~2v(iW_okGU< z21o2S7Vb-2TXC4r{m<qF703BJ7v$Ys*fBdG*Scnl!pljsN+xYp(a-f@T-35v>ie4M zA9-F+U6*Kk$@|*pS@QE58k?G*ez2OeO6=ven2B$F`oku9PnJBDuDNOI>4+r8mAkVp z9WB;bQ8az>Zns@!qBH7t_HJF<Eb^n%`*_W_O9smoKTMl<_(P5AWnKONv)3{!>+T-@ zI<epEgHYJ)Ima$B^JTyMJ!4h-zk?T@!XsZ7+FHF(GJIPkGr_DrvTxHT(d=Nk=Avuo zqOVOVfAjwE%_f!Z=#3}E*iWj3-kCQmrtm`3%I>UBNAn#&?X%}s4-YZFy0CMxPQ|X2 zdP%u?U(Tdz>Q?i*U$$xJS<z^tB<QB3)Yu`S;(3XYL3z>2T!DZkDw&gx?GcjG$u)la zQUCXa>`z(OuU*^u)ZSg7=HW`=yhrW(r=Rf?2~ldDBI;hpA0(dqi)B*e59hi+&JWw| zu6j4*FWdU#hdcYL_T`_hIQhEgtm$uko}Oycv+eHhik#)%H=Ly17T6q|RIN~RLNIKH z(}OQIUS9jkyFXS=nQ|oibD4;sXkBXRv}@HfeqUJR?s(SdDBDeo2Sz+^_XLZUe$icU zO5(jRV-5etBO92^!@JfV65w8Bko{BKMdG}NqV}4qYf9m&?;98H_X;}bWAyiN<6lNt zxxv8z+5nii_ZmZ!8zVzrJ{tpSxsj4uhE#6!><ynF<GF2F`SQ~6?w^fr*_H1n?KXKV zr5o##@=SSEzza>Y1}AZ@%eMXoMK_PhZhlzw_T5&~chXy~MP;wu3MHD~&768jxqrUK z=9z9s|Nnhhc<1ol#}z4QE|dMGOlFksN__Qw|NqDD|3Cfzd*w;_|9{{Af7w4@>tFx> zFZ2KZ=(i93v;Y6s`aiGl|9}7gPrm)hmJ9Z#|Bl<&oyz&Qeg40%{r|rm-~aEs{lE49 z-~QhI@8$e|FBfn6uKoYx@&BKX*Z(xHY24I5JH#|M>guvpuCK$U`hG~98!%URUq-+; z&#)DFv9kmJ{fWFefAy(o^W31Ts|qVOpE9%Gp3(GODQfkpv>!hnZ{lASC9%;rmHW@j z&zsmnb+fdyXNkZ6R@a$T{^!ZzP35bN+J7xr^Jed>RbpqO|M$7MtvS~rAGxt~t)@hN z>i;c&ii5SKOG3N%FM6n+Dlhiv=<n40?KyW?-q%EhrTsg5a(-RFH^0-@&dz!m8|*CY zH2c;5uxnRBOjjPcz3j%VH;MMf?Pq_T_F1^~r<3%IL$9PZKE3~++pcQ+8-LE4gn!x} zCax@B=lfB;xFGs6Kkq81oAXcGX=SPH`5JdK`q-Cwod+`6_T-isw;$TQ^TyfzTTMeN z?oYY<>iz$3?r;D9SYQ7j_qN~Fr(O^J)gGLQKWpqe>E~wAduHyZXGZ_|nEzv?#fI(D zQy2a?b9>fP=k2_m>tD<4TsNVxCOt~=zU+s}xl4VQ{q+%jv$9S-_-em$Xzlmco6alm zU3NBJ>bi;NgSGmn?Yh6r^?5jd#=04=?Ij-`o|IPg{`Mw)@2b$)jrE(@d%mspv8*?H z`X?yz=B7<*;-7x2J;|y`IG4F?duq=8+i_FY|5z@TRu!9edEUuGY1}nakM6A9Z#Y-` za)*6X{I=+{1KYPrr~mtPXwHh9a+Wg_qs&gSCRgX5zP5Aid7q4}87W=oznwU<&;G`~ z8T@M-EhE<2-tgbHIc<sl*~Hm@|NdX|<FWkz6J?^w8*_W?ZytCfzwPr4`>c=C?*4uM z{|EE`kg#v(^6UR!w>Q}OcK$w{?1;F_J1S=%^PP2N#<MN|O}roQt>nJ*`*L{d{Hd=v zZI(A4;Fj{Zv$XM$wp7L*wdP4X{9keLd&E9gT*+SH@=8%|a+Q$3hi&WfCG(CMd*#nP zARQ$B;)B>lfwjKL<%=2}+@(CWENyhEmde;t-Oc5-NPMOC7nRapxg~N7tXH<baC_xh zqWV>2*Musmxw3ozY?u1o|MI5(++*inGX0(#H~qS>g&%XHu*n1qPv+KOlM5EUY^sF~ zS48<H?K)-|#9z>H#aTw}t4iO5DlT_V+ur0Uc~##egTyVoO<UgHyq=*@FqcipJYzw@ zWHzboCl;uDo4D&xWzh8<4zC#XCRKHKFPV2FHOT)V*UIIEU;Q<HYb>7rf9=vNWy7bB zl@v`5HTD1B`DS-dz{MS*tvk1bT~9lu;bZBYEW@O1|8M%H_m=KV#~<l1u|Luga(|@5 zb^Ni8RC<z@%Ejlx_ZD8TKDO|p_A$W)={?FvX3X%IEFu5cBQdE*xz|(9Juzope<4TC zN0aJ{6>~+?1!u^nPn;o~9ymif{o)MyV8zb4hJ3A+hJ3xQhJ6gd$uf$|j#^BbA@|rL z(XB_hcc!3wZmIY3$toKRjwu>wU3#P1$8zjgB+Id*kt%9OB1P1WN1A*)7UGdq*yEh& z+2foX*`s{mlZ1OmqG6wk+YyT(3Ax7}$y<&G&S3p^)WRp}N#?gjkq=_#xIU<v<C+va z$2Bo^j%#wMPpb;wF$)pCqZTH7$1Rv-dJ9`_2tW3C<kF+u8f@5Sa<1-A@=f!~_DCbE zSd~Wc_eC)eUhcM^TKoDr=a-s(Qx2QQ-V-X$NsGjNV(SghQ9ZNhscqkEZTr)vzc$!7 z#7}E~ar~1C+a{Y<Mjijf_9wX)|1rI3*J^lgnoYZ_@*e4Y18x6%3K#p#HTEd_7hOD& zcRnoW5ofe#E8m-cQgb8Z78sv)E=k-Od2o|WtDKJi;@{OfP4+Lkso!bF`R3g7zmkp# z)3r6;6tMQbJ=$cDnp4c$xOUxw4L3zi!fNg;c=LEoKz7)bWfOV@T@##L0wcr&O|DsW z@uvB@2u6qoiuk#U^iKZCFxxCo@yw_6AL_172`%sD*TjDd4O@{Fr5ki~v3lc5`Cls! zJm#;{_<hS=c*Y;aX4Yi|5lJTPqHTr~Xa4)wIA6;qeZ?{EHnxhB7X;Qz%yOw#OWRzK zxFT}PgtLLsp+Bdun*V>&fdW46o&^P!b?-H=be>7v?6WW{)cl9gjMD*)l_?iXucVxi z5tBHd*jXzRcH_K@n&JF~T*{KG4BHnnvKgBk5ol90oU%}}CuxOeru~m~Z@k;hvg7Wq z+P10k^sW61pR?beRvXAQ`<8!wRm}(Ao6nD)@kw9yeCGd0290MJb#Hxje5d9kn-z3* zhi530yJzf!BkVhO`}NIpn9lF1aA7K&(Dav!MAlt!c&x?C6r;R&>J7(NT(>>%uBq{` zJ)jlD|8drp$uE8=E?s`1^UCEHF{`HE-dwPE%b%iZv3JwVTCNJuh`e<}jN{D0#v?IO z8fO+a9&?aldEs}>>5*I{ckAyZ>pnJIVYX5EDj<B~v6^A;mEakEuQJd4`0#p@+q!#~ z=FFSM`Q@uXV;zg;`8SvEJF~D&k=ehiaB|k><G-im^?j_{a?rSn$vZ<~!wfc|?u-Q+ zCa_6yX9y(NNv?H?4+?+b{7P!Cr>%p25WnG+E0ZJqUTNwme>Isl?bXw7I%kwi8#|Zs zCVpj!+w0EMc->?|g)?*Owl9Vzau=&vUO2gBAG=dMWnIDaD`&5Gy<)VQ^tAnj!z)i4 z)zh924OcGz_+;-3jg{NxtIqy-`D^VgjuK^$%C!0K<diNv{;PjVN@VgI)~_{NVzr}Z zWT&NapZULnWrp@LMsE9Gdw7m26o1?+u(oaIhp_E=fwK>|@(WsgjeD|cjxy(+n)}Tx zc`mCX+Evz7_bn+n>}--(<<Sr=C-kUSy-B64+Jmdseu1ad_C@N)OzM4k*X@`0Y|hfE zU$-J>&QJZSDXvz*ZhG$LH+g7fe)SQXa<a5)s_VH-vFVG;Pu8u?Ive)*js1(@P4RB} z?(tdsPt7;}9r~}Q?n>QM`{|48BbV_14ZC@xB+f}x!efO{Ge>A*!Is#%)jI#z8Z{~| z(KDEGMYV0>u0sVu*E8H-G0t<<FOjr8QXJ&}$o0#l%%Us10!7|NmbyG}Dp~QwgGs;E z<3a0ko6gU2iwe#;o1CliXfT!&dbFiorSPV6P{mut%spLwO2*+c1Hb(F`-pGVp))~? z^DMLWYd=_{p&aqxYWq%UGZDW9#gfNacWsDSq<va+*T$G+hSSVvirUg!y!SlITK}Q# zuvG5OL(Dc$zwuq1ciXy?PsVSda{J{MM;=_`Jo9Wrq^7~zto*5ZsXGrCP4m`C-+72@ zTK1YJhs<9~^34wAZ8v>ZY;k~zuRY?#LzT25i`Jtue#xAzuk)m5Y_yqpm`QnM*xAP{ zY8zt`j83aA+Ypneb=q{<Mw<gA>#BqnTdXmdbyzCdLMB0{-MQy+fzV^iIfq#ImK!!5 zOw&34V8emfEVlEHS<E)YB$%I8{k9<{QUA2*x4_LQecm~bHXKUh%g%XN(6Cm9Z)5La z&z$E4T-FwIj-BK0-W=WCo%iW&_5L4f@p5U`B&!#nshe_Xhi7VN_puxQ7xqtm?C_<b zbj_~P+~hZ%X`HSbdJnwazW4XE<mvVIi#ETXyM3|Y(>yP;!v`l-6t6K26If$Ye0-Cn zSVd&EPRTo8$!kmYth$!w^mmSRn%E8N{Iq*9A)DOUICRP;U1ah|=xyg%^Hrd;RBq9R zd(I|i6&?+-B^QLeGZt)^!X|Y(Lm<JD*Xhi1M<MOZ35T?#mTa8Gk`}kyi@7m7W%iAo zZ}zow*nAM^Y?oW~;e@k^T(w7oddY>fR6pj;2Fn{Gr(Zsxb2?Mtks0r#9nQ@n>n<qt zRxe#(V;tsp+2KJ!=Ij&)rgR&Z2W#3r=6n`t{Vcbr@SrnO%#!A57Ea8qi%l+AxHU5z z&lGsX!aK>rvsuLNqC&6hxw|bg2FXu_cD74uPd{n4F?s26cN0O0CyGMNwl0Ys?HN2@ zComkI^E<mz>EdiQF5Aon7rWUQe_c>;iI-Bj*w3c<Wx1mivz^wy;}aNX`m>$MkbLLN zq-=9y&RIS?l@E*7h)hlI|9YwYjMly8H`mi@JRbOZ=5H=Pdv@Zk=`kM04hv=%cdus< z%6P5F<UdDRidAXj(Vc%I6x3dS7U=br6G~WIJlot~W!I&xfiIkxd(WA0T<By|w7PU) z&8N%>PSsLNF7~lJ^T|`t&N%nDYLo99nGI*0S*BHWc<S4@ByMca(D^ihf&1kG-%T#e zty@hlL^wAytj<i>Bq23Jr;SZ>%>qZM)83AiX=`*AG#*%W$?RkU3twf&0Z0CcH(n`n z{kA=_W{-`^fjFy-&dDYL7LLrlh3Ae(Rwk^Q{zXo)@1jEIViS*t9K4q-e3*>GzFj!5 zrVJE-sax7Pc)kmCCdw@`xZ-TWR_W2eS#m*WcgBJZli8%KGXxT>dCx@6VDpq&;K=nj zbHXu+=g#YSPSmVQcRL*-FErzs<Fg#U?~09*EL!K~vX}95p82LwyvEW_#o+ti6N|QN zTC^zj@vK$1_NyA4Kh3hNV&;(){ool-Ql*6V>KqWz=QccadXmJ?xR2~S(^B7s_H>oq z*md52_QG6~ud9{UUR*b2%I*HJ*$el!Z#h={{Ij03QMvQ6ed0TR23&nqzkbb%vul4^ z*SS0jE8P+NCFAG$oa3(9Q~#eS3){jjVz*iLWzDUS7N1?uorMlBmJIm!_|8tbMFt0* zO^#K2G#s3#)hhA&rh2EL+@wPp{GQ2EK0AiA-Pk7gt?py_y)`jEEVfHp)jwIj_M+;n z>U8_JxozuAGJ5mmUGuE1<};fgc9^#P*ojH=CjMQpLo%(xBm2xBjqjIxWi<cs?40?N zeX|!|bWUdPP1V+P`}DlFmvWb+idPzamUlEiY%^{9F{V{dPR&1S_`Jd++oyY5b)L)D zo_llG<c4OO=RRHTR&KQB5Z^XU6M-2rybJhL3{E+-?5gfK()prE&09t7;_>hq9?ZR* zCL9Ly*c6XlROsAj;*rS1dr3kzR$l7DmdMBRS4TbA@!7COEOe{K1GbgiGRmc$i<hh` zXt`p_CtOu}d6|WaNo8qv@u_x~&xdpbBz%}V9ZdoxJeYeK&xw0%I&daP*Jz@wj`mwM zQ{Pq5ss#s}S-!c8pSGNIKulif#v5m$**5KyZai1ys<w4W?r&$g@m5hWtkOfs+E%4C zS8md=Ynv{K{a?Fm-BEL)-G9T9qidSmXKdBJSSC5?K&-sbj>pbI+ily8wEg%Ez5VzN zv;FuDyZ!h%H=cH$RPjbp^Un7PChw-Y>TTN}YG!uwt*)2Y4$qTmk?tqCu5;d8a^Si~ z<O3~L@0f)tt=<<q6+i!#7yjug#Sych@yLu9vJ-UzEL`6&ES$y0c<zG2kw__(!akN| zQ_o#?cwoe<B6q>zp(3w|+(m^0!cr|2Ud<}=T&pAgRfiWZ>WuqW9lm+7W$wf3@X0kE z3+D2B+VrHY__;pi>?f9m2A7>p(keU}Udjn2&1zRMyy_e@<B?*fPhZ-ho9k0-EA+qr zUbpJm+WPJL^{VIoKN=n;c;i#&YLA>g4X)WrUB{037fpY-=F+ZhrM}$3|L$(rjC~-t z()*5jX)F7Zbss;xZA+d1q%?7L$=}BvDjOb7?ocUwzqljCE%(aOLi_ogS3P%D*;dVF zVd~FR_}Ie6<)68r@buc*e+BP{ZqNG`UgLjf#oPQZayv>l?|)M*Q#x~5@oTkDrPc4) zrZ6+<XDED}#KyHgW5LH;YiFCZ?|pR5cNVi<(c)>(0{9nCdMO=v@{E7p-IRTK`F9$M z92UH_R+*6D&fNOV<id*&eZu`l?R#Hb^PS0juYB>g^Rp};%rj5rE7E^;sp8Ew->0vy zUF6kZXF0cX>N&<vXOjR6ALd@=ca@i)Z7{#PE3^2(?z}6v%Idf0-I96YcV+3vD{E(; zyZ&~CbO7IsQWjp7zRL~|S$IwSc9n9UNwnUn$o5TIGtl$V%;@b0WS&%pT&j3@P4~q0 zw^O78_&DY)Ydj(;r7>q|<1xqWc?;j_<+(al-@CKo?c=^USJl?&i?U}6%3g~-dtG*q zSA*Rr$d$RX+r*$#n%6{ccd7R+yO(V<OxX7bPF1<Lqtts=b4>p(lUsFfWXxx-zb$IM zK*oSmlUHTk#RD?a*rd$w>{@yz@%C=TWoH=IyQ&)J?p$n?%2%ZN>Qcu0Yr0d{-}W_M zAR|!W#@u=F-J8kGT*(;=46n^qzx-@N?p=Y)&l;vLp5(&5JumaN<s!Y_zP!7ae(vfm zW<JH(_|as-3<u`cPLm5WzW5ckrRd-7pW|~nZl|@YNas00*M;6L7k9i!iE3T_?Mb;t zYy#IxZy(jt*1#p}4DVV-UrLKwKj*VT?y}N@mi(8}qMk3FbZF;_lvPj9C~TULdA4Ad z1wTvLr2|Xem;}u5W$v}iyZh#4)w^qF=dItIcja4)Y;l@E=J^{lS9m|(5xxD8<?PpN zAr_gRGZYNxvvF}}EZBHq?d-E#=ItuoJo8$ndDiUAxdpG&jMwfBoW3(-SE+VN)ZaS| zTr<Pcr94U&Hadk%Wt0?y<d5gw%~@u8x%XCD)bcyKZu-0~3AtqP_}bbDnWg2-S}9Ss z844FCvvKXsSa9(+*n*uP3nIZ5bbE0b-x1p|^BQ-0*_2kF)&0@|ikDw`Gk5x#1ibKP z?qvj-U3w2>cJQ4QxywowUj@w&Db3td_&V&w7PeiCqDE^SO(sbAFt;k2T#$Hm?QB|< z``s0}%S;b~^h{r**ZMJVy4!C5IgiDR)+XjTwEARQ@T$07a7a|)HCYCBNh-)Cx}}S^ z&Ac{sg?D1sl~ggmyt`a8!}!%-o?vb?|Ed1Q%HfeI@0qSCY*PC7iym#9c`X#AXKf)! z&#DzmHYjOjmXv{96t@H9qUR<Cr+K9`-c(L!NsD|6a?^ECz+`We-g<V+I`>#E??rmO z-tQ{3Q=<IucyQ0WmMk^nsV%RF)y~)j6EaKdc3U`an!f$C-?^0adFFOSqMfq^kFLp5 z>e^XkH8bqtscT1fiihslP^wzmnYU!!g~?Y;cUfER-!$`D?sFTB*_mg{&oytFd2Q|r z@5Hz(OAF6hMz5NXxwRCOIIJ!mP<dn$km1j4TnqMl!1Gnha+aAM2L+b-BE44E!0E@5 z(x<F+TDJ8v?}`bTYnL}3v69kQv$XM;)b_k>+HnPox78LVgiMkUm?{#syL9v2kJGB} zas4gaDjl%UdEKJMBVJN7I=k7VK7%~GdNs(y({={l_F0{OWvPMoE2GXi)%Un&UNbUZ z;IwS(6gF@ha|WAKH(2>{kn&}_LCW2)EH%)5b?L=xP&(2r0i`4EjD$_~QW`qT8;>z= z&ojIH>X}=v@!G<?D>IMpa*bW~*gaP2@10$uMr;3qqgkF;<=dqLF;_DdYy>B}wjF`D zeX^H=teEDmy1AyyORD)UNO>$+IWw<H*#(D0YhIIWppXg62U#)eHOPvCfzuD|TH&4C z5xw1M+19I|aLHZRcqC0qBWF?LF*lIHSMNazPv%`QyZlPDRMV$tmygo(bCX+rvR8pp zw3IJ%XP}9Jq!F))+YV6bR{*7-UT~V5yu$lo)|J#<t>Azu00oR`51Wv4W<r>m$%PkB zQ=+~J72H0#<&Ee9f0v0b!~;d*tY%J|`)|AT%&^37@=sDcT2Iz1tenlQxpH=^#>&|* zPg_RcN{h0d<96Nba@3n8p|{eaycbV8v}(nY!s9PHcTLFr3Q7w;pD#KrGUpXxyX=s> zF4}x{=H1d?Gq%mVrU-JGY>yY$@5Pgj*>2Bk^~vr7d100>b7!PUzzk33UP*AKybsFD z-#~GjogZQ}efo+e9}ldJR=hmx9b3qWEz2%CB=PWyEW6;4yeZn;`i$JJ(#?-^wn}f6 z&A7dI(xGiDmRvkK)3GFRjc*aN7SFa$NU`|Q<buR=P<}al=VO}jT3=9j-jXcU%<0*6 z<_S0{*cOFNtxOPWJJ)5&uk!5D0i6{Y3k?6qs>{vJ>@5RjM>dfA*Y<lo>yn*na%=~v z*y08iTi2YVJaiT{o}7@mVB-;x)7`-3oD^7juNT+uMb=q8MW8%94P2_Eni#B<<rR5$ z!6A7mD0p~Fowv-q_HKpBMs+RCHT_;vzrkrO6r6ZWc~!1mIH2;(<U+*vypL0_@ojfJ zXI}m$<Mx}F-nR+2g#tykxry{Po)g_|aOv89@0ZK&Pu_LJEy(<&^2+R-vaA&Ln+(g{ z%6i_GEZXXv6YdgtLpIRlm$j)@&w5TjmDY!*EmwTwTwie=_J~d15v**z<(swXCgs-~ zgxAVOxGQxX>g!0lR_E8twK%Iq*fTMpSEY0^>*QUBGJ?#5rv@uqFW|E>-Sjwfr|?=? zzjh_L*299Xk2``FABvEA?Ghy6_1Gge$!6v3l&&kLYF4J3xTnea<&^E+bZF(o*mXMH z8eHzm)iZBCU;Ic}?3&i(IUZczQ%^3@Gi<#gx@^*}V<yYp%4S}=+Ie<eke{1Kr>bA? z8>!UnBa1hlSQ(huYIL`A$p(j+j<1A_J!2EaR%V~6Gyl$8usVHSW$^butI{~vd~j~u z{Qg-TV+fb<j$;9huX(0g91dum&2x3f(F+XQ|B6nsc;3$S{BOYHCV93UFWMFLekKY{ z|7*~yT{q)csD94e1G4raJ6`A7|6Y76mq+x*xqwbtp3oa71A1fsO;COJbK#*{dlPLj zd!7#$_?>M&9afS1oyf)h$DnuZ(hcu8L()v%eRFQym_L0>yqsFWmMx{9Lmo&ttM(a( zJY;b;^)oU#pjqE~X4YkX(QiK&9;>tG*>IHKS?0@O6~3Q|T<w1idX?ic+#YAzi@bQ3 zYi}*pzvuCCCU;4#!k&d{=aW|)>nz`+IQ!2%e$j8g7M}e1*Wf^%Jx}2Q{>c_E+BIc9 z9X8?HKl!&}8r!v$g1kwlf6I?7XE{H&?DvKq|6+TSjIh7Op{=!+MXSx8ek;5Ab9;tT z!8vD@b5$LOB>68|{0)1zUHYiX=i2|lb}2>@@{=rn_OZ{Ld0_kWV}(*TXJ;>e!SvR5 z+hx0ys`cv~E=%m&YjW<=iF@p~UBxrrx66K1>^y9@q~Kh+POtNqxNp<0I?4;pc<n6o z{AKdZ=t%aO>Y167+{$+DKHtvr?big!pLQwFgyoHP3irN|`nrvu^Ug=b$$UR19AcKg zw8LL{_l7;Motd`RcsydN4SF-{^Rj&XUTKp}s}H`mQz<yxuCngeghQh8mv;Cl?{3-c zasBkVr+Pmp98ryL<a%9Oof)&_`=;0H7Tc*9Ty9qpyL^0;_okQo_L`VY_`G`V-f5K` z2ZHz~&UmlLwb|AsnNKq2+3h2XYdjL>@y}G%yeTj<UsC_W+oc;PxNTDv`#Ir2lDtvk zj|qo@tekF3oz6&k{_$x^W0_q1LuVoF+7oML*LozI_P(*%cdVV|+OG*mtmKWFkF=Xi z+wc5U>b8XR$$u%glP0%&<a`ro)s<VcajMET*=>~_k=j)qk?K_)k;+va$FwHh@Q#${ z7nFFZD5PxTlDMNigGae@Zx`=Zfks6+CBqxeB5ai%#~dcz;J(4YFZklUqR?L(mxb%w zGrp*G?k(&6CeUaor(}4|S>#w{$FYh@H%?z;;TN3oLQ!b4jZ5OD_6#4z&b>*#Uj-U7 z<&-uy$=v={WO{gW|FO6BPd!dQt3UfE`tFif>&vdpKXIR3PfgACzsmO|%l72;)xMdW zVYyaoc4*iYt~F=ep9*z`$}QS(%h}}Glk2md{r|Qre#<GZ2Lb=y_ACua@cif6!s45d z@^9ij7O@wH6Fcw8guOWGl4jKVN1EAt<sqfES*LZJnROB}I!^NVCJ8wQ-#C52#BJi) ziS^S{{rR}REGT&BJL9pXjzM46>s?dJn35&4(j+#$u*zDN&c|Kyc*7CPRm{&e9CBOb z`g+3=={Bt`$yrM;PFu(*XQ<kK<KzVuKO>XYMjqefq<_9METg_m_;c^4d7_oU=ZGC~ zKd;AL+xVyX>BdP8PiLkde;o4fZBwbnXNxy1dJ}dXIQnO@yUg7f#Y_c*9yX!FnF|b> z*rfhu3M4Q|u8lOFxGU0b(yp05JZ%q5__KO;*H?jH&w8QD9=5G7m&`lX6SVivyjJBU zdK(sQQCu_cfR)sYIWyUWvNIC&%uOy>I5#saKbfIAZSt-|TtU}wxV>WBHmRyZcgegX zX+i#p!Yh|=wEKVL*`B!XYG;<pDQ#$1csjGTth!@nmv>*j{L0w}F8{gB+Fjas`{(jn z%POYZnF<?v*@U7q7i^fxCN(=lAc0kK?UCf5@CuJtQv4pa2Wo@(3;VB3-r@a9Q%32l zN!b+8$aIcEY2#P$$aLRDhX)3{DrJ`(9xCvf@Lf<iP-hg?xpm394Q*G>dd<1QyhiD( zfVAh`m|q^Yt-?#@9sBraa?7WxyR!{`PwAO|XSRX=^Z7GFKyEx&*&*rtQ+?;PiEmiH z`u*$NYq|5C?+oit{=KJ~Kb@Ivu>JY`lTqwO;`e`FWPUz>Rn<{v7QN^5S4k;y+I&}R zOrQ7Z%+YhsBIl|)W}dcHIbh1qc~P_K)Te&G-1mx_d%jGWcC7nF=Se%Ot;PQw+bnm! z@SSmdv2eyW!4(!_zN&N8=R6Adawzn>MDQ7{o9jbA&NfJ&_GbFU(}otaZd&zA9$oN9 zTT3RU@20%luYhO1Gd6RCJ~+p|bkz}EC)4MaxAbPZ&s8m$cZD@?!mcBZLE#n-ucVqi zY#rJ&ZcVx9Y@_<u)25s6=O5vleJ<5u|2lKrKc5flp1`v1*MtM2{*^-7wl0Zt+cR=L zPGBgvQ8}W_Z@Bh>qGrse2`1~F%I}`0UM4d4)c)nGmVJ1cbGK+qBvZFt>V^YX{<Lov z@k<ao&8oIJCW-5`sN1HPWGV4|M>P1fd!B7LCc(!par&XaV~aUQD)^QgG#*atJdyA_ zr-G0BOhVMvI@KhL)2vpTVipOX7PZ<OvzS|a-w_`^?K6)y9LwQjpK<u1K(XbVBQ|`? z4dx%t)LBq)H<!^kY<E$_0_m%(D(5)ZPvicQzVisfG;N-gojY9$9(tEN-{8d0m;L2Q zK|`Dj-^JO7Jxd-GaMfDOaf@f0z0qpMVJ7DpVOx{GUR9S7uQ*%OX|5BVaat~Zv8?#M zBLRHcJdZcHRr9e|{NikOws~A2bl!5#A#T3qA3sgLqr2zL^oF%_X3rOnn7v;%-i!6x z-pSgt7Nq%}Pi&NxG1K<u(|+@4!?8j>_KL$-ypsf-Ma>LCl9ipAbCNPT&hq#saXSa! zICa5f+s~DfyK+Cr>*y?HdVBAy*;3gZ>;LNJ9?#{^%kP{ADp?YH@A*6sdMml=LgPXy zSxKn{!p_bmX&FMX60@Ab+m>DIU9gkWs?m-|^o6%c>O8fAUW?vJor1ZCh0HD16ihxW zwcSGIf!W2%;)q4^!P%|<c&<tuxWK?HDU~GPJbB0YBXbUkwk<2X>i<^!o1|93_4`xG zHrPGhaG;pad(VRnhrIc+_are*-*G&k^)%1biUSuI_$9N%*7Nv2GIS2EICQ~e-oDSl zH@81E-T!Cl+4EcXZ_f;j4gNiS)~RKpXX`w-WQP5XPUQ`&e`UHQ@8%^5vEMw4*0q?{ zId5xGou6ixy4rY0d%WMKH+9+^)2v^3O-lV9{gma(s$Hd9&UycB%i+wfZM*Yu`cK}Q zLf2|%?Od3`6RMkadrOAeDeZc{2QQY-wANU6YuQvy;pk3TmOer2jyHU_J7iV*gso4! z;k$iTHfxijY)ScvRX1h730QN?Q7T)(ooT+Y`G{vy`>qLPLe?5{6v_f_%9dGJZ^=^A ziZ<~~*u2?!?yS2HIW{&QP)lmxWhJ9lHsOZDn}G0k=OcVv(w(xeUKJd;yHHK~l302B znpt-f<u^7TsR9}IP1t%y%G4VR^_J|NUl;Ms@8|s}wGFG(eosGeW!HX{XIK9&-LUAL zes5}NJ!?el_wWwW(t6d6>z+;C#D69}SIT^~Z$eRNqIW{Y#c4-67U{N5bd8o;+_jCV zNADJw;j#?v&W%?!exJyj8ooU*FmUF9S=0IyHe3=_nYOd(kWcu98xOb`%XT_-_^z99 z<k+;{Q~zQFZf)6cU(V)JcIS$M4Iw-mmxlNxU0p9fb#J=s<QUz|nZKhGg8t=qa{k;e zs`l*vwHb5%TOXM6=f2{yXaD_@qE7#h_$IG4>))Mai#ECDruJHv<x204486Oo(A70{ zby)7dU!Tv5UOgK<%aiZB-QW4A>$+w{Z(nuNa<<93+#J0R{;wViRYdVmKeD-Mhlt$O z!%GW4U;e-Hjr%>-Li2k|3e@i{DYU*P@}O>y=8@)#U?Kj;zM6Ns%$I(=aZgjjZ0o9w zJ5F0xX56`yA~DtC_JNpc@50Hmy5cYFeOR6vKW)>jQ(;rLzPLC+XZy0PH?u;!=kcCp z3GIpwHFMVAbt9~PWmZ)8y)SiJ)#ljAU0K3aTf0JPyUmJ9?JwCGo7M(h*|jutr`MO| zS{k2uudh|SSYUL!fO)0Vsa<W-F4Jdc?^qbJ$LMl_zSM-HY0~SI_s-AV;U||OwQe<k z=;HI~CPuv1TeB=?X74x`!Wz2op;Z9y@=d(g=Ve)hUN3n2qNten`m|MDr%diBuX-2~ zdw6f2!@G3pcT?GCwpG7$zAE8pI_H$hozHA8hgZfNw#{}333x93PSZ4`blbyu0j5_< zdB4xlGrwMtzhc!3pToUN&b6=0-XR#${MzR*?{|gE1&3=^NI9=;yF9Z^+Ucvs-0U3( zC+dY>Px=;b^I>D!{s*at>otGxn_;TEFC;ADbYI*5eQ)Ofowm&WcBEP3+pilr*JS>X zKlM-b+Oz|=s(!NgT|2E_xF*i7_3zhDnKEmq>mQg{_0vga-E{p<=dYiZ7Ho*yXR__; z^DUk^YyAt28fSj}#Cc}LbpNEPy)|5yUp>7%BT9e1r~d1w+=i>-_Nk~{Jw5%<k*c4U zW(3T?yllf#yQ3>vj$J>kzG07D`_&sU^3e^xUpKbih>(vKl75x!otV;Gb>mXR^$TZp zVxvR5SKa!grPFO5y7+baoRyW2!?u2t^E+H0{;m0b$lW7rn9`3|v4|b7QW4uYZ`#_~ zAybkSU$4yk6zyv%X7cCxruTc~sx4oCy7@oo+xtCzi&}5|X^Wp?s$q63+Mn}Hj>`$g zdo^w+6mJE+Q@Isb#PwFwvX`&7PUrVBkG!D3sh*0rOjfV^v2+tBo7<%xo9*w5=lzUi z*_Lr<S!$_o?z5oX%O<j$t;}lN+IwepHRG*kk<S*JPVJqY5UXsy^W^26Nt^aftk%+= zy)7?vW~6HBKcjk;4O-WfH*A`CyPwmaY4T&=w6*g2lO%-mgCwN$FG<Mea~as(6G?K~ zquE*bahXWkk=d7KNLGibgdGt}S$AslBVVS?k9~!TANg_>KlYXS{K%K_+40#<IvwV! z8x8JFIkc!ESgJeueAl5*H=544mV55;Ovrq5(Io766_ZcT*OnP_uRW8Z)~L6x+&Ir< znMskjoNu<2`TCsT$iR!s7A;$}Y1y(}Y@4Nj=sf!$d3p`oJJw}aF0ER1^!!;ZL*q>h zIuf(Z%s$`e;p5iHcn~KNGv|QYH13>)okyysX|GA%c`Rxgd&J>S0;?nE9nqS$++g0* zOrEE+!jsgu@1D7@Z9`0g!D&^tjWLM~r%iutwsEjuSH)#*v1a4k!;ISsEsliosc&pO zteNwyKuTMBhh!Y%_FvK6pH|&s*>%K%<<}7lm8#<wB2`B%OsdQZmdhN!xMbO`ohRp4 zAE{#5WnS<+<<R5<M=B;CXsMWdWW$XKD!YzWiR?O7W%BD-6_Zc**OnPluRW8z)~L6h z+&Irf&9vb9(xvnEo8^UFWqG`3I@jahYj-X4WJ-Rl!<76;M`-b59j?WXbfmZ+>oB$* zk96wE_)r?TSjjuo_3^aMGeOB=Pt1~JGec9w%I4RGev9N><+VVVm&K~iP4?KoIbR~3 zXK~nk73fr#TlC?Evx!`#M?<@u(4(pCDuzdb=Zmmadq_3EI5zQx!}}z?C}vxigobvH zFW&`P>*SK!wzjjpc%!ITRqY|P*G8pvp4=oi{#}J4w=VCVab^4Nc8-$8+?O-ln;CL5 z1s?f23#ojZ#iqGufuq!U&w#lHoF_OukXs>jOTJ~Edi&bHt}j^mC+>Kms8+7{d*|Q4 z7pmv&7}r&JbgY(}bi|b3^Wm=cC57u4Z(0cTzdUBR_?cSl3`x`NHZG60wQJn@DA2oG zPUt~Ld&`Z-ik@%2P7wK4=^^#|<*~pD7xO+zQ}!2!&aAL>5npz3CL3ek1%)FryH=|k zPG{3Rv)EB;x3auVs^hn34|9^(1ZH+$mwEI^b(8q|oks%1zdbVTXJh(&^6-uB>jwOS z67Lj+lx<xe${6zKUX*xxQ~f{&Kd0d_=SdQ;6*YT4PcTut(5t+$;oec6!<}+U1}B|W z)T%oUiSS>X@k&um`0E6L%eE?=tG{>}9RASNzNB#Gi#><p_M}~CH$417D0YTqoA}E^ zCSn(tD;!9YYKd@aR+)B5p*7XSBiV-Y%+c38hU^^GAFX_SOvF?QE;zH4Rd*b5<`1lR zpeXg-R^@;#KWE`h=SdMx^9-v$-g>T>`9}5p(w!A^7x5>%ot4{VV4PJ}*>S{=KQQ9G zqSSI5l>?dloP~#+Ct18x)Rg%!!6fZs@8W_<c{0XX$1b+c3^bmm=ku85u06BNa>tHj z6OTt4yg@fyn@#xK_hnCFz1e;JX2y}T(YLR6utx~XW{I(|$t-usIAF-7!fPQlw_e9% ztKs996WTRomT+z6KWpvO%+S7a)1_}3zinI+^+RXW8r)-)V!7cpB{g15XX9ySkussy z)O*5v+SwS(f>SxGr{u~l+Av@1j2%-cJ1<kt@>7$(X<WB;c_<Y+qjtk{U#S^idbGT6 zE{^#m&?+2~`0e)2@XQ5;t!#{X!R!~meMq-;dB_twqjuSIR;i3R%N-kvuQ)PQ|F|l* zXhWCQ8M|$tMR=L!EOF!#U)kL9?E|P&U>Q22Htl(u6w3{#W|6P}cF$Xf*MAmh-5Qek zt#Ph&ra%HSZ%~B4>=7S*rdp|sE{oQ;&-l8sJ>yHh3rm9fYTuBQvQ4j?-cLNF@Jb<5 z`jhu6qnSV7wzg~7d>80V4@oI|Chg&xmcFmf#N(l8p}_Aj|3-JYBq2?H!%h*wh-abm z{WAp~aPkK2aFunrao}A=M`UAl$D!m`24!`7{h24<zo^i<`-<Zd-ZkunzjP<Qc&Es9 zw?lYx<;k>sDV7(m%_3Dn?15$*&dDiVJn1ZA71X|Qa%s2}i-kwCNL>B5;G5fB7yNMC zHZweA4MVm-1jqO0=_?$QQ+t$qv%eirt!qD`WXIKdbdO^)XFkV<DdsH^$D}7koRfT0 zKK0v2w#MQZ?^L!MQzhDueU)&Rn!e-OHvbm;)5kN{J>KK^*d(8$V4iu)jswz@cASuW zGx_@V&uopdG2W?Zz0QVworewiT9pm?dKVk=Z8qsBTv9mGdil4RpR9jg3%GGq+NA7r z8$)%4bI0C2ik*Mw9JD)KCy*}?@hN@TQX}DaYb4r_oRMfh)FaV;?2CkZLndf>Vd3*D z|IX!ooFCbINa@~!jT6jSA`VD9oq5jddFC<Cx3>(%70n0y)-CN(Y)m!eYy4`+H`(I2 zg%6YL;|meOk2yA29h-Q}_1&7==E`p#-1bhi&cATunDm*XIp!@l4oOeCaYpiuv}D_7 zw#Iug-mKr&l=l^K*!-VT?oraC>AcXn$9ZvWkFvvV33sXR9h>v+yIt(g*KeJ-$8j-x zKF5W*<}ELdNl$ulO7cy#L0r+*E&7Km4$j?pVcyq?G0|<`*7SE2dVJ|D)ZpnZ%;4!Q zWRVbh?D1IVzDyeL0rM4ySne$_oM+A=aa`J|=P9pe&qH3#o{S?>mn6<fzS-^ltGIo` zdV`n8x0YV|HoGr|Z|au6%ba_h7uEJ0)=5i#bRk1J*sa0bFm*{`^K0{Odv$Aneha#B zK-y$i@yuy^EwA*Nx4bwdJ?X_E>7W;9q%TPv`zrnW*v#iKrf<8`=N0D8-Sjt2qI{px z_dRXLEL7T#SctG4w>a}f_OU_ssu{bM6t=xK|CVd*|MOc=#UW{vTZPZh+*>GpR@$lO z5wB;@6W+9a4|y|r9{%dB^}isxZq~O_$LTw_`Q5H7);^|KV0}!nQ2p4#0`p@F3-yl) zKB((a?!6qdf8%?PT}QT0KjeCEL1Cjg%Z^jhPBD*pJ!78pYTkLko5_><%lDaU1OE&m zqxH-+C$?-gRVh$8rno`-m|~&Mv4sUT#}*bU9TR+z(xcqF+GqdCJxm`3@5gbuS2iEZ zyC;yqpU<&zy1B~6e)A<8=9@1mY%or_ci^-%i(hfBZqELfr(@*T9Dd?q$k+MLkgt`| zkgvDVu#e$zvP@>o^IyGtoh#e&^;<XZaeOS3&rvYhyyeBonA9bEoo{xVFS#(=e96Uj z;~Uj;^miz{wLLdIX8*)(_j|euIePjEJ@zDp<z8F(!Rpw;k7~yR7v%cLWX=JZ$o;D; zUtd@~|H6wS^CvMZ-jUt1m)okr-bIMH(wVEd!da@>vUzX+tyXgmo#(frZq^yA9#b?h zJ*H@AeQcqD;jx8==EnpRGJBMJxnuTkERPER@OJ5jN#+@A9`Q1=75_bUFZ1q+=@X8q z-J5Vo<=%v2PwaQ^PtrEK@$`z~G;f)dorhQoVv?0kJNu+n3aysNyZlR7XZnnDMXiQ9 z*Jm91#4tHR?vcUeI<w}x9sjq!xi9|lS*Y)=8)qlA2hX^;eb>FXPS&sAwAQTL9-f@L zcQ50ztGA_-a`x`^>{-3tyw&pSw`GRg_r+yi+Fe!6y6x(1?ZQp>;#!lxe#??sv)%ka zV^y_t&dTlPool~-o7Eb<cW>sMHS5Dw3)bF?Yg}D0Un}yJZq<yFPd&sE&z_s?pm(r# zPP=K!{<y4{FVEj;w~xxIw~jAg;KT2mVEibSY4)p!lWqj?Pe1glYKO_SgMV*oKb$l} zK0j!Nc>bjspjN2%J&~leJ(``8AD4+NJ2v~0g#6E`{->YSuU(~=f7znZc%r`7^Ns_& zvqH8VID0~T(rXXXFc-P_>CE3+uSUFVS6kj`lf5A{_wlS-*K~8YZ{J(u<NQ4<tYvnz zoz<t?^KH!EG_{9@Z9kO4|9fXB@4DX+x!QO7Y<e_~*RA{$?YjBYG1I3zgjeRCySS<F zsQ!*;&8AcLCzU#vJ3L=tx$+xl<<%YUEi2|8Y$-n<?=tz7*wm{#Uh5x{@)3M4z3X#m zZt1eE-p{p--<6xJS|6TNy>~Cy?N@KF-iXT2Z_xevt?fok{`!-%UcdEC%-_2=Q)d18 z>rdE^zj`Zb5tYCG$i%8@QNHW9R~N3h7dKh{%5CYS`n`KS-+<z$_v^Q18<+2kn=Jok z-S+T=@RZv0z2{Wxou6>VT?^Rpq(A2g-@iqxU0us|&AoiU*5OIjqysVc6b$q~@${}x zY<z0S*C=Yp*Xe7>*UCOox+Spv*k1|vhTDdH0_90Eo_Bf+mlS9pn|N%p$1?H487}Sl ze8$!`Px+IcwWJ&Jbv`%bYZW)->z!`c$FM$0MltTV#iR=1#~urFeY%%k?0f%`>+(;f zGZ*sY9}B!VAn&qRIG<xfhj~lH8R<z8hopldPDx*i5UXVKJu;!T%<DK?y`J{!jIeua zB4-!<KG$M9Up${Xrg)p`I;-1U;d63(PoFDm@IF`8(S5E==sNf9v}N88+BWMJJS?74 z8?rq%`~Jz2)1oYS;@>iE?P!(!b8egDt5(@J<&TATJm+HiZl53Ut#IOm0}nft3RD(( z$n<KY$;t(qd~=(^pswWAF<DTxGgwfy^|GL9uW_ELirfw{<DGMQHJF|&bshQHv8cd! zQO1`)heDaYbZ98<a-X8I%XNy#F1INryJ{YWI6YigU0@txz1w=`!JC?kJW6^rxMY>O z+<tcmJqUafp?J&H#&b)rhUT8mH&c&gfA`6dDc7}X3>S2Lkm@qALNHKdp1VkIx}fTT z%N;_GJY75=ZIn)Es9l#Z$vE8OQG@o9f(xRTEJ7qwpVjwX+?KH6HdAw~W6}g|4W1I# zUb}Sy2_4!k62B@BZ}R7A>SU3PIlunJ3$FdiGla7&cUiR+H9lOYV0cJW#BEp8F^zBz zg9D;YJ%vuX+ZUFV+wNb~uKlNPqu&bA7f&U$SdQ&%I#LlHFyjH2lyI!#0hMqL!<(X7 zhD$HBuL-!y6dZe0@8jHGp*Mcn=0EZHmUrW_TkolVZ#;6N9waJ1uh_q6w)BDbFP?94 z7T#0%Eko(T^_z=3UFyRFA|7x_t&UMVkQL6c@z}~B;pnAh>z;>iDQ~^M@tR=N)r7mx zzg;{OsaQYRzEOLoI-h$=<LO?|<`kvbCud(_JvVvRPQA&y4sixu-{JO(F>gXu$LuBZ zj_3yYKQvppys$lst<!tT-I&AgCha<48#H}~|EsjSj<R77l~l817Cd2iu3>pZ)64IX zit21{OV!!0D;N8pwp%m#Y{{=Bfr$(^ZkE(uY}hdQC0lxQ;^NI~H=ex7x8deZzKu&W zY|@`!Og|@Be^fdBcX`g=JsJm!Z1j$$@!bupVK8pZE8iwsW^r4}dhT0>-E-aw?Cxeh zb7tON+2iXg9=d$64ijUKXq<Pn%(&REe4A>T#ceL*xw*ZO=gJyxo-6CHJXfarOzbT4 zOwHnX$}=R3>k6kD38#s>8_F`ywg127&E=${KGUyvYiFgMo__Az`x#*|AJtxj$jq3j zC2n<FsC!Os>(O)DjtQk(9|%gfKC<c20^`X~UO#F$BlGjr&403|yS)vJpLE?R`}}6s z;?L8M-ey`nC%1Frxow9y(xa2NY?e*v*({s1X7k)5KMs|poi#jr(=7Js>0QRx_vD>5 zJTA(TCjQMJyNBhN<!vG1Ik~M5&uu%Vl5Tw<CEfanNV;|B%2tM)UsDh8gbU30nR+*J zrLfQo;lMMl#)~{kIyJcdDt8@g=nzUsaN#u6SfpZTv1o~b%AzHPSJt+;*k4b2_CHb3 zH6h7m;ti=lk!>y_y=Mhg4=n2tN=kO|OmcSdOssYBOr9If*}87s(KU(J+(a5p1znR$ zT>>LS15Lu*rZ8+)^6C&3RPB5!sM?w;sM@<UPt|1CPO;5xkwSqiV(ucwTU|t2TLoQ{ z9bGsL>=(7n5D%O*<29Sag5BCJFCKDveko}csoLozwRiuT-6H1tyF}CtUUEB`$-eL2 zb>+}R;Tb-!(n1|y2_5!`P1IPK&7=60q1p3pk86;BlETX61`Ss(zwkG0o_R}Sx8%yd z9<dAfS9*U@D{b9(CGjj*&m_}h!9@*)Q*!iJrp!4a;pLZTqPpDhRrjvbYbW|H+xD#c zPsrS}SLWn)GN0Ras4hJ^dH!bEg!P+cll<R_xuo6x675qcvp=bA^Iz*SarTJ5$fGw> zvUbnO?VNjV+sP|)a(myND{II-SJtuiC}Wz@R@c7A;W{PT>W@Er#Gq}kUShUS?%d6~ z8;{-$yYVC^JEvqDb56lFXPfeE+J)-n*EKii8g1R(mteHD`e^8>l&o&qqa`dpe;4Tm zpVm|hmVPMnGW<;0w)*3LA9a}@ypxn;w6*!%wj<2x(GM4HUi<OHO}-B|Zt{IxV)4Rf z*|r0Pf4Y92`}V#fYEQ1w*7Vkf*|YcAEpM28dtcq{o6{;D=4kIJ*=8DNd7ClL`nKXd zi`$_Y(L4A|&VT!x+4ng7Ov$#hRew(}YB;@do5bvW_xDW*H{D*lzj^c83m0!*d+{WP z-QxWe@kbjr$F?fBisjFf<z6j&e0{{zod11`kLt!WzC3!Pr#1Q9wj+h<w{_mn&F!6h zuB;*WTv^BEb7iXMEN+KdL`!g$?Pfh^*(535-nDv$q_no|(Y{{M){PTG&)j(NROV&4 zPWiU_<E@X{GMZA4-stJ=KDX_NYI^j;O`F#i9=*v|aP=l%;n|z(4t;&(m2&J<(D9Gj z(=2Z9f9&>go20gR_xAeLN7m}w=~Sz4-ZvxRzUth_$e#5Z<yp*sFZHc_z05xSUU>B7 zWsjztJu8^%E41V5*7;l|KabU^9(NXgaXPWFPG;JR^NFpDGS^<5dC1UgA(Ld#K3U?> zLy@*3i{8ZhKW>LC(%!uJWM*4&L;_3u*-cXqb1k;W5!)(bmL0=4+o%1oXV2pTF8}>$ z1ya{%)~&kGt9e_eEzclz_SB2M%W`_(ow><#p?RTVm61tj9FOlpbLY!1KKIt9mCl>> zKP6B4hI{b+-=~^c*43o$KOf$;%J7T!Z{O`2f-{-qD`p;W{*-V?%(CU4?+qpS%9#g} zcX=ds$@sii%bn=I$LE1&>=F}KzD4E1+fJm%sePEE7c^6e{nGBt=qKFz!WEw4D}5(2 zzqrd3{lrsW_=dZ<<P4?XmrqRFalf5~Z-VWKd9&-D_{|J1zR=FXH}UCB;mh53G>hZ- zeor_cxXGqE?AIjgRKHV!@<t~GBIntv)}EMal>TG~Kj$2U)0>|!Hs2YXar*XaXQt&R zJ~;))l@~2vqWgXeKj$39)84Z_RZX&9np2rx>+wJ)GVaur>%Dh0b5C2pcV-el@#)gC zJ#z7>)6SJ1IWy&3b`EFE$$JHbsg0}S)ACqdp0Myu*cGWhan}*Wpl}PnS5obswg&`* z_zS;Ad-*@&TDiPn=9SAkzUW@$5xTzO@GPAtN})ZT_IeX`9jOcow{UwU_1weuKx7bq zVcV6-7QU}E=O}$O@e4Y;U_)~j+hmJ53%U#?4;QYI=$#u9&%L30lJlEoPgK4I6><I6 zu<Xr^uZwHjlk?v27CZZ$f4f3NcQ~&QD(4J+c<=hr_RU$(e$M@_8To)w)!SxCN~`<Q zO@FWcO7;?~a5}l{dC;aqn}crt+w{{?m3z;El+Ni>)_hnMvTc7|t?x;ue2vIOZ_QiQ zTv!*f&3|*Y|4AlUjmSmHs@f%5Q{Nh2W((SMK+0=cg!f6V*Iuc=Q`UW*qO+lKlB!wI zrbEkuZrbh5G*;!#S(wtfcFLL!YeTl#d*1as$z-h&nPjS}{YGo*+w-pF!J7`qc}=_F zeUj_<zRtcd>ymH6t4?0!2z{imP)kP3a?X~=e+^V;>vT_2?F-s;=v>fEHrwfwSk;0y z9Z~TLn-QaR`=ed!B-Uj?n~s=xh0TZq@tY^HrUh*}qT>}dBNoKpopNbH*&hAnDV?cP z)@;}kvh8s#rz*G3vXoBODQh-t0Pz`Bxz8+5>8zZx#$Y>$&!;;3qu<G8{6U)zO$@r( z_x<pcoG{fXIc<EZ+A<nb-&WlBKbhv~b~0^G@TNoaCavE5_MSRtXoBC#6Wiui+bq;N zqy4h}RNwczs)0AklM}>Mz27WJne03DRN0>V<tdH1Q*xf=dWA&<1{GVYJfD8$V&4hY z7OjmF0z}28t|+t6QwwQSZPD5|B|voA)D>kKdg>vKqAgk*Ck2RxO<hqY;inMNxLGwf zjs2mfQ>aDkqFag;JpsOAJ}ZROIYJ-$bY`{Rw{#M<@LwS$&Jp@BsWYqno~o1R4xbf5 z;T)k4R~7qj_z;;hyU5z-Tm8%BO#G5sg|ioO$?p3UXx$Q5^?j@IO4-fpU8>u(O1=eI z-+%K_?)o`vcS)^}vlepY@43?VdfUAfZ+_Gre3LyXF)e<waP>;r@39hE7p5*0GT#$; z^XG%c>9;B$syVaf8HPOKa~6G9wQ{ccJL8ZCR?ez&h9M6(PQO+8l+&4Yo>9mnOXssz zAH08?J(gL!(&T^2`}wz1ix1uNJH9SI(mS`*d(Mwz`wVX-3*JoXt2terV|n~$k;}3< z(+%aK{n)=n7zLl3C(*C_+@xA(s^)XQp5xPsr+<CnV|n{#Mai-`n{zB3m(6iEl#4!> zd`&p*)Xnq9gwsx09-lV(%#_b2$C6K19;ynOS;V*T;}WB%bJ9<Hrk|>mc)anc&5yR1 zpKj(=%-?<^XkDTEq?>_-Q&vws)7O=pV|lY6?`TDirFn#ZS%^&9<CA?|+&Pvv120a~ zRkzmKwQ1g)6&4^PVp2d-ePF41UsK$VHiDcfB<)`ovZwFkjiR`X^WLnuas1e1S<&e? zi#9EORM~TU+UK6vpKiX}+`Kz1rTTp6I}a^ut!;%{gKh>Ewt`Gwcf2CUa_)`^o9F&y z4gZ)Ezwd11rr1jL@&!5mb|<G!dV3<jqFZ;VZ25v5^LwYGb2OLlU{19zOkX!8NB-TR z$W5^o=J^|!&Uu)0vg|?io}<%>F8^HS;QLJe_sWPxzOJcE;d67YE=^1QKlN{-mFw!d zyujGxZ}%l13*7iPwRQ^c(~Q)xzjYbc{>6*d8!l#WHT$wwM(oC?sh3TTT8RI7X3>2> z&Hs0Y&kt!ytqljn7o0e<f5Ks=?wW(0HGjGv>o9rO2y#jP4{GDm`OK<e{89h;h67yj z1xE7!Pg!&y(28%lbZq~ug-qEsjH`V9WLxSO+!vp7>iB-Ub4nWyiZ9&svApD+@{W)N z&7Y4hQCemEar^TPk?-r@a{4`goG+a&Sn;?!{`QY}<B$cj^$#cr|5xDS=K0Dh{^YT| zP`aSRo9u@Nj@923uTOZq|H<N+AM}kv9?VLQ)8V=I+K{hNdfrJx<C6Se3lHe}=iF?p zp1qK%+@?^p?d@HOc8BHbUp_EuE}NqM>CB9`Z!F_)D&B5g$P~MO*<&r98{zX#a6jI< zTm93Sg0~ObnQU!0rm|=2w{d;><`O5dsy0c6sn+&jDqEJly~vCAox0XO>;@qT3)I&g zQrP=G^YbAI*@rI$W-40U;%7ZpQB^dfvqs06^;qR2uFn(u`wKaIKCp=2I-&k+;Q<x@ zoRdCJCQE7=ToMj9lK*){qWyr0e}Sj;&k}o)84o*kr*_t;IkTo!KJt31JYV*)z>LS7 zda26uU$--Tw%NGUc%pyeiUS4yF)wSL98Qv9dTn!XY3`HiKLH8lDrS=(nCs>TN8em^ zt?u3iOCzW5l_wLFr$@HWlIc0jG}%(eu=_BVvE>@W=EIE3jK5C0aU!6Vm*;B4`3nrM zC8UycohL^ez9152Y|<MVmt}u<=COdrmG_pN*|Q<pr2VYTvBb`YGGP)26MHAh@ELTi zoPI>4P0J@~#W9yQHi=^w1RhJyI%3hb%wXEW%$}dO?4-9l%%1i?$9|^4$&=Gn1a^E+ z{kT1fTcOcdPO0FQvx;A3$01Mtixv+R8Mj?_^p;uNcqmmWBW96fL$?V>VI!O8oaK&O z&od?*i+uih(XCh0a*dv^-TBwnmFfGwufdJG?~9dx;4t-kUCv`Bso$<@RT!~Qx!u&N zz`~)LuRY`VLzP=a7Omzno0oi?l^NV=edgSy9#Ov8SH;^^<BB34vbLMb6<8c7=4-Dw z_E2SBkwvSzjNfC!%gea7&zu{|)Ni5lVS;A#V=-suJ1H3*(mcM8tek^)oWEeQt1i4; z{g1xs)%`c6mqpI{7-o_e8hBNyQPloeCCjtF8S*hFtAuVy<O|&p$e$D;pC2S4o_|R~ zBA?4Z<DN)T!5+=d#E;8F+K$h@Bq3NGrV@5sEG4b$;zza3eLd!$J-z0dJ{{&WkEq-e zNeJ4bdE`k&uu$+LUrnE0^QDH$-_~g4bn2csV`}`^H*KkSwMp;Xjq@0+lWY~Y9k24q zlYi}*SiDBP*Vq2q-EChgRtdlO7Te>xApXpqu-s)!95>1}9^5F`skl+Db>T+2-o%ab z7;2Mj6|0U{O?n~v+H+z08uebe!sSkj@?#9s<X*|W7JMQ9dg2VJ*MT#HUSFIc^V%>t zV2%2bBN6^W!b!H8J^f#o80x(X(dg;aKIUV0IN6pd`7z&8L#uO1*R~Z-6HtDv<Jr@( zd5M9-xk<+kynj_^HgoHiykFD1PMJNcKPy!6c`rNXo<IBEJwL2^qU5D~jn9Lr>peQf z?UsDF)ox<-?|~zu)z5&Ab9Pf)#O1vfuI68Q@oaOJ(2JMOOnYr~LzANl*G}hL^I25$ z;^B4?vtJXA70GjLIMnX6=F38ru%7{3t7|oSP3^DT^*^DoICSfZt$VMTJo;{8nET>O zuhOIgwac@`6&u;_UwrEM<(rJ>!v6M*FJC7x{IyXzBJ9uNdFSH<k#|)dQr|U_rrC1Z zd=Y4L5BVH1=|Hx;P{m_S|3@3!XNWFq&#?JAf#JN3%8_Dz&quB8OFmq3zEtrw_M^pV zzrOm7x6_#3JUml$)Mvr(@1^F?yd#<3{s~wxdwWRdwzy>%uAVm8RT0^6E>3LG<msvx zFP;v1@gOyG%XdR#$#);NZkx&bz2<qQiM4FpXXnP%_kvW*s%IY3(>K=U(C03=cG~IA zr%ft(RgqlgdvtnVv#j2Dds+Xz(sjZ|eICr3?s?~<k#St@nK=ie<J1Z+pH|7Mn0e@{ z{Hr{}r!)S}nkBht=IqCuF(>Z^EZK12>H9a<_i8K}lXb)`P8D@3>x5gJDe86B;V+o* zRFQ9kP3K-6|HLofWz1K9e?Rlt)E!4e^TP~`cRo7%Dpl;XYTD+QM84Ce&o<f|sF~It zap04R*e07+R~`Rk$?JQ##CJY3T|Mb&%*Qm>O)c7SkD1KNnC3h$=qQ%)OOkI7wmA6E zq;In6`n=fL4>@&Iv*$f#I=z4Ud(lsySlB+XH9GEbOftw1kT@i5()Ov1;c$g>$3xKk z(EW{VB5og4ml#gU&FmD-VNBzbI4aHZ>oZ%Y;U33Co%{<D$EBHip7ScIeP~lr`_v|) z_OZ=GZL{v$4U=>a_^=08G#?20#v!#jLN3AdwDX$8N}=q?d521-EjLt{Wt+Aml~bqd znPa8e27_4&Vl!sC{0&IhE$@}{*|~LX-HMGz`I&RR9Tu4V$Dp&fZpI-td!OWW+rO%a zRg{}7uHGxpwdS*P=hnIv8;<dthW$=#2(6PyS}L!$@eqG-#Jl!qM(=WMTYuh@J=+oc zs{Prkx4KtV%;Lln+NQV8c$w;X=G!KbXBClBn@@P}OwE1MzV!7;mVJEOIX@buK3mQ? z;5Uu?OybTXD$}%m(smvbnZ`cj)F*+<5%Z3eOj~Zy{512-lUd<OlG}Gn?%y1tXE6Jz zkZ|NWgXvF~DQ~`$@<PX4((1Ht+qt623pd;J9*y~|mGh{~oVkYcH0!jYquDvn3L11} z_%=>G?D^$c0oQGdImgQC&#rnKez|YL^-nV57Cvg)mGchh-BZ{w&)g&D5igf?W%Dt% zdjbiv`5YTt%~du|HJ|bMfb^M}3DHrH1fm;eGM9Z|Yn;2sG08YTz~Yp&$+?eh4CWQi z9kcf+c1G_}Y`wilvDZ4sTU#cD+u-uS*n0{E{kBcV7^kt{IR8oDcf`CSanqJ>Xn&gd zrtjXJb9a`RoVeGw=Y2a9|GxFV!^9YcEzSire*V{_D)(#QA!Yk36>r)Z=lw|RSY9{d zh_SuT!=3WW3h#1f3t2pDXHwt$Ha&kqe8P$YhV=)9mj4NOxJ5oI=F4G*>3<B4q}uyD z>XKhpaFze^j&HSh#cPW%)bXX%>l8k-7UGz*tl{sYznW4rK7WY4>wJRiKwposy}%~T z|Lwb$AGIoCYWIkJps><gMxnIz@0G-}mP=DwCr?>Z*ffb*X899=;~JKoi>J&vl;`F5 z_yhmMe_5%&<*fx1-AxKl22@Wn{*kj~b9zA<*Xpds%!@~T8fOU^eE)r^;mnQO?ng4+ z?#3;;`?g}Y*DI!QkJu#fmD(~3`Ls8-PZHG&-gGR|i?5(>lJlJ9PgL{*inzozEqlF> z{9L{9|H(NE_>TNstvP4E@sXdaPtM=}^2pECi@*Kx?Y`%jb??`ApNnY%eEoG?Q`4D* z>pFa7Sf@G2+lxp%(+y49GhMUivr+HHII)DD>8%oPQ$2e=Z4z;-ij-2WIm6SvFiy?j z$n}h}hAp3+8@JsHQe~^2c}PM3vc!v2Mz)H`j(>5}T<rC|7p|Ya^y0tvGq0BwpRu#@ zNxa#wy6D)Y6&dvpw(JSHGUIphU1Lt;MYU=RbxX7tX65|eSaBiB^z($bp;Oju+!V4c zP-kIE?@?9vEzcNRR$bihvi1CTY1b7}%HAQrefCARtTNo~vi1DMX!jLT+1??)-|SXw zS!KAzW$XFXuiaNjJ@yXy-Lo^ZWtHJxm#ybl&hcL%)$JAX`^`z#mQ@=+9Dk&u`uVB- z?6b2kx-&QKvlpumUdABw;*lcLTw9k#liM{)z6kX4$q6mk+upL{c}?*ehe;W)Z>qPN z&#S$(<3ICF3!&N<htB-^#TYvyGQ$3gK&Lxok<7dbkA~%PLXT#)t9-oU98~d8F>}un zY4?TZcP-L9|8(h{S<@PSxi$04qTlHa^GrA{HnVw_EO+F(n=!#H{Q2!)KjZnQKHq1S zonLvs^DD=l(z<gFRYDbF`9eEH^Cwlv<p)&==3lCi%;zf5zbEpjbdP3dpZ(EUK`%t| zy%vA=FHCoRRF>nBW>em2J4^Cb>|<Y{yN`Ui?mqUFvVG*6R&!*wQ%Q%p>PM}8bAvME z<AbE$CY|p(^!LW%nVm~=-&{O1=aX7lN|sH>SC$Hi*PI_Uu1!AjIKrRHKgl-JrnF8z z<kd>Q2O)D@AEca__~v~x-;pYoZ^x@tz8$R+`F5<z<lB)drZ;_GTP$Q=dp;^zquy$~ zah}P!V{^GWg$s|)sC*H##{EIf8uv$OYuq2Yt#N-`wMPBG)(HQO)f?xjtUFQ_v_s~# z=i{I|D+4U#!h2@c=pCzK(K{mR^*CaV>Vb<E-W|$?(^SfiMh01kq<cPo&@VrAZ@TWc zcb=#3+-FjbGSm5e<lo&r+xD!?N&a`}#(bHTo}t`5KQ%vZyEP}|Cez~B%j-Lfc=|jR z$v7)b(mB4MW63E)Bc+!`Jslp7mTm4!Hpm=S3fd&&T)!$hD19k-*`>tS;Acw@?cb7l z^UwNCzK>b1?PxlX6+R*2DaW!y+V!XQ<Zbs`aja{;(R-P5x#lZ>tv>Qe$TvAs&uwvN z==9r*^E<tmW-Cv!e6TEL_I1yzH+}@)y=AaGg>P45!2kMV_g48&lJWh#H}cHfnYC%v zW#wtr=jXj!x9-)WBG0zHw)<b6=r}RUMpjf%bmmplQqg$TnQ&m)pOW`X&uHiUpTFzl zPO8j&Fm+<}4JFZVnc|5_!e>Hrx+=TQet+C`w)|1o+vJ5gpD*d1yA^vQ>9*FoWA7(* zO*`;p{oJsZ>rTqI%$Shh_Vvrj_&Yl0D{j14Z?NLWi9aT`(I5NScKe<%e_2(frsuuJ zWW|q={~~j%9`SQ8dv&v`UU<eD=Zz=hzs>%#SBCL){CaWUZ9mOlUR4YA`qz-_(5J>_ zrR*-8Dlubm=lcKrCodkc&*a%E9z18AZ>7*-pWP}_bu(gxe64Qibt>^~@?O;P_p?gd z%l)4J?(fzxP3$u{aH-Ka+FdT~uUpK9e~OjT+B+W}@t-k6c=B$K86RBtd2RU2T`1YR z`t7al*;%;)O+OY~S$sdbphH?sOkQnQ>S4uif8%lrPg_VTEOOm`o3-ije(~zkV2uX> zeNGq7&yzfAw)?<_%X$?zQpIcDY~vQ>yU{Aap3C$&*Yt{Bi^QkuLVh>lil%k*Z&h^Y z&7UQy`akH~v7(kI^{3eCvbHT0;F6fWSb%4fd}~ruY{HBTaT}ja+;(cWTRDQ?IQ4uq zJNUTw>S?`}7n#B}^A^pH<+ylKtz)9?Ej@vrO<R)`ZhdcF`kkxwy?Cy=_aD!b9=`)6 z+-|V)AAZ}^pIFxT-F5eY--}{93~uNhkw_LkBCqy!8#haB&RWsVYgM_~YdyAvrB|nk z)V^hMw%`3=;r`eUh6nUqUL=Yqm2Btm`Lecg+T_zN_h%&wyWF3ZEza>Q@|Ft+>z9*( zKW=PIcQd@NXP~ozTTbj2tNi6RP7R4=ibo1|3naG0c3eEH*0Im@hMoY=hOM5Lw#z-& zVDW!5Gw{oHZl2$7o7!#54j$**E%0z*Y)9c`wGM?xD`OQZ(pD$xysEQuSF}hz-}7i^ zY(hn%xJ}FkZacpltsLraoqB?&i3aK{OkZnr#M0Ys9*5)k+pJADr-=zVF)9~}G_Cx0 zMnmrwYnyl3!NaM$A3W}g<tVtS*D@nhT<OdPZo_4_Sp?_$ZscZ}oSQqzC0)2!q{;K! z8I81?tZjj12M=fLevsS~%VBU$uSFtVT&ZU}x1rjNmI?C7i(@%99=zo3+Og^SDd(K6 z1sjiB<UD0@*J1y5^khV$I7e7i@gj??r##2k+&L_z{e9z&Q_MOWKM8oRwK-&@-M{h9 zp`(j-o;t-D7A>U|Y4rXEYt!y)b2P*vEe}U&f8TKKRPCDWpBQGZu{n~a-M``JspLD` z3JM(`&YLQHXS<1uM%0S!u?jD;&vrg)oNByhOA-J2HFu7iYV#M|J>^`o{S$}nS{t|Z z_m}T}IA!&9L%$U3%x<p@-_0LgTrab=fmv^6!hYrau4KNc4_7#UEjY69m&Ka^WtpNC zHR7|QRh7aP*Xmgw`B)SACRlz~N)!8jLI0-bCs||4U$F?+y*@GT(Z1I&c)rJMYTvDQ z^SJDEnFrgv`3g^~y6<=}Df!Nc>62GS{yeL6?MLDMv$<DOmd<~Ch4b02P0J--Pm(;g zBSnsJM~eL7n4*S>dNbVv^ehkQOqWS6+o!W`)4Af4ET2C|PgV8a75rR#w{~?GtKQ7S zHt%m6PN;qky|4QD=zZ1C5(iX22OLoS+@TOJR=s5MPiMzBQ~aKuS2$U>vbt`y`tD_N z>lO#kDU+T1u*dsb!8O(27SAU!&nZ8tn78W*M{KNU_r<r{E3?~XT&uPUX^Q(9*{vr3 zViG5t<)>vY-UdkivIyaim08ta$7kwP-!7HxkT-P&hb7zYlqSWUwE-ts_ndiq*h#%& zYW1>}9M)~S`p$j0HTBlv<mqo7cY5=ExT4x#@obW^P5DW~xF@HRI%}usce{S-^;WBx zegDNtrJLsem#B6>dG{wwy!(mu^^21CcBk;m$875F*Rym`55MSCQg%{MZ>Ldzp`rN= zk@kC2t$U74EPu8tY3j{~0nRz+Lyz_z%jKJzke%0STe#}_j+A|@rB8e}9$jd(rf7wn znPrH)v}{&ODWB=FTQQ+AeK+0&aQZzrb1%w#G{sxZ;^m3ik9wR_Bi;wt<`k@`xjgf> z{?Vu1tNBb1iMC5UE?TE$wpG2zTXoyE<HezcZ-38lR=qL#_Jxz7ANK0aOjxL#-?f-; z>cbA_uLU<2{<3%yz${a;LXpqn3de8Rte)DPt2&gHTZc4d?)05`WWwQCqk|Rh_e6qa zwGNB4ze?QX{MGQ}Lbn+&0+M@vtgR?twZ`m!wr~5dY1gLhy4AM-!Qb~+m#$a2W`1o; z?uz5P??(&&*V%WhWBa!w_S=_q9-o#cx$VmT8@IOQW*2+c?hgCt@Ml5(Tdsp=WLlHL z`VJZVzP;w3)5%o<_usY8|JVDy{qX%Kt6AJ-wB)VtFS(k3KVnUa?_qvlS*vURFWmVk zUh;o##j2wMxBp(b(7?T4)c=V`RP4fr=lhKn4jKyw*_bLG7H0m$_xnZ*OS!K{;rSLG zejX3*iVUZw-)c*4{OV+soF_Tq<ALifHn&U_k7f%S?J-;sejr*{Xpgbt(Q09%8iNJ# z2i%2)N`CES)U=vCVPQ9?ZHei^{ya6Ff^#-i9h=#BepDqnHMt+N<f@Q2vz6qVec`a8 z@UI=ohZwH=N<5myc$jJ3tO*Y$acb(#p75}XQTd*|?}=tX;UF0k#ly+W$5?F)6%Pgr z2i-AHJbc#wlKU#7h&f8T{`%P*R)3gM^kUWgW%56tTsYdoGS}DR;>i{s`LCSMk2keH zSNrnuUJK*BnFdFqnLlwp@8#r-n?2#tR8GmbSr-m-3;+6&aEQUSch_Wry*ww>H{MfI zd6DeYwNEYOMY<FJU*8wW4V=t8CW#%6Y)^zACkPAi7%JZXGkZef3{G1f^M(C#YCHwk zGE&4IZDahI^7~9nO~Z@{k2*PjE-+r$?ymOb<AoMRxfupWYMDQA{+Y-5vnj)=%UUhP zBHxLByU&Zq%Q%_u7$kPQW_u$1!&F!(##HgBsIXCt*}`^jwJ(JiTWS^>CU#79<9{gp zqfl5###k{v!{@|zakVG6xr9I6ZQ=Z>cBrN1eS*V2jW_0s2h)Uu-k2&LmJ_bJk?SPC z+eac{Ij8d*1BLjEZL=pN%;u~tNOfw{Rr^wKsHNtiSz^O&HJ-xP<+mP%-jR;^;}X5+ z$U27YU#G77@$K+k|4Y^vB;N%@UyitcL+pD_(+%c3+qqfz-!L5&+<l<n&*YM91#aI* ztBcL+)5AIPo@j_%FBWOb-Y@Lm<lXh7?*zYZ>DS!CN0UqB6}@%8nwFNnwcc{H(f?BJ z(l!6?T-ujht2F=8?JYa@TdkeXuyOODnf{kz!=1VBzx;b<yLRqT;X7|{bsgHCe`M<U zBj!g#{<h0!)oWN4wkOvM{5P8W{6O)+N%kG#wK8(KhClh|Z~N)H&bz(O_w3oPr8B;; zZ(cKVy2aJwbFGeYx(D7iFI$m+K+fHAhkH~Xd!L!-uak$*f8tk>x%FtGHFwkTcRC)? zHihX2x7WVY$%y9p(QM4!cCk-Pm@n7x)ZEtgiFxeu%6Iq}+n&$8W@RTA#bxp63MZd+ zX_vF#+=mN-zZV=>`TNHEE6i_xJ6ql0ox7dk+dsv<83`+DzQ~;wy)9l~6|Z73yZXX6 z8y)+X0Y6io2mEY#9`JL?^8nkJ(iL{=o`iSg|M=wE=KXU~d-u;p{oFs38b1F_>L~u1 z)METIsV8`6lJNbTPrF%Si*NhyiMe}rp-@Tr3K3fwEocAsR|}^*f4ykE-$|tQlWW_) zpNrb-elF_&_cN*C+|Q(r#-B+ojz5!n4(?ogA?Q1I+Y`0FJLUR6r%h;Ec5D9!)|YS7 zGaVMZJ}Y}f<dd0xWpVqiWyh*`PHRUNv)ditb2^%Nmi3nWHEUO|Kl=O5pZdI&zjq4C ze|mN8(n4jsXTJZHRtQdvU4DKpAIn_(^O^~-4jlJk<+m%)st71q{ovq*y-n@ya-udh zU)DSjToBIMFR)j;!sSKqL1nl2^2NbliqjprD<)sh58`i%7PZmH3;&R?BlzIq!*{vl z?B0cb;3(MN<lez9YNKSc=7HejiPahgcS_O^a#aK!Y<{rvw}RZfow7AH<<=|}56d_{ zUV1C=aO!QvW7*-hlO_K6#eJDwC2;4TPI~;LN|A!qleiDw_0kjhuC?=narDX7`P04h z{+tOZdiZ?VByM}_sWCr(u6%Ond_e2B<rTq-!glREXK!1j>9Ks*e(Lmb-6ZbAzrFP2 z>_Un@e%v_8yTNa2mPk^X>U56V+B=Wj2uo}+$XPpqbH3lUkRrt!F(+G-f>p)adZ)(N z<g9q|C^=JgI>T$Noh=d3Cshy4^3pR53(u(MU#*q$NOZ%dN!$m!y!1p)YwtABS^eZu zV!5ig{BEtCEH@%gsvha{(i6NEUesy0&FS}ViEu^X{S6<d#&D>G6fK+)ak4ehOI4gd zSbOJ>8DS??4}9^`Q%nmf>NHq3$-5^~^p^dBH(WDWSkJ6{;$*OW68F(PUV1#AwWpXJ zY2uO-6bt*%u~EZ(&CiF+>mSQS#N6_nTC%V4^t?dL2glO&=04aJynM&AE0XJOmF`pZ zu#;<&o}Vu5>zsf8hT!zilP)KHdR=)kbJCxnxS}whI$eEB?@94LbZ)0<ZOVASbnbaK z%X7QaW(Sr<9Efc9D!8>UXinh@p6zyLbw!Szt(o}Hp{~^C&I$jQkE`}cJc!yS@hEGb z#6z!r5|1~<=B&%l3_ozCW@19rJ`Y3N_>>tRGglgSuJ8VP<<1<-j{N%pXMSE=YJBFb z9J4oD#>c>Qv##ChlfCut%EAWj`OjCgJYSo2xBuvt=Q3Ic4R_Cy`@X|j)nX#AfT!s8 z>a3{S*SoA8ZqB=_b$#o9?Q>63E^M1|aKRj&u1zNr9z4%neM+t-?eXypA<H>`V`|)t z!`1&^lM*lZ__<`?HtWvEF{iBkZa*qydy*P>#Z~O@W^b95r}ekWMoUFm|G5;QEyunl z`-tfB!~SP0eq~k}xg0yGYx=Ws-|GF|)ytQu@xP5ZDfd*f^U=h8pKt0~bqd|t6wt*j zcIv|kC)+(60`^63xxnyO*W?Jp<Sy|tohb<uT7!7D1+*!Oy-Hls`b#2hA!A!~Mu(u< z)7vNO#JmhnIcd5@YaEu~{%UZ|sj?<>A>*;=j1Eq<r?=O4ig_8FbkbCd)^JYe{`%q4 zo7$T1UrsqO@7Z=iAm2wzZsC@IrgAZ_kN2D;?IKpR_lvz+JUP;Q-@%H+kGvO;etG(^ zHk@1aMcP7<ySh^rwzpQj$XsYw6`9d+PfTi2zh|Yzo$F4VZc!RXv$#_uk{8Ndi(1iN zDkhb%x3%p?!lexjy<%P)PC99tMQa=u<9=$L&i!@cStsV4?E(9an`DL@@Z(m!k-AW1 zx9*h0{?@7+*$eHyMP@Yo6_ZNZ=lRpg@~TtinUsY#5t{<!R3lfk&lG!=JgxOp?3~uN z8J|6Wv9<~6>UB-u+}`YE6UnD9*&Jqbr0Sv*r(T4{(Ms-Ai}WDD3(*<}CApVc<Syh{ z?sIDT^vPmg8_qjvK8w;gEHn92YQnZwp);ETx@L-<^55+Fv&8nUQ|0%K0bMJ_P8l3s zQWGVcxUlBtrhu+au~P;Ioosuy2kbi&mC>+KOe(3z^XC?;TTYb`2@6G(b*Ch5YOT7F zve3>fDx-l{Oe$%w=g%#>&N*>ji_$nM#hn_F8)Wx)?WTaHN-?jEhnys*&7R^Pd|GEp z!phblos9u)NB3V|KKYSzYw>3HyDD+_J1UR839eaF;PovfzBB#2sNM#xN8dgll4(r{ z>~q>^;hq@r$@ABl`HM_CrNg>69cL`<Oh}yPvQfc5X~z7$fgzKQw61u>+~&R6okMi( zmzIZ{-mG+R)w569aVO)!BjYyhp3f6)Qg#?sUkSSJ_Ws8qErFcttvR3foYfP^F>U!G z5&eegU|Q%3?WtM!wudz)?9)qG8kHdaW2SM-7m3MlndYnQ_bp%N>bPfW*!3^P$yr@@ zt@6@l-?o{)ZO7N0Kay(Zbz4}Q?ufm(T=!kdb?H-fOBY{x<nV3RY}d7i|K(q@Tee#1 zb(BoW$x@3Yryfc9Zeq>XU=tF)nx=Kyz9VnW4xbb2K8VDgnr<0oZMHQ(t6%-*Q)O5G zqsQlKwfpPa9%&7!n-Uuv^rYw>fA5~wd0qS_fi<&t?%TL+*}~e}Ovh^GY73qD6ScBb zX<Pp9VDI+6vtN5l-%9V-Pk3!E8pE-zcCsJOCU0-w$MIQQH|DJtd~@P*#m4eySvC#Z zL{@t0etqYq$5Op%ySnQ1OW89g>#hx}(k@Qg=VACT`>W5ib*W3$voDKBCGDJ^^T+9} z>e1ESOXD^@;I_@*I(?1dr)gCuU%k0}S9N1@aFMFkPLb`ey1zV{;ww6Rd)PBo|8@Oq zPk7IZm5p8}x;HF)+Q<8=T=ieAw0IZ2H8SgJn&fKUGmB!*RrgHXenn^Te&6*9%aV*z zKfauHT5{FFY57`KTaP}=zmnuJS^m8&YtQAXwpGGCf30dG?yTB=ZA<R2>{`aht3N#2 zyzlnv+*;?$=UIJL9_mh56_@w+%319dXQQtKe@t++EiHYu(mLeA=P>`fiOTseugGdH zNetAQw%$koGFwwoVAV_U%ns#lr8^o4C&gc~W=48B3D$WC)+a2yb}_jB*ReB+7scHc zURr&(`>fMc{gU;j+*i#sMGjhR4#>UgwAP$k)#g;|tq-kMvRzlVEeoB;|M7$OR{a@z zHMU2Z^{1NJeO;CNYvsn|T5spz-i~SSql+ii{ENCAaA2?29*%cm4_ynFbLqF;4y~x% z5!yPvfA+dEfkgfMcIkC<TKq5ZtzP{>DI&C0{OBpIJrZFd51%GhipJSZt6kZ5f06k6 z3vXY)I(m7>_5C63^{f8hSSWjb1z-2tvnNvJHk)pJeERSAuMuCC?)o57`|9;R@zevR zuNl2Ao-ds4Q}EO~Ib&+-z5CodVh%PxxHSFP20t6Ck|&PGT(eoblYH;~{~p}lms|MI zJNZk|{Ia*wuP^I|&e^`Lp&;XaM0augtz+8)etKQF)aU<jMxwow_uu}SS>nN$Z|e8n zTy8ekOF#Q{U8L8$*)xs%1Ad<0^^0#2bIg@%e-|C*|7yR)-7Y!z{t*v(xBIz%^)uaP z9nXC2DRxll{E~)Xy_pY|Y-L$F=S!oos13)usN4EC56v^V&aC)x*~`yU*S_7M)aG7o zXH`|7nq9R~UR?6~snDaW9rNOER;7O2#8_36YOU#{^X}R1=V@n;tM)~T3*Qr|@iEz| za<^{t*2A2dwMX{94&PR+By&WhTQ5G6|KQW0`E~1CPo;_*S}xqYx2yAy?R$~AbF2=! zzdkbUrAYrAtHagH-#wfg{Qcv#mFyLduQ=~1dnFjR^UE|Qx#;7$%Y<!;UWxF}wK~ka z{N2Nr;O`#~u4Mo5;)-)g(KUOg^w(?j@~(aUxcQ9TjylhKeUW*xHjk>N@A$L*&_ba# zTll&|bQ9)#tKE1#N%Px|l(xHllLFTit>9TLyQ)2p@9OcycBuywoOuf`EOfJY5Rm-l zd~Tmw;m?w$(yJb+O&|4UCe86qlgOUxJ%5?h=cPN-M8Y3u-U{2cq~mDCmF>^8rsz0{ z1n)PUZcxg<aq6t#yp=8|w}$%!uAj&`eb=^EdP&#A-L9<I*%5o>-1?ps9n~v$cbHzA z^CkAkH?2J!+d?0@ZrsYH-=-T{QF$Y#b$Y+=x-yS)LFrh_sbzwT&t}~Hpd<lGktekF zNVtJgWQAy)UE9pbhTpZ`E1Au34t;S`FKLBjig;?KxZ#nWg**MXbw}jeI%JA(Jf|wW z=I<$qm{iM7h4PRO3OiEuG&|cPCKg=2<$opi2(R(1Cw7<g9__M}UdERsUU;@=N6=Q0 zLSBPrtA|1NTx0&8no<AiQnvixHD~Ah#?-F0^xoZJca0AuwQ*a1(vsaBacMmBE0<Kp zY@7GwlHQ|9-p{0MvpJu{9+}7`$MSsb+v#tV*k)@tO{lm$XY;)BO!2~NRrfZ>9+?>v z`bNnwx;k}Nw?%5(g_RL^T<4rQ8}&wM+N|4Q3s3Ft5WcpGQ}eE?%=UT04=21)>Wlon zWrBBY)xw<u%k@s`JzBB1>!`-W@~&3Dxd~mt%V)f~BB^Fs$}c>3S3jqp?SYEra!GT7 z`wgxw{TzFw<n@lTB~6)rbK7<O<|cLpe>b?f^7o7<SD4QfzfxQ`b2pD?f5Fu~Pqe>0 z(o5>8Ef6giT)eh<xx{WUgHsEIzH9}jn+9*S7w;!&{@R(+HnDF~U{Apcp2M=M+7tP% z9uH`jO6YLrHN3IVP2x#FGS8XZz7+9|_xE@OPUGa;k<!(yH}m0yP`$TGe6z#nb!|^G zxO>NSO5Ur4ww>K8yu}pTjy}^{<agIK$GBYZkn=rPolBqm<>WN(xxQJ-yL?kf&4m5S z%XBxb>2CUdVV$?=)h7q%t*N-XHM?`B_fJ1h^S5?AA3W|ezR1#y4b+;pu6t?8)9cJu z(O%cXbnhO2Q_696Y0NIa_!W%{KCHN!_eAIKtIcNnOMiFR-VoAV=5se|c2<;CisIv> zT*j~(mn%CwZqL!+Tk87ltN5$CmnTi%bANW0{<eJ&qXT-S5B|xzqwy^C@iBw#Qs(@{ zs|r6G?v~oluz6J>x8Y`~?HtDYism+#thc;7Gdy#1<^OK2I~?yqAG;N9mojg&zPh7w zM{Mux{^+YaSa(GBMt4YG-QilX^z8MS(Z4vZOHcRt5Vrg3q*G60Kbhoeo|3tgekv_< z;W{PZGbblrK6JM9B#Zx>)bro2f8SzZIC(Sgx7g)=1@7HXLTzuxeZ3-=Y81oaR+D>f z{nk@+w_S{nUOh2)l6!6LT;r*+pR7*{Dc793{bXW%w0}yax%HpyuQ!)pQH*Rk7cp&O z$m-SkD}J`-m+Id*@48JOcBA-)mCl*lZG&&mS}NzdI^sy;En~%%-Y-x8*}PRcY38|N z`K<iMInGup@nY7O4#v;!&e8fjp=!e3%+uAE8h`HmJm2E)QTbbc&M93tS9xxcox*cM z)75ap`}xb&Cf3?l3wAN4GS<c#Im%ZbUS7nw{;jg+)t@?#u4vw~EN%PlclR*+@^_0j zudWjL{<b(GFs<UjBwL%(lXmlVK4DlNQ`GTX&+-uWbeYFxb-PVEdk@)p7erp;{AN|! zW$QOLak}a{T|4&n>$i%tQ&&n{-xoCh)YKBgxqGh6+oH3|D`t0R)S@GoVkTDRE_wDO zYWdx`7oWE7ypUz5wC!!y%;m3-q^4_bdz&^>%gZil)BAO{GRrNdEc;zN?S|P$t=4#( zb*~cjw@ED)y|7Zsys3Yh#@T|!6~VpI&hNAMUOh}T-TAX3s5iRd_|+Y)6(PORE$!28 zY<n~9*tWfMzUmy^=Dlytq22}Arg<WFR~06|i0hr*E_Zdu&li!s(GB;m?r41x-y7Z1 zIPFKkj-?MDD@^yf;JTY}!l|b>zBQ$miSph2E%fcp<{M>oeRIU}7I~{x<aI88b?STH zwyf(M#+Kc=+bv6*-cHL{y+%A=KD0>j#>%_*Jz|&KD4V`_T4c`d=-r)aCzqalw??%@ z#O~>hvi`p=(~@uRji0qKH@2$r=@iv%zFT#+*(TYG-%KjG66c_~%{I^8?V^@gVfWvb zsY$ofr!5V6Ve|d(H?wm|x0!!#>d58KGC!#@(|v0D8{g=@T>j_J&(%L_Roy1KN$$4X zNljP7ZML(Pt8IL1SS{G)D8OG7V{|YI9NGSJtPTb*_xpHerLj%<E4es}QhEK@tBWI^ zPm;X0JB435W>deZp5+0x=`u-+z56~KR6SlX=f0k=(ze>nPT$j32P>ER72aKGY_pa3 z#G@&n4hh{BTbh*f`;O4<Y4;ot7<=bLFF&?TT4&?hg23e4Uqkka=-*qnLNuQ3Q1IKV zid7$SHiYfI6*sM7+e!8{^Xih-{N9)AZ+tSl&hF>U?JrMHo1~(){`vh?!J8i(4URd` z9n<?Db)DNst?=ZExvMKHCa?ZkF?%)Zj@heQcPzg9^~9DX{d%XxIj24E7V-Y<+BWs) zqV~|Ai~6trOllDQnbh%jo0*3_$M)#z7Vk@&{Jy$WfA-tMHM4FBNB`C78qxJx&#Kp# z*>AaWZTq!r;vY_^3fo+16P|vxd_~sBPrJGFN?!y8w7>Cx<m>x!wd<agt&eusOig%N zo;5*v^-b=u)7rlT)|CBRq93li_J#9?SGzBL`NGY=Qrdc(iRqSWD$5r~emQ0P)cm%N z)j_G{ejBf^G=8J~eetHa`E2X>Pw9KSQaX4v-)pOO_pQ~7zia&xxU=?!bK&wu{D<Fu zlCGNMzc^|E^V9ObpXPAzg_SL`2)Wt$u;ANC^Q)S*#@{-eRV`+%{}fz&W}%SG`S5IR zi-!T6X3tiavaTs!!SXtHuV%I2k7;YoQ}dbbpAkLkuJzfq>Ge*f^{=+pOni{F?u2%g zYP`yhn0e1mB~^A8zmN7Vzk1JqU&`xCx42Jz*ZvhyvaUj>&F}s+Cy|rSm-l6LnuR`e z-LR8Oze_i?!Z2s+)V({mNm!=Ne%@tQ!yFs;US)p$U)MR^zqd`|SexAZ<?Eig_or<O z+ZF!t>i^hnm0Mm+NZD}MOjhLUr|-;iPvl)=+<vl3pIUGEn$P~T-OmfE!ZxS$AD@5p zxN323wy&qU#N#WP$E-@*3jOXL7Fhl+c~3CE!NHa7GhSR#_9=R0s8;_xBd+WCx@SvS zeF{_kIhR?Lc4hj_P23gy{levyzboGB)Hm?^*&cCUF1K*<=O2bvyJt;**r{C|<{%#a zt!Ke%?P`AK*iHS3dX@(YrpqL?c=s7xQavsa+9%9wSv_U-?eb|)SL|HqnDgzzU+dFP zl+&i9)cF*@NX)k1mvD9I%stxg#ZzNzp02oFKCN<f#aXYEyB+uLN2)#eQWYqFyRh4O zlggpDudi_ay2%%JjeEx9GKCqh%NERdUY0Q9ec6W%2i`tNUbuOh$ltkEhZ&Z?OI#5A z-SEUpc8NDvoX-@!5=^uHDq;LtO5^s6n9XZ@Q`zO#PStOVkmcGDaj^M#m#B?~U-*Xv zi^zkA53l5sv&-9|?Ok~E?SX=uZy!9Ibo=0O*6q#>pYz%Up5NgUJhw|WY0k;w7Xjy+ zt?y~4Jt>{Ni20yyIiJY(+>h~}?iyAW?TNg#!=z(nc+REM@$aSFid~x)ni=laHdTpN zk;oUloZNRpob%YF9N{pb)BYbGnVy_=WKmc5to0t-WTwi_Iu-5{KPks@)5)W&m+5<4 zJDO|vNa4JvnWBo^{ulQT)xEk@pLOiwo81Oa?ac(lW4-HR3}){tX^Qllo3t!AeMaK- z+v)W&8`_rjX1sc|o_X1GRsa7x5_02;W~t1dJl*E+<EGNelil?(8?0X2uF~0eJmkQt znu!Ui*S6{;F>=dl9b{_vGQ7Xg=*($-k)vHT6B8@<y-1AQ_aZrSpG1P^K8d8feG-X< z`y`Sl#_IelJh-K1V!r$**DlSUN{3Ts@m&+kv^o*@PuH$|UTnq$+b!(B?NhGjdD|r& zNaz2hqW6Bk=kut;u62L@$CfSh`*`Wysy%<z_J(I#to$B&FJ*gq*YuV3m;O&l^sZEh zENMIYB|P_^-wUUwTP{Q$czV9{*y8^4m+jT`H#1p@^LQA}keblWl2#<I-upOU%`dm~ z8qNQH>2_h#b&S^}bPh%J3dZgCW1ahP<L}-m2PH0xC~bv@tTFj1FCH!qb2!Ak<KPGG z4Hpvx&1xstJqX_LW^<CwwBKi%IQ430-P;*dz<Aewb750nnBT>#rzCep>})?5_HOay zwKGKI?YAbh*_q|@7w6tME+frnaJ#LtA@8tY+O2{f$@!k?P6_v(T;_E%cx${eS|pM& z*w@40Xp6{U-xCHWTjbPcT{zCN*hS<q?=gdO33A7z&K#F$W|hd@Ah4L{*!K@?-G)w@ zU-<3+&YoebcG`I_2d7%?yt<Z%CKllpo|?x_2zyKn@-Zn+eWA~POX<sn^DTD2W*NB5 z&);%bcw%Ng_ty)j7bIQV@ony%-9b?Yc%`3h{8gSN$78EwSuK&U(C%4uMnk38sp}cs zy@hu*n4hN3$o&x%*A^)zm9Sg2UEns)sfzd;NefvPPu0|4%9>*m)!P|%JLP0^(yBl+ zwJ_uE_3fr&uaY;ZwlhrDF*(Mj%-(-Jxhas}|H|VIFu6jN-)>It<H{t5Xbt&GVyD=* zwz5_H-s<UWv+aUJd+(&5f9|~95D@qL{MS=I7o9uaYxR54Idz@N02>ppho7zbuP^8D zv+da&AfK#jazKKcxA1<^7LLDPSGHf4INtM#ZI9}=D2@J(o*L%3?XhC<Z42E_GR19A z6nmB2(aI)~vrzF{M4#Bg`GMOTuIDy5I>&WKyXiW`bu+u|Q(S-4k^5`G38&gSTb@Wi zXmeD)x9=~vn(B^!TkkDZx_Fr_a*pP@NTakJ*$d@%NiwTFVttpjka1T;M#niZspJKL zn`TU3Gx?$P=Sj6DUSgjo)o!@JP^<H^t>XXIZHqcjl(p>h>T=Cma<TNt1(}Qz_Kn~8 zE?tik{M2Vuoccoh_&?Vdk9#9mFs%2Lcr=wW+2=~Om+PAkLGL-5#0>T&9AtT{QyH-5 zLiDt*rFC6LuOBw<Uf;i5OzJ^rYg<L$LPIracVX_o)`!El-1Im+{nIpwb^iOB#6HB# zuVH<5@0aAVq>p=ZW&CxPm+MS<xYlmV$_T&rku|k88!j;P>rC-K>9#M)a_)+L5iPM3 znqsF4&QEG?KQ8tvd6#NCgRzdu5g+c}k7rJV>$INk+10sp<?@NmGtzdyUf8sNb&t7` z*yi^R-eNq>I#&)Sa6iok1#;Fx#kR<d9)7ozM_R1zd=M3W#lg*c;qHq=Nw3}{UWo7s zbo+c{QKg(@tAOz<jmHH^ZgbNX%7sZj*LZez^A#s%olO@6w7+(CeX1;*Zl~OJacA88 z^vMT*-dfQtF?({)M$NSQoxk3ASC*R3IG$ATeJ-Qz8T0N}$?crXImU?{Yt?!_y6M{q z2Y+I#^%9$+Z~tqGj=@2VzjKTYRo7pbJ-bu0a`C+mAD@%6b*>yw<L2FP)X90xHW}SR z8GlU-3<LSiPiarPXzDh1^4@zai_e|>WOZ#4`_ZVyB1xLE$sfNOTyd)P*?NKDwa(AB z2vE37T6W0oy_#WqajCgfso$@c)=5^uIYxZyRj<;xziv3{R0|qu-n;dJfVHm4F@eQJ zNz%K{u9y?Sd0l#H@}i>J9y`Tphb)ghJmR$Nyo9Wr{hhMM1xb~+7wX?@3Mw(?QxDra zcbbmGGpSaA)%S9^zivF>#H_RBf<U*f$uX<NMM=>+&S}hy;4YV*>iw;L?n>6rGj6Qd zeB;$ylRkB``0AcH%oC4RFXnE$Q@Q@34A*nLV;_%Ps=RD5??%rX<4qkdV)?y~kKU== z|4@eedAeA7o2r|3x9F>;);5dP=ie`qmcJ0rbg`RX>bdh7ukxN<otG~j&R`F`weQy| zWu`;MzmgQ#4k~VDkmH+u;kckMn?;sWT<~WH!K#_AU4_x>C#!F>Dc7CyV2<53R^114 zj?R3vr;f|UP+R|!^flqlpG>%)DlTjNByicuc@8M>+4r8VNLpu?JSBY2r*5~WlZxv? z@3V3E6x^J|epGd_$Rn2XCSE${KIm|NHN5S_d}iYXf$6&L-4~+Y_sz378{YG&>t^vf zyGty(32OtNKZ&|s<t--?-V@Z}SvoH;$#CVl?E!WxqcrA+=$ahKJAF~f#_-(c8Clz< zo{9#)&Er;`vH19@Cb16}GCEV(-mTT|n74yz`*DrgJDAL`u4tbzO+0!<zp9v2!phdR z8`+joE#_|C9ohx_JyzY>xxahl<U6dsUrE+)<gAh`6$^j%O?s)o^#^<rEBa%_q!z4J zy)VG7yU%c!<h~^79cNw~J!w`jBd|Z<O7a#}{x0WZACJ8Iu<~MFQfGD2LOZ_bB94M1 zPR=r0FG#Ha^3=1%_Vm}6u|2nw-Kqi~io5M}sr??U;aXm+E8zTd$G)tNi8c}YRaDtN zF$n*%NL1r5xYVNPH`Bn)-qznRD*Q#QJ!h$%(Am%<CfcRfRhGP586M(dvbyBD%7T}x zc6Xh4x~MMbNa&T?U%mQ;TT9k+N{Y?8a5&T0U%uPtJVUpyL{cYb@|;VbMZy{UUOl(l z$xv{wWNE~zbgib<scK&~+-b49Hq+n$m++^x`#F<iPRvUc+pzHE?{8Zlo$TRT%eyxB z=-u;j^Ov5FzL8S*Of3CBTiRvGU#a=uZ>R9r#uhag-^{i&oB2I;y3E4#x{IAFqV$*h zeR%l!%TDXvaSrd#&*2m4-jsfC4WG!~&DR&KwL0AX#$IKuRlZ$1Z_StDSB$@`zY6@F z`?UMRtK#W$$qmZ&8yO{DWE@bL68|8>!O(SoiHO6QYx*+F4QB2*pWZJWDXTHhn*YFu zGb^hrUR|lRDSX8!XZ2N}Sw>gq(B^}Fw#PEv{~lE`I5IJgC0~5s$@~W@J<_i%j-G5X zx?kAkn(_U_MJA;a($91jwx9I7d)#cfT*B^P{*8xMy5D$tCHYO!i=^DUZ?ABEvyigj z)H-kF7bp~WPRBx4BhA8ZVu#CjtL_sYZWMC4p80ie<?o8;SD5#dzEb>Vd0ISie{g@{ zIYSQ@pUqb%#<`r#m)RU3q%U7G=denV+#Flc{PG1eo>{mmov3@8p(L0mUlexmaN_cJ zk2`|-3$Cnew|I6%S*HAzp`S&Gp!d9zS1ikA(iL|4?mzX`!SHS&n@f+?{Ya)ZR=>N4 zotB?#j#z%~apdxI&e6-|5?2QIZ#=WG+q?U;Ebok%lsU8IJ-bea3x9TYEtFl|@6BhR zP<{EDT6@IDna+J1jP~b+xJ>%|>9tzkqu?_q-^+x=e2IQ*;ZU^xSYg8xrw38nnGe4C z;~8fWaHdFXVp2_=j>plTk<ZsOwSV=ydz@{#T*BgD{*5PBx=YO1+n04UIj3xixcQWA z7Eu;EJGWf8*r0S{?`-)q>ikAMr}wetUgy5?Vddq<q}7{QM3QBf2gK;!eWA0k-PiB# z@wDY~3Ejc`8?UZ(zwzu!a?XE~a*=N19fwtg&y;WJ%lJ39Z*ljv`*ZB;J9l?}{-mx^ z7+tt`b%9*j?iBgzn4*TgdX`6G!Y?ZIoSAQZRQFWv&zaqM_UiV<7hk78-(Gd;W&0EP zn39*C>+VRp?Fg!tcsHr`Oi`*_!ZL3@!^5iX63?v4dKUiNbfetw{P~z1>*YIB_PsAp zm0L93``N>yf1Kjc$C!UUR=N2h_oTdf(tb13{V$SsUp3yJleE3mV1G`Mv8k$k@y6-1 z=G>Q@yf$R|pXTn`3lib)cn-&IYERU=c|2gcOhSh@U*U<XdV<q-K9R8f^ji0uz5A!& z?ziV3{0Z|dv)}#6+Go>t%L(@rk6cT0s5^V~T-vnN1#)S-Q{;<diW+w6Svr-6?>;(X z`uwOjk<XrQ_ulmQ#{BzwkCHwv_){hr-m&}Xbl({5xC!ywW?avCxcudDRiVf|zpt*- zy`K5o?M-mk)O`!DZ+vm+>BO7ai`#Ve*;t%g7}Rs>-Xzm2&)V9UzCDwhd77m<#^`9? z^fMbyt5)B5IjQzd!AZt#yPpW$o^oKF%Jh44?>)J~8D>@5CF(afu~M<NGWcrc%~vZm zQnGh%JDGjzG}rv?KaV_}zSno}mQBeY_r6qZt<U+q`pm{JyR6>dW!}GmOL13Swy2WM zr27xO?`J(<oU@TvSZ89qkIqA;X;GF3eYBTH<UQrlUUTQLm-hFKr^0-?u9}_MaIDRC z&DKQu*EtpkjHUSskGCnyY<np2-EL~!X5ks;KWm!>@B9ndWgeQsYGbZ=kXtxtkBQ=8 zZQ-hlTqpVb9-+WJrV@v(n=32w4dlgn#2(Bz=p<tv(IU*&T^KYkFM7($vgJ1FUHL)N zmi49Vveoln(WSHOwlLq+M=5#NPL}LGtSX#Seo|z2jL~7k>2Dsc@cveKM3vp*&7{f= zQ}!mWJGJ!Xw*|rK77wocJoNU8<hP4oTB}0!&-R_{-0OR({B!Z#3ig9{oqj1c$mn0> z%uBt`e)8+W9YGH>-b!0vF;6fo6yM`nBk>|`;UZ!E;8gXlom2UbZ*Q17*QRI9n<vR4 z6T=+4gST<LTXyMD+UL_@2lq~u?Oy$0-kbiAoW4@i(o$Vko#MGikF+OLy2{IOhkQwl zh`f0G@Tx95yRh&toEy}B8}(jY9#&JO`rEGW>f(KZujlZ+<&Jn?rf}ndj!X5vklZy7 z95-xfVn6zfOO7X6tD@pY)WO5Y&B{|Xx9ygdDBB@hVeqEx!^YEZ1roO3Ry-oN-MM9N zUc1EXIefl0J`?q4&gNs`=UTTd@6p~ziLCrh?xHpta^W8mDuNCkK75%=&TigLZSRkF z-X8dH=<S1tQ*R$Up1s|<!91^BVDH`MCQkO-oqPVB`*<0&K*)0QkDYSdF`J`)8UBB$ zH~-7(f84R`SuYQmyRQrNt}*tldH%m5RlTWu-Ba6Atp`D(aV*nUe^|65s#W~(R;@iY zG3yVVKHjUfhw09mL*4HiSAFOz*w3ZE&v{i%lg7KSb6sKu3%T^0{)Scr%B(ol{V+i^ zPL411;nT+jqH&CUArD0#Wr)TJ_JuwaO^$yme57DeQP`S>$)Od3b5_+j@ONuj|Fnp` zsd-@LDL=(?VP#zf>ox`Ve4cts;il>y-H>le72zKLPn~%hdf<1~9S*yY$8H}tN}0F$ zE#Wff4_|fito!t=BJK~HX5Dd$S#wPHP;1tmAChYy#RSe-tz>N1K6mZ0-UmLWc_PbK z7baVT_Remfe09f9i@@IKhUBX|T6cg3S5IHvanvI2=+2}aQLbq<R=dM`r4L@v`lYv6 zBB)pT@Pn*7Hax42ojraa>kd=N+GDyd_p|OOeOY--*KNI4nis$A>Jw+Q7r2||u`sVH zT>K)eSNiaatUESm)*m~2d{5RLrZX##=^lBLbw}yUs$;sx&S;&R+AkTJ@=V-duatRH z=G7g6K5LKlCVH9X$*F}uewG|$n#ZUX_E;>*$uv*!SomYH<QUQCS_f`u8F6z-1ouii zKF_+Nu`B%Xv4Z7Ykv2!(YVA?Fv*wWYG2ZK}H{a|(u}=KfmY?4r9X`+Mljm74<dgMt zw%LEfpE4%T7ti))JJ|AUf9~h`udGbAi$!H~&5)m_+vI%l{??!8XNDfgy!qtx{+ysW zQ+@YoPxR$~o_hH>>rI}5K(n7k+OumSr=FDvUsNQi$>*)aAG_|9+CkHsOLpkZ<}pvX zeAxHqmqKqd=A4OV1x}|LAL6>%voT0Z`LN*0PX!^HYGfv_VK}a;eT4I5S3%IGq&Zy| zp6y=}wsyyf4PLA)s~7Lj|7<R#kucMZV_Q~B(rh=0ZP`Z-^Y#9?v2r5AZWE!T?QTkM zE_(Fr%~I94wnFa@&-=?9_kFTk^5>WyD)3X5+jUgy<HcBI#;Obz7yn+D7fUBf>`h)O z#FKqBsxo3Zll<#YfrMr*r<@SS7GJH7jgjk;Cf_)~%NOEgpz$L=_g|PA>z<FME*G4? zKbpL#Ph!{06VBnXAAKkHF_l~k?C`Vd`Vgoe-ow8yO1`Tx%Ico|z3i72?3K%vyS7^; zy;%ADX<}br&6#U~4Utw{hQaQFX)iR6mAw69z;mIa{h!pwi~h=tzp_+Z<a=FStQC~I z#kN1|bGc^b56?fh3mI#Z<_dl>m2kChHvH1>{W<tH6Pt(F!2>%?Y_~nF&6|=V)HBVu z%W%_4gD^AOp2@!Q`lp2Rqwj5hcOtE0_fyNwx~}0XeQJc2BjwsWru8qJB$_ZIrBy;N zQqavKtY_lWh4;6GojLXW)Z!3^rr%EkXEiY8Ww$(7>ZTEw+469!o6Vk!9sS#-I6wF) zE8bhpx};(OQ(L>%hYudCc5<r~4hW03RIF;^I3FVLcxTKeHN$FO?e8~Q1blS)7b-nm zIKQtV|B~QQ4OzpUD-+uVtv(sXxbHE3p>d@7)1D~`U7ArhCDpQz99HT55Vw3HgRqHE z(jGUZp35FR8y#2rUlaSV(1tCG({38Ohi?A<-3wEM?o9UW`n~Do2M04-n<>8X{VB!= zl5g^SbTL!DGvTbn`BR1Ix~|JV39Nr={Hyrn^z+a3Qzk!Jnq#zQk}v=I)XT@EZ}NNy zGjrZE`7Fo#)XD4Zf+Quayi-$HYo^pl9?ZPC<c9iep5LjL4>R8UQW#*yEHmk>Kzpk3 zA;FtHg&|st+x3DZ_cHZ)?vp!Mcyoz`;%pxE)XRr8Z+_VrZpJ)k;#q<8r9nStXnx(# zV6LirME7J@LG-4iJDmUBXYco4J8Rms_^fQJ4>OsbANqgu+5XHK->YKu*1zC<zV7)} znMvv?TssmDvxw);cog)5Klk72mf1({lw34dILIm-G{;=A-|g@JTg!a8UjKA^U8Hea zBwS=SU-(j^E6cg{)}8cw<R2|M`_amhpjX+3W|Kahh{}kmy!LcLKBr;aEcsWj4HUI> zzij>bR(dkC|CHFe11dLjj-{N;`N6$x%K5rj#$eTM_r*{DY5wyruCrYF<MkQVO`aPA z%p}E5E^9x!>1A?f4qJrQY{g%v(^}4Ll3d&!;@0(Y(uwDb)@|~<;A>VnMQ1jTZOUb5 zf6e4J$w^NWds2SRP>p0v^A78<oFtmKF6HP9@u|lgKE{Y%@OEd}Tk3Mr-JPel^vS~b zFZXXR=&1X#a$$1&xBKRw?5=2P_0I0;`dG2eMsD`3?o`QubDKIU5>_a8Ia)nhIIFK> z&obqGHLp7KuRVQt`^)uT)5>^#?H~8VXKi}M<mWARP;-CDv00V<HX5^8*i$Aa?awiK zGufBFI`#5#xtlx%PG-(~rk&+jpJIH>du5M^y6VL5C&V{-euy#CoOe>~aPZA91=l9~ z$or>EUH@Q{<fDl>ZWS7{4cB?3rrgl&tSQ~2KilTi1mCXRn@$$Eo7vu(<}1HFWwO5d zCdo%_Ic_`jW*e^aO#M<2BlPoCMX1@&ECCO-qpUYmEc9o~ojbX#-*}VcgEcvAH-h@k z&8n4|d`jfOij-ChrAR?O@30={fA(8{wqIHG^2Ww}OE>1m*1FrZCl`O{&#hakv9iEG z<LI5UTa${7_Q<qwI~Xk5BcrmQ;ca~g=Rv;SfHzk-4(s(++*m7Ur(hzKu-?t-&1H`k zU#ZT*2mM~E8g8qmK5%}rU{*)}Bxk9G7Hb6Cl%+lvged=clc{o`u(!ox;Y1E~Q=!LG zqBz?Evs8abSgloibU0(Bn?_nzOX4&)n={us`Yok64Z@WbpJl2Xs_8uvu^{Zw;f$?9 zH4}70gg+K;^H3J)Ha)b#MOiK_<H&KNUY3Y;69u%dF#Wm_cyArIK*Hu%2iaG1DdmJZ z_T+v_ob=#>L#6XHfu_GtZIdU8Z18nw5iUK#?C9^zlV0-V;g-IdIhO((CR;s<4R#mo zd#!QI(0?ue{qq^BPm|xQnAXR?N!jYrVjp*&=+Y+-7xdN0TnKFVYxU@JYoF4atARbX zQMD4^vc5h%oV$HmU;p-4t4G1}`y|R<oH$%2`}4*!<$V_~2X>@eb$tkNH=LL8_2UNj z)%^06{aZ_FUf5m|JnAg_(RY8J&7JEL`>U<E3c}qL-({$N-54#c%~+PHa>TFK<;KE^ z61ST#JzKui`MA`YKgpSbB4y6b+a5=LJs!j}o8#!-E3fQNoxGjYn{++tt-N=s;I<nY zYlCB6ZTQ!}^lxZ)#VM_m<;{=2Fq>(W8K0Xb{P)Q8mq`s(7rn)+l=4o_{hxgE(Zb8} zmm{1aSYsyn?(3i8Ygc_j?QrPLF9p74l^2v|Gp;+G*0Fn&<imYA$8P9Po%pCv<<rcE z0ozUss~vQ_xx_*NQh?b(3Z*v_&kD>=F+QYsvuC66?f~y2x;t+Nb=uyUq$6*xs(pa> zBv+y1CZ#!(*GPzOUfLMFRcYU>1x!tEZ|+?rB2wU}%<|mSsnAcEC*AbX!wqgVbFO(b z1WR!i1}O{rWvCqcnA58x)Rnu6+y3xz6Q_a@Wf6CiLmRx5<-{_M9IxqRnX!DLK(VP% zVxL>mnK12x;j*F?Hx@C;&khwx*v{n?6Xw|Bt@XgxNzY?)2d`MVae`L`!xZ1ik`MiE zUbA$*<LxfOU3z4LgS*_b*C&qK$bM|_cUM$<rEw@n_UMeMvA_HT^{0i&-?LB4dRf6f zbD?tAORJ<A%ar+pOP?fn^)dNe3+(W;di2-D-LNe4>%-hta}Dn;k$R}RY9d3iiBQtw zX~iu|r8+kr@z)QZ@JV-P<ju9)gp_Aw8gzYROP&!o@AEA&1K&*?%cd=NFZ9man<KPl zvTxVcO(!?Fnc1$H<}1&gYJ9-!<`c&qAzWRWla3lhZnE*2q$4k^s(pavB$uJ{CZ(Jy zYb2ykH3!|v)JoXN#W8KFdnOZ?#GIpFG!-S52zE6}B}yz1<iBh3Xz_eErY~1LI_#dN zItz3y&FcKw=D{i_w)((vB~ccO#Z3Z-uPk<vSk)xq{A7}8=grl@JCBRKuT6BS<Ph~_ ztSxad@NpM$E<Ix4;x5Pb`owVsSyqV^iv^gsO#1Y3p<r8~)JKCbWxHb;DhD!pTO^iF z<WM#hN_Hvx*wztUwD)wOv+lvwZ+=bKz%?U?Z~hV8ohLVW8hV;Zs-0Ta{&3UF<UKiT z66&)RkDW?uG2A4Xyd}h~D{j(>^e=&%JPm@(G~G_99p3$kMIrB`?L=Ss{#4@w$~SpF z2AC<^OgJm?{j~DlX$zN^MC@zct^V`V-P@u!pE$0{`BV{LR_UNI+eT@Mubj}Sw2s%C zBp=SoIkrP<>P2V%l|41#W#ONmT5npn$?Kx4nWWX}W$k{OUOrwtC$xcoljNhlAzboz zmljJe*q_omLoZTrnP*tf%6cFDufk8K-MMVBS5-an-s_FqWVljpuiw6LmUET&yIx-5 zJ=+qy!V7n0dlgQeG5Z=Tn@U8!Qx~sV%8ePh3Z5UQ-8mhxO*2lTOm?1ks>a<()oRus zP0ONGZi`%BIx#N3+dRn8Yx|RXY1Ow`y5>H!whWcEOFRFT!}xA`*{OG5IlnPmolY~p z=PhaShgE(P_XU?blU`4{TcY}CTFmt#uR`y2^K*p0O!w_#+;q|)!0hKE_1Sjsrg1Cg zoxD5otibkE<3qYPdkQ_Z43BzyJ}JJ)dsAhH+H8^V)X5LKa;kRdq@KADo5f`pqI%lh z|0LH1?@dl$rmf+qRnd04zrloUQ*_byO{<M1m3FLG?qat}+OblZzrX2A5!=N4leT<b zkKOjEZeM02w>i4V|5Rk!_9ydRbv&BcCo%8!iNoBoKP%QQX5c@4cYBF<Ug^&+_hQD? zD%}ZrW&K_eta~Q;+Bu(4J1TuMr9ykQ+`W^_`oC{FneKCw=VPFmvd#3f5_3<#t~5T% z<@v;RlXsc6=ZqSklWMN!H=oS)Gpk%b+4tVtl*!+%Z$6RrH&f=Bepcf2QX?as>8DPF z9~YgZA1@nzO6{oD&6Eh;*>cxTEbHI8Nitz>PFsZjY(cXVX+5bylD0aNL5h7rip@`} z9TmIz#JcL{mkqvVwK>zzGFYb=AMsl$V)x4{tf6j_=%W28t`&-3uSn?c{W4AFV*W|p zkC#?YR8<w7R<(3S^c~*^w>JbZvTz^1R5XFX&c?)PLzJ>ew#lIlVajsXGLIZ*>SejH zKyY90RdCh0F>)=-QKhS`{1bJh6mP5&?8=o&ys=J@-`eC+@^Uw(H<vs*?n-rTa91`| zTQxNyV$H4(h9(ipEY2o}zFSHqN-PtU+nRmkI7csw#7e<^Z!dVXT$JiGbX(ifuDXg_ zJ|)@I$-qy!=KT`EHcqLJ1|G_GYMCkrQhHlvEE2nLJV=x!Vp)^G=}>{hR<5KqQ}}~< z_)bqx7CNMS_)}Db3Tw<I!Go!?forY^9uAeQ+_6kq{(9*Vb0I6P!eDnBiA#Y!#Zk2t z0jn)P8gARV=ZBc!K}Fd>nQMZF1!XHORw>ILFA;gLqt9v1g}|1{R$YawWWK0%9Df{D zdqlQCcW%VC5*I^%cb?CsPZAsZYILpyHn>`GZH#aioc2QFSkPKKIkU{K50&$0PV3{} z`_$^u#@0TGXRl5i){^}hv34;-c8N&R+OQz`*<1ICCUm=1>{vIE<GHEO<EHRie^j<V zS=P4HHDIr*xvtL94VQFxzP$OkhcmZZvMaWG=F<aKyH(A-3s)X~^Je48BYxYxiba;o z8-#D1ZMc5UiW={{;BD4EdRN3YOg?FLFm9TkqwDSl#hZuXSXi7x9Smbwd6YvQEL=Tn zWq+O)#|39r#i~^bPWqxpUaXxmCu#np1L?hXS_&^#Gj-KzCA?VA#Lpb^Ab9~76Hkz1 z$3d+Q0~c1qyr7*=cz#cvRWW1Hjhdf<Zy5Bad{Jae{cF5YnJ46p#9kxooh`?g&)2E_ zD5YGM!m4wN^-K`sK_Ah8GXac;OGGPXEMt-n4i!jP!R6$0?$t~Nxg1Ti!%jz^1<z0V zJ!Ab1#%G#k9Xq>Z6Z?{m&xqYrpQ*P+tl*LVCf|aXjhcO$W`_fhek*X<bX2}rCM;)P zo2J=O$D?T$3vS45^V;@<zp1wC?D2I;!EXX{+U|C}O`M+6z5ez4keqw^BD0frCmH7i z=kWhljsCOnR_;-?9ZPSBn2XMS*p^hiL+|FJ<PX<HW<OY!6dV(n(>A&5?ZZha-TXV^ zLvot9yL=1dHcFn;+}6H%lCE8Bo!drEKW($4nn%+j7T=H)`==ReHzm7&@#eV5+aJU) za*L;|6q<dUrQWMO@Y6aI{bM$w0%t-QkIIM|oC#}ek2DgfEnmh}k+YVm>!nu0jAcyx z!J8*-U!5t!dUweU7HQGh?oC~1H^goHxzB%-tK@Ypvx7=Umqo0-!LwQ=x@Y;+uCvTy zN7EwK-Kd$Ye>3TiPH0Y(YM1ZEh>eoJ{%p?q>^42eur5u@>>$t4XS(Zd>^Uepd;9j3 z?vKW<8#&dq&5o)ZefHb>=r;q$O-Fx7uD`LzTf^*V#L+Z~#W&>Kythf%FBX}dup}wC zCm^ToVb|NlZ}!WtJMGI~lbw5D>6=R(2GJW{&A<Fk<KLQ)lKoS)6e1Qfbv@Neh*-wN z@BQDBSB%x;A4f^28;4kSi++yOL+{ycKOQX;xVZOuc1yxMH;rdmEs1?@Ha;O8FMi1X zTO!o-U20*)a>2IkQXfCKDJFUBxyEr&yEkCZ6^_H)y%jqa3m96=%WQeD(oJJtM$5yE zZZ<YS9TIB%rcNImltsRq9xCuxmV2k=!ZYK!)Wd^Yiu8^wkX$6#b`VrnC?>t&xx#Uf zp*P^mbq;6y-ijBi1wI}Zl%HzUy?^`bwLb&CT;g!{@2z;TP~f9_-d$6t3%<%Cv8IPE zxGKw8oo)`|nc}CVuw%|z7gvXkZ~kjF<hz7ENWAxdD&OQk`nPL(KTM5K7U4EMw82AJ zPE6ZnN_nRn$F!^#e^;r*h=qdu#Ue^<-`ILTn1(5f%r-f+AyQdxn}!R|o3&Dk66*!K z9Hkz{Mk>p-frJ)HDM~C8-1qbf$Nl20BZoCwI~r}Hlv$EZ4qeyjHQ>3zG2cN{iR~Lt zufUm097l6{4c1)gX#dJ3$Xq?wjpJHI%W+ewhr$`(4tRUn><R9WxRGz_^ubNJChHo< zVdvh8igf~p8|G)W_@9+}7`)l-$CWjL{QR4qR|p+4u3N&?H1E>}(YcikTtE8aSml15 z>^*wqz`NCgO?FZXU#t~ui<A2J!EqgfF!S#AN6Kv9w9mFb+Uiyjv1;k34PlClRMWCr z5_Y+1EX!<3T;*ot6WAefW3s7}fv2*Fu*o3<KV>;LEf=0Q@1!1n-s|?GX1$=@{p^<W z-?;>tcTaZXu+v(r#r7?sQZ_j$q5OJBdmc!9wHwE-jFv^4-6X6sj~sSi+LDw|e}SWa zr^%sYy<P*GOC0?>K>?c$8Uzuv+hBTVIZyA0#<det60%$Pb3jax=%a^gZ8Th$OKa<2 zSaiNOknw1Ss6kH<<NJc5#nnj({TDb67W4-2T;@0&(EGvEOY!7^Ya9m^dINZ_a2#gn zt$49sz;MIw%$5b~-86n>v@G22X7eSeBjfQrDa9Rn^CkSZe9G)Km~*M4eKMCI^Y0cn zj=t=cM>E_c`m&B37HsWktc_4+X*Y4&=&Q`bZt^H`ztfQe_m&Gb?Uh=1W3gb{U8#>7 zycLrY-e2JW^+|KCa~yW;t*Fr87rJ<cKg6LRo>k;~$bo`z)*5+L=8K26hdLB^vx=C9 z9w=~Tl{<IB!Q`E(s6b38<55vjgP5?!c5fqrw^f0xEaD*!g>kGr&qE$OT*GrD=4V`= z#*vVnk@Lci`0R9L<uML<khq8Eh>4{mD~oWbgP|uY&*abp;?L}texI>q|LJUPwXL0J zv;=%MaEm;C%M`!mZBzS3o!WoSVv|-&Cl`q{1zK_)Jt5P|{?@Xq{b87^&)&mDB2BiI zTu0x@v?hl4JxbgiDj57_n@sD1;=V@<Cx;4N{^Xt&&SCdNL*#w2h}^SiQN!vzX9G3v zJ<;G<Uo66}zqV^efLUbrtemx*mUo%7KCJVT;{U#;Yeur%6Ac#rVv#O)ORoOFA`yQ7 zHC;0%%zLK6a=u8U>$xRY|NbJ8d)LBT&%~`S5^1__$#wLuOzXqkzC+bhLj{BH{+4O| zeov<LQF7m*$7$L8p6foQoS&=7rSBlV+u_1hy?~O<+%@(I;x=D4h-&XtyJGCFXpz4< zrKtBsLf@eR4fl@)H&%80l0O;9(f3TlM(lK;MBj4_Ill-|L)m#}0y+GiYS^4R6DV=+ ziAK%aZCXiOw$m32$eak&(0ii6BVH^bH|fST?~5$c-!dH(-R)3#RnH)1Gq>Ej+bn^< zrOO&0EQ?*RBUM}??>4KP*)5ihtM+W<W>L;<_FQAO_ze^2^pM0Ez5?E78Fo8dxUU!R zWivNV?c1hyJ2S^M_Iuwl9ZcBmV0c^4;LIj&xwKm>8^4^{z|C^`Ez?nx-3JV=>Q#V_ zW?5x?R4>418#mA7H%;xGW{R8(-!k#1y=`hQjQh1^@}GGJR=@F_{={<~)3&tlmxO00 zcz@&Yx~P}1m5U>6Wkb?ZE{U)e2M!mB{)kxC#NZt&kkrYgR1)sk^W4i<!%UlROGA1H zBa45i!$%iZn-_tN?e1D1J_fKd%B@g1QY-4RV|kNA`P50qI$>VtxOOaFlEAV(^nkjK zsKK0|#&&6~4~4O;jO$h^90?V5*|DfeV*1oc)i+j5xugAH(j=#vY;V?@nSqRlD@7|T zmNUtxhYCFC;c_YotlHSm+a;T@H>o{h`3=EqT4g<^I(q~EUB7kf8XM2adpbgC++qJe z-{11H|LfN+xqrXc?911Zv^k+8EwcU;+u`F^R^+eIez59?SN%nW9bsk~>!$OzdAlC= z?lWGI^FeZJP5_UtG|%B6Wy^E3<h|Jr-rD52aYK%Qj#m%cB$?&elN^%-O7x{gY-d$! zi}YS|Yn!)nnoMTUEZ3qX3sakuB5o!~{8P3sbX~l)Ibzj%l`QX%8FMGg2sbbCzM1f1 znv9iUl(onO?W>cV`8_s!@Y!z23E<I_<`JIktnQZmY0b&4^8brx*I!?^Y-7Vx-J|g= zr!U2eoW2}iW9D6788P$Ur;S>FMH4)KX&;$24K&B_WBs*RY<BDS<>^GT<g0W)TAO0H zXR;3ed)3p&*-vtP2;AhfC+CT%P}uEd^QE$1bKkhQhM{S$)`A;LncD7Zec0g6YWHoG z!T~$c7K;mKrq49en0LL@UTVUl9h|mrj1n7y?f*?T`|o(5jp><r`hHHaDRrOqVt$>i zE_k`U{piyBcGITReO6ue#rnvmXaDa$tFMc%sadmio#9XUzH8II_1@pUE&s@y`2Y5~ z|1N5M+R3=L{%`%QKa&HFn#vh}d=~$If9}8VKAlP5byc5RPjY(ge>Uq=?$RgAbS8b* zSABla#JF$Y-YIvcxPEF}`ou<N*|Ce|Qzy;d<YBjP${hAB`wG9z1*<);rTScPWx7nQ zyXH>K?kC3HCo2OarQGjFdF7o_`uQcONKTCH*v0Krz*^T%vB`QWUGVqCY_F5Pkt*|} zyyUhQiT`~e?G5IBdcEba&__#6-H&Z+4ofZau(Gt?bR&DVY*}7eO1I7=e=XJL(y5<s ze1>R_@H%H+nbsZ~2Da%zP?25Z4B4_eurnTepZw`|`ppJzuu}POuXEeI(%NGqz)Eif z745reX#HkUP*JehN%!fKKELg{nJ7LT?8H@5=Dc?~!?$}0*y|IQKB<|USaxG6*suL3 ztaR=ll0H6fa%qIKh-Z7cyO_V|4B4_uu=Dt}Rn^y?d9y(qV&^2$Io~yuKb~6ipiN^E z-`Po3Yt!PRr>dH|Of2!4Hs$?>-o@+AO#*pR*;{JMtlAvkX;%bXFWE(2+HmzveD>xg zAYG>>xvfpRA2l7MYfJnzlRVSkSAXfORP0*9Tz6L4YGGHtTx|Z&-)8lbrPh8mm9<Km z>{ItlbEDrM%dm+8cLW5ZvOcWsN)eo+tFC(V%(D|JCv);Fjk~f_XNn1*nd~_!A<>fY z@Y79ax;Vv7s(5Z#Fz3MGshi%23ZI$aIVmB{vax@4>P>yM8M>2I4*n@>Vh}&=)=`|Q zeCX#U!N*SA{riL$D`tL|SDpOeRe#O<5F_p#noPSk#p;|W5>)Xr&^+ChW~q8mRyDOs z>Y0}2W#f%rZ`h4I3O)4%54+y{Qs8J-J7@Y?hV6BKLTB&Sp80ZRepp1&3EfYM&UYqE zZatbZ`)Nv|;Y~Hm$d>A&O)F;PG|$n|Qj6AC?=B4Y6BD1jcIxD#M^mzA-QM!^`~B_0 zvu73F^l$q3sQ$qb<@@&A^H&~U_$+?=Px<@hIqR0M-TFW3@{Nf57ou*z`#tR!-&dP6 zD-5@`?cY-JWA%@H_3PXW);=@Oty`N_YHwn?E&Kkul`}qmkuubj=6h>xw)KOL!D2U` zQ(-$jW0ppJOP}ty;m`SNkJGCdh41jOEWX1hGWjkakMdnUxo4KzjvJ4xG}bA5CHKs_ zRGxcIRYRtq?U9h>a*18R{e~aUyRomz&Rn;0b+%^0vYR`DdK1FdxfxoAC(oF?x^l+s z)jwxUU(GsW&g#~P39DTrB0l@){yTWV<$8Qd=&M`e8!X%vBZ5BfzxAhlc96q;o6ZIC z^IR_4`zJ|EP_LAjq5e~1N^n`%QcJGGn`Dk2{v>nsc$bXofm1T7M^?$G9{M1o>L$PY zQpkbX^&7QW*8e|x%f|PQ&fU|KIez`KbYHY%_VliYe)FC@44e1lai-r*&A6u;Jo=zE zL6M03`Qj-I=ZmHY@E1?v;4hjY@%{f(`P+X^1-z0ryixTl>Q&vr5B9ew{fX&UG@ZZY zr~Rsc|K7Fjw`LvNHLK6`tFErEuEe+W<WTS0N!Ks7&srU&B`$t6(R6?A=lyG|j!*k` zzdrtc(>+rqsW6*;?`NpI3%K7P`0U5ytW6R9XD0vfO7{z18FJuHOz#7ab#8?w;mH=$ zR##fgTm92wVmNEp#Y&;WGi**CzF~9n_zoM*13zpukF?lm9y(&9c}!(<c!B4igX=q< za=l2`TRh2c!^XMZ%Wu58B3ZUg__n^Ye%Z;%+YXiLh`)Qhe@96Z@3!XYC0(FRe(h@u zWG^3@yUOrL+p=ZPwwZ3N*L+a2&!a#seu+gk-==4`_#UNnt~ZM<TfuVu%#Lkq#5SCp zqj1XTp!f7M>WS0Oe7L1rUGaEQ?Vi8Ib$d0;EVIsTxVf<E#-o7RoYEDH+bpjLSj%L! z2=_@Hy)o~$jBir=#rvwlJO=DbRm3kGUMXBs{z~NT94qJW<?j|w4*q`eW~gmfqu$Ji zfA?EJj+-jivpkS+eWNo^(MiE7&>EosIXr)!)&E`Ue0)})eW`=E@s+H+42_NzHEC;a zPTz4Q=)T{I%~`peofFdj|L@sbI_J%)#P>fx+IbjloE-n=NSRRaqa&5Gx8Dw3^zLr& z+{Ss9Z>^1Ow=aM0Aa?Fjtk<`vKhq@njp1N^_)@i%9={&nGyeMf->Q%$OrE-$%9E0g zRG#YSQ7N!n>&T*hd6fybr&_@k2TnQ7j9}CC@^(9P1D|Yj;rw;wg{G@L^VSRZ9ddbA zOpIRZ@OSdY^@{>e&sZ>D=yU1hc*W)^o#KWE6NHyZBprI=5;Cp(aY-?6Tc+mvw}EV4 z1{WPP<22j$32iO7?vQ)OIDoyM=gQIKO2>dDHJ#DT>0O)~4*Z=avn*F@ziRtzgQKxW zPXrzln>S-a?{c*_4`y=i-l4m`q|4&^tn}!vQqxN(9<2}B^tQP4#<~XC$)+lShm+o| z_!)VX-!&pO{O%#~uYan_nXDJ<u<XuS^0za=Gr?_1dqUch_N1^S#}B<(p%eV3dsBpk zK<dUqlT(i#L`*q)^rJ?1J8R%Hm1VAxK{NE`U)$Mdn)|g?_3a#~mD7K2F>qEj2%C4E zc}~KdiNQVpqg~mut)5<<dF|CPALrs-e8Nv&Ph<7gdmC-=F@I@p*1F`}*gnyRr=2vP zt=eT>yydjnwZwUBW@*{`4~SGUd{DISzOm9MKD3fYoX>it+r-a8she5Fw0PgFpOsS_ zoBhlCOh_pI|J6aZn?tT1{;w5!UMBVHmeuD{qp~;q`d;Nc(_0{LroSNIOn1Qs;efb* z(`0gjWKtKftmd7PG_^4*V%@SmU6I^+LE9Gi8*}QI1vII$d2PJnpsTYw@S6DY-k0D0 z?EP|DS%yVhNoGyj7e2G_4Z$3%zwSJ<>Fe};?>4b?^G<p6cm0<uhbF{p?p}NUqx9<A z%Qw22e%hewmunV&`^>Th4?q18f54(V#pd>u%yUm(-#BR*G}HE8sHN=E&bPtWa>Guo zHkiLXZ2PAdi%l<DhtyAeR?|~+*7*9w`0dHctN1n^{1SfY>Ix;Vgk94F&s;F-ni-Xr zIBnX^8EaGdo@LDJ-Wl~Qao#jl!}!xd5erruneBhE=pu_)mgGSt-NiE&rHbAAA9OsY z<npoP-|@P|7hO-=)LfI(@AQwFcIbF~)~YjySnfVt!xHy;(iV<;FD7j<47~2Zr}lC} z_erZKi7WV24MW?5W-PPPw#+)8c4`;Py?>XEaNg7Oj+mbK_+ZG@jHBP=b9r@hd)H?A z1oCb!{ra+R?hh4{NKfOnx-Vn&8u|BM32u^GRk+4Ovr1}3YuqQX=8MPtOy@?eijFQ^ z;ud=En4iw^_Rz=j&PPixD)Q#(>h$ofnzl4GW_qsQ;_aK(N?Ct&)xLl7U3h5ZF2mT_ ziN*52{&*EO2<g_;Cau@vcjP`OclFa6#n`#4PnPB6p4pPHHtXdLy|d3auI1F$FKuP{ z`(?tS{aJZB4*S)U8dlwvik@}r>Tm5^xvOe^@3>(T9eVaKPjBmwXp@i9-XG0Ze~Ugd zA@{7w^Vy$wx;?wOBun9$=9h-vRk!j#soywsXq%*T*1qVk?2DF4z7Cz4eeT)KiL0}v zA`kP0T#Y;GU~nR5fkcj3#EW%O$+N7thg^TS=2gq-v}~@Ua;v1&HsxHt7xCb3mdNAm zZCCTBu3P2yIeX8xeTt2GYCaz#_wfZC6q)aH<B+@VH~(wQtM0u?zSbwdrSNak-s?$b zD(WB3wQ$~>WpGqlc-x1lI-M0A-E)8RT??=gjyq+Z*VQ)7YR`oC^TiZz|9kc+=W|)W z)yrLdG27I7pI@zy`<mQ*aQ#2${l8AHnlI_Ibg}Hesd|QXtHiv&gzUR>%-8joOQg#G zcM-LvzIwsk%Bwc6e2}p+_h{hN9dQTN)?EJ_uy3CD0~Y?CIgj=k{a$*z`TajPe@?S2 zuQiW~F>l?lIL<I?YeG}joNUhC;>V%Ps{7p^^m9DUTJrmU&!(fNB1{z|7SEazbxTx_ zttYH^p6iS6OOsbU)0T=zb6+K#E^Pezy>iIn+5Ft8lIxxwP2V77vF??0s$apO6PkWf zJ6nU@@*Z!=dXtwK{^l2R=;SzW>wN+m(;u8!zsQE=x3aCsb0u4`bM93+F#*$7tlV`$ zt~NBB-RsJGUh%0PH}_uM>9bF`O8fEitf-r8dkR|OPQ5!Mlk>AP@zfE?FYHb8PF-H) zaC6ml2hFO}+c!#leg52g)k^E|x#`^PM^{D2Y<#<bFHmPnexT@t_{Qm>sZXxdGo(AN zeU!5L%0JQ2xX9m|W_->TeZJBDT>9(i%kgRjp{AQdcv!B76qmi+^sdxaWyivH5%bW; z?%B)JwjIelW0E{=)i#T7+%?`?zdo6Abk54|m)D=Xhzgx2k;-ghw(8vPYkq5&TBcX6 z?G*M2R=s!ZcR{SW&)U!@JJ(*V&#CCLQc4$@99p|-!@hVWbDRAe4^N(>a{N(8ey7?h z!Tp=f9ospMy%soXA-l;StUagaq9S|t(_ar(6fDo18#D3f>{w2nsn%{21$8bPbv=zr zOKhEXGh$^bU)agLopU#=F&24$YyBkuSJ!-+?{C<{7=G&N;c1y${~Y^}C94z>sBNn- z<N5rlo(VJC1a!jHp4)#f_P=*iT;O1>?4pVV&SG+}CiKp>dh%c+-_D9f&T{i!1qhul z(P({c`l@PC#QK$v+}S2ajs@`+8aO-0+`07Rz~Nk8&qsZ2OA5k0f1LWz+9t5)ia=Ml zRMNvGZ8t1b&mXjV_<E_-oN40hKM(0XJ9JfbTSQlZz?|NK4Xr^f&AOAHsCryHc&$V{ z<YM-%SFeq}e*M>OTA*~uu|V^XV_{_6_g{UNa&||_1v1S^=&do;et-Y|Bk@mM;hWc; z_}#Oi^+DE@)<;oOjvguv&GZ&CJE2;je8};G#vw<;RoC8ZFbIm{6Os9M_lO{ym%$we z%{IvutqVWBnD@NA?3MA^nb*o9wAc66oOy4R*kN<{=Z3AjM4O+kyqNx{PmoR1t?2)u z5+>%-j)aC+1$If&i9el!CkpPlZq&semG*G)w3|Pcr1HgO&g|B^WmNHERjOyn<xL{H zUa#s|v|mrkcR~9!!7o>gy5geJ61T5=apjoQ&*vVl&mOFpw)4i)RJp$FNFnttI<2Qa zP3~Y#TR4ARg_!iz63?g{i%Y7Ri`TDfl=*T|QGC8=@&10BJ=Yeh+<Otgl|Qw2vY+|Z zEr)r3UNcYsb9mRzz8#wOriU^r{dOiFV&V6hk+hvNx?<g$;<o5lAABUY*6e@2a+Bwu z-?siwo`=*vi9b}k#&cW6!zUBj#Mc+tPMKfGnNT0U!_U-_EsyQ)b(w{|x^7k`kLRu0 zc<OtX-bw#;PrS=3i(Y1JI~u)S>WcUF%DmNC%SvWHpC-5IX4t;6Iqzp==1pIjSbVhf z=f-(8$CthGDb9^vH#I(MSDKl?mx=3R*?C3wo@L|SdxlNg_ADE_?HM-lyP>5QLgr>a zh@PES<#8dDUvpQ8cX;w=+1VQ%?}b0_{+=7RqRR2bp>Ih)Pr02usGpM`^!4I_*0=tj zCKoXJeYkky=9$&6jy&bQo&S{kc4PeBoGJRT>~)_vU3JiXGetk=x%IY$%{7VI@jJ8r zdi}c^b#H&x-ymKNyZ>uTOeZ%#;El`)o9nw&`*wEdbLS7IzKMnY4qca%AEX|)W7FQy zs}_ygw{Krzf9^bGf8+78t1IqYIu|eYE<pXA{fZ;T`$8kHE<JrIddkmpTeg@O?g*Tz z&)2>2dUo>Wp!LC}mhzkAI+IU{ea^JIdui5B>utI_C0;7-5O}%JLjL8(0=-MgkJoIH zG+G-OcFXwGrD^M?&JXM5Uzq*$_LQ?ByTa;%ZVE+Sv+jEQQ*d+RgAF$w3Qy#0D7cWb zq0qrpG@NJVvu`)nuW66*&8{^IpZ#q5*YBFIH<m=!uWuB3V;IoY{b{eg-{q%TCPiV* zZs)WvoQV7@X}Q|&=d+B4-&@uHzcGs6W4O`3mCIc1+be<92C_-7U4HsyF#g<Ix_j03 zY56{DroNvoUjO8U`@Mxdcdnf&f6n>FB%mpl?UYgO0<mu^+CE(SGCeo*KWp>s(4BgT zO}=VA8!xu#<`^VOzxKUx&`P*$WAN3me|M$+iTub7Ro-59!o>W+G^4wp-cFl+s>FMH z^tV&tJGrME-LtOy<@f&Gt1=gt>`tAZr7E)HZjo!L6l>o0n6R~PytYT{o!o!o*@gXg zi$Y{K7VLiNWLkeUfKklu^zLnUx3AtO8gheU65s3y6UW5QJqtEOx(3M15fl+$&U*B) zrlV(KyvM`*mU!_~O7%~b*sitvij=ozh=p-<_0DX1l(23>U$<q`qr^!IR6crnsJG7h zI+g9DnQ7{5>+2>W-zJ;xxESzqu4>pG^RwG?`=$1Uf6Zmwe&y<eLg%ILr+$%neX-{3 zqyxgtsufuaM9%X}$(_r4<yd!{*LG1&{j=UHH!KWi;WbZeN>uYPywak(XRUdHw6o7f zSst@Q@n)YJhYz%!dJ%GD>Go%Ht}8YrTe%d*wCl{dpeU_gdg7p_Y|`?je3cfL18%OG zBKZ1?#cHNM*Oi`MX?T#~{8Y|MK;=V#Gsmy3!NOhtq>>UF+HOd!P~>CV8k{z(x8~KQ zh#f1~%=A_^HlNOV@Tg0wV#g{r&zRt5k#*XRW{oGa91MaYzyJAa?*Hm)3$MPE(?<_y z9h++c(sxZQ3oiK2&FpIb>Xq=YSL#N^S~kA8m5trgvmQKbl~VZ_!y8l)rqB1W^dEOV z+v+OW`S*W&6g$oRziYPQ+anjZE1r)x4*xav=i9QW>C&QminI5vWpnQB6u4uk=ET+c zB{w`Wb&3z~(j1=a&P{81ABEfuIQPG!%<7ocDy7?hN~@OcP#3+)_rYnKyQHw9MXJw| zh;J9)9(lNFrQ&=2_<}ug%^ZF&1de*jZYuC<&zW;gk-fd-#8FAvPX)2<lPs1yYu@47 zqqD5xhqc73Y>Awd*=aSC78SkwzwS$NZK}4MTWRM1ri&6Qm8bH&c_X>HrPaHvLVs?z z%(g2FyN$v=p5>RHBB|xD-(Ws3TYcpJT?aE1Dw53t_Dnt3-_#giU#Y%!pM>(%UGtQE zpUnAPYU@xMBQN^;S8em6q#EYculc<q*1X<%$>6Nw>ev0JB988wx8zK~f_3TAJgwa) z)0hvYZ*F`vb=5l0h3j^26TW^>_up*0sOVM2nI*xci=Ap!3QRuKsW5IbozFFmZKHLS zM}g<9l!6<t_6x7sZBjH%!jV5Tj$5Zubd{NwL(|hLkBuSxx;odKrM<sgIG7hY`^SVu z%ePGttIC|&doSwQg8pecC6=YinPq&Qd8A6$J8^E`MV4z>k_V-97e}m36${Ip**o=9 zMPNm<H<Now$Ab-gfijmAx!Frk9AlI%+7RC!^X9T5fAvz^9KoNnFX*v(T?oDPf;EFF z*mp+4EY4sb^F(fApBu+~gv$(02k3R>v1L7seei9%NA4GcBc&HEJH&o53gF+%Ytp%o zZPp<XQC&NwIS1sJRc~nUJF=eAPkM97vCh71xt!3O3(j3{t1=R2%iW4trrmvi{&Pu< zgEh=cBN7&fiAk;Kb-ncES8D7;ak;d6i_Ash&To#q_3LA{=N?Y8lS?dI<))cUTKe;& zNA1&l;S)BTF`HU9+h~#EDd!9ESzQPEmxp;x&U~;vSo_O_q@8k65x4x-tTM{UdVQjA z_x&6Niv+U(853z8nMp<xJGSq0;pY^4t$0+)cFP8zQz4RKr)qEHB&^Ld3y?9D){)6R ze*a>e!3X!rKUklcew~_qZeLnr=>wxJ`j!=w#8kxPT~8iP5A;e}IHQKk`?d4V5BkOD zxo-amefxD$N^*~erG&nho?7>$cFjdoj+g{`CAzsjivRh{ocCHt)*6kCd{LUIj*Bhy zyw<F9J$WoKuxP`opsTDW4=Y}rdc-l%>!HJ}`t}7nAJ1EFbS<@-68-=2J$03{hmt*u zKL%W~v6-+%<(+pF*Y}n)KYXiv4L+Ie)yOo<^PJT@d(yK<ZA&)x?pg5je$`ZyChbXS z4>v8b+@X@Gx6Nx-duY?K{f66uR<Lx3AL2Qgk-T%s&Iq+kxoKWeLf$I6tw)=V+5g@Z zaEU{VLvl+co4BW2*Uw35$@7+2&d|=(d*%@(v{_ZRHPR_fX5P%VO%v+{%hoT6yrG<# zW3HO1SLQjZeeR@bhxi;H&+oshmdUcZ)n~WOWocFAqhg+$H%4BH$q_R7*Ls8ZkfTlA zgq2ebH){l~nXYs@LOoOOnpc!iwu)}+?WSY)Jl4?`d6TYw@$vj@5PK;|LO0_N^CMx^ zvj<r`KO6X63X+hPoA>kh*5{mNaiQ8Svs+6Sr6jM_sEp9{@?0adR3xm8|7E>*O=azh zlRKszs5$Ae(dUwm54XSJzvoA$d)TpGR-NB{bdr9j>!fLim>eIQ&t~_OaxM4Ve9`w( zOv%(OD!VwO<jd1JDxbw)o2Qj2X4krV-ha!Fk(16JWIy@*(cUGM7227ednRrXiQ|^~ zFYw&hv-pGGC7T|RQ>WXX3r?DLsKD{D^T#)35BVPRAKj~>d^Evx^9AQiIXn|g*#D}Y zb+z~Wd?EbOq!-GWnqS1KcG{=JujQ5gIpOYdzbiU(rq!68^PJVpJ?Yt_MN2AosAPJ^ zOxq&TceTy<E&t7E7G?QO>%C{SMo&t6ylsi)4&_WeIgeTG?USY*5%u&=T)p6<epmi9 z6SGi`*3Zkk4o{kxw5&ky<NL1u=_b;k@T=3b<!4vb?R0iZn`C3`&#F8>n2qypbA5Wv zlqHrD%9(n{JfnmrzdFS3_mR0=xaZI1C;BaCKCGX#f11g*%@a-d=d1MgR+KKh*{E+< z-63?)T2bx%-GGa3hKhTHA1r?KJIZmBVPwyVW&VLhhGA1|zHnb}Ke~Kv;lsd=Y)%`l z^y`l<hvjQ-YP~oqEjeh%?}(aPuT+1=e3@dxe^*tv^L$WT&<}--8p#h~mpJBmN*xvU zOkUnt5M%eWcZ!MGEU#JZf|I5l5qv1IhucT&RqOWeWhc`UyPj;`82HKZhGwSTJI`6| zyC+RM;_K=C(Cx>St$)^fPya9{{iDCyd{L#(J3lU2qI%J{YqnFk<KxS>-4`<b{PNB5 zQqG>~CgSf^de8oDdbZ)*$Dm6baV_mU>r@NEje_n>H{rgndiEHvXY%X_&*DPwOOtje zryQHVXdbtlovZBx6ZU?UvxkK}y&tVyvh;(G#RmIYAAwn&{-T#v&K?W#EH-exWaBew zi;5bT)NCySe~F$yFBi9`ERj&p6g%cMtJiSSvxF^6c1oybdiG4&BErTI)%A7lyh&~s zyf58g(8?71<uR*w-=t>?<}ca#LVlmZ5q*0eA=RdXPCjvqXCDe?wqM!aVW`U^sw#ch z<>bMFz@kDQAG=Q7MN<wvD@flWtn*)5wRdg)A=xR}oI2Bca<p|ab1tiA=3H0L<h$lE ztNZGtXNgmnsBR3p6cnMDQPUKqmdR4wdg$lu>o+G&OscC0+wgPER)<SDI#W!xWlp(N zZ0LN+=FF5WB59mb@%PV6G-=Xwa{MEDF7%{_q5mbFGt*6^xmC^{bn-0T=yAzLXWAB( zX&zBr-mN~r)sIUaJaNA7^rW<hla}1vp^=$mtemNL&O3@LowYaqptWu$%kmbV-?xv+ zPMY{=$&yG5#Z0qj9I<Wr(*53c{I^wfTi-Svdwy%~B)1LTmo&<}XSLc+N=sh9#PWt# zrrtO2S?$b|rX6u~d@TN}&qL~9;KD_JHGg)cEU}P_`*Wk_dPT&in-(gWdfz;wxSq50 z=HFZ9DK)!R_3Y6D9}4n6XII@l>}>Kr;xVhV{;jPaB+l+WonpAG_vNA|4>w8}cD5&W zmUmY#I^wp!;QVLt1ocC|W$y*%bsOsL5mMdODXhw#@6wt3(4{liz(uoF@S)QuxgxDO z`u7~qwcNh@UcUBl=f^aA{bMB?oTtR(2&?kXcG2vNT{Pv;ybVY6g?TvAo8na^^t?n4 zyPQ1a5V)x@-sj#y@4%vqp*=4i_P+3XG*x5i2OAyL$anJHLI;l&_<x_?dfi3(=z{{i zABze)G;UgGxs?<;OtE<*yj0~|R}k0k!?6eUzxrTwr}BqUzQ+$Cqxh%1qN?nNT{_o# zyPiB8Z1_`UUUv|ezSjL4r%ayztbZ9(TbjG8*mt>@`277Bg3J0|Wa!o_JzU%<RP$re zp9_5sKYQc%m?t)s@7nVBeEWXW0O|83o}aZm9~2yG;Pgv7b$*ss0aJSKoL*KpzrtH5 zbmtfqNwfFdIjE>yUU=a|WV!h#m2c9OT-Cl;y$i33%-!_!`iYw{IZs56_uM&DsJy-K z&<WWX^CEHco;!zqmHi)0o4CB-cF10_bu$)4bKWs5YTE7QS9tz}?j7?YY5tx&2c4D6 z3(uagwK4jnA}3wR<?nMf`|&2tU2_ivE2~$eJrOzId*=|h^7fCHPRQ;tDiUAcJID9w zvR8!#CtG4;OcMFUeJnaZtDU)aYUU^Zh?F}!_3lR8)ofgPQLRaJ=KS!#Du<4IduABJ zVw}7~Bkf2*<P5pPsmW11)0<Zs#^^0OQcyWV=J3=bOC;8bsJWR79DVd+$qgSP#kTH* z8XobZJ0$eDHz)1L>FIq~w85tB^wBN}J$~)v9g`wN4^KVx>IK`T4H{OOX5wo*A1Xyi zA9mfS*LM2QF9|(q>7*SpIXw@HHtMu>zkQ#yW6}+o!&47Ud%?D8qk)yC+3eijhe|iZ z4!dr&Z99FaPeM=HI(di8o34jN8?D<;AD;KZt8|0HttUx!TTUL{lMyUbyx3Rs%*iS7 zuNE7m{+-|I63Jn=UEAJv-A0uUT9=$Z7+rEMw7-<RFk9_Z^5eu5D?T}ws+c?7Un+J; zzf9CV@4+8kyRAEetII#|<c68=Gncflby2p>F_n6`@q@;t<m7~q;*CG^e3l$P`og2X zJ#gYY6*sr4APK?Ju!tWLp(SR=dHbzIW<P9mt<q?7tJ2}={BlD==4GLQ#-+!PTvKk^ z@^tv9cmA6=Pvn=YX=^Wk;LXI%k@r_PZ``@Ye@XkI@+HTe)_e5#&YLL5ZmVRgx68fC zrlfn76Z`wc$K=G{FVc~_=N37sLO9j)Vd>LSmPGI5ZHmFCZP#-0{8YN+V(^3e>=!u$ z)eG8f-DjKj_mu^H>6tjKRn9QRY}fGu%NJsYpDxPgncnVicxuLx;}Yvc?EYA7YSdtJ zP47ON@qRJ?iDz5N4P!*|lXvKpbiRufV{@CG!_#4A`9eOo=%W6uCkykpXm;9NTqaUg z|4}^D-gLIrb(IfReZ3E^ia&jSMKXtzC!^=F@(cOX?icl^op-t)VJGdMJoT{S{D_x3 zUx=Q5zA#-UUdT4-XV8m#5{qwY#2wSrvv%Hn)KbUVefP0X8zar8oj)|&V(<Aw-4<rj z=O5pYDd`L@*K0enu~I_j__QMnEVhZT9ot~KDfa&Q<Qoyif30>&O5KdStv{`Q?$(Vp zBJ+(4YsA=&c=hKxCjGo|%Y54TqYonN%;q1{VxJwD{PV_~S)CViMD9LH=|8`^kneQ* zwFo=2?Z-ZCT<bOGUi!jnnc2N^g*kjx$2M+cwCp?U{NBRM?DDaVOD}xC{?xTFdDp$~ ztb6{g{S_W4#=pK-?EkXAvo*L6o{pbxE~3?4|NEcolJ*BXKL-5k{j)q!O!|C}`=jbM z7Co0Lj&tE*i>>^bjxX|MabN5!qQ2Nytj}e3j!d7KrG-dtQK7-DCl4LAXm-B7xJ=}o z+cvJFJ5RLS&?wcv<x*gO%jKiOt(1p}TTUKZoH4oi_(e5w|3$uX?_6e2+98|k^)M`D zb%cfV%n4HuoXrqE*qb4IbZN%q*6fRF(&3AJ`PRA2j*00rtK1=x>y=c!MYC0Q;@YIg zJ}FLROt%;LvP3WT71_PWSFFr^cFvnlGs_#&xkVfGZ#_v&Pl>g%kbPNLsCDUaV*L{J zPT`63MEb(Ta-{g<wNy4(OzTg$xV}E{Yx>1~ENRD#h0b)OFPR~CJ|i*8W^wPzk3D?L zju`KnA#?skVwp{{Q1m0o;2SclSvAFuc}+OmH~Wz!r`a*%pq!5MEgS6ST|Bm{!i_!q zv1F`HXZn{7YV!;`uYT;&T+?;6NlfgB*Mzf6v>!`yh8-~u(&<m%vO#Cw#bcK$+}IaC zmW=J`NdID>HP5hf;>R9Mp5CiXX3vg$O*p&j@MB5Nwxh;DJ)P-W49w<TJhr34js5Xs z$ygtd2E(vg>lxywpC{$%%x|~cSmWu__0&7@+WOP)MR;@WXaA8`vim*zQ?}*dGl$e= zH+_t2mnr$Xlx^pZ<=syoF6C4G=+qum@z4BJli<JLGwM-pA0kd`ybFuFt!==)e#^(4 zm}|$14L(h`I(AH0*E?}T+sF9iZx@Z4;`Zz8KkT29^XRHb)Bj(enwAOt37_%YwCDe# zPfcpR|4yF@u3vnv=Rf!9%vnJn;-lg&7;U?H*=XCt3r6hiTh1J<)BXIx=k%nCb*Y*< zR}B6oKevr?+u(b->7Tw$d6sgJ&Q+sr?=Bii&)#z8V4806M%U9eIaiIg?Y&~OZS@s{ ze~0(4j5>Dh*DSBk^|BRF(i4;BP22c%!nB(^)}`|0WzFoq9rf(t%4w<}Jx&K%tV#T{ zy!-i<o(FrT1;$)4;-0>xr?)lgS;E9=J1y2py8Ymn_s@tFYTlB$tRP6Z|G(O&Ez<<& zT;z#vjlY<s95m;u5%=>gXO30s7H{x3{`M(8GVaPT??*GHEiH&V{A0cD`Yf*Z`(qyW znJFDL)!keWdphUN1*0;x%$eQMQPYm(@;=^wanI^hmfc%=lJ-uEys;qF%q(kW^WRy= zp6-8iXHD<%Z||a}9SY-p{QmciWvMLeTJa_VkxbQF1vR&2&uo>AN=sfo&C+7UN{N4m zFO}Y^kSoiM6sk7qnf`u7)zXvkp&R|%bKYE6WM?lqanw=vQ-MeOB#Sl9nlgdnJ)gFI zP}Nm_u};qVuet5F3r5`6uk=3N|8wJVvw6+hw<<KhTs!hO`_{gww8itMS-x1Gs>hZw zv%N8Dnu|H_WABHGQEmnydmSF?G`7!jd*uBv@s^7&&vhf|#aqrC%+M`1bUQt1$NIDB zT>K_I`sMPOk_Uso)*OyxlHVeznU_^19RKd#Wh3eJTQjXH7N_d%%Ft@l&$Q2ey!1oh z;fkMqE6UI8`Rn&`Li1j$Cy!eADlOJI=d4}etSJ*Z{Z{^5^;a2t3i)15Xq{|z<eHV$ zlSk|LDl1kvYubc8zjt5sPN4f^?*HsoDUX-(Syn7{){A>Fq5Zejlq0sXUJtvZK8F6) zEuHz-d`iw0*Ubga?J_Z!6~)6#PaI~H^-AjGTe=})Zgu@j+gRD&^?RPbp3u9~>PbQ$ z-_99po#oPA1_&iz?a=RE{$fJwNvo9PNqm+wRygaay_nGc(Q3*O3t6wkWl|rXe#w(f zTAeAIWWDs%_GgDWN?l|(6$ZA;+zC_O_u}q?b8PXS?}eWi{x_+7rk>PME7?sO!rF7z zTvTLVU3%iEmh7huLG6<w7CLL{{7?O<Ep2(U^fAl1nnV+UIe!=Zd04Sju`yeauj#cQ zU)NtjzH7lsGG=*h7BY9b3U=&}efXh3{m{fCcRAd-zMq-uS9op?i|>QFmgWbJEzOS- zTMi#u%+cO^T#%35Ur9#K&)vf2O;3T!M*Tw*55*NPjkd`5JLHw%-_o2=-qM^D-g5ZR zH;(q+TtPl|Yb6=IZEhAf=Eyztc$Cnh-0J+yYkT3rIW2P!Jmg?MIFW<>=tYk9)?`6G z>Ep^We10w#F>`tfDlAgh8L*3=WfPx%hK+yv**2ARGk7&)*8Z_`Pkr#&pDB5fFUw-> z{p&By5}F}$*ww(Yty}kO(hi-Tj)$8Jb=yuK{34+zZk)8k=1k8+ucR=ZaIVdZr8|;B zN`i9CW(gm5H85}MPKx81-ulxp#!T!;fn|im;ipL%Jk#3~4P!ic`X46UUi^Y>lYx<y zrdhErs0Ai<*ma{$+v!7}B=n@UlXl3g>3Nvc{aiwie>JGdCUSV{p;<53HW`{)X_}p0 z+xt){Lj17nM!UAthuT0*w&Wc$IiMDpW!ve)(_VO$8kpUBk`%M0^X<gNzMeiEW=jn| zcE1m=T`v2-A<=i;u9d~!!PWoo>$I8wTkxuyD`0x2zo8F*E>G^Cz^n47H<;d?vF_>S z<(!*0-fp>hBJq%!o5{aG(VCdkGPz&>oM$mJJ*{={*t7Rxe;Ze*8APcH_XI6*+~~M4 zDq?*=?wa5geAl!>#8->1YB%LF?cB&^+G^M|YxPr8L#Ks8Js~SZ9*eAMeduU<c>ldq z^}j4!77Fo%u2}O~BZRwIbXBh-*VTg#t*??AoW5R&4=d(e6R?6sTU6_)R%=#br_<Jr zF$=9CmIcJ>gsqU9#umCPbynqHzu*-t!J=A6D_XM>yPUQfMlQ6Pu_PeYCuD`3+6k@I z_jS3ZCaiW^dSh9@&9<P0w{ENnxOpsa;jSAi18m=9>G8&H^{u?Oc6L#Y!y4c8S<yl_ zV&$%@cx+g`(IkW|(X8=pmcxd%AFD&}^D$40VEQ{N#LDr%n&!fDb^FRrxj$$~aDU9d zdY^v7w6d#fU&idRYwTq^6}9-Ib?E-^Wm~sOhD`~c<W>-RNn@SItk%oD^)X-DMRg7S zy}KyTw70iD=&QTdp=}qeTnaoU%N@1j-MAs%IY;J#06V+sk)wsY9}9e(Ct0jg)RYOF zaH!&Mm@~({Opc?~yc<6(`^dk#&fepP`w4avPo;wgmVMe8EGBFbI5YON)<M@+uR_m- zQ9E>=HzZA%!)zvhVo|SgQ3-o`>cyj$kzWep#3oy4c5B9Pl<xZ#^UM2R;rnxE*XCqg zR%|+J<+9PU{l+Y_mLI2j{+_*_%~_IvNuepv%H?8syUrKRUK`gVd?v;wK~qbbBo{U= zU!!gi$IEeSCF9Wu(+vi0l{sB6z3v_|S$gi9j@R9TB1_*TZ3_Bs;JH%WFmUB^gN1uk zcwhCteD&*G>6<@MIfq!fzwGGk{lo1$Bf;_U{IJ^aL%zOSeL44BGHQ}u*r|6!XvfNS zmhE3AJnEB++Oh5||50z<%^#dj=h$2~Vn4s-%+X-o&mUY)PpVj(su>eJ_xRjsshgkP zZsQZYb5*gc+A8JYKE9h33!M4nUQOtBw|eq$;}zD*O3U@@PnsmxWlR)2Qlm68eSt{v z)hT}`uJc|HK6#$d7qft_d2Cq=-7ojA{-@${^VbF&E%gn%E*{|wle9d_rM!JZ&?DWq zQ8#b(<ety|xn=*66P(keERPx~Z{HB}thYBaD(|vo(B$cs`B!eL`50=OaEXY`srmR; z{Ow{np_)t1UFWMZ7J6r#%YIm#?YnL5%=O!@x9loo5L|Orv8&YT$hPkbS*P_!G;B4n zJ2COonktJ|QE}%@o<w_Puebd(xh8R9Liz4Xr&cPdZHQbbloPZ<L|RlUI{gIu;v1^n zVr8cm^~!E~k+4^1*A2aHxosztgrZXoTXmD(&HhvUk8A3KoZXj>&T5!-Aatrvfvak8 zOxQ^=J<UFkLcfJk7V86YV**z2>1l<CFBe_aF3n}y`I>9$S^KwM&)LHx?<Xt7-kr7n z>GHdAwkkK4H^^Q(I>Y?ygtcs^LZ_ch`{AZM`Kk3IU0<%54;s9d-B>?K_l@w=n5MUr z3RmrE;XTo7IcNHtmfPn&%O2f6?^Sl{ZMurJ{Iwzr-J803`xS2L-mlxZ(!`};uh|Ci zed`o+e8c1pu^eLal}&P9re@m}EtT|eTicBtixv6uvO2ocr5-(8*QW9@&N=AE0)^I@ z$&1@I1O_;3m}PggMlPI|taAB*eCom=^%-&d%!Drq&sfgJ@_DLe;F*wDVrd$s(!o=9 zHD`L=JsPs~UE;PN|D?Vk|HQl%ms2y;PXAwb@|keOYG;=GDH`)rt7l%fa`~|8<@r^0 zA&&oMU;8P&{)X7frE52uo=v}y>$^0x{Xyac-`TfMXIrtB$-c?Z>P==kf3@_GUu=rq zJ=40z=36J$R>oXP-M4n>oS$o-zP$ha!zA?$4q}|sPADBsirlh6<H~2QtuOP<<5n>q zv^HH(v5qb0v#3?$jRR*w_TBP)5U|5iYe})}>^=ie;fW76s3~c>%vsUtQRpH(QB2A2 zg3FeI5aEkjE^}nEV(RnHo^NmpmtWt`^7zYyq&0F;5{uf+j=fmed{OGf{G`QSCL}D8 z3+lPx%zgOlg<}S`B?enomMolc_>jXs$4ea7yrrU>+MmV5Kfb==`l^&BQ>~dvTfMez z(7N)lcISkhQ?nd4IPq#sTiw{28Z=W!hqu&qUFkZ{>*>WW&y~*n#wlZ**z{P<r|?jV z?wlz`ukLgBJ(wjW5EIJWrJa@VuqeamqvJIDh^^i$H=pebT7F~k72P+w^PkkYKd!$b z;&<id*(@gmcV~@fSskrErIM1TwOP!#9y9Cyp_ctpVeK5dUJ1CG%Wk?5+n!US=f9b$ z=z{aq^7;oeRw}X>o3teDYKw?iplBwR)zR#_O33g~*81gqf?qBvcHOf|S=i5a^Tlds zzF$-4^L+TNY`y%??#l0{lzkl3cC4SIDYqhpoB#CRy-McKgesO?;gr)Z?Nax;dnkBa z`i)oH*G+x@_|g{R`#x76I5JBcx$OPeZFBp<)-<Vt{SVVa?XNsKxArAl%-ZzXfd?lY zT)`IR6S(%^3%0P`lin;ZUf=kYNATy9yu+X>VZt)DeT+}FSMU95zxG#S->12TeV^ZM zdT=7C=TSxL^5|n`9i>OhCz<Y0zAAd(Yuf8q+d{$}-%Nkf7!uxc^{U0nbsXzge+-H( zyy^dZ+LZF3HLGU_#ZGjHeLL}$mc!p&R*F|e6H84U3->t1`sS=y^-H`pa!LHUAL@?( z@4OHD>;3;cOWXASY7&9}W+$cm%;#Qws{Xb_?7!OwXMDP^cWm1KZwBlBlnXsRUBBF5 z;S|;`M%9^W1{?pFOXr=g54QQW>C5)k@TdE8_vrk8`@r>QzWDjm_0<(&|ExQgKiwZ( z@hR1iTa0ZQU#jYd9Vr_*;->#!_9FOO#EaN}x82r%y3bcN?SI+D#ecT9zk9lW@{6#4 z)~)7Cb#6$6Ov*K5pZZ_zN6e`v-;XQ*Y;Wy<x<9u@<Nw<Sxj*y8-=C_lu86mq(tL2~ zuJVi9zbtQ8TxvgABI=iMYvRuQu)kveC)?jPGL7aF@Ht(#`qlRCMwT!ZCzjL9ie0IV z0@2~DPG-|socP4po%F<5o#e#WoIG_nT7qW8pOl#WMyuoNipoZTgLfP{k}?#INSO*G zot5I)*v8uuahc6&O(C=5wLOgiT(R1cn++B>Mc7wgj^Pw6;WX$nY@E<~v2j9gVB>`5 ziH#Gw1sf-{KWq#TI?S|C<X4DMT5=le#(U-}0!k7x9DzJsEjKcSIc`b_a9F;O=h#>$ z#!>kq+;T_r#;Fb6H>WnVTTXQlTCLS65|&mU^mX||cGYaD&0GJS+B~&>TJl%(9=2`n z2GSZ~vv_mjKlRSv<mr;0@nmO4Z-KxT7YmJjJp~ab{;qlD-qdWLadTS!X;bm-ygxO# z9dFMM{d*(F^TGa(F9Lt~C(3pGH@uYmu)gcdjUT@~`kU)JzU=t%UfEXe-);|op?KG- zpda~*XEiOV4Kd1z_?@q0%ksZ;N&BPyU0))8=quZrS-Drqq$u0!t?}q*mwM^h<Wd#n z;bPj_$`^QYL*pO&o-YD_*eA+$)yuxz_~H6QIqCm(OO7Ah-}Pn3kJTRi?eQ*ElYX4` z@aMAc%HooiT|6l<_&UFmEla)B%Y{ECd-S*3_kFqXqj1UbgZ@gkdRfZ0a&=Ont$bFl zkuiT_ryM<8FO}-~$i92ik`MF$?|G#j<$cavb;C31i+W94@BiptHQ#Ng&<(NUZUqW` zy^j)PX16*Q=9uvv-)Lzebo^OTz0B<P+QJ;qH@(T;iT*v?7Db3F8=CEX+n20-L-e@Y zM&rJ-hx#ncq;EgIA*0iuTx_V<clPiNi)|{$j%-|NVC5Da86mH1X!gIiD_L1W^0=F! zQs3D_A1utI4?nsg!_%8wY^c(A_V5FXZ7OU>H!i(k?iPLXhJdo6nd7(4WaSsK$K5V! z_nmb*Z(%0=_tA|T$Bg>U9=&5>#?PE|WnFWxVT_*H(Sl0QVCs=+66-{^)ji%FdS5K& zPj;kz@JYFUK9jP4_HMBcKgnYMKkjNd%gz74uJTW{a_pVb^MC5<w5d7G_Q`V7Dklo< zxVVOe#r)@A4pxr`E87I_TodRzE|v6fV%v?16^eXv86Dlfr5-(;*QQb!?i{pZ@j98V z>{l8&YJ1lyvQ(S2B+YM&xUpW*j4z|3xmoJbqX}&l7HbqeWiCz->070J{E%*`h*_!Z zWr3#0QcfGgopt715s+SPa^zqpZ(*UEv(22V6I9M+dT>3zIyL!mrxn+1ZM_VRgRZ;_ zcPvp9)64GY6_$FGu)S@^jzx-c>sE2UdN5ULN5x7uxwsXMLg%k4w5Ff^voDw<ByQ$Z zhyHvC1IO~V|1RCaKkR*GJg7HM?5a0P<o>^!^Y)K;pBo4N|4(KvyLdFhi2wg)PW6xZ zJ{GO@CRemv%`b1f$?!AZcg6#AHJ^`L7e2_@^X6Bt{HxDt59^Hsr2o%vwE9ucd*$f= z<OOp7@|k@f{WrQG^3VU}u8P)A-S;j(<$mtSd~i$thv?Z=&P<z?WLP#U%ZL;!$%q|u zx5(k?DX^F!{;<H%{?Nlj#}?(zR>3|Iv6<R)lU7-BmdWY#6$t2b76j<@7DPm(om<hW znbzEUGOfAUGp)J1Gp)IuGtF7Z_)MEfTHWL0Y|POv_4iM3?)xt6?D|Q1-F*{jj=JkP z3x4QsK6vQ;3|_Ok+c^avWH%o?DnE-?ZeOCA%E$LJc{TqSC;JB1T%W;UZTN5JO@|Nq zXV|*xGtDA?C@0J5hDh%0&6nQUY;RB`ZcxO(KmUp6pLr9Pf6#rYmNwyY<YW8J0)aor zFPWwuoOodUA{~}`>C}ZQ`Zh&uSgd3APwCX71$w6*DaodO43JOtT%>qvlGE;vU(0{= zD+wj0d#Rmr`tVwV`>1%J7`LzB&aD%=3T}9~S;$lf@`>lQ9BvkV=<z6DNk;R}r`w_T zPo-pAT~B@W+Ia5I^@8!wSvnt72Auk}PJEHe2et2WSKVLj5&7@H`(RJyifNC3uU>R* zX6l;G=j)4iNybM1%!+oj+Wzcz)`hiSzptumPyWXf#pGYqsBrMHOnu!ti4@H(Vb@JQ zZC|rRE2U}Q+M3x%pXgtDIjv+}$sCqnD;OQ$hy6Ps%zTrjYU+Qr7m=qz7baD)H0RvE z`s;USXzPM_#r~p$1_$rTtg4&d9=+;y&Edlv96l&~Zx7x7p1X8vOj+hsm!|Wn>Cs1j zdWvz{{o-#loGAOj`DcF9_oZ4-W7QhV4=NnI9dz$=>!v&Wt(@;fqZEWIx?1XQCFd+; zJ!EuHGxC}Ia*MT-IM0c^GCZtr_Q~J0Czrd8^BC))KguzWofDfl8x8)iyL2mHMna{+ z8^e7%B5Dy)T%T9Z>hb4l<y2!k^yhZDw^L%1Yi`}<G_LEGGZPad6e46qDulETGacL& zH0#eTb>kYomdciQZ<`aF;u;Os-`cm(z;VCBjO#C#J5=rQ+s$UN{&GL#xz}6D8$Rb( zeC2Gt*?I3-zu?SA{R@TWW^Xiq-_Opd*}Jx~-i9M%=Cf~m&fJ*)-|^~qrzMv6_wCxK zd)E3nqpga?iguCqFBc9a+HNUSIX{1O=z&8kZ?9U;($6#H(Z<H8ilhZ*^R|6bpUS*t zomKqY%wre#)V~V<;!~{K*Qt9UqFp_S+vM8Tl&DKNE_L(8C!Jd={iFY`-4kbD?}*EF zJnC=$zxftykr<SD>-@tkwS~*xURu_=Cj6D6tGVr#3$gsUUoyBu3t4~p{#Lrfpy*X_ z+(Gl4<cd~qwyeiNJ2E|2W>#-)oi*);@9oXL8I0GqygwqQVg7b)!R1Lom+zhXJ-NVB zyuQA2iSCqy2g|3o-~KWAl~JK=Oa`~?>Oak=owepZP4r4s6u87}zu4x&v-1~uexIJ{ z@_d%hzO9Cro7$hs#k2fTm*`KKI5&UNjUTLe&weJqPu$4ne_Av&@U_v~k2?BK7A@HR zpmHZ$_kwl7#S^zKlm58KrlVuV<>^0zblWuC*Pl|46-+JM7ka|*|5>%T=iS8_0c#J= z{v;VSWq(R>!;-)+Q%$&SRnNMWdlr9K8G0qAW`YU-dsW@e@K#q}*V9XHKVDlg;hKzI zOZL1ex9eSYg#MIpF>n*pbn{kPy*=>Zx9>-#dU&?)U;pXbd;MpNuKY_r{MNtao4j1! ze}-oz5j*~RPg%V1;kW1ZCwB<t)dyw#SA6(Qe}$=p%sQ__xBr*zkmbn#zj;#H!+A?? z?$FQVlk=F>oj&Q=!?q==g{F7@)wpE!GbSyI{LOzxJlyTiIgWVFxB9wMxtI>_+h)Jw zSDNPs`)zx|13135i0pH?rK!PU?Zg$Yk}EFKl&jdPKV=&i*THEM4vBluW^GLf-XKt8 zyWLPnAcy1FNBi04?g1Rn+C=7^(Q{PXAsSS1ayd8G!JY|+yi1Ljd@#BrAky?&u~mP| zdQPr`S0^0WUsLQFz@f)3diuY^f&~IGJeqr!1hcdz6nQ*UwbjyKdG5@W|G2oxslaT> zhg%lP8ad9wK^1@Jh=?=^E4E%gU+Ac4Ar|x_EoJWlfj4}be}22Mv$j4c@p$<4&eGZl z#TQ~BIdSK0Sz2=ooVnINXKZr1V87%;)jnaHU1wka%=38owZ=by<6XN*+<AVM)(43m z55NBL2;h(d3HY<LKF9>Ahz{VG$L{YqZ?1S^<Ac<I2Qw>0#W>>FS?5hPPi)Lx)68`K z#O@6T%$_+mnty+>;XvBX1qY;mJ4Yx)i0Z^XkI7{Ujy}wF(8O`!3_T68v?<#j9sM5O zqmj$qy?&j999J+8cdIUsu5R$(`PV*8XHhY5xx~TdE#;c;x!J(uQV!1)6ZYC$U7Hu# zPn@Ffe?}*^fa4U)W-smcU2o1SZ=Py(P^R_V`Kc$p<|fQ@dj9-K%~HP&4hui??F?8c ztP}KNPqkjyD;94NtM_ZXr&=8}YCUKDOknA`sNjX4^Q=Nv3iC{!-5UP9V5*OSli$(# z^6pxtO@Ul<`vVt?TIEkQ^qSjyxTx&M19LxB;hyQK^M0^Q^)U#XR>|xabdse#WoEz1 z?hvDB)e}bfdu+94Cal-_yzKV!Nu1xdi?Peq?J_sKe_(@y!3vY6ANeyp7u0L`7%bQ# zaORh$xLJC%SNG?Jh~~3Pk5)Q7?f&e$^k`+o`h&+VwOR1I%HFVW%O#zOR!v_gxoz~j zq_bwKiFCKh*@Ic0#T&gY*{qqeMI~&C-pnZ`^)|T~XP#u=ez++j_2w;;Y17RtRVV7N znBM8Rne}T>;OWhbj+ZpVyl1tVPD)Fj5b^uxEWe*6%Ov@a-1^S=+H!}sn8JCk0{fVT zLwaxHb|{OKXO<t9UAV`4x9~YH&-DlA6@+;;l(yV`C?t4Ku*_5HsGsNN4bGQxa;BTG z-&Q$$)Xp>cw~D9tqpBUs69U|KNUU?;_uc#Ptcd<S$}yso(_|lZt=QOC5P3;M&vRDm z<4I|c=S2Ko+E);?=5LXEg{D|Td5iGU*usac^XGn!40~}-@}6wkbiF&C9p?YL%>D^X zZQHak-SOqD1xF<3MD1E6+VoGXdWunOJ>!*)#afM(xqmW6b<;b~hd-NbU9oR@=4JgS z)ys_TAN^R868({fbML|@4lkn|U$CmOdmBf8_^Xn`b8qE^pKD5X6x8diFn{)AN|g)i zk2^J6Onh7J2NwCLZjKAds*7KD{@IVy^Vp{7m4~@(dp^Ont8P=q!hFx1vnJ+KrmaoB z?X5KXf9k}f9-SzO>-!>N;(2sZ*;g^n{(7Y$X@gXR#3DAcwpESIiEq1?Pvvh4wTN-P zam&+L!!4_$HSleB?Md~eldEceKXQo|N}Fnx6!_u&%Ot1Y_t*VO?|pltZtv6bRsSlJ zt(^>a9-SWZ=0B))k@dt}v^#Ix!bjU@H4EJ?`FQ)(zAIC5&pp|^^W51T%9*-5&gPpM z>n4k?SJ~{jdEdH?!q!`V{#dM#!@WdN#MsrzYvtKX0!=rioDAFjljoGITb(KzqVtBc zZW5Q)!INQT0-_-w=lyKEmLK+aTE7wJniVV@Vbdm`x^QXr4WWpm*P~dk?(g2$_Ws<d z#HOo%Ce>KR?Cjq+tDk+6--cydBMubhevB5WQFtGuRkc*EyIlVn*OG$h=Hov#mbjfN zeD?9?Qing4jk4^Yf0mxxaBP(r_i5AUNt<)R;uTg_F6$GXlp1-kL@_eRqpWKJ!^g>U zbynKk^!&q>>X`dA{*H~Ij(`sbr%B_-#Kr`-d2jc0Gp&}McEWU@IKKwV^}}4=&z3C^ zxTAG_<&QOoqj(h~!ryu1yoiYB6wuK>u6gGCQ&tnbY1xZhD*k?X=-t2Jmui)FSX}1b zPxVn>V*^uXci(#Dmh<GY(~R0qxu<m@QQA{J6~$RNEL^*bvr^GUKUP)y{OQlv3gT+E z&Y14&6vuJ?bo#!NnjNiT_R)F%@d_5gLJ`4p`M6n@pVFPDyY0vBqHHmdrtOKXs-N8g zIO3+C|MS3DdA6w5!D(Gm6<H~(om6jxz2o@FvMrp6#rn^s&!;|YZ{*B*+`ln|rRlBZ zywhC=t|>Pix~A0RROk_)BO@jv?ap%aV4!1Rp-+H~jQA84KMpT0^~0?TZU}DMaLQg) zgJn5u>!Y3p5f;*ZHx8ZKkdPc6QLw>UXU7d;F`GBM+@5c^xi#N>PrtP3z<klVn@S!m z`cXe7NK6shp`Xd}U8VQYx+Rf2lrznC)oEt&?ed(}Z5$NCKVL<+vwzaGL+l$fM9#ZP zXCM4N$?b#RC5?Mtvs%p`DeBk=t4jO3o;;WvSoASsij9rXQWd$bATIttTZ5~&sh{}x zG^9FA*0^ZjltVk4LM9(b`FBtEn|SsT&p(~|-_-N}8|wbic~)<1u<PjeAM<Brf3&T8 z>Zf~BQ|8YkU6&u`CN~cLOo;w5%hi`x+UVS$m}ZW1uLO=-%5Ez7_dJJtsnbrA=*ADv zUDEz+z3FIReCXh`L_t38&HsLZ2BNGs{R^($-?2f!re{-tO~<B)9sj%KL;r64&pTO& z|7r4@cu9kU62fU`^AF{m{U4sQjW=<g`9H%o-1gzZP2EyXg)z=LG5;)Y|MEI=-n~h3 z!#D9LKa2R~&!>F;T9sF5_f|Y-hu&MoRnj*X{Yhxw;8frfpwY*{HG3t?(PN5^iN;eG zY)Fg^xUoYnB<og`bz(ZZnf3H3x5}=bJ)2o}>Rr$Zmfs>;vrmYuYW>S~^;jcc&I9e$ zt;eOsONC6ES|_<}bbzeR`>b;I;F$^2a`W!bI=-+kCsXcP*3a&n)|*5lBfrT{Um_vi z6CWNMKHWPZ&OK0hhJL2b{Yhzw3zyuCPysQYC3zlqkiPa&NB`{!wdb1-nH8OOV!C}s zm!F$O+o?0<#ul5XfE${68Y0Q8M-N#zZZwPwkm2E$pOcgtv0;Oj&W#9JF&Q5YZoRg4 zR(|C}PD09uowyb|G2QO@@?JoQgN@CfUroipD}cj|o9n2A<3@w9fSev-5%$N2*_TU* zi0Sa~ahtWZu(CTJZt6}<Jb3uSh6zVHHcW8(9&ql*<Pu@0X?&S)xWi(sqPuk+-K}nS zot6E;5hHRdwRq<K*LU{qTB|%e+`c48c-ynrN0w)^dM32<ZQQz_FR<p_5%y%!S9fNt zJO6l<-m2E)Rw>D|`7Cd&(v*(goz>AAE0y#(S=}yG%R}+Ixv8M+or^9dT!Q(TO1pNf zRg^2s@(`MDqSE?1>(V5L>HC9)o77*O%1x|In9L`bb49T$uYUO(X5FQB1-{}}4+YC^ z+UVFWv*!AgS&t^RMMSJqG`qKY_NJvb*w<w%Syim)jCjLrZXy_Kb3uTAwy8?zx>?_R z9!4%du;%>yPYd*B+uD~*3FVeoy_$T_JBUl}b6m&@K0Obu{U2+B76#1;SRuxz6(YS^ zWL5LUNvHB-z6Y-msTEz-YR7feEx+~Eg4HX2`LFX0Um<c?WL4`*uB*pHT3;n>TJmeZ zRNxAc$D*rRIk~PLb7_5*FlosrcG)zIkZn%_R*0o(he#KTtZLpl>6HAGh&2J4(=<Z3 zy+v2`rgB|9$l&?Z|5{1l3YNPfTCU}-Sqs~pwq6WgX!SyM<)6fU&@{;A3NfRpNed2T zCr)tMY8bK5N<wMnAI=2}xuzz}a9S#{E<m>@V1=2RRtWoIm8tf}iaZty$%L)QQC}9I zD-*iHtWPt9{kZDX{=nrTS_d;*y$anHMp>*5$ek0sg72KSmi$gV?T{vIuBne2oVIRo zU3e>EVSwx%q2DwAx4h80_}X4|SJc9wHGwO{u4#oxzZO~5e0S0*_no#}Qxn!ZExoZk z;AUL-!dnuH10th6z8*NfZ#LJ|2W?JEcdQH0jR{_1woWUAJzQmKf5&uDt%ItqUWI`R zqjoF^$h8Sy!Dq*MHU9Z(=|8IlZ(G-_e|6h&>i+`c*!J9me_5~3-c&mC7msqZ^`8x| zeya-Ym=XEdK5^&1z4LBGWG~>mmbvo3!`?=r9HW4)vus((s~fFuEL*xq{;gR+lP#Oq z#_JBbZ_ESO*|$vjzDAkN%iz3&rkbQi^jV1&%`2l$J%19(=4Ei(LGzi!iq@TMr>?oM zy-L_O?UQBA#6}?<vw&^e3<I_uGYr^v%`kxd^wufoe@^F_@|J;j%A=``Q59(m%;cn3 zG`mNgy3XOxJLSR7#-Ke00o>ntuN?DcF8vVY{d3l%=0>4Ch5=p4Y*`O?G~TjET)^j- zr5WEm$2g$rGn-f8L5G`t7aekCOas`bmtLvo`?ID|XpUh(*JZY>hf^AFRpc+=yO*gs zf32L9#zAK0r4gwM#KNRj^vbfmN@$(-X=l!|MxiqX0bMWIvJz)C-kOoKfR8U*bN<UR zNsWVk%u6G37l>_>UeT+|_A0^ow|q#gzw6Hp8{PPG-&}TPXD^*F|7QD_3&)sjOEyff z=Z|R0*%`6p_9g2I`;{w%z67rjnJ=Ol@nc0mZprHpze7V+O=ll}$9I3-uQ1Iy-rJXb zu8`W3d39Fai#z)yU#qTVJ#AI9|CP0BZ~c<ByVh-+W`FGF`o%&5JVDG|2eT3$dKNUs zu>AS<HGQey2hWM>|0gce>r(#FFE%&yc*5@h(+vetyg74%nAxviIdIh3^uvbGxp!5* zt#ahLYrQI~<<a6#0#n{HPt^=;x+}{(yPv@$VPl(s&qaZ*lTt~EliD_@E^f1!u~bp- zSw=_uPN_*p3VA&rw(Kg>C|l9kYMPahyjjY^Vi}uW->SxT<*W%u5=}iGHf#!y__4sI z{>GBkS8kT9z7o49^p%{P_E(iS?XM!gw7Dic?N9XyDY>sxuaKNl&@IEjS#njN>8X^{ zMknVRE$bD{!ZJIWuSz{i>TRotSgq)}=JEuQX{)r`lNzKVUMylWv-^DfQR;&Q>#f?l z?Xn&$oGztu(U~{sg=W*OSJP^u^?LUmY!|jzvD!1incY+6hQu7nZl&m8&5))@uBl1e zoKl;oIBhiyUuZQ$J-sJsvQE?t<?b9swQjy;Cl+<D-1H)GmX4ZXtXS|2#iw@RzggM3 zoLzU#jIVU^-^lwTI<2ZhIXyeqwEnsq)AghGqEuM!niOj2Wj)%kc1GI`i~EWN{hM57 zZ7R*&cKVWHleU#hVI*{uT<D4oeXmr*Vzf_3=SQl%Q0{&sGtHHI`jKxrsg*CZtffCL zbAC`LAD=UQ<@v`Gr#PrJhHvut5F~apEnZC5X1c5Nc@@Li2Wlc!A{4qsyi+b7N{ZaF z(L>~OspJ{4)hhARk2)28%FJH5`rL9u(;rs^_isKeHsi8NZ$g#9#inToq9Roy)VoDq zr(Qh77P)0(pqOmVq#_qX=Sw<orkh;zRy})A)3dlR>XOZziCa|8c|~zOcUi02s5{AR zgRhQE{jY~*8w9zP4(diO*`e7jmUm)NFZZSw53&lBA9st~UK$g1Lo?H?%yU*Vdr+0$ zJMUTT)sv<jiS_h;=+}OrnfLAGoi)#|l|_E5?~B}CBVF^%{m7cKIQIG70y}%d)#e;2 zW=>oC^ISo}J(GN&i@AFY9;(EZap^GC#OQpU`{33FCXRP(>QfjJ0)MQ3FVga0TU&t5 z#U*JESGL{QvDEYI!LtvlRyk?BTj`zraGq6L@9$R<Yu>M9ydAcxv3>WeWR;H|vdXQ; zy$)C%Ha1N#U9(2FZc=>kH8K0k>$5nHTKi^+-OKLi)t+@lW>4s}`Miw!SC%F!cU!gf zPJbl<-br{p>%qfaza%{O1RnQzn0x#{=$vn7C4{)PCuAJY5BnQdJnPyL=^Iru>lf`5 zNO=9kt6{CLHY4}!?#7bhSf;!Cb=W!dKFV8(Fo`VJV&qnj4*0se)gk?VtxP!g$C&>I zthqHmF&tdy)sR%Knvm#k_&~nG-H`GB0c&l>uE?nktuyNuO#E@&@`d^Xb`HK!CXx2d z`@_Flw>qSkb;)WP{rA}2<@v*1DwZ>#=hvj^r}#y@N>436+xp7psrn;!4!>|Fk>}cs zVWvkL>etLW%JL^U_FMJ^{tZj>RTCc0iJx1yVDo>YDW6*_T3_vn@YonIce@s2mt(67 z*VUWrB|h-)Sv8}f{=w9#4AE90Ok&S|seWUg`?vh4&6mt|0sEW(iu`3bxWTJou34Sl zABNk}Vx9F5<c_lZVgA0BMdMdElUUX7M!wcrd!H?T#?G-WoJqu7i;<hZoAJLzaTn*0 z<2#=|KfoU#vz|pq=4<vf{*rI;v0JtatPj|%&ZQ}q^{qnHp>T~*LZ=Axzeau$;Sc<K z_6jYC2;_{2cz1n`yoSt=H`D8*zTTa7%V$?{Zmn~v)%0~|uU^~ceZ6jC-m&HDZyZqF z&{krsEWiJk=hgXa9sk2V#YB7WTe)@N&b6A2jQ<l%1b9rOBh-zgb@u$KUVQM_;{E@- zSIuYR*_kuVI&1SzncwYG)#hf-Uw62D{l8VO&hu^)+oo~C#Qa0}i@LAVL+vNe{d)E1 zt78dW@Av%*54AV{spYvZG<x>tvq7u!_x-vay1!#Xm{6T;&hA+;%homKGR<5pxqjcT z&8y_6dgN|fxpLReb<5UnT{$avqvZ4btjABU|F`GW@uuZ5`;W@^JU$j~zv9=tj8kI4 z75}b+riu?ND+!)x_q!`Mm^JFmy~__4zP|J}d-K_!G214FJvaJ#Gp7BO%>UM-lM`mM z`u@xbUS@XpK-r$P|Dun7yz%19()~H!;-`Au<Nxk?rOuU}>z!<O`AB@mA+Emmcvh>a zm#*&HW!T7Gn8Wh@@r@fwx_xI4-F*-#xgqt{rZXqID%|rEOb_yGZ(b{AVDhYz_wJ<> z<@+}-P4d2;ZY8$r&$DpQ+;z@l@75gl{i~+0mUb;X<@>v9?Z?#gh0;$q%~<tN_Vdpy zxq7b;e^wP-l{EgjdVc8NfNf@><vA<gU74{qt@+Io4eo<SG`NqltW}-9Ze23(!~;Jx zxDW2o;655r`p{e1ZNrD;E4GU*Z{M18cALTBjI9fo#+DjePo9w&Qc$o);?@x{*`K1f zQ`u@H!`3hU?|6lS?LYHvz4omve*fHrIQ>p49nFl~Qs5?*J7=mZ`|~>GXC0x-r+9Ne zRyljD!n4@G@luS>6chf*D!QGT|J|+xz4+<>@Zc)b___Jny7L@LU)Jn0JHM<)@DOKo z!BngIo7dtkWVyE;I1*G=CSK)u@Mf6d7Y;w`(zQ8piNS@wR?`nWy}yF<peXOc9jg?@ z)@63|zLt9Qpsj6(#R5gSvOj7D$FFYvbZfou^sX(z{5RR0`ERy4^WS81;m>{Z_iX>F ze><37-=3BId1g+rruT23843G2gLD3$l@F=i+%)@UoLj(+wrA#`_CY}6?#=62I~UB> z2rLm*-R2^$Dn0+`6WJOeRq*Cc_CT*kdo`AR@YCSGJ(*K&)`93ir3z&)k@c=84=D$3 zD)gQrb4N^7yxisF;n+a0NAon67Q}1t-wxqY>(+I1>3pzIBk+!hDtEu@$z#HSMIS<@ z#MlU{@}GCr>=a)#<&gJ68?!zxHS5N&i(Cvsrf9TvPHKI)C?&Z?!%{-iOV6!yQv1b4 zQ;tXkdL?!Q$gv-1nLPP`MWB*|zE{po1urqRu1UR~i=HHG(%3me$xH56N03mgi)QOO zr^*-^ai2V<yDpszc54KF5m4o}ZM|X}BQiy$s!ld6=*7p<1g7-Op{2Rm##MjSHcWb& zWOco7ZTF>}$8>Hdem4tfI{)9yz^luo@#%ifHI}M#4ix{}8m^n(U8lUfBJ)Yk<LoD9 zand`R&E4KTT0612BK=9u4bx8|+iG)H#5IX7dzE_C{@4_oZu@H|G>=K{Z2jn#m)tbb zdPc?*JvGUl?I+#l9m!DkPh7RKN;7QMs_DLUmwirdo>{PKV(=M*B5vc}JI8dC%MEUy zh~+UW;@|6gH90Y~pj9PFdbRJ3gHpm}8?Uw4t}%Y7GHqr7mo!hZlxw_m%C%S0zB3Xg za|Y*_C2~jm+&C5{T(;qCOY9lrME=V@7M(BE<{aX2o*VTdz2nTZSYzKA3DY=(&zL20 z7yH~e79(6{aJ41&jCmqIw~s|<tJ<7HO3rg{y~yu4Gp(-McSb@dXRwZGqS?k-8+xnT zCN}Fzzn^6g{b=@v_S<T6j_3;eJ$!ckmsP33fdIX2fA%DL%+_^XKilA_sqnUft1Y=P zhKcOceQz996;A7R7xsJ9#JRlSPQY?KHmO%rbQ`}*UrIaukXg;A@Is5Oj8UR=yYG#I zg2H8mS6Xakj326en_0l6&Qtm-al%5sy)1rGm%efM%``ZgDZH)VSWE64(?s^?J~xh5 z3V-`>v1Rg)ltY>|W>+$Wnt4lS`7AhXFKOTyF1+kT+99!Bvp4k0sl9p7yzqvn_+Fol zH;vA>On#AkNb}2I_1d}146X$%U&-=I@{*t67xTm}@wTMpIyMH0()_+R4k`<meZ0|P zYh(COW!|g;uJil&VywjqvaRm-%sXgZe@Efo8Sm?+i`Q?sx&G|4Sr^~GKJYbKZ<|Tj z^^{_rv;X4j*T1@*IBE6kD?M@Xta;VqUmnfflE(ALs^IU|1Gi>fmW{r&m51-wul`j6 z4S(iU7`Jf*{;6Ji_UfO$Fyl6^uR%?@XYJ(FQZ|NMdKl0CZlclNmAf|D&CY(5(bAE1 z`m6D$*98Y>ZPw|&`R%2Q_7{zXv!XW5yq06!Z|Zu&*?XJm`LCsiZcNJ0N!%Kkv5n=L zw8lZUg*Oyu9q<!YyODZGWVi2)Lvq5~HePR$)iF&JFZR80*hbhdX&>kE4F>|2i%9cM zys4MBkW*O4D6#9PT25jo=j|EEhxn$=+|d10?M-4Ur~1ZAEx{3~D}uRa^MKTD<rH3H znAmmv(9$CU+cx}`=e==EPPlBt^_JK-#)<sDeJwhf)#e;JSpTQIXK(rpnb2Jee#UP; zf6n?|^=4!1-M>?Qbj)`RWsLF)EnV$(;f~>%rN525otYoMyYueY3F!$F*f}LxKE*ll zd7h|Ne6rX15U1pX<6cSrDPdEM9@WIB{C(ke{&$^V;W=^jzSAdkY?s?fb8kutEBwuM zE;p>ux@*hnMy0of8$5o?XkB7#?=CqpQAxINi-I=eY_400C!R4$Z(MfZSdQ)n&fEW@ zud<&w_RVzhxsBqh-I9&hT`^y_{^1sr>&uxvPao#re2^>SPpErxv2(KX@5yNoveK@0 z*YCa_f12e>X0G}9?v}H~N{Q1=uX4VwJG^4u-o1vhd#~?{Fii;ETc6S<cXURgyWz6W zAw9>vj?QRXBT;NDnw+;JNom`OWlN7-cy<2l>Yl>}M|bdq_Z)xS^-*%cj0V9jL+{w5 zGgza)-ZsCx%>Q|GoT{5>Rr|%(d#OEdZdaG@b2HoatF$HS|LSuMg;&?FNM(|HcX*op z`dME}PN(gw+?Z8%_3Y`($If28d@OJ3KGut8ri!m#w%&K|Z28w!?^o{K>i^u{J7?9r z$EO_mq*vd+y^`<M?TeoRVv7$8@0GTa^Id=MOxr7Sn^jK5iLY13pIpVIZU1)d%h%TO zq5DN6WIrwlPna9@<5gedR<BOES|#1OojxzCOR}fDUi$w{)xi&kU*&`!-E!6bNY>LI z+m7{Z+jZ}(W@4ya|J3WDMwx3A!k&4@KK!{s^e0crzVgfFwWS*u@7=m_<H937w;cK8 zL(K28JM#MamVTApE1#bdxvyzz!<YD{Qs46GT9#gYA7k5*nOC!9>EiOaGY?Kb9hc<U z|L6?MM)yL!?kbVz8#nBF{^GO8{7?7$vU2kD3|H(@Ut`4AEc)2U#Zb;Ueod6fGBsJH zwwo6ce{_eQ-tHHXw8lZUuIk`2J#*Gqh29J7wzEnVxGzw=&uQ|gy7AZ_g$ph6@f)<> zeA*Rjx;bD+;`{?^`0K=0oQ`d*x+A>ed~GA!AEgTu>YPq0{o*!x#@ncNX4Cr(#~G}z zoOzVaeI>E4mTA7A8IMHf;R)us5{9SR3>W9lFgVuqGCb)2ohH7||83%beK~${f5ow7 zeDk@lJT-2tiV;|GzOs?6M(e_aVy0dT?F%!EnS3q&_Jk%*uPkfzU2U5>|G<`8lbK2_ z6$APoGkv|OexX5@iT9@Fg^pOJ-kZ7?T5QW&ZJ*r|HCy{F`8Uh5tM9h@KQKO?XU@1e zSHkFYo1k&7MDgu5&Um}e<<)<Cd1@AY5_bF@FVuRNF>;5@l-A>lkw0XnxgHRVY>}Dj zdPIFwhoxS3i?DvEZeq~HI?;tQC+mm$ifjMq+}1YjOSaK`o5|LXI=6l4xU3&~)=S%> zHhuTfohN+^&vSg@T)uDJ-nX4;=k|r%Rk$VZ`F~Md=i8ZSK@0hQ8;ieRlJ?}UK2w>5 zM&fivp>Hqr6X(|nnf=`#V7IwPd`0A5?khUCShKFZVlwqEX`D56r$cfdr%B?6`jvT% zZ~IH?S)czi4t;+oyz^AmRiCY|cZ6#{QG9oeYvuFjQHs2OzW7|#*{ro>;l@=a_Sg8T zI^?t1uYRt5yMS$9)v~PeC0Z*s?(siAH+|K!J(00>m*?C*A9A*GGh=N2;^NmcSD7Y; z`ee`F_3hcN<2!!(T<vo@W?r_Xz**Dj=Czro=Ssg82p`&-zUtnT^_$-I%D(m0m3{ht zyUEvgmv=YkPYwB!tEazo-%7vqU-y6Qy0+?T=2P#I`TIhQPb7N1RhYhVYqQO=t9+j- zzb{>78u{z~x|jPS-^JIu74wCMJTLk=b5-k(NcQd9XU5Ea_c`d<48MCjg?{h)WfvMV z>(9AKF*+OgwCAsUwK4kll=8(^{Bwfu&J5RX-+5KGc&pd$FO@U5e5u^BZl!YUCG)Ab zX3v~&o4&nxR^|7fJz1;k?|k{(TR&;l%$rV9S7+So4mo?`+0y8pamMfMgSUEUSr^+~ z-Y~mTKl|3%Nqg_SnmzMF&9Ra%rvA4N^KYM#TvxR&i|xX#=bgL0WG@t-xBivfW&Lj@ zwLc&9=$sMp6-%3@#%&xpx%IqZB#X?{R!RO8!NMKCcAMqC{GR0{vuVa*&F-Bwd4~P^ zI?p~YJ<6mPsq<vhQKfYu^~`2}x20b%U-!!-tgLjIeehPE^;{YCMt}8EpHFYRl)ugP zbAHudZqs$Iz3tQrZW;eycYS{t^Xh#9DM`!ig1?qt+4A}IEuO5bHRk`{UXJ*m@qXE_ zzGc_;`M&M-Svjv~%lFz7a}0gIw>@Wlv*Fv%ReTGjr{7R4YM-lAZuxu85!<rvk9O5A zavwH^_)MQF^esX$asE>wz6iC46HJBrZYVvRu~x|MM%dlGAsb$5NnJYg{_2#&>gU5t z^(8}-J{Hd^j#y$fy>->+hy_;jf3D)5@qJDZ>-5^Fw>IChPd#r~#$<On<b=su3Bh1f zQDfI&&dKvvzuI7R>(#8=mvtR4`}?nxySmCH_3u}|#?l!f39)Ko5&he_*PM@LHA_fo z<dyv6b9F{ks`b2_+!T+mUYi%M-D!H(|FiPhKOb(dI{xM3ufAW$U;n<cb(%z!ZU2jB ze1~1$8O1!2Ti<=>IqyXF9)Zde3tqKWU2ituQ|0lt>Peez_*TA`bvM*P-_I_!PkYaC zC3Ta7?J|xl>8l)=mxbobm)Uwazr9rTX5+&1$IW<dWFMa3pChsHe4F9?o336G-<`gH zZ<{5jZF}`wNT+uFMcb;d37+?4yDe+d4X3~Vzvxwo-_MfxKkHX*5Si)x{g2)GGhE-k zfBB^?dhPfb-Lr@OIhx;DzISo?-(>%39}luOSGz|CFFkSmpV4_4wTLrk?&zl7N^c82 z^{4Zw%(uuhPp`z6g>HYi@XPs%8J6#NpMN^x@SLnGardu;9bb}O{6bOfS)gtH^FZdq zpI1!eto&l|`11?FV^1$G?m4^oUeC$J|EFK9{35Y<-rFos%~!tzqI~oIZqs^KxcQFe z$F#2tHH##E=7fvw4(PlpRveLPXzaXU#!R`0GiP{p#6C10b($8blhk%JX<CF%V&T3l zJ>^e{FRfj~iX$=%r?ZNEo{?`jzfz2QM)t-DmSWvAayQP16!V@jbN#I2(mxH>A9*fT z9P$0OO>tzlVZW)^=bsmn7+33xZ90`CsI4ou`A`z)>Cd@Wo`0<|{Uap*g#WATw8%55 zE05aT+dkubQa7v4ric?x-L5(tBF@xwtDZR>uKQqZ&DAEM;?M1D%j!4HIGxj7d1lj$ z^FH0IXEsEf5bAc7*%)yqv0GK<RJd+R?7pnT&gP%a&h5-%#W(W}`)hxCyR12D&t{nY zN#o&RnW_^!ayyG{pKLHMKl$Z(_x7kWiQPwI%%aaEPd-{>7NwKWeAMY$w9X^l?xQ@L zBMx1txf)}4u6<@)e#cQ6w&*jd6OP)jMV(1+ILh=ZT4%}pqe^urw@zvISzmrXiSzp> z^Gj#Wd|Gb2_uke&3zuF0eKSeVKJv_C_wJv65;iux*A@FT_o&jl2%Tr!jtb>HUH$BH z;J&P7*LTmfzw)KZT<2NQ-6Xkk-DjWYmG(Bg6yvVEo+Nl#N6h$Y5@+xyUZaF?yE9*^ zChMl9{SzymnPS-ADfYSYb`s-ZT`{ABNrI1c#f;A-aVCEb<-R$S-+1pmiEhiDZ8J`5 zbXV?~x!>hTpH0`zdG4IIi&pGAa>AxaV&d+Uy)VD^+09MoJ3jB6?5j_1|8mmi&2zW2 z|5tCBa%|6^olbnu0*@@TF=F<YQ<bUQmF;@zLP%uQpLN#D)^6S8U!c%0^X>V>)B9{b z-I(fbXZ=|t!CkI3=k(%^wUr{D55C`Z`rfrHvB;ctY%is4XKy-?`1t*<I_^bGUTaPX zwokQuv`NX`X4><P^V4KFcTC*7<8+(Ohlu&C%TAwaYAB5q*ks17bS=g4Onc;n4OYxi zUNZFs=Yt;<JbL+R)AIbTm=l8S=ZlU!{VenGhmw2EzB3aW)^8Fox17l;w|vuq=Otn+ zb51r*_!}uusK=dTcebhJv;Y6AaeH?;BpZKUz4^+u4=UoTndfCZx%Yn8yjREC`r7B^ ztj*4U{_g!QvpGDg>$j}ixpU)6+rP$k_NNc^%-m$ayj<tZ3r%k0mQzYkrs*iL?NR8O zk*Z%(J1<!ElKsVl*Zof)dpaq}Mo;@#`sO62Fb%borAbO*nrdmK-|zbMzLXT(e_?Co ztfpzt+N<p^ZC|@?kNd6{t?SOm_8Q%+Sh8|sQTbWPYV*w-es90h^X|{yzpQs}C%$~2 zXlfhx(z3l|zsdZ67q46j_Vu4S<JMloD@SFP{awFqZfjmxQXj9Z+RY28^LWo%-D<Pr z&XL$~p-r(nM`GiRHbd{685^#wT6%i7*ykB}hQ`gCA`bi(<DQwgv16u~@#@-c)idWd zmi*41c~r*kMCiIbx<5|GM_gDqU-spt`)zW}IWLSav@tg4NEqE{6LijzFuu~pd3a6K zE}iW&j&pVYT(c$OfL3?wp1h46yYK#97OV4Y-cg}<>62bB*}nS72Or;47rv~hzxefx zZG-BuzxuPSdc;?pW@)V2qZ(lRQ#9j%OJmy^(TpR8jmKofGY)a?K9p9K;oL81_C+%D zaD#cSgwd%s!Ns`}#>d*ycFk*l*<5m|jc@s<uR8W#^SQ4)T;UMAPh`dMaHg+2H7+!) zXO$}QTp&1|RjSx^0cZGIuHAFCEj%tM{cFzF!~>SnZ8cekJ3gEB>`XY^a(>f=O0C*$ z`!+5-y!~d?w8YMSmRB3%7Nliq2lV$ceZ8r2q2VkO?@gtE&0+!>XUrPaa<*%~DLUIG zcRlw-@!__#qe+J+@aJZvJvZx#%s$*wS~<fmdUfuL4aV{3KW#2`Sir~5`l`rdfm}Q5 zt74Z0jNzO27S3$jqko}8lBsv5=7pBXn;#c^;=H0~%`LY(_r>PpZH)4_4N}(gD%)*L zJYy%V_U63P_my96&YG)R!zKGH<#lA6>OJ8Vhm9I{)hGmPW)fX-o~yBKjZnsstj1YS z7sql=-)Z(`M!NHS|G3Qw2biplQWo+mi`_Ix^psoHe=JJcYR&2Jm47mLgjXCcXxz0& zFQDI?<(0A10!C$4sZYxsl-)Q@(zZAVAG;x1_VYxW-0R#IoAv9HFS#eLk(D$$(I(iO zD`9-3jnjGag=(!@6Si9y5@WW_ON~hCa*$odeI<3JgY7xaE6;-)+hPPVj-)g7{=BoU z<#dH~mCuHS=g+;7^+-H$yDa5|i?o~1ro=-}Y<%Q<^>bf*2;JxP)cx@;2iZK1D^Hg> z*yeFwdA`lTxlSbGh(D9*-F2~?)4R>S{J7O-xBJsczuCW2t&N_n;Z@$ZG4aq=oiFpf zqQ9j{TjiV#Tgl^{BeLRfUE?kpu@%P!8-K}&W*p&cJT_-b_v=kp+vINNyx43#`<h7G zn~loKcIOUnNu9TC;o+Gzj;D2tufNZIwIO<eo;z#SB-H?82TqeGwvBE&q8Vp;?nh58 zkj!xIcQi98o>Iegd79;sJ|4-e!ySocJ{7jy%|)l@q&m0Xys0%cv6G+m)dsf(dfBY6 zHu^2Fljk%^dJ})kB<)Uo)X~z&l<NnRH}ck=OT9en(1fRDDMwD(_(VTD^5^R1X+Qn; zEqFTZX8Z!a?VCP7F)H1u8_++W^;L2B0zq?DDdPir>rSUgSNUvQc>ZV2rc2Mvj`#J< znBAJVGnIGC!ov%4qE2l%lGn&4Bc5?2vQcf$X8mWzN7|lMZcIEQT{+|4+w-|EHkj4_ z{B-wUz=CHN1y-D9YMkZnyuj`?tJDU^1%lG7Qk#Ppa9Y1vwNq!y!s9~HzjU@Hp74@( zTeB(g%qyLqQ)g3G^PUx$+5WQj=b1LX=bOLkI2+q>TzNRbA(oHp%Hsxy+Hc$@N$VYw z?Y4El{<M<UmM>L)hsZCPZHXr&e}Cw>94RsV@-B7$%RF`SV`nV8@NjpId{7(T=1rwq z!PCF9yfVDIZ`x_u-%)bzo2Pm$Jik%L=hV}B?do$&V*dTSdCszxuXyue56M}blO1C1 z#8w=4{Jll5I49%R>6*aHpZsgrR=u{myFqi~=HGws={?(^Tz$+-{D<?ld738MkF=>R zH2bn6*Ll8vjzq!XHpS~X8Oyet_3TVK+;aMEK1;dd^tWMWZOx+3q)$G|bSy&W$-dIw zj%u;)o!J{(<`?PYI#1Vm_MvoL(Nnt=r*~yjXJ4(5-qo{t;qeIRUpyNU4`fKU^=wK! zGTW?2GV^eY;7*@qy1%qnKTB&&Gn}7!Z6jl_u9(r$B*DqLV#X(vIP=rF-Y%Q3Fr`A7 z|MKrrjm=sb&h5=JLmgSI-iWL?&DU6!v)%u7(SbI({FHe=jlM{JjC!`@=^E=jv-gI~ z+~p&@;`o&}TeY@lq<o8-TK#1iZ>?KuVrqW+7T(%pDT%3(HYeNc-lrs{&fIjb%}#pL z2BZ8t6JN4lzPnTCuhHApd%92P&z!jMj91QyWy-C5`8O{-m6fiVvwh)9_FRdAlWmIk zZy7vdmp+!WDe=&{GwstgOJ?R@z4LhPnf8U6pJ(Q5Y|s?ro|zx3oV4+1QdpEuqHo{Q z^H=k_E#I6CU8lR(*n5+I>e8kD+ZUeJlCD~_ZDFRpnaSSzW#W2=Cv1oG>o@1lFwid- zztsLlc=a>6<2uiZ?<6rU*AX+axV(R<PSTE}N#CM$64TTK<96B>{+s%WZ!weip2;(J zhh!Ys&D8tD$k>1Ng_iD_JX2Sm=aO#QlPa#AmL#{#_u1u|$kVyc(*FH=D*b1#gL0in z#-Z~+la`i0S;c#HbN1mGuOnx?YoBKEy^B@$T$*-3o9edI%Tdc1+gYWGJQfK4W|_6Y zaQ5L-_wwzf*}iN~Jn`GC=VsF3mbH~L>^`S|;`Dg`;AIWdsqzBXeLtRB?}%HVxIg86 z!t--)GaA);&flN$W#75Y+|nBkv?+4uN^CyU#wnkYm}>uRbxy4Hc8~fKYZ|mn9=JEQ z{ZY9vqngQg$9%~br>^wuxycez=C}Oj1)E!DJr(!c42^R#c1^SKi9XO*!*uHsi_E!u ztBiOplrBtI%+zbCc%fx-nV=2Z?F;EMc$wR78zi;xo|U=L#+jd%n0mjs+Ke$adg9GT z(=!jKHMZr5K`W{52ZaaQ?7DL#Hr!|vJe?!)xsg|R+VjL)Ol<pRX=m(Y<@qmf##<4) zKrx@gB(23k*zF(xY7V*ff4f<0*=|l`Y|fQ1Jkn<9oIArnhg<vi<nNp2CZyJX%G(xM z^3K2S^tF}6^+kIeoYgo?9_cpDy4oMBx7ln<;sFimww`T?XC$Q6dd?o+!un^9zk?Om zpDF$hl_EdB?Z0jEV3vdPI&PCkZ4SbDY0(a;<%Nr4Z#2Arb7F$FS<lX_!!7xnHf(zO zBiW2`s_t?5O`IBsh3r$h<Cmpn2&|BlVv+i|*+KZ-t*4)MrXFs%ZN{@R`S6U>W<Edc z_)q_C_{p+F_rdNOrc=5P&gL-dU2foKk=hiqKv0`y)&`^M&Dz!TzP~xdc4zy-nMF4Y zmd&p{*YYni;->80$!oK@E(a<^Uozs2P`faJm#O!r#)TH&GC`ZLn-|iz@-o|PNjxGY zeQeFv#6!D4k@OZENpH@G@2}aM&S~;Qw(*#cSjHid-5heWb6;#e+Qt~2E3xrxo8j%; z85@+jwSS-9zKPRgq33~~oR6nX5|%kQt8rdg<HS14;J9AX@et`*GZ*ll^|{a{csW<% z^E_VRd*=^tVXc|$@350q=bZTdJ519!Oj1@lBwyn;Np$;Gx%SPn^IK1+USl%jnUR0E zgVn4jGUITI>;J`7Ph%r_&i#8|`OH8)`qY%EGcujqQ_V_#9%z$0otq(c*Ni73^YDaF zv!00D!!!7EXKXmOYU$~i?#eTpXPmF;p6NRC=$Tn(k_5YT#5UhZ;`A=k$(@<4`)q?r z_|8u^Ois1&EzW)MX&JAr+N}%elX#i$ZA?6oBJJk0G4V`@w3^T6td~Z|lH~Fu&!jgU zJ+tOYlHy|>F~e&~Y47q3jf>B3IQ8jgY`3M)`H*#Iyyk3Jc-T{V*PKlYk7r8%nzJ$S zK%{iroXv?x5~YvL*`9cab@ep8_mO8F*LMHhle@9OUstT?NRpttu2}JzB+lc-YyRBa zaa6|ceCWDAXVz?Ac$iIkSI(A&$JL~N<!nnlAST_Gvvr~5$=nRLBI#KZ@2pyST3qb& zk4s5*@sT<Y79Dlkw=Lp`YWLAMTOtm*ONQMnh)6eVkDYmHYGh}#S;>r4=jrnQmb2QL z-MWxInU~q@wn0iaukyBA25GZ-g}41bU!xma7H#_dMzZ1jeqFH**OL_IN9ZKYKbrI{ zTIZod-_-Aiic<aN&z!sS)YSPinaxTpGMuM7n|-m!ah|ViW^!6fy6w!y#3M1%$Ifg{ zJXEuKn%-`mXB%%P*-7h&eb{)^>06Y}BjN6&Z#G99`p2_6=c3Mr8HW$~Jhd{<2)lJ5 zaVl?Y*zF6Couz-R*_e1BO1f>$=ENiJW+s!9q}ASR%zC-$M3P*$?z7EDk{G>p#WtNu z65OmSw)svHr*_erJy{!O9NrFg*8AKS1y|ej>~CFotS<fQPtM_n-DW&H(+_w2HtYF$ zw2kwx(fm(KFFc(UTHOCy?DNjVjSaKKxOb**?6@t~y)$`Z%X_0Wn^s=64}NxP-nE7^ z&v(v^j!HK+)pKqyHY@pgrA_X6?u+6pZH)788ayeMcB@G|JVQTs#)qk)#nZXPK3k+2 z&VMY%ZIQTf!ep^-i`0!XE{l0vOiq4jTzoN!FaEh!cwO4QGizc`UwEi3y(?zR!sFM? zzU)jn+;G~AXJ-<)-Tw1f8|VGBYd17YcBWjt^LW$InspI637d{O=|x=c_;Du5aDKGT z!)~6{Im~gJXB__O^Yql3BXZJKH95}H_nLkAaiq=ecaFq{`)!KsITD2z+6>!sW)$35 zwe&P^cjcWeGtTRFv)<VjaYDA+wI+Sz3}rFz8*`Ii8gD+G#K&AbRomV;JvXDuSbEmI zGi`F=xi5^ZJ{L?&JRl+6*0VM7h=ugAp6!W;T70Da7oHAW{cM@}<{2-~>ZDZ}ch9_c zCJD~n%=5a&&^-9rr+;es>004ws>^O)cxWrVYfrv&zoglhnVE+hBF%UtGY)si8<p?o zNv_+Hc*sBOY^++8>Gv5ahV#pH#0*X)DF*9^8D32?ysR_rLtfuh`|GEtTGpn$dj<+a zw&z8`b!lxkE~KvDwQak3A$<ogbKLgC6Vhfq5{ZXrOf>VUxV&oTY1`G$<i6`Z``mq$ z=~v`)?w9!+I~c{ff8Mi-KeXWg&9B@~_s+Jy^xt%wZA^H4r$P50`&ZW<zLDQum+P@X zwNE6o{jlq;HNM?jj;~XCyLq_>`y0JWiq_pFNBWdxH*fb)uL+tEJl&X;eYfg2(aiS0 zuD6~#O#Jp^hsW<Z+Lsv1yGl;HRgx`S?Q#5$&LvKBuA*0kyB;L0Kk`-SZNXZP-8Iom zZ1-tivJ3AnIWSd8wrHn^dyLj4!+7zRE#0h^UoArmHpD+#t@QRo>cq02i#)1p;+E9f zX<uR#?<zU*Q%Uw?reheBpV*BF!mMVGDjIt&!xDu1{%w5i-+uGk%C=+6cBy;&td)Fh z@U}m;p2fRY({Op&gu{1kIqH4RVy`^2UiQi61!VyT4&8E8?9O6$)mbMiV!XR7;E=WH z`>xZwP5o2c+B~;5%cx!BE2`XGHsSb_TaI?#S?sJi>t#hYtt|^Ua_yF*VRRO|YR<aK zaGPb<Z6-=an_cBAx)EW0BGvh=LjTvT%{6wbWJNZtD+@Rgy|r2C+BLqe$Y5)YhlgjC zAAP=emb%g6%dc)Z@~zHd-??Uu?30a)$|juubjy)Z{t6#gWSF%^Qs&thwmtF2YAJ4K zXLQUoO-@R?+T%In)A4oob(%*^rr!9|uJr!HN%>v>mdttKoukcHa3f0Q!KS4a2Ob}O z#4vyBQAWn>E@r1NadwwAT6`TpS6C<{)+R2C{U$c=Ku?%-7qid&2$=_)R$3fLjxB6B zzwc2)gMK%&(>@Kpjvsq06rOE<)X>7+I@2tB+aci@f-h<c8xAi&%Bc6ei`nL!82gVo zntU7<u`&Wht1J{Ar4%}x>3r1C^0;;8x0}HgOvbBZwD}4wqGTQvEwMQ8xS+7%{K7{K z4b4Xx71_I(mA;8T(@ZE|$bU2Rg>sqLuNktfc8R~`|AnrvU-j!$L{L%+|00=te-7_| z_w{_1>(q+f>WOLJ?oKwC?rF>Uxnj9`qTS?bAu)rc>WPZM)k0#1Yt<7C^S`R-Jp3wm zM|*mpt;I~q%C_$+XA&d)55=^7Q#tdvcV5fSJ+~)sXqalt$sKud@`jG5ww&ER7pNz4 zo~|lbZ{zm;K}y=2s9Tc_+Er~iizDw$Ht2V?<@_9ZWAcUuR$ESP$xD+rbo{jC?4Egj z@`je3wmZV@lB)`gjDMYd={e)@n|Uoe&%E-Sas14@mY-){c+QA?|3yXT$=-P_u0HP_ z%b1USQ_xC@;a{Y5?7M<iniKybA-6vZ6Kt=23Gu$)CnR1MuYK&{pZDBii>J;ITAsyj zIcJ6JlS}{kO`C1%R@AD^m(ONr{S#uX@#Og}N5S%J_M>-J%T6iS|17rf=ay%kXFPs- zzg1|jGZoHrJNZ6){>fyAx5htt*2sz&tSAdO@!*!D;QcFl6=$ArZ5G<MqB7j(+*O;2 zlEVAf$UgZH`c`3j_ts{ced}bO6t64`IPmnAqhfd#yKBr^*_5zrARTKf!)wl6vzaLQ zcimOKq8)M8C!V^!Rp_6+wYetmDj(O5SZj?Zes33ayw7@O^uXurj0wr6$x40Kdpa#6 zjny80dH+9hef^4~Tb3l>>9rSepRxN_d;PuF@}jO&cEo5OdH6a?Q7`#lgz}N(h_wRq zA8vJGid!!#^vP}Qgbu;2PDyUpxI8O9_aFUPzB5d@I88-5^s!Z%jC8E_ku_hlS}S_i zias)47v^!`N0g$Xa8|3!oz+vP&xqdYB$RhO<G<YfYZjf7o8MjGD*Ul7tYfC@6)r>L z-BF5ZapBrW&b-QMonaZfR={}iYEhx$&0!rg&t5&T|Gc@WUy|GM_^j3$l`*|+scy^u zZFM>$1JZu*DwpBs?NN$p-@>$2l016nDL=^Sz0+0`s;u-VskdW>x2clQx<3!!fBE(L zX~#0P?+bUewJlqA?btT6eZRlnfA{tJstR}3`#(#s$J?3gSXur#`K@fJZ0&9DJJVVZ z>qhRlGo|%7Z{&}d^<rytb!BzG>iTA`To>BC?bxql_xjDZEq!!*O@`^|)7z$n)FgNJ zZQ2o~drNw@^s8I9rT(k@Wmx~a-?6Ogn8|FnH-BTV$NQh@_%e6f{m`cdrfX|oy^>Ep z|9(}_y?fjDUY+r5n);2ooa;YKJH8_*c2QsZ>uU4w?+R{7|8F_nR1jSF>w4__sAY>* zZe9DYa9jERt7oVDT~+QJtQ`M;*K7ZSW~TOG_rF9W8;jTf<*)ktmMg1rNqOxpw_Ee8 zR(zVhxOv{CIfoxC|ChLazw&OO{8yI}r|8AHy}p#(qgQ+El~KYRJ?CSujgp%5lJ8wg zJ#;(kTTI)EbIB`S)wHeDOW5(sDK0$ri1ey%$%R(N5r5QgE?pn(AJXR?zkA*im9>9< zeGUKbIh9Ym^yR0mdbWBmFFoI+$Gq-^(UVnrXN%&d8{U6q^l;Ct$m!)*&Q$q?%{_1W zbq=F=i1??OuaxY<W6y}M^8OLDX3u1^)k4=xUlu&JFWoyM<Ft^yXhi#b?lq_HGQBQb zf8bY7jn{U@*IYR#+*!?%Y8!iR1|$fZb)ApAIcsCY`Ax@lV}ISbmU=)owykFQ>5liM zlAoLPgzK)P+!D;aG-<*&m)TqYOx?Z6mi5}h$4sv`Z9h;|6O>T<P4q^?Uskgx4voDQ z5ednBqBmL&S1HAAdvPgwwO;MEmqrP@^_<II7$q&&OD=n9l(<&s^8GXVg)Y<i+@JoL zn%XJJdMz=nv2<o=f^C|3#C%IuvxK}x-kISE%Fo1a%+O>tOI$e5V|wzgFA)p8=daww zGh^B53FW1dhOyHP-@i0^SnIp2_Oeo}mCm(K;qPXLiAS{abFVo)i|KWthuq6k*Us2; zubJ5>7Gcb;yCF?1D&efnmIG=%Q`{R)&F76+lzuaLrC#l{mqrhmW7~ADryk*oJ+|gb z>LIPjW&0iSuEi7yY3-k7=XEar`TFJlIvdjV#U-4r*>Yf(WM<>nnL!E6%fxO>$YeEp zbeBomc<%wVJ<HWEt?@6-ytaIo$?M?QSzlM`o&6OuJ*{@t=@|<_LLj&P-c>SV;nVeZ z%wzxe?=m(1!IZshDeJW}-rQ?u&Jc?*HrCycmKBw7_Rf|A$6~ZLq?P@Wzv{B<%$3b= zJ~r1aiQN3^(wfN9%xi0QnPi8>&N5xCC%o>Y(O*^P%?6j3MovHZQ~R_~^OJ31+xVKf z*PNcf^!j61qp9#^rtGw3tk-;ex!25`Ef!&Hsk?!5_fxjXQJY(?Zq5nYu<Ogt^`{%+ zOC&G(>j${h#~$Okkb1}g<XXAdS*0_l?Jv4tvgE)l$(lyf%a@p57w$RmYmUYSMs@C- z6Dygd3wIqjHb-*<XZlx{S*mOF&T@rKPh(u|y}9n{(#U<UF0F|xmE0UUJ?*X5=`Z5S z-g7Q5J-oc=->FMJ54hLNJSZMvtgE{rEiNkI?2#=8X3flOG!4GYByG6*z^s#*ji#G} zZ71q!mt=-**k!^U5j*SaYQ3|cLZ_#FU3Gc}>n@Y*aF8RjV2<3f^MF;3<_5jpPfcTQ zR@Ho-U;6rUZX@rGumtCI;x{^^S<RlMHTv%OI{zxjrSnqzx9<89x%_lPe~IL#xaoq@ zC6b#1r*qCunfcEsz4LaF(5d~}r(`YbQzIYMHJ1KZdSKU=-^O>VzUXhTW9G^^aDYkL zXvG0H9=#2Q&RjW%F4zYPzx*_JUTXjQ(wCo`^_c6fq@M8K)w5&O=^49s`RrJJa!bC{ zGF8R5v(IJeF1lkbtG|IyoomhMlT5D*R~`5@M{fgTI#<q#eN57Y>kb^NiAoSY_d2rd z=6dgb=3QSbSDbEe-o<0N=5&Yht{%%(r(2RY`P4IRJ;EAmRipNNy^eQ`=7u!eh=kZ@ zr_NX2tU9B;!R|A6&VeQ-=}j9BxanwZFzn{eIVALRqtDvY&#R`{?z^z`{PbNsKfI<Z zikC`$+^UzX_tNO$Cbi4^GFMJ(*^(c%43tLKYHr}m=3aAp7t`yHfsJ1y;}e+8L~cyz zWi?9*ZtT4olOVk9MQ6!Rhv{;sOJ8nwn$D<QDrw|CU2t`Y<mRa9obsoP{w}>KBwYIP z!>&2|H|ZFzJ7CqLzd=u!Yt8X!)@#q-GD#ckInd^#wL$Q`$c-5%S<Mo==6OsvFL`O` zG~G_QRPw_zJ!iL<MoC-rl8?PGN?ZeS-YPxWWtl&<zx&R9vT;q0?7qq2xv8%|)i!?p zvGBmJKM@Jcby^z~?Zt0&>}EB47T4&zL%+UmYSi@duR7H}*O#8J-o;Z9KV8wdMA9&L zy5Z)MnFi6*J_cvr|I$6DCB*8><lEkn(<I^&eD{YXoIM<saQ1Rk!r8}B31>e?C7flB zPB<$Zop4q;I^nFb_IdT7*O%g_%Q2U{G!B@~SpH?UxKV_?QBsFqa@#AT#2IRr_r26! z>yUZB#Hmi|G}iRaCZi9}?(p=U#<|*ibJNwOkq2H~S`%L?Sri6J@7|j$t}cz-eah(X z(-cr<KO725m`M}<teLj<FgxqD)XK)M5rGM{VPZELLRrmHN*jBBY&dXijphc<?5F8w zTlMb0i2U{=Y`Wd`63K$t>5Aqhl7+$34Y!xfEQp?*d4G$ax6>{2+9jY^&(+_+XU(<d z^f{*28&@Cr^+s<4V>MUKiQ}whN%@VvKbIU(+p}K%QtDnkTeBCJ(iiJ7PkU*UvRO}g z+Y6(#)q29){-(d2`|*qKE}_Sv&kY>yGGBT5TwZ#3_pXwe>%9BFm%KFgo6cxlB54#n zU2t=Wq;d3g&f+U?cJ5hf)xSOTxp81A<Kz(WPkpbH)K=@Ib-fZ=rlt3`?b)hxiSs6H zDN;B2dVlq$HNQ(TuNmz!$(D?rwY5j@Y?RydG~LDCo2Ok|8hQG~r8TMl_D-{%{xd7h zR&2$&^o6gO#8&F1Y<#6OZG~Ri%2z^uo%!2$ybR~|IwNQtJdLmT|K2O<;VGS|tk=%8 zbFG=lFB)MiueBk~E+pY>&58rFeg-s}eqP9w{mhxwEOE&^kLi`WzRXzfJzsMdPsEDT z6I^%oM65YILv@!=#Hy29lz07KzN+NMQ~#-;$}%yk>~!tL4@|E=RW*LCSbX4DkH!YZ z?_8&3KBXPpD7cs_=gbSH*#>UsH+kE~R(-j?^gKf>8_$*06AH0zJl9gs2*j%KOii_V zGF4)=cY9&czf+rzG%>v{*mPjm9IXv<%emK_pUEU$u<bzG9PJH)^Tlq=_{?hduxXyh z^pCr~%vj((e|w4Khi!V!YOjovCg~;LyO???1yo?B?<)Bbb2@%!{;sG5*?pQD^zMsA z^k=hPdtTMZyCX2cIZy0HM>MP1v$96t9gEd3J>`zAs#)nhe|?E$fzx!w_}4~{Cg~;X zy*7H-rE__`*pwS<z1vH{j@!ocdc)oWyWVJQkhA7qbABC@^oDH*+TLhx5R@0cF=H>Q z*+XI5iF)!cFD38Qt2KLJl(1OO+3clJ(q_HnZ7+-xSA+8Rd_CE3*`WM=|CI5RO{Fse z6JpcEBKjj)ucenY^3DiOaDFCsqob15EUm85H$uVRA^3HX!}(3e9b<pVTuMEV7~3Xu zG4)7b>@k_ksfP+9m&NO9Yz**o`pGS(GwE~qG}SQCi1w?j*Pb3`dcASkfnRHMH()f0 zUUim4uJP_y-Ss7M)#--srIMc-^^~W*HcD&N6AsHVdOQ2r%S(w9K-HqjrUO=cA`@cW zL?ikyvR+H~Y2=lNPH<)uyV3EH)hx}a(YM0ef63{D*eafjOV0<yvhiF_Jy8(rwrAbx z8RjK34IcR~-MgYhMCaqSS2Aj+)O}++zn8q+;4@wCdFjhS$LV(SUm86qj%}-1c)CNs zRPyswJz>37H;ZR3vFa}md2Sq8$`~9XZWLN7csWG;^SW0;%e3|0w(Sd>dstO9^Qqs9 zWqPuCFE2garf2)^#ii%gv1~Q#Pfw69k^Hz=FS+hg>Y?*l-(vJupL^W(s%G6Py$8}) zTWePB>d0Qzy>s2J7U@Oa?%RdRL!TEo_(a{DX*y3&_S=g~Pm^P--dtUJUVRtOjpe5& z{N2@aW69|m&AWUomZY6e-TcaC+lq7Pt6wo~Td9|_`;}7J3ca-DuY}4p_1+fqtv>g# zW73wQ`Nd(=`JR`&EDD@+WBSsWUpwYMnX+`|mlv1LRF+5@`Attdw%FVF;l-sh-~Vyf zt=4nDdZtPyc<%YatE_VZVo!Kpb)6FwdnWU$>Kwt?U%Xz%=LKKK{gcuZKDuP;+?mf` zTzXg=yX(w_rN@0@f1SCMdLSpZ?aam0BQdeZ&RkACRAXA5HgB<&@%g~HGoP<GccwTb z{h8&eZi%H(Aq~B^#bPVYB`yTH^Yh{QfTf4~ca{9~oGxeo`qJ}tdd%-$8a>&kr(Ab& z=}fj4Mho}PvYlSH>hq3|@+QxVgG(8=hlm$NmkO4Lh<~2@N@$;W?60#YgqV-~nz~=^ zl*7iSQ(`*>ca=!2^qy{B`qI#Mx?Odt<cCf@=Wj2KlJ@H*^Sv;7xL}s;bjMYnCDvHY zS6sy{v1-?Z#8urA>vqk!9y<L)+oMZ%&nLW+;nVii{%)CG^0FXoy594WmmgQ^)t-B8 z^q@)4+3s@ck>|U5emYI(j9+!L*m99o|Ke4jEtl<Tc)W_+a^bFy$*a15MwD_ccb#7M zc;k`qRmD3r)YiW7T61CPVXxR-Yc4H4o)!D6X2t0S(_K7~t50{7?&^tLa=PVf*0-2_ zVRMhWulgLhW><siD(=WtyE;-=b^mlI<vcAq{hOt9$ny<>o>4o`-(2e5es@>N&BfmR z+>f>_(y6_7DfPhZT|6^aobK@4)l=y{opbWhN(Zf2vz0Ty-dJNbUw0Mvja9oQ<gV(z zv2NE4*;U>*G<SWO-J`m!qg20s?&Ot8mrkuak`rrn=Hk-RF|k!=E-yV_6U(M^A@zh) zteeiI)H6x3YC3_NpBwp>%DrE8E`8T4rhCD$Cw{N$p0RM(49->FGnVf76#gOf`34`5 z`*y0oJ2hqL;o8_;e-?T7*ZnfB{q@=?p+V1??Uhl|1ifUo*G3PmKia+w*;TV3?OJlj ztD1e`=OsThzjCSzi9O=Ks{3bTDQCIs^g8E_M*=qTJzbw^<l_@{bE?E*@Ai$mN+g$i z_kY~=W#-D$4TpE}SS~u<v3OUH<+9T)k3n6+)#o0UUj4ae;jRY3Ros#*cXgPDiW_^C zaxMl1p?b*kf*_C+fBH=4oBtZ6<PAhEd1u*94_@`zVy)GD<5k=it9MN(Ue*00q}1?v z==1`Ql9%TE-Z7zb51WI7PAb-F&DEu+tzu_|w(Heidu^1^tmka@$|z~FUb5M1qr~o6 zw$t-NpBMU;+C_(oZ*VGA)D9Kj=v8XCI&}Jng^w=TrSE(tvrQ9}=H|b+lsI26_S@@A zj~n!A`Cb_%Eco&E_9h<51*bdy?do~C{B%qFk^QeHdrg0vm1bME;#~UjS4?Fq^-{LK zQu?++?^*Iy)i;0n>sO1XUSD25e_Q<4tEMJfrv7+!-K_M-rr-Y0LN5xXtGp@jJfxRg zpFICoPtYM&TRZU;=hK;ZcPLz#@R`X}c8f#uIZl&@IelhVO0P_{_&>>4R&URgwM8?h z?a)bV*A^<<sg>BDukz;e+7@4Sm4_3qtIB+AYe{zVut;1IP(J;9OTzXevxUkeR1>FP z6#6EioH+lX5TAtl!wDaS`erCRoUvVH&WCLQ<(K_h6V@Mb;k5Rdw86Wcv-;1EMGdue zlL`);7vkHg{jj52sBfo!;$_i&8Q1SV=U#ZDuCq?4?1!Vp*7rsZUEd@$66ZS#@k!`B zoS-PwC!zIlMxv0Pg!Y~94f?GQ794TnwAPul;dBybwa&y1=Yu%ebtV^_U>E9((0e$8 zRmd+w^NQ^gZAA;6jb4ZNR;#}Gw6MkYn#YawwJproJS|e%T9nnew{}{nUzo9;bymU4 zrR(-<MJ?<-+jEjH%Rj{J;zcIY*!%fLPgh+Ka#nfsp|(t9ZNhZNLn~wNiL5y8(fF%J zAme~Elj-3$2jw>ID>e>Hz7n$*XR3Mgh^#o=5TkZ#%aQ(_K2tWn3{-jZsk6n_%;Sbm zgo@0Ei7n38JS~#ewj^KkxZ!hi^XD!jv*w0?a^tRbV&@XwE`|z~MQSGYiwb><)O*;# zuaYxQi_=|aYQY&HPIVozSMM(Ruh=c=D$e=bVDSql&fPi_HXN_v{C#F}!GRdg_A?U; zj^uD2KQpP|kk7(*cKbOFrisL=CAJ3(m04;g_6rMrv($UokSxS!sr9f!S*Xua=V6Pp z(_Q9w+y~P{Y&8<wnT5=}{Wz=NOx|$bjg$S&)PfVoh0MJ5IFG-XR&Ypf;XB3eEeXb5 z@1|@x%*Q#qbVZBpHqRUBt6P}2d03=uZc#Sl+}gQA`@)R*tg}7@f4~21U4U^H-#R%y zt6kR@F_~sxe06S7_pGfeT4epaZ#<n+khNJ%bj8fa+*j7PvSwWasR(SGwRMHV*{F~O zX}X}$JbUJ$<!mdSI=$$pM!JRHw=<Rfh&-fMuJWeP&uaU5MoxB_DFr7KIo)NZ6`T>| zRF@Hbm6zu3v_aCf-}A=99WAkb9ycB@X{q(|w0N+k#rd3v#UpjayJ;eK^<C9#w65G; zBi>p(Bk;?f0zTuexCt8~&v@Uk0R@2r=j^K!Th1PGJR~TtnzQVIkXdoT!gqGeAkW85 z+i+Nvb9c<t4ae0uf9p)%Fw@r4B4uZba+rrj+R_%`FwRo`7y2x-1538H$l6WWa9WD9 zdd<`g=dCzrM{aI$zUFO_)ZCJ6=4Fw%GT{4!I*?<{y>BFTx5RGqy3w;p=-W-bhYhkq zd^a^8cEk#q8FMV0B)I?2wPl9iS!Wfz-yYhW?OxgWtFY|Ni<m|81XjEh+<Z9ox=`u- z7FoNA8&1bPyrOrU<yB$G0y}pWse*_FX(oahM;sfE$p~Z|`X}RS9QkO8gY2*WmD^6G zMm=heQ9E^G%|q2UpXRpM>UrIGzO98h&(q?`vKHk$4~u8JT7=hemX^O%zjBvn-F>d- z2F<U{h0NxzX|Y}BapU=>7G^z9iznHf?rWwOoJrzT*AaU4?qjz=4%_usp|S{t#OXhU zzRgfioWE0uZ-(;22{(oMW~e@#F;mEIhT@gG&-Z~8eph{C;CD#xvg(_U>so4;d0Hf_ zYH?oXVUe_{CHdaOf<r0`-!X!c+z!7f8xG$VDy#H9Bqyx$#yIW}<9knwl>QdwGH;8t z=`F%#T&4UwwOM8#ENyR*-R6BGb#{yGHm@7$-7U;!-WDm7Ta?YbEYg|-PIk;^mHIr{ zLAdUZZ(4zG_&V>p&)2K|=BHk{wR`KveJ>5C?fKAO{daHPrEjZ){w^*(yZ83%SylFz z?%X)=NBm#h`u#I6=kC2NuWjgW$X6WyVpYI$&+g!)z82ZE{U6G!{+4o2|M73TQ27tP zCwkjm-x+?l?ELK`zB6;j-Qu}g?~J^)_8#}){QagX?f~O^uZxQ7y{Gq7I3F@pR+(e) zVdtH{897;N-rv1&<;3svfB(kSufDa#?pI2+d#wBYva~1sS-F`;<r^P++Wk6v|Ls@v zRlZL<gpB74w;tAs+;Qfd_>aQNyQFsftJ(I{^5(Siw?A_(2E}Gp-q$+D^JwQ5>#5Vq zLl5z($DP^Mz@_)`p0`l>485Z1-Adng=oQVER^s1r^RHuoXnEwVzkw;D-y-x~f8Uu{ za3F`X{mi6-BR-t77kV7xe7}FnZuz^q@2`2^oc7!%qjLLa_R{TpN_S3sUb1!1`#7Q2 zncGhtdfHyosIB|{`1DP>=g)52Gr!2yyL9Q(ziTAgckk5RIdxjO{~^Bb#kvccylYg7 z+H;l6y&Wf3=LqgRpEi*_XHr+@H3y+@H?$My_p8cma6hEDTQw(amQdeK&4(?rKYw4m z`^oHC)V$vdp5~sAzjF7}wP#WDZeRVh?YUIM@&XH0?&-BZnZl#)UDr>Go;N+W(m3vn znC{i7)4uOZlDT)fls|6iQ{U+u4)5M+x4y}Hk7`jnr&773eo?=s()UQkPYq9l3}csh z-bh~6QhUtPB4JaD^Sy}$M^reE`%Eb~bQ^5DU4`N8PkY#P^CSN)csFgk+VxL+)=k^~ z#5K@DXIks&Ga#?$C$4+?^X9be3gKHq!lUlh9JM%eI`-uHEqitfl}XIVW)zKiwBv-V zo#@WfmJ_Szi0(WuIgx$Nw62$%JPyf;tG@X>wS{@#l!6oDLVZ7C4jIn(w0P+BudZbK zp5UF+p4(?ss)GZazgYMD`>e|6#k^~REn+6Mo_-3l`hC{Ar>r-pea~H&v2xv(J=tA_ zJLc^U3dpQ9S9w!le4R;a$C0p!);&M+g~}z>iu#NHhd#1%cabZ2wx8>0$xhX&_a1L+ zIcwv8i1EIs#gl4I_czlE&XjSg=bXOZ|883Oul;G!aUT{u-8*&m8uq)o`K9Zgvc~G> z|M|SAKti2+`o^7{bpe@`$wk^d2Dd-CJ@1<K%Ioy(6%xj`o~;uJi@Nt)sBFf^nr*Bh z1)Z%*<q;}H(~l~Bk5DR_zfg&P$MopVsXHZ&mRzbnGik&598UH#6AMnnaJrwFTyUm_ zQ(fl-*aha>xADqv*)zX5`}T(2PuHH>x@W`cr+;TeA1dQ&mrr^4sn%}s)M?+(7T=sE zo*I2`ZVWgFY!`i8pk2On#idVqUN;`P<&`gP^5!|WwTG)*LZxVWqtbT?g`)ZYl=xpv z-@P&QT~Vyo-s7g6zxOE4Re4a#+0HY);D`a|@jKJ?W*Vqm|Fr1cwC~>Qp1Sf!-TU&# z@vd%u>bj?{cNgcb%YH4ACZ)n{%zx_o;!B^-rLDUgxHWP6BlAs#5&veddmmdebDG^+ z-@UJAy*^vAt-5^E<<MDojX14!{`!7>`~Hxso2_nPySeK-qo5~p$}V|PZ6|y$S43$n zJ1x8Ni`?`7J_qcCmrQ2qnO<<hg44ZcYQY%^PW7HTtMc``X5T$uKW%sTT3vsWZKhjn zF2*F?xIC*^VwUye=C@lEy9y=dSkDi>s>k+}>)y_*W&wxzn2WwI&t9E7^MtRp(9Y9B z6RUN^cAi(7$gVS`>*b~RLvnvr-hB36x3eT~>)q)ECu}+0?@TQ?Q}A*Ur~H%mq2;?H zChQLneVerJ)fpwd@?-3?V~a%}8>pAtZC@38=A7uBV&ylvoYrT~+?~I!cf(1g@(TAS zdXrt=83sPF+w3B@!M5Do%KT88$I|Z=@rUf*ds-yyYH_|dwcv;e=W(B@1&5~m+50L_ zJiYjDYxGsUb1Tk0{4ziF{Er0fS5uN?{GOeA9=f$#c;}h2ykObs8xC)@KYefhy4+R% zF7GykKhcx#zVq1O-M{kO;J1R0najM7mRM>f_A3j0v($Om;4H*vsqwIbS*Y)({==5q zpVe3I>TP@WJ~VXqjb&EtvOA6KZfM+EDbXIg^YhQpw~uDW96Y^SMzwCzhQs|ke+M5_ zUDti*;j9y}`$TshU#|3hr`D$i?I6S0x(OSOe;4}p)9(;txr$6t^dZ6RDl)~vhd9kY zeP6XZHs;*@)vL-1JxlrGpP&1YApLw%lFYtSbM;qbiN`<Vio4gW@v*f<*6#e>`CNL2 z53UQ9ztAt5UZ?c^hwAnCkR9i1C(dpyTQ^&;c>4Jzm#SkXY&dVp$?o%_?($x)cAkj^ zM-n)X^Gqr@bRhouyQ|HuWqD`#SKT^#epT@gO>-mL8!0;%No>A#Zm#~CEb;r#jc)H` z{5-cs_T7_r{@2;ggif6O&-IDk`{%b@ud|)0R{B0u?^8p0apwCw4LfzG-g`W)r8dvY z;z3)B^Ez*fN3&Xz_f0K0WDX9sWq+;8*RQ&DG~}wK&&jP@*rOFDizcVl@BHi<`Zg&@ zZ}Z&S%EGE|K9s%tSCZSmN3*DXqEfk}Vo|@j%e&9JPB6E5A1wh@<Ibw!YW%T^j8WJj z!DJN~<ETTNi^0Lha~d3MX5VY<3;2E)@oreSaijn1#Wj<v4SrwQYJ2JSoUHXHWbMRu zo}Rb-f7<%}QAcmTvXZ(vmpgBD{hqZ8H#W!mm+uX`dQ$o}@7<pz*UuMhG26+z_qNn) zYbohjmvUzw6M7sUu=FrX?5ygw-u>H4UmAaGUH5%YPmb1B(|?@S^ZrTi{r5fZ%I=>V z_pQyT-&L)?@}y~c#+$zt$<fKt(zoS8HtR(3)K6N=KPl<O`d#^Nrs?Fry&U=ZsZ#lk zFAv(xcD}8>9W6auI!Z42akKQrD<3W>*G5U5vAkD(u!%1@HzO=X-)6;v#(wi_({BWX zzT_&7n7`NOl+XSzYglEM+0M4V^;G$FkKAjWXPd7jF=p$CeOh=_>E6b5(#AKF(mKEA ze4kbn9L{ZEoBUb1msj^}@1EZ|*W(MT7F?E&zrKC((wVB~|4xppkKZs=cuK|U3)Xvn z&Z#LYHkX!`_?ocYI(hz!yB9uYbY675mDp4AuJ7A7yR*IiQzW7jWRHnPO#jGwE!nB@ zt7K#X^Sx=Gu4c;TXC*8Od3t4b`=XVdp6CC5j{CpDdv@OXy^GgwT<5=Zb$0&C+iAx( zm#<oPfA`LE_x$2J%~Sl=@48+2`a@i!Y4J?0+acF;eldLBv;DehsNBJ&tk)j?*vv2Y z>C2RU6SLi4OV`e+_!j@|mwM=)t?SkvmYMzP+rG7Hb#s^fn^W`6kv-()<X7@_Dz?8u zzD8Pao>Hc{-1S|7+Y`NX*LQ_}PweJ***y5OncwQjHTU^BUfs9Ow5IPi|1<lR*4^~O zi*;pl-^$i})7ksaYkJoEjI`g)XMZkRW%udEW~Q<qi@3I%o6USZwSB4n>QA8u=a&E1 zj<kqbqm|?y9a~&I|DNnz*FOtZxybT+eJQ=Vboa)?s+_xH>b}kY_KSV)j{NMUSGR35 zwaa09f68F*tDo=YZ9V^(cdz{Wxn?V`nw9+6AZ2ED-S*0r8)q&(-~IW<^N-W^$;MP( z2>xEV$5yu4%4^MPyDdzzcAFNS{`GvfRPlA*>K*yTwU-}Hon5l^x7qgVv!~sQZ0kK= z{&laW@9bLR+4J64<?i}>^4q74v*-U8-}|p{W{Jtp(zj~PQzx$7w|3*ga`(TBd%yM< zKK@yM_4RzQ<1-pR%ue5}=6rKSiNybHyMG;<x$xJ+Utz}4Cl9(`e(%0^`@+L*@3`z5 zRLi)oBu;lY%b*d^Z_D)cXT$==YF4RFoes+1I8D;_I|%dL5G}iz(Y{NSj|;NWpZm(= z4u{%4E|UjQ-^K6BfkqN~EwnDoxXk2h@jZI=A-UOalq#0hZ}5L$c}HNy>C(ok8m)l& zo0)hmlrKyOX6oIcaAC%I)>$7~V-x3}Eo=QN6n{(ogRGtKiqqSfzV6Trn4iqVYoT{x zf-qCBh317B`7E;vuC6OMAh!FEW2g2vPNw$LOr<+j0{YjpzA6q}z_^=L%ILS`Y_~Il z8E0Y|)y`BOTUBr(Z1<tW&Y#~no!VKMN+UG_`dz;rRoZrQug94(X|*>M+p?ENH`czI zC6|}^I(eCC_xzVqCECm0hQDNb^>EoV^@vYCR~^^hjotn7>+~@BF9(*){rB~LDQ}bP z)WTP{-v2uv_kR^rRMdQ<;$>FFQ>RVi`~3f_Zf@>NRcm+iy2_{bzy7*j^x|;Z!FwUH zx8Gm=zx?|CwW72A?pDoKbuj+>^~1AiX|LZf$CXz7jko2fFKGO|{*V0Lf0bVazv|Zh zx?*Z>b7lKp|JdD@8;qQcUtF!f{5qbaaPREPlfUP_&3JJ)j!))K*7Y1!@f(*amnp9Q zw>j>A0LP2VH<lYGW&Dfc?d`w5-K2cW))^ajt=*o<=znGFQsb7y_5beg{nsmY<Km^| z<+YEMb9>*u<u~0rW9GpRvo0=E{F1vSWY+cgzuWizTPhTr|15p)Z<AR&C#^Ki-!m&) zGwI3WQeRcKFD6$KQ~aHMpa1gWceY)(_tMss4bIi(TV`Yge|>$_QO#TJ#WC%jw<APT zmK{`k^h`Te_%FNQUTLp6wULj~8b20YXR$u){bo|@VY$d1H9Fk=dpCW!sovf2Hz_mL zPJHLfN3QRRqn@NaQ~EUFuu}gGy-zb_mHcn~EV{mEQTKN9<;ra>$;&(}5?3{x{bAsH zi0{4kjnuXl+q8XivOSjB8{WOPxVMzIH`iCUe@5a8w-(7saqpsTX$z{}TPgbJgJ<vS z8IJ4RS|mSYx%^nOLQv4a<bTFfB`3A37VbyhNC_Igzt-U~<4@6drIpr7Z#|`@XWjf; zbUp42^YxrvZKv$gjBmG;l$&gw#kZ`8(b)R`qA-smJEIg0pJ%tK#H<iZQhPuDDp%o; zO<^6U!?!xw=w0LbxHCpu<$>4Q2@_Utby8Y)ovU+aptj1xgkFKoK^7s}M-pS#3T)O{ zC;DjP!my6>$D$M&XJ@sx<g61F+W7S8y4(rpCahW+)H(HM`s&+eo4-E2^Jn=U%^1n( z%X_=d)mz0>sG3`^;d^uY;-?8S!={?AyfoSTb7bAT0MU8efB#H<7b~K@#qKS0)Y+6? z{ZEsRztS*Xot>$7{L@qIs#dA`)OFV{+_>;BrPy{km)DOM!kJS~rTV1DigT}<`)_l$ z-LJ3OJNs5?ty}v~QBQQv^0gZmuU%JO?6u>M*Y{P6gswlIXeZ07>vux*s7p+!&l$a= zDsQIsMkn<r$=HSUoQ^wMQL{+GSTxAzfZx#;nE;<7jz^Ek1o<3Fyg8%pm&d=nW&i!& z`#%?Zb<yd4(VMAvlGEoc`(}1|hHtiAp6u<Hmy&;PD^{}C39tF3ne}|%yOUCae81yg z{_0p{y=d*fkGsl@m**9oDSB_}X}&Dhc~$tnw5c1ScgGoP$DJ;<OwB(R8~#7S_;md1 zUlyA$PcvWr`jvInc9YKsvv)2EKY6O(QFpcA!wp;9T24=r5|r!CcKLB;g`nVu4Q?(c znywdhUs=`Bryeam^MBO3xi)e41OHdl&fj%S=yS+(gYZ(l;*jTt(WQ2qL&Ob&OBK80 zPU~j+cxQTuC%jKpdvtd`v;X<W$E9Dt_R6XEJ-~N6ZgpIK$wQ%R*LNG-p6JPU-AUeh zqIOxQO~R%V&T3sYk8&p--=q4eg)>MhW}DZ;Wt-*ni`uU*y<Cwqal`pE&e@z@EzV|M z7D-cElI<oH9I{&YfPdz1D>LbP*P?#%ZvA@GE%=~ecKo}0XIDQcIk)*(8=w5XJA3nQ z@Os}7TyZ$Jan~K86~}!Wf7NJTXvlxlv~$h2g~zp|f9**;+;BZtqUcDQAbXBP@s&2t z`;i-#9@%kSCu7=!^-o{lO!`zFwLot<%d5hm1$OEzQU!PPnod`neVLKxJik0wV#D<| z#q>`b);{#?ONq)!c0TUxzwO%A?VJBReRc3kf${3<9p9B}Z@<z~j!Qdy<i)<Vyf4`g zoQ_*3r#5@mowCchWqlWPrlvgqac0t)9((1pE!iJW-<^G)KPOw>*u+?WvxG-zYVxj~ zPdT4GTYuwYLG7=q#>@A9sLPz;dC9+I_9^C>dzNfkdDVw~#@C{+Z&vyL`h9Ay{jxp( zO>bJ%e2gl6xZ0=F-!%2v(o;WU?81A_r+0PkTri_$vy<Sd_n&57O5GH<RHiTU)YBcy zZ2U4#Jzuhn$uCpu$)sgUb(ccUoS)^jW3`5H?Y`xj?Z0Og{d5hM`x_TH#lPN{mFGgp z2?t+So=YKT5`0y80;j}3a$g#^@36sJjp+|(eX58JPV2c4a)86PwdYF6k=e7lB$uvi zx!A?!)pK#m;RxTIJ(s5(ukii(XF-Y`#}7N>g)3HkNu3bBwtfHS=NabvvgWRS?VdJW zn|)_afX{)dgG*esH~Ja!Ww$C#@$D=(eQMwvtQTzh)X+6Jjcd)yj&hT!2A(UYotAp4 zaCz;%m749_O`m?6w#-H?<5c>dWlYC1wNlnBQ#zKRm9}M>keg=Zryl{98h@4JXBGW$ z2-Z7o@^oWJu${Mw=!OW*-RHBODqIfN3F705SSpjUtE=^tqoTH`@J1&?!_T6V4gQ9% zp6yYeReW&u)!&<5azDxaDSusN;>@MC94l-1lTwzYzuNLr)pz#wDTg(DclKPHa$Lgq zXV29snV)BINv>bn!8!9}!SYqV4obW{aVlSW&)l1@)~&enKEhWd=+^`7uD`9t<#i7~ z{I=IR^^o0n=bsgt{qs$pe%`!{>0OrAlX=UO-u=Jmmw9!){a+>7NYBjuXKL(2`S(SC zytjH*@r>nG(|6ANuD3>CXUdOv{jH3A%yD`=1(Fdm4-8jW97r!ndl~rmXuIW1J=M=k zTW_upTb~@Y`~LO$Q(wxgy00cXDe{(W#p03|r>|E3mA&<QA<t<Wool<D4ja$rkj*`t z%j<aPOt`Mpv>A#4n{C8boOWmW`Xg?E-F;4z2Yn9CdE6$Cra2_%ahW`9^Ia@-o%Pj+ zsSdIGL{}U)XZpHR>q3Jz6Yox)3mx7}y+5NCaEj+jP2Zsw(Ef1d+kUQ6$#4I~%i`jL zVy?^W+k7qd^{>9m=l8|c?f561bKP?Jqs22C(lc#%ZJSH}Uhy}o&|SRzx0YeY96=6& zKqeK%i7t~mgaVbi=NNa)F;=PypRsOT?CxXzzkmD9ji~+gX5KrgMkyZQ6}=0YN)22V z=&^BMIjqq5tHOT)<Gd&Cf)OvyOy?De<4iS;<GS*o)gjkLd_{9QQ|ZT$1#)#lEBb@q zU#mMMc=6h`4~J)cSW~hfLh6nFG_!A_-t5)gOS^54UOLQw^wRNnNs<ZS3zwvnCT|S6 z5vXIjP29UV_voc#*OFd7_?`6f(e9*|iQXH(Y}^^ab|XYXv+-`Ej;NlPcdvZ+(u1u@ znU8BWmK3au*kuu|V>?H4nw_8cX$E#~)xDB)b*6EtcP%~kF)6d)&D~p%<EQ87n@^Gc zpY|}rJnSJy{n7H4`#+-p`OVW>Be3FFIFsp*s0CBra$Gs6)wnA{H-JBz<yG=p2j(@W zgT3bN7hG{@JJZz}+5yccnYJ3bF5t5hS<$_c>8pY70=s40CI@<KmX?$+a}Z7A40){F zC{?2qAibIORYIRbtdG!&c7GNxcaKK4A3FY-%=6R(TF>8K`M2A}rB>(kVFvH}iTVrs z)=d|<s}(QewJZ6VxOXe-(V*nSjV2KxI=MP})A;u3Ow*et;?4iMduc!KQKJLLoIJnZ zU6!<RL*$f?Y%RJo*Uxg<w70qC;KMW1zSrxnt-U4Xm7BZNPWH-G=l5aZJMLV&bHO39 zvvujqe%W0M4sH5UE*P4TxYj}R+EeWd5%b#Fv_D-qw!HGigV#1C8;$sF*PL>eU;WwO zz$}?R+;6ihJ#I(IWvw~y+{{~<k(?}Nbz@4qp4oFnS(AVFzOFvRvF+<3*I84Nx#Uyy z`DV5@R(e<#z02zDv`jNlJf5>>elp*&=QFwsEuSUk^!=>(DwfV@RcRSD!_jhC!Gw74 z(?tr$Uogx~F3CG*Xr=dDV(z5k9=_xGrM9MuNe`ReJnM+tcvE}v(;HKhx!Qj$m9n-p zx^Zw~?^_wm8^<Pgua&9%F;7|kexXPLxAPW`cFRSJ`Q4@5Ef*aYbvNrPe)53DUC*!R z$-|H`zT2~-bYoWi`?RfFGv=IOulD~nEA@UAn)&Sy-P}2~dQaFtZ}0Y2W2R6G?SS55 zCQ}Rj0BLcSR}TW;y3Gm@|NQ&u%68VjtM-57@?R(>mezP^QC+OPWnW|K`rlW1tX0Im z6)f2>UAebb=3;lJvNXFzQNaXd@pg+(1v8Z8-7UBZru4ces%pD9-#It&P+^~mUqMR2 zBxUL47DWZKl*Q96J{3$;mVa)+RWPsDJy}%S<@i!=8O=W@CLVL`<IyWjd2Hm)x31vH zBhUCYo0@y(w}YGYBkt#}Nj!2e$}MJ1@{z;lJwJX-o5=8bv-!4Tk8%WOE#>Xe$VqWY z7FK4PExB=Ht04P*!$*&*-E4AFj~q91WAZu6cj&?CoQWUKXZL9MB)TLUDYH$M*!YoA znNQ8=Q4*7~T$}NuWE*A1W2x+k$)4LdRK=1d10@c39LsRyaWhOxR#E12GkEl<)=lGO za*L3io5s!4e1{HR$`NcgvUk&Xo!nw%=caKxxy8uVP2+U(k)ttg8oQHQjI7->R-fiO zbaYdW;PfCHH;ui?F3G0KY}X|=7S0u9H<u_ZoGi${UE*Wm?1>EJo0>mtu!%mhxnR0r zYjsb?o%ACQ7?sWBjGW$Y?b%UrrlVcG=f{py9u3QHI{t{5sQW0o;#kL_VmFgGgQO3W z1f|zY6khn*uTy9^L6HBw;iF^$Wk$B}`F6q+8RAoCbLsG$j1$q|Np(q<P-Z(Uxv{Y4 z>YD_1WxX<^M~ULfc4fvw58CUl+D~a!IXP3e=3@9GuFt>2_6NnC*43HbdWb7Bqehjx zd3|bP@;n`xHIrJquWtIV!A;EW+9{<2R)uaRVjbcw@}EyO1tmoWMCovQ^`<($+^D1B zI*oPd$xRDFBE_cMJE3&o`=cHS9TEKn=C3t31<cUl?)BVc@WVmOOzqU6gDjC3tQYCn z^h|H<U$}|GAZ(7HboUYN7jx@-bVS@vJ0(xi;c+{4=om+&g@hir_~Dd?iF0&nYBad5 z4s{woO4wMzD672eq*LN99h0;ZhZ2_Q<eZt*+T5S=@KJJP#SC3;`QjA8gmo6mdizB5 z7Z{&Z-xTm8L`-V_$wLWCZ)|H<Rr<a$<O$=nuWRelW_?(<|7(n{0oV0k*J@^k_1PyM zowPms@|R_8Mb5!HdtT4HvU25>9_g9gD}6rC?%gr7@htD&Q@*kl7xtS8XTJ`ulI8z> zy=I1^#viuhi+wg0U5?kibLvS!i%4F&)g?dKos%;sAG>zrUc+vgHs{^p+}2fJGV9** za)19E@X%2%%jS%8vwLO6<3hQhzFCdDXKkyul|BfqXFELe$IAS(hRYUTbb5YUa)H5z zx3eNU{#luC`t{;+OT>>YWlqjZK1jMteYP<AF-KWCzTioMox7e`!IMNqcRR5{k%Yqk zZz@FGHh#Pyus`K`;7V1QOr57E9@zHf+*$wkiH-}OT)~q^itci9g-;$Ex--rTk)JHC z>?L-y;)Tg4;oW`b6#XqPRUDgmu(&U0&w~>Oz58PJJUZd5KD)Bn@XftVhSLPuA4?P( z&J*N+Z|o#(pv=gZlAqICee>M~9oaK+E|W_-1bYwnNXR4}ITq+9Ba?jOXrY_UoP;CC zJ>8h*oZ>r_5U`CycC(-HqJlQT-pxG{bCQo7t8<fyNjP$}zo%lyiH?5n9+n+*WLtU< z-c%|v+I6VoP^g>9I>V%bxq{N>5`_hm1;w{Zd@PtPC|@qYxk1yKgWY+P>kEU;a|BPd zNh~z!5Ij{cv2o)h!BZ6y3q#rkPZdfme9|U(Dr-}7fuTus%Q^Y`22Pwj%2Re4IB9Y# zPg!m7C_z$r%3K4dOitw~{RU2*9%TY@ZK>TAV%v-sZRi#3ecL1PCgI32KR1~-Nk@+6 zx!L?V(9v((!*XM`Y)k*cn@amyYkM^2oPU?F@0g35MrhKJV=8VkK50jein!U-oapFZ z*~2npnw^Wj3Zq-fZkdP~^Y&^4%sAL_%*Tx<%`oY)vYSlJnU3z8Jry$!boB4+VfnG7 z{_M)_zigSfnO)ZvtpBy2$@tEp75^43c;hD~m7J1j5GH1J?Bt<?6_Ek^w7L0<QyxBU z&|#7hNq0$}P{Fu&zuctOLy3_YG80=521eenP~&Dlp8D`ni;m5lDXsm%n>Y%h<_I<) ze8l=Ct=3J9EB)xK93H`)%?p*5r^G#xYwNyqoMR%p#LV{@a}L|CJ!_^sF~#Beuj?x1 zC%0$m*u0*-oa;R6s*g?!*z}D}e54LPk9c=}Pv7AsADx!fdPzy2UK&?1^<>YakWa_Y zEVT7v*DqR9pn2J424CE{ln_s=iq^vgM(fhnztwmgHT6qozLxoxeSNR5stGSDT)az) zbJ3frK{j{Z+@G4BZgKYTS|8PmlYOjL%YV97*V$=iGEsZa_4V1V#&hEKU0r&PqqTX{ z1q%i4=D(XZZ1fl7+ji>EQMt$qx7X>^yqV_O5POy1#wh;#A%#M>4CmXL*IcA;@kL(H zbQWW~osyWmUPoj31lFZun-=gmi%rQm(e#NIq)s?p<&52tS%*|y#HMtgXbKXFykViw z?G=#XSlOkc5j&AJRd>^ZC$4h@Z_PLwE}VNtX?btt6S=;wufHvnJ~6Z}?!OZit-0cY zTR^6s`PX${UIcF3aBmXt+y~q}$IMxeCCnB5w@o{LQLp-+hqo@-mq$A!Mt)~CnN|{i zAmQlz!|c|gA{wpLw-(x$#W(dy{a@B{>|lLxlhHr>w)o8a+_kTK<lX+SyrI?e>R49K zn`2TvKY}ZQuSL9?7MhuTJa($MmPk3vLg&J00X32BAwPOHu32$JRv|ZW?bW&A5tr9q zkGQ+mJR<e4|KIhebeGCa-9PPCl$F<0FKIpA2W!Oj_<r2~bzd;saO$*U%dTDjmlhf| zOFH^x$4AHXxobq!L^XT)i@i!iEw*}S`e+A9UABJxf<b7NSF*GB(#1~8d95P;i2VD} z71+A`p|DTVtxI=g4tjY<yQ|hneQt=q@;`h@lunE%bL;A>tD;KI7g$+)?bV5qZus?U z#-%M$KlW^1C#G@c^_s<NmvCvFoGhdp!!Iu}`7xLIu4TKd(jU&8Ajado`mq0U;}YH6 z83(7XiZiuXziZvBn~~plXRP$xI<0D(NkH@r(Xt=rksiT4{noGR?wc}l>YIK);9hyh zv4Us)EUT82d$L5sZ6{t|xM-F7lfsCvzvq_jU-`eX=XU1m%?9%XTMHwF?3^0~TQwDb zx;h=Y+jQ<U^QM+_ry`w1_a?YJO#QK9PRE{mSFWsHIp>b|mt_T;4`|$3buUVld;4XF zhef4-y-(I$I(zNLCpTj|@8^rXTQ8ef?fF_i_vl_jt$2$$dnV2;tzYrq_W0CmL5H)~ z?yznMzj9Gp`O<IoWvgy2^skiuxQq9>Y*1o*+tT$H^rXsOg#Pfc^_%?fPV(Qt#QL@+ z+>u?={|mc`JWJzTdrQVmB(40~U$e*)L7V<GTP%8P=^S#^p-;r>^2V=OKGXl#m7exk zd8m2HH_y{{A}bCpel^!IzqJ3d!$VJrE#@!fd~J2#XoWJj&dpq~(Tta8o4R9g^y1d! zy{j4zb(&nTn8Y^4`beYn*3GKx6nI3;mNy<-W@2z-21}sz?5c3)*51qo8#Q=&#M~TL zcip-v5Guf0vdf>j_jhJOfj;M=vSsR`E^p6xF!#>POfb;qI#qbJd@<J|hsS<W5_k0e zuKKtC@dBs!hqnufhuSHwR9aP2BPDU>b?>kF#~qiRy<qvyA?5bt&|QL|LabcZFFQPr zl9Gt=ZORCFzW8N7Q)_YNf<hx+o;EjLcgLk?7q#^t=RJP9Om&@M+_ux13kpqmdGypA zuY71*(zN2?*USYQEqHmtj`6lT-uZC##6HRXA2A`xA{ujinR|^h6AG+27q!W|KJH>_ zJ)Y^{e$>Q3Lef-*weaxo$8C=V=l5jyxbIQpHR)U4cyOaiht#~uAp)j*On6PEEp0s5 zx8q^S5%uc-oBpNeo0x>$%h5DX^uKY^eDd##)QEZ+jWu4(Rx?_^hs#`;+Z1>*G|+un z>)E4?hpw0`NDh{gsF5yH;nT|Pvf8A->EznK23fj0x5_VVJotFU%U5gv+7t#}E}pEl zXj%L6#$z)~3?yVtW$!<K+f}xH??m6Qzo{X~n_lT(a!4*RIg@f#UDff*ht(zv-kPk` z&HCY&#S`YvdwtEXRXbM5n%b!8NwIM)zU+|fA|+wdy*tI7_y2?t0aF`$UK6n;jR)WC zcxbS|bFtw2mwz|^`k(Xv`2YQ_|9^-Fcr&wzFfcH1Fo?%SMFk!=T^!87z|hCQz`)1A z$&gr@l9?Y+l%K4ZnU`D?8p6uJy#D>ZbncU9&Ytn^FZa~(>?uF3-}U{Bx1QHo-?Q4D zX9`cB)YtdcHM(MKZWN^9r+doh%*i!gIw#MZ-@M67$Lrh)9q&*BlW>Co1Lh=!Lu|c) z6%v;+CU7&r+`#~L$JtpT>@&F-7(kd8#T`W{iEw9VY}NmpFk7`Ygh8s~jg;4ef@8S` zXEv}&awJAL^cWrs5M<9?!M^&V56iY4olC=uyY=5rj+>UQ?j)%06qxKEeB?btrjpXa zsk+DCq|f`qz}dGmew}{0WcW6=g^be~CovYKJUnpY`)7vQ@a)r#kuHzcdVLbw_t_@i z^W@(5d(KA(71bQvS&(C9P<rHO>LX*#o$4*_>XUaK(cJm>-0FVMJ-^RQ-tl%tn)}n3 zl8lO+gdN+LJ^9WoC?j8*?v;P>?3{l;Gz*1x?J2##&Gf3q#hpu@DD?R(&(oVDaQn2T zWk#}rM@ddinCH8Xi+dlh_xi-|&su9<Qhx2^5{{W~PJFl#aJ%W#w%lbUFS71b-aYSC zB;t}_W@I2K-tTkwLPCZ^zwb%sip@K#s<|8ebx$6Czh|Or$k&YaO)D;$c%Jlnsefh~ zcPrBj*QtMVw{ve_Tcfqe_vW6DR|DibUcPDD<<oWBX4`7DWnaEaO|AKVV$;EcF=nF8 zJ9CU?a<iu8ao^QnvSW>=Ku7*I<*eJ$?zI7zoYLncZ0~*7A@oykpHhIhxU^T*wtqUQ z&I{aAWrNiBsHy(nvu&a8;YX`Kek*qpe;{?np;PoI@52w9niBFD{$CGc`O;7z%@=5Y z;i?()r@K8YHq2b?X?!2b9v(YroV6gqdQ*i^<LqYl?x}AzSdPr{ZVNVEvGVpkBSzur z_PrjK>wOl>y?7>axg+%T+C{vwNrF??r`7BD8AkqF^m*5_Bb&SXxR=)@q-d;n<?X(9 z#L+|GOzVo@hXbrxf_-@Q%rU&Yrqlm}HH&**@9)PNe;cdY=jk0~d8D7|>+5&t(`@eL zMI}a}{~X!8(pl7-XPtYe+dPjqUgd#&#sUv-whag0<-X=wx@yUFk3El!RpLIHZK;)L zzu$J>W6$AuwjaEmzw>4C<YzOQ8t|D)U)fOg*v6u5(f4iE?}WrPDko2S5*@Zk;6!PS z>PMmbm1;F=h3~BWqo#>)nd<zBpFOE~UdQ3J+NsXv!I68kZUzg!<@y)$sAtQn2ii@p zmkuRQeKJXW-{&VMl6LB|*lt@KbM^B%O-rtybw+VPCoQAH-=#@&?H9SXXi|I3-`20z zhHGo=Cwl!W^t{tl-hHF@|Ds9Hf6cuxb?VNXlpD)~izd9c>4^)vw(d~EJ^2%*d%`^J z4y6Yd@xQ)M(Q;#tYT>zZIoU{)W7Aew{N8l;{!$@x$45(#_||aBYoFXHANlQXp6tg^ zRm;xzdwTdy43dg3%}l&;#PP(NT`IheXZFdxT54*jbBgQ!g2$X&)m}~s4*T(S$KHqQ zihXUSMM|tqX>GRKtk)H2wRGA-21b>|VUGh$R(ovDek2j#do0serrEl7%cjbUoyiI> zU1xome2Htb+Pl9dM(JspQ+sAC4dhwk{CU$NSM@l@JqF!j(?es!M2)2?-tN-k+IJ*g zQfzhhqdWe}QEdx<tcbn7M@=tMF>2x^&I~bK?I3|OsdJKy9&D~i6`cKpX}|sT*A?^5 zMP^<-VrcgKir(s3GnR#3J)-)o<mu{)lPi5?geNvWOsT2Ro*mKZYtuB}O`~_(oO%7{ zO=g|Uexx39rE6yBqT3EJ=57^I8@(=dmiKQo%Px7baMRJRZ8<-$wkC?174q5fHJ#s5 z;hFtNJVvHsRp^Z~YH<h8`|*|kSUHF9-;9f^W(mvhy=GQ^SGD;5TInfkHr4%`6yj!8 zc+s6-e(|>h{>oxCNAxV~r8>8kNvb?Nm>xClsO3k2C*_4nhqo<W<y!c$EZ9f(PwB-o zm(>0p^j8jDTU;N*DxWU4RkG@FK(yc6o(BRCWHq8$o(b@Y=cY(Ab#Z-Qn|HZfxuJOh z!v@7W;YW5!e~7%IQ*t=_Tp7m){%Dq6%_8~b(_PrUPg|RDSh#H0yGu7G>|4k#<C5<B zE-jju-|u2a&TIjJ=pVObtMcys-n6s8$)U&GzrKLo%w%@X`tXNhv$@$zY(?)mrmW@Y z^E-Bk|AKY1%-p{=P7B-E;~RD>7$isMTHf2IVsh?RY~ys%m!{`rd$vz__JHlr6rB&V zc30jG`1(MIXNqT7hMS|@-sCyb$2xs3pPRkH$akt|`tGl+H)Fo1e>B^b$hEC&4eP<V z;o8BRbN9|a9XWBG^dFBK3C($%?*F~9&M5c7>Tk@;`S;Ae{Yh-2=@R>?FF!M?Unu|l z>}A$YnWkIMPKhYb{l*&`v)w;+liGx{^Va#uta}hXF{Fa`)NBU5jRIW;^WU=x=ms`C z-}H3DOa}J{+?Jww9~t(@-aUJ>#+vWm!<A30S0DB)pM5s2y;c50;HQe)^LcNcsg?Lu z_Tm4!s2>c54fFY(S$H09Ea23*+`-oG+T*8lInDLplES2ovwkoeHFmEMTxrN9>DuAq zqT)HJ<RVLp#IYGmK9~tP1UdFR5#UfX;0WSSJi?>cA~3PQ#YIKHM0W9#1OtT~Axs&6 z!>*XCpYr^`{w(9bbSI`;tq+Bp3uX9~dg9h<{^aDfWYIl$H;_Rs%DOI_>#<MH<&v7Y zC71i-8x-tc`-HAIw$?N2>q!gAJMZS%d}WI2^pY>T>><4=M03)P4S&iW%`(3B^LwD+ zVm|4R9V;5{FKRfd^<&%Cnd$Lt23uI2;-2idcXSfZq@12fZ)|Txx<oJCG-ac4hwa46 z`Lq4=)?U|VHNEA2_K)U!Gqs?Slc&>Hya{EUA$@teI_sQC)=kP8YgBG8pIx&3fycTH zC274!Za;4SU{>|<wdK5BD}+7xezZC<{P3H*vf;Vg(_N{5*tn|fTRN;|zIx<t6EP`l zXq0Nt>s+ZVCe0=pxuU0Gsa?&zsZ%}tStjr|YD6DUt@RXh5Gc7*$fCTG_1A)jacrA| zCn)4w#XXS!#xD8wN0q|WBF0UeC%lZewCsCuDM#aQch0K?_N>w@TVs^!ONy;nGLtVE zHcb@#xKgR1-rxfJ>{lgh&i5<S+}PHi+}7b(ruSmb+rS_H1*Tn0o5-3s<=CG7Bkw!5 zcCBGoKOKJTU$~v%=0M3Ovs`5LfBkFa<v8~E`V#FK!a}awCboS^K7U*Pd1kRx@`fu- z@0qGU&)D~J%C)5D*Q9SR%v`UfrD=719gkp~pk-!To7U=!zWKsitgJi_uq_Gv#47AM zQ)&ON<l`;*=Uv2hysDK5>tool!(H(7Y|{nGzwG`#x_&g)O4#1_!$(1p<DD~oG{5LZ zB|T!Yed?C2tHfm~;QC#4#h=ALw}c*7uh4xT$lBleTVdfzPPMDg9u&CjnPQvQa_q%H zlO+vbBqF5jzg=9Dzp7#R(`6kMKAR0LZ3tVk$Z1ZShxPN+Wk-B>JvS71viX8<=G9n( zx;GMq3{lH&{m=^GeJXEoLU8k(ey<f_^1mCVznNPYVcny3L|N))#|e`Q^4;<g`!t32 z|FBv!_oBd*X-<|g%b8u)WN$2xF-}OCS2puZ^Ygz8H2sB{1fCdfIS@Q6QugV&^je=w zi;T4AzJD}J#&vB+x%2X4A33cu@3C}SF<!i{5@PnGq+tb%Q`UluPdNBP7^k{TT+JVF zKIg+0m4zwXb3L~SSF~M|D+n&Fa4xylpEBp6?AexbXPs3I%MD`k1={ERQe~{rJ-ce( zb4G^9y&LWyjD61-nd^F`W4XXe595wi>u$U#NQhm>yeG|Hrdg%=qrO#{PTSW)d%OH3 zMPAK=U#<6F5OCTbGDkz>)EuVP)t+~^bHN(3kVds|#(VeMObiUR91ILX$c@?fqLf6v ztm6DUc#HP!lvw}U1|n_mziant`7&{uXL7cFTi0x;=4gJ4Pfamp=SNOci?R)Ko!WoA z-yFPPp|t$<%T<@JJ-5ui_gV0MEyMpzo{bld9SonEIoVi!OV*bM>$*Ze#>SrM-7&+e ze$OA~weS4ew>&PBuH(|Y^0qD9WA=sVLT!v23|zX?+V*gsUNb>plhBLLlEIoq7D6j8 zZfG~2xTw~3>Bfy;Os+*8;*{E5aN9!A_?YRLS&qAIHkrO(F?r45<v|{N(I3l}-+p@_ zvqEZi^Y=TqUgnln6^i$LJ9aQ6DExjhUvt)j?}bcn`L;yuRe7g+yYcR-&Fr_rdQYkp zvd)ya)LDLDiWg@o<J=aXmS*|MK|6)^Y_^zTEz|#sYq!<;nU5Tgge+blvvx<o6iv0~ zVG#;35oQxTEQ@s}|7u%gc#C1@wYFQAmd~!_U1jB&KVzlM1n<?NF2Qpb_oh@&e%!J& zaJRgy;{B^yx5O_=_U!q&=9lY*sWApmPYB0I)JlH+yYgRN$*nI}Z+bjwU9xt?%XWF) zUH)d1^QvcGkrw>8r9=7K3{T$lvkA{5H?K}|{_20{bHNI=mi)`P*ZPvbryKR$Ief&e z`028LZ^S>jx!%=(;Qk?kKXFUOjN|90MaMZNr>##o@X6~G+sgL9D{_&I_q#sKy3ruG zbfr(@jg<$vXK}k8zMRPIacqj7>{O-i+7pf#3N(jJKX(3@-%QTU7bBlp&JNyF+P2hD zWQ&v4)pO^CjTZ|15!YV&`s=wVWmm*zbonUQvm4DW^1Q!fod9>&^`-MCx;$O6U31On z_2;qzs}C*MZg6<jx~nfPtlw~=@N9U-b!LI}Ld%;k-g3?{HL0^KsrrzV@uw)G;?Dou zAH`Ry`}}%+?E5!?bd5v@yUBu<wcK*to$~R`{LEkN?yCM;_9{av@80*5*KU}XvZvZP zKEBR9C*J$m>Q1$8bETSh=2_x3Q$OZ>E&W)*zLx8{SiR|&`r5e0|BYX7u3UTmXZ!E_ zsCmMp@1yR2W(Ec`8wLi{4qkam8azAPjk=w8+kmI`{`H7SuDAZpzP7P(@0tT_Z+@5a z&Go*>y=#R}3TvcQ^s*Dqo+|xM{>0Y(|M~M%HkZAN$>}ElX_cE!2TtxOI<fkjl<JA5 z>WQM)**R}LzNzIsZ>zI++m-t7GgX`<*p@E|zW(rj?OOFaE;Yxl_^<R2Vs7@}m#Os9 zY&8jSS|BTUB<kAY$m1M6TLo_L9C3MEzJ0yG(O=x9Yx<*k{7R3_`!D-8JhJw)<}a<@ ze`_Lti_EAEvi&{rU;8W$p=<G{zP?fZCsM!a=J~0A)Bh;`4_kSNy+&xC<<UJ(Yr+?B zhE7P(VH4zGKDOvomW85(#Kh1aCoJW!uVCl8u6nV&J*#2clE0zfVt;0I*4Dd4H65Bg zSuCj_dFj$`i<R|tk3Kl=<1J88bXn+kdYP1smJ=sad|O1zfvL*Vls_bQK9$&0kz~oF zzbvBfK({tXs9RMc@2Gh91`Fe3?-M>e>QR-jiF|DSCOf`ce^2^4ffLKLf<#w&n7OW4 zu`NryCf)SutYz6PpLv2;w=&KTsB3%Uw2qheE~oI7W6UD!dm^>wtPsD!{AZTnG5?pE z_KWXl?NhsP-f4AHe%rmn>ufeYl=D$Z-eS3W?Qi2*liWY5Ut}-ItDPHpB;bsTh*FMZ z#)8809Neqd7V6*ldSQ<^BX7%zzoD1s&3Ghdsum>5t-emD=+?Jv;nu8Y&l&Gl%SwOm z=;4sO)e@#5bJ6mH+%vaJE2iybKEPw@)heEJV~cyE7jMSBT?dX>JbivwxqZ<?kLkT0 z+|k?iG~6wIy!p_cvp+nSm2J6tEYC(Y>z1)_tejR;MY7HApIKXyx;O0mc6^hW`2y$H zf~wPWpD^waXx-snJ7uQh^xoU0xxW>gKNP-R{rb!;pEng*32JU>IjOb1Ww$;v?EI~r zFRy;;h|&C?n}beVS>Bs`qWJT@T8sX*)k~ijht9SPteW1xr!(}xye)5)8y8hFY)QyU zzWr~;qtl*8lZ#e1Zdf2!?OO8qZef4En}*Gnw+n^LYIAIM&0t?Rr*g^9xr>XIu06H6 zXvK!B$Ij({n^e$J%5X~KcjA(deAi_r*#6x9wDbI)T_IcfZLIdM+|PDr>O774Y=@lO zXT<gG)b(H4?aj6EamTHTr)4+h9Nv0xrk&+!tNq*Svs-Syo||eGXPzH3okzx9>}m6v zXPL_u);`*tSz2@YQOm2V-QVvja_>le7X7y@&hCcKLErlqemr<m)qFAe*Ns@0ob2-( z!+t+-@;!QL^RLa@XKeU;m{nfnZLXjD>ZxLqn>MCg`+oDi@BQ3@cRY7_@1_1$czkl{ zichv7`vryW#-F=gch!Y&t&OV!gORFb@pNm2ZDB#iFVZJ`QqR+x_+0Aaf%|gvD{g(- z<hRiOu>$j=pYj3Tj7%cTh|#GSN!?^;CI*Hp><kR-47>~=z{tSB(9j5Cr6d>VRpjP` zhHyd#sXzl&$R>!zMnyUQJ(Mw<k%3_vGXsMFiV1~G42aRL_~Me%B)u}QQET7tO9x?e zqjs_O%4RY$FsQOHFbJa<<;;R<R7MKKVIY%07~M35&O-*f85kILFd$3=d8(oD87s1B z1&Kw8xo~fSOafta)5K%X-}=bTz`&-4Fbxt=x7m<QOHC}wsnn}TNyh0@(4b)G{nNLy zBp4W$u`@F8qWF}JAIUVhIqTl<O9x?OSAopQOWiQzumc0b+pi1^{7`cseq5rCY))}T zVnHg{MIci^7~Q0vH_N}OGcquoVnX-d+zcd>;*;_di&F5o3o_Wabk~}l4Xg|dAPn;# z#9jX~kxbJoNJ+x$s*u|oc3X-uGAM{KGVq}IZ&N<9ITd+0jDa}kmG^`1mJAFGAdDJJ za&3%=G^&@9R)Nz&5R=$9ZhE~yo`C^`Q9ag+Vp4HQA`TZp8n9<eCvjZe%)rpK1yor> z@*O0A5|<&nC>2z7b22c4q6~!5qfH`op|T(YDDpuV*_^B>CM2IF=VTM`+4UeUH{R0> z3}B2B)EiMuDk5M`T+FqwDaH&8Ak2qi&L>r7Bo|dALGuX6TOf?^8YHL{k~Y>du`n=z zFlwajjzl&nFE1yr7;j)F?!Cs)<i^O5m(PZlOFqXUo0O7TM!=*%l)-#Ra6?Lg)k|2A zJO>%t$69JaJcl|O4>1T5|Hey^4FZqNV>Jhoj!-*75OW|7V%UIW4pO%Wk71}SNMyrA zHX|9P2X0TIn8V5ja=aLW7(*x<1B3f^76v^AR}=F}FMZcQuM9V*@QA|HG=HxkLtg`< a;>c|M5Q{MP6myr1^6(4;-^fanY+C@u_{*jM literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_placed.dcp b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..bc572420254144c242c1104e9e24b7b261b2025c GIT binary patch literal 486810 zcmWIWW@Zs#U|`^2P>79+DyXpdAHu}Ipvb|%z|O$Qkdj=WSCN|&8p6uJy!ZXS^u1G0 z=goEyX}kV4xF=$3w}6kVkh`B!LV?d|-v<52UN(vACNmtFcYM1&{YXS6SMRaedN<~L z{y+a*YTpc-H`^s|2!<^)*)QC-NX6z3zuK?1Bj;ItKXd8$Sw@OA%zZZHF6X2I`yVD3 zpDkmZXuRF@FgxG9N!Aa&c7+{FcHL2P(*OCi);QN(vk0cOew$gg7&#PgP~qJ0k@19) z#JxLpp?OOUHzabTc*@Bd&U=4or`)z4*IkD>S$9w0W5F_i>P6QnVewI#X`!ocAHN<k zZON*hx}H2Y@x$9xnpV%6*f1w0pK(e04xP(CG)j6xe`Hu>B>rg3+M4uo26yH~wt~4! zt|V_UJ-Fpapxc#Qi<Ygv`nuG3*Y~jP>+Wpz``q=$Uv!J4^zojk6!%SL_rh$N<Jwl6 zrr!SkD|42hfLzz~i4yxVclK*=JfHQUJaxsIR~~mvS1<OQv9D>p`=zOidZt|V`KNGp z!B@q!$tg7|{$E3@Q=?-88YS;_on8I=yu$qtI$u|Id+t5Eu%z27Wyy&Tjr`l1tc*hL zzj`QmW>fPH|D?R2_QGk>nd@F1=3{mh+1xT;<V?u<7Xe3#nz;|TM_9)GwtN0r>cX6| zyDE{NZ-z&`7g4_VZ12rr22+;a)|JvH0&^GdWV-%-rn^&F<w<s4r>(E`wa+}y^Uk`r z=3cPdM3?l$nsT{s2{&d+Si~x>`1W<`n(yhGN={$h|G!x*{e1c*ndF-_3sc!1w*Nl; zxu?8-+2Y@^4zrH;oPU3K-nocFJOAf5zFuI*k|pry{G_6|YeL^-uC3?i%P#u)?el(l zo;qgK6zKf-P{wRV28L<O3=9GcoD7MjDVg~JMfu6`#U-UldS&pWSUc%#-faVcmiJX% zmfIS#tp9m;&k6c{VS!(wglFIvp*1H<eOlC5CvAGXUEfn$u=J&)a^32=iaRe9bhPH4 z^V|E5Id5O>+<M9WW66I4qh@}W;&<aISZ`{!@?i4ed3Ps1T_82>*b~oVn(v-Y>`CZ! zSDkZoQoq-R|M3A{RkyVH?Mxo_G*4ZdHYeo9;*EL^n=U-j(8%5p{BiPvYn$8cXKzTC z?>!hbSLD>28;u*Ts@&VK_5F>=_V!Y{w^7EGZq9ZFi#Cg|@!29=w>kLMzC*XKaqi0z z7xp;y=7f)2N|2|j`pfLk3wF($6SeZqt;mlre_vi7+Ph-*n$v5#*PJ&MTRq==@x{me z`%UNYFKe@3njW;+sm5RU%%n4u?_9f}qaL|DGClHn<l}Jt5)n^Rk3BLib*H%}JyI1r zyi{l9lH9zm*+;jQ3EJIv4Oo`xZ1rfB`)oPg>MbuW7Q4%bzwvsxO7>^)&UsS|bQ8l4 z?P<BqvW>U%Qp2wq&Q@m(WuGnJE1qIx_LM8OVacM8R(Id=Je~5pR{rt3>6h>Po@(=V zcUSM8Kh9U}QDbx$Yp-l3BLjmf3j>2NmKe=QL6k4Glj8jk8HgOc|6TLlVzs=)jume> z*#jR1G|iBRpSEu8g@EWwWheISecSWLGwR;0&(`1P{4RJbQM5BtBzhgslJDhzcieti z_OQ87tt{L?(4tZCxj{J_Z}F;?w}K|QeEhgzc44!v#3Wsz8A3CR)~NKnn3lNeJZoW? z<`StHJ;xvGtTN4wKY2STL~MQk<UKx@>+~hXT*X{NB+{fDOcRTisjw|mZkQRurgvZ; zgPfQ?D^COG4fd4(335z}1x`3WxXb<Nk>%TxW&ZQyR&5cCTTsvRsnp>;>zCOL_xN9I zkDD9(s4F$s=1A!8PVMi);qTS+82K;G*gpHGfTLnd!Oz~Gx8ySwm3C}-{7nBqvcijm z5AGq)KkfV!z^#A#uxk72t@TSjbV+vkuGPp04p-RkcV0?zaYU$ew{&2b%)`>ilXK6m zU-Cqx^UaA($DguIEINE=ZYKB9Pd7@XQn+tFVw|IM*hC`qvd*d(lQ_3mi2Y?kjUt84 zLk7DU7#Maiphr<bVo_o)N)^z3VkL+1mV(kChGvmsj=32IAH#1i&HZ1lwYMz%MscEd zN!_0McZ+8y-CTd~d)ylH6M3(*s;2Ewid&(4ET_%p_w-8HKFvGVCZ1Z;-m)!z{`!vv zYdy06&ojTh{g1AY-TvJE%QB6vv!B|@Y`+_P=~z`}ywCEVHG-xO+0W14{AT-gt{j0g zKf}#a5B3F5UN`H-vK*gX+xMJG+|2X)U&C8|3GvFdX)mgEYl8My#Z`u0%lz##`^?_8 zHNt-D|EywTK=pL*#TD=3Ks6sD1A`<=y37p7s4Vs`DA6lQEyzi%gcmuFxgKxgIIZLD zb241R*vP=>qH&1Fs*n{PT4&FA2A(({%;E1F_`!Egh=Ea%!Ilk1W}A&RgnTIF3}jd- zI*H-ruBB?45;HX=q@rd@M9h?GO7Rhu*s&sKonh}8)8<*+Xs#2FJ%8&XI|Boo8hVtZ zCKlyX>Q$s9!`-zvDm(x2Hle@!_9tz4+s@K4-}6@7_sL49dkbW5Pk3sU87Q&E<@TMc zuP03rzVG_~_uPelJj%M?9u;|^6ya@j(sJIzIhM}1J$A1tiS*3<ZqWbdsfG;u=>nr! zhnA<THL`q>b2?_0-?jaIPrX#;?z>(&VP(A7+=-{wOp}e?dhOjR)!eySfj6#YZn)^_ z9y(X$_l}yd|FLD~!{1IfVSKBz<@VfX@AchQmt1HLS{}sDq%URP=qXUKE2MQJv(&BE z7gS$N_Yzl{-khJlIYYv&e(x9g579x{y4<^cmIls#^u7FebyT28XUpcs@@HEw7o^H; z(R(=gZg`Oa_rcl~{`C&axGXciI_wKsx~=(SiBN-}wIzr8W>=o5t`Y&(dmsGQ?B6Kh zzx?r2gN{S<n;%}1|6gtSQLf4I3)@}Bd##h@_V&D6);&Y7>h8P4f7d_h|GH|;+fQE{ z*_*c;7wp+>>mTvx$Gvd%9oM%D|B9b`;eXMgui@%3^_CBx*)Z1KUXU&{GnMU=&B^IX zcXtZZKHGG@$>{rX<1cp~U&wE|oSjt6<tselzp>eUlk6S5g|{4KKG)8x>|2>VPjiQd z-a-A<d&6e0ZLQn>n|aHJrRBW+T;g>*n>KjddXOr)Ly_&eanSpeTh9*cd3f;2j9pJ> zHdU<diRPHJX#>-Dx!5(KU5BTfa1hZH5LvrKw2HsQ<@upin;sna)5p0vW`D21L8k{9 zcTXKTCGv`?Qbg?S&gy>Vx7BJUp%I;beB>PKRhQp&74Y8e8e*b!S|Gibxo?GqKz)MK znIoGMb05@y<SFv`y2~cofam$Y8{G0u9jVj2XXzCNrB3o$F{deKfrjC$9XU$e%CUbg z2buMoOO>+NCAIE7o^nmO{iaZXJX6}*D>E+hZM&Kk8vN)1+tl-?@@@<4QQ71)p{;eI z_enk1d7@T4y6+~G8*Jn*dF+>>WosEM8T{D#hv&CcUhR3(GfQfc<hov*oUX66zBuN> zqX-9yotvJVyDH}rs+hC!lnS@%^pl1s*$Xc2Zuwz1gZ;yUqZ%9ce3aSK@ve=r!oK?9 zjos4hAMVX|wy5X7mvZ9JiKQRo5B-qa^HIX>UXslD^&AW~ZI25+aeQ|axnn!`Qsuj2 ze;>I{H19l|aPH8_rzK5K{nZZ}@GTc^k+eCtD4|dM;o%$^w!_*p+zk5nRtWkpR<E)7 zc)UB&;%8^;B=6Vd7D-0Ei86LAg$6E(e^135$TAi!s_)-^Pj;7u+ng0QqjfsYI5qT1 zy1tn9Kyk*AbD4_`<}FZ9Y`K2#31?cINc+E}H?9WJE}LK4{FoSh;P)M_qKo@&o><IS z>+sgSXYZsPTB?RIHu+B%_E}F{;+nKE-&yOzw=UKx(ZwMXQ*KNWlh$~m7A)P_dq>nU z^9uj8>CKN0{Pz;cemaY_KRWM3{0q0;`&mRf`TWA9oEd^AcK51Cy8GK}U2>BOFugkK z=%m`bofnOSk6hm7Vt4)21Y_y<Q;#!<uecI$hB4Ij(~^gZPr_yg&)iof`hKx}@KV9K z-IjM3$<JojX}RN-tTj`?q5ZrmS4nTjg>tul%cuE1|6uk-r{RuKaba0Zb5gIynjK1= z8^gqGcWa*h5}C@I_etg9gJ;~^Pt{D5Sr)fGuxIIt0|EaN9!I8sn_;-<<|OUdYZ@;j zG<JrziSRCKymW(u%lD*i`6h;~ZU;_&n{sp4PmA+5KW{u2lc>3#@ObN~Z&Q?KtiDue zcF5A;SJ(oL$s7?&tV3pMR*FoX#r$bjbb{8Go~#ug6Xc#hn{;(jtZ_H{X|e4>KLoYZ z?@KqEJMVo`?dP^pprG=fK&{F2Yn<O4oV>%Y%@*9kJ8R#b1#Qf(w$pxZ4UqJfjV)ez zucI`0f9JjItl}=U+q3x&E#2eh7JBO{!y)(J2#K93{cd+U`zK53J)StvXzMiH;P5R9 z57k3BRHs_Mx!!R(LSSb}{<mYNWFqgLX9)_BXq#|aM0SR(V60=H`b?1*nG*x#v}%qW zI`Q;ZxZ+ahql-BTV<w!^(*ADgS5UJ4i&xH>jYb;-igxY`?9bnDYwd=Jo3D9h7tZmX z5v=*<TUQxdVE!?u6Hlu{YgT-{XL&q9_R_l>3pV!jr)&(~rE_AcNX@oSJ02SSW!2m? z*DE_m`P;h#3YvE>&cAh%CvpFG)4Y8x&$mdceQo}(wcx$}?FZM7O)JT0eHrw8Qd5uU z$|JivyM8Ed|G(>DSa<OKf)Hz$*5$(YjoX#2|LJ}&%s!d@U66g9oyRK%?jD1*!#{T% zpSyX}-s8GTQF*W4Ojt8pD0or9uJEU)<mM%bTK@R(CTrTa9f@Ls({%GpJKi6*d@##D z@W<u7)(V_|56pcr$G_`gqGA6-y#|ery#+!%2a``umd>+Wkj!tjurT4Jb=HTZ@&}^I zdo1-Wd@iNme00(L_!ZshU56K~+E|pD`e~xqRIT94Z8L6Fdc0O!xKw%H+!I+(96awo zP6$-fJ(eSox07Y<xd_20!I92NT<*>9{v70Fo$77%=NkLY%WVa`y%tk+4()t;sWj+W zNP_CsZHFRs1Kg$AC0t8<b;X2CEw4=z&0^E3Iq=8r=d_bI*6#n=-#Yd5{kq~uE{1vA z7<HM>N9RP&K0CYPROocByQ_Fk$z2j|?|qe4^Yd|^SRVIDE43X@18bV{qju&nY@b!q z9Jl@MTe-T&4&G80f5n=<{%(to^HlIY=YN|2i)^pmpBINzrMH~=x~YWq?jOqw_ZQwi z<}DT%SDG;M(M0>xSL~1fz5j8?|GWPgP#ZF#_fOx-l3-w1#?HvVi`<YwZ6wUi&VRgP zQrrIb>jfVC-N60E$LD0(Bs+@;jj(sqzZK@GTT5`{Mc@7Cla#Hq+`9SLpYN)wN0l^J z9o>HH%mTx^9g>^nL?iPjF7k@p)OY!+k#E>*`@OF}8rWIwwdnC*-)C2KfBF39{wLe# z9)DUq>8;JrgE~J=X1@FJ@LZ~qw4S)N`{(6fwQfc(x34|-|7-B3x|wIyyTAI+K6W!} zmvOA#rmtB>@oP5EUH#<i`8RoX-qSulRf$$#JwHA@IDcySm(S;|kIL_kpLO2OAph&E zbz+mBKRI0A=l1wTNzUKb^_Ba5;=@*_Z0t+-H2c5l$5(r!pFtn=JD+!-_kRET*Ljnl zAKquYc<N^KK69Tyz3TdxMO*(BRGIGD`*G9B>r4JT*P408u805di3`rlFMrIFdmi~O zRPKNEqgqd~lUbjhJoT7AT|58pjEbE{<MK9{`|bPprgcK0-Q68azfUSWeeGV&!QRKR z|EBp|mtSy?x#+!prGEFzPd{h=x7pA0=(SP)t|z*iOLopUD;~e6H1FXV@8{h-MytP@ zpLt~eC|PIw$`^C${h$36-|u!Z<=2e*|Nko{pRdU%tS_2;<oN%$zRT`>F<*T2{krKZ zzfY<0ooDm$>7AF4qKykz9$o(QXxoBcDjF-g8-MGSdB&Dl9bW$QOZj`N{nG;4tC#Ri zm8+X|c6s*u-x@OW+K;z?eDUR!^!GiN`>&fn3>E29e*Y-1;^#*zjvwN=$6CzySft;5 z_w?ld#EWV3Q}nN}zTW37e`Q5wp+w^3xq{9Kv%B+W96VkZ|M6pa-dp{<@&6CSoasp1 zeD~45xkq`n&5#JZtt)pU^37qf%ZE~DAKWg+X0N)L!DO0P#qFNGn<e<~+>p!A(QVl- zS)E^=`g_5~yhH!@-kmDjaO_f2{p^kWHuoYVc6Up@-rwBu!$k1lFNIU?$E_<O*H2GK zdv)2Ves(v%h3na)QFcWI#ilH=KHa}^kGYi{b6c=6kLllqb2qpzM3^($8!go-O+F45 zo2c1#t#>bLZ>jKyeqQluD-}zouKlW?wRx)8`-OH*<s6q8b$!aMuHS$5`ekxc>xBlf z4YzG-G7K+n&Y#iD9JR%K+1YtU<vWb3x-#$YEVNnw{ok*z@^R0<B)|EdJTD;DU;h8h z|1!q2#lGJ@YiBn5ZFpMzkG+94!XDdxFL;}m^58~RNkeA+12<N`ine=s+B3eg=eayM zGv({`9M;MI4)n6knf>X-thEW}<S&>kID5@|wIRc@KYPnBOp^2U-e8x(b?Ifz9*dqm z8S|F<PqyDE7t49jw%>c+$;(wUVn2z-*~|`WEc>ptFR}2*E<=AQ*EPD)2{G%YJPOlj zHNCENQfq@8`|D$Y+t)X&J)pDL{qn@JP1PMbv+e%s{mhy2d(H0k3p&jtq<zb8-IQB! zamLwa-Ceo+CHnvGdX{Vy@Uz0Yr+-=K+ZyHl+#hQHnndRRxRR-6cW6S!q-%X8>^tAQ z&Izm3(NL56e&gZOf{Ma*Lg&&X6nxni+WmUJAij21U8$A&#iuLT`M%f%o``aHmH777 z?dan-m2&Ij9%m|fa=(67sHM@?e1)aCc-c%_|Mu>6NBgB7&a3NR>T&dsO-O8C`(dfY z8J&T<f-YEdrD_E~^UGP}W9Sv0B_(HY-yq;`o$%9StBb`7^RAsP*~5D5nUC*lxj!FO zo^&=mv7h$){jCS~_xO}cmM(wDD3P{l<`dg%XYVdQeAeOn&Y)|B47>9G&hiLUli0g* zHJiq)#1@mxTy-AjMvj&lNA+VfS1&*H!^G(#kCM!Vmc4U7EPQuZUU->S;>7jWIbDr= zCd>%iBHZ<bUoqyy?w+SftDo5y94>sI)xXpIWzbZ;`OT*H7nZCOnrS^HZ(-inS+(qW zJNRN$4Yq5<uDUd{w*AsYA@Mc*QA~|r9jAOqiriFlFl;q*_$;Y5CG|~LuWa3?m$>uM zm7qn7WZhnP1?L}qH{t6F@oCxJlW#4l%Vz%gc3039X|DNubXNMl-fku_U)%O6_oM>` zPSRp3*&FW|<gGl>J!z5XvFBca`L87}_NPDaik!;h^G?{;z$(3~>+(tMNsjOK?f&>M zP*yNz+M8XIuCkXft@5nCFB1QtrFOd7>bE7|HRjIR@ZI;Yu-~=v%%*#O$D6s`maDx! zTIPPXJi%(Ww~y+rmrfJ>e6MxSRtEX>T+sC9TW-JmUtUS6J^#kqVD8=0soPrEm5P{3 zvNr8Y|0e6P-Q7ECgIz`^^ELLsJ=RaxX67HM7k_<m>Vi)lSGP)uZw}c}^XZe8`sSkx z^n(>wvL1Wp<ypBWsbpK$_t)<~?Ywl!;Li5hm#01rS`_E=Qc8N-viFw3mjvXd3q@~T z|GoTYOy*0`&37X8b*76eK6;WM*kL|D(Z_`K=&uDYC9WK|^Yyiw`ytgVzUuH(o;$%e zmV0(*>S$=^9ln_QR^>*Dlz&=q;p-IJgDU0o)k4>rZI|bLZdU*3b=`%SS?9LStiE5@ zF>$u_><fB^zWZ<G?AyBQh`;t9smothT#Z|u{ikQP_hgAR{82NG|60&`*Dw9co;j8M zdptLNI=A5w^Y1MNIaBm=*Iuc+^Gk<idf6K9o)>DxU$@Sk$2sfjH=Qp_*PeMa!{<m< ze$(&UEU}+9==qwgnel~ZWvAwZ8Atw2h?jZ%yP{f{f4%)Nb|JY6r+KBBzml4df7AI` zB{c7b)5pgXSPP%z`z03XeK4_oeW_)|+}8)cRlJP6VXn4CTIEB5#p_EYt7J8K)}~)~ zh_`k-GkwCh0F_x&A{B4?>g9b|u+3k{P|fk};sBF_n;SRH3Hte9lKRyZGeY)SaG5<% zsZ?frw|mn&>lZq&FSUrhX<4ym)hzjhxk9g-B;4C<4Bmb7S?nY!BHk`|yO-agKDfJQ zi`1U{%nycHAH<&eL^f=(f2+(RcjUq1{W-IjdQC|v+^%gF&Es~x>8ScV6OV&V9-$w$ zh5os%UNe10?&cYttM(@CKQn3Na+}W;OO13N*mOp`TQc{hT*51DA5;5-KI>XuF-lZ# z`t|G4w>_`aP2MYu`EN|PwK;v#(d**ReM0RI`n*@{IC4uPLjA}rwOOzD4*G4^NQ$yE z+aMzp{8Y_Cc;)8(l0w0M#0(dUg=VH!33yEN`E)i>%_w~)=RU(T9ZREc%{;_xSpIg# z6yd%{4C$t&t@8skRl+JZCUCu-nNs=v=tkd}oYTvb6latzlTLVZ@>5)%)QLK$r4u6b zm(9)OF*x}tZr#lkkVBUk*lg&p?%LD+-1ExM7B9t(zj}BlpNM=jL!?r?y606*)69F$ zmG>9>@G4(l`Lq1Q{#Po~%Qv`(TU8v=;dk2aw}rXps^DdVq;SJ{i;jsC&7DOJ3zl}I zGt8}OSYMUZb-7o?D&{?N_^k>ZKaS{2E7^Z^9lo1*CG>BbmdZvqKkJ*E4$I{3Y4?>D z8(mE|n5jM2CT-fRAS;)y153|;3z@$#%X7{CWaqTXpd}?8E@8iN{J%>2Jo7U4XE1s= zp=*uB6B)PPtIh{JRk`*pQ{9^RM2oY^rc3D{p-tacupGI!SVTbiI@2!R<K_wB>z)|# z+}wAypkn5lYmW?fJoOHp@uaEKF!0VMS@-XcUzM%BBE?d=bWZsrw#lY1ewj|=K4Y*^ z`K7pj{mGU}V}S{33lh{#beXLcPkB8r_&Z~b7^js{%+X)$#m6G*-)KeJ7ja+zxI8Pt z!~gA+<Cf;V4p!kG=lOqVG`+hy=IQDa5~^#`HyjdI7CW2SUS+U6#5dsc(s{aCA)i${ zT!p8Xt*K5?S$4kDNT_t(pJIU?jf}Mk+qaebwme+zGcT#`e=d8{XGxByURLkVXp3#$ z9=1MsrG&I_w(ow83CcTtOqd?VoP4_1;xXTWwNF18x)zFx=JeVwI~g<W??(QP)z@ck zat~M&En;+$!DaEv&8$%xld2ik#%*wNb~d+@nf9CU;*+asftfDO&fnSRi2i<<vCKH@ zY{sWWK5v$02m6{ZT$E9hx6R(NRY<A3bPJ1`-LVaGrheP$-1In#zi-#^PizV^SMxk^ zoZ_-sJ-B&sjI#5?!_G@~ud>rvu<5c!==;kI7ac4MrcS-nozTQ^sxe6K&T_^@4~rgX z8CN<mPE}3_O10({;GOk8@Lu~~_gZ;3y${7Ekrj)7dv7ogx2np%cXJJY&-ux&bLy(6 zxwY=u8{E^iiMPY;a^M=_q)OQh!ix@+EV_91rC*7y73=R}(f9H>+yDO9UL$z4;mKO2 z%Bf*%9L?7{*o0sFcWv9MlDr)k5{osayhyt{m*?!3IiYhTXO_E2{S?`%*Y~?^jkNne zhtw47^QUw8oGvl#<6UA{VCuAreJ9t6y!p;~a&I-Hep#RY%p<$f<X~p|p44f}1H4W+ zNUc1|xM#x8IfeeIJY_~}EbNQJn$viMr1}cmW9`CbnuR^I&N=t$LeH7o>v~(-obM)v z+ca-kE!d==nRqViVvpj^8JXz^ybjsg`Iw(i&67%MIZ|cvwk15lC6vEr`r;}6F3Wsf ze$2E_GM!U)$T~w#@9CkFK5rKN{`le7mR(bSUgKI)JoQ4)k-t&dyRP~y($0I}9&5Vq zgPOvu)hW-oitc!6R=(}dQJWy|eAk5O<(;KQ9I<Bqzb$(3)L7qs&auDZKWDoKufC?R ze05G559<t_x!S@3Q_O?})8-q0J1xE1XhjBJ)2>q)atmgz2+K%Unj|$%te~;%*&3b; z5{G_oo5bu}=(lC{DVuzzMHfFwY!HspYAkhH$6it-Rr%=qfp;;UulJVnoUYmEGi|D= z{0GIyucs`CS}t<d!7BVxRqDQl*~^5FRy;Yg;>_QQp0wGI`10R6*v;D4H1|RZ-<y^d zhI8u=N_!j$vU%J5=$IMLzk^#f=cQepq2ZSz!@GWU%%&XXMLWAbDBu2G{xt3UzkvL2 zQ%<IsKigllis6~Aqg&L9{B)VcJztGKPmI_!FYaZ>3)%U(Vm6oVWb9GA)DvOfAzNzx zGvzABq0e(gJ){;_oHA})%5I*|x<;z8!o-u+dZFg*mqJ>r?#8Xtoo%;Jyk%KX?zVf8 zH>{XfKlpj%lT(6v$j04$dtRorik|v1H}uiY?N@i_i1}X?@!wY&b7tEn-i~Kp@AGoZ zzqj1HG+XpkyS4Mad7XcJRZdDbrmPEjcki3o1cP6ZPQiXAAu;DQW@zW`W_DX#CgJ*K z#cKC&r_Cg8nQ=?6TzoO?deP?B>R%VVOY7g6!`O6D<Sg5nI)hc_o4YQ52`u-&`9pJd zV~L4o?(*HNO&w+5maOO%G@9r4YxdfSn<PElh3}W`vOZA0x}|<@i~9V3w>CWOb=dJe zAUo-z@&@5q9?f$vJP~@+vLa^g?x&ZlKB;~@bTeOH(n=s^rI$q4+<CV&*3TCay8orr z==a)(mLET@nQ(=RdC4`NEOr|K=~9jbYxIvU@@#khdNeY3k#*Xhg8}WrX~%nazhjNN z$>y@Sllumz?y=^%7m{+93%fpMc+(|T*!$Q~j&J(DSKn^th#nFC_y5lZ;S6QngzdL) z<Zqhz(CpHq+TFdcw4yg%Jf5p^%5`<`nv-sYF&tY8yI(2Yt}x8lw2}R`hfeP0tj8-p zT74^&-Y)SxReHVD@y86?CyH&jotx8RyL(6PmWumXk5eC4+&K&~WOnc4V;^_)-+tkd zt~V>$LeJ#3j@e?K6KTqYOK+y#l{k7LZt=t&S8_J9^av?QFE=~9HEs6Q7!hUZ=VphG zwnfZXdi4Z%u)=>6#U<%SR_U!3n>SledAq=TqbrjPa>e3iEIXtA@f80JCwHUbbyb$; z1y&~?v1ZLz?z9Z9jk)o?x+&_pM~vI5dhgdCx}ST5xvg5WXXf-p&n$(eBnP+5v<+73 zQ8u+SQnk3_<kV8AqIz6y?`i*{1!8%dUv=j^?9^m?#%`FvE&K9Br{?cd=YtN2SzVfF z{MbU|xMBi#>`Ra4)(X4LXK!hAP4B(<jQ`N3%GsuVBHYr?jP@1WOIs<FDr0s=q~_+H zvt7!YTHAT!j)|YWts|opU2)+-YR$<#XMf3ey)un)KGak>d#g=~@Zz>^j|jc|%^K}F zk2aiii3!^l8Rl$en8R_aW$C8_6W7U@#k$5su=TY(UGP%t(J3b#zl&LqX8zPlt6W%c z!^8bi(Y=%<E57qezx0S#+PwMbRFxJvccJ1NDN9xy012j^<IR5lG>13JU1#;hth+N0 z?h;LmZ;6_CIAwm3_1_2?=is|BQJQ`4j%<DIe%Ry6QI0OI3!%;vj!hJZUBPT-{yw@X zK4%t3<J=1=EN@ygSmrMFeiIwAZ}TZZ^=;`6_V)fqFQ?r7adZBu$6=OFqI#mYYI5vy zbq#Ph@3A2BFlP>P23J#FV5rCiMUGQha#Q&~@uXbX1X7ZE$w8ODTkG0sxvAa)k`9jj zR;#rN`zpR<UV3}%a$0+sa`|PUBPC0xKJT!<-;-aIE-U=?nV4$`ugf;~%ifP?zVrR5 zv7sq)3yWc9QciPb<&8+QxNpm199Q>sR!yG9w<S3)p*c+F>6BR$o=(2~=)^9b$Sus0 zoi{zSAFH02@v7(N9v;_H%~r#FcB5UVn%8ZR(EhX7rY>NQm%*3crS^-?%Uft$6}J8k zOx5{ovEsL2TFKPEF-eK;Kin%-Q+`Tx%3t}*5ytho^QitU$@LD$Leh2q-dO!xFm=hb zdl{*F4uk~#aIfS|3sjx2zkGH0%agi}Ug4VORXt|DnzGZ4>(tro#ZRUwEM496{L(fb zho_1=zeo#<=68KpXuUXh#rFljh1dLlCfwbyWpZ5Ol9hh5&Y$`7QvB|0gT=dhj&GXa z{Z#(z(dFT;4=?=PC4W$>+-@t27t~XW=UjY$?JtM%u2U6yxvzc0{@t7`*}Z7i<LtQ* ze^%(tO#OKMl)YB+yW<~CSA)IvQKtDO!}s(1j%WzYi*Z_2KK&H0qer;rc}|X*SrhWD zBKIB($>VTjJJ+>wPV=jc0^fgWdj#I?pK2s|W$wuX>>A8Tp<>d0Ca(i6i_@pgDXo7r zXWgfH+y=jNjvVCB{M9kHbm5d=RodWCuFyMkzT3=bnN{+_DT^{TzH^^(G4dEQ+x%7w zTcy;}qK!OvCq7{FaL{eJ%*UD6u*525-YzMH&ut1zR?m5UX4{dZrwU6SObyWpo04&D zF6X-@mUCSn=QPh*d2Z%;ugg{}=_OOY&v}rg`M#-x*LtGR54NQ&<&I40qE+V{j)f%a zT$a1PV3Nk-%|W)$KUlSBE`8s<>81Pk_O`i<Z0{Ob&b|5;(=cVF-^}wT7WbE)+N0|6 zQtPoLTltKg?N9#pe+q5dC46vg|A9!x`N=kGv?>-`#oc2$Qats!Ov1g#mbdxe`GkGH zY_99*6F$=~ZXfH>oL$C|$B(@8VLM{v_I8G|K(YV#Wi=bz59&lU%~%&Sx1;H1$(rST zomH1Zw+T1BwB(=tiOr+3K8R80RzuA?qqzdzwn}-cYJPrletP<LLe04@H`#;P9`Twx zBJNDT^7r}TYT0wI++-Uc8(;m|^Y8G_m{c>t6US>8i|n5EouNd1k+kfQN1<;gv@4{n za`vib*rlH65gzHs^3JY^UDI@L_gA;GoiF5$n`xJ=^kexMG*>@9^U5Fg&pS_LJ5A{S zy)2P``7`H|{N&kJ4_y%vP4Ou`mi6E5yYiVAT8k|i!jIgs|N3|KEbfcBy}4pqmp^Pc z`#<FH>CKmBeGk}^d(GnV5+<+Rt=Uul_9QJ`U(J8_#ErB{6WzH7+0s`%6xFW1TWv6J zb<Q(^Guw7%OZh#y64UW6jb+`WnaUFkuS$MSS$1y5c4o=gr$&nVR!um+a?0}lY&(|o zD_Cx?;adJu;n?(7HP1p>&pg+fZpm<7vhe<i=fPc@rA@y#x(7GqPFocGp-mxf)x(*l z0>_G{9^`TCe7<htcby|ni*!oYRtwzSb|a0`hF5U!-t|h)tRmdc%uejMt+QbHu>gU> zkKPO3#E7W(mWs2zICs?S^!v=37b;hN^lTP*UOfHufq%jGz9*h>VJ_L7G<)iQ6Pp{g zU$4)+vWNY#<>`b2F0*&L-CMij+@7m89eEKsn}026>p1DMO7Yp}^?ftUnFV8?8oK|G z37My3bl$OKb<%860aKd_KCOypmK=}4?Y8ilE-Gn_Wjpt+n{;0I<BHPKwO!jqMDsPY z^lmH@WZQJssgvu(PKnoV74r3Oe~a`CzVmJQr5&gE{Eletoa<J0`aW~vR5qTYK^tY4 zl$<tWlkoj`&@blYyBlHUmqU;3kJ#Ck&pvtgUJIfA9eeh+?aAQ~x|AaRrbVqLLEYu& z%Rl*F<!>na3R=DT5U}`*L*aj=$n(qZ95*(*v15M)qpftqHlfz1?Aty`=5M+B-s|$- zJ+oUSy>&Zo<h)5d-0J&;JNg;tg0(K08QZ?DNH_Uf{Qm5vJee<!%AJY(Z=RTaAmfSE zgq}BzZkLpP@%Pju>~_=spR#-EOrGlN!3GO5nQn0E>O^z&TU^XhwfUSmC-tNH<+jKG zFTa~CF3Md8|D!GFnmD_>+&z|o!6=rIfgfddqBtY5AQirdVNEUjo{+0s?b4;%7v{2^ zHoEvk&G4d%+xxk<FRyfRF=*&KR$koPaEjYeK0)a7z2E!2-|zi?uloJoRSH_6T}@qk zl^2Qpe4jskX06%unU={vG}q+6-CAb7`~B8$f5Ojdh1%Vd`Tf;d)~f8qm-BO;z5PG& z@~ciW|E=dUZ!F86?S8u?vp(FfS$%%hvf}pR^IyyoK7UsG#LDX%llvNCi>$W4zqIf3 z{oC7j|K64`RV%da#Q*i5HXHocejma5LMzluK2oi->Z6gnfbX1+>W7Pc#aG>b6?e6M zPQ=@`uTj^v^KWc=DKBNJzyAJ<d$aPUMr@t-^__nHZ<pD8b7#Chl4YZjFk3J8_Ll7U z%e+TcndW?L;92*UE&1x~Z7bsMZE-#9cJ`HR%xT`Ei<r10Zu72w5Y`)ImUCs*HtEjL ze8tRlLHpN5n}%68KHXm$YaSMT(KBxAk~zP&uakaSWE80@UcCBv*2yK4*GSD-dUV#- z9osXTt@6%B`0A=!l-`Xxxg+F{;r6mM)24@BPjxFxjX1OR@X?ZMBHG6ym6CKrkFS+p zy)t6bXWj2@H+*?tZOsZ;pSZYf)h;1Nb16rw*N^7!dgp7inE%RSsju=mcQ#zzyLPrs z$l<+~&$#aGU2C~u#_9jM`3EJ>>{ShOZZ-M&w>hCkJIwi_)1toLxpAUe-))X9)6Lqo z{n)C9lQ~0<@9k}0t;4}ry#MgyF9!RhS0{R1DJo97=<@OP^|Jl#W(&M7E~?Z0{@dn8 zgMEOmTgH()w?FQDHU0le&+atdR*{|dJ$DtHXPf2auv{svpOT|#^YVAJSw_h1z0TV# zT9e<+?G#%WQF>Q?wbh>OU*}65jW)@MT^||yU47#%PmNd0mi-RC!onh-5n9t+9p0n* z`KH0WMgI=--+A*-C+zy=Nh<%<zQ2n&qkLzFVfy_?_k?#}6cbl8-@S9=u9K6dX8-HW zi(At-U4K#k=NGnuQ$DZi-1&3QnzNOLuCFsL>`L7{`%Y8D8b$uko3eMeE}HgEe|qB9 zyGo7GnrH4L{hL@?(aj!hyxqlM2}62Fv3GLfxrz-R&%QgKT<W+*Z~y+d)z^BXR$1f~ z)eCle)~!za`tO3r#@9RcKhgCvy%%G0#_HYrNpoyY{w!Ui_J6y~E2njRzoqrn*RT5> zU9(Z`DetT++4uGYZZ7&a_tmbill}&$nmoJaUvkVPQL5w6l<WDoc7<+U#w%sGJ#kGN zTgDXg9lc392LrOU-Z{4Cp;_)f_KBU>O7B$fWqmkzPv>@pIhRFl*4@xK@qUj?+=Sl& z6WY%<-FalzeK+Hi(4{kb?ZXy(9!~kb>Zw`3Yqag1SO3J$E{r^#E7)z88M<DPU*rSh zfwr$EfA+RzTra&l&Ewa<Pt$s(%rna73#@#-?bC-R7MG+Bv*q*hUlabh`k~#4c9|{t z^VUnflUH$2%idl8MA!4_v~b7V?+YVJ{a!MKl*{*p=H6QLXCeEn4<{e_Z_GG-?BpIh zrWt2m*JpItxZK?o(c-Scw${EndtagK_B+v^=X@5wQk=Z`+3gC;J<sI7o~!GfWxnRw zw%*p+wl{VaKF-Rsb~N2Tf9Km|Q<l(st4dEV<E>aI*BzQ4us+rMW8*Bd_0R0&xb3ej zN^Ndmz0?25q3`xT0v=Dw%47Ew4KMy59JDm_?*3y<Yop9ozboqr&Asr(@r&5Af4(k# zn%n9&i+zm>FSS0}RMWKfp`B#0rTO|73-^SX-dQfxaQ$C>roeN>xuzNq7Id?z%9MyI z*y|}(STR<x)L&;1{Nv2*^1+|iE6Vk5dXgaHyY&zMd4H_DV|wSm*n$-fE`RJf4=DeO z=Y16O_dn;?MNPj~@BS~oTI=MbsJvEXF^S5LLhIsBzfE)acXhY7guctp?47neC!DLj zB4)HZT`j4$wAm{bw0+s_XeGtdckKMu?0R$H`}OP#ll*gPWw!)(<`w+@xU@fqYg1(L zrd_x1ce<veckN`JlQKK2De-0WJBRlE`>Wn>ed6r2_|rAtAN#+XDV9$-?CxkQZkm1Z zf!&!`y07j(;VVljO)+BoZT(I_y^LEt|5Wh4u+_7yuXMIX6}iclOT;%^eeS9k&a3u{ zuRQ7DubR>ed)8SW>b$z@`m2Y}c%#gAg|9oYYNztfSIoS#%vL?^n>6+4emBq6_V*t3 zl_i;PsaQ~2dHI>m(Q7uU_uhOuC2gMeP9iS!)9UA<ra6&Ci><G9ZmjZ^o@#$#&7Xgt zs`yQFk7oaHE039U+pBn{^*rs*GfrLYdg@l*)I9T2w4>>rPQNv;n$Nz^zW1pAEb|kV zyOxa|);Yh9abIQD>)st5Us+x?Av87jrv6Qn_O&H9INHCK-U#Q5e!W4C&-(p_`i<f; z-^9xfB-Ss|65RfN!&#@BrK>k+g{Us?Qj_szF%g{N;vm!~#-`Zf$dM@Eb?l;1-?YLl zJ&RLAS{P*$8RkSxIoVqNi9g-)kH6?2Rn84s6HhgW7Oej8%BtzruGX(5H)`1H<BM*1 zwEs2N%IiCvmn<#&Ejvtj>W*89`%PA92db~yHD`|2!i)u8OY1wAXg%YfC7p6VS^i_i zjop0zoj%K4oA8&(b8E7-j9KWP@<WcZcFQVjhQ7YXFEpXEeR0k0M;-eeKU*1E+C1ES zr)zrPvG|SG-pn~%*Ovd^;y34}kL6|d+2^?Jk0jbB@o!@9Re2q?^mn;wTekfcA&`$W zBvoau98zd8;82ulIn#S_*R|zG<<I$=Og$3+;8s&;%bK&Rifr}PU)p`QOL)bl>Y1nC zrC7V_Tff{;bgd!$g*4yl<6Sp`+V?)$5FM$!I4HYXYYkUx>Dx94TFX_u#egGpx0giA z_Crij5-qD@Re6*m)T3@|iCEmbwJJtU*8ST`arM2j52t=^JbY_|ancdXcN^|+P>-Cm z_xXnFuKbUc15M4&CBD|qeR0xm>WY;v!t1}}-#lFQc(qA7v&=W^ZATK*Wy>xku9hj| zfBp7D|L6TLj?I?cb|5j|>W!cB!x-&{B4=$Us+-Pf3^2;F`24fg_@$ZFMW16A&O~{s z-St=Hayq%f#qmdWp+Znu@>DSn(J3$fXr&2gbsU%JfA-33`b+tBO>J{C9%eGEcoVcS z^5wsjg@<NW&x<bfG5cQ1C;eo@t~Zj~t{<$Amhs!>BK%xLer{gM!7F!lF5Y5xGk<Iv z5NXbRrC@zrsl@;J-qj9MtM7Exp162;&5X09H+bJnI4t#Xjm=>;t2JV`A8c@sU=&ko zm%aD7_fN>)xVm+3YZ-NgJ)T(x=thNJ<z9YdyKu;)a$eB_S>xAy^Hum0F8tD-|EB&> z`>OcQFAa|T>uKC8b^7pz!0B%f7nEH)Q-0#q_Q`MFc6B@n>2z`SFuZD?b;afGNB&B$ zHO{AQu9n#TBdekQUh0pI!f*Uf(x*r`diUmB`4U`f$2M1_*pz>j?An!D!T%mwTx|Ri zf1s?w>x{PI^}AI<Q$MUZEO%hm)*jum8*1&j<u|VL#aospm2YT`u=vP7{jubJ>EG*` z>hIt9lP_QXKQ?()m%-hDRh){#mjs&xj1*dUI8+xa@x0`4)JPOn<WLm8hAjLVE_@M5 zxaS2-I5^au!|6nWfKh0vO=zi&!W5qV<(^wMF6T}E+wT5kX6+`W5AXLIyPIk6w*SAa z<H@0FKC{5{)@ux<dmVqCU&A>+xUB1JuHNnUA1d{mYOf}*aXrP^UmxdQbSZDayTa|~ zoE9BFx~g!`;bT^BIKR!gAt3fy_R;?h_dn%)ShD}H)rR?!E8Et4hV~k#ed_FuxvG&j zSH}HcG;2gn=xqJtC;!e^`@<$ck<G(S<I}B(9>XH3wBG-TZ*La0`^TiN(MsC?Zkg$v zs>`Qd|2ANIvR!uC`RP|`qO$rnexGr;<Gmt#(rt;ypD#H}Y<%w#d4JWDJ=RO36G9%Y zKHX7W@lVa=ipis}kTufcT{|9!eVDQM-L<8)yV@&U_|%vC|Fb!8JZ!>c2R4?SEKH7$ z>*g9;9C25gF#7;6cM<On!6K$|tC;5S)fQ<kK0a&WJvg5K`QfL2U6b>#*@c$rs~62y z7v>ghJ#&O<f|2lN$5TE!-f2n6M#8fv&y1LFCM<C7XQ#UGUE7&6Hocdb#g{aj>ylxT zaQm7$rOd+YGJlx=oD>e`_xD-;OYPMc6_3a{!V0N{ag3VX#${aVGb86bR7fp6*5DH< z!}0vjnaQ2==J~8Ss6J)pju~q%U!OFy!gOZm$CExi9%)H2w|pEdp6B_iRaF`l>O=~= zPc|)7nb~>xq)(1V-HpVPK0SW3IXRx+d3w?%-(O8nJ^K2u6yf;kIghd(Px<)xTsyKN zMNMtVS(cXKcOO$0^{Wd%ex`18asTtF3r@M%PcRa$bv)(sMz+Foh0(?p_RmlG$lNIP zOJUmi=J?J~R!gxM@sy)4zS>N`%2F<r)ZrC5;VP@;cLnhk3%di2v*JWg9P2eT&c9O_ zHz8q>Lai<9%pDt)R&W_HF3~wrF=3O!V~0~sJuZDIj&4ob+(t}GbWRjZ*ragSp{pq; z_S$@NuE+^X6;d6iHB4cR<k{4wa9pW#R+EhFtnfnJ38JS1FWp+{)s-9@cPYl-^LM3} zm09E^!;_Qx{HB$JY+ADD-B)$*9xrakQ<MA-Pf+r<VqWqm<?-bCISHR8>-tYC(VH|u zbuMerlr2d-S6n=|uWQW7b$mDH$WEULp9LdjPrj{GJdvci%1I{u)2b7XLj}D;T<iTq zzqmY}5_(bjX4P)>==|*|ukTLI+56bx)G7(?ps=G76E<nNHBSjO<e%>oc638ZD39;9 zd&+;;r(COwuHN^OVY7m0`t)_Kj!ZWDE-T1R5RHFl-@Z{nqA>fTQ^Chu5e27P^7_K+ zHY-g&;?<^Jd4I0sz0a#xsIXVP$`k8Sc%7%_5m|2RaBA0VzMw0yM}tC|*6fb`k+<oc zSi|h1AB_cmjp;umpPW46Wg<MY)Agi}j!#;Wqmpp+bXB9kjT}o(xE$>&nJI9{(siB> z&$pDM!cA&n%JxRW#usH%)WRCIjSD5?qtlWSm4u_q7Y7BUF&(lzI?spaW6Gn)PjU*> zELG?F`FVybC>R%NTB^#nH=H@5IAN3Keuf~krweB&Klx$Gu_Wh|vBRllHo{ACPHlEL z6?a#7iOl}0hADe@S$o`Ey}NPB-d#*f-dv3~NIlB3Q!*viF^{RTS>d_EH#f&~Y?YH0 zo;U4@obcIUlEU-mJ+2d&9qYU&Fgw&mPx$M2PwPaTLcVZH{Dk|0De@EUi>BmHuxxt6 z`l-4phU3%krWl4#>`gH&pUNEln0`7t`my~~cFc2Wd?)aPZ9+NMrX;=pI`(2uLTeVz z=lGO8!Cv%9$dQ8S3d<Y*%v4z3xF>qTWygDylXL{#@9#<8{+XxC*;e=E3+c{jTfRQx zxw>4dZNG8E)+Jqq89O$qzMV5iLZsZsm#2$aarP=p?uT>cSI_!v;IHPVVq7S*B<I}v zhAC%%N_fod+~+QIwzAMjxb}eWOiqsHe-2IVd^pdCXWsM^M-(O)2{SvM^5J37G&W@0 zyk(Z=<e3%kPc1N+*-+rOQe9ZtW~Rm5HJ#y;O$)Umh1DmUZd9Ause01qi&TZ`R{`~w z;<}e7k9hd29aC|i-ul_@diGgYg;X`M<|#8Hn1c8U&#SfXSo+}9#Z*ZT%UwF`n(os@ z6`sB@;#+z0VyfznqLP=L^OW4D$0<C0v5A3cYmjN>or53M{;x>?CG%AC-n(~;DrOk_ z^9o(&m~Y%Et~=#mism_~3a0-1k|%uqrY*MRtSQNy;Fa)tbtj8~d#aIiw{+sX1Nk<a zKT7yBtxuk)Vt?T|vykvZ%L$*DYuSS87W|u@w!$xZ<B!z`tA6}Xnes+|j!d=1$40m2 zDe@V{w`TrvnC)<i--Ki0Nq!T7B~t&`V=l_Ska;xq@c-uuM?b_HvVA=D@W0KDq96C$ zDxQAW&%8wD-}dGyhyRC7DEe`qDTwcXyaJo$pZd<EQxE@ZPbm7apCgFxfB5pkAin>H zFGN52u>alC+NvM&O+hCf)*E<O{)u<uDf+RWCy4L=>xF9V|F0WtJpHi#bcoz~nSbWZ zB8TgbdWCG2`8OS8MPKHeDTn_D=(IfjaG(2em(0KWbI)pf@%$Gp-e5EB@c*fEpMUva zzpzO^@aYG8i6^#AciLKtS$B%2%<D+h6zbzH;yh8KsIY^zX}3Vi!UuI7Nn)Izm?s=< zy3@iRt#qPS!JGA`9ZQ|w3D%B>;!SfnKTU6%(=_L=*96h|AJ_bknlRt#t$EWQmM8hF zKMhY*HT~g8shzm_X@&vs5-GcKXU96WO@Uqa^z*nX?q{B^-aq@r-(=g`^!!EN^J{f4 zS{}BuPPg@cF7w<o;pGMwrjrj<9~n3Pn#Xdi`n#dT8&{^~+Q0aY$s813@v8Vw)&t4? zY8BzrPkzk4DG<waJ)Kjk%FE#5<OBbnm`BX>STO56i&Ul1j3=H6k)Nb%8gnOlD7-Rm zJT{L-ty;)p!W`#&vli`lES=AJ=e1`-mwMyVlR|TRwk(pe6V7<#nXpQ|@o}e+kMFic zv+SY+gZ;H<rKu&n33_@hL?z&KI@A8oDh7A0xz)BGOc%QSV6C{&?F6;$2TN=6n%{p9 zxz+PpRr8WyYLeaQD`$e2Mb2aKQ@tI!{YZG{PoD)l)f;clV~O)E+xsMa{cGb!v3V@d zDujOM7*_bao$T>|Z9b>X1J8tY>W$YY@tQ}vn*Z{7@O>W3vq~Y0xgHO`JaJ(%|LL<} zsd}SxvAG1Nj+B<rfhpSrmc;CP>wc7VO>4E`EeT;aSrwI{TNPsO+-(KpcWS+o+ZfBF zseEs`S(3+-KSzZ>X8IrTo@{w9e&UIbiyk)jc4*J+Kk6#DzNg6lO1e#8{LwmBx4lf( zp2ttT*lI3T|Lw?^UFyr;Ml3opqpZP4Hh8A`GILwQuRimBd=EOVt(jDHL-=x}zmM%C z!`v`&3C*Oc6T+8|&zs@KC$`xxNs85|)TjQ-kuRO<%l4g|@oQ=SOa6H??7kkkqAeNx z{)?gQi_VwD{yx@|Yu{zb-+i9<?dEg2&tG<ap2rfVa-NTU_2$DOk$?I9!}fnTVq!0O znW>avhSD;38^hQSN4DtSw3z2V@6lSndoR~`-FwM%FtPv6n|_ab5`65M+Y1jntO~Z7 zkTst-DDUyI<|(&#WGXy0%d<V<!?&dV#m&I_mzo~)pZv|vpRh?`FQ_i}6H5`@u}Pu% zLRyOBujVPNFPVeHQWQKQCrmxr<l`ZhQnN|nu%h<&#g8Ymvz=B{_`bON;{PXwPn0GU z+3%8IDze|jn4&hFXZ!ukdCOzJgdCl8WY=1yo!|Gj{*2yk`!KR8=97wnex=1`jmYcV zlS}t9ELrpVT}J4pb>>aluXoM1`t|h;`#fPKj^}q?X6~GBJ5yr9p9g;@&wMfcjLZEL zwJ??Q^W7gFKH<aTSNGwjzy$dkF^``I)0;w`pFb(Q=%vC__W5i<bsw%OJY|n(3aa~1 z`l>npd-bc|o8>EJeY<||m7m=A^S<{i&v@RmJmYuIQpYc@rsqg)!=B=ZN$<`%ay%EQ zTJ?KX`3cXk?|V{8&-;dbpOaD=uCo7UPfBTiqr)jsD~-=zP0c?-z|XR&s7R?#lj)m) z#{b+qGt>)|g_qsmGi!f|&BpUzj>MgOa`xn3i?b(mzIETbalImGeaggx`_EiC^SSP( z^zZ0oF{zF96W>gFepTiC$@7k<&KL77`D4>O`SFh_DbwdP>8n;BPAN#upTQFJt*uny z>Q;-Ct6MERZc3Z2yjSq|*t%mM!{!~k_xg&`VufIF6|PTipT7M3`sC&3nNMDR&Q?fu z{HB)wlkY+E$)=vRjSV^{ep^UPXN~MTtQR@qti{C1tT&m0#8R|9Zmt!7=;BxQx>X@{ zdmKYh-{a4YrwVK2JS_Xpvud6fecmwTdDmvgQ^iN$dN|F~&STa*FIo-~vj&O9Dm>l! z$S5Utp7uAF>PgSLv<24Ngvam&&C}NNo~NznJ5O7Wai;Q#qYC@gPuO}q`rUMgH)x*r zJCMQGn?#;>MPF|O886Um6F!GCXrA`I*7Hi|Mb9@)dES-ZH08RNG)N|fKWLtI9*gFA zQS-(#Poh@tO_#c3_8~^)<|M61N9FlhnUfvctFPaFSXP;|K1KJ;Nz*KwGYa1}-@Ea> zB5D1Rwyw#^g)3%8zL{T{eE)Ul-bqiD)yo%fr;7c4e(%Qfc)R=~aVKxhn|%6ez!b+f z>3cS=|9&LyWYzr1-p2iZP4nMK+incs6z=5oD#*I|#(lG-=Zu2ozs~iFuVTNe7^-aa zp3z+3Vzoq|iK6PMqPYrJmh()i)(E_7#PPLy?t+PXR1akYHcbC5l`uup=p4&pTg5$# zRSrlPr%dBKR@Jc5*d@iUkvWa;*o-9onTpQ7b8n_yIeccq%ruUwMQd1gMvKaZvMo%H zQ|E|}Vmo<vQwE0-NBlo4M~UE+33t|ZE4s5K_U!b#(48j8Ka1gSjFzXgmm$vyg)hHf zvn>37N@1t2$lYkRh4%648A1ECPfEQp=T8ku*d%FGCw}SbPh;may)_<9E7ML~X*_mZ zGTqJK@RgZqO>#B=yc%AndBhv%Z?+Yj@$!tJ^RgL=5o)KrCP)`-?3&^jVHT&(aoS!| z<$TGJR{?B^mKAdqbY7=Sun87gZ8x>Iyu^B!+KT?jBmdM67=|BsI>qAOB%3+qH*I8U zZraS*>nV5ci3)#vff;{#t{Fdj)1>xhr;~?IE;xIbalzTcse7M16pDX&&RPEZr-Iu2 z&jtPYp9>b|e>`$_pKiN$-R$<=b+g;O>u0y$uAj|r%rKk1nqfBkVTRf4+>Eo?gBfSH zR~Mf=T)XSZL#DgW9;)4a_R#C@vxj+iA9Z?{Me}WcYsP>5of-f4_h$U!AI<p9Kbi4| ze>USU|6;~J{i_-O_HSnV*T0wDEa=Za{m|+DvxjN-pFQll|Lozq`_CR;y8rCqxBJfy z@*H?}Q0~C9g9Znl9dtVI>|n@&X9v>`JUdu%;Mu{h1J4f5Iq>Y@x&zM+9ysvq;H3l4 z4n8^X?BKTp&kk}Ne8ic}63v&!X~zGJ(~Q53%Zy))+l+r1ciFBDi5s3KoJshca5dp` z!o7sg3C|NgCwxd)&MnP8n_ZYAdb^ROgzJr>4SgFb4JL1>+%SDZrNNvHl^Ygps5Dr* zp>o5@4V4D#HdJodw4u^q`-aL5yEjxC9N18~;mC&9tZI_mWO9si<a$hU<odW(`Hy!@ zYA<%4-OlVXyM40D>~>|>+3lNMXSX}M&2GQ!HoHC9eRlh2_u1{u9<$qpJ!iKs_MF{r z>@~anu-EMNVDH)OpG!|3-nsk9!v%MrJv?&v*~1ripFQNc_w1p;y=M<Y?mc^0aqro~ zIrpADJaF&X!zcHiJ><Cm?4i#6XAcAJKYLhm|JlPC_n$r7bN|`H2lt;nWIOQe;Uu?D z1(nK`JJxQj+_7zA<&OOuD|ejOSh?fk#>ySHHdgL<ys>h}n~jw_zHY4C@o!`04)#rz zI|MdWT0EFyBU9rg=Vz5G*JqO}cg`+Xj?Xby&d+)ML)BOMClCKzarUs|%Cm<%SDrn5 zapl>=lB>=hPF!{Nu;iX64|C!^6-eZNF3`&VTws&`xxg>~a{*ia=YpdA&jsu%l{=&- z*~GBjw7J7|(<X-hrp+DUn>KeCZ`$0ExoPtw&P%SwNR{7jh8h388D{)>GtKz_%{1fR zH{Fb1Znhb}-i%4?*L_0oc~umg+EV%9+?L9M%Udc74sNL|xU;3Q;Nk?E9eEydHKsXo zbIfw&_L%3$-Lc4#`(v3SCu5x>XJeBi7h{_vS7VnWH^)9lZjVEb+#Sapxj#-haxyMC zayG6xaxrc>ay9Nba&tU#<o0;x$ldYEk^AGFBPZjVBWL56BNyYJBUclUBR3~7M{ZA0 zj@+H#9JxOsIdU>#IdV4PIdU-(IdV0TIdXHNa^&_z=g8fO$&vdLn<FO^pCf0JkRun9 zm?Kw{lp{AMIY(|!N{-x})Ev1#X*qH-898z`nK^QM>Qwpf9hk)aJ$^R3eZp+^_JrB& z=M!eLuaBS29-layJwE4TbN{v{2Q_a$J7|6T*+Jji&kn}les)m&_OpY{x1SwUjsEmt zm2TySv)d{QE^Vv)aBW*<!R>981t+&v7ChNjS<p4j#=_fMZqMRexjRd8<^C+qm6KVX zD>r9Ru3XH@T)CJoRsMCyyw(ZH&FRUN+tZsXcc*Xt!%!u5_mtV}{VB8AucyprmrtF| zo}N0JeSPX|_V1~)+3nM2v$v;ptJ}=ZwY1RkmXn#G$}e}?jDOu}Gk&`>X8h;QnDNJ* zHRFGG){MXIoEbmgc`^SAyW2$tjoT_ew1OP9t#b3ar<~@Q(R}|dneq2sHshDOV#dGj ziWz_1-%0HC^(UK~_n&QEzW;3V>HTM$-|s(rSee0$e?8+38yoI~&mZg>jtcX$-YBXt zJn-z{ONQC)<}5jKdk(Ae$333JZeBQ>y}ocZ`|-lr?EFQu*~5!wv(GP@&HlV-HoJat zxB8v4xt2fX-nOw=aNDM0(QTWGN^iM6`&Ieh-818_yKlzN_dv|QqUm<ghfmuoKk#m^ zG}trAMk2*iPG>`ooX^G_xtvWoay^@K<apNW%rm&Y>FI+Cy~+ZQ={6M$Zrkiwblc{~ zlG`>G%cE@`R^)wturBZQq5m<T9!%G(EO6Og`N3m*Wr6SZ$`66tD-Qj?Gp+ghuCvX1 zCp<aW=kY1QBIR>}W6I|QuawUT!6}~;Vp2XQq^5jM$V>U0P@eKRp&{jSLTAe7gh?r% z6K1D;PFRxiIbm(e=Y(x3pA+_{d`>u#@;TvR%IAbzDW4M_r+iL$lkz#?Ys%+@e<`06 z*i%0z2&8^akWBrYpp^PKK|A$xf=TM<1l!cl32v#M6Z}&@Cq$%vPDo7soRF3JIiWc9 zb3#q(=Y-bO&k230pA)91eok1B`Z-}`>gR+_sh<;er+!X2lKMH}Z0hHPYpI_feA25d zXq|5JW8ZBXi-WgqDh}Va*>Uu?&5k{{Z7fdRwy|+hsH|Av@acn6<56LM;Ttx40ux?8 z+Q>A!y`3XRZl3;z%8Gu6PapgmpFB)tn%yqWl_NJ#YeQwl<^#_j+A_^<59i5|i({E! zvqwasvO?;>vxmJ5v)hj|<;cbHZm6sfJn-zHEaPl;ecqGJ-`gH=mh<xWH@7&l#=K2c zi21<k|Km<|1*7?dfQHw5cv`&oYUMgPeq>rFzL@23iIc(c>71%xMf4xE32`3ejbJ-u z8}aD@|C@)bcQ)uUzmGfFe0}{BRqrJgjOIzF4`haadT>U&;!ySV!`wSUbtNBmYgc}_ zIMv4DX;lT|^{uH7lC&!e_D;3=ajU9=ar-P?=JSy!o88wwIoNvbF!zqYXg0o$li1xO z4+_uQC>M8NZQe-^`|y*^|5rab`0(ms?j5E%k~`LW$=!)!_5ZOEWWq94<vl@5V<&tG z6ZO|VsZg;pOiZL8JM>uJiR;r-m^d%ql9QRJw>T(v*Ca0WFi95iOQ)Kc^j7!CPOMvJ z)Yy_C%kP%$bA>0yJN4oNjh7Eu@61qDwsBVFms>n(`kKI_+&fBhB`s>a<YXLK{VVFK zDj2`BdAt26^tyMaCC+Gmmv}+`mXwz2Wlzptwt2W5q<^+f&E_MWj$JB#J&xNw=1ACW zi<4=xxLU9=AfiUI;3Q9EQk#z1K_g)u(}P^XbM|-q3VzTf`L!rGXDMgc%!Ij|VY45s zlDsXRX7$>4qp8}Bgr==(H(s1<G{_BpFon~N<FF~~vRMgRHNUOmyf)*3sqh-pgC@q? zau?mzEVv~So!(@uCXwm(VVC5ldo0ywSD4RG%%71kMe=ruaE{s0dnO0Pgy)zZ3==+M zkbFC@ZBJ^GY?|ABGv~6|4`lrx`g~X;dC*UIPj;8A!9gG6eEr#q?`I}-X@1)$S#*eH zv(LuKX(!m#ZX`c@>umhQGI7Dm19rkXBA+{$x_vizNw8~exW)4MxzqxuHTOj$652|P zoyBG;-aR|vXqpGVaZ0D;rOP}&qjai7U!=C_7?oDdRt)!@YnOJyZ6iy_g87m~aS}f@ z3Jx;2aQ5r<Z_o`&SQT;9BjDqoZ%ZOtP0~Y>oLhP)F)^QUQJ<+8KkMZevESva`;44_ z%}~7DE0Z%f=h(Nt%#+qvcqG%Bt}eS#V4U(lQ8}eWa#QRaa}9$_JU0{DdW@X3zDaG} z{Ix4`FZWrFeP+&Ovo^+>I+x8<6n(_u(a3rz&A;(u+7<4z6CNhrTz^2x7^MFO&rPMi zDWVZcZD&jmhNpQxpRG8%_3dmX{TWwxALY53Q};ku?Z*X?+qu^cDs9XSPUsg5+IWLU zGE?kbk3nEa!tTf&d(*C*pOr9EbKBLN8uha`!e%GA_gJl%k)M{nF>*(4T8?+mgf7)( z=L9$N*=#H|cRn}cs`YK2$ZS47`R>PdtYS0nF%|pFy`1(?Y*teI%%s<6R_vbH`ul)L z^<|dZM~=lb?o2yTX8fesc(L`F36;zI%8f7nOgmBO&oVD*c2c*`h9fNMz8kKv#LwKQ zYwjF2`vG_Gn?;<{W+r9(Y&gWS+h^|7v=dRnYm5%6_V-0vzn`UiU(5K3t#L}P<fY3j zyL~R){=#rGq3w&Qv);@~>BL`aOU#e{nz5jr^PWNUqXOe5^Rp9#)2!0ZPMChSu%!2< z|L<9!Uq%_T=;apKPbguHR;%!0kSh&Rc=>hfB@u~)T|R4#oZV(9u5LA*crND97LAu$ zTd#>U=+6=HsB--(Xrs!&?yWhYq~TVU+P^*Nd(+$An1%aQpPexMXq$KA)TI6E4ydQ) zxch8uR<p=zI;>`q*5rToMx3GZgKEw0sb3CD-M&4iO?Wzs=k(Qu%MO$jN-sK4VVp9- zaAuf~!1OLHmUVB9F15@LI$BeBX$8xJxq7UV^gQ)v1PjWXS?X}<cg9Rh$8(0_`|tWL zUvvJf>N~s5)v+ede6u#@8rwfqG=9RmIg5X`V(^(8^URfR<h_zHIqEih=e&$2PPG}? z>vpKmRQ&9_;i}J<NPlgUgLTI2ug_L4U%&IfoYZv<W;43)J3nKwJin&-U)tAo1_uL* z_e5s)3FOaoepYziC(-T0M9HG77gk!Nubb6Y$>vrVyzW4z@%8jGH{w3}NT=O<cHUe) zUzkVyaWm83Be@Hhl+RA^Kl^U~Q5N}`3zkbd$_t+{K3G!dy`mw#)a;PX%j3`3|D9rS z_boWXGTryXR?aws+kWxql@8`iOFsKa$7prdQq61kb^P;%YjWi_`h0tGmPayS+Yjz% zo@W$-pKsvoQ)l>BeDwT+9id9^qJJMbzu=BgNqd;6jr*Jh>_<PAMa;1c7gaxW^@wF| zX<=1V@SLP;V(#6gM_$Iq7OIsmw$R)iIj8+@kn=9{K#k?6=KArzY;T$0ywf<q+3MYc z?WbKhbc|MLu^*JW^m0lJpOfhlZtc)u25z6ORU8|7mb}tpno$?P%Xi51iLK4mCkjE% zyPgK=@~yfyp>IdXZ07B4CZ`pbIT<VpmK9~}Db`r#RPfoiR4~YUUhHuv+1uN-&lF~z zQobIs!F#uv!=oe97jKg-o}gNB@dB^b<{RHG^>QT$JD9KBa^dQWw5h5+oGz=j++ew& z>&33k`)e9gM9hWlq6fBh<%Mocly=&_QsBmi3*{k(57v0xKRfX%>w5Q7fk_4BOWtcX z==t6czv-yIOkRVbUt*W7d!6!*#tZzR95n}Cx@%qkAidmLq~WpU-IWY6dM}P^S#OxU zeEtdniA$ILLj@C-`j@X1m{EE8d<e&z126Mf6YltZe{!O~r<LnzQNY@^lF18fRN3sg zy0jURolk``h*gIyVDS01B7pJ6pTLPs20BY0$wUT4%nRydGGJU56e@Rv<MMgY20_EW zPK*(fm-R&(B#r)hxiVO%{@Ti9kh1K)27`C{ueD4Do0nE;G2M6=RLh#M!kbs5K~>*0 zgkjmfD;};4(Fs{=7<yV?#<3>&`uK`8>@+hyJ8>$<e6C-z>=&gjoosm*%rNcV6(7bK zb1wb0{G@&0+2mQ;42!+97BZ}nd&$U}Fv)BG^95DBnZjPWq790gTZ0(HW?hM5oKbqI znd?B@q<;$-dURg)a~)`!As6J@@Y29`4MR@(OMk8dJEqG8x;C)t|83`Le5p58lOekF z*JdVzpyfrZ33L7G0~yrrU2$W)(QtXOR+dCnaI`2BcYfA#2A|z4_cCqhUv^xifzi}< zol?V86WfJO1x^mKMJbz)^v(Kb>|Pc%$K^tNC`Xyam5I$#rcai&{abcqL;pfQt^)@r z%?n|O7O&EB|CT!^@>2cE8wEa|b43rFR4EN)INp9GlyQ#FrOR9o3?}_sr#$2MLHiJ^ z4=T&+wHU;Us@xcV^j+RB(s0@0?{=mSJj?$FGUz@0vY+dK_?&<9nF^Se|7TvIX}fpj zp+Jt~o3HR{gapq!A5<>ec9i!kLs9mrtGr)XRz(H-J-FiCez0j;6|-x$SGD7-#S=F0 zE!}6uV>a!IfBV6%slQ$u+^D$3(7VBLL8HUftW&Z~yg?pozGZH3`%t@7QS164r^Ys> z)@-le&Qi-Bw}{H~Ugxmpky;qskSf#5vMMh4R_aBK1s=YchHi^Rg}&vQxOpGy>OGdl zVr?U}e8L91#UHJBw(T%+T+Y{RJZr__2jBfChs^lkxBTQTmk+GVH62W|PCbsF#nm!P zJY&|hmggG2;U062T;S;~IOJ$-+T^Qr_R`CPk7uU6Hjs(AD6=5Jx6;a`IP}${746R$ z7nT*iar(Sf<y=-qV;}2sgDnBdbEaNU>P_Hu?`{n6wuo7*YUNjP_Hxi%W100AjTEMc zv8Qj5;aDrG9<{~kIZt}%mLTOh{ui}+3wT^tmvULOFEX{#x9C{3br+9C&7xANhaWnw zWw$(5zqLTHuRmk4n}yLLU#W*PI?S?LCTrbVbosEPNbXgR<=$I-l`9M`mnp1S@ZhnJ zwaFn){kK;pSzKKjEA`Nq{}yk{zRq-;41R%EJngf#h;yVK4{Muub<z#ri^Wn86WZrp z=SVNw;=jD%ufW^us)sa{_cFBHI?AXbd*S6ng_&_Ihs+PH>xsKmWpQ!I^sRDyYhEn4 zc6i<#KE{RSk8X-stx0Zdm6p9YX~Xn|iybm-D`vLzy%Z_9;<`Sg<*}~Sy5xhFQgbh? z{LW~#Qqb?xiz#j&jw}yv3@E$t`Es_EU&NownY|B$9nwvjt~2aiCz$i}CGXtqh|8B} zJFJMkar<&)Z^A_X{7e>k)~e{&2fG{ZT}=E?yrA5)Nu6cya>09Z7k+OHh}vW7ET7TB zp7p}H_rnq1+9iTH4__wBa>p%N=wEU}W`VzHld5g)GS$LczRw#1ye$?iJ6|f%$NOT> zwdPXETE-T$j%@Q?3k3D9yzuTV;P;4sX;JvyUEK6gt$geyj`Et4NaZ`PFN*dSOm~mZ zZuxD!Yn9-;H!GAD2>6+-kQONFjkbQZ?(m0a9A6m@d9<81eZ|?5-~HWgg}A^kwK$fA z_oN=$a=lvo^+OWt*Q<#ef|t}e{Mfc3WywFOgUhC{z1VZ$)fBcYmfayzO9XYoGdH+x z$X%*vB^I&&Qb2Ely!T;~CRSbE>m1)^n>Zb3;`WzXDVXDsImK;*(9(-i2m7WRdl8Y4 z>6L8SG?%ZJc~xYv)Wr)Gy$SQ3g&VT2PI=~N5~x_X#$$5Bh2IY?9Va&iG;c^=uIVtN zHc{3$xbed8#LYgJOU@NA_+D<jFzv%P=Cc<zJ`m-c#o6+{p<FFvnp;I{V_LT7p34r! zB@%H(3wBC9T+2RdxnSI?g+HYp>Pkl~5RBWsuvO|I*9@`D7VCs4o|dw<?I9VQ0>|X` zEOPQTJ@i|1i;wc2ET_|3<?b0T6t&_JpT9-O<BQ>fP^pJalf$xGynUk<3+~Ct&=trM zNZ+!>PuWJ<^>yQgXAf^XNH<(q{_wAZbg9mVnG1Zac;ZC2L@NJ?y&%^6V5VcVY14U* z+{+setZvzs-Ew?gM!TPEfBr?k-VZKfZ!dAk32upgeK5W0TL#N|u3M`G|LDDl?0vA; z;d~~`f3~VP<qC%jcD)aj9e<lPZRg+1&=S>jpHcQg;s>7v;-*dSnf5LbwBdS@+WTO; z6MN%@R}W4)x0@X5)RSd%T7Sq<O7<ehF|iU6j$F=bVkJBrxlHMqU%F02>So?k?@Q?_ zo-=!iZN?h6+1sAx-rB1B{?a<%>t>dIvY8>0t54mm&%XU}y_3MVIZhj5rGo=DDE?R> z(Bo-+ZpLX#S!?bv-=DwaWv`buv;Tk5a?Ubt%Yw;PJo^7GYAVz?+kA3g&$KZ1fh|v! zulJ*_cE8tEhovP-+}a<S@>azv?{Rj@e_`>_On&dm$46FImxL+r+2Wp`*-~!sB3G7M zukVFy?}txvdsm-sIR5R0?L;TtOa-kh3;W|MRo~jN>IjrJaz|9fKWCf1?n|Sep!nJ^ z3-yF^R=iYJ=*cojT-x7gV0K8P#qQ$H#z?l>RbLZ6`_5<VoXdH^vNs{yXMT2zbg318 zOIee2;V&oUi0sR(x}D!=zVKEsoE;kT(0G&E2J?m8QT*HFOFWe&>Mx`!ToLB6d(m}H zy~pN7w!#$IHrbNU_JcE;wVJpdvUTlZOjK)_$y$0nv0`sW6@#MwhJuCj96V&jw61t7 z@44%|*Yr>*>sLmhzw9g4^EJCme!Z-D;CaulY?k#VQVRtCT+2`pIK_}}Bemr4gZ1vs zrcLhhyq;55n7ccdb{0gs9Ts}ZEVWKh&oP5V;1>5ft&An-O!ZPSr}PPb-)$nrk!)}C zGoy#^Fn5PZ*mL3aa+fT6KU`Amz0R>-`VvcTfwYrwY1IzH1)dHWr5`kxoU~%yQ|BC9 zB608d!j)2A8D}jMyeG2grIp_vD;MJuiF>MxW=cJLsx)h<;Jv7YHyswKH9UK9<zpQ8 z*{dA-yDr%Devs2RdtLRA^nxu8%6oo$a+@BCp1;Lo@kWPkQz`Z>F3NjmJA0R&`>=^m z_cF)(g%^r+JH>-DTHPwrTf?$i#1k^6o>RZqvGA(YS(Yf~mP?Nw21czGoX5VjRO&2a z)cUU<n7DE;aO5w!;MV)0i!1jMNB+bMX}uq!ICC#@yq|d?toK6{f9~a{hj%M(iEV$# zDs*e1;JuFv=1M(mRk+34@{{}hqYHVxADjf=UgXGEx)|46pznX4X(9WAq<Ql$bA*5T zvd8Up!?#S^J@cG@n;xom`qJc95!lMdkZ5yww%V6PZWW;|ahEvq_gy&cAW{A?h)4Dc zNB)KjioG9-xMi<#ykB)mP~nQuJ@2K99e%h~C{68Se7O7Yq=vYQsSUi0vY-IJ$f<Be z;hz1X$x;0Jofk9}zR1|<c&~qDuxE?c`plN)sV}#<Rj^Dy_hQcj$uLk3h;Yh(5%c&h zOI7glzU!hd*0@#FH{QF%p>J~Gw$#J9%vJ8ndwQJSzq0tK$Fz6(;SWVjd)Euz3tPZ% za)_Jt%R;w`!wq(qIP|w(IPRcfeXnStzsaFcjoMX$c^of|=UU~ty`1B=qjc%{ttxtA zFZa0ZFk7l`a>#pg2_whWmgA`}y%nyg@45C;TUPzgt(S}3KAc(ZEp@PbzFs!V`?_6= z1poYhnce$fsb9G1A?tM^jk6t|u*z+?!pmXGXdm=yo!}n-mF;dHe3#F2=wLne_6j#g zY6GX$*K383q^A6OVKAdOI9`^!hkwO<zUG<6U#}+`1TSNhI%qJ1EsN!Jt`tj4q{y|i zCK1Xv_FWK|YjrPi=|YDWmKBjx+cH^-Ii=P;Zt)lDWmpv(Z1v=VM{fe7^WuhpsE7?0 zGUjGmxLuG?STp^>XSd{1t`9y-3mqgR3)p=gZ<WiNnAy}P%qC?b$Z=mb?YoKRa~`&@ zCXvb#7cYzSCRq3fn>Kmc_+I8X_Rb_$Sz^a!p5BCAe#WLvJFU)M;!t~K60JOA>1CPT zgaW@})24}*XD@SXlQxN;&VTI57KiqO4Rge@SgeJkSX%O1UKd2I5j?|?!71>HwTxj) z$nnnIMN!KHdCp|+>=Sl-y2VNPht>rzg)N*FiWjtcA5=Q5Hf{1}TziqDj%7=j@(;cX zR=p2I9bTI@?X}Onz+v`pOQ3SZ`^##*2^;-qn>J0g%e~0)?faHc<%nyS&3Y4j{coE# z&9!-Zfn(dpEy2nXp%?TNw#Z3{Ud&UtqMoAzY9a}zxo#2X*xE9^`(>mqr!jYxpYom( zpYz$C5wRESWZ9DCZmoaZ;wZFtfofu+8@owUA^+YLs)^s7^<PFLT=Lh?_KZ-y=%`Q= z9r5F`VyxYsb3W~*ItITMC_6-S9*&;a$F%VOLD7kQnJnM!t5`YqN}MYyiBaCM=^|%u z!e2M_(m5Nl7YAF--;laEy!2cGgZuiIa}K`f^~+{)Hn&<V*mL_uY43wmZuu|f9IWlW zcZI_*vLsA-htI`mse^)D_rz9A`*OIa_0{&gdkocf54pv;OW$4EI{C(DPKh_4`1did z(U#>;zA9z1TVLP&`#-gMp=|;Mdz)PBCKMcD(h}l$7vpfK&B-E~QM7x;Lp9g;FQgi? zMfR@F=2kQKA}6qquV(g(+Sn|Ka~IgHc;<P1S;*JCm8W*eYf(PeFNOk9%rO-&gnJ(} zInU2xIc)OF=k-B}-g7VK9Q5n8yUy_}qa<!QU%2QmC*>bH7g^_u|B$&jUFzWJ_I;N) z;;c#nm46()pxXQ3y3=&iriJpc7c~$3>)m&)@}T$qlF;W;`HjJ>J$V62dp!K^HYjlF zhri-$nZ_9&@@k==jQPstZUw8C#7P~@m|Vr}EVsmb&SE>Mhq}eDxLam&@a0_L=lI!@ zFa7oUq=Ll7j8^&|@)kE*@vO@-;ox}8^XGQP2EOL!QoI*A)@7TBaOkqU%QEp<&hBm^ z#n&>c;j?7#g~CIpd);0|JlOBH*re&aRPQy8x-1hn<vn|SlT8nGZ#D5#-V^Hm*z}P0 z4HHM@J@vlAjYoKuFR1i>_-5jJZBoJXg_^ru3bGciw7Oo9vM|%($E*tfS<A9Lccfh4 zk!3UInYB{zk66YuKmL4;i#ZBcZ1%j#<P!)J`tW?&PN|1mnP#!8d{cAyY<kF>5mZU2 zI&e20xyO<*k?(Lc<CX~JJ$;VeCWm%QYza}`)8Tm9<j`)REiuY_Y#g;qxGLhC!d@Bd z(Q#aDa%i^DmMG;tXB@Ik4&CP65_Y^vTq<KO$7)sCo}&k+Nh~{Z`T7>QMu!TS*=89n z>5DQK_p$MNURIN3`!08jr{z57bh%rL1Y?RaR=X7(UYIL&P_cDeHjBE*Eyfmqwtk^o zoGr_ErVHI#s+wr-v%8cr(a@*b<j`fcE#e%qOm${o*0>o&Eq&f_f#*S{^Lgfl2M_Re ze0wSK;Ft4n)27}0dlv}C)VyeLD|o$7+_b6CXz!BGP0tU!OjWod>C^ji0bg^aq3k6N zwWB2w%0KpB5S(lL$AMAy>c#^PCiT5EdT_D6%C-IB%@ixXmP?NkQ>_*X&Wl?%S?Xb7 zn$=RldHKu28w0LaJeV1GnZtkSrOdhFHh(<RO%7dN@MV`<h0T<E7dZUcF3+}NwbAlP zH$AlZ?3ZbJ%r&epauue?#xZ^Ab1M*DP;Po?^VKhM6D;Z&s^Z%Zx;EHd;OKX~+#V(5 zKlhTm!=i5H+BJgnx|jKHQIS(x>R&1`PiDz^lS9eNO8k^<3ccrN+x~gQHTSw|(^36e z#+FNm>KpW4%sH6eu<r^-9n+VoZU%hIx}*5{7hF=6Rrl$7X(Z6*YQyCd-VkuT!feLA zYaIOCmqU9$d@%?;@J6JnB4ffXMnxX~MM32XOWKb!tZe5yEWGN9FvnU3ezzcf-A;AY zmD}AaN+$hcP@G?JYu+!Wh4v4YJFS@SC%eDb>96Ub^*b&w%w?)`Z(+-7IUblX;T-oo z|Ahyo9yW?fEfSoUuyCQ&Lq%DsWuKdtcV$>i$Pji=E;*M_@26ZE_u#k3;u4*LFAFb5 z37xYwaZ|pr;Bv%VrkHIRbNHI|MSIzu_8$1!uJ*!UNBSj~-UpLBCz~`?n)+U=+OTZ7 zrqshowOOk!A9gM_@qI3JufQbsxs+UiiL-LV#mhdj+&!N%#RRg%<9tn`y$?<9eD=bi zLjPinEZcsSS<90f=PRDQKB>TD3F|JN54nq49cBnVC~)j<2>4v_tV`^*(SbR0r>!vJ zF!zsIl_aRQaf^@gj31Ya*0P&>MlHPDyjgSY6^?xqw|G65n#Z*z>baC0!xp#aQh9Y- z!d^c-C=tcpV%M9Vma*5Zpl$)L*8D^KGt6Fzd|+1E!s&5lw!>`GL;blI#1wjXepvF| zT6OrtVYw~7%71FubFXvQe{i%mIaF-7C3tzedtt_Ow+gfNvh0@j(u{t$imLW+SuN~2 zFCsxbQrqQ%at9YaH$8MYaSOl470C;AvTe(cy<k<iq9CWdnAzcnR>iuWxGNm}dKbN| z#B5Hx=x4XI&wjCB+L6tUB^({sw^mH);9LDg>%kIF_l6I>ELN)o|Af7qF|Ea%W$&V5 zwF`w)=M^w56^`QHpYEdG_`&q!VSy5__J_-rUxc<E;g7hGE%oqu#)~a(KLmNK77Nz> zYl^$Rk>g97+mA|tD&dZL-;c~nC1DF3)(IDuf4f_<(5fyz_vz1B`@5dmURjvj?)U#p z=7nW%h2OqEmHFXG@cEK=AAShO7C+kg@y?toS?gyUH&mAw|JHkJ{eN%m?%nx3AuEHP zn*FPv+Oa$T&aBU-t;vVmxL2RQ&a-R1`@6n-7Z(P%uamu1^5(<(Z%=dQ<z0VxvijE6 z_cxx++%|XDvuWFNFYo+p{PgL^Pkc`cj~PquF#fgob=UOry#GIxD_c`_eCD$ncWrMt z9(_Qm#412!uY1a#!uE6DZLTf++#Ivj=KVbN`C0dO`d;U&&tG4#dfHQd`=EehTR(M2 zg#Nnmb#++4ftXzn;@3_HU97*b%y;Ile~X<fZ>w9EzWtMOyzi~`{m&Wg>v-qYL|u24 z?EdsO$J0cA?XAnPQssH~_c<<K*Z)N6piS7^verLo#`{~YF7UrQ-~F?3i11FGwyZr3 zSC{Bs<yu^xzGBtq$nLnZ+Ki{3Yj2fY`0jq9+t}^+9~HH$5wcc~>^ItoEnZxA#l=)i zY|}22<x#idg!DC&%`e=1@b=>Eb%HF5=S?`Jn{0k*Swh?w_3IpwZ<i-_KkGde-Erxj zi?dUm$)}rVP3}y0Q&gTMc&hiG#ay?=({y(->2vOG-PLOR!ZvnZ-ImbnX0f+lU#jo+ zTP*w|=<(clx4%TK*yPV}On&~GJMSj$$ZFeusm06Qak@*+&(t?&Rx)2MzHqVDNV>o9 z=hZr?y?<w|cxx!Y{Gj~h;{$6iO5e8qRdRiuf7z=de*V7Gn?6{bSSWj)^NH$Txm^A~ zdbbuN|31EE&B|MWD+*WtK0W`<m4BV;yQh7&vHMnXeYv%|w$Il|?=K(uu=nEqIO(ae zr^KH6m)32{{jC>kRZ_J_v486x*T3x2)5~96S-QI8Ir|p9Gpn_0ZBCvO>)(9)XWH%c zVRpY2?@#%CMN1>i{*iRx{wUQqeESl=t`0r*?uqp6rWfb`WQcB(|NJ-qnP(^4arWc$ zKg?YfzqaOE@V>=gSBjobF8>(2%75)4n{USZ+CQ%rO)vkvH!jm;-ppx+i=S?;{&DW< z^^1>sOsu!(UtSltyR7Es71zECsXEj3Uv@9vDY>$|@>hP5+mn;eE^ZF}`&x8^h3)hB z^&2{#UY@D*r7Mzg@4VJHPVFV;n=WXlx_()vzjx1$H+)CGB#KqJu4%Dbbt=8T=j)Rd zhrC`U|IhmQ=J}V-`mIdgxPM*QAEo$Pwf0&3+K#_n=YEE3r|n;M{_pCk8}47I{GYY- z&Gs*?`dfv5-hFkE^?%mSHFmWx<JUHrE?EC(wdkg{l8gJJ1hZYH|5+`%`B=%#{ZX8| zr?2}FuAS=pBHKQzYU1@N>%#uJZaJ_#YG=ga|9x6_-jqCkWB00U?WFp<7TIohH+!4K z9eTH1F7nm1P0y|vTi^W?bFHZ=b!GGsxdrzkUx{tLA3R^D)H~Aq)%3Y}j(XXye>Yz_ zo4hvDVcM?3ZwkC;g3RatZDdPb8GYcNM{}(F<|P-7{(Gb-^(ekS-&Stf!hc_Xta{~d za;9AJY0tdlatj~+yZj`2$NvR_|GsjaijeF*BG_QR*XUci)YItR>pqsf-_{=E{w^-{ zIJ$TGTHfBTwtrTxeYDu*TzOY(>KfhN?`y+Cj@`P*azE>6>Ka?$FKfesj>#?d+_&2G zOnv2>!dY*uk6tqR#^PIOZ0F->C7Y3<wp?l6Vvl=!{!P|v`LwuvX|Qm*{GQm(&Ufp# z7#`WaKC|>zS<V8XN4l$(Wk0VxB7OY+ixvK=_e`uVPW0lh+M|AHPv86{{Y{b~GwnPs z9iQTVapeX6#rJo*@$v;emA&HC^jBP$Z`p*P!qR1qE0<j1xZXN#$t0~wL9r|SwKP9| z{B+~;7L%z{UY)65{`BCf^V2J4|76eQuCcCiR&7juGk?qaPX_D0^Vr5$O31JO=XyR= z?%3>e^_MqKZT~3t$$rcBPX_k{{!RZDB6p0v>A(AKZT`o5|NhSie{k*8p^Din3o_Kh z*UaXq`Sfz#FXPhUjLovkPT#w`kh$^qzK09vWJTG^NJ;-&R=ASw#^r^D_bv27|Hbk3 z^8Y_{-?lj?f9IO*&Zk$WE|%6$tCfk0eEa<3j{Lhp*RC%#ja+#w(!M<`WogubU)Ec! zw6E5f9atE8wadt^;@aJm1<u)jSACQ-IkB+xYMr$1NuRZoE^j)>C%fj`<C5mWIqNOj zExsgfFqt(yGN@)*NcNo|vnvbz7u(J5vw5MDa9HNni|sc(@5Y$jS;$-WdP={IvP{(c z&`Cdk<}7i(z4`Ll)WtHlrcKqmpVU{DksY?HX12w#g|RnZif!6hv*oPc_J-c#4EM5K zOLO)(SN|ysSQvdk&0>rB?iBAEF70LEzw^GNoj)^qhxqx_$v<`o?l7J;d6)S|$>L4U z;Z2#WmC17+U2xqev*X()?w+cug!!*7O!;#0V`R-N=XmzZ<>hw$e&sLDCKUcUdVR5f z`Nx=lXA54$XfWIAq`$IX{N)FO#pQ+OPB&{V3Ync=`22EzVr9*aIcMD$^>8f}dsrnj z@9~A70W0O38U8MOZuO;3?drnSf%=NiKFw0w2odb#J;Cb#<Mt(j%C?ZCqAwXZ+2 zZN0E?^S-YYyW^f*m>FQUcY{K|ZrO{SS*<V2WpjUoT;H=W!tC>`$VV3zT<~9Pzr*eH zzS~d#R;+YxXOf(vb<yuic=WRi;xn(fIr)FR|CFzGp6l;NU-utc$iL~r6WP3_&hJwf zo~*v8)qd~niYdE%SI2F+wb1|1i<jGW9$R?c=%V)*)~}}5tV|9~pLgoHRlD1-OTpEf zzVh{cT)KSUKel$apO>_!R7w9dJ3M{ft@gY}(OmIWMN<!&eSX_4Z)-Vy%0^wjy-%b2 z`{zdg6nPTezx{kJ-(I<0>cZaJoC4)<%l<N&u(4*&+kzJ<OE=p{YzPsQeE9pyT)w>l zFSooAd@}j1Ui&xp&5_4mFWYx}VQu7F=91a>4;Kf#4ZnCOdsEg`(`_^R%kw__?0kM8 zbG>uzpBl}Zi@I)Z=F|PUKK#(U;*3CzW=V&6)+fv6pPyI0V*mUrN3K8go>j}Y_p!VG zvrQA;KA&&jEjaD_4Dlavr{^z|^X9YIq8c*cW#z&wTm4VIWooQn<hC5W>CN}`+jQU9 zrTW%iHum$c;3~hcaNk0=i}9(~PVKh2_;BMo-P`u}7J6U*HaGOpiaXAHvTL8!E6<*P zobR)1&=!?hvv)4rHOuyp`;S+9%2U^@*c7ezZhD`U$?B@GxzSf|8{QKzjx<<#E6n?3 zxXi2PkEK_7ez1`5G`fE2?L!v%pxUgDN6&k<$9-IRbh_|z87o1r{jm?auY~_>V!hpZ zMl0_2f@zzs%T%5Hx?22v>T+4DxAFS@b02nR{;w+hW;I{q@9U?V&40w5-5<wTJ=y=o zzpq?3Bln&Bus;0Ay+_>q|EpBr<lZ~~_x03G<v&~=M(f*8-+Nm8%D=ClZdBKBzK>qN zpkwd*3*rC1cHLy>i~X`b{E%JAi%Io+gT764o__ZX|A!@Uiob6x)ZRB)`%LP5i!WR2 z_u710=^S30zPY3JS%B--M_)Ftd+s)&f9mre*FT%Te&u@aIp1E9Ul+f=pLWDb^V~UW z6Z`JTadE=&A8K<<T$z#{3#E(8M2Yz*s__@xoX*GVr?~9(V{N<gCBlyn|8uqTSSI{< zb+dO(nTPtZ<?~MS$@;4w+inp4qhp?5&-<hMp9x1=8SdM-^VIXHB}p~6R&iWdeem|; z?Qz;|oa+MBKl1Kkec`1rRiMA8lt18pL8`PwcKVSjmKO;RejT5Z<$BTBLAY5mcS})g z&3cBtvu_^b`BZq#+F?GMk=3Kl&324dlOpdFEIyT7%lxJCK=|?tZ5#eFU0GcvHp_KQ zeM43F_pSG)7z;`ZEU=5zc(%FmPjmXhhy!e_E8@kvT5}2-ex)yrIMT+F;ULy^G$*5h zEp1`Mp*Gfx0I{y6ITa0Tzk@@LZB%A5QQ<yXV`k*wyg5K8VKI}54);+fGb@L~n*(%` zl9^1DxQ`~8nK>x0zAv@6as9i~v(@%QzGJ*PtC#yioZyXhXSX&!X8scMHQ)VpRHLuu zQ8ktqk%G^9LtA`#F3fv1)Bj7A*G!QGs*$l_M{ho1$UXIHQ`h=S%ULpt!*;Rm%+Xrk znzhfaD~4%9CgU%iSqB%X6;4^ur?Bj^rS|5_Kb_2!9oDCql|0^~H~%cpg_UQXa^G0P z5PKp=N;7FQbBWF79o;UL&skn1J^NH>X6_K5syF}qGu9U_57zbA-1v0k4@2#wg#~kT zIWDkEay{SN%J^%;CFLO9HT!=rVyK-G6tMo282gH7w%uiVJ6r1aeJP(~&=xDTK;LM> zlh}&LhI8o(C&k-N|FlwM_S|O{Be<Y=s#lYujNIoVe<mIe`?S%tcgZfH2+;+BnJQOR z4`kV0SUxf2^aoSMS(0aJu3X;y^cF+u_r%(T`li=dSG3EUPCfaxW@78vDfU|}ZXXEu z`uFwIO}BjkpVo(;GW%%SlE1e?@AjGL0-#(Vwy){Kdhzt=pG=4L#~I!}mR|h7N;SuN z{+Zg>PoHm;Wt4gwuYazLEu*J?Z_+kxhveGVqDOANW9a?7e){=uEE#+1_cCoWcM$&b zT69zTPqDN6;{>bq947yHExP$Rv&qf<ah$is7ij+b>UHxUgYQ?{JtCXLnM}@>7q#wu z<Iu3|_x01s`fM3(^?NO<JNvJKa^Y#lS?#a)pZT(DhPX&&<r{_fB9U?V9~6HGyqCIK zFR@oQG32Via!K8J?@oo^i<Lhqp5*$bpuvo7pJ=b@l3D*8<MU-WFHFmR)A*I?aYln# zjPMF^(c?^8{!2^$ef3)C-s3~9lGeSe-^lV`sFII*rSP6bKV*kS^8B2gJsq1TGv0co zY#6$CdBxe^7lN*S)Vja($GIfCYF&rhH7d)*JeL0qJ6@ht`GzOoV&7G+8?PB}y$kR4 zKbrr+c51w--KKq#3ykZo{y0%==8*q0>~wNI>x<m|U*nJ5<GWyOx8+LE`?(Bz-#dHj z#@v7UTR=W4spWL?`;WYyH|{UonRVj*XTQgvcf@Wz#a|KqZRN_WXU_KyIo;%4QS<qq z;QW$R_2yN@xBKd!GClgyG+EZ_>D2OyFE5YFrOUIwVCC6y{RyZB@A=tseA1y$AvZ&6 zPO-mFD6@RJdf)jUGiE+LGI9Rtb01CJx7~8(vA-H=JMSa2Rm{}6&!cB;$XAx!`A|DK zp5;ZrG<nmwQ+gj-Bfs|WF%`tc9@zI}_vtfyd>`h7t%aD82Ulm4bd-w%raJR<zZ z@yqS0UMszuy%}GHzg)^Fk(9pj*}l_^vu3ApuTok6;jW{U=hdwJd>7`JOv;MdEw$ig zrpi^0fJXW6Cu`2G5EsAOv}Hxm<|ipkr&u>y*Rg6m&Yya|>Vf%!p!(H+jIJ4byk395 zu{9)6^0nv^)2U(2&-W<B#T=_*f4TPcadq|<r)%mSf3<r3r$?2wHyK?s_o&{yBkhcK z(%+^Mn^~=ChOgz92;a<@wt>;nYG!YmrnJP8;K+<)pKmTun0wM~a|18mrPntz#5O59 zRw=*Qyz%qF+>8lgzi))UG_%t2@cz5%<1%9#kJGE3roOA4ecp{FQ;qF@iPY4a=3<*C zIz~<0xp3mVqwcJkMbDzDI%LmEoA-P)S|hac#tHqj<fbp3`L9{`MM+36DF)@O9SXJY z;`PrRV}H4)es7IgBY)Mp{a2?%8T#>DIyK?{=^h(1O^^1%nG<I&`X{n9r)Yv*v!h3w zcgRjhjfCK)E$81)n*066O2=F8qt|x$a$n-!ch&307X{f5Yr{^ZvAlHMpH<UoZtS5C zN^6^&zHG|hs$^#E;a<C1bhD+S)wAfeEoXTzY45x0b<@Vq?nmh3yES(6f7Yyix{<Z! z*5|ci>D)i(Jdf6$ugi65H7GehQrP<}dhG;V&P$o{)}9N)&P-!_8M{A9H79byz0VU} zcV_FRp8lEeGF*4w>97wQFKv&SvzF)5^nF*mZmv>@{j@ghP#F8mP4TApwqIv?nSDR2 zaGRM&ILIxfj;lI;ggky*Upryf&#=?!(oI+HZjWNTEwMyy-&L-g%M`wTSsQl5jD6+w z{H;mbR6X|AuAaJKt>Y`<lY4e^Zgtw$``+n~TG))!+t^p`&)-_JP1&QlX0_;sxsFmY z54C5VxpL)}cy0Szu1hT^&e!f;r)k=K^PPh48MTWw+tfWKZ@Q?HTHS1-`Z-_2g!}Z3 z&5pBP1$VzspU-{CWPVgl{_mOz%YLs3_$d0h=;l6!vnRZ^HoSd4TWG$iR><QwtqNyP zsRiykWh1b}GIHg#P2$Za=F*}2CRA&BeBKmvHeH`Rvo35E>zjlLVkt|bPVlj2CWftY zeN!+&%(d?Ef7a-|Z!Hde*N%L-dh*)Ej!|=`?)&u2T5QSIn=iV(PUt4DXUUAc_Ny}W zO~r(3?p{XqKXePr3Y9$0hksokam4S_-0-W9&d4(cx1V?;a^Lx<{{;P{xTm{U=!iUi zW4f>Zl#}87xzDUu>WFaPklZ)@l+(rud*zli|I^;uuvc)2^FQGyuV<S7EVJc4s#Ip? z;l3$ACoTUYZ?agI>YLnssb`!vPT70dy!W5>)(Lx0m-qe?e&W68`;UEw+(&J`+1<BH zTPTq(|6y;kSl7=t&iks*IBjgGJ@UQ(pZ3<4TA3x~e_l`B<o`o#Q`Y8;!(Qj6*4&Wo zIPvMma>uAwb&pS62|b?f81?b2a&Wof^QJ9tX1l9hn^5_t*iN(V^NA}wm3AJd?=HJo zHPt1pey7R)*&kTt!~XuLTgemiAbF0w>DS7APn74p-+Xyb%{Nhb(?738H+0ur`LI6x zgx*KT!~5d|cb_@V^S|oo8!maHKd+~5SpT!*;dA2^$_rN4l)ry`&1t@Kc*~0A^^e!o zT+?;g4ATBian{@T^&MY1F2>j&6|OqECcQ%}<agbd+mAx#eV?E{|6LXTJJVO&-~I@{ z+fd#5V!eIGy{vAN*F2I}&8ym1e0+QHw$IGAr)LZLo2N5`xYRmbdC-^9=YGOO`ZC+a zTIVmzt%FaTyg#LLbDd(;`z2il>y+NeFA~#ydS&jr1_9IGfnRoCpQ=4IiP`yzmFvGJ z1yMoA*jh8x-aHBBdNi%9phJ!2$G__$Ij8>DzIwTC?X5p=SN6R<{qU61zILg@A0Aws zAi!ICDSIIw&)S8GQV*ZF)=zTdJ{#XZ{jk%Q{x2^VxJtg{;lIe%zNqxp7TtOgH{DLf z8ND1A_49hJy}Ge4cHco+$wf`G7j3m(6uQ-S*Zw}Pi~T!31pAl2xwLP=ll8v;RCg?P zE_u#-aaQcVK#fb&40|{O^U9V<{XCkJ)3Ge%f9e(m?za}w;)^~Socm~2U)Y;z^8cga ztmh%u_RK01D*Cx9(x2mEa^}%bH;)Kx^}o7etL(8Q0=6@P{7N65RQ#3fWf|I|saU14 zbk3|l%iCT&oKlq4GFNPod(f$s=PYeqQZ=83yq_bu$bR{x%lkb{ja_nsPpzz)DZ0oV zOv^61zkEvYS0i;7{v{yV+~sWWsguH*X8JDQmrJBvc@TEuS$jtAtBXOQ51It-PWSSQ z`u=QVtKzS_{fqB=t4}{I)|xSKvQ~GH(R|%!n*&ejcpXgIrsCqiw12}CUEYh2t_ZF= zw?)S#SL=0gl;72_p9Hr0s4koJs!L$2*F;-O$0yS^PF0MWQP$L;eR-9@S%3W*@yEhG z8>;P1NR*5gUS#=_wfoeKsC^2a`X|&kmew4d9JgUh&szSAJzJXgOy9(-IBQzmgz)pn z1blt<BLa?@wPqAmImX$}b~e%6tFV5auHvj2aTD~}wtccxTC0%1Jtw;3*fQ}aS2x?b zBr}!A>^J3docD?E9_OV~AK!19Tz;7+Oz}n3F`NC}^(yM~%4`$Y#NK!(@b#<%>*J*% zC)2B)Ol+A&lh+>p*0y4{{#1+VY4xS?T0h_H6!`jSE5~W~rMB{mChpt9wP0(%xnlfH z?WEs7PZ>40^jwt_s#0{3HjYr1(zYv8aQXk*U3vaqiA8!Zi_<a`()C+2rW8kiaSL7@ zd7AC)JK>oXGx@X5t)1F_?B+oM)3qT}x7U|xxSVFX-#bb2*1|g<cH7D<I{x;9AE)#w zn=%!b{ZF~C{#rZR+~C?0cjuDpxff^uP}<?Ud)w34TMKWiI`_9~{GXQ5)83W3exp>K zs>}W<*-x07t8H9bCq<_e__wUM5o5Xf<<FFkx{OU*(>*%svNj!kr8<AF)FNx1Z8B?4 z-^f<{)p+(9^ShS<wfECL-+b=Vv9Ek``o_LhwYDzNt3KzHgQj%@f0#RcG2YmA-h4)W z+l#z|ItDY+olE)?#3avbcbcL;<0#wbw*p6t_sFl@_v6pp6z$sf_mk$n&Mgn!yH|RV z-*w?Y?;YQrLf*4a?S8SU!ro=}+}H1Bh1$rstho60`oxRz4-N~w_1d3(B3Zt5Mct~Y zeP-MKS-8}DXfB^-HNWMBebC9HUjx%RP6eL{%aW8$pWm7hd&+e|%yO}f#fnjrqXO0h zci(uf81*(~1H;+m=gwEGn^P0!)Cw-zzr-V|PWWG--iOw#Tb5GaF2#Rhn;s^h|F!<8 z`i?J6dlF-xNMHTZRAaSYWKm(}^4fiQyJZ%sO8Wf%(Q{0sP}RlTct%9eP0^_M_KZq4 zVecZVuFb0zf2IE_nIx4oYX!$;h2v5jJr`}x?P|?jsLcMXEceTSIWj@J+h0Ea^yX3i zy~3UDGrXR1#AFmyx2^Oj>gLT`Z!q;nv&#QVdk>fV{(4M<XL{|SEhY(9C(6YZS=Ozo zeSYrk?T<lfUPpely)?fry(wh-d+}xamr8A_IQFYO^L8n_yJ<BKNAU5Giy!tG8u&!7 zm+sw?k=T6b%lws#4)({iWOh&XYWu!UG4WQ(U&k=s0O!M39_}()Ro2$}vh($+6@UMJ zFt}SCD;c^@FfnUVk;C(=Hby?X=T33j^JVd&Eyh~~<hk71Gu^Wk<J^-EZIM0v(p2q6 zT>L~MGc%uX?NX+X97*j)dOrCn3MX$L`eCp)?q%{RInRCfX9+Aj{gU-(U3St8y`|z$ zcF*%n{H3*5L0(<G{pD#9J)>2kz7Jo<-LG}`e-V7x#LPA3l|@z5jAQA~tXQ^hs4=tk zNoSsV)m-9|rsw?H*36=%@oI`Im%p8v7!{f6H~C%u9^W%umj&hHLO#t{GUe>E#o5#5 zz5J<~<2Pej+DoN~fCJCkGPkXte!IoYFZo$(rk~iXJu}bqU%sPbQpu{%aoOg7>Q(n` z+=or<yGwpv*r?&dz3IY}$0w8i<<{E%RW&_*W0HaHr}ZaZXJpP0`yKwIHAZZi>Oav+ z$?2apZ~RYfkYWyN$(;E=<l|$$#He@ig8SbJEED}F`twr0sXWUc?N0|weP@LIT(3}S zwad(B_21>E++UR@Mope+ox4xM{QBpc>c-_!C&V}K*2JBD!@H4bo1xEfqwd`fB2~dN z;(RUJ)Y&GVO{}teDlt#OW?Re4ojfX)mv2cevz7FCR4(!3mCD&Y2ii})-SZ`LNrh)w z%gc-j`lZ?vt3pCfoiq5lcO652%UiDC_XTF_SG?|d$9LH~^6#-Gy~Fbi_RcxFxQaC= ze}-MhvQ<S}ZF@JTA2wNiX{wh~kgTEY@#@$t$E%0u@n7D;V`nbU_^mZFoNdyc)9;^a zU*b`zG_P&c_rC0%bh*0Wy@k<)C-Wb=?pt}{)p0Wyo*KOhO`rBvsaLjtzIl4jUABEU z{lz}ml^ggRUtPO7qN3hLWSQ`N1F?TI`P*Nni0v0ybgj$%$0xNE`{RpWb;~~OH7c0> zb@j4N(Nl*1?lN8f`*EwyH!k^0%rmPKGoqJ1-qZQBfalUOFZBxPZ)?NO_<izw6uq`( z?jz@w`CEg&Y00ntyXt81&FsWmubq9@T;cY*nQ6!JyR2C=CH;`ek?m1})wVv)pmwtP zArqbA{{kz+j@;w9T(Cdt=$o7wZa=PSrRKM0raX(PDyy>gSzMF#apQ-%2EE@_9u?<) z-<H|qf1l%~Qt{2|n*Fbg9p^NB-n+qHci)$P3O<i(c3tnt5!=B3^WODz#-qm{Tc7Ly z!KbW!HRzk+{p25K*1xHGBfRhA3AKw&G2b-rC%OFiWUU;!x8d6D*XJwx%Qt(P_s@N7 zJtc=L`jFl8=qWjOyuUEst+YFxvUEM)`+W~S`<VUOWf;$K`IyJy7y8DR)|ZGqn^<#F z{cye*=loOq9~DO&+5WdmZnwlT-+#PsE8p)IS#~y3Lu|w8Jv$;b#5QUt-kR5%WcYiQ zKKG^ooy73UrD+QzPH8D^IlW(LzQb<U7hCGhTa>QZI;H<rkC<}0%H@Ube@?N@^8~AI zhc^|y6i?r)^d(NL>*t#CmV4)&Ha2|iyuco+ecP|1uV&-Sm(mOSH&-0fus`?9^~GEr zxtAx;r~g&{l4mxHHHTsS$s7~zn+|bPBQwNAHaQCZGLSy2WM<(cpSn2mOxuU`lX}xQ zrKJ}7Z~8HDdytuq)8fDLT|X|p{eh!*<-9EYb*%0#F9hUn?{+izdd)l5qrd0Ep8z)g zdx|lCJbdJ&MHi+!|GYSVS@_GSdz-rV6wAmiT<^T|qV?sMC3#o3Ee?<nUZ}k=-o5*h zX2>@a;rYSG+%mTA4;M?luABV0;`OKHn*RQea%x)2Qo@dv<+@0!yS&I+vB_D_;7N2& z%|R<er`KAxT;5)y(>8hvM$LZ3Yu8gfS$WHXOEY@JCZ1pBl96%2zdN*<arP<o2AwML zIN7cXvoGq*E|8lox6m?j&!eS$0%<N8S=(;?%t>pR_T!aZp_#Um^q&&>l%lehUFp}h zRD5qdG>7BuNuPyt|0urMDR^u4&&?^jUOjhxaWo+H`Re-DpLPh$kYA`cRqlWjLv4a% z%dZCy=VY^J`G@Ou-#EmP`>$>P&ra)A9I~e$ncM&Tu*t&7eN)D(nzOrFme2fjC9Kcc zrri6dL3Cz5tMj*NyBOW>3&(Wa>J9y-ZF(vg75eghdPPyQ_D@m4Tl4;@gx;9ro)Q1b zIi+;^o-Y61>-r01Lc4Ef-Lr3&G!gh*e)n;XZ;M#kr8$$MGJ3L=LmHRI82?+d%5ZA; z+1I-`&VH!Bs<riTPHjtC*#3X#cf4Hvmsikg>a+AapKcuE&^`6$pS=CVY3I#8evMBn z_nn}hF#G2!{ms>DY__R7wHtR^`#-7Gp6_ZjT|eRWk1EUJpBA^zZI_;T`uS#A!Cz<Y z`|s)0kCI5YRxYvYc3fmI|83U=@tYZ98}173n$Vl3cw2a({^pB1N!7|<Ld|9!eRGo| z_Ke%+7F+R!-ZwL*ZF;U;a`V1dT!z@j+8Wncu9Irg_srL?+w8Gv#@++s9g!N-HZa#H zeBSzcLf6taM>$GAr*4~gqj&$2=^8gPo^774d_`IMEK`|*lkH}i!q46}7jpQ1p1v-} zdFPvgmUBPj*RMKqQ_xQ7SA5~AnLB!9doP@x`G4B(sO7TV7k-_Ydgas)(S1ufQj1kr zop<SXf6?&Y+cEG$g`~CM!sh!5KP{|JiZ7pPxAm>%+Q$B?ns(|TyLNo^`jmTZb<MVu z4xj$>-^ks4UhSh$wlPQP8jY|Aj0WqIa+?=Qaq>)TKae$@<8))1UDD(V=N7MoqAH#> zzdEzE8m4WK;Ic`aT;UP(l`|+`<%38Br_slPLYDMtOWH!jmT+y@RA9(q!0c*M`{%Ch z+}K{02#cK&wtwgL^s+i|{Y^ZyCMG85pq<0A^$g1P;^ls6lZvza_-^pjEts-7IUrz3 zCxbY<c=zn?&8r#IX9zygI>3^~vYYDzgXDs(x0z}j+Wa#*7BVQeB&r<XOO)U)aF9@A zIwR1wl7YJ=QSAU%$+Y~8mf1TQ%%`L(9$=fovUb1N19mRQb-hnm8Bni=?Rm5Ot2!eC z!zm{8TjZ1S6N^&xDv)lGpBotKeb_*x_Io(Xm#y8m?|m}+#n`%Ys-L4EXJpaqrdXl8 zpjg>|<+pv8ose^LR%kDHXZ!rlYsG^0Ym9Bv)|{G={?q2^Po?Ndd)Jik_T;@0vstSi z%$lwEGR5t^!_jLOe6BM#vWbVXi#TRX5mR$f-{kJOb@8UU$MU}>-~XqyZyVFqjO8i^ zo6lvq^nYI@wf4r0h>!-Ixl=!@U#bX*nXTNq^+D(K*fsyovtQdTaanGXoi4w0&W~Ly zSFPLk{L#6Eg3$@)u7PTml`H%8Kj}!j7&K~s_;qzp%+LM8Z>vh!w7kD9EIknRag&Ge zzZplKOsMq9%KgP&b}lL5=c0-o>T!Dx^ScOiO?|0jsazsE*YfDHuTfpIO1M8UwwfLM z|J=pd#*eL1W|4{PvM;=_0A^rNh>eQceEQkMS^pRqKp6Gz-GY=P_}TUvTlN1gaGt=+ zz{11W^~T23OCZ2wHS>YjnMHeK^pBtZ2%#39<|)~@`_9qlNoDMYXG^#Jdzh4|#LM9I zc|EH@4AV!pS<5mjO&ETdXS+5icP-15oMxgqnMZ_Km)VXva+T_8naTEZy}b$x^7C@% zFFZe;GkM#2Z;Lb1v!!N9N{XFc>!Z|v%=B&9@#i0Y?0Kj0x^LAx4UJcAv+^`v)^)v8 ze^K|T+?p|0U0GR4>H9M6`{zKwui$k;y3nPGfr1x<f3Ph)@Zj3dMVDE&_dVBPsg2JI zwQ^ZDt*z|Z-=3)_LV7w|8*3{wpQo1}ib#HQ#M8sw&DEvCL!G_7GCA1USb6iEQ!1Y_ zbcE_Pcy=Y1fB$33q88FRJ3{?Yn%L~(hS@)lDij!JXQZV}i=KI82V2zVH50G7WM^e% z6@57>n9OjWdu!P5HJ9zOw`^V~xxL5d=!^)78`HzZuTKlRCieQ2*X*^2f_yz)9c?X5 zW9_*kS6_MgT;b(&k&EXYE}XYNfBx+E&HR@qO)}<ud16J!i{kSa(wAOHpSR%r36c5b z4R){p)Wl`YKfmkw=4U_Gl-oTwD9p*SGq(SIHhufgH>TdR&iDO!V?00h*=BwF-~Zm6 zI)C!~iO1=WpNr0)m@K3*>ATbFt65v4)?Nb<VXN(Tdo2z6Z#dO!Y0&b^FZY}Go_RLU zZ+Y;1Tad`g5UpOf#kST`y>69dB3!Lb3j<!9`><m3e9PaRo9CbTTNA%*_Sr2do2U0h zuT0avnDhKhncB%WDk@7BD=RNvwCL$Qms5%h7l=4`Di!^gn7P0H+r4USkhwE`)FykX z2z9zB^&fxyF~dZv*DYCK#pj7HcWdx4mEJp2e@DisR``GHd($EwCP$TvUtT`EdGX}I z#CtccTmXR=wu`@?@tJOTrufq|O`*@zex5(DCpBeS_0AZ*>8GCt&Ae0ne(!fTIgnK# zFC0C;<K|PB|29*&7CUNuSb1MfIPBcV+&_!>3x$nqrT_mm{@8v<A>jG>AI&mx9Wu=f z;v#V!_P?J$OMfE4@=34a;RoY?J%(k9%buTZ^}BDhRY%NQTW4nT<g=+f^#K}2tYs<_ zJ7!BfKC}4BGdJb7+jFCPp2conm$yo?cIG@^$-;snL#B$v_FT1{ch_!=n7lFUYR|)C z+rpk-WjW9qGdCu3vJX$ag!0A@&t2|c0)t;`z~Euo?z?&0opeB8P5u9uzl)a3N}N7& z;LM?2G0{<x(HmE9JYRQ>_i)_K_cPjS%M9&{-@JRLw`Ug!{3>4?w)$$;TChQzZswTH z{`>uDnA%qVk|!4<&e&b-3<U#cMX~OqNgMy`^;kJLzyI*%LqgB`YwDLLO`3fC?%l#; z3m1XFukR~CKvTMBVSVkb`kv0*%+%bC>(*?!sikvu%JaQt-#^}39B{n)t+$t-{)4R( zc!PF+ky}6ac=mVM^><76d^_(}US3{)TrS1S69n$MxPrh<9dkFn#Vw9JtVdZyTbrD0 z7shF<SPlmKR+b>}x4Ixo^_<Sy?y|JwM^0Y+dFj-m+vg%<GmDpP3JJ4Zw!GN$WbuuX zvTJuX?%o~$a~2q^yZ-uX)!vO!Am1+oll8|BJU?CQH}Oa2tXZ>jrY6S}A3ie6YiZER zD=$l|=K3uSS{b7Cw|ti5%%{hGy<~3Iy2@FRt9+cnBCqD#3IQpWSNAXK|9b!8^Ipc3 z!)*+V3<1obTyQ~4xJQLo$~fiD(I0R8Uwr;qGynWU<4zl??i(}jP4}L<Pju(DEt|H5 z-^vlaIz^;Mv*&zY;S6&#Qxjt&{Wmc+WpC~s-n@8n^Wi-k4_5EE|FG_J!H)a&-`5G0 z@0|bKuDaXGYP;o}tw(olx|Fus?s#pP<qmV5?>7r&j!7J@{bs)1to+TpcMhsxu<SC( z8|I*Z_4NF|uJx+hLpPy3m4|MNW=@REOBJd-B9Sq*DtBFElsNC^)Qh>#SFPI;6db9Q zzHytAF7MlyF+o~Y)~{z37T-7pD-%l#b6Fd27#{m^s=TD6q$C9dLO|fl``H~3;4xE1 z3Id$uT3aCC-`(;6Ft{LYn<25*C<{52gos?39DMm|)Kb6TB_0!%SOo+G1TLIEfBwAx zW>DfhyR07q{Gos!3eNwo+4<h&){|LFOW!Whi@NesXV=@9t5d_Ww5*!1OYGR4eEf$y ze+M%=pNvI;LH?Z`g^!Q*N=uvN+}MzKc$r(TlxfzLALTq#?Bds%NV&7df3S*9U@Uv% zJ6~>|>|7ZcDU*x~3mln$fB9K7zi!*|X>Da`ZQ2VSl(r=_amMXtS#Qh4bC7xc-Nx(h zc=wdC#r<YlAKQ5SUEjvv{qyD-CLimOG@jw6eO@z9GR$)Mj#QEDJ@xym?|HsoRDNOi z?%faX?$7?tbP$|=r!z1`MV8#Qv_J65OS^S$QB4D5cAw!l?f7#|m6?zF9Pb!*ShMj+ z7$h8M`1pS3uYGsYuZpj|e<We0#tQZ2%T{mon(MXr!t>KxmOSxsvNF<AYMLzg`Ih0a ze~Z6AI@&F+A9p9=&81cRvQy9AN{WrlT)t$<vO_zP%fHLp=B9(d_xE}+I|?2ia_tt= zjoOmYICI&%GmFfZbj#1sk83Ni{IvY-=hvH#yzdsb&zQb%zn=G>9baS>f5(J_fIAqN zgMm62gu9!oi-Q3>7?{^ogMjd^ognb{xA*<&-lYon7pa~G*K}tWxq45#u4}h_D+p|a zfP{lhtlVP%<5ZGzzs?lT%t((l&MP`EV|cdb%snH|Hxkyz`V5a9+yVw5ZCkgBYKN_f z*y!X70=YXcPw{+mF+ye0LJ;^{E%55F?l0rKLo<3SlY^Zvw-|og3<lEDF-PXv+Rv8A z;&ol?R$I18P3H&Kwn(L^n$yhko^Evyz1w&<Z~N`Hvu1+8L*?nGpBC-BlN1RCH}^Bo zY}sJs{d&>do4zYQ-CDWl8mI(Hyy%;{a!rws|MN+grd%({35s*CtbNKnX(9;x-OVMc z6|y40&<q6Bz~GtWjMb(w3{SsKzW(mX)?+ok8!C5jKC6B!VO`d<k&hb$b~7@Az~-O7 zz@WUBiR)ZT4yTZ6VnY196mRY9Zq_5+8O?7J+Z8I`);-xOUHP^;Kxw^r<y)7y-xID^ zJH=H`xXyRvYuxVS@;C3^KLvrSU~m)+AVIkGPTZ-K>%y{?Pkzl)zN6>XBVm|yq=i#h z&1VKE<uNj|@tEvqjR&XHH9Av6{iIrr_WD1c6a;Ef1<Kr+EgdL*ullV-@*9cd9#Hx4 z{Kx6(`tkc}4*s(`cm$HrKNd~6qs%PGEj+1t&$k$dcN0H;;(yCK)BW7yQx0*zO<(UV z(+GYxvHr=P>+@<;_QV{>K5uKpfBfAISx_0ir{d$IqutZ@gMnV`u9BCRj)Dq_^Z7gX zYD}&_ku08Ec&xvTTO5>}U%m!`+nZ8PPt%Rwl5ug7YxgwuU*7i>_dSt~WEYv)Ahh6s zouKRGt6Jf;iyIzoo!(Ge@o1}c+3_Ez#J40J?Gn`v+mv#0lB&0uPQ-?UgH2CQP1O!x z7bAHtVr9@$uc=z0^IjZWe)_>d3H2EnPMxX~JPviL9Fu8m5%LMpRTO32>LTPNbC5;I z*Wlx==>bZdDsjJOD9eDuzi&Py5zI77JvBuWT;REOP0<Kk<YH)5xO1h(<lqVJn#Ynv zlteTF7C1D1@}Fl@`RU2Y3!TF1ese4Y%`z`7@tmyY|E1lEQ)W$ovG5^g<v8JwPfMq+ zJrvdc<6-2H>~f{HinmslPA6DC-fH~uaI1CMcX``|LaJU<Gy)GD2LnjF>O_M5=r5zi z$y9jJo`156=OmSr1}BAOIfYa_CMZ-c;$A80AlSsezWTvJ_Gi7myuADp$#eQ;EQ_9; z5X?E!A*k%ulW^YBT`<EKoDtrBh-#N9+OX@A*TV(Qd=?2kr4yB0yF@gdS~vuiTsn9p zjZ#ibP>k^Z{f*b`io;<ZlPd|$yrvfn4)@Cy<b!~Jhk&9}3kSq{#|8#QCKiqf>RyZg z9qG%Sc5UnAgRK&A*`SJQL(~Dkoe5K`8QIk8A8u7wl$G4+aOE14H23<yExk@ft=Hda zEonH!skW!A{JZx20C2_e{&>H<echiOCr^XG=8q2#xAV)}&D-(u0~pMkq2tzi;eqfE zsmwhM>bnfQmaJkCauZNw5p+{%Y!P(fc<9c5W3hX`oNbjs<%b6co7wwk%UYMcxv}wa zr&Y;|3k#i(3&+cbZr-AIYo;tm@w<Z|(_S@Se|IoDUK`X;NP6?`$Eo(if2@9`FI=_g zB4<TXA2=K*E)SgF_~wBrn`DCJjR#wIv&r{1u6VL^XV+O423r$`Vrd43!ww>?3_V$W z{2~oZF(z^eHX=d_d|Xx$cDzi90g7@Qjtqww7+4cHSOW!41RQs{H}l#wuYb-b#ji#= zCTy90^rM6P!sZX@d$+#3y00(!;V!`~zaAf4dQVzx#{Biu{gXnEcct2%%Ph!wkbFS! z(~U5uO)tIs#s74bzHmOTy(M${onLqF7%sC}v-Qn{70>0J_;%TJu*{!XzHFxVwvaN} zOLDc7ZGucUxWpK_&FXl4<!t)9jVsmN-UMl}{7}i%*4(IDu9Z_Q+Gt?u=XR0#|A!T` zk3Wq!l=?m)^vH`>78@rwH&pDu@rBLzO~&-sMOFnGU&MFUioE7fpZK&nYR)?66^(Z< ziBuOhvYqGIy}&UoA}(>?#iRSCwK@L38o}B7N7bMw_CTRx&hjt)lei6gA32?AeVp_@ zR?#ShGgECdXHD{kgKAqANF}$Q%=<U-)^fSO%S&HevA;F>++XckO%DPOepsaEX;yWA z!vy7w>)U+p1ekw*y-3A=*NY{mjx`0k&;NIS)`FkE!|OO#9=IAd;h~=ODe?H<{yRM4 zze(rC3GP2I?|R(o0=L=!U6j{7{rPXN^7e(^aW2vaWv68urq6jj|4CN8oycUP&c-i4 z<uprvPbhDiCa!h6C4Y)^-HDld(j<QW;{2`5y52=`=F-<;Zi$xlPfmLTCvtr<W1aj? z;F9aoFcBHsS6l2ezpQp+4E-)s`1<|Z*`>W2TfQg!DQXXV-MyWa<L+X~NNvYP@xpZn z^i@Bsiv4_Bj>GPS$iyA$%vsYr;#iVW+1mpgAL(~Fdx(8eO~2T{e{Fr{nZ?q!LRa5A zZeOr7ORizNqLAS-m6qOjnsSTERw>GI@8?fD#S>~S)?<;iB|dx3?dS1t-cPpB2=4y< z@mBL)m#6niSbHYR<o3KbJe=#RoUp6y^0Ft<A_5m<W35>Di;SC(*I#VEz!YfQFyY3G ziH*lXPRU#Tdh|5L@<{qgreBs_?MvtE2~?Y1tr2PWEn?rZp5AR!SlL50td9D*`M3II zGJi6xGZ3@+%Hs8Ko08sbP5}>FE*q(mmn@I=a1?PJ5D`|~fAVR<iO?P+AzjI3i>{rm zo;X9{3ikw6?rH6ZL@(|x7cyNI*uyVi)}mK-<>K=HTjg?h?axTP{67BYaz%y{mm4ys z-<Xix#_0NywLy%<wIqyp-qD7(7n_+=r)NDieiu|c$#uGOj9y*J-L%8<2d*q)jCno9 zC}77T*3;V?3hoF0kj`S9a#7%VZ)dlW`UMGwXHuNZi%-|H7A&q){?5Jkl4FCL8~0+# zf5H`$3WO~e$H=Is)?Rd*x82iUi+Pv!l2d8tzg3!~uUb&`Qle5R+u_T>KqZyGN|xG9 z&C~A-e$@EC)-Li9cgC7b1EYwEr|ZkM7(8*^vGQj9t>yFHuCIM@v2IP`l%J2;zDzgO z=Qup|Px_lfZlN;k;^Ko+Sn}A<EA*9q6YO~=IH~F8{BMEF|8A8j{pJ5VWb?)PU#o=W zI#m5P?hgKH<70H-SncAhj{W<@TkZ*-pPTaQ;aShc43X!yzka=8`;)ghOz|@=rskME zO?n--r%U!q!FAWH$)CRc{27vzpZofd?n<#+jm)0sdEdQ^t9BK5_k5y4-q9U;xAz^f zI9cl0DIEMvYlGG;@1rIEV@31zejQ<ozpwN0M@6>(qRm<bYq`tf{e0YHwZdH%tyWKs z>Dpk^wzb}>VER#y+dMLVd*fZWkDV=OzZLkAW25Dji%xMhS7dIz`nvC*+T#NYn|8e7 zy79NjH;O^hfo(#mL+A-shZvJrW?GML8Y{H*iS2CeI&|><+$YlBmKHZS`U_>V7yXhr zn)Tq<&u7VbYA=51{P(aGFl~1~@u@^cFmshW%adpKUstVGFq6B{?)YQ*w7XK5BJ@8- zB*_0;aCUir<2HG{uw6Xg7-f}Ma#ne0dCi<;adCd}e38SwK@kCw3%7;mI#(ZQ^5H7) z=PD1-%UxKg-*fmu_bvJ4sn57y&7F8__n#i=V<&g~Y&&(hyX#ZlyMlsik`*kPdge=t zbpC5>;tIB1%f0JNsblj`?Zos#Pc=!^V>?Byf9Mo`c68e{!P4)~t;$1gdl%$tZ%KRh z%WCa=Zc*dgb+6y`KUuunBI121^Ae}^>scS?dZ+F(Wzr~}FTyOlNP4&5f}Cqyg)b*m zZp!=m=ziYO;L~h&{e@XRbIfAjCGAkky8M2Hz~f03C1o;iKU%Rrk~^AUa{SyYiD!G~ zdL6s$Jm*M0%j*UYf$Pb;g^b&lzWm$qtG8PAaK%f(Li^hf&$K<fp&l>th5vB)!=Qsj zM`b=8<+oOhZMf(pVUqTX?MA5D!o8QjcpdOJbY8c)pC^41i{^sU`)ilJ5I4)vw^(TL z?iz=2NzB0<qx~N^^8T!1iJIW$`TgM<Zuv92suT;-e;dCuyP&`<cB#<W#$<}+Dq(H+ zrT4p*IUaA>-g&t5;_hCzp7V3&f9|<-^l`|~H1*`?IfgTzH|<-(^`fp#()#&PKm9vQ z+b&+WmgUxdqMY7wyMFF3wq5(PEk8(HXm!}I@^YG_ULm8#ox?v|*e=Z#+7>kBUiFMN z-NqTWo3p+eN8a7vamnJ)WVOpOS`90Da`u`zR&4fKzk0dY+z?UUDZ9!a?f5-;UD7Y- z2ZpC)rLQOb?{E4fu%z$)g_7Ble?9ze+b+=k*>hsst7$f0OujiLA2oRMY?9ak>zyr< zYh<tOSX7o~v_R!o*Ba;e5|6e2-xlncvfJ5qw@yK*s$Psfs~h{KjU8LnY9wy8Bo-wv zIn)@wuIG`FQ{>*gF=w2c9p*-M?|Q#e*WBoGkBr8rk6YL!{EUo0&2{fiX;`}RWl~GE ziv7OqJtYZqswxef9>?#GQVMTNlISt@PI>a<kJ0VIllFCqJta)$dn*#2hreNG5t3fZ zV<Y=A@F6Qh2#0*$GV|)1f-vVA20!Ozk2?OGW;yFo%VP2}{z^grELDY=mqoY#zIJ3} zlstTJ*6MH__1?+<s}}C{U34@i<l^J~5lTrDb5yqpB$r?Jt4vXe4ZdabCH|9H!IWI} zBVYG&Wn8Kc+a_}K!m9%roi7yqsvo|(>HN^Jh0)UXK}!%{>FacMle;D>Ipx2&sHUpl zFyhnuQS_hn?T!jp7WVnpyXJkXXSm$vqWdV<()@DRrU{?s>M<wieZJqb@^8RW^`te` zMd|L_?kk?WQMYXS;R|Y0vh!zh7dgKx(3lk;CK(XYCap5lQlwFT`{XBUh4_=M*hjxG zSo%1mMrZ1g&!4j{U0QQs<?X|%oi2McH4_(CceSsW`QKHbX~(|pSGg|Vxq1KEvw#%I zGQShMo9Av@uu_dnhG)<07iKSf^VekDzgEBacSv)M;h`tapUR%QXZ%!9Jaly8qUkL) zm4=&NUs$azvS{0!qMT5Rzq5AsK3^TRG&?KjvFx;|+>---v($gz>ApKN!GVJ<&&Ka3 zpO&`$wT{O=_dGhpi!M)C*W}%1<M6L*QJCI~muA@>KAff@hg3agollxO@Az4p7}<(l zr<cpHPU2p^#fLLf_lZiFYUM){*M$qt-p-o2>*7`W)9cUAjdWSx^=*6TjFrdQoM#-J zWAp2HQ>fKfAJ<>A+Y0n;o0Ih!bDE=0_q%SIxWe&<EUSM~&gat^dyd8^w9SlR`y8Q^ zw~~EoXK~xd!1~rNLhMS0OMO>rItLwjc!TxLoU3AiALoah&amdJ;$8k#=WwEY?mI=U z1@G@l)ET83$}GDg^Z%+*uj7Fom#vSBH9p9@bx!@_%41JIhE;E!xF*s`Tjs}8k15mV z9BQ;z;Wn6(V(_qJ#>Qt%@6LA!FAmHwd+BxAY5w=cToYQ0gm)Yi$ZQo)%`vWK(cDmZ zD%Sk6wECViJV#q&MY$CE?#-#*zmwPSe(kMTrtij%XD(c=Jn^FN;hW{#0^+YoT@&uj zI&fv~Y9?vTQiZ5}KYZs;W!L6>cr!L&=CMZI^}aTT3sOsxO^)3!R6MH4Xx+vzQSP?= zvgJCn`mKD-qrJ`7EPb&`ecjioq5dlbwXP~~E=g?N6)yHmWzV;ZiznP%-gw7G_R1Qu zU8^;xRLpkwHv6^b?_Qf*K34qwrjvwJj%;}9$guNms1oZ#j;l7mBya99{_sp(ZO1BG z9#htNZdJP9O7gUga_8;J3t<zPEp(9g+BCanHSGdscKt=tC$Aq`B*XYwBSB%l(d;QF zmTVRMHA#9JzuId#E$=<O^F`Km-1v~~(AC@H?Nt0qYmuGNi>1oncQ3KZyp=UCx$V~0 zx%+0bOg&!vn9WI<Mf`%<E3Y4A9h*WF<@ZJDetlVId-Ivkn>g+JzYk`g42XK^U-aw? zf3^DW^YSv;mL@-)*Ay#Gy!eV~v-n)m_yDEW>;v{eW}AAeJ$j1|Je+rAuUv~=v)tX8 zGIdLw63V&)Uxzb1620EydqB+Zg+C9=huD259{604cr|5)m-E|YPoK@3_q^3MeDyyM zmH5kFde#<6?d`}hSF6m8T<XTLP@p$&g{)-puMKj`nwjS11@ZIDoO<>2j?HJMdu$Dg z`e9|8)>?fb<5kgtdGpPF=EltN=Vf@dvZUanY|P*Law1B;t!2-ZVs5xDjGY>CMK_uy z_Er0wzTAGV%^c?ZPP;TbFNU(8*;?vv`u@1bQ9FiRm+Qs<v<NNL5ie}xeLTlluxil` zaot$k<1#Fn^+#>21rF~ooH=EaXG^z^qKJ^M<EgI`9=<Mhl=&?x%~by6;j1_?Q8lmf zRc>r=UY8^=i?fRt`ZTf~`SOCdUhu@F^hHaz_t>78xs0!DUjDn<Z*AABv-#VU6B^5Y zUS0TV8;9`R7&bxmO3!~zc9(UQ?Ti07Z<5&aLkm96Kc?h#E9l|jsRs=oa}}6=x;;O# ztHt*~9(z&Z$?tc=^8_5%sWWyTa%rBx7j4MNTxt`)U&4=FWK)pI-i?O+Y@s{l8VwuS zuLedulXiL5JXuC|h5IYP+Oih4$0s(+xvUgWx!tVscJ>Fe;?RY>fwA0`+DcoL6wId? z`ti9cT)QtY-*<t_f%y%kL2gffFE%!LAaM4^$J-4?@%%1%=_2~^7A>xpRqg)FaS3({ zC+=uX6qSv?dR({bpm{8B34`jrjZr&9yYnxU1|8eGt2w?+-}u|ig!5((r>VV-`}$~4 z-$vHGVlNe#BKPKB7ggPJd;L?Pec{sIk3M$#To`=p%7gtfaW3tW&%GR(Tz+tz5{ghf zwJ@Uhy<h?Bejy_s!-pAXnpbT%j*>XHAY1a5s_l1Hf&I6yH&3*?VW{n@=Xk#S!esZf z(E8F3#<IfEPlT$r%|CE(PnXv5gK<0|FHbVuV)-mN<zLvAV~3kxDtdIBb%>tLclo}{ zdX0CA{FBaweChmhM`vbt`kd~VcgtBFZ%VdDwyV~+yzMq!-?(oc=c}jT1qZl8ZpGQ8 zeSOwG_sYJ@4wn;@t2c_TwcByKkoB8MO=*((hdi;SmBtGXr|p?J{eVzMk;&O9E;Z-n zDzzV!Jvb(PYPUYmBrBF96YP}>l74<>v~x_`m{5{1`S22%uE|eIjh^sv3CNymIJPv{ z>DJ4{Pn!-{oGJP-(d_aM<_bv$|G&Ey3;tHIxH8|8!&uO1p3{mr4(;xYy9@g(&UQ7e zpT(iv*4=cXpk;wiPg9TVVn+7N3v$;E<ZY2nF7P_k-rteebLK;}#t{pZZGJZkSsA%! zsjX9!yXilVW7DNa+kR}9>fL*AyJY!F%WuERES%S0>3kKU@NVMmZ_5JXQmyCKIG9cU zX3ze`>(V_pW~MuDuFcG>zwzeasj1hVJbP1iTH5@sA^Q>26T1$HtXnD&E_5pY;eE3M z#~d!n-oAeGS$Vptaj=ZD-s)+o+p4zxo;X$K&^4_`NmtocpHa^KpWgcWNd1HC^+B=4 zlP}sU_OD-U{VUD)@@~Zy7y1Ro1!Z^5UhMYA`s2=zGjetBTm9UW)*(CL@1m(kCOi&^ z_42=L{h6ocn2oP`x7(b3D?K8rE`R5=u~ulD)n(f9@VR!Dv~JdohL`g7N4#z|xH~c} zPMTM?P2tS{rSaAZ4iU}EI1d_#pXHNypRN!h##^^(ZvCX&@?9FutBN;%`H-4W_ciBJ z{kx!jI<4}G<vZugv)+GUKI8A|6Ve73TwiP3EGU}v{=52|5?159HLL9Rxz4}v>pq`B zS76JjMy0I>L?z{g7G@=BiJki9@F2z7^&2Bs1BY<8p9;Hwz(H32BRTxPV^65BP<gMl z#(nl%%aDcom$c=tbDr&1-K&@{Y?>^1=<1)y7RxvLD|?-j=l-nDUHrb@^5B7UwI??o z*)i9xjy+8Gy6X?sD^5py9tPPhSs}A}YvH#~7W4H4W?D6*`6k^vw1}a<Fg@*2$Ora4 zj>__Lns}zObY>^rskeXUY~83j{Yli4Q;8W7j%*8_xlGqHY<V0mXv?}y-@nRuMw9*< z&TQS@N#{H4KHZyK)686C@@PHl68p~h!<ApITWBQ~7|CdbWyLhT-gxg_{;FROV_yB; zW$@z9^3wTp*VuXeOnz6V^Xy*AT8p)(v$}5VN<O|kjfruMYiCB`SC3<HC+#DCe7YxS zUg0q1Y?i=nUXJ7Q!>Vp+H?`WQ{Ok!^$6>h3d+z@`DrYqKbbr@oe=D|2mo<N5r_Ews z7kcwY&3#3M;;+W+S&PrOrtyZg&-;CLk@f7R2k%x+Ov|yEXwGHf_jZYJ_WQoB%11{J zotn(|{p*Knw{r?v`<XxK?Fct|d9OV<pKWd}%c?n*g3W4-fA^Q`2<Clh-2eKc6@y60 z1XbY*?hsMF+YeW4yt;Y8+|btGhmot_9>_nOzsP99?hf^B6AZ7Wnk7Fgiu2olzIN|k zlhoeyZ4Mjy=bLwUHv7rhH&!n0TYEOqT1qm{DI|5mKhFzK7dmd2{Lc1%TbEkEhe^Lq zzSXz4`6}gCmZ53zaZ)eS4o7vSgdjd+mq39V!2&7I*Q&-l$Luj&wtlzHbPkRlp&!RI zoi4ZPDZXh^Tl{6cWeNN4=DQ2^iv5mG3wpcbt&POTSF-Z?Z1*<5ox1G&d4_*K7vEnp zx8mQG>kPM6FsS=EoXNbxnB%Ts-7=?rg$M(~)manQ@(BnnsbN{c>Zo+Ws-)#8<D31P z3YSUVSY>JE_&mixI(*3uR`HPcvsWyRNMOu-ajR<)gNVx-k?2XDY{^XdOyNw8AkY8? z^<ZFP62g_AmwPK~Yt)4tF#(GM4NM6px4XH&+&r{t(W15Q=G_Mm$e&-j9t0qhcKXlU zy7w%*>JhQx!y~5JZ5uMS&M7WSPfTQD@%S<C^7l<;-)FKeTV}<ZyTAPW3Kh2(uj<Ti zzfb)g8+>=OapZ&o+vTr8^A%5@J_LiiVBnfLWkpJIQc`kaa#G^&yMNQNKoeQ%pc$#~ zaPX9rySOv6%33C8qeeCf30~o6VDJPC9)UrYO~-^6m%$UQkF{UDX6pO<PxPt5r2-2X zzV?R?Kwv?Ffdmhm{pX%<49))h=llHn!msn{2`W0=xlywsvf<d_9v_os%<a5f87CSP z8@XqB-#>A)_?+cokFVc<|E=3^UA7)H-Mo2Sr(5wN6R|xX*7m-<Hci<o;`RkInZnZt z!Ba~`pfw4giTvB3Iq9seA{#6ghn-s07^>{;t-YEp%xw1Aw9OGZV%<mMK&Jir1_EKt zn@ca9@1G3<(cdK57&2o-BO^Umf0?>(QdH>M?{6%t&&}KXd`@xNHt^IAWKt~>WW%m) zAaL!<1V(2jaj^@sN~TliT?&fw@_+eq$`zCS;92rn-ccYRCBh2=xySz*?P3rpsVS?f zu)7c_<z=6`T-ZX*p@$>zQRaSY)3@d2&raV2ftlW0L38Q>#vrgcJrV?N_RaNN9R1AX z^3r(=r<Y6$yX0NfHgDndEtC8ffu`1{y8gcV;bP(b`(NL_l-&2=?#Hi{W&3BEb$4}j zb(IK$z(h6hO!6h?pp_x3mPXBL3UYbqB0O`-3q=snUDDdr)YMcmfsY9cZf)G1_lloo ziK&sE*0qyoFJ3%*^6JqJLFKl-g~@;Z{Q2`j{x35#8;^ukTiZhK3#xB!%ry0H>~~+} zb|<miVo`QQ#&p|siI=A*C_1<CNIp_>0nbJ_=!3!hX<%SomUgy2)V=R-+s(~<;8_yr zG=;zm<Lp_U%k~R%aI>;AGW&D<(f-0Lq9UUs!z1!VnMFrMMudmu&*#<PMHfrJU=A2e z0fRM5=1lqX@iAzMLP;3}X4}>L`0()XaSzah!<QEulkb~$D}8wP<JY&SCof-Gi)seE zczE~e+g<@drWR)27Zz)mfB!P~+2zVz{-57|7yeewx_A5iuO;{Dj_>~bX5QV+yMMQV zXX0zX05o-9Qj!A(K8}q`3O;27J$j*%37XAMNx9Pv2EL$`7_P2zHWeQp985mGFPovc zrmCW>r0B_us55H=CNdpmwkRwvF)J(mJvYzK@A%vD^Y8eSMJ;VWzy}Omz~Iit<l}v^ z)<FS$rJ!k&_CDF&9X=+@+uY8r-Yy!zQ2w`WuZ7e)>3z5M{8+HxFi^Jba^#2Wx9`3O zJNs<Ok_{j*0SpY>!Lue6;8Y>CY=KCSh2kBRyr4%ao#5$a_U#Km;6Yz)%d@JJSCh8? zULWz6E%I&M^~g6@ixcAGt;_T!*GuY4>GQ`0u9whX|01YUSj}s~g@&yC@jq7n{TF2a zcSZZJ<>kMY{hhb@`5VjUa}t-FSqB1o;(B1PV&B62Gd(9dju>R#YYx6`VW?R+>By~_ zal$D}9&i5aW9&3zA|r#S0mH-TWyLN>ioeZ%c?|@nUF*8majk6EwKE*KmR5Gw@_R1T z2`jj@Xeb_fc2qt0#R0`;aq$<MTT@-1Ho4z=Z~1&q@j1)iXHB$0p!>+&b3sjuvftb= z?Pb>$+wtM$!=IdA<a0l`|K7{o&Z{NA=VIM?%ja)ynu>wJmzlu<i<FK0e3OsQ+uC04 z*aR-X&YiA!$zOYs+g6Z8J7kGNWBcUa?>>F|Qs?oh)lMVdO>Nim<&VCA6N`1vl~W+F z3JgHmv8(G97-+E`)e1LVIV%_pg1`X0%H-CThMEQaAMN>_i_WqB%1Mk2^mJTUkQDUj z3?dv?fPe-BytoVjCqW?d__;Mdh3dt2eE2EU7QwZ0&z<$nYXT-RwTeCG7XQ}uplQRM z_mieNW*u_)_M7!=X`@|qL|Dk2T|vQl=ilADd-txa+pd{2KmeRar-5_S6i8|2<ub!( z_7QiFm?JO!L~N6f-;2pIn>q`!B*p^_AQgu7H{0aNZkC#woqM10C|`901MqyMv)eUe zll6@*$J3X$KWF}{EOg1epD&=G;CMOHahJIJ^EggereELLn(C^mD#O>`QvRl(prF9? zjQvA!^`g9eek+S}uyT&wj|<1&e%D-HRNg+Zs{~Z&zh+`<KA7;K?=PsnhzAw$Rl8@Z zJOfn<<;V4uj5B9`wvlP^e3A23s>QnC#scQ;`!%1x*$=8Ybib?wt<!tkXFL0>+2;7g zD-_+2r!Rkg@c-Miozd^>wpU)f`~Ljh_qVUV`+ol2_v63fzyH5oSHJ!5zuez*&+b|_ zZQK3L>EGX&Uygmq3R>fJL-zOAs=aaRb#=70H1my>49~ncow0oWo`pMhcJ45|d+()A zp1RtuwJN(D8F?iQKxu#R`!AEX37PRq8mJUB_MUy2WBu(0XwBd?aFw%F_V>2VeGfYS zyZ<(pJs6&!bM9O9)vfv4_V;*gyKlQ2T+!S*)6wHG<>i%3bv0GLNfMyShBx>4zhd?m z(lPeSlw8?0EGim~aLNcP9C7J+TfUL!&^PNFtoeuPj$ahny}Nqf%&s|eK_GPX)vT>q zX&|sISzo(*+Qun?D?_xV?n*A#U6j0uaZQ5)YZrTg-;9n0O^}w#q$a0_N0uD9DI=Je zR({?f$hHBrcI)9$Rxfa*f0L00f!l_syS%u($|j{qZx$D~v$e5`|NXVPGPVGe`gZNe zyK&>z{Ngf+m7rudBVtDThM5L4H)KRa80Q?nwM^T`*<1Vc3O2Fczw=~yKtShJqTAzw zUB?2QlU)z6golT@g`S-_XLI`X`1tsE#%|peSJ-~FryrJP;W^;I!=TZwyN6wbf!S6| zNzF-(PeF)BWRaT+3**6w8Zm8*3~US%4ap81Egl@~OJCaDoZk_8dE5S5f%9(8xEJjE zTys-Fo5Ukwm8-pW+I`(khbuHcJiqm+n*T}nvxis2qc+|78X0GI=G@W7E!?diIk{JP zgtwUFYn<{w_4`$Ap}R-ZO&R$kT4#4nOwHea>VWd`3(}wLoR`mel=vdsLHD84RqyiG zL9d>j-hI3+<mO7LqqmM3eK2*LIk#wH7RSa@hw5qqmcC4BoVdR@=)UUvp!|p)9#7t) z<%_JZXt4g3d-|2D{!GVf&hYt%C;U}f@a5?P->q@Wo~3MbxSC=VxzyFQ&`bWzl8)b9 z<sw;KN$=W!DDrLbJ1(N(zgIjii90oE*R(&Jb8;>zIc=HK+b?x9erk{RgSN$vqVKOA z`0&k1Mft$z-_ry*Dq;<;KTCLVzqf5)kJiP;^2*G*`NlO*xX!J)8Q9Tt;%vTKm&?`) zr+kLc>#w6%O*zlpePONS=4W4ue=44k6BbUFdc`ucZtLPjYty!+1m?bwU!|F+C>x-* zcHc{lbC)?EH|n%-%kRH@=4suehn)<wz3fl7&aU>0ENM%rlS}1c{I{x5{A;_heDTx6 zW%nP}ypj04LHcOShUg@<Y4TTQ%<Em~UjJ0&*WF%;UEcj$vi=LHZx)K{Ijk6+WF2X> zKiaRO>ed~N*&OO078!0k8l<D#ued2JUii4hq@}z69_ICRUf|BbpQO2BLeasG2h1C# zTyxeWbrmbIoW1tyGxNFw>lCh*Zp~V_X3zPs2VX8uS?*Z<Zt87jxm@PguS&Bc-t~I9 z9{oDKr_YsrS<<(YwRaO<-b`!Iad%vpwYkeEFu*c-VMXGXkPS>7=RUK1?>zZ@Pr!?? z-Ib5uR3|-sJ=yO;?S-wZrCDrG7iQ?mIsABGz18jMmOm|Cg{RNYGV{vfYIa^T`{MH{ zUr$IX3VU4;Qs%v*_{}G!V%3YKsXSM7em+q+bZ$*zm-elP%!R?_EV}k~4OMRsUY#z` zD3tc~Ye&KaX}b_sN#oQh`VOwEStf~0tr1+%{_R_j){^q6kJS#ADE2(KBb3EB@8mjF z_K=56-*0T+s9aIv`%T8OSMg$?@}%{iuYc^`e65uyb#_&O%Y$<@PhR=$vt4=KD?@nm zs+kpXr}9OE7~}R@teraL^m?`{`Nqc{$4sznb_hH1a<gXa(O(W`ijyvBmNN1^DZQa` zp6%yuAGRt9uR{4i!ApHcPkVB>!<AjXCNZtN{QA`Dt35K)JC~kvSn+(tVx^V|7xrw5 z*>+4oM`O{Q<BTn#5sUbIc!Emg7;`coPdpMA5GcQW)9D7uMRkJLuT5rAcU61Cdb!W^ z=#I-CzoKF}!{yJsiN3j6&rIC+%38_8`I(<L-j(FeUSZn)CG+K~1E$%RRx$+KvQWsb zdw%Ndn&qF<_l3x~dgWZ7bf%Y6*?-&VX-B!FTRtRQe$U)}_C(aHs7*ijb@;|?Ynt7X zV3R*(#)`^{zS9qSZe4zo(mv^_?1asB59Y_5aB(qx+%k8YqW+@ls6LLjs{+krPQAaz z($#;cR4Gx_;6uh1k;sV+LLXQUy}amR6(X7`A@+&OW5eg}lmNlm8xAHYweoj}8anmN z=R7&v?o5qJaY<LLu}hC|(JC9xyF5`d^<BMJS$%%&AJ&u@__S)`1j$8RS4GUuu&Q+2 zDY+!68f4c~GwY+kqg8WQ6?!FD*xM5C=1QE;y~5XaYESR{hQzm<TkoEe|9!41?xRdB z|HcWGpO!skdw$~hzALk%OO&U+nelK|YqEHn<S*X+(OMJP72j2sK4%hhn_?N1X!TC4 zFL6$dsoFj(p}em;iEHd8A67}PzjgVc*t6_PolNe^$5YgM)Vj86Ht0@^>y)`@l;=I8 zzOpCT?5^8A3+c%#eU9ErQeQ5ydZuIWJiDkePXj0A&!TU4mz3{xNT_+f=HA(tTMj6! z&HXK~Wq<xLf7yJt(3_Db4>i8uXL5u~*+oG`Xi;3rcaNI&bv<gwJCggIO|SF&l&D>f z{5`p%rgJ&Z@nwIjgRah7bwlZOv)rj``!+0knZ)|jC+rlf9p@K~Q_C)w1upxwBg1^F zjI(mkr)|^gLM78V0v*~~+kBj@5635~ep<Qt{=4VPHoxTMTTpOv`-WY~oo1*03ubBr zsvb9P(LS#Iu_>Oz^-k!4mC5@brs&9e9Ow+o{M2J<%)9DpY-;?kbVsRMt5!KKv+(r| z`lDze_%A%t?1%lFozg#-Wco)NNgSQ0vgEz}m%b9!=4rBX7ppYiQCe}!XhP9(gIvr1 zJy%a2D*F%{@4u+I*WjgvLO}kVyX||YE)>XMX9$pS=r&K<y!f8kE!D1~lsDT0XL_{! z&kjG5aBO3;))sI6XU}DS9WOQMm7czP`!l2Bo=Zk~KAW}jZBIP#^yn`?b~Ze#c&GWh zZ~T5X=Kqp(-sxJcZMk+}W8uMqjiJ*%E}r&Zo3$@so#&lJo~}yG??iors)Q#PmuY^T z?kRUpne&hH^?e-ouQ-X^+81xmzLsVF(G_!6q=Xyr^{(&aKDv&%T=e;edr=a}J^GD- zna?^OtTKE#;eNbwbLxwLoi_8+qCcsr1eLDZ9)E4>@zb}KMm<UGxL&+PaN=^V<Sc`g z0xV?$kAHG(tVuYu^@dj(Yihj2ywasBUX;aqKiNBFTHy0H6ZSuwox5*G?X*J@846o; zYc|EC6iWFDi0(UWcv5!R=jlZg9(MP+pIEYYjV}M?&7SAe%rXm(9G`RL($wJN=1)Ff zxuz17c;_2io45HD<<{Gemabnd<hxzJ`;w>OTt=OBYhHXhr}g;Em6w5!wqAU@F<!OQ zwY(#tb#YktnTJX@m$xS;wpzrm6+dy+_rv5@n#M7!e!hD8)Lz{3Ld*3{`<}*ch+O_Q zjd^#NiDdKaD6f!Dc@z5`&g{H-%}rf-^17Q_%X?qw$tkBy+ZEtva!GT+(+JzGN~dO} zpP3yXwkkGt?qPx04WE9u@8XZ!p|NTgLo(y<otcwrYmQvci?@4Vd_B6ZJzL!;=()w^ z<I4}GKRd5l5pqd#%LbLV-@a&<OFf$<5U_qjlVkeYAME!ZObU41dTc%SXR{xEjuve~ zDd{S;HP#N>SnWLD_~@1H%ob1L)iM#C`F2L9T1b6vY-^L)H@yk7Qe&s*#5}pNukM+| za!EC2i>>A*tJ9u@Im9pOzq0)Ag}dw*AM0^-zMNxt|B&H@ZHrEcP2RJ3_4X$_I7~OM z6npqDe%IOhSNt2^?uxiIBlkm4RJ366i5Stlw)R>PyE)cR=ydI0IZgM4RpL6$=G&>C z0&j+A=(x^JdmeIKxcwOuM|{wsJ*{?U&WeV2d|9?PK-Mf|XU6H0%=Z0~?5Z+%?^_+* zcH-fe9rKmbC*~#Y=UJ}MKi5Cs|3vC%w>3wfWlfFK&e(U##JFkZ)jH)(vbUyuKjHH@ z`62tAs}njG%w1>7^1+Ge9GBt_Tis^m{^kB__V-^At>CDOIPtjbae;)BuEz)EwkP>= z-&mMUZ}IIs;aai%j7+Gxp6;wkvVYiadom|~IHc-5sdb;-UEj^RPWshf9>|MbdS7tV zBJ<194%T3CPwt{!|6*5ZCzt(Pb+tcr*_BuGji1WAUA&@7Em^imG0*q%uW}a_wF~c* zcQ6-<JD!%lYtx#0qWwtw=Xs}>1^F(ww!ZPE^vk8vsjK2;&3Io==zg0wN$Q#_YuTb% z2D^;P!&>eyxi%#vd7|$IF9z)^-;bA682d-tta!cTev{N0p8qY&+1M^UPiP1d*Y^?# z6xgP%oMPv;uyd`;WM9GSKRH%u7_3^6eKYpuFXp}S+rR8!6Aa{S-7V+fmHS|l@0;xb zXW2y6+LphVy6n^`=la`b+1_7OPB<0thNu{9tBanPAF}Pt|3wcX*hO6Sr_OZjR9Se; zccNm)A5O(x%a5fs?OAsDYGiaq{^#&Fjk|02Tq`y@CXwC~(#pWZv4tgRdjJ07-OYwp zYjyq@9=>iI(%$ur;~D=14{a^O3m@#?KVScZQTN?}1OMjqzuKo)p>yS}*u@^tO^l98 zA^he4qZKQ?<f`|+Ju4TsgY_y;*7}`+d-rlODTJO`_qP4pon?8&Qi*o0;aUdH-7}_C zD>SdNTd7z0o_FW9kh`HloJ!yHB4Xv;71z!>=n$4w67q8QY~ks(d+Wl^o>uV+ThIN} z<@VE++AHhBZ}|V3^KE^I{(`;(^&Bk|Cb{J={c52#-?{Qv+N#>yan4~A7guLXGi8;m z58^Ra^fjAVxAgb!)K#ZltR^xtE}boD+4sf5#Wldb*T;3ztbDyyQz}m0llBpmTcx?N z@~(4v`c{uDhSf7RaFl+s-ooK;TR8EH`1d=17u~5?ajGHdDBsQ!*@Nu6;`g|^&eDq% zaxG%o#Mtpzvg`HSLajqD|6di~;J87W$t!AOA5Q~Axb83KV+Z}c8|+i;*KQZw;_+Qm zOR0;2rCaQW#+x;A&wUNod_3o$@NDO*OE+ybCmXDu8)A~u%_g~Vky7;U*6kbYzD)=f zZC$p0^M}`8mTeA?4cy@vf4wf^%M!aO9Bw?5bY5w`S?aWHql@a1^`>zLFD?FHb-TO! z+4faa%JjLH#uRD0`zfq^Z~VBcwcCERc7n6kn)5Yhom1oaB+gzt6u0+@>(30Iom<{s z&Nte&RB@?{qx&ol_3QpDeIEnguHoe0zwS!VM%Oseus*+YCC#OW?@eD~T2TImB`8Sv zgy2g*Dbtw>KJzYJHY>k%LB9AR@3P6Ov>cup{L8#quCZ<vyTBe7?I8X?_jc-cs4ejR zJWFbVblP(+#{>Tb44l>l+v!TJ=s36YeY^N+!<*fb6}^sko=Dctk)FQG{ir;L-e1*& zFLqg_8T$wyf8(`of2UsTLYenF)py;@Ij)zI7I>JiCR``~=+y<m_ov&leSA}@&|!X2 zv8igW^j6N7S^XzJRGtwrPMkLF!-*40yHY|=Cd#=RNG@hlJ|eeR<K1+H^fL*Q-gE^0 zZI7<$+|;yM`0`}O$c=ASOi|1@c6#o2qf%E3fwue8AG{0u_S``7jpT;-$MXIP+q?cB z{=Q6YQqv86m8yR6h)OQ@rV69qH(A8)Z+E<M>H6nF@pbG~rxRu4<IZ0B##hvLM9%Hw z>`XPg3&JZ3E~_q@Ii=M>>v!1SW`jN5TluBp>n9XESbv7g&oJsBU*VcChAYY9>A}a7 zP2yyZ-ktf8xzuRgnZ;MTRh~$HRp7gDX`@u|k!g+#wr<z1+EjNxC3SbTnZ)lB(_L32 zb;G3Q-_mRo2)LMXSeoClCI5Mjwe!q7)~!e59>s2$`{ABuMM2QMVhP)wQ7Ln*SDe#% zuxqpQv14BzFDYE+mnswK&vGh`M_sedaWnU($6EHmK3BL6#R_bf-zdrGpThC+DtFBJ z${Q=0_%Chv{5Zg};r_zzaE)V$z1mY}_=&4bNZy_NP3QTq#Cr>3-~TXk?p_wc6v=E9 z{$=rQ=MO!W-z<vvS0yM_9r&k{ZnLC%uUprY4-e)vs5Y=Y{9mD+5s>}+u)e?fOP}37 z5wCRlZ(aYm=+ujimnts#ZQRe{x6(SZHAnr>_AUPf=J(tbx&Lw=@3nogy<wqD{8Nvu zj6U?o*I;_jX0|WCI-VcpEB-09aQEcLUu9n^i(g-S@B92+jqm?(2HH&w{`pd7LF?g_ zjf=b#XRY^TQEl(=-lIJ$FJA0t`KntbEqZHK>+?AN4`5enTJ`VRS4Xb5J^OD|Cf`ap ztEDt~#@=)6^IovcZdU$T^*v<TE3N%!gk?@&o!;tw#QTExP5l^c`<Fg*!{47wRI_In zi@K?{bkF;E-?fWnHTV2yxYc;VpE+@<XjW@g(d1JFLHq9HE-lb4VCz_xuxz0MOVie= zTmIQ5epb(Xd&<z((qz(sioc;RCf|N~<%I-)%BJ5Qn-}Emn)WbsC;y%=I_oc*H=e%B zc`D`FlqpHu5BzgDUjJ;jW!T26%|fsKvZ|)@1^n)AsI8Wa`+D%f=^P8jRqt<@`5Row zyv%sLH1_(}Yi7zb(_TjhcpTet?CA>$)2-`X?}|J3M{RxI>gML=#~Irc#FDsoGX!!L z8Qk7B<8WBY-HaJmi(l#SJ>`+U*BO8N#!Qb%jDgHy%<;^oF&`$WdM7RV|DxX`)!xd= z$|`Mp{ZaLUKNwhqoMs%9zk5+SwS%#3|3@>qAJY1lqw*imWO6%t`t<b^_q^pcn<p<$ z{+5?gQhaI2(O&DDH$Y$q<L1tk6tjsv;NkV|h`_)=LlBs_)1^jmZbyfD`?<s4KN#$u z8}resLt%ozAr7T83rZvmr|IgrFS&5&QKBnrgK&X)UQGidtAIfR17ppB8=wuZ7Yd$Q zn*;~UI9<1oS4KuiE9r<N|AmM%_tw4WyeWN=m%H{jC;#T}ehU-7-77qF=#B4<-rKE# zi6F3$8#Ilg2$}Fw=mrg)FGwicEh!-((ZR&Q!LfsB$DihZw*UYA3;Xv648s0wx?Oqn zvcq9!*$b_lEb;{=J+&8DFWPKiPWYDBv)iyN@k=cjfae)%Zob{S*H*+<LR2&4%a^b( zn{MYbb>F)`@AJcb`)%!VnC3oh|J~L4R(rKh&eW$7YqU4(Ti>4-w`sk>F^Tfo(?Otp zc156#h8zgo1JC{4y$c%j1L4@%Eqqbm*HpirQ(AiS?2g`x3avYNA9;8*m@ChIuEeij z{k%+N!gYbT>3d^4r(NC{8@aOL+VekWA5I9Lembqc`E=i&NguYqxhqlr_}JZE>GE_? z6kAzM2kleax${j~upb2Iv8qa+J(jZYX8O0YR+}TA8SzPp_ZA;JdgSQd!opL+JH++a z^tjkts}CJKn7aM`JrKA92Aghc$F+rXs`Mx@ad>Q>F23*Cy=OT$e;?hb<g_I;K2bV* z>H0OB)@k&ey_cO;do`@r@K~>OdHHS7WWlv*cfV~|#N^PfCM`Xsx3^c96+BEEp<}lD z^wt?$O<&w9&dtr$-L0PGXKHF{x^+oZS@qH5TNK})d6%%K;Gz?wnC*IDH`gx3%pKCn zJ<^PG{JlZI84OP6&7V7WuIBGEXU?2?lk8E_Ak)-V_GsGFsZ&9l)Yeuo{*ih41+*RT z+y+TI<KoQ3j~kRqPk{_Fd{>tE1e)|DKx3rRr}Mh^Y6i?P2uy0}nlWQWgt3l}j?Nj; zA8J>a^kcRJG`P;3occcQ;PFl7c`^=i>}*DxoV~cYL%R8N^!~N+c?#dzQE2`yZw_Q% za?!?3Adm&x^&_C*u<3SR^WzD3`wR=WU6h_u>&$fuynpA%$HSm~J2gK(G~WN_-2c3= zkySLnppivzPrszmC7Fhg_V?VQ_`@G<Jj`f2fsLR2%K=%rx^{Leh5k3Z5<8Tu&5!l& zE>v!qT>a(6#l`OY;*j~R#i^hvs<JmH@?Kw9=<FT@nP>8NwgNN*Qj{7VxYch}dyu7Q z&`q_FV71`4SNwm96lrN&TTZ<n#`(o6?p1M0!^#vFgRhU4u3E8dLTr$So}gZ8YN6)p ztzN17w!XUZE-%~*1WtiR$<s`iNv(BSeg0MS+OU;|S2RSuYJ=ieP4=3*4-%SIwW<D# z7cE+}={9KBEO`5p|4q<L$w|%KcRj>^mXuV!V%Cq=jryTgD)lwse+$o}DSOuj=^g9c zXbwsdrisgza|#Pzo>ZKfnVI=AHYzIVjsE?a`g=2yqL{oG7dNp=X|E{Yy?FiHr9->2 zbeFz4zr^$LIgtCjARDngJpz`fU+NZV7IAfQa<Z)IPwE4M8EyfKufNEAVq&CKHP=8Z zXn{rIB9=};Ej8D*o4TjC<a3Fr`%IBo_(`QmNYJq}pu?{%PQ9l~M8j`}hGSAo*U13u zFV5@UEPPW|cdYTiH_@M-@-1H;Rl0i0w}d>oA)sG*V)eZCMfQh^-fZ1`??tyv_tey; z-G=YPgg}4`46+XTDl8D^;NUpH$ugzs)91&3I{!J;&$EAjp8vA@)0<XPdml}{YgdWl zINRLw+PmE=4?TX;`N^Sjo{c`gzt4@%>rXw}JEm~#wYkWzS-FtEO5x@IGmRTQ?~=^C zTwVj36*^uT<E}N;Yw4vqGUq?a950kP{?H;XZ-Mzm(A4{E(J0e8_T|Ub+0J*L|Ndc* zih>eLhq#E`^QHEmE!TgxzG<EQY-#^ztB7C5^FLeMlrFz?3<Q>eK^qvT?Y{d(a=xeI zN9nreol`)$Z{oy>f%A6oZl8G9Z_|pbSr60Yj^E$2XT?MB4`;ijKK(L_zx<---4BzS zztatl?b!XXnwMX~Jg<k}U;zUYn}9+CzXUrQ2vjk!u&~5fezafuKUKV0_<Qlxchm2_ z18s?X{rdG|D7Xy;ka@Vvmy7vI8}2yeX;!vbmg+GI`hO4g`@3^{*#1|~SA~YYib?J% zgcixr4RasfLBSg+08bFUx+Uf(z1A#el1e_`fghXof9rG`@7%uWd|Lj-jTSrJ{QZ`< ze(~AE^KEat@4QmN`PFiXT=(w6^75cg@CL<&i$Nee@nAmp+}|(eoL8KhYoxAzT`O8o z`mEDtLr58XGc^Vb=6qt|<NDrG{cuh}!Oh%sUgawnu3b8F?aHN1i|2|~D#a=~?3wA! z?HxJw&EkElyFEfay#;}@V6YYpdRu!zKzH}uBS{-0bi{T)i>bbTE$P~#?DJc<E}a$3 zyXsp=_0=nv=42XXUNp(LW&GCH`}BKmFFT3qjr^zko_I`p)BEH^`}V4<D}&ctU0t5P z)o7(%=}Pm=JG$T*>RX_N5jP{tZiC9&GiT25ECo;huUXTxQ$@LZlH<vFDf%-f_q<`* zeqJ!{&-rN+Wn!{a+6CiPUUk}B5m%gK%f(e*ZhlOnALPp3ogJMZkh*;~sP0Zo^jzRM z&-0#&=cJVF^0$%-Sl*oGP*dK2VBe<g*Z4EFb&hB4ov_d9+mXNXw|_r%540=y-Mc#R zJ1$KsT`XNsI-j^ca%oawed=*W@cG0Sf-fd2zn`e=tsJ1dexihOpt9Q>x1J{xocSzk zOpf(z`=!T!=&L*5feTfMO3KYLg?q!k-OE~Y?$vAl4u*XkuV*d&>JYAZ_iL18=h5E6 z$M4)2)FVM#`CYrf`#A-bd=1nicp^l2xW2JeA3U({p8kvLpI^W3woEx;{lt>RD&<5_ z$AqvG+=YrBsoWnwLBOdz_4{S5oT?KHnm81;RDLk^Kl~%I{_FMQyV4S4EfYhQWR$p- z*UZ{p`BTcIujk$m(|adgcpSbVq1-TeH|Us)T}>>ULPwe_1i;hw>%bANd%b8a=n#mw z&7hiQ(<Z+S8x}<btWm$#{q)G8Q+esE9vb2a3tX8E6D~v?Jmt#08hjE)Xej7}0}uw! zdI#Ho4ZfMV=udX<t=VPEOi%f#gorHe$k3?P{&Iz>lY{Zm$$KmRCVt%D)W-8D#K%Y_ zTw3I7Uhh)ynvXSMtFLCQ1rgx$N3J{roinlgaweA-$oP<u5Rqa|RtWg|1q7b_SzceX ztxq>Mplm_^@0T|}KFqAWHE-JTyB}AE-{*RC_{-WWFN2roZ=GcUIu^qcT=<)UT9t-| zx?qsHeKw?A_wv%zfXsV)dY(}Q6{tc&LYFQ=!RP+Q?ME-(y0GPv*z&8H#c>G*t1o-q zo+GAS-Jemo#r)=PR<>U`xtq3|>iT{wTQ)T_-^9!a1hl{)OHl~~k|e>&{!|_}CkR0N zq1y;vLN-O+9t<RBg&sWpH)`gazB!7_%eG#;7I7&`|4G-=8|SzVv>vgqiU?#};QU#3 zVO+1;jzaZXa67UJ3@X52)9oEQ!Ta|oH!T7c7K;zZc+C&e4AGkU%%V7{Xp!ZGIqBzS zPoCVfEmiNIn#GP8CnO$A)_)YW7koYIY0#gq3Hla48squDH8;icn{`M(J_b4$LgH~x zGYC9xVg?@<u?5_MyR+x)?KLYHE-Z|kyvA^4X7;8{+4r7bwmHjP`|r&8KfU`O${Z?D zw0q`My;Wd&ww{z87jNX3YYQ)n+9iF<(>wg8_D5Uq)o>}hup3TR8*Co(?|Z=hDDTb= z!#o{jW#!LC`k&42xs^%R_pZMf1Kx<;-+%G=bLB4|KMEcNMasmRFLG+6r6qkOqK}1} zJq9mZd-O;tu8{d6vti1bf`+3!l7<Yw|1tdk^DAk=hZ@cf4o0TN=gnD(A2%2=H}J^@ z&G1g+;_mKwlXvIt-M647w4B^74RCyIQvshout@<FYf5R`r?>w+VvxyXnlryG|HUaY z7fqcKF*ojot>+JI-!NgPii%2)kQ3+p7JbEqpHd1gSW3vY@Y%87Kj;Vs2j6UaJtuDO zfdfrk8Z#W4I22}Vbm+c+`ri8Ke?NbCw`qH<{!*0#Og!<^ZCOR*G8Ea;!h`RmaZRXL zafN;79NA!L79M6t9)<%ewuXHbX<#xa%2+UAfrW<x2iK&Tj1C$mT*_K(3=%933>--c z0!3bdOYbs-YTq(DFR!l6RH$=kMVYna*J=l!DNKjUBzD)<tZ?c&bTQ-4x8K)aeYbZy za{b6U|G&37ez1hUTp+fs&`I+4hmEb1R@VRH%Ik09p1v#m%HpMBua4bFyCfmOB&~7q z_uTkf+g<MY7_nOLewg$(^5NuzRrcF?SG@@}eROL&S65-Mme%Q>DFHgO^_JfJmM61g zuBnm#qYalb*Zt!-JZ*hN-|9+U=KoiG?w4;4->&fKWd~Qk?9S`fcCWrjR!MYz|C#Yy zw%^e>bNUzi2hVS1HL94bH2*KJo@r9qIVHn0BYAgtMeD~e4<k>r9!#uRc;%wv^|KM| zN(WEZq@}InaS6J#QX}L<nR@;wj<vUbEr{@0-<hb($szajV#NpT>;$<M$EVk0z6Q6c z#>+~E|F&XV{;MPGm`<T#jEi<6^Fkd)X{Ys<rFOG^xwP8(-{r0+yE&Q+f7tJ+UsvID zI#Q{kYcr3l-AUhid5)*smpMilU7Fs+bVe+9>7!kr4((mYXsVrjYw28}I~~UNmTuU% z=37gKgIh+}8TMUenJ3<>U65ORR{qQ4AAJg6-)bkx_g^wh`|Bz4{-F2am5nbpo<F!x zM~rd9{Qn8ZHkoa^a8@L{F)-soXTojY2u|*jP3#;kl@hnolQ?hp<s6+nQE7#G;ra<1 zxr?M4j~tp6e(>5V#}9Ig@<spovd0R&o?~!uj@>ka?4}=&o!*LkEh=9z>9UK}<E_?r zFRuK4ATaUuGnp>ONV6p^NpE^4C;nWxxuQtzT(N;@_76suZa%vk@(Od;=s&bcU|z7g z{(y?*b1Cx{CBLU3^F-e%m%sUZ*M+zE<WbHD17?+3;idn>Z_GKxvS{DCCD(jcx+%Y% z`B%E?S&-tY?ejC2B<MPyRyeoX{es8LS+!5^t<jC%)2nhm>3ZKGSKWEs#+4#ZpZ7R7 zMQZ0w5zWs@$`oyk)p>W>O*wJ(F9!WPoLv?Y6@l)L8+<2ZRnK-Wv1H*=nfKxR(&m>@ ze#SHAyneF&f#<Q9!%oNMX6(D|oh30dQ)uz)qyMcI#c>%-&O5Ef>8ID6v}dvN1cnGP zy|n3ZpO&qywYskSxbd$^>}T5_v2Mrblz%lg+xhY7Bx&dAYhxzOu4__xdo!!!&Kt&* zFQpy-FED2C`s7cvyn3tQ&bRRE54j&~ncu;5#7u8;;vS3Nk8RzWX8&=Yz3=HRgN9@O zrOt9*>F@gNl$!Xj;?DfMT}ys&?wr}=H}9kPV_kv#{w;ltE1l|_Gn`g?I5%n=hsdA2 zbxrKRVUdV0^$z0gcZ?fmZCp6Z{{Q{B|9>uc>Pm7(@qbuqHB<Av1M``ODz<)cL2{QR zp6GwsU=ni5>CBM{mW*lhSsu7_Z453t?{6-(d!Fyy<)5?;WbQBHSubayRN%&c(&j-* zLiNwM`@A<*?;9<&i2GJ}m{HB@AhX-<`+i-kn!c@i_2`60(MLJ{qmzFveS7%iQ<0P? zw@df^tsYLk>b`x+R^}bkmKpDw_HrZZV#|l-FMdRdG_G6qL%lsq*L>@$mI?PVl+TH@ zY>C-B>&T}cPbIuw$g)RqpH)<_h<~EY^*(UH+US)MDh9g?ea)Gc+Ags<&1PQ2BzaS& z{nL}UO=~h(?Cx0d)`c<bZRlm2(=$bnVdIS}t*(2eHmge;i@XeCyPMO{`f?eI8>92< zo9Fi|-f^oiC8Vfrrt&A2i%wpa$8;91<m#B{(W)Ew<i#Z3!mchs>1+N|(yeBRP45%! ze>vUmQ?<maKdmReUkSYTx;gsV7RN6ZSA4$y;#PC&e;OYedRFc6W|J0viPkE8x0M&y ztzGHQRC8g%>(|A8hFK~}5|IY(Y32@VCihHOS>avq!Ok!7)&E5CSJReOXH53je7q)t z-{t!r&#zl}vkVhe9Q^h_am=wwu~QQ`z0i#9;<YK&GO8y8-*<G1Ug1$njov7`JWOge zQ@U5abF|XwD2|2q8sF}ZS(mpthpS9<>92>pl@aBgyK;)pT>iKrd)>zk56zYO78TuK zea-&xQR3Ge{cWMeQi_X0f+Y9edi&>i@oBxop>l`K{>5%6y<_Ve&3I|D_D%JtU;e#( zu&i8ao#)Z_p&M8A&62+3xH({Zc*{2RfIer@%-dblPEYJg<oLe!yGXOu&iid1Iog-o zox3y^Zm#1FcYk@*J(_>{B&mEY0flzfn1uC=pY}^|FK^j<#wS_c_}AOQg>Q`)Y<nKH z;FE>)>YtT%v;XWoe8$V8r)vh+8yoFYiBbM7%9rOf)vXn&nRL+lzL;m!#{-VW?z0c4 zHn0Emu5<F8BNr+!uba<xvgFsP@A)}S&kch)3T}9nI|iLvdhi#Q2gmc$6^xe8YLb?O zimL6N^@Pc_?rQpm>uX-l(JE+5k~9dn;JJLvNzj-pcC&f$><N4Bd|R$zw8#EZhRz*6 zN$(pA1^%46uy*RV?K)d{=RQAoz|42e0qOR-rrUd~ms<vhoLaBLx98aEv^2wI!U0Y` zKTRga)=bNB576Izc8UAcm2t;!eD9gh|MyTb*P5P7#jYk3`zJG9w)!;3NI6uYwQzsW zlG5K+(LF~l%(yoBz**}%cbOA}w>X!wPoHXDUVm!AmM!9c=Nvy@b(ZJQ;iDlMbE|bv zu1%b~aD}3|w}CU)5;Z+5z2$e^zRb#q`=GV<@_{Fb9p_@_-DXPVnr78HC+gaT4@Zpr zJw9<U=6PQ$f4NX?!X(oTcPiGtQVQC5?5R)gD>;L19?N!JN6+Px82R=ai#E<Xz4n^f z;X<`*SH9@|{}Fbk>~X-0a0S2pNu_>&Z<Sh|%zVZhX|DJ8>~WK|SCby7&E^-G@@IbB zz9s9@_xo9XeSC#6C@uJ2Uq{z-<3`s_?aN=E+0OIs+?|vtj-ptjYrj^CHGdakpLu@U zEuM9|b}x-ua-v?wFV5!1tAJeH;P(zpi8JE0w2fTskM$q<V-~}(lzoNnRv$ajFnJEa zqDV(4jfwqshCRwlcEA6co?~)ez-8L$61C1#^B1t+y6Jj5`sP7#DGsxnMr)r;dvaiY zu(|tUiS~+JFN<AFtB<%(_N^1R6CpoIyenqy^Nvo|)^9@J4jRsu%h+*XWzey&2}?fr z`1feMetYxx=LS#ahFAA5eB|yhvn=wds_U`{ybyB7-sEm%^0ML;zmh_3FPlI2@SP<s zekP1OpQ{AYgjlKtmj|_+-PYzk=R=(Q>D|%kni^lyrpx}Fbc(}J%FXphr!m9%35}UL zemOTc{WQO^L+jY>HTLh7Tr`80oVrqTYI6>!loiVzP2KGW^m|%d&Y1}W%Bfa3s(t^^ z!;n8eBYM04WP$gGcQ{#Inw$QBW%dT1U0*m}yFPF`o9Noyf2_Uh&*_Lr11ZkD*1t<W z%u94w5H{g<N#%Wer+abV=5%s|SL-@!7+)zoaX;sPzglwGEVYweN7$rJFVFeMkZ`ik z=x(Qo%eH%qR_r<Ny})2ni2Ke{z1`MHzp^H-tNylj(nTJpZ(@@9N;+#dYnqBBFY^g% z+u~7K&7hb$DaGQj<fiRi7frXc9^h*5(Xa}6yW+(8SEp`B7H5~YsEhu;`RC4Qi+$6U zzx=eJW7&;M$!C+!t7Na^_MIg>uhHPewp-5@sTXGyy<8Oe@YLR^Sy`96x7CS1dKu<k zeC^{#-q4TIO8T=a7fle2{d>Q`#Cql?t@T#XS3P2%=c$?+s!v<sGQ;h2|JTn9nqTX@ zHDt8S+TVyvo@ZIt(L7P;>qKtrrj1QcF7J8X(&YFni({Lq2kXJz9cy+SymCd@VD%n} zm0K3XUOBF}w&kJXu6F;zzwOh%9*<=)2<7vO*O)5tv0Fw?>XD83uA=%<dq<Hzi3LSg z-5zgrvn(aWj{dHDa!|_VXoHzZj!x{y%<6S27s~eSmVNk<<*I+t&VMGy96QcBKbM*8 z9MWrE{zu{HjKjjtI}Q{~x%G8zYUZz2ouf|7V)HF_2dq7{?*4JvgJ#hyl%F_z?rmAO zeXU7T^y-y!5;rxQ|G4S2G4UMNT=m;d&D!UEv?QYI1pJ;e25!+e2re+<vujSTS2FR@ zjjRs1nb%yj@5Ge5KKEzanw>qo>xb)2g)0kUjJ7W+y4}8k@lC?wl_65+Jmz=4-RWX| ze)-mhtSU~m<J%u+9F3|Ezw$%a)cTrPk#uV4WA5XxR>@s4i1j^szGC(1H5PlaMb4a6 z+g_{iHstNCuB%7GFF0KIy`k2w%7I_~;;bt#lG$T*_Z`UkTKQUGy0%?M<@B{q7e0F^ zWM!7z)c%snA$IrOU5Bpf6RqkN=Z>GZoyz67?&{B36QW;ovN<lEb3wZM=hcw->2bkD z^ESrbz1e!3BVFJ4a-m0tWT?%9-ohX8Z*&drc|Y7SKgxzDUPgYRXiMnh#&x%61<fjI z3~P|N@4TXS(=x^XTex%$JH7l5SQ_@<vP_?HkzL@yzbgs6o)&gu4;VumgY1g_RZ6MH z&oNi)3%&T(e!j!81s`5It7KhTz+uTE@bHahp!2@f=lhQ@obI&#OUto$1~OCgx1{%< z$z}4M`(yg4Py7#>N~LX#uJ|uGH1kmtbM)5l2iCkVTJ>9AbVd6f|IW#rv3qVSxYjtt z-6_1Zxz+jSp@ie7^j$5t$=$tLInixH@%dNc!cTTSZ)@<sDRn$ZL`gSn_3kx$&#FHE zk@G3hiQQ+D@SKtsmNhxS6BqpDkq_{i-4)4}Ct-D$WA2B=O9Ggfoj4}Ae5~h6T+h|n z(vzy)^^3i4<wk`B7L_6%9ecLT25+y6Nc3r!X62mS?O1ktZuk`?uZ64h<96$D-JW)M zPx4a7Glo0&UOP8`@!B3n&pKt7ljj2;tg`O0{}(oYo6NG4!cR`!kzDNN8=yHOnxT5Z zoxU0Wf1MF)T6FKEeeR7_C(2vb9;mt*FzIk9lf#~X^t^5RIHuSYoi*9deE;OGQp2A& z#pf6Zoaf0BubmX?e9ZUNX1@jdt*<HZI^8|PV9<~rp2>W6;w71f7BS{L3qQ7Z_$^50 zd0DHG*|<be;NImYBKbON()>ACj;hRm78<%&q;=tSrsc=wJ?C6n$f{)iYm-WzgO5?R z$wiig<nL~4)@Oca7V0uoYwFkJ5?m#!^4ZlwX5qV%iDHJVdsz%GOgOyaYrOs0=|4=0 z-Fy@t)a9`^d?-KVbDvwesZS_Rxr5=9=gTLIXN%<=Y@JT-OI<Qgq9=g+pq*^0gpA6R zugdEV-%R4OR!{6Xn&F|dW98w1Z4JNvZn>`J$tk_Df1hAmQNC{SbaU;J_)7{ignoI> z=W>j#D7fZvs4b!;Wo?MI`utSy9f>cc6rZ`PvSiNtzK7u+^IviOYiD0K9>2@Ds3y3~ zwq0t~4XcB>^F-Eq?)~4{XLEkd-8XU5ZZ!7!t@-D!dZ+l1>!EChlH$sX`c1Nt8>+aa z*O(pZD|%d@@ZM4S(IvLKzovBfetER5FL&*++qyQAx($5S((mqNZ>s4!{4H(*tC{$9 z<;?8Yr#p{LRX%p#;muSZ?WWX2x|<a8m$KPxFs#Y=mUL&EsNe#z6P-mFwz=&KzO;x= z$SZ0o%$*tXRd@BgQ=1Jhq?|0V{orDnTs!ydga@{wtJJUm@lj`X`Yb54^?pT%@PuQp zJbB74KaVLnrf!iMa4&aS>2fpIbNgA`4jVrTX3;SfKajp)rPt@b;o2d){O5FJ%T+J` zm^$y&k9gndMinArHd9t)eJ|39Zu!@yX0>aFg{T4NzRkrO4_q-T<ySdjyKj^F6GfW@ zh7Wo_Pd@!I+hyJrYbGAnsU}Zv9rQ5$v(<l3=x=ov372eptpht;uYT`mJo(z<OpYak z*Y`UdCY?6Um6^;xa?YD_#g^avF8`T}X|J@D==4P$U(RoxrMLdpV{<#h!?!B*-#a|5 z<NG{8BJ-v~?9(4@O!d{1Bt@_9*jaTgO8Qx{z?n62zZ2g-{HPVnl7GlSJdkDe?ytK! zKCEgeQ@nflzVE{=+@H!C`5MhPDMXw7bK85x>Xj*n%Zm+f`5rr+*)gXgVV+>y`xlk& z$qqM74`koqdpmdMhRd55FP*!?xT^fl%3y)3@h-}>y)6YQ%@1PEoxAFvGD+&o)AWm$ zuF)wGQ9Yl!3Qu~So!YZBm_x^oZ&{vPskHc{lMN9}&o1?zn*T9ijoHkG^@SO1!I=+x zFHW5LdF~RC2YI|Zrspr{^0v)OvJY$#o*aF1(d*<n-J$E31<uu3!n^Bads0}#_I)KG zi)|#P-#!-li+`@~PSFj8Ps=C9I_>^7-8;hM+veC8caHTmNZ2V~JE@i<6z(_m&H7^z z$pNdJ(sxH{=k6`{R8F6~=;#E!Jqdi%vL8vwMuxeBC9f;2=6i8NGV;yM6i?CR>b{0r zTx%6pRYo<QJpIz~wA-RN3e43uuQ^2?d{uwHrZ_ZQf9BlMNVmNQOsXt`8w_2S?8;nK zpY?LmQ)^Z4#JL9z_E-un&3O2Is<v9;gWBpC#b{$S72%Zp*a!bC^^U6<AKY>H<hSaz zXT=}ZojjJ~>7czPyP<2b;Y<!Ax$jF)ulFwbyY%LpZTEgoPMT_V%j@$~M%TorlQ$gx z%Gl**vSr!v>pHq}&poH~=v1Bkrs{8CJ8|COEgFAr&3*2B&qZ!V*{+t`uix7KFI#o^ zlMdt21K(HvT<!5qXe*n{_k)a^tAg^GO$uJJ7k*`3Udj4B`PBTl_)RarcWB(6^Te`4 zk8_Kc(F))FHt*+#9A5A<XYOa!FHFYUUjDu3$97`+&kuhX`(+QLG*nFWTp)02!2*%n z+<~>r`o%p<k_|o-&exg8wfj_la%`LE_AYlbZ`oQWA*(_O^_Ml>Qj)(PM=0(2byhLC zcy>bgKT94tZhtR*&PcW}#W}p$Onto*=gNgDbid$C3EA8f_U)VO#D5Q)B4VCc+Ar0c zIsf0$iH4tSt%6q>WK1hmlCc*(VEB<KMDRi956<b?etRA;O<M7I$K*dtI4T|mEV#p2 zKYc+|vCM*5oGOatvz4D-3ZKjpkoR_}a)56BPOn$1IJPP*`kU%~J1p=Whoj@{0#QdJ zrOD0gQ%)aB{XOMs!yCSuCE-UIWptJG<^2@yvK2~Bp0!@{EQ`aWo_}h8!acSMFKXQE zkh!`rtLoCHd8;oSvXri8v{e;XZ1$YtTNby`h4;d>#mi4hls#J`;2yh4Q7zqe(wb6R zA)|?9uaaaVcKRy|RaIy6ZC`Wo`@gc)D`Sre?3m*k@BK-==EA{^W;q=-C%;U1y6%@Z z^F7O})>ZnF^Xkt%zWGYncDJpB?Tef{8~@FW;;%aG=3G1b;qE^YS~AOSXP5u;*)eTL zS^u|h3zNI2&2bZXcRwRkQLlu<x;W?8@lL+xsLjiYPvk^9?`k-|FlkRv;>){dS8j;l zcdNfH67`qIVa}ZMKksUBNnd<&)xq#dwp#P1)OOij<r}PiOi&X(Cb{DM-8oH0rx&O- z-icK?k>~HzbhT^UM1F&S*3%Z>JA_~9d|MRKn=5Y-%v63gH<wc`DOZEjYWwwb7qS<~ zv4$D)yfAY$4>vQG4LEv`izEB8U-!N2<lWIPcWk&RoOt7_u5qeC*)cBu^vPl$|NGx} z-1BisQ+LT!_QKve%XfDyh13~iSFG=yef7fYj9IB#W=V;Q7cN|qmt5s^a>lER7VL|E z_ge6+X|Jg`cDG^s;k)d2rp#n0`7Pb>=<L3T(2i*<yR=?MW!!$eYetY$=v8U)zo#tC z`B_XeSgt8w4|BgMZ&mg_aAMHyANw1GKKPq%HCNPIvA9!A#w)XY!t_n0dZ*7^i43v} z{KulnzN-8*+nEp<=H~PpSr2u1<#Sr>0%z#7&N{EIUBNqj*4N3I?fObb?-<D)+3DQS z$<)~U*e2&?|0gaL$0uq+bNd@_2o~&jj%xA{c#>@~VOB*BbN%U^#}_AcUQf*Q{Cq&? zv8uz<&Grjz-(1#Ob2I(PE8f+54a}-5{%(p3DVeUbF>|)XgQ;83ZwM-CciY~6>d}$q zo_As_rWQ?CT33Hs*P`c}p#P1p#+4!$?r?3%NiXu9CTZcOnCI)nthrs{o6n6e@v*PM zXV1U$=&Ik->)s8D*{-p-jW3rRiDl59d^6;U{jz_)^2={A`?MxrlTHn~a!TN$X_&Eq zX7*%@X`I%D!K<{cz55($F0$HTvEGh1-4VM(&fA<Xzad)Q>hio;yZm&u#RfI=1zWlg z6{cS_6v{YS8DDg%dzz-`d`|8Hm-{C|)@8hwjr~x4aJ&71$4j}L*9(bHuRi4&Vz)<( zx9ijaThYvjl`}rJY+J(^(yGaov$Wux`~0aP^OzDAUa+|3cp&*=O$6uvCl$;mR67@n zs@~o_<E2~EDV{%{m}l5<FW&TAmp8lj&2eu(Gl{RlUzsy@l_fJRoM{-PdPm7jNL9ak zU)BN#UG~)~60CN&xgu|LUD1|s*~mI^`*|n7X9+1qYOSS~NwwMrG2PFTIj*U1%+%<u z%gJYHU1>4V%I@d}UcNc+o=Ea7O#Uod-j}ydAt;(v!uRF;;!`_Ubg|6sXBSO=e&tiw zu9HW@|GZ+@!gsABT&DJAOUkAn?A}RX>5rf8Hqwy|7V|#xMO|mI?i}C0uNMi=6I%PV zL}S|%E@^RBg_GSI4|IF9Mbz)}@U)V8*L*MCa(4O!j^^%-(~Q?RaC%M7jyN}+Q(<fL zk}9t+wbD^(*N=C!_9z|Y5Oh6yX;wX-Qu^D#cbBE_IB|)-5?{P$!uxwWxvh-Yc*+jw z+}08OP-%Ogv*YW0y$K(6)|!UA(%;4Y`S1mcP(jz!w^nUy7X@tNxUoNm`=Q_(q3<si z^!deGJPMreJcXr+O~sW_>eU=mUxNvzuNW8@8kTS{FiZ&0J*CXR&=4RoGuX%WZ$)L` ziGL@jK73$N_Ve(>6E;&`J_-n2CG(5_J}-mQmu$C9i@qDgu6c37^W-(1)XABuo<`2h zq0G+AH8m>L)z#G((!ZXNuk6oRCciQ4>z6NIATza}KFRFJlD4+8**|&n)kk@S+oPi# zxp+7eo9`*=1j-d1uXqeTykX%T@Cq*QocK-G*Wl#>+b(&&fP#6&*DYVil=fMfo0~t+ zIdwAf>8c_SC@i$n^IKKCVU~dQ$Ea5(+3EFb=KfuOZ@zfPZrcZ{yR9l4@5#TI%>5;= z{*Gx|b91tlOy}3t`9Aflccq%ET)unj)~#Eh)psC#ZCUnp)7Md@y}Pz;TejTjO~waC zHra{^j2xN)J2DO?9b%l;J7-(YlKm0uc{wuQr35|l55BQ|76?Rv0eE&(3NouNX|{OQ zOwY4trrAy1mzpmb8Z~#)#L$&)JrXy=L>}0jTBx#NRn@9+?ypSy8rLUq@GJaTUgxoQ zinrwycgwOK!?HEUL90QI1snl`ZI?U`tx3GHAv?lUM@NU}7Yp<1rpSW_4jeeJ3$)$$ zZC&X8b@A6gr)uceUX|XnVf*(${;i)U2VXw-&&R|1|D^je56tG*F7>}<xr_N^a(%mb z)e?J9+<-Rqu3NWm`|Gz=JLA@EKM|dxJr{J0d5m5;Xb1A`w`JK709qdA?fv>{)mAf3 z*@G<kUv8*>QdWAyUwH9RXU#=n$)GRR4uTbL&M7YN<5!rM@q7il`j?fUef@VgCYSqz z)-;86Pnrq>rA@6MPznZHr(ReN5}Z19>gGtuT>8<Npuo_TD?);`v{t2X*76!{`OIxQ zfw@GrWkQ>yan2Lww{LEq*?QBxEoWM7$_<a)Wr`=$X0qLk)!LqISa!T`V{-ZTCs#l~ zWZI-jlah9cc!FonMW%>wy-MBHcFQY1?ElN!Q$F=YtE>X&uJa31S65%YEOYXfm$y=K zSD6LQp0(}LdMnnG&%W8~KdF!F2oU)8QstDB)Z^)(#TA{P^-`IcnzPQPfi53d4W6`L zvgFE<A6GRZj~$b=b7=a)^6@3(BepO9Z1~G}vwivZhp(LbdtUsz*MDN3GVD#fF?rIY zNs}gk0C>ez4+wM!M6%g}K%gS{Fzgg<+Y?uog7%D`V6U9{kB6!Dm(Nljod=f=ZCYfc zv~)?jzAW>~phgD9MNhz4=cY9nSb;(GOE005>AZqI5(__W{CKhOgt?kQ#z8kex7lYz zrk$F5L?XGT@Yvav-rT3Vyu3WUIKRxCtbBIkvykEymY3(uQR9Pv;-BEtCZsO(GUf=> z9_%<2@QOG775DtW-`&=`jk%m!?|i%E;c7YijK~7HJF>|=vib7hBPkxMbmcG8(*^Am zS2e#f`_)<ANiWS+zyiwqj(?xAYKcw%nJ>>j-!PnNw4njyh*KR?g!Voz-(&rWPvvsC z#ca^&_hx2h-m;Kmvms0EPHdd|u3V^zL#^jSssHnXtb!{d8mB*cE3z?3>Cw4=&H2}x z_WQKAS?{0QEgHb(I78swpXNaIFR_QfYmoAo)FqjovVf-U<&Hnzka)O_SK4d+N-$`& z17H2%Ho48q+4=IEU0XJ+S+QiwjT_#}{r0z=yne&e7Ia{V@#d-b8p;l9urQai{rUX* z_RpVXZSUUxagWP?e*W<8&9{HPo|l*ReERPl?Z3^p&%JASOk(x{&r>H(>^XDVrg!;A z<GN#ERp28p&K$1+ZTIHkIdj<N4CtWo)7@X<|D{&eO6n_XUX7RDV=<A5i8EkF#=`^L zyi+o)JDUtNe=cwmN$$D3arObnx}N^Prs@9-eB_RWRe5o``7NK2n4XrCm7S59ot2r9 z-eP)&EqxPDXZFOVRQI|UA3*@LSm5GC$T2UFMP!9g5EyuIRYd3#EkDtvU0vYh-PzgM z0R`c{OWO3SH@vsI+3oqDsZG6F+5TISyvmnE_L>Xajc<E+mIQusY~`<7_@2qi{zdCQ z?w`|>Ws=Psi^0n<GQc1L48X@Icz76DKmbQAc%dE_7njxS#>T4-nF~xONLlcjaHi-n zu7o5MhSd#04%!N$1zZ_SJErdQd?72PF(<)^@03%ehVb4OT91xCy?QkCiR!5v%pIQs zj-@HBc?>@H-yRJ1?*jolJ3G65kuv8FXWwb>OrG1f<#VsBn`Iq`00V=P(}FoJCr^OD zwoARBn+#5XihuA{{TpFco?ur;L`Zz$1g(~1V`IDarfluqtm(JrmMy)jSN!v9>fcp$ zf%})mUs}I@ZONaa!|WAR#Zr5I*otX~_<V4;dw;)#f6n>+U*Ei``JdL%VgKk0!(WSZ zkyWz0llSc0v17-Md7zkoz4zYg)vH&(-n}myRM_sX)!Xw$DgKG>q?vI~PChN#>EmCt z{nF%L_xIfO4$sR4gQeNv@+Wuc^bL-0ScJV499hKfS&5rnYGnARV7SCW<%$CzsGKlj zYLr+c*TSB;eeuTR@;5goM}gK9ElNoR0m$kw*Y%)NOV(|>w022Y*y`1xS5KWfrL}VI zS<r&kRjag~P3l_Z<+^lMP@w9{Nve}{J2GX@I=^>#CC#n1py4Q&sFeF}i-pA|eg78L zzL3uScG7l2)vk7q+Qro=^L-Xq)?BcjP<g9Ob4jVf#}dBd?<AxHlKp)_V4(+ijgc!D zOmqM*5OH*LobT^{RsH4UuP<L}{xq2yG<TBUnU~?Sm)!s2>oe=+%(!LhGq+v(&aM@= zsHgVeA~x2(SppjBfdXnSH`I(-Ava1$?1$7*;45MBmTGHi+D?v%iU<pFQ+cVfO#NZ_ zE+@Cs3Xv`gl8&;d2i>W7o_5dD_H+2JW9QB_EW2n{!uvLUuFFoXlv(F58TT(uy|;FE zwh9O=QGRvt%ZsWM+f{O&{e3-<qRppXDtD=VZKI&3>Z}vSb3KYrY_U3$aw4oM5CkrU z?ed#-L+LAz2;ZIsnQz`0yQ~pldaA;8NTt<0Z*_86S&!kdKH20PCiVY(`<btGtxZ}V zp;gv3HEE-Fz0zd$TVBi6!hhUhV;2iyU}n{i*fXi^qCwr}LtNhc0X?$G$0d^A{E!7V zH6V+$Ai3#~oJRu`%=@udjaB2}3g-1c->^w-=x{jN#d*hLzsG|`uHRbO?wqK6A+DR8 z-mW#lL8M*d=Ym7}FD0aom6d}*&s1<0vey7*A3HmBTSn<l?iHtEQd3g4^i12Cm)`C3 zY}(1PXNQ{~9AW<1&i_6yKfTuF_0zX+ls>$B^Xf&}x1Dph&gpBuoR@FC`}VnaH~yOP z`Z}M#V7$OTd#cscR}!+1bNrTG(+WMDA@OXJ*W6d)e>YA27w#?}u>97oPQ$ayZeO`^ zt*k7)g!PT?0=bYSR}IR_%a2QBOGdHzsU^RVFq|XRcFkZ`|7G`=kHO2k7B5cT1TNbO zwPV>AeQ@KSv2@|wOyhKsMgfidFU~d-9;^^fKHe8=3|ft51YYZ>=T^uW25uFAi{uhB zLBXXRVMnx-L<_q*Dk^@o*RSxr@N&X#xyLqg!ER|OK00SAi^@{=oH??9QTg!X-InUF zAG}C00I!xmeCW`jLrx%Y=pYEJEfIpH=@-TZ5OCop3k3Ku{rd|7p8xfK)~ifPyH&g^ z{^xP#Tik1YZ!JD{G4AK_bMqGM-V(m=q|Jn~zAe@lt$(`ZCn~(&RaT~V_G<JJS^hUS zHi|nOU|?clXy_2A1B2+7GnSmcW&U>Zx7gpmR@Bx8fXcn+pnV4OK6gxcS?}WS@8AEj z>hvC)ta~QsXN8|VecF4{jb#%``R6uAFXFgfFuO9xasC<I6xp67$NFTg%ijD0m*5rP z^iW;~1~ZndI~NtccJVde*Go!EA?HJt`1bstRby3{8oKo8C9j7~Y`VGE&h;%_|6>!6 z;4QA5)00Dfrp`!r{`OS7yu3&DJ$U1Ur3iQ#;?BvSQg8>PKCqZPiC@kG<X{k9;19ko z$lQE;T6FYQ-&<+9i?{jSzBR+!Y@62XDQ8c)rOw{8F?Z=?jb8#yZHo?Z{g|}ul6RGt zcczBy(uFd~Z{}SG?~vFqYnIfPnc(w&uBGS|>P@_3xv)PY>xRXmi~fGTvoh2985jhs zJkz%ZT{<V_DgSm&ugS!@Uj<@Yn(sL#ca~jqmPqcodpBM{O?3tf`0N>8-d{H0Mwaz$ zFLQ8{z&Kg&H0TthQ}={U%82c`^dOym>jb`Ak<2gUbzRthPWZ4QrE|v`w$D;50?dIt z3==fER^DM2X<#x=GIR6rkkb(2;u4TMw!lD#=dmUmg9KXx16QI%PvV)tWpf!eZ<GA{ zYkO4FJQ?596~<YU6Q6{5o4nP%lW;rvR8p3>C{xrgd({{>o4dDEnp=ez9PgKZzo7GU ztgNVj-+~J^zE#O{blUzLY;ftYUCUq2dCOU3k?OH~mK%aAcC~8k5n<*C+OV*Ahsm)M z@dq+(JH*OjR2Hr}_G633LygY#n?^1f60>eJ8E{1OJdT|v+f^5EbLX!<ed+h*mdBcX zul02vYTIbA>MVOC^COKo*`@`CLapY>RWaO8HK#ZvoNnOZi&FSxQFN(bM|sgRf4!i^ z-JZ^TR)?DAGgtF!r7+a89sPZ;t}J&)W!uNkrH54X#UuUR#Rxx-O@B4x{oLn0hQ($R zZTz3dN<WxV(55A=@0j?|$mF}~1fvr(+dr0aIIQ|M=j^J#tcD#TlUoxX<nnys$WRfT zb+jmD=B@chSU;OxTl&h(bN&I%i=R1`etW?%uQRXA_T%*fJgNI01qwYlrOYdLIc(L$ zU(<E0qXYZT$f|d0mj+$+zAay1x&M~df{Kl|8hhlqOm-H`+pqE2)obPt^((cyPU~ho znsMy-;!fwIXT(`b#c$tM6x?EGXB`@Kv_&{3<7wmCP4f>;p7!O!ETxm5Z-$m{dBE$D z;>2StbA)T2Gr#k#*!S{TnHOfg$t-1C*zYWrz5UD?&7df?m~~Q}eQ#^uJefA<y6cH# z#ut~5N8diQ&MuB&cGJsuhOLdF+KEzn>Lqh@#Ha6A8=mA5oVTWWbrk>X$rq&5*&-Bn zZ98hUZh1DlmR=s?i@4Oa3lG=F7@jNG`*w$1<*xR5EUP*+_<bGEov7*9yrun)=JAdW z&KncYxNK=p_Pe%W?elBQ*=bStH#`&&dVAok%W;8rp5QLkgmbpaB@gQL%@{xYIc6ST zIAd92%zbIb;18G9e-<`vS(K2+A5;GP-)`TBon<xM!VLxy0rI>u+tggYWV$8HsDF8& z?BTihlXC2;K69ua4e*OIc^u@X>GXAN4&U!vU3cCwS|!>f9_m#;@>TQ9^ZlZSG)1It zuX0}+`G4wzHb3={V+&U-)lL5$FRePUbODd|mD~xdIuCEP7Zz<aIaRG47jVGR_5bEw zCI_w-DBO~NQnR$RRWc-g_f#PTvtBX5IUXU`rcF3`(Pz(s)?LQ}H=UBnf8;dnP;gNk z@9TR~FPBK09awVb=0)8{e>1PMeA-<qJ7eDLn+Z<;?9+F-%w^VNo?Fe|dUQhWt$C8K z5Ar`O-mBN7$`T^DaG&O>4y9a;(mT^x_s`z$9TId-V70$TPxzd;he-(@Y|TmS#<$mp z+3q`b>gcz-i#B_6TE0u!)x{V1Dp9}H=~aHi?YC?E->$G&!>e<~YJcK)*N^+Y_dHVx z_f}S@)K%x*BrQ|lym+=(@s^lZ@;ASS-`gXcdFe}ev+mOC%}$Yli^P5(HF>&dY5pWj zUyk|eBDzbQH~dQDxtWn!=x)F5g7MOlpe;W?`u(ZC)U~@?CBSt1+#ECC;@fv)9=}-f zy2W4jN}J4+2}_TK3O%>`7`Fb(u6EgbZ@2$_d(lpnFMkoo^WTmqe>^yP?abH5=dRn- zl_sk*U+XzG?}S3w?PJ$Djy{_kbMDne^Ow2vjugGEwlrO&KJQCoOmO7sYyQCt_ol}? ztSX-Q>8=ekdy@Afw}iI^d(LcGKkt}#U}Wp==VdqAe8o@w)4RQ9xpMHkx3OKRQGa_T zDPA^x6DQ>yb)>M;%=!2pM$S70YJ8IY*`F7t&zE@}Vs-Fj;1z+(J0~9cf3C^GJim0I zxM=oW(aN|3+1;6}q1<0ZJkN^;wl*zUx~J>#N2id#9Nq>Es+X5EOOzNMwJkdrb~o!| z^S?s@i@u#sms5=VQ6%R7;f;Dr(}#!Q0SygzJ-?s(caNjLYRWQGk%Z`Z{aK%kMUR*` z1&YL7Otv<%6*-j4?Rm+8(SPMEzQz9kUv%_+-_#v9Q#>o}<hF$kCFPI9f=pG;Oo<oo zc=7$f$^GoVL+!R^<Y;N=`g~rIbYq+Dkv|Hvm$}S2blBy{dX8;E!H<uz@O2;e?cA)G z{`36ea$5%L$=xTJ!nS7WTrYX`x#Hh}2{X@6jCZZRmGU~#<wMAiwbhxMgf|?!@gV#7 z()_@%r;eSQGy_v6W~zPaSSc2yc3RPHarMI>?G5~U4*E1}8SMBab#(68PE+Y*r^C<A z@RY5VDl!nTGptW$>Yn_gL{rZ3<?3eM7Xso2cemaS%iS%)wB@UH;$uJ6{?oOWb*r!I z{5ZMyjQ_O-0Rj1n_okVq#3yH)o6cQSQhodWhWrJgGrrW#cC$IPZ^^Eey&u1<jh%6H zYkS%jVXY_E)-7FO&C8Pa^k<CHLQCBYshz8LW!&F>xN}c@-m!ZwS0BV^D4Rd7bxD-m z(7fF-Vdt6Xgad3nUmI_3dZD>-Zw|}+U$@0F^EtOJiJw@qEnsbchtliy8rG{@uDR<i z=So<u!FOosq3`SWMafFbZ!+MuxtSf~x^b#tXo!hLuzHS=`=^NydlF|WXgv}X?yy^W zPU7+fr7g=>w1m|&PEl18f2^XxB51b$r0mCQ*>+*euYFA3x!|!!?R`)4tk>Nep5|%k z_nf$JNhar(Tea#L35P$Y&ifuQQMF1|Z|`Z$YMuB!{#*0L2xErjj|=2vzJ0hOadz?Z z+<j56N)>m`?rA>gpz3ibgYDP%N4wVbX1=v?wz-?+@kLtez&8KuueNS6^<1SnBYB_5 zmO8(x?6Wd^-~ZV)$!~JssdsWqRDWMx+j#WiPM*7MQaZ}(j5kHvMkub6yZ!o5g6O3g zb7d{8lA1i`PE?GMSgri<%d8bl&8BQ=>zHPQSwHqTp8c-%`-CEC5BHkxOCnZ+eI*7D zoPTt5$DESM<@NRt3S4!S|5?Vi7$cL$)l>Z(N?cig+uvhcvV57S0gF<o$+VvM+hOiD zFaPa)yD}|ZM`_;bO`J`g+}(;P{BaGRzxH<W2_^77_^PYnv0m`JnA7_IvWznhZ=JRB z&W07OY#R0TOQxMXb#P<UM&Ye8J5Jr=F$foLS#iug-+R&C^@~Ib^3Js0`_{Yka$sTs zufmiQ!RdWb2AT}BW4tHc=#p@{SGWJ2PPUs>&eoz8FP)wkKdW=CjJ@Bg5j`<Mx;^Zm z+%vHi!DrqI_OnM9J!CnvRsAu4>Rr{%%Ke{NR>T}<SZ*vX$WyM?kRh|@f8@FqW)oh2 z+1>eiN=o>I-QGzPGu}7P?(?l**c`#7uW~v-=9Xj74co#`u5aox3T{LndY8DPU3U75 z(hrBW2p;UZ%W!5Nt7i7eEtmGLXI`;s_M-Oc0)eI@D=cOEp4U6JCB6#HiJX7gcwYRZ z#md>w=Fa(LZ~JU*@NMst>!VMewSFS;eRX%XY=cIi^xY3>uNAy^39K#QD#{RazCF*u zqL;CJ>Hl0`CC|HZkDFL`E#4KfGyD+Wgte-A`63VO;wpt)U&^e$H#uzml(40KbEIM= zy&7Z-B6;20_KH67f1<B_yQ9|c)~ijQg`&=KfBEGrk{ED)#myxvE|s(GHJrYer=nB& z=FUn}f8EN>Q~YPQr{~{W#c|3<@Yw&%#<n|mEGz<fGYbx~X7YVoczDtOS&F$PJ?9)8 zeZ(UdmiXTfXk@yOcCyUih0pmbSN7h@4f|44er8g@+5;1IWnP%M>(kV!duPclUdevz zTkBfe!}ob!&RV)q`PqVhT`u1XHyV^EubVnC+RSLT<i2B5YS&2>NuDb2y*}B_;qI>U zJLNgpXUsS|@y12QdULY}S*%B&x^1qocr*1~m`vAhhIQ;mLf&@->nJ}Dow4wNpvb2p zIh9W)@=Ib0K4&I-T<5M|xq_49bj`WI$io^-H7fKw=ZT8;iN49-k<@3?$(Fj7Nl$O* zEaSJjucWOf)%;l^zvS+>rMILW=cdlPdgrTS&F9~5Qswlf2v#K5wR!kB8pOs<S8`D1 z4PGP2uB7Va_x0`c{-j!?0=W+gb!&4z2}b%&Gu#>zc=ybajXt#tCdP?neE3nA$@D(R z#eDWW0nVz`B|3`ycY~w_GRh_9vHMKgadWlq(|a4wZ!({dCl<l`eplDaw8l3f)4Ez~ z7)6%L?8s~rTFVw%`=Mg{j*I90ay6W1wuYthKdtzxA2g}iXR_MGm#<&2c=hf-vx(`2 zY0>4gi@E<;IL^4}`?W;cyfyKbVmj|C?lXDf#rGCoIp{f6W0&?6Hm-j+IWqXJAGdio z%kT3o(}_+T0e?Q+d;7O*>*XaoUN3RDWc`|d^1=G)UjJ@y$zgu{V(zm9ZBq`mfJb)& zrv2RJC1J*Ngli)I$|+thX~hPa>IEwgU3&W5LqRT-JNZM`J@EymoA<6}NPalQl<~l# z1E<$XH8C5>pFXwFsX^<w_bt6Jx$*;VTy3(A<)`RJn`&=;@nNOwbmjbYOp|0K-De58 z{SWOp+kIpsr{%o1qYX_D97@---{rUvt)9$qHui&vW!MFoNjB!}|GEF2pM3l06s>2M z9CW1D&il}LRO(Bfv0mo<2}$fHb}_YGY~S9)vxMoJtHxZRA6ic~>NJQ{ZcN?e?XB*t z60Wmpan;LdE$fW7e0J}36U}K32$}QKN-|nM(CPlS+c_)|;*HLWH*A;t{#&oU@%0{? z+2JMk{wqsN%yfwLy>paX{^1Y*(;1ReQcp!CdF#wtG?#DvJKN6SbQ>#k#g}ixg-pwS zT+IJDv#QEawe#Vn8yiG<PD&I-cx;bYy)~X?ikeHrr^b$}^JQiE=boxsuM%fj!{)os z?fLC<2j{w^>StLO%q^8{shL|a^~@$VK?^5-Uadu%DTSX8<t%(_oolq?$YPz;pxa9F z-d-kCV`nsOkjdibcCcvIzifKzkj>Mq=TrW*Y@2Y%|5j#qtEJOdC9`9Sr`MaXbNv40 z<Rh=SsW<eXFkAIA+Z!zc8>g*a|Mz;_w4z5=*+vH{{|U!?xGV4HR>-a}+u2`o-D?rQ zz?&soS|<CnxveWXQ?!{wl_660bsNXC=~FZUoSavQh-bV$fBeSkQ>H1aF6fuvN!Z_0 z*T^5?kv^wxV(tp_>-K$nY(rni<e!RuWnOt9@#2P0DYECU1eLQ^^R7AgbajN~jjeOP z++E+fKI@9)e^t5fWeVAAzBJTtUDTTPzE&lCXGvdpP{`&AIhF~(H`z4Y4){7_+IxPF zzNw~cUD~1zp)6k-XNcA~hL*4e%?anetTl&A%CdS}CU0o!x2l~2tBvI+6ra5>>SL|L znDET1mSf)j^E0OePBBw4c(c=F&4dkGI4c9)4!!@S6ZR)eG(`9Rt5bWLkG%G)^V0es zIWJ*l=nDqr#^*PtCO>=G@t0XrK~U1}VC&_n&V15SKKN?I?h$X0Y7dwYvg2alQ;#3U zjh{6_XP@EJNY0+bYd6>F((?KDqPBj!SAA#ytuu@-oX*`|H`k&&Aa}_dp7KL-2b~>L zT9uwXVXd7vHSaW6{k)b>nKp}TOM0%}7OuCy$aM4cV}n&5y&jgu`x{>*&k8+#G&O8$ z!}e*H4oM2$`To4)U6OKCbw|bX6UKFhyVvhK_RczHeb1){-POAc47Eht752ntS<HO@ zz9($Ccx~G9g(4Fk9e!6g-}I$_j<KfCKF<2ufSE7Y7(HyGRvxSgn)&Jg|DtWlvfOUl z{GaUPF;96e720d0GTnBr>tffqz=IhlXDEey2%LHEXdA<tL%Qp;|2ZyOC>|%i{nB=M z>%DIaQrM?(?mm-o);eJ6d})bk5_hKFYfPDyYt6oDL-F?`cBTvFI`eH#7Kps|Z_#J3 ziA?G>Z4wMM;myLAXDKe$*dBNzjA40t!Tx=3UVpr^+MsmP2OZvPat0+<A6C8>zf&`{ ziBT}|He24Lq<yltq)Hm)KK@S)y0Kk<<-s*<F%LKz1Re(mUHV?SG)3aW-N>WD7Y=-M z;SktkV*O=J#<OdT4g5Z>yDkKMF^+xC)Th9+vqVy*^5Ij?n49|!t%+bxib-MGk}T08 z>f^eA?~sL(!l8+WJL9f}27EYl_+MwmEWK0xjCNOSI+V^lin{yrT%vL#bMUG7^~d7H zYkHcRSNSjK*K;_OXzp|;kYQeUmD3aDr#(`vm&3Oz=rssioRHU0by?5%=5A%a%$!xH zWDECIHwf;zTl$^v+B-?U4nDQxdjFQ&trJ)je(Fzg^&g|^;2C18w}c(~9TB@&>&JE7 zOoQ^5ix>Z2FMr6NJy2>UbLXioPuZ)^YhB+`D8S*uR@nPKc-Dud`n%RKy_YYU-?*Cb zU+2Y(oBK;WVpf=Z@-yRD(y;K<U$H;B8oPbft4(($Svl_V4O$+k+<)x3&5Y1B{%iJE z-DX;NPS=S4Y|nzN<xi9k<qBS56Fnq-$)|aF;*{6>d&Bw+nc6-jt`+c!&h3&|Vcoy8 z?)*<d$J@16CseQhH~a3}&6g+LtWwv%(6#=7xc)rT9P#zvoY%dTx_ia9{^SIa#5aQH zPia(mDn}VIFK%SLcXqo;=65OPa*rn7qrc`UX@9xKe3i|{Zt{iHfI0cAC#U_@>Wq!% z$l`qWTkhf>9-X2y@wQVKB{ZB53(W8Bxycpz;k&=v^V|h$VJ`%)8PzHViuSW8IDVg1 zf5LU$)5^Hn2fk=1rHSwI2>)FEF?oeE+tcOm6KZ>uG!JrlyPlOhbyol0ru1hQlrNo3 zthhM&?DWNJ@2%OUEwr@bR@{~32iGb~Vsq>nw%kY)csAG7-r|s~%g&C(|1;&p^1mi& zxPF^$XEc3V?5e}t_be;@^EP_b2l4xvt@4Xx*qa=i{EOzBGKFhS&FC<{w|%vTZ~L6E z<za6ko!=$=Q7~$FcH8N>p{)F-7do;oQob`6a9yfVye4#X<%b7fj=0>Cn$7S~d&lph zaQp4Cl9yvW4DZ}uYua<V{BepMqu*=S|BC{}x%J(P++J2Xt1ePFo$g~Qn6v7X!3zxu z>xl1>KNl^nyLIC9m9Tz2tMCsy|7@JK@nj=Ygn_d1tj<kKt$v)&4tacc?{lrXLf+T? z_wVfAzNN=|v&Pzg-H-pp^=`@gz|GI>Ch?zZd9b5FN1=KS@5*Ofzxg;8zR^rN=@ENT zSkC(Rcdhlot_iD-IBj&8WAQ!kPseFhqeM;B*LmXAuaxSJ{&<pn>+EL+RqKp5jqT~@ z?)E=>89(>&-<LjD?)&{#`F4P%GiI6aW&NBr$u6Q3qqYa=tzG(N=Sq$gpW_GRKe)_4 z*d`cyS7cJYT~(7zqxcQSlV4K5{#to<?(fxa+OG-8NZfGec(~&ho7R4}sM)LawOQ_2 zpL+U&-+bo!wLdy{TRpiOx<sH%bnz{(om;i`RR8>Ub9%arfo9w;@5#<`YFyXuvu~Q2 z7jVDO;Mcbag<*3gET#RQ^woO4{;RyZ`D=;r-COf^rO9lYz*unP!J<&%YPYC}1#>4l z9Xs9lsBrZxgZ7H0sy3EZ^Mc+Nw<KwqY93YHyKP2M=+=<vw)#`T{Z7`mPfcCfqxsk? zIQe`d&rUm~+^vCIcRgZCFZa^6i+q(c<NnUAA=#}Rd4(LJ%txd`vc=y2m>yc2IdRRK zlP7B{uGT*;V781*K5KtNwv-|1`^T28j}B{A$^1N6EV8^xvGBfR-|K*LT|sNso#@Wm zuK)Mf%<T?EcQT(ozISh_=oXKHKf(<cl*Q$?9oQuA)U!Z~D~8$LS@V0Q`>D;Bg18Mf zF8uQPiK${#tbbI1Q`=7Eo$BG!eB-;Sy6TJE^pC|HEe{G_b<$E!Mn+^w+tdE{`{Slv z`z71{vG&JhucJZLx{2k53MYJRJDY4@EuZdE_4vt~>3$u`b>A2KjH<3bsu|ia&-Fr< z=R)}e_2S}^TaUYp7?R4k`Pd3HpB>YCFH~Q&!1#h=6w_^Xm9>j)53jnx{U&6YQtgTY zhbQ-Jx`MwPv}QWwqS9RURrvJ@t4SLQ5*q%jT*1IU_j9P%+uy4n7)hEh56SRtoZ@qK z$IkV_Y4;a)-wHn!(JaurEKxLk@=^tkNejPDy|->|z5AAljz1q&9O~Q|898Hh3ybs= z+0T2Ie7(NrocA8X3Q76xT^rn3(^;<HO#Jz~ZRwlz={H)m<~$6|<>x%RMDfGssaEl8 z)c#dm`)u5>a#qzm`-AU78(YGrJs13yaeCYT^S;rW_eEq>zFRxbWF^z>N$+zzpB)xq zVvsoA`AyJMl;csa!EdYRfTUTeiDt2hiN9IX9-o-<TGr@y^o(wfGwvRRit|e^iG-Q- zIZWMInv|U5@NbgI>r1L^?WfJmdZbtM*gkd(nEL6+Hl}3V?x>U>;Z~(CJ2tM{EjKAg zLiEE|>%TdVkM*zqV|VA(qV?ZX#Tmj}{fe@3SE$wSUQ3H8=KI*%X}k1MbZD+{OpN0u zoiD!}Yqa|wM*GcDcxSobrZ-oJ!lAlP_g2-!?_K8*@j098(<1L`?}y2WtG}*3k@Y9B zP$KI9x4ym9O7)N1y1FLaJ??2+^yp^ztz%l-H#%yUe)>|)(J|?oN7U*$$~Goa6J^gE zi7(N4=`~4*by>^lwR_*Z(0w4BRpnq1d@e69waZ|Vz<u^TD`!8=Jt9=GmbKXGlep=1 z`z6NGn|exT>(1r4GRGm<&A`u%y;VU;LFT?}q-xm9H-4AdRNgC``Tjp6S=?w<pG1d@ zw@8}#199W%<*{i-l3N$u6<Q>j9r3?9`O60hiytQyJS&VFS~&Ss9`{)6HP6%WliRJR zvGIww;6>hl@&`A}xBIJUwxZ?InUW)UnKL6Tr#mfu+pNwMac76$&RZu}`Nf=#ZL)k7 zYNVWa*uJG(G%n@p<EZEJ553;#Wc9wGxk~tSl;B4@q5Ic1$^Z4zce)<hxhsNUu7Gv& z8a}oj_Jr#po#C}MVM5GnEN>hW7FL&Q+UVuK=fNC1vtAd;Np`<EelCeouV#<eiMSpl zs#N-`i%DLiuBzeTQIokYS?a%^IPKpj8gO7fUz73YibT(;hbEi;6-i)9pFZcnhb6lh zS~{=1IeYx1gH!Xa7$1*IPYrgw2v^izvh7LcohMHw?9#N3?67RsydJwc&b9q$<oT23 zpYy+c>)X2hfXGAJ+O|tKPyR7U^*VNP_U8nykOSKVrl)ck8{TzXqWs00i|sY{72VBe z&L{D@y(*kv?zFyila|g+_q=_lOy)ncvfC$MwP5cQD+5-^2Y()VZII-<%F@}pr!T~~ z&uH?s%BTFR*%W{7e=zk@S988a;+^O7rp(PvPYtZqtJBUnD!6s&!G+2fq!@x<#l3Fs z68Z7BzW7M?swlxXC)WIP+?RFqz-mV!-%YbE@|6@HPwd>k-b-LryT-yJl3@X_S|ts5 zmL=>xr+qZ?v}L7}?X%A}j$WTG;lJ$PK27!AMJ<=N&)Ozd&-kPBN=V;@;!U46eKkCm z%%Y(ipz-IXgybZlXR`czDwyX_o^r|FctPL-=^d6ccsFOcHO$uZ^0_QpGi@RtPgYMz z?y?@EeK%ju^hl`IbNav&uqH2lv1HMQJ3HQgl=*3Mc9HtMg&U@FD;2(RV$}LN$K1~$ z!1NUhPj}Rk%xTHDm-QKK=1X&$#Nfcta70mIkqU!~?)jhx^L9GbJCryp)XGeW^$*LQ zzhc#f^zZu(C!I^U@HiuXsbL2HmrG`nYbRKrjAPDWj$w}W)?FbM3|=Xq?J^U(^lWQC z-==H_#pm;vRXBchRF8^__&s0zQnr))m&bW`T_spVwqHK_I@CY$j%)es#r#_~|34oR zpICHd<8J8y21e!<hK~oC#X#Vi-7gN|UnOSuS8GpH4Y8jacJq|)uF#H4;N@@GLXltB z6or|tzNeKI8p<U*<At~UjgJ=%FRh;UYHiq=Alb$LFPQi&dnU2^zHsfl`zKA;Z#F*m zZWj1*l`Jq=#o}$cbU~1hrsm3UFD)*qt36eqGr$%th||!xBFx3r#mV~h%a@uWJJb31 zmM>TTJL|&Xr`dALU3~e1`8V2}JIA+q$JMT?s=bx7b<PHUZ);n5^XA@#|K}~c;0jt2 zwvkg|dAUpbv)50qTrt_F;F%v~WIA(!kH4Dw<<mz}lDGo(Y^UE-eKDzc<<2czrnqQn zYFbX6<+WZY*fTS~VOr_DqpN>Ne$THctejc@QLpTqx=Gl6*L9U*I)Av5O+SY2xOx1G zL0Gojv9kiPN2L@OC%?I|G5L)|@*ePNxJj!(x0RSWf~L`(v@F4^*lxMY8yY1^IrjGS z%yM&6@_yyr8Z`Tg`c===pp}ZJ7A;B_+<YSPicVE`*96d7GYyrFSuXk)C!g?iadFzx z|L>0A6rFc#wnquJvWoA>Y*UYm;q(6EVd8S<CjVzCzsnKd+0MjmX%72c*pVi&=uF7l z!)Ie$-@JR*C%bz$=Yq%JV-qi&1RuVm26mMbWLE$83y=37q#S2?#xwo3sGnv3{`~p= z6PI3I{?ho%rgnzi`hNe8PnxY>OM_NUap`*V^1|iI&X+d#*VVk6DtL4G(tzIfgoO#; z0v{Xh&016KeAr{LT9p6Stpypg7DtrxKcA;_*6xPkF^Sz98JO5O7#}sa3k%DzUc5Nx z%ZrX@7j2YI&z)QTeCOjkneX1bY0)TMVC65n9JGeePiQ9d*~(`Y#YOjI()`ZZ?JBH~ zxNLVWfXD8FGxIcsJ2#oXCh(t~R(;2|*Op;*CC|SMN7*0O*?w$OcJckcG`IIIc%h~& zJ3G7k<BU18XU~?dU7Vkjle49F+e*gD?4r%be5=lK@bdaz%5<4#d`|Mknc}o(a}&>< zY0*ecNl8hWVYI&IO!sqcS?g-<bjfp@WwfWSd=MGk_Y@SG$vIaRI=A!5T7A1%{N%($ zW%s_?FEVk{M5l^~B=aeFo@WHD$}4ySI(qBvTg}NHIX)qm8QOS)7VKEiG)-7vPyX9A z{_58^&z*C+a$i67RoSfVJ8ZP4TONBSkv>_7@k8(QR998jqd&~@cX-C%bNF>S#(@!R zxRA0{hD?t|gNiKs#HGB_W;r)DBs$M@d35H^L6+M)m%llh$|fhbd7hD&dDj{Num68% zZ2XlZ&-N|;s$|dI(q1XktSc)Vo7s4!Ofsf$7%aAAwraL~Y<bx7@m#}X&BdC`TF%FU z+k%g2JG=3u^JMg-_kdQBH86s%4>L$G$-E(8eDtX4Q_;&ux2C=j+tnfO@<{5@N`*O^ zWj!A`uYrzKyX`K2viX_q=X*BkamM=k{wba9?d|R5-#4vUv1Eb4`+K{0&;4R;ZJm7S z?H17DE!B&!c8D~D?b%_|aMWSywXQXD<zK&ATlQ^b^@{a*ii@&;TwA%Xi{<Z?@SSb# zH#S-y%X464;Spdu!p^U*F~Ku-dw-wl+-0}j&pT~CpL1<yZrc5vvOEy*(^LlocO`KM zSU$Vj=hXM0Kf+T_Z?9M>b|(Lzu8U0x?_GIE`>+0%uhhJDc1B7~UCICS-w$>3z*iE< zJ-u=dz~IB}+b#Pnzs{~JF5cyMcV1pzp3mE5cW=$hJ!_UGy=Cp%-d<2twX%RuhP>@( z6<r)0Ise)0O%ip>b-ww={N#Q!Q@~=unZumH&rZcl>QpDb=`%EgoXZ7Xsk!p)V(r4H zTgGQ+9`o_`_69A#@mAUx@uoX9Y3>a*vFs(c3|=WP^XOHm_E$aVuG8GCX}Vt{Griw$ zb4b4U`p8u~&gT5mUmf^0>D{Tg_;-E(L5rWS*M7Nvg2nQ-r#)y%hqiY0G|SVoQu8G@ zuK_K~1!2e~X#FoCXJ&1z{c?8kqQ^>s{#!!!cTHL7_F}(%)5lf&&4bPuME(j%-r8|u zWAYmd9T4E*;kw3>++=%jPC`Ng=o%joj)Q>M*j=Y@uwI(FyX?~L9zU@r@rZ&&sV7>P zd8hnXsAb-j+S1Lj`9<FUXT?`^&jh)ER=924oh)dq5EBzKD?2+|`z7O50oWN`K|+-! zB_&sEs`_WRyZ?UiE^j-dm~M-bOX!EDrl#i?7qj!*?AWZ{aDY)M?gR5&rt-2kH}2kb zJ(B^tMow87bbw(H_)5L54lr;7pFP;tw(RoDl3A1OLe(Zl*k^VqvWoZ_G`8fh-e_X1 zXJ@#1>h4`bF+*l0&=NTn5ir=&|IdM6Wz(OZKYs?QO+5Zr;>k;=p8B;M;dPceJ0A3} ze}8^`zyI-b^ODo+ckgD|VKe8zk3Fd#d=?pR-oAPF?wy1-<S;M4C7`?iOkaY5W~bZY ziy0ye^T64>wY~j$W!a@l@RB5#X`p(-XYR6ds~1n6Jb7~SXK>be@Ss7Zw)3;ON_{dI zZ0=u|4X!@FU7UL6F^8A(ga#*0qXz+pk9D8!mA1ID;bD5DcJ$`ZeWxPg51-!@c5K(F zu$!|sewrHkL@PQ~yX^RlyDSryEd+s|Gv`ZY?$iHlq@+LRthj#Mj)I4WTDir~lz-Hl zckbEgKhpo$&e}vRICzm=V!_;u!kxzqdiA9;j8opcxxQj|?G}l5Vg4zSpA6#k-+%n~ z?rmAw8wu-U61$Vjd;a?GJG%Xbd;6>9A6XNhr9V{r8@VRpqxPhIk3#o!38aEosrm4H zN|Z~}2QOfIxA^}?mo83~4u&RnABzhPo#MsI-5P!f%=OxSS|Ih)`>i46g2_FG$0WLE z6#9C7I8g>#Um6Wwa=CVe=v`$_HS^O)i`K`io?pFVeX-@mNAqiUwg2ALUcGwx?>DFa z-Z}TL=-oB{SY7wWzaHe(%G^2kF5_L;y`rr$yLa#2oh)uR8R8-vNAUf9CO;t;6Y}wc zfTvZ0v-2`DpIr|<5)%^_cJRyF)#z}>JE=VsHmZIh=Ot%Tq4Kwq2eQaq?S3-HK>>#! z2b$e~Z!iD7E&cb_@ZVe9Uq39d+jH&%!*!u|Z%X1`l-7A9O@HxE$9an1^~a?_@^9X~ zlSs~C@&KKE%AIxazySx@70ir`jHV0Nu2_HRtNk(&ycl@@e*1|_=R?6J{#)PQ6n``Q zea|i}K3?b~`_t-AmOp3J=*v&;&zG;2cW(Q0YV8I+y|PlnH>PY4gykzG`i+HWzBwRn zEB*MU=1Pqf>dPf$YisiP#MRBWmnPQ)vi9|9?=k?NJXci-0^n71<shI8PM~FFWlG+l zb+=htZwaKvg2CPEiZ7x2Sr$aAmhTFEv+V4a>Dw;(-3l$=)vnwwBOkL=+srrjtZz~7 zg>xnACRn>3x*?m~<9a3qwBNuQv^EZ0UV{tk?frI4kb4c;zkdUR{QuyqOrIUA*<KFW zx$%2jezhE9b-{*4HiMK2OwHoc7uSYtmrw4w+rY#jp}^9?FX!juW#DE7y3UNNQyo+~ z-!^i2$#bRPV%Y>HW|8V$-ElE?yI1C=yQGQ<ChErCWl!52-74G3|8AjesfpEEP+M;I zY=&RptKCeuf^+KAm1!Zt!NI}a#b%c;UAhErPi=@$`_@^SH2a2l_fe1fxUHYF_B8Fe zKIhA8$c`VoU+I}<=lX71TDxg*yg2T@t2jn$`>Cz>cJ|zDp1#~X+1>p7y_;6n>5pg3 z1Oaf{eIf{e55I)1UT=j0(A~5ktO!{QzHeU{b6SKuH>-MF&Np7>3(Je|=SWW$V)U?L z*>EU1S2W7Xy7%rz>tkvS4tqer3w%Q4u5TB2&WTZonR(jx*Q9KD*_UV5KA%<$3fIoa zu#lhtj~6OJySX13CBzo?*0k^b**D8~bNaS3bsJ-M%>KP|0kakV_E+_CFLLfZ%X51- zvHQuh9oBmN(?B2$1VDWTv1?aB!0uNE*h5pNUWIfQR-3v&H^hWabqNBkDh><`e7iXR zxuE9Dx|rQZJExsZTCcG&|HjS7W!-aYH{Z}MD_j2A>iVv`?Xr<;FYk;kTYW*#c}mpw z;$5<vH(MXO5qqQubS$_7hk(3|4`?N}@60I)3`?f0pYl<YRcY$npu9_4!E0itoDhPn zDCW{~`T6BYO_iPH{8|3*FWW25odW`2ikG`g<5J<dW6&La)WyYV`pMF&gECWB*L~pJ z95OE|>z91}kGA+9cA#xh`(DnTF=NKOmow{LPLF#z_1i^v(B>Bqc9{lh@~;hJp2)qT zq~rTJn`UVb4i-ZWg~YHRb7m0+7VVmd1#^~I_^5Dk@bv^r@F=xB6k%cDVRqnPHRy1f zku;}}ed8HB^E+y`8wzG_@{bd^oGtNg@=9)wz+2)90_-Ad-v3NY;o#Zvf3wE3hc7!z zUU8SMYx^%QCHj4-(yhHqB6k0H8s+UjP2r|bwRL=n;p4`u0(@KbS4Ooia@@GS`~H*@ zm+l;yWOiti#jaR&=?1Z%NsD(Ib4`=VuXNaM`G{w(bK$Hx7E2Tx*y8(EP1f*Gem&!1 zU+<ak=0ESovGLaRFmGkLdBjV|dWY7w>DoIM3*LAo`ekF%qVCMoC;qa^zxsIZ+>^hF zd9ITmyg!!p=Y|bW{JKR;*X8-$3Z1dNczaKhpx>+4Eqjx$RxXSz*4Mgp(sRx1@B&?3 zyFbN`9#<4jIA>&6+?g%DaLVGUoyCt9{M)FUwy1FC{|g4Ky+U_1O82aLw10uHw`lPW zIXi)ffN3GMDtZ#%^K@@VTeVJQ?A&VYa*=O;gvWJbTTcc{4gv0oZ@CPEjq<a1q<DW? z`@Ew6%&hh88TUVD)VxeIS3Jx5;r)lt41fF!_H2&Gn|Ai5&UE9-ZBKGf2}km*d#R{1 z^LwKF+T{4fOJ@GFl-Ox}o<Hoe*eM%^OLIf{%j@bd&TiPi|2o4_=k~*p_8Sf}lRg-o zy2tvt+;i2@4w<z#ZYlJ1&Aa}>omKt<fA@ojx?%_Fe@`*rS;N70_?&itxu1gHj#<xz zPE;#aEpfPRb#hm*#WJfG`$f8&b|`HWx%T%#xK<Izr#)&0+b4f9_$B5VFUX?s$;<85 zmURd2hdyEJ3+&E+XFB_|N=Pub`|TxFyKk>)w&s_1Of>rDvLmwc_T*dd8W|>DS-#t4 zV&w)Qd2OMcyYov<*dJxw9y<A~=(oe`>K5p(H=Xf+?G>M{v<v??DR1A*cyWgGof)-F z873Wd4eT!d(##iE2mHCQ;J^=2?mx4nL#E``thp<cb;jW*XVq_p-jL0w8~d_Fb@aZT zcb=}*Z{5Zuwqt(SYTE~HkEQs&-Drz?I&ay(kJnDUWBwWYQH1|k!-}N$FIBhm35o9F zDf716`kLv^KPUgvKBxQLr>4AiO@F#)Nu+gL&?gT&xrrNkk8aLcHaA~qo{|4`xqq*p ze|-OZ^3nG;(>lF$>*UvS=QvN=vRbb}Deb6u!;*L3H<+JLl(i2(<iKxr@AU+&0<*I4 zryf`D-<!FJE3tfYtd8%8rbCyad0eA2et)?+L0_NqRPM5qJROsyzaM`5{+-R-^Odar zMzS{EKlbN_e`9l-6ny&D-5u_MR%<^*__HhT`sx<rbZX|oO#gMFyLV5o%e(wOGn29Z zNL|K@CN*&_(Q7SNuKZ}b%2YI6!MX2A!D2gGhba#ne=VQ!)@0HmFNJ{8gYidfxIP}^ z{@wTcg7V!T2al}m+x=>PBIDxDe}7mex_msm`P*8RCZX@Qx5c;5jMIwV!!Ek8Rd&az zHvWIsk422C!;_yX3Ml@4qUO}e()jP%VxI0jQpRSE_kwpVzVN;^=~V5?K<l`ypc}5| z{?)Aed5r)3`rhSz7KcR+<nwyZc_o-(cH(rTowr%!?T?>JZ_Z@<R@5$)l$^TrpOakt z)1K~WRfoj2PPbL)tx4=Qe(^qb!<HWmCu{5Cj#-IyY}(&A<-(24Q_q~-r#SJ<$!oH2 zn<|^^BRAW4D;X~Fi9PSDD$uZfX~V(RANMPm1w>>PcbI1HwG(*X<5MzSB%;YbU9;z1 zmYT0?lSY?|qjUnx``n!lhxI?cZhv0Tx^>nSakVRJ{(fHHygA~PlY{H;aH0Q~OIB~S z=Zy0UU!im3hDw{S|BAnDU5Z|xV|JKoXTH->oBBfck%RiNhU>q!>z;n76CrBD@$BH< zjKl}A`yG@{u>Eh_c1Or9jfGRR`T#r2BEGLT1blcOE%UmdsJlo>EiXM}lHT(piF$rZ zLVhlBy}@lN*6?-7X03axb_xYE-LBf;)ns%-d7_V~+*hI3KX$LQHFf^*y#M+2W5E>$ zM+L7t@p{Ma<GP)5c(M!gm-`m%`7V=Q*<PDg;^@%#^?Si}&rJ*t=U>M6ecRn5;`Z}) zn&g97!c5zJs_&a$m~ebreou4Z6V5Lp-OWvLO%FK_pADRtSo&bD?m<S*T7T~KTjInr zxy59EZC$q8tuigVv$X71`QM{6_kUcuGWC%48MB+N`$H}EwY5!>%GKUs%6Y6}!2xN$ zj|W%nI_shLP;>b!4yT=5zvEummW33rP4s>IOf71=d)d04nMp@~yJxsRJgTnsj`t(a z=3Dn0ZVFgj<-Q`t(opg3@2d@`)j1#b3lunBI9py6v9~tw#hui|J}>_EeKGmwck?D0 z*k~NNm5{vbU0`>~wjUF<uWVADv*~VFUV~@EJDtT}b`?0f$29ciOJ?xdeA)eex!$wm zwmY}&d;Kcy>r?Ry@)InrpM781t=2tth1jiS5|WSZRvuout!arik8-?_#r|zU$NtpL zWn<oUDzfo6Ly-I{|B1V<Z244eX}!S2<ATE^WqX<C&WJ-H`gY8G&Wb$`GpiW?q+Pd3 zw5VM%eFbyQtUr|})-{O4Ogg=FUDG8=(Fk6j^_ue2SD(6+F!9cpDYN4j32u%TeWH=n zb9wJ$zsc-Ojk*iXRFXTnSysJRcPB@yNcc?1u@8B>WX?S<m2s_GT2nE3;R@#mF>|G* z@3C6-Wobth|8a2CwSCEdWo55Sq)unqm9GUeV>dlx(OtwpdxMjlvavb8#kB7olE)W| zeDS<9eaboRbDkl0-Pe8Cv?Foawt{UtqTbtl{de(GRmP3|pVzEiR8hkuzUbMfjf)x+ z!jvLd#h+;xC(pVxN9`$F+a$;J6#<M>3KvfL`zc5)V8zzsmI~*RdH>E{$Io?}<Md*u z-E#kLOw0*mzTdaCMc8Mvr1LDs4bESG%$UegyVSog=U!6%#Q-zCL;nBvw3fVjmQb|e zY^0sx|NK6`_hD<Uz3H9e8d<#jK%c|i<?medr*)tDul~_mzP_!`NB0nqMy~7C+GVcA z|CnDbIkqf5_Qx&h_s0%3TU<V&+ONx$ygAL~%d5#7W<JXGwOt=+tbTd*<&@1YPw#s% zU$d+CgTzeVc|T8|?OuCcedqGBWk-dK?)FqqTPH7|f7^Ka{4g`#_)|6SRJNKXZ<+A! zStxgEw?|v%bUkm~jsG^BE1&rBnGWCMy!g3OH`IOC3y$8)Db^!$ynomB7z^XomaMm* z+x@s9a@IcN=E137k2hy3UO2RD_cZ^k-?i0W`U1D-9)7z%BlQ2g+8^JgJHB5%`g2}x zmrtr@E92s|hM^bDly2>A@H}=x;PMTxvfOEf4m%$Ic`_k!-Nn^0w_dJQG8B-w+IdT_ zp8K`a65jL`4*wmzr5FBkS8u*0vut&4z@7ap@>lMByYKP%m~(6DoYpPwHhn2+ij&^^ zM^8+1;ycSTwIuq~l38nFygIsGB;WnM;sEnXeb1EWM)jt2nTZCWUmDFS`&BE2iUNE# zFXwHHd-v{2MUdT90|TSTodpe6oxKmtOqV+OSVd$!)lK+b!Q$pQ@lN>9Gc3wxYYx5D zD);JkV9$;HuC?cA_`$!TZ*7ZZ74^48maf|VT~D<q#`#Lt!|>4ixfd4}pL3kyRU8q! z^PgDIF`g~CPZYWZ8YHx4$5kdQD)ZC7ulMA|i|E|*^06`Vk6hB@I1~F-`SGFIY!^O1 zx_anz$mR`7ahX?kDO=4qQ8c!GZ1m@(($2a#mIz7xwBVjCf!e*tTZChOf6{jSQvW1t zW$Xumcav3=-*Xfn-E`^W`^Ea_rhnD#_ngw^s%j(_U&m@Hv44faT!y!W8$5cyE=)fY z$K$1ah&#V!+q|9fb55H(Mf)CkB$?(bk!BSl>$Yp%`OUWf4^=*3T@$%ul}VY*(}E4h ztWR*){y#c{JzCo0;@8EO<v46l`LlQCTj-{{*sRN#6uq)UEGT8wq)VT**KM66`~B6A zuCVSWN%7o9=WO(kt(xd5e#_~#`3ZNI-*1(Db{(rdd-ddvsLY5?{p&5Z>Yj|d+_!kG z2oJ4j`19!H`%CSQ)MWRr>1K%8^jNfB@=2qPyZoGwQc3#ig&WekjP#cX`6iakIw08W z_T{nuUy=7af~BVEuG?qp-<f(a`=Hw={ny0}$4fgFPC5ExyG+8N9*f!K?!R9iNLu&Y zNj;0f;An*DJf6HD&uNvO*=4=9yWKw?Y^rpyJzmul<KWyrVUCu;DvnJ%jV>z$ecW@U zvGwazrTMQmc3j@CzQTo<<J7vXcAAG573Lk8c0a*N$+)BVk59#e$v)DRiLLQ+mL7)# z^YRR{++?Qn7hhjnxa-|cn<jIOEjv>s+pcP^+Mc}ju^Q(m<E=@FJYnl~H*GZgJm=QU z>J6+hUa`@e%-1b#{-pCofAaKVwcT&4TwdNzQwgwpyW&laxgm?4(3dM(QHK^VE?xA- z?!(yx#mJ7<N5UCa5|`zVRDY;hAISSC!76u6*OoM{M`7hX&aB6mE>&loCa~&r)*`q3 zvhAz>6=&`+I&sFPDfpph-kymw6gqnx%<J~P;jdAdSDvuE@2bgnqfbAiyAKqf@>CY6 zznA!5|4x3}q$Vwq`3HCJy|jICr-#-#{WsrUNhNtKD|+~+L375Up2-{nCDWd!wnQ>$ zuU;K2B^53HULYo7cXXHD_0#=b&1ol^52xRj>DX~ee&3<>KFPECDhgwZ|0~OE4RN^V zsp%PBIGIIx@0Wt&GqY8fPT&0Lpxg1s{;f~%?_GK5trPp9ti^9K@_y7^G5yJBe!?$f z=7SLSm1-a4x$nz`aa%Eo?#`9%^*gfWSnmm&&w&+6xzkrjM>*ycZQWk-C-wg3J9`tH zXME4E@Y_3k@2xZew~t59taqF{cVTCP%AeLlpOocyeaqSJ8JeSFQzkQYw>gUe^P<bP zhhkY*+!S~hbEmK5!4vhozrBwIGk4nX$1pmETxiZ_UZWkaSKOemzv|3Q_P0rH#aU)9 zvzUtO8?LIxPq+PDK6k~X8;affK7qRq_*Xo9?|ft9;Rb&OCA*zjvt&8e9h!FYiFwV0 z!fU?n3Qj*)6)Nu%-LhQz@5~=bx4twSKg4&{K*VYP+FZuE&40e>FIKp|h3Cjw`{v|m z)hiiCHa~s*pUYBc-#M@M#||A?6()MXWc_hR=S3koeg7}c>9*y2WB={QnHy||r{13q zGrKA|bwU2BEy7Y7@2!<9q%(_6Z5B%Ome2T;x0m7Yhb|W`qwg$1ciFzh9bfur#vwMP zquG7MW%;2tT3W1=ZrQFeE9+tS9auik)@ye2ycf^SgZWm<Py2UIjPJ#q(~jLWa?eu> z{~qwO`Z<+J>OtC?J71jl|CTX%^sBM$+qq+>MGhOS{TJ5iwxFuSk@?AViw&(8{!3gF z68bS&<^EgCOtqKVbv25o|4PsJaj>$9Csxo+<A!|JO`BGeRo^Dm{czc|W^>}6gX~`q z{n5+tyv!{2vWe{(Q-H#Te=Fy%Imxq`*JGx!Q&!9K@VIA>x6AF_=dfeaHmM~iRwaFr z;dr<zH}cQ_4c#24SFH4|u@e7i6V}9J>b9$VjhdAXTdmywLyO*@n6y&*-M{Z4CEB@t zE>$np6rD4a8joxjSa!kju>J&#hukMW$Eq+YY{`7|<H7W2|C=AqzU}?PUSz4;>8H;t z*DZNE(_r~N`{T3U2A|qj5yvw3?F*@bVrk#is%w}W^esNVWc*@wAgpp~``Z#N)4vng z8$u+R?-kBY`)uR?JhNumR4K1>=6~|^ye=|}hCa-#%8pduv-Y(c_rgnW{inS6|IzD6 zP=c<_GpB3(dYf*|F{xWRW2W&XrDfY+ziwriI)$-1D^O2hYlh&ZV3$7*5h?q1YLXeX ze&;_gXkwrKlc#xs;>xCt9ma3E8b3VUz9IjhUue$8xpyCUOjy7#vSq<d`JKyJ4E6pn zZhZJs^wXoSif0*5b<4+WePL5n=O}h)`PV;8z2`EkQ>-5db~PxAg-y8_bjZwO_r8tF zEARiyI&*R{ckqs$iFdt1-_4omcjwIe?;%d9OGJJ-E)#a(^{_6P5V71pEMdcw!=H{> zx5ZAs$<<)^tXFCRi}vO;pX^%~=N>TqSZDByf06SR!vx+56N(<5(owy$AamuuV+|TB z%y)k?Ubdu=?}p>=OP?l8*4n{--g(-cCuQ!8#fmxMTkKg`tIjcTMjF3rYrLK+#TCE# zYO8S5M{SuYvu;!g3#Z>q6%5qzxV(lr{>}bBY4SB&D_<t?9Vq)-d~o59u5|8||3dAi zY0JC{E9B3pJ*pMhCH=sDMjj8V%e<PU+CL^`><Rk2<d2cV@3??Zm&*@iY`T_RpyqA$ zZg0d)<qw$(5|3tf=V|_UogTuFlTzta8t}fsN;6PM(NXmNB940%u``}$<=iMrJ?|h; zRq3y`seR+geJ)*PlX)YWSf0u^v3R?bh?slMO8@p#y<JjUIYhFsF4BF!jAHiF|Jil( zjAt(u{@U<!*SXb80}`k6c-*(tTFI+>m3_&QBl<j9dJe3oe()`2I&k8c;f1W1Csd_U z`Q`4kKG;~c&TGmo?FoN6ICyysb=E~Dr9Kqfqa!lsu^?wKYeCC{ti!i=o?7)_(Y}|K zF5c$h%M-e8pG|r9WZv9~t0nIA>~B5x=T{bITkmB*##4*rTwkpXReSs<Sm^VWbz7>| zEN?5Y&oL<sWqz#AwpU=$*A2Hlj!#fwjncEPd(UuY$=A0&e)eZnz8v?tl%*9lH}7Ps zL1Hb7GGlW|_1u<#XNr5SiM_wE_V}DMuPtR$nhGXw2-TWATUTL~o5$5HFK3?GA-f}K zg1lvW!#Y=&Pd1r0Uk;r9e|v(_0@X*6bMv^fT^499^wUhw`X0iN_T#?$uU<vn>GMp5 zmqxCSIJ7pf|IMXYdi@r>-^5u@<|sWqboSx3Vws;}bLU=Pe0@RD^3}OoJm)TCDDIZ% zO}=kme=FV5rZ7$T{L8wGh6JX-$CHxV-}A5(u}+I~C_K3N+~#%@tu;cLj?Rb0J2y<) z*KFngMs~hubko*n^X}Ab>e;gCtk&)DiT<C0PizrOtlGJ0?aj5D7Ch>i`SN~IvehDq z5*dH-(z><zh8z)-&xiL~%s#lRD0APR%k9bE;@K8iNW8SYqiig@{H$qPNBh%~#qX;w z+P^Q__(MK=n%t}}n^Jv$F_tZ|W&T{%;r41VbEc0ztIM-Lmu|ed*!YKGYePr>VK&We zYA=p$KRtJI^u&d?Ri}46Sn%NdF43$c!7K8<lJAeHHMQvfOPm<`B6(AB?nFOZZ*$AD z(oC7r&u(9f+xc~c{gRhYjel_$@I6dBxI*qC?_13~zue>kYE>L(ESLYUWf{5kUeY-x z`@N4ZtUnXWDYid<)!x3t0^cQf>m2L0OIA{xq~fIT;>1+f3!Z+>sT@ZmFGb8a@Iin6 zBEv;z*BMRwscij4NK(fvjfX#ow=FcnNsl+`j;r(!TN`7m=XGi|@yw6Z&v`}oop4zB z^n-6w{epc`M+;&$d|Ui3srlsx`-oG4K0Q6Jf0#$=8vI~LdHe8R_WAi$HucX>zPz(M z!Oml1XgK4YC2mbeZzKwr@E@p-wJ6>9cvWxx8*5S9f`<1s;m6KhIjga7_1Y~nK5RA3 zt1wyo<tF3f_0=lE3HN@*P1r0N>1h1qURPDfB2xj=YVWU-seNh(@_T&mTPXeb#<$#f z;n!mt7p^z{nsS}bWzhxqFG1`pT7}C)uIbG)&ts@v70W#1RkgkBgyeXsqJpDGm)O1O zwR@8vzB08z`u(x?7E6=)E1DmE-&e=R(e}Obd-0E7Eq}6uH2&%If0S$uv=pj%ba3PL z^Nk16m$<M0v2uFviH6+CDPJY**{0uIHkEhzDUZl`@_e_-(wRj5-3Z~1<?Yz|<WY3* z)KA7S&Xo&R1!nWF=GSlaR}I>EYURY=QBx*}rYKF@Cu=8ortkgoAG^OVxVC3&z&kNc z-GmRmWo$d-TDC1!Kd5q`llj(_iE$1a@2~82k9x0k_er!I=ks$lB8Qq!#d&;~%pqR& zEj0gN`xM2Hg~CCPjrBfoO<oXT$G@zc!INL{;EbU8yw)qM1oym}+&qQl=RD^AE`ei< zS8r(Q-{tQ<MPAdUdZ(4Hms<BESGGx|+otxl*6lu=YQZ0vzHA|T;HvzG$HZ2;<?TP* zGQqs^ho+(7rtqIH8GhUeeJvoU_3Kcl#Hxm=mY!xGR!(V8NN5sF%eK9AF3jsdxsPw( zLnl}5uDq6*qvD63yYpw2zFl|iYf(6ZaxbHRmcRGQ8-WT}*QS2)%(bxF?b<2GDjQwV zaM#N5$ie?}We+ySd&u73pK>`guIQ^f6Pxe4FL#T}zeh6P`;n*Ny5q{<D}^2!GpbYA zziW3c=Xd|;rjb7-QQ>Nebe-QaRfd=6A0A(0aOK9n+E>pqDp)1XeU?2hsVOC{u<_ZP zy%~i?PQ3lgvX3dc@=tkd_B`x<tL@VKGr?Pqb~g9qi~KP52-z>k+{Y-!mK^SRhc{=Z z&$sqt#xAmlAL?G}4en<QYo8Ru{^39)&u_WH*XHkEh{bKGcxG{E`DTrzWfK-l%U+57 z7;#TC?-HYr#N*@q{pViEd)yKEmn&nqEc|Hex}Z5XeQX$SE;JP4VP5?A!;zlD!Nn<> z`X6WJPT+a6?<mt0*LFEuE0Y(WO{FK=E{@-K;f(8(wGk;t-x*{}#1%Y>obl(}QL*`Y zZ;IIi*_eNSjgk$V60&Z#sO4te$x`c1y`G}I@O=8#X|BfKPqy}{KWq!VE6dKaE#&u_ z?B-M#r7K3qy@Lg0S1L=+zsR#<`s|jx<f>U$B1MiYQs83gXrJ`T*e^3UA+AF#Y~mI^ z<;%<tF&F2_eVnMWxp|c$fBVw^^|yb2nrRic^5^<6|D!+j6-AA*Z;OQ;{P@Uy$<f^A zUn!es#=kTZ&?wnq-ucqYq~$~Ayn9u<j-I)=Zk~ht=KgidPMs-cyqEdHBmelNpqaCx zTQ+T+GyC~H#wR}}aB;ItzI^$4`G$#G9~=?5%ysO*+a=}S87Hq-{N%3kjK6G7$>Dj2 z<atzC4%bT=UrXMwl`A@|?>SqY%T-PWH^mhVM*Dy65jvfJGyc)@6u(Z7rE=MamLG}o z*SV&5wZW@0<(~Ok%ld_11J_*c7V(x2T|Zs!@kGv!ebdC=AAOaXYs;InJgD18VYl+1 zeT|+6CLRjCo_uSUpy^)4^+gpolqODN7t1U@vnKiJB<sgp8Xj<1{&(g5H}T=M9cpn6 z(m_4Um16sDskU-_F<?CPxy8auA!Oq#p;p$=*lVrRt|(5N>X9cN%4?~zO3LNak)`}+ zTFl;*&L{~KK7UE}n5p}=+LYBN4WIXJ&7WKTZ-*Smo)s~C-+tt3mu>N!#F)Tb#azei z&c%?htVVF^l;xj0Z3Lh5|5WsTx@N0Pa@ownlFqE|N!`uW#m<+$-IA}~r2qS^;4_Wl z9!oCEOB*V$?>o!7a%<Vzt=CMJfDVDPwY`{OBK7p)!-o&SQ=>oMYJ!$JfUw3H&=ed9 zPgaFYq4S-41DX^zcVBw{g~Vn7b3cd1R*`FQzjLh&D$Hceita4n`en9iLE5M1d-Zou z_swO!eR|)s+7%3Ya<a4be{0&kdzoUbh6q=y6Xyo-F*=rkpn2Qi<R<VkkZ0SS>&u=& zuO~bi<OT-MTK7x<ot4%9b4L2a#P;`gA!nUeE|raBI_q)v<1_E@^z!l=OQ(J(XZPg` zUY^<bY&ZXB1ATq{|DW{Vf6|kG(rhz<{geGackuFz<YdS)6lLYdZ<wQ)I8&0{XS~fm zeqx7iQkwe=<Bi7aZf2j3`=+u`g4sW;Ve{IZQCDu9p7+L1K>v1r{5O?{`Fn3<gO82e zz6b=A7$KJ@t4~t#oTTC@B>ebeg^k?*Z%aKp*ZkPLTt!VyO>L2Zpy2Edoeu2|e;0M7 zN1$MS_;6u_j#xJ@7}WosSG;BA#|I9_*%Hj6HpOM%G%#4d{=1i0T6|f0baB4O?C%Sw zo$}vx?VVL5_@uBEPCHag6m<fv9x4=FaCzCRai+jRhOhl_Q<Dd1)!;!(&@n&-%uFEg z@7rSa*d|N1ucdAuJ_gE3|G48`m{XcqtoGv3twa0X*nPL=UQ@7hf8*~p&wsA?W}05S zzTCGr_Z--Zv8*NUz?XFX1_Q|boS(tq_ggj9PaEH-`#%KV-szm!bWrVq(1tZ%R4U%5 z$G=ki>hr~G%DUxqK7Rs%NtNKW3KjLB#R}!$Z>LM@PT3H(W!)M(@7J3*tXuPF->a2! z9qTP;SKDi8zKGjuS}vS>eaq(Pjlouzz^8`!y(}#$@wF1$CAv#}?&Q9_pMUH2-_QTF zdd_pu@`JQ9EG#!Oey*JU>-Ck>|E^C@`WWbWaEZc{TPL0O@|;+<Z0ogKcS9Y5H6}1H zu}d^8Vp#k1$*dxsBJCo7%jI)oVq%Q@RP$8dNj~rCZf?##wDG{&1GW$66j-^xzP6D~ zuw}}W-*!b$PE54_<X+bq&jgOLvmJuUZaoq&!3$k(zlBlf&z;*5vu@_h$jHdZ;Fm%Q zf~`xPl(=@Mo0VLCGwayg&4u62Zo6qZJI(n!kEp0nZ!}xy3JslUpjBTz;ALMqIpBpe zkfmRcl^me8c;K09GaVfs9-cR^UxSWvvpiw4kon2f=D*3zHyyWiS8(4nlup~9GhH@? z`KJAZh!q|eEB2~QJR%QT!!i5qD-eL(*9SU%^wzCI;M+yJkHV<Xpe<Xr1k8wxj8ym5 z(b0KYQ(C$;`Alh<-j)qbT$*zd4hh;#n&2b*#>2|RXNh5Rq2bB?*#>bt0%|M;KYmD6 z5uWt2L*&u)za7GAYyu3NtPVkwvftj?TK4wcy4dWsTf-Rdf>s}dg@u9vc+wxdSR*)i z|6lN;IPevi>#x85S{3R!andBGL^oI0xjG9MESU27^5x6<_qB^}i3V{9*jBVKv?T0k zJ;rl*IyYzhw}TrVoUY4J_>juZ)M2l*enR-Vt=ZS#y}Pybn$^oEPo8}7dk;F7^Na6& z(3z%Re9kXH4brK3p`MXZQBH|knwq-yFDKVk8@bKsy?amQ$KQYNe=}siIcqxCU)&&$ z;or}%H=n+=O_`Hjt^xu}vbIL84fB_g1%a6c;Df$?y$u1cY+DJZHhC@$QeP2N82<g} zZRXb>udeug@8QSB?_b^pJ-J%@anA}6055>+03ThL0XelW!)mVI^2;y5D}kX@u%x>t zxRjkx;$fL`pErMcavQIQ-4dBe>&~<Gi1&Zr^#AVZe`WghvE5P`GaTENSKmy{V)U@= zeN%k>-L1Qs9==Urz`+125SXk!f^+a^yZ4`OF9j`qn+jS!9HKSV$hMVF#$Ci~`ZANi z8RsTH4gRIRF5N{wqp?*q#Nl9zkcY&<b`IN$mV!GUk1MlV<lV@4=*Tqfzg+#h)cl1_ z?92f&yr~=Gv?jiLw{B}0bAZT#aI=c62WJ$HbFul$oRd~~uwmn+Pir3TacG$&{QvQu zq=TDVjgNAj6)8zbIP^utuB2wcV-^_?5siP0nV!eGIy>}#+ueDT`(smcW$Dtb2@~75 zE4eBrR`ByNI7A4v?qoK9@b7k={_gDS>tc6vy|`!Lb$sW}ojW}@R^GD6on@wNW~O24 zo9@dyx$T+f<0YAwF3fRQ81SMf4FrxIiB!^-)x2C|wS9tai)-d*(}gTTZXXn#_~jJe zY`7Tom`Td$K<xutalxF`zFUu)MI3#0`@!DrU(4<tbIt<UcP(mlgIwa2eTnm|)pjO> z0?T-3;v{#mR3RHa!O5LnQF`xqJNp&~^+}t)d-E#pUdhQLDoH^fU0DR)yq|ZwNc&OQ zoi^{9oA<xnF7wvPQ`!C2drS89b$92smFxx|RF<QlE+q{DzB-Tvc+>wbdNfH$#Y12U zZ_CbljoSYaR<SM&2buXca2!~&Wa7k&E-!n$mv?h0R2*OwW1KPNM7RBU&q-4>Y)?+R z=)BOJckSui&zsh+beu4)j72wx(?t31JIU$U%f43F$n_rw*I8g{&7?&uR;=ha1X^!r z6A8MEQ|tNR!-t)PpK^IepIPnA&L)*J!I7I!x*#t<HKqE^)3w|Z`brJO#R=WH8`sv} zG>?$DF@1XYw$<II@4D!SAxfBy5jtU>h9)K^E{UL3Nb__!IXO9}etz)a!Gg|9%sX;R z58u0W<Jq;UT@4?VI;UUpes<FOb?W_y->*{n6QbX)nqC{6yUO<KCeV67J<ElMA3`cT z2sKqM%u`TAM8qYL&5U_&W8-FKCN72*-YFYm0v<W@E7$x;77Lzyd2;VG-@Dg!w=Ooj zGC5fIvVilSiygkX2RiJ3Jzy3(z4iZJ5cmlOU%>!$l<CKhf6ELcc-Wc`CP1kVWgm=d z%a*=>`SRt{r=TX2?}w!K?CPpje?GXYt6CUXty20KF@4IU39H=Q?EgI2veM5><D<J$ z$B)P0Ds?UxfS2aQf=Ulr*}LC=gDSK4Fv{B8TwPsVTwI);oqc(TLr2i$pvl+x11^-j z77^s-^lfgan=)nAwsYSuUfQ&&su~1}!N9qRg;Pky15&{6i369WdtsEB*|x1)O-)U= zZrQSBnUQLn(i+Dv8`wU&uaupaaemrFF-4;#&!5WPwW(g-p=5V=U6GwN1axmqk?Vcn z+#+Y=bi=V-#_rjA>w+1#nxhM@&Dxx{=4V#Gg~k~QnGM`)e!R|^%Jw6GKlyV|gXh8a z%GsJLmOOB`J##ywTv%^9<cMbowa%*xw483{Oi8ZIklUx<q<Dgxd2Y+*91lA-CplRs z`TWL>65SpJKc>r=n^e3$8)|%&?fu@h=B$U=3)j!u{MUPe$&$`BvAZEn*iW2$@8)es z)WWO3yv@(ww8my`bWZo*Z)&GcUEaAhdiRy09((Dcg1lURUq2sDFYhpEUHkBrpk<b7 zYOf~+1#ycN-xvMN&s$iKpXYJw4)~nKL!c9{4;%oU?z(RuXqn!gJ$2P)vms|OL#fr5 zu3o)5_0Z9yM~}8{+PZb?QP9=hZ#t(<n|94e`}NGwNmI`RC7EvY*|1^5GwtVBub#U4 z`IT4O93J<cIWq%0yV@F>mN}VwTvb-i61+I!f(eJl+Y@K`XH9%3dHoFdus_K8sNiim zUEp=aS+CIQ%&C(mO#;h<N_p`5P|z{uV6{m}NlBZc)`q=~WR2dv-|V`L%C6*RGYg;1 ziA=w@GjZ|KV{eSTpDP(ptUPhY*gbt-W%{+5#c6YNw{88E*8Em~eUA9_-DPjJ7N~<a zFnL4nZB_>@r<*j%M?nz;{(b{jhO5DCl(5xTSDm_e@nT>XXq}`W*Ho{iM%^H%`GG3B zX`mJK&R&X&iVG`2wVb-IYFJb4*45vx*ccx_<Fw`M>0;iqJheBU&zxR6ZD#8kLwBh( z2@!^f(4S?&Z#D1lDtjB1UH*0*lN5OMH{^uNFOa(IHe@Mx4IE5)w^(Va*HTT_E)mV3 z77oFs%AJ3{-JI?0Gtb#;irtfxo0s2IezT~qs{6fdpF{SXdV{*%#?PkTOyx6T&OB7{ zFlq7IcX@Xj>v+!Cr-*#+KQ_JAIPcie>9IerdVrd+*Q0iKGI1&tG%#v#DLiQ5l<ftr z8aI)GQLC1Gu&A76W213q?z6t)^yk}OztEU$b;?rx_{_^^PX25(LR2?71}-1@4o{Pc zi)EZpxgurxj+z(&hR@P0B21253@;W;xyC5Mz+~yB<q~BeVI;sMX6My?YUzduu66~6 zL<trqiJnG>6N+k*uNe*)aBxP?>pgZZO1-e<J=1|dB|k*xCZ7HF{@Kp=mh0`G*Uh=} z!T9yQ@0)*e?$9yx=k&;FTd_Fk;LquYc@|8XTDxg}DC5)htp6UFGG$IMj(K>QB_n*w z*ALcaE5$VRCHp%4tW(|xNazM``sUtNp6+l=a>9%`*X`u;CI^2#cH?}+Zb3E)%aYhH z?qAMmn49xmuqrL%FiN}U@Zab`=jZcl%ol7speg<SolHe%cuw5e->ebw52mcu(@Aw+ zy@9*WHlyVFz8uvB*Jobff0!M~v$XcY?dQ`vcm&!4>jbM)6IP$$>z3jY*y;QG&#PZN zz1ug5WQm?B^IJGQSF$0%{9x%~CuXJt)<wN9`f~54f15r%#mRbus?)WO4|!#0OW7wX zs~lE+w(#(>02a|R%^$)hC!~aKDQ%6;s*2S*-!_dsvPa+AKlVi1eFcT=prZ}aG5KdL zCbpc(f9$$&lj#G_kIoT0dM0juD^>DL)mY*OLyJw%!6t=!#*QWIJ(}_Ed>hTDu=cw$ zJ>JA%x}s=N*NR_>f~kMsCZwqePs!JQaQXtXi0p};yS2x2k{|VK_|#~(<Ino~I31rI zVFx;v2=0=|f1SxWcgnfomMJUDs*g_RIN0%};{Q%PQR90hY64GI>^<`0V$$*w%Li9< z{-3@k!qJ+k@G$Dg53gJ8x;pFA=1p)o!$0$r^nvm&gNqY;kIY}dk^XANf9BuE|0hn( z{5gL{{U7OxMKwErg~^<n?3KRyhx8I5tyf~QOtNoWws6>Q-IBa+HLsu4vfQLuek>0h zQrD`pb_p95ufJ({+SUJG$(=O+lDrM~8WT(7Ej4uJIOLxXiuonDV#efsd^J_y-hWPG ztFbqoTY2Q0$NS>hJ3m?%w+dOx&Ti}OJMyUTIaA-X>ni>imnAMdvB~CMUgNwyhl{qx zEePTG$MAGTT%?Teya2OR<*z4ZXI>3t=F+|(?(l-O#D5u^K;eS>8{Yq5PyEC*)9U(< zADq7!TPLj62+29dm3v`%N4!kv%);cEf@xYet$Ip}Vqg9&5q>MbuI#um;}n;v`7apq zB;8eAp0iAUSAO_H-I@=^-2O2?W^}QyJ2U4w@3J);b}y~Z*btZSIYBbxKm@Pjh6lw) z;X2D1mv@P&2Oq4Fo1vX&JyZ1fXQLH1ra9KLP0tm{F}zpt&zZ?y$9Hi?rOk6L@3ZxG zSNf)S9N#o?-G<OCHH+Ka|AY8vUCDXtk`wg0Kr~UWi}CR9xO{<GN$%VEkE>h7Z9jgF zd2jsuTb{31u;s=_i}|O&aacaXz0hl2<~di7<yvyfBLWszxL$e0;cvG0?pK!SzZ(B@ zwXT=zUd|>ETPrd}H~gCtujJysbsc31doP9SDb8E^wQSXU-Q+Vmj;-=5QyW&BZVRg3 zTEFjV!hsSGpTzc;_7N{XJYZWBoEcrQRVpX9re}M=<euqwI72NHEBU6MUbtMTuGhBM z{GyQjZ##+Y54I;Bue1<L@J%d|Q)abNZ?+eaU;ZW`PT@6=&;#Kq>!SnKUyFafq4$mY zd!OsGI#MUGuG^uqdal-qRvBZ-71duJ$GZoLtmEf8b~#xpa#BHQexhT?<E`<jY>%b> zMmKIT`F?PV^g1r(DTn?nD%a<;d?R+;_4~WT&sUC_mUr2`-S)`z>g^XjJHCq-oowLS zvBGe{vIXaDmma;Dzod!fVCW|EH41w-tZlEn7ZGx3$Jflh;0x3LHf~LB^;PycJFWBh zf0x9tN&WSk7lyc|xEA|$tIggnU0+=0D*mY6_Wvr)z|88+W&0eIqMP^HEGy4;yCM`m zUw5hc$$rsm+6>YXN7)U67iAmVbd)<aA@W15+W9?iB>A6eFZ(X`Ni6Wl%tiG-^%&ON zyjiej;>O<j4Z9^G&gaK0*?B*I>p|0<Q8OR@@L#3yrm9KjxD>ancKX$+u6w;0ZvSXB zl<8j9CU`bfeoOS#T+_$z|19Ec+deBU&1ieszuOfTZLi&#KI7XNVf$Nm{N7fp7KtCU z%gDB3ud=dxm3yeR?(5ETMiTGaGTSe#|N402Z2c;U8QmR{`<(SvJL01sRw)I2b6U8o zGKk}%ctv+c-z?_)k9YKlMZ9}Db@r|F3weeYcX4m!EhtQIPms&m@?@LP50md6A|H;q zK4TMH*ClGhpW(z~usu(~Z>m{d<LxVxCkWW^3VcnfzqI7+xhv{MdoyD;#{U-;dgaKJ z7MZ$Kl(9lWD&XCNF8lRAHypTqC*aVE9Zvfq+Bn^^68<sUiZ^<&?z-6W*5h~8>BxYm zYd$%86if_!61yu?*MjH!g2P(X{U>KV{2AZhsq&*p$X|A+5^wKBz1aT4Gpf$!n&fQC z$}_fVjsIAGF7w&Mc~U<6zwHtF8PvYvpLxIS_rR_d*RA}OYD1JC%$mv&KJDq-%Lx~x z-e^0!?OZ2g!K19kaG*XUsl<7H_-B!=MIBSlZh5_MZdA^;ipAT5OIc?h^jp2$tT>TT zB1%`|jXsOZj(~sRZ8x8V{$kyul0MTcp66Qf{6%qBKBhF4oD@8*xOKs=>C=s#y$(Nq z&($OUi2ZHtl_548+?QG%Z;iBXI-UE|k(vDh>x=_wTH6gazTI3KthQ~z|5FNqg^W5? z2hRGiG**>-)mXhQREA>~|JUnY702fG%-~*W#Ch(V`_-Pk9TxSMR<TqSSh1`LjCWVc zeJ8w8PfPhpka_Z#&^u?eJ{_LAmVJKErAFsn+y3xpr2OsVNM$OOZqj1Dv}LC57gdoJ z1#Za;!(RkfZdzvk-rE21vD;M=X1mhAU1;Nzt9_8XYel%}Jh!TnV!Ib^uXMB`9T#lQ zE;^#{=G-^4S3xV5OnLb5W2Eywn|Uida+uyfFltQvcGcSPSmP!qq1dn8t}BmiPFwgi zWN+Z2lkT5BHL$-~Kj}~Sq}B71O&(mS@{ACBwk&`C0%@5XowJ)}eqOG$z$LV8kz|Qk zjZNk}*?SznU)&CqJ;G7DFZso+7On}`4t-x?b<$4uvpZ9Gsn_SE7gG;guYTe5>&x+q znhlaiUR_UhPblhh`O<mq%B24L|0;Od{9EU((NEFeI6Lb`%?#^p!mqhE3zlW)JhNEP z=#;FWqVYRIUApUDN7wHIyG)zwHm=`ys%$FHf{9O;RObKM(Wj)R-t}#Z%aZ$@cIx^s z<gXf<McN8}{rr+|{g?W0rx`LQzNnNE7I(k-{qnoOcS$)dMRj>4u`l|+oV~PoiKhFF zz87^_mfPJQ*{l9M`DUq(vfMew^(!N1Rv1)UPVQ{~`Fv@6ar*5zg^uak&w~uFKZ<Mc zYFz!cKZi?(vG(-Qt2v@a%`fk{@nDL`ZlAmF++y`T&m}T{mzix}=J|ZzyP~y5TWTjc zUQO~?`1<9i-bvh!JC90p8$Vq!Zw>n$H-QvEQM1=pmVX=^nln^4m$a{+t}=h4QN(VU z1_AjKIrWA9E_$jee+BaAu*qKfpRxQPyL62I<;3SxcOP{7pS<PyjSZGLbrEN0)HH=< z`cK;P>bU#0$Naa7?!32+i8I+H_GPP;v_Ro%<0{F^mhYc!k6Wh2$&>x*dfT+So|X1} zRh!J8otF`B{;b>=d+cEh_s_!V=0TbLj?51aYuz%wT{d~cV!I%LMCmR3hdIJs&vA*B zdCiyHHrYy9`~MQB?N2&Cs{Gu*D_fQS=5p4#Wtp$8&N&om?z?~9OOux;m%RRR-FUI3 zrQtHA-K#Hky63cSwKMO0ZM$u`)XROBE<F-o_cv#`#G+nS4}Af*UzX8pXP??y&T-~K z<c8qqjarq}zn(nWaPrX<Z;x$T3?Iyqi+x(K*XvhUkp3o>srkRw{oc29_0iSV-#?yQ zzl!1E)Wr?2AMZF=v!LdB$hGRdMTw7JOQ-o~nSWmD7svlfY3<W1uXn^Q37yk-qTt?~ zCNDiB>m^^;oW1ko-_)YQqpFX-`u>~c-SWKr;?h;AtGM~*c;DD^H|bfT-OIC+oaadA zEUSs@+mOrkkoiS;LD8lOpB$|N4zhWvH<T`reA@K$+qsXHUr*J%ynemex<{n3M0S1i z1f><v4d=aGeL?bgME}dWZI<Ho`<FznDRil+Ke@k1^5x0y&%b_YFz#=xox1q9-kBn8 zaUU7WfAvp;W`_2BPrGj4visbph!<yXo?&>JaeUs>zRjh|YwY_=PICY8j-A;WcVp@_ zUp<Sh+-FlI4aJTm2QK}q=ox-9RVqm8_=eIv{%JoFm``7vp+2GGFZ2EF!s!oBDIWTL z_77LP$F@61{{H0<|9?S*>k`{nwvwXwn0lsfyvt7I{hQYA#85M>i~I9S>*VyT(0#oO zlFlWChV3^BbK*tMTBeo9d@nk^<HzsG&ywbPANl3|&*b=t98Y%U%{J1@mbbS)UHy1( zu<mx#&{z9E@t;*WqIZ5x-|^;2LfYb2PFBCysa&SDFSRiHg4eBQyvw}er@!CiH(RRE z<+skyrw6yKJNlyQPxaTu&n9&~4UVmN7jmtlmhI7H^E30V1ig`JH0rtY_|ln8hd=KN zu_~VPcH_0@YQ^SdKf{&opLld7DL?hE+Krv*d6vxqCzEAnT4WSl(@|Ib$lNJcSp22j zzCGdl!ow1itvB+!du}dTSM+}Q)3;B!4;dc~|G~54_>u3Iwtjk9wDYRiVIQ~EVxcut zQ=jNGW`4aEd7Pm<CByx8{_CZ#C*F21Nnw>dENh|p^4i4Y$9q1n-Tjzd^TMA(+c&O1 z&MG^fe3G{MnE8&9H(t&cb33Pav3`lDn%R=$zew1vbG5*>MN50q#b+&9+NWPUO-}Fp z#H!=WFJu=*UE;ecX4f@qL%Hp)YK>op|2N1i%x=7JZ0^pZo+3x9@(P)b-g(91wA4i} zPWbb}{gxsX=B?JRRRwM@s9dz)b)ToKg!bRW5A&Dwnl`rZDt0VVEANZG*z~pV>c1dE zyKlMe<{FN^AFiDVRQ$mF?&oyXJxjb5!v*b&gf=8ix_)-w>%)=y35R~na;hxe_|&B| zk>&X6nUg$&jaL=8P3X8&C%}8IZcW#ne$NMXs-5#Sx)f$zUT}RW-?euF@2;L()u?Zw z{XFfZRx8_crLK(F2)P6qw+VXg2S3#vc(7JFXw!9_iqcT+?Vjt_C!KCf+hUluD_f{x zo64TXmYZ%ToaX6nVYnkMsQpm+{`NgfC%inR@>@Y~XZi6H@8&u@ynMQ|E+NLN=3&w` zVYf9-p)Ds$W96rB(UHIL(Q(He<>*CqUG_PZ?&+Ste<ezL>dUt=*#xYap0{&q&#g`A z&z7$c+oCv!r`+Yb?#)SeeJ1C*-1w1kUFU4_E4d5tPc0=U9n4ofd|F%ouuHk3ii_{Y z?ATsw(XX4+BSL>LE9YF_ciKM8!q>pe=S{;siRUwJTDG3p{qw_O?)euAXFYnb!Mn3> z&h4jdXV=N8JaT=c*0UqEuJTIsRJ&#UWtq9Q-%_{O-dLLX^+xgLp8-rJ0x7~`4NN+l z6q<DtG$g)1*!<<e^Cy3;-de0<7I_`a?Nj(S#{A3Usb50+U+4AP&x`r1(_}2Vaj#b% zkKP}Rh-ER63p{ca|6cLoj@+glD|c<?N1vOQ4~w-XnXR%DnbrAwT4<3|vM)<7+rvXM z{QE_W-Cuk*P59ryedUeFtI}zX+xIS5SJK+yed^tz%5e2gvpEaB&lSa=G|g}3zvA}W zLo~F^noBEq*Mg+R&Xu?GS~6MBRs2lXo_cqoVrtEKk%Kc{>Rowu@OR#nRX?mwz6g<Y z|GR0|rVX!MT(smTc%M4s^LOUNa*dqFJS^OW?t!HaI~~4o8BCjHkY70K^OP?e<@r|f zlyBd>LF>u#wE_<}*04*TcK6@>{YA>HyR$|9+@H?+wyW19v|{T${XGk;^_SMJJACAX z>}@gajj!%pbv3wsC{ukqW2K5q*wX`+0+*!>XR@BRTAAl0(af<R{mZ)>?IG8gKdU?O ztGFCFEq0H6iubMMb5xWgtu}_1{kbAv)Z6#rZ*#Zc+0DL|?=waDZ|>yf_O#dPxR@h9 zcS_oq^QO&(>Kt=AbM|nSs-<&$-IyNXv1EV6jah2$59=N?T+l9Co7Lf^@WAT%18Y?o zZ5`Lp&5M8cZ!UJoH{8#;#XEP(XAXwIGrpIiKKE}bc37^O!o>gV@O<TfWsi%my-Zg( zUurP%PdjInhOK9`ydbB!9!E=~lB;50|D6rt7T3cX{1Wt3H=T<8Hu<BWbej0j6;H*A z@)@QiHm^_o9^IPr_2y{_+l?QXRUe$bRO*qR_#<VG!PC`T*-MT~*534R5IyS?#jfqq z^FLU?clK32mQ%8yI`8W}og#Kr;hf}MrM&LFA}>!E`EI;sxRpyIrH}3NKHHy~v(9># zvt_YJN&1;;_D8ZC6wl$&eQa_gxQ~UgHbw4NrMqP6eEoAb)L%s3{#pO!m$OETtL<h3 zp6$mhCElv->1;p8*IlnNCHQ5>=`Pzx8$zG0XUY$l|3`RY1=GsYcfXwYqs4jXNO{BI z$HxuXXK5xb-tY0;<43#mq11&QrmOt!3I^tw%g$!DW_z&wtj-#Z?+a?r{=F|}ZPQe? zVYhN;eX{Gt*?(4xH%c2Se>82sWubkH{g{l^>ApW6hoqlHO?$9=M?~Er^HneH_e@CA zGx;y6esTHRWkx)53$=PQY921SdrIt|3fI+`MW=-&!ddTsEcm>5R&C!+-pozxZ*Ph9 zO?;fd_^1DY4Aa%q&&+hAN=i4*ooU&e)wN^O&3AYG6aIb@xy_N*9mT-*ecFwcM!BNJ zpLZ{we{aU^y%R4T^C+{A-yOTK?WW=RZ(mOyjs9IKugAKugiXpn@c4~qoa>jl8vU~F z+OuZeibJdqlNv%^f6l7lH0^ki7n(3(-xu9o)<PHFZoT~Ih&!9=i{`w&lf*eQ*mGQ% zXFR;|eo{>H=d8WIfA5;izV`SHU;f8OtM91)FYk2Z+k4@8Xp(6!YlFlMkK1L({m;B$ zp8ndWUhmb(DN`0Rim7P-wlw!XU%q@|qL-hE*{94&5&yQ_v$3Bn&RNjexj-@Vz)t?S z&*6VX_6B+jwCf9<-eTO=XMWXwUL<R~s9}Q%j~LgXX|r1N_X;F5^QkYo|9EpM%cB-8 z>!ObirpD~^yV-Rl9`4}xZ?&C&{ln3v!a?1qo4TVn*Q<%Pc}!6$zWXN5p4oQM!IN_w z9u+#>xF)Z6o&E0AW;cuTxnGrEy|3Hy?37i+!~0Q_OrQKyOg=R6enFPaVP^L5P)pk` zG3SD|BTHAlet6ft@#py$Q}s5nv~|1P`uWqY`?ivCrFoW-SAfD|(@XI#bIc~cX1mlN zDdwG4TiGj^_M3ZkO~muw!+V07{{Fb<RP4IOWQp+JxZ8z^oS|iJOpZ=y+4fke_u@(I z8(($(mTcBvTC~CBTi5#wWgRc4eQsq=)Ot|-)8}US3Ul9hOP-&+I*}~9v*hN_)-PjQ z^HHn*_@>kM4MmQY-*M^Zi~DtL=I<nSL#@oFvv+kJ%9c!Oy8A(BV|7`4&pVUy{<E$= z_MJzJr*`h2m}32QeOY6crI4rckvpDs<x8sEw2t;Y7FK`L-=VTJ{_0A>hBbfRoL~3) zw$mZ~i|t4L2k$Iqd(#^sR{p?q*`AP#208!xX8hBzpIR?_W6@3Ld8OLop=;f?oxb&Z z$KJAs^RHEf*zTL{Cq8HAxiFt~?T#1b>REUGjB{pYdVAl6M=d+1p8dYM__-A-i|_aI zzc47dwPIJvT!CYjnufb#Z%kUI-sU8jY1A9=@VW2J+Fduh?uQ8dbWqo5x^dxrU_?dN zf6fginG3r`0_W*AygB%DMelDBujbjBTSY#-N(^jvyq_l0XF6k-fTnS6eXz-)<k<(R z-|^4i_S1%ybH=TcQ=a|Kc_=n%=aRQ}^X!DTIB*@ha4LrHNKwSb=%Pj6w-%Nb{C&5^ zt8<ytI-@E7C6^vHm~XXEl6Tuqt(dKk%g@%_xjk7;M@CqUSE#+`&H27fOpLE~9H`9* zjtS^!V!kr@PRNE>+cT;LrU!0)b$Yx(F5$JpBa`W3QTZh~?iV?>%PaBow4aJLkC^4& zYG}4fPp8JdZjqT*6!&Eb5uIIeT}phL%a^xgzD=^Rnjm_I^|PA6Y_YX%vO!_5R@L>V zUEJ1VD!8hAwb+}270L5WB)NVZz4QF|W`XJ(A}W=K>ozsK_TMp^<<5D24Sfr@8yAWq za=!+cTR#8h|MO_KLT7uIu>VJ8*RR|Q!wlYq*<9AWAG_ea-8JphXHFY;x2)du(52VB zv~_D!kHTu+&fOk8A1`aXe=zUxOxraI!u`iIt}7khD&oOrv&;BW8^@NZD`x4N*!28; zVd4;ykiF#X<1dB|Sq0)D(MPK+ShmVB-umod>7^i$Rl0ihqkknm;@6FnUGsU@MQEg{ zE@U{o_NY!u?CgluGwb9|%T&5=Uc39Q{B8aNi3WwN4}RTJ`;~I_U43`odBd${o>m#0 zJA=6jm@An3nG2`9+xa|D!$@T6`Gq!#3upJA(FiUJX{#>YIo0rt(z(Nv5(|`-&vo+n zcyuy7_55+s`d2}}rNo;h8#j9P?@a&FQ)l?BCjXq}^V#|Pe%`D8EH20<;^4p`F5u0F zG$9I_7uBCza(;gA=0BCmlhu4@ffhvQ>1j>x{`9=tYo_?2k2CGRwAKl{T|MJQa=(SY zf+I^hzePdC3*9?XVnTd;Wvr~s-~ZL^zYm`R{r$IYp}(uEtE;*<XaZ|;XGcfJkG~He zK1_@+S|}8=C1K;E<ICNd=ZUU*fBEq0?z2fM9|axW&A-oOYSumRh<nZa_n&KQpT+II z`tIe+rw<=qopTyC4+@zTonO7sA2b)G?wy#Jm^j(f!^7jp-v>4x{Cs{hb&foH<Tqo- zM$N{9tc9j=-B;gL&G}jeX1%I9vvJ<J^OctJ&)3^NoBe+8_pqNEKnr#dQ;+uVxz06h zS&)#Bkf5NTps?V+)@M$2y{HWlA0J;{%y>RtCZ?}bS~@@WlkV240-tGn&I)@79F(7L zmgl;TKeS)wgHU@xqjFLE^tX{We_fBX+4u9=?EHPQ1q&M4_zoO=TC_6;X)e`iemw;E ziS1u`|IKp{xSsOuZ0+TJcA4>8`rp0&J+J@S?4Jogek`jAvfH=s$tIr7P4W-rv}eVZ zYIlhqUq650k+r?K^BkHuMC3mhE1caGWbgdo)T!&eUpD$ZJ$!0*$*OmYSFg2rnSI@K ztEjrioiHi$`*XC*!6!=HgROaj1^{<0bRN(u^4qfN>PvfH$nD*}{d&r)mj_>XeqB_x z<=&d@i?*M+U12;=M8C`Z@k7nj83H<GYvz?HF$<sE;C4(U`);QC;cuG?PkJOV3TD|p zJGr6vYUhsFThGhfSDiX*et%9rC^+6T7u*0XQq+a4YMQoZ-RoU2^y{<KuRV+3C%ju! ze%bov-J0!1&r?o<@6FvkX>0PkUGGx444ibdmAdCVnjYp_#9~;~6(4z%Nm;~$p)u~s z$(W|LP30NdMb6qq6?2NYmbgd+Ffb~{IBenhkeIwN0%bYF1@*f=?9S>-yDwcVDe;@O zbLURAV7=MJ=fDxRUFT2(69>mbrbVpa)naeofWR8~Qm!!YY-y{Vtx*4wlaKm;C}*VK zE#9B1Y0)y*B(mgkW^%OmqB3Q+<0&U+3vD+u%bsLvs_6b-!tX(M<6IN7#F!_bH7sdq zpu06w!3W}{q(Ig-)}HLGP<8`NdAfjBaDWa4>){Yoa_QiaG)n0Z0NwR_v$2n%iCre6 z!G*#4%?HcVe0ClJmK|ObetcFi>U7`Ja`%9-$z~(vWY-hhF0JzDTQGO#)QP>4nnf?} z3Tdmzyy0SN0-bWxSPKTAQ-~@n!8a?H1|n8IO$99|iiwGdi3S0O1_s7OOP4NP`W1A+ zFKB5Tcokzf2pCs|o`3$mM%IP>P4}&%U-#;8C>yz);B%}xb8uhPnf=$_|GIMCw24Ed z<<}398=s=~FQ48XUcArpzKDnE+BIv|?3ub9G(G+)bl<6VetEk+{O_AVv&~(r^nZd@ zexYEIE$;ntw)28O;M|H8D>TG3G&D3;JiC1Pvhd}TCr_SyX>Mw2TB)X{rnXG&%og4Y zmn$vn|3=Ecj-G4qaeZAVr-JRjsL%f=wtii|@9O$rc1>&_isSP1t?$=-1|`m7t|ikz zv*dLr^*=s1*xdg7VdW3mI`{aZ^^c2X15{O2m!|j0SQb4gP`fDT@S%a3SLLFoj;Du5 zVE-4(pvf-_D?V7HrOmh`UbjO2>-;~D{XaFweF*-$^8RD*pHB8KSnaQ@|JZAHrT>?w z{VV6c%m06wyJvCzo`?BAr0=zDf0+G4YF^v&hy8yp@_goES^w91-=*sxi))nDKmYjW zVg4_l`j?-7Snumw|E0KM?e)(U|1LP!sn|b#{KLimrSe~C`QzI^=GREiKW6{S)ULPw zORpKoPv7rV|4gh_&S%lp`sC{Bzeq#S*F@N}v%_Y7ru~!lh(%xCr2mjSd*Z|i4>i!5 zIF%(n_3P$bnjX^?0!n{NbdqOyTXXHSownCj?sxm7ycCC<2JiU)F0%iUe&&;6!ljs) z`|^``?F0LN%KtK+XLrf@m!`Jo>|$7L6S&BwQ%Ke8^kjAac{Y)sj)Q<Z2pm`CZ%1AJ z6UuZ=B|9rKRK@+mxihCu9P1Gg<W@FXa=iNe-tTog12-l=KD@Z3?CF&^F9NFf#5d$r z<%G<NxvVRp5VZD1-`T$2OP#L8rpc<oqK}ikSdCTR3f|o~F>*oDx6>t0U$R_QNu8wU zTIo`L;dkr5$c>X12XFoqqGkAh&5fJ-pU-#6idy_W`mC)z{rT~BO@{mWs?!)g{Qa~! zDgFK4@As;|FX6L%P|(b4dcmNX$MArH@-c4cipqdP{r>!%T2VK?Y<VEL@Y%6tZmfO$ z{Ty~SPIfkq=DJQZ4mB`3{!;qI_?Gc3W1la(iOiWC1!j@QNw=8VTDzoY&)6AXRFahw zQkPV-%+^54qjHh;mM%GIX`Y;K-RFA!+ds{_()nz~fs+?k23mdZ=9(j<R#cJ|7Bg>; zdf^=Z{_E3i<OEq4#qLQ+Y(Cii!nbRdh~}KL@=PKh`i+*ztX#AIT668<^goUAABz9a zum6&8U&HxSLeVU*#X|m(D(-c;9Mexsd&a(eQ<>AkbNpxF#V?4l541vS_wLi+Qg`;& z*>9IYjwUNDiH(ijk{6}EcJ*$?2bU+zKM^n`>S=6T?+W$(cKhBg4^)2D=@P*x=`~NR zg=^*=jfQrHCu@1G1-&{M?=a*3>G)3~mcBc`AA>Deg_gz({XuKgL0J9tosv91EAhFD zpFevx%g54E!g6IyNZ+*CPoF&7v17-MnVH6ItUFI<p6G9u6MA|7#%1SU<}OdZ&M1~r z{&BH=*&mH|TYIfT!SBw7aw#aZaCb~&w9|>)lyY*C>VA~A!{0w#wTCVi7!(BV(3~TZ z*VbIT$sqAi3#YJ}&kUW3nUfa$cJopeb+<e*|98Oslh$>6;{WfS|JP0am35{2(utQ& z+^pfuDm1e_;kdw8_WaK}(DE%5+-x`Xj90=GkEt$GFL+I{h`a*@v(9XTg7-H;S4ZEt zaU)}vLe&pVtz@kZt@x=&>i=5o<K1ngGk5-{!W!xS9W3@*i?#&0JZPx@Tl~i(bLkIV zrdq4WeeqgrLqyC$`THsVZD@@Rqgw4IgBP(+Qt_JxK3i?;R<&U8$z8s_vzGaIH+yz3 zTYA#Omw)N|^~X=yth+D&%edyr^xuV>=AZd%T=QhBswLB}kY{gitDDbH3eTHA#jUXH zg(a8C;|p(2T)1HIg^%Z)%-n^GO$6?KVRA`MNm{n$jL#XL&4<6pWn|2<<T80b)A&Gq zT3W_}n@78)=J1r26wHci;J3ZWGI`<0(x+_dL1&ETE)L%O<$^uOPj*R3$<*~NPAyw< zy>35x^vHybQ^?Iz)z$Os-@441(lwWtY4~<^bs3rV9XaA3@M!swBS&O6+sra@@MsYU zu?e2}!)0biN5_rnHJ5d|vkVrny%v1^;ll=f%lYjMITxyGu08(yG}P_sEhTAVCYSD4 z?qb(M1*h{_JV<EfZK%5MFgtbmg_DjC7p?D^an6cif$?SYHDAwoWIu>n_-J`cS31{C zMUETO!^NkbK3tS9HACZqjdP!9%8?4kqsEhEChv)RAJWFQDEY%AMYl`U8x1z-D^;Jk zr(3-}VdJ9jd(DeqKUr|Va^v<Qm!2Ml%;+6wFI<=~Z?XQ90~#w2c&$2g=+MlWVox$B zPgZksa*~n~y_EE5`LQ3F1qB=QD|vWL7CSWe@E9ijpW5j=rSopycKx3=OB>UKmpB=e z1)ZL8<=x(&Vj?1My!B)i4O!M76|FKdFevz(5wgOIjc1{Rep3R+UXG@NU*wXO<)2~U z;IL><ILxiNhokA@-^tOUJExw0n&;>4c!8l+q$#9AkR|!3_0Mm&tPAV&`@i3-&X+rI z`9JH2FZHv}*L-l`ax9%|<LomJw4n0q*RK~#7Sue{)tG0{l62%>@nN~ody}0v=&xV* zm%sYVV|(3I@{tkYVJEft?f3rv@#X2`&F$89)xRcXAJ}}^I{f1AP3plfo-8=<^CN?e z?fp(!{xZ)pQN8af`Hgmex9Nwc+&bDVWNjhm(Z+F5u6%3MT9FAY^HlEKzP&i|%>CPs zoosFQR<`nSe^K#&Coc2uQROD}`Tq0Mol;#yGRi(WEq}gTjagXR%jB`Z3q?`ZuTQQV z*>WVgoo}g(r-wz}jTMfMPps(3(6Ce%D(ae|5m2?~`#n(2a<4jnv(cs-9)cSUl$<6x z9@w{M*NT{Ehb?s}iLsHPM?->bmn>Q^Z_cb4(<<IvSU5$Qlk+&Qv}uNnYIjH5zC=EY zFHiLM9Bh-ad&pz>$5GO-Zu{{++}l3X-kb1YPhicho)23*Yp!*CSmRhTO`vMpgLZ$O zUz7I#5u2;dw)gkEFQ9%?87nj6&icCAn&`Ll;^g9Lr*B<-_|n;vM`It((5Vc5bnTc} z-<%aH>8AXmj0_F}7Z{jjSR@WBBu@xF=NMWh!oaLMBV@&n7=s869xhG~$BjJxP6|gD z7?=%34oopTVQ@soN&3B&^v^ZQ^=VhEgPS*`WIp;N@jrg%4m+7y8w=-NY_jJxzTkSu z|NeX4_PjUyzNi?Lo~l14!Di%I`(RdzX7*x@vnDrF-+$T0{@J-RJSXAIGUrRp`<XW9 zfAGJkBIqRlgGr*c`Jl<&mL2b0Cx%^rv|ISP^F7Y_972KzcWl?V)A=yQ^Sr#2v$x{M zgUeTY-3&=eb+f%Y<-iQZ__d<i;f;%=D}=hm9iH!RYsd)QB{27p&w_%WIW9H=6%%hi zpSVpb{M&ogXLd!ZOq#c~xtFx+{tnCIbNjTd&2YJ>+X83TqMhmMv;S^Q^eneHQlW3u zCChBezvN=c)}mN_)2Cf$<Q-2=V(8php%Oa1^3U3q)c6vOM^bNRNsDFwp7~LkJ-cUX zjKRCg2jaya_E-fUG(5a_LX&~mhFa_E>p8CJm8D*`=v{nKW8ETWTNS;VSF9ofzU9Xi z32N+`$5+*6Bk9Ur9o&-i&Cgai__WzBrCB#+zk4oORhhc7Lu^LV<ecrxsy!^<u3Nl5 zD_3NpqtC6NU(uIt$z8i@7|7YvfAjS@_QL1k3rlAx=cgy+<}O+nqP(TC{&wE_sGf_r zUY5E4wXA;Zcg4MG!Z%CTh2ILMzZVtRboh<h+SWb7E)&x`wrF};izss{mM}h+?h1PQ z^5DJr(wPm<Cf)QEc_kBnNlv>s?L&YB+lgz2y{;cu7q8Yf_B0kg*^}_L)A=?Zx38(h zyONUGD@$GE<je}xP6XF|<uE+GXX~$B%Y3qKm8yz9oj&c>!ecz^yySE??3S}TnDWGj zDNR*pM_h@q=*9X!yH2>?i7E_SFS<}*GRtF!Lw7c9;oPP^ckLQw<_x>F-JGvkZ@oU; zC(&qXXm@e;u}ty!66TCeZEE(b9-M09Of1!F$iGx0X)3j%#P>ncwPjDtZx$z>+%dx; zbxQEfzQp<ewlk@yE#_ymuX<ildVAM0@!gMdEIz$mC-ddBVxwfL`^RIh-yD0k-QKZl zXIt~bwWUvV^b0!`US?El=*_OSnSHK$Tf_y`(B<I<FHWxP*dxW&ow(FtisT&I)Qg1$ zlChyZt@S$|=C4d|c+gPv$M%li?SHHIEm+gUPi^U)P`5yFqrf-8FTE2A6w3=@Jth<! zj$z4Sytq@@?cJx^6QvL3Ea!^eT=Z`8oz#sJUQf}myc=?F=W54OQ*C2gbhs8h<NB~$ zg`0bqdE9B^uAW^<x29$;UKd?1`TNyJgOCjeni!ACSQaES8XjNT_{O}i=Kn0W+Okhd z&CJqmiv=t<|B*KqvsPCs&QdU1%XvgT;70?Wk-D6It<~QZYK~8;i#lHvU)c6YhU?M) zgRx5=2B?(O9N!fg#bxfV`!+-Ss_)m52Fs*9@v|g;N<4plrfBDt%T<qr3)byF!^rH+ zCMgxRPADevi%w(Ao=9=4P1B11=(JzcdeH6rlKa?nu8Y;(rVN)kF3Iieo5Xm*)!<M{ zTj=VgCS{T?9J+k>m4zR;t`z;#Z*%0bKv{QjA=`)6GSO{QobQ@$sY_L0cz>@~OFTJ6 zb*9e!e3yOv8YTK))~+vCcpKX6${-kb$X?v1{q}|o4mZXgYbn`35_e<|tx{(xJ9|>! zfA@u&PtExX+`lx}yU*QpSUTj@ofx^jI$EVOHgx-ShP=9_t#zGAuI2w5Za;Ie73W(% z#b?a55oU8x6WO~i+UC{tFCmk1)ID1g1Rtm!Nm;Z?yIJqbgBe0@pZF5E89#3ph`G8j zZF$44$cvZGW?#Lu;_2)^ahy`qSIxB2b6ar#;%=p`iBIpOS;`r>JMk(_&OM>Cwb8eG z_3_+X-eb{P+PCv`58TY(#BFG78k6%jv|)Dt`+#43Ij?TSep7!q!+plL-qN+~EDuzz zdlzf`zs~*E=+m_aCz};lUG<;mTEw~bV6x-y&9}^hn4DHF&178g*6OUP#6=l7f64jF zZ>>@Kvn2f7j7Rm)Dy0S2C%q5&Z2WztOIFR>`(>iX^zPo?H!CoX_kXW)i-;}P$Ft}B z9@+iw>@GVVaAU{Gtu?de2G!(qxmYn??CN@~D;2(}$42Ee>%()Cs@|NDy7usS!1Hh1 z^>H8Xttn6xKJ~(V3rm03GncJ19-YWY>8_~Fofary8)6|LIX7@)SxH6p;;wlKg8G$` zuU21wFmKs3IWJe+@0E(ZT3_aA%`BDkyijv!$$6H2M?06_Qs67NZ<8sr@At{F=)NgR z%kED&xnb@JG0Tqd%}Pn%r|I6@WcNu!_w<Yv7n=HZanwIt<0!5@C#Z}wLhk<&$?Muz z<8{4sOV0My#hnk;3VQjAQSKR+<6`@@uAG&+;W3*ydCRNg>?3n;+5XtHf7-QaUY9%< zT-Bcae)*fPg`B@L&-TWy>s4x%7rn40bI-q7>-W9boz}4D+0|X&SDn6A@-S(3Y^)&b zrcm$okD^w6F^g!Mv+tCkh-S!|MJcSm=RDr>W%{0}7k)X-{V6{6v)XnEzf<p?TvTYx z%e>vru|aooNA+g0(%^s5@e3`_n-%?;Yay^t<K0;mFFnq1*V~bnx*Jw!8{hwGcPn7O zH;3Q@DVzVvi?{xG+#n*&vyfXfUtXqR>(jpPk`rbBrlv=}OU}Hzj#ElX@%p!%?QTJf z{(Pv>3H#9y{&U3>gU9((H{IviQ_XQNcS5M_e9>d`7Y8<9UoH3b`y{Tb*8Tz+vlI?_ zGvz;i5}FhB@$B8{S57+3UCFay^3vlazh^fKPrtf5Z*lnv1*ZF^_bp~l$!ajHId`=> z`C9Jb&N=_irkr2;TICDB<5Er*<sAy!zAf<L$U7?B#G0JvI;qn#;a^I_l*;3)8D#3B zWH(=Q-u$R!>!eDR-AtV(JD2ER3_Se8;()~o*-xME@9kf!nkDML_K-!{6#-j4uVadL zbElq?Ua>uAh15MZ|Kry@*FVfSQY`sd=iP<aOXqXh*&b`oz7gPHyNQ`E@yGhhB~J|4 z{+ljk=U4tQTl-pzbNo`~=8ZgC;uP$Kepc=<V&$K^<JB+58zz5FFMZ$dKSyRpxTN*T z#fLcm#wkZP-RrBo!Q}X{H~-!BKYM2@R&HGT{f~1+`0u@m%%=>Zl9sl&d(4`*bN8Nm zzDpn8-nqS{pyx&C164kDMg8lK<L307@_u8g@-AuZkCKDN_jg(@IIwNYuAWO;-AN)6 zO842fYFw~N@4l;F=$k(|^~B5QqUpzW#jFxObSI+7{@3&mm!CBy*K~=k77diR^*J`5 zMLU-%U;3_0j&G%sGn?P_+*%*Aox)Rstplx2Hn04<?Oo0}mt)OAM`vAf(g><gdhsox zdxCkO$jXJx4PNV}NTj=RJzZhS8{_$A>F<i@Ul-qOOE=rLTla0g`s=vTmE}*mg_rxe z>u=@vH+I^6%lWuf`~^jIIe~tki*~CnFl^CVe}`F`kt<iJ=3>e{?{xX!ini0IC~ehP zeezKb|LfP21z0r>UpSri$zZl^<I&=HvoL|Bix1T&Y|8YRu<-St?fbmu?y3Ioy(8xE zv3*;@M5Zqhom0eOuT_$o753rF#t(uQr*j&&F7H3s-?eSlgr6@jZ~kb*CEZsj`}5`W zmPuPvUp;C38RWlGTg;N__dc0}u|}b##R)%H0#X>6zQ$h(*}Um+dh~Bj)8C6dn0KzW zR9SlabxJg=t8IL&_18yNt8eYy)$uj^ir$P;^Uu|}X1aD$eY@W$pS|$t`;N4dkEi*r zHvXL$&EEG|`SuJZsrN6FKP+CPulAYCPrg~cbFab7(1KSQe^%VMdL{DUW%m#6uHnnh zZN9Tj;7fJWWX-fyoNG^Q`NVAK!)js^W%PID5!Wv(7l%!m7W1x?qqNMuK%#lW5;>Ph zpI3&i+3q`ax6QZ}S6Atvb6k06ywu?!!FZtsfx9xUs<O<RW^nlX!sD^*{30vXB=HwA zRtkii%TqmYFhBf+ckS_3$y!-HNBI{`=H-%8>b!o<xGufm<+s;g`yzXGEK$&C`4w|) zSM&|dz*SEc#_q7*^suS0!bP}QG^ROfyP5in3l5BlE>e;&zC=_Ai|;j=Ih}d7a8^bG z#{|)X5zS0<>sW3daaw(#<@qzeyekWyb2$fhgh+=}9s0<zkz-a(;o=!*{)=vzkn&w* zo>_y3!TD3)IK*4u%)QvIzPqq|zv+zlmZpbAE22`QBLp-{ITq*a-@i!m{0ni$&)M#4 z8r4kFt-icFFmZX91H0cM3!C~0uQ{u8MWYL+PSDu9x?ydDM&gV=4|_HowY>7IxLkGn zleyPy*njSCT2f_eGEt~^mczV@pI-Q;cD81HigSwm?8Nsf|E;f5>d7C=3W{a++sT^< z`hH*j{nehxa953<T@{OU_dR_(Gwt~5-CJcWvJ0#e4a%kL_iJi>nf7DDn@5-RR>mL9 zdMD4V$CaAww6E}$cYx8&yP7O}Zn#*Ns@&=5UKcH#{48Ft!uH|5YsvaS*?gaQrca#T zv9WNU(3~~vo;6<J-RPxOuU_`DetP4nBWoh>oL#3Xk?rz;?cyz$C2P}HT;C|+Uu5}v zwhY^X4G)(+iO6X5UCO#^oo^N+>y4;qw-(=ORDKmIcDGwxRaP;^SYuXf%ETR2<;$0T zTyke-Qd`TPYvF$9^cH<7Q=E4=X5;_Bs`JkSzpH4h5nFt%F^Qv2c=59XN-FC%PJEqU z$a6bJ`jnb3w|%Oi_dFhlEkC_yF1BX7r&_p{ap5%XYo!j|t`;A+uUylV&s<*`elY6! zMk_AC%`7(+5<Q;&kK4Y}+G^h9+jaAg<u0(jy!5*F$I?ErzQXRU+R2l(SF`wKivO;) zS-)fzcbNS3)TOS4b}IkWmxb+rtG!jWRrB2r^?Ppu)ExGER!(?d|MSV$sxZDa1sZ+5 zty_wI*ZiHUaC<AaBA?dUHR&Jy>eEB=kB6K!?b-6_0I%6$(bn}vz3R4spRct%_rAq4 z^X9AOUGwc*K63{3oG^%uxX}2URY%=#7URNP!&i%Ed9$l?E~u1v+`V%PZ~sTdl8y~+ zyS=-VICX5eRyn%5GjuO$w13rFl)6;(^B=CuemSQSHty`y`o8wS`F}wlXWqGHnzmq* zhJ(riZ)HYbUEKqvc6YSbF|DyI_&d!)xY(b+a`m)rGlTw>Y<RN&mGJg^mnJPN-ur7q z{q1R5MkfAIGgS-^9OYhke%-T$IhnD0g;d*^&+XvilKDSdjn`m*)0y=Po@HAq-2MCV zT)0-@aih9iw*Lyfjz<{$Y-;XqK0iB4-L)jpE8a6ftxoR7gfy#pn~V46pXO`1I5AhI z_pkQfn^iGhNs<|%Z_a*D@UP~0?7=ygmFZDs@Sa617hKn$)4SZwE^?%{jAz5{FKKVS zL{&0w?za7<n#sOu)vr&}zW&ah#>mCeVio(J@tTcXxsKp_8{Vj-O;=CzAFSQC-;`y7 zzjy4-ZLUsdKL7gbQ*mMSo~Kp+I41mZDy#4Ran<*J%)H_g&Kr^>Zs&BLK2+6ltmVn{ z?m!DRug{$~+usz`M*O{X`hdW~$!uO$8=gH;)9&1VB$O-Svh!W0$)@vF6DRt}oHKXc zbB<5o*kfazvTxfRo7{9?nC;j-Wgpw^32e4HQ{6BAJaNX@wx02S=;obMMMJM_6l!y~ z*<G{w>vMlIJ%QfM8HT(X1&>cB?EASiitl|(>8gr-rHSTQA^eK_CfwV2K*qD}L;u=8 zZ{6<oZFkEn^NIOavsECWEAL<GM+RvwS?P#|=Qmv*Yt%mfe{o;J<2?J$&2A?z>`^%3 zTl7$(_o?lBUd?!;y}7a{&IbfvJ+Ixn=8V&n_Zf#&?S;f;f8IZQB6LNk$PNR}YggVZ zvy71RI(zhCj?cbZRn7BG-&uT(<zZLXJJl_ZA}>jF<mIGgZ|!>V*lpADtEFwSK3#4K z9`E&i*K~Y$4wo(ceePQF`8k(-L&Ynzg}>_UiDoYOd4m7dHiI7RvbPqyHW{RyT$deN z_~~q&`U>9*df&9}M1Gq!cjNv}dsB6RzTiBm=(V;N9p>ITE+=W@5HP8KX6Dvwu5Bi3 z-xeCroLSeYR$3KZo_^8bZQz<2F*6yS@hq5>G5dDw&Drxjo4;O{cbTrcY(Wrr-N}Wk zAJ1x!;+?yox9!OvK1qH5n4-@`B@2IUzZs+JdU56*q0Oq-CkQMmncFRDRa)sf`E<?J zy=Bj?<?LNkma}7}mV5ERvQyVsAAOvl>tZTt@%B~s=?B(1SEkm#ep<1{bz8tR<%f^= zKe+w){p`azuP(*^F25`MYG1^5{~sCo9qWHJ#z-XGIeD$qOe4U4?(&bH{FL~tAEfQj z4ew8Xd*s7Ib#u9O-C>`0w0{qIWBBdEwmyl|oBP9S*}t`GPKfzi#jf3ZJbKTi)mQz0 z6+FlgVxQj0X>YsQ#`FNw<MSOW+*W3-YyCC#>$J0{qgpI(g}Q$YUUj*AQlQwX%fDwx zuD^ONU2N{2rt=18yB|Fa4G0&yaCT46or1#3b{@68`aQ8eaZ^nN@|DkhX<qS#AtW(6 zAhJX8*)!h9XZl_5Iek03dQtS5!2CV4Tee2B9S<|l{c(O`z4Epcjpdaci;pgJzL~#~ z|77&cS<{Mj`#QZ2$Fdx6H0a*+UGh<^+PvFSMYWV~RtkK$bFv`yjm5VW-`@F7DgJqz zT{O{0e~v-#^tWOx{0AQOI+rQ6@vpdLd`KvONB>nu=(RhRPIGp-NZ$1@dDd%O)7clb z*CODB`h(`m_D%O5Tb}PwOUkK}(tW@rbmqj`lU3p!7wki#QYC9IEw0}&@4+js<r<-D zHyh0BVP7l%`egk@o9&xA)1znql6jSUQAC+dIz8MxwPi-z%+KeSf45Kdt3R{YO22XY zbG4MsW#1P+xU@TJ+QmcfIYU19O<;TJE%5k8SkE)x{lz=B$FE-){3X!*;pM#>U%S5) z@!lrjzvW3+MBJhv)5-Uj$A<m5a=%g8?TM%zs|{PoBjH`z6OxbV)NC_5;34VoLG#P9 zCpyp1p5o`M|9Rl?nWR4E^*^0!?%%%mBaij(nGITTr$yp_zHnzenKWtjy0=@Gotu4M zJaCiom(EI=eS9yAE}frxeOBUa;eY&5ceppm`oDLX7O})?t<h8GhbBiWHyi9Onama6 z5xBE3eZN9YZTt2beT5gnQntNUgSH)CkkPX3&Ggqxb*%+@+_N@Xl&yP{da<uT<jP!w z_kv4pI##>I{PH(jzUR(@xfMyNJMQ%=GD@9$E;s3TZS!@P?~XN*N88+XFpKFmd;e5b zV$eLty#3%(<DA-fgIQay@BJhxz;SS*dzY#74W1*{D~=ysXU#2G{$}f{`#G}~3cQi{ zBE933g?)f!ce%0Hf%+Q~iDd#l3sN*vf+|=$Tz&N~uklrRn3Q<8Fud_z?={!|lN|OL zt=fHOWAR$|1>UA9FLzn7R4Ko^kbmg(TIOSqb)wENGapg>#?8FQNsC>0L)bO9eW5*{ z_auH-IeXGYe%r+jlm1&?-p<!nBAjt}OJwou1&ZAP-pni_3=9k$3<|MPQO~9RrgBO$ zGJKF=WZ+@oWJoMc$;=NZ%1_p-$O{c&WnkX>eqTBRtHZDT5jhoMh8C_)3JMBFptF2H zYe~#g3=Op2B_(XSwlMIT)~X@}7u)tc=a0r~?yMJE*kvu)k`bmOsiuGb$9lKUh`P-W zoQk&Xa`Df&w$^Noee99*o$GhsoBs6b%M=5FMRQ_qxGZpd_0uuG<w^5phDFTM*Zbmh z6pqPgNMCpp;y2rD(;oiAv+dUx3SHm7UHfGBRSq7-o|93hJH!j`MLZCFw5V~>M>%eN zIq{oecX`A_`ign?%vGs=Rj(jcC2xKt%vL_P*r4ITqy0Nh%_!Gx|7f}SiW;}dF|Qf> ziUjpc(pIm(!%_Y5&?$GdtjQNA%=n(w|4VVQ$shA|b;bQ=7k5-~c~<3b51X6xbWPog zy9u{qGn%_3TlQ$LY7n_`+9J#Dbl2+tes7uP8){v<GFNa8&$;$>GbY(w+}7;e_2nk- zA-#YTB3TMS(O0=&OIO9u|0Bupd_%mx-7>yXCdG9sM#`6FS`-%b-ksuK;{3XO+jnu! z()k~L$>u!o5uYCR;phGT)90@FRCINB0kiH|wZBPX8*L*p8M6=X?VE7N$AJ6N!RtI- zauwa&YsAtv#GJc%vn*(P=1=BD$!flKp0=ld>wA3su;3HZr3Zr5*{7Q3{LlEMcvEO& zigfdSf1#PT1XWdc`0a6+%O~pb!tnbFho$0se!ec!Irc_9?FmQu%$oXHcgo#!d9UcU zJ{P&!=Dq)aANw)&$(tC&gHoObw0*gxExE5Q!GF=IYkGTTFT8#5-=QC~mfAcya6oL^ z&aEpiv)_qZH$|^Pe75#3<;vF8hqSBb*eFil#ktdO$(4$X+m`$mXS=ga?4O<dC>M1m zd9l#E3kRAunyDRan`rjK^0Q&Tp3l#Hb}~tK1cH`wG|x_7;#+$^mcLi3jPKaG`D>Ju zW_Yv~KjYy_|GJ=S|J^WcrXP2&nw*eZKlMb-9;vVII6W>JHMd!Qo_j^~^cna0yBQ6c zHcgM(89gh5qxRs}xO5}`sTGCXefiVpNoHiun<c%`p&{zY1W&H3x59W_wGNk_c~Kns zMJS%_($cLgI+GS0)aQDom0h_b*lqDW)kPN9j%-_fM%Bdg=l7+m|7KmgX7r4CTN20l z%q3srq$lunSxpxz+pe?yu*%fc_HuEr+uuzS7I!p!E%ToJ*}8SnYI7QIZc?1M?UhNx z9zXV02mO-ruBn`b)e*1uoL=`;%p`K{^C?qnx;PifO<7`fGw2&b*2=c$(jm?Y6C1KK zy<clO|7VrzJ)@(z_Qg&Eb|a1M9ea*nRWhsA_}V4Ezk)Gj!IZsww>>ntwJ5M``iCHf zR)hW-Y<s4N6=-dj<ovhh&!H7IbIOjZ)-9QLv*N?iCmD8fhl(wE<otyGx#r(7s_)~| z`ycY*qeyb*tJ^!BYptGr`5bfQ`FUxbz|T*OTN!!S1Y}(KYn)<M8L-u^Uh0{}Y4qXy z@ujEsme;5COKix`NZ{F^ee!w9^@^K2+xh>cELzz;`*vWjY0Kx(r!pp=S9*Ew-5MZs z>E#T?n8qI!&f1Edn#a8TmoJ>$wkEdT>EHRh{JncMwJ$Wj$>m;rbb@Bco4A|;O~IV) zqI>RUXirmep7F#WpqW`I+G=0NWgDMA27zKbKDx1ms7}6=Vs<(`NU)AUW}!#m6~F!- zq5FR-yr)>~ywtPDDZ-b(dsf2{zN@v@_cn65r<<~IPd$8t&D!I)#@;_4<#tT+5&6Zx zLdLt){ph*tcVd%#7d$+|7is^ztn7C<ldeEvQA!5$P05#HUTlx1yxhXBcVyee2XX%e z3XXJ{b2v97tVmMzlHPPY`ixY{HsN|{Wg~xA#(DMeN~iT#_;VacTyfJewQlhW&TZ#9 zy?&Ght+vQ-DN#PUO4Lqa*|MD>w)d1Twe1pJYVB~n(tPqqsoM_j54OK<pH}+(!YZ+! z3EpXs_JnknT<Ta{8`;U)IeWQj#<BULY%_P2{*DU1mwzoh)cN#^>@B}zzHV0A$66X2 zT=nqkQ@i8GA5GEC^G+81WgC5Zjnf3HwY>+5^8Pm_zP02oNcO34{xoByjoqXG)f0Qe zEPPf@T`9STU9wK`%*z|MuSN;a&OUX`aQmdpW;I>)my4TY=L+1MzNBTfvXBDj=HCB# zf4z>U3G2REdT+%-{+4&QE;_Yuz1-O@Vxi{}D_Qx{$hwf{yJ*qZhs|z#@9Ka5w_m(r zfBL1%SxZ7YH}k&Tw&ivIsn0eCmOtyXw-n{S_9LvC?P@{&t|>dd-kejCFE+6-SSmEl zeD2Duu+6`^Chu%8&KLgvTx^!>VWl5=r!Q!ph<ToA{mvnBQE*fDpEX90PV8`*Kk;@z z$OY4==EvOWTvvCMZul;d@it2Ce6rN`?p=|hyDzgaJ$UF|l_t9KTIYhU{OHtw+fFCG z-*7{4;+no+(jQVJ0z)#+d*{aI?GvB+)xnN`)~$tZeLiwGA1q2g-gNw$q;XBkoqX-j zTXa^vmw2MnzASRdl&8_VyZ!fbKRdK_aSH>7)zhZqnGZF0%-zBv>BL{`mHesm!)zAq z=?{wq($}AEVSZe6So6evM>(xCH!ozl*Tg&(|8zIp)ZOxe`}Z#wR($K|IeOu|$J>3^ z;~aOzUj8|+NnF&l+vpebeqQSZVc*glE2}SE&Yb%<e#4(XCbRPnc?wPcC%;$l#L_7y zI+tq`jjDe;Os%m0^Xf@q$;!Hd&}~6^^$H6w-`Lt{{zX{sBZH#P_rNRj=81G}7o4JQ zU$|i9qg&HAY;+LiN!W1u{qDsgTT||)e04QyEB~;+?61*jmX(F}%_1ebDyGx?a;>yt zgoL!V9cYWv&`~-0>cf(y8FgLUHuIX}C7;;5v2qPAzI9~bvk=bSgz~oiNB*TgC@^}} z6Rfe2Wpd5x4KIp~ZSTpoeKLu@cI5K&xh<b~-4%tdhnD5FHBH-+QNc6U(EYAT%-n~I zEth+T3wz9ec9zv@Qed9p;Z06f_gdH3ypQVtTPZN1vHg^X+M8utJRN_%xxDhJ$Iidc z)3c{0UFujk@y(>*>8pjpF5E6wcegoR8ntSPhGW(Ie{&-0>imDFs))Ki`fX8|oIG*a zV>!v9<tCy%sujERZ=N>$$dc4NOEqNA72({-&6)4NOj|KatW0v+5-00-c0V5RINV;G zYut8KdHJ^oZVIhZxrR4<)=xI%4?6v=$YJ5>MU#SVTyA$33ZLQdC|u+@>y-K8YR5Dd zFszc@qv^Tero+N8`@Fdm^RK8xT>sUvR%NS7lV$%k_TbAV{95+4#Zxps&0RYA=!1pc z3o~xY9j(yg+146k<zAh|@q*iB!9w1rx{=xEK4?Wva=DnYA$j_%lb$>Be)j!Yo%8N~ zz=y2Ajtr8geuS32$|z>reS5u!qnh$kt6!N54!?Za!2jTwfymyaYyR(THlJkYH^o8S zjhS!m#QC$jnN2Ug5|7AcTFapv@keO)v!DN21*IObx`!(_3(QULSoF~P`sF>LzvVW? zt~H)?dP>M!<&xzU%4dCA9Jn}d?b`4=EPZ0)GoBq`)7)o1`L%V%+h7}?U-K@@KiVVt z>mHNbr?TJP%VUh38xDjViehYOVqSMawESA9d;$0WEtNYpwF{@K@dRy8h-}F^a8UAu zlW2Bp_KuQQVJpm3w1duF$oY8r9ZPO#<=!*5AO4d%vg5y@(yyyaUDJ}p53ZNCdwW}M z#jRUH6FdGp>G3?+u;cWGKhJ&ikG+~8FU{<=IAErI*KC_d0rq7Z8>_@mRdYODD5Ia- zAz$$DBg5G=rDggtf3Nj?QUCfVc)Hq>u-0>-bF`-|?CSbnmoM@=Uw1>j<<#V!d4Kz5 zCTP_h-8Zq~5l>LYmD4WUmYs;4n$A7rLj>!!?|mw>4l?#?rhEKYFYxY=x76l{y+@St zW;UPVT&L)N`dpN1d%>*qimmltbvKrkhHRf!Xeho`<Y7tw+2|?Ti^F$k^?jYVw7<dl zk^F@N&zp+nmA<WCd$=jQ$%%(sol`mLQ@dGu>QtNX?3>y-yH|xR-S5Yc_`hrW%D0Ad zRZ7lI_dBJrUT(t2pc}eDbxhkX%B;A0|Ktx<n;-YS#CVpAE<X83<h5|SmZ)*uc8<hD zGg&{p=CJG7UBT$HZ^h%)L60+!l~2DEe7_*xTX(^!hf<60^aWT%D89+tC%Z}aDZ{60 z>Qg-ee=j%t;at9AzuCh>(+{fNusVHZ{jr<#K7{@G)LbO^d!zIHn%pRr->rKbFWLkx z@W?H0vR;vDBUyK>aEDHOY+1}XleD*|`gRnoYj!JcXWpD=B-_dwF7up|afNu#qM`$A zS0uf{Ov7$i&i^IwI@qbXn|JAnOZ(?cG&K`{@XsP?&t0>k-o5|Ke}t^vJX`D5<FilQ z6<$yFGupBA{9K=nvl(uc{$98I?_%A1yJDJGuj4M{-jOI%nOAlFo8;jma*6U<Mz7Cz z{4Ddcx>+kGmy)ozi+hX9y}ZWEek+jz?ZU`k*^LsKD)$~HcK(xIrt+xS`hPp)o8K|@ zO`8sJHhzp*yTLT&%)QI!4&Hd5mgikR^ZMheFN=<!bDkzzx5xa>tiU!c30W>N^`gc3 zcJCP{ZsLr)^6AsYrP9(~=QrByW#FuxCwlpzoBO;&uJ#^tLaIt;_9m{HICp!z-Tugc zC%ql673&+6l$b<q-F7@|F-ToDk7sF<YVoB{%S!e$O*-YjZ-L*EszX)^bKcZE=B~_M ztnTvn)>Zu}75uF!&XTvT3qMzC$k_FD$70@%yI(9xynOQWOuk89V@12(N%)s6ydx%P zxuWIzg^U3AIf43VJ&%+X_fEeldG*loyz@_OKYNMFOwEk86MAUTqjdSak?irEHwABJ zZ-1J)<mtO@)jMZDn#E#P)%EM)g0!nYiUQZ1_~hVqK)8HWf#j9hwg)B_9zFE6q$DtX zSJ_=p>&XAxeJz(OPAX^4c<&SXYsc>7%k|OnYojkIGkue>ne-z5UxwE%sqj|mRUYY5 zJ912#tN$Key20(-rOAqElJncj=lR&2d(k4Vn)Au_)kHDXXoiztIirtW=2cj`@%ydc zY=+y|3{q~ivTj;3_sq4FiJjqPkK=!&Imq5sVSI9SPtk<zR?}7D;YVV3-g@75Zi6L{ z+3Nr5i}uNt%{B?GkyAIzVTzn_{JT4g#+&vxOAmjp+x}(sI<Ma3=}-1MK6P>Zmm>Z; z&;8QlBUfcVDH|1+H^05z^YckA+dmI);k#3MRJB>`!%tWARC{e}Y&1IC5W9LxO_o2e zZ1St07ua@R-zsW;XVHg}eGjq&IQ=GD$ggO)BzN$8WBiO3KX;+$|90GCI6Lu?_PvM8 z8oWar*fz1x_tbtE@I7F)+jp%M>_R`<W<7klLhBWu9DC^jy&Fo3H(91={*KUYe0YR8 zuJf~tuMu~nNW$apJ2!9aKf9-PV_R*Ye!B1#Ha*o{sqK4PPAraObyRTsk=iQB`0vr5 zik2q-geS2Je0b_uK8l^QX0v)Q_r@DevEOSJ-2Ct|X+ov_47NtKsp@63_A*|nF5Sza zx7^WOz2L^DB8Rr_tiH_p%hhtGr_@g<?O#*2<g4-XrX^=t4gI!%G%<|aU(J0jZNV}Z zZMBP!Cpe4FJ$(L?WYZcg_V8oe=U6gRD|ycJ>o^*O+GlFM+Y+>U@|3%VADGYA8ni?h zUgIgRQIBgrb55{bV3)(!9d^~XL?``{E_<-{V8}1I>v^{u<bvBRLToP7v}9-t%segn zo^x41EC;JnVfp6lNxy>T&)jm9DSG<BEk^(U9~PQ?%JaOR>if{AQLiKXtd=lU&6^pd zE1u@pDqh^UZw0S_gwNS|w-(2F*J<zibhCd)t@hHDb06qD|C7!9yZ@MROWiNw$&d2R zt}8OpRCzb)>h0iZm-4<}f7rfc`pyYwH@j|}aC?nS##|k(H@#O1y>$Dg$puxt_6dzy z?VPw|-VdG7mL@Ur=Ij4re{B9>*`evMYU!aFJ2g{uEvMDr(7146=Zk%spKA7TSw=M7 zyzXVPxbXZuhKMJR>-raSEJ*pQ-q)qxs{J%4bKjLs8M~)WI?L`AY&hwLPVWk%`;&fp z|NCybt^MF4)%GG$G1uKEpB#G_+;fcKLQw**NmM9<*+ki{MVmLsR`30NV_xbqkD|M- zTh~kyn|UQ9*?&&%OFgB<2V1SKx=hxb`14i~N26!Nw3{o+e=k=#wd>8%wu73t%f2~m z-syj4Vej$6#}%T}w!aTncCP7~#MXW8VA3|`{tG3RYGV1_S@s2P9orovj;_f%m~)`= z|BLO3NAzXlWwpItFIYbJQp0J<D7{*)45#_}``+A<deAp{$#REnncb!~e|{HU<GeUU zX7St`;ev`sTTdL4`+TG9#W|goJ2Exx53QcQe)1}{=%Z6#wlZqhcK1|GK5%_y`TG6I zCdqkAS!DU5H1}*-YV`c;!NwmeHm(X;FnM2}xVT(Z+x?WEF${~kmP#q|Nz3=}zWi~> zDkW0(tFC0mt(ontsqdH1V*EbimdCS=y1ugV&%9W7OZYHGvTZv(^@7y2=+%F+=Ip!f zl_9~SxXh&g_J@h)Iz=mct0f+GO+7Y6@ax1Iwr4wprrv)#duE3Ha^B}HtIC^rR~IX) z&6>XIUg51Zy27bfSMiI!UshT3aOJjdIv*TYN}h{e$2Y^o|F%%pyIhy&b(chb@3=6x z-dDtHZ$<LbgEjLDR=05l?^~ZHEw5iF(V-F~oG|0!d9B6D!B0PA_Bb)MRTm#sbI9J@ zH+|<u={Z|JEuQEVDrL0ksJBK@wy^fHjOewuVpcWoT-S4PRV0U+%kukSYRe*}SAB2` zEM(n&%twExmDw}yxpmXKo;<KT$hIT%sJ+j`ri*Pl?8?XH%BkKhOws(z`f$dhR&h_G z|6i=OUfPkxUAi(lPidAPlX~m3mdW{&@7(4mT`b-|dHRagoLg!`|MiN0d!KqiF8<@D zWgH#9zJ59W$?ua7Ux>iIrTSk&XB?2&_|M_2|J(<M?RGCy&z$h>T6*i<lqvJe9{)VW zaJE59WVipWWk(8B^JP<Bp9pOEcU^8z1?RnwrG^KZd|9q6cK^A0&m-2w)6Xkg@z+n; zz4uAVk2jjncDRQt#XkGECFWA&?pMab59)KnS6F@ucl+9(e8!qz_o3gT$3kXyDX+aG zO5<+rPG0zk`^LkUR=q~aCm&t7$}#7E?7@KN?=u@X<{BRAyr(wj<pcLyu~l=UX0KTO zxX4X=?tAyAVP6{!m^CW*oI8BC;(lcQR%?#QqG|Qh%_eFz#2h>RuBG<}zsD!bZ_1i` zKUf<dTG(~)L_%IUYg}B*LGSc6cgy*w|7Ee^GQC`^+&uZho=;kAm*wWkBpY%6tPavp z$uAc2wVwWB+c&d#Mb@ARBJ5Y+E|ydXIdC{?mgx+~<(mGNv(=*mxfjk&xNCc3+x6G? zCmp`I-LqGD!_$@hzj%4RzECyLSboy+u**74^+VryE^15G>OQKdeCu{;f~PBk_KV1> z&mToA%+?585#iK(xW)0&q`nphhn&)6h2}$le$0w}#d6g^Lc^3LY@x@ER>}D<r#93J zZhtcC3R5z-jJHW1=fW5{PNmO#S3a3OYeryH;XA3o1vj&wmpZ?X^po|<S)8D$DHOn$ zyyC{UC7fUH?XPTGqIvUt%{4}CmyZ|Dv1_QQ{3zPE;i80jL6t*5q1VQgtMl$#ev@GM zFXVbMc<Q>iO?&LyP9Dnt%J_uw;xAvpOL24h71h_sC1-C|XMd)bDZXIV>+Zq@|BRnq z`E$8XYhRQR+t2ACHl3Z#oAzwiopk>5UNONxRh|a7H)>3MmbWd%l0Dg{xX$8+&f>Qh z)wVDdUw*>fyno5wm*rDiB3~_<;Qst)`udprbFWPkGruSNCcypM<V&|)WK%ZU-joQc zl~MQ>{7uEsx}D$c?MLpI$!zi~J9LiMT)4FNg00e$pnD6SC?!k&)#2+4o4et$VPgc> z$%$EdpPH4n9+NnhCzm|OL-GHi0|xJByT%7DI_g&y{>63bMx#tY1D9Z3zMqcXPY-AZ zl|553tWe0=z%sAxNvF^uJ-yFL&o?%xvGp2kWR2FS`)2xepFzJsraQB+ihhXv$~RKm z@2y@VsHWaECI0T~C9bknLD4^gH=SjY%oBV6EXA&(^xP>~Glr(ha`$z@cfGV7C0?(K zY*eq#o1lBVYK`t+Wy|_)26=Ppgg;q_E|Z;+q*GfNV7T!T*TFu?b3KJ>Sq(Yweil|7 z%Pl*#Oy41}?QT0?%tGz{(ogHRdt9}ge4_pP`F#_u7A!g`s(I3;;LC@H8EG>d)<u+F zY`MQAZsNR?TW3l1UY%>lA$ITcvh=j64ApaVyN^mUAGu?^=~j5+&r6bzUPmeWy!_}> zob)p!yx-aOfK||!LwhGD6^bhP^40y`so<WlJ?`{b?gxS|cjjxAiu*<{K40sxtwcyG zZP}lb%L|;&u|)i^uTVPo?o!R?vQ;z6{8%+!&M~g<Vc1dmTV&=(3;ifDp5`e@%iQvN zzlrFiv0E{!&64`AQoxqCS8$Jb$>+P($?I=TbW+g%vxPPFGgn;pYzs9GhqxI+UG1qi z)$g3kc~ScEPxh(UP4RbAU%#KcxS;8$MwCjf?(Q}Bn9dyD_boo=e0pV_ozu$`!Sy-r z=8Elh7pfoCYFkIjmCCPQ>+RdJWU}+_vbK9?g}>i6Es5b2d%Dg3^r}SHY6Tga9n)3* zzgOnDm$%-o^sI|{b2Y#2<|tl=qSafE=fxSSt|?NPY23@~#a$rzDNct?;JxnWZ%1E? zyqfY|d!hB}>zcMRk9$nsbadxaUT-%QBiZZ9{PyL#1)uLZ8|iMFDRjyIgz^VRkt4Ft z^QJxfcA3q#B5E2>`KNO_OMlx+FTXC8#rC;T;LPUPz9q?Z3;Ofitk~v-u`v179NAIJ z^<`$Z@03k{u5~?A-FQ<-EI;euzrQ(OWh^ew4NNxOo1gu4;RWGmNAG0(XsHys-g9S@ zW9la3x2l@r-*2@y7a5)jd!)(a(s8?Zs({bR`-(@p17ctQysY!<LB*^TamHEl$>J{T z%gyE6vekbG|M%hgfA?5W`JU~0`X{nkC0F&W(OBq~wTPd0o|3@iC$b-=mi*A-z8O%G zp2Rlwqow`9$6{h0>tjCdiH-XGrzBu*nCyd3b7OLxE@jAgc}<J{nVH2u#U-L`%F@XX zn6_~p*{&-!TZw;v+u5A2n<TiO&MdBuS@7C5uWX4+*yDGX?gjPL{JMPnr%y-75w#WH z-Z=Fui775=pZ-Q|pV)107u&?J>co^wJ6t}WH&960I3wM9bJB++o(Jci^6fELCiG92 zu~^mQs&UnAu7^$QU-QiKyf$b0&*n!R%k(_=E=s$(OZ-RR!PjrwuC49T-cnSq)Mb#p z*WAHgWcf6KgPKvh^*ukO?%?3rb+a}4=F&^7=3kcY@Y%8}xPI~PIC0q=&ekU^`V08B z>?`<pZ?(y0;dsesJ9vXOEYaV5bmE7ms>}cXSPF=_8Lyd|Amm+NTeoROd&5iJ%k?*8 z+}^FwJAL0sJZf%;*vfK&4^J)%Eq%tic)u}&u9cDTj@qO1W+t&Y-z>0MaA4m4jC{72 zv(BZTQIz_)c~7j*)ejS2OxomOT9dS~=H*8JhY8jEU&FN2!(PW~tU0+T{-yVgIj%Yf z1@}cqE!y{^`D($ExV0NLCf}NEcDTh&^SY7Axm?S_`D_X-qG7wFFQ)WLdtcV5G1}Jq zZbN<a^4(E4PsDEu=@on^+~t#OQF5SqPmtTzys~cloh%D@K53otIuy4};i`L>!ltg* z3w7+3ZytS7;I~$Ial+%32VaD*@eH$!$hm)#Z5r>P3+MfR$Yzynw>ToXN@wl$wezp< zwKpwZ`dmzRe}#F>`Krf#VP69h1W%V7TPL*9_ms{(=LJ`nvrJj2U%lVL|4&x*`|8LA z;(z~M$P<5i;97mv8_6IQpU@lPbN79|?ew9c`)K=;hS`!1f7B=L-2OeRV%~BdA*HYJ zyC2S5fAgh#ykfbx`u@|#8qeok+uq}>WN=ZpbBAnfMikG4o+`GqqZ>stRhcF)I^jQE zcKW%c0aLC%S(E$O{(*XBaOv|Wu7+(2iHFL{8%;bT-pz{p^tkK5$y&qNr8ka0^D6wa zaNEmUpSdRg<#^<MgwH@kNGW;iq3iKgS&QuFJ)P%y@baMzAHTMwxjU;E99ViuN?{+v zob2=eR>=wd*u~S?dgd&<h48Lrev0q3PWT-Qd7(aMx+>Qi9iM9_XPxF<cwzSirD-xp zoOZC=%TJZqqSL`E^@{t4Nb7&D>X!Rfd;Cn7%-!{5T0)~bzxUP-W4o=&a+^+bN)>P4 z!<;ZZAw074^!rbo%(hH>F5IeJ<#Q-N;_&yVpqFR7|2xlpJfYX_TJFlcpq_=rVWwUB zdW>xU`zz;K^OZ@~=y+_FR&f63Una}@IBfN@;tPGU^X7R6{m{!@%zgRz*H^X-OH6`Q zXRxiS+0|#(v8QRzF{`Ds%u)n4CViCVdh6npBT;+!WKWHeTVct(MKe3~SMPL=JAbw} zV-kDFj_JET$0b-!-)O4Uu;`BCjYnCEDqo+5e$<$=lh14S=^ZT_K2Iq-6a8(5z+dxo zvk$q=vK5k>r?Fj4JMOmJOOp?UA2O~vKC0D|4rHFWDfr}x-ACA$)!06FT5lv_6Lwen z{?$e9{H1@rwXF^uvyxbwICIU~8FzQSm1LRk_o?MV*~0|&SK($`l|>9!ZC3l?CbfR) zllAr6BAg>D|6fc|c$MvtvQ$OjWSJ&UjgQo!<zWks993{q%Tly^yy%M!_lxjXEz8Xw zwJ<BKZG3sSn0G^QyNg}m)d0@xQD=FzA8lBw8|tn8>Ro&|Z`!ffzW-u8`^qvs8;|QN zebCzdcGH>6N9--v4Yu=ayRh)VnRO;{fv-dU)OM*WgmM;e=|t>F(z$#t;zs@Z=TBwc z=a>C>c5Fi0+YRT|>o4E=ZkPRLc}qVXONUMFTeoFicGv0DtA3`R!@n!;t3yIwd9}gS zk1l7#)VW;Qmd|Xe)sI<rASd{?#C5gXAGWwlo35{2o-deLWfZ=sAh$ip%<8w2Nse%O zr$+9HN3l0%_m)MZzPhTbcQ(|}NpGXQbS&FzlcXO{W=ft2JFO6Cq4{#fE|&(5k}XSq zB?Z=;esg5+&MQ*+M`E8^FV}FMcJ5+|-?EEe?KUjqxMd`fwAe_=Rhl{S$(x2L?*c^J zq8G($m9by@x#tegU718aebb0-imBUGtuwER%C{%zrYzsQM<d#sRio1{&4v4@PT7Wk z<yu~>VSxrA+{fe(2%WBDPFGi&pHpF9b@GVK!byr{#tjFih+eX|xi&=nRKU~n?!zlv z*6yAEFRb2w;(wcy-IguWcCKB{9GY`zhHgad|KQaNb9=pxq&>1#s4jWan~<%!UhwA? zj$^mZCzh>GX2>}c{Z(UWz+UG?FQ+=Mbzg3=rC;!X*W=^AzUf{wIVZZhEFyAw$b4fh zeV)ZGtRCk&H<`5DG}iPud3VlLi}kiW`*zH9?)qL+>*eL1|78BlU(yu|ZeL;Y&N`fK zFDp>m>Ubkl|89oL?G+5$;~q&gKI+|dr|rfb5yoX)#%KSSHL!IDCi*PxSd+%{*vUb? z(>%gD?UTfrt}y1UshjI|p5kwn^3=OfxZ%6+v>WfG52YJKPu#=%Od$D~0neqZ^tUpB zTA92Jsa($=Z5OlTb9GgIJ$Jv7RjthJzfS9NEvMg_o;Blrt;RaJZ7J1fR$Ss=8?<lM z1n%=we@s`{Q1SY#=icL64Q9+vXU(xIj6LDA{Y{|h1lg!c^-bj)g0HKt(Nfr-^(T2s zP1K$3;n^-fpTCp+`6|SiE!p(h)eFT2vavZuriX%-`A>YUu)O~9`yXoxT5mq=y<B?D z<=|A!ZBLnYOBk)LzQ}**qui6sjEk9umS3Bs7adER6;bK$zw!LZMc>w29qKyc$GtlF zq^-MmmcW6(^2rJ@`Rm+EkKL=VeOR#kPGX|gw}rpw&Jpk}&8p6EIkDoRs=t}+O!gVN zH=G`dp1ivzZ@DQ8>wF%gd@Ub`Ne_Ex%Ey0rS7hj1c_VP)W5I79AK&GF)ZNRoNg!WA zsP6dz@7H?V9wjI5+3_uC-}b~s+l?vif$*x8$+w>{&D$^0cgjij+>Ypa#f|+dZmVor z&z(NwX@BXK1zR_L(SGzMI=buI%N<uH?Yd|wb*V`G*}=wLenzUcl1t-+oK~2qZ;<Cc z++=e=;^)%RcP1f6?yQ<8RA6!M(M8L*A^9;TG2eWpc9?6eP&jUTWT~6^OvkKiU0Md0 z_y6nK#dwfAz-G_U)=A5KBX8B7bX>efrR`zVpN8$5w@q7-ZJGRb*+ch_+nRh@JytO# zM8>}0iB+m~m?QE$bI}t8;VKQ*J6Usmmi#iAD(dOH?o|BZ7O!0fv0ty3{<u;8PtJ(% z%6isod>&66P2Qd9^xc;E@_&;3(lzEhJ@PxJWiIzhvOIVx@b4zc%^x^>XCA0mSi86E zHdBSwj>|U3SAIO2nQ+L>{(I@^$7e5ZmlC)qB<`p3-_dT{i6!0>b5poQoGxbG+vIxx z#>tB{;i>mtG(WrOWE`<zl4-4MSZ-3{+jop%@{ulEm;BN(j9hkK=AyXV>l>^7#Tagg zES>(Em$TO2bM{pJH~cHkZoOn;QDGR|)x9h{tmIw){!D()_H`->TxAFTP2sIB*fdqx z$xg|{OOfl+M)%c^-t>O{y+Ci*^Ye$Eg?@0)J68GW+3b>)j|?;<%T9iY-*jnu=9RmX zW(E~9%rWBQ3v{0pu}gICpI3F|f)!<#pL8EN&lByr<xu_ZW1Elo+v~oaHEmK(YN%6Q z(VH&@heG0?a!-w&H^Zt-WKU-rTjLbFZLfKbb3e<y!xv)Ow`vnlSJll%{TsIuS(|<_ zxy&v*a^P!M_^(-V{(BB~t^K-U%QEGn{w#+@9e-BE{61yIb-sx)t@Trk<^R?3Ox;O# zue`n1w(RczGPBp~BfrG68@G?V(k!2m`pQ-J{UybK=oeXur)*!oKbPL1?ph!k|I(_N zch80H?{2moZeQlCW}e;L{c_><Yp2ZRd2;2BGknc?|MSzLnK?Inwr$sZoN;Q(0Rge; z`)B@oe|-1NX3M<)pPz9Y@rg{%o60*YS$t|o5$oqAycX{&3ZL{b%uHFjbHTn%%NS#M zO1Z@gM58O1ezdLqtTNZlxZsaRH`n>zQ*4hOvwaYrU#zuKU$~ED*^SbFiI3N(=X`qW zdzHg&_DQFYye;{nJ4)q~ge(rrFs*s=kB9BLM1ZcyiO1Zo)2{bM9@*^Ce<kDf*I9G9 z8=E!>O}=vM_KOWGBhq;et*-Xp_b`k_?68>jKTfH_`hvZ6b1$9Tr)GNh$OKMq4nv05 zxdpYIjc06q{wV%kkt0=dWX5W}4vA%Rj?cfaDD>K_+TT}ZC`=Kbzr9TKF6%R`)7xME zI_SIUs(wo1gzkjh3*L%tQGX~9c+zVAo0=ZI)9lY57oJyHc6H)T?LF%*svj1e(wfEn zQrmTIUDoX>qW*n$F{jHe9K39|dG1-2%hFpP-uiG*wtvd<6NiFdt=(W~KY_hnnqBi< z{6i-rnKK{P>3gkuDW2oJMUY##_{z`y^U6Nf1}PtKUG@0e?)DIV3$xqTYi|CTD7}`6 zz52kGOoeTG=VX|C+y3~GQ~mK46EnR#ts=*!$FiS!{;t%2%g@tW%8oI<<8eCoNs+hf z`~O}u<I9Fo<}a=t$ew-l>P*(8gEL+JyZC=m5qRyFm3HZe_p@ykyvi9b-6X6kcYl4V zvGzgh!TeYEuAAk^7p1;)((ZhBTjuzbi`#!~<yvvwr|$iiSu3AiTa|ZR>4V@t*ZT7z z+e9wTl?z>0a?M}#m*xMp%13xr`--Q9KEC`QsXy+)zVfo)54N8$z5L)nY+|qI%M9-} z_SrAz+UI${axG3hIA>Sr15WqG1!dCH?>^Lx<*j)bpIe-q_b8F|_WvvH?K7*6SpVwp zR10I#4LhMNmYH?4<*9z>w+(Bjs$cV)u<#%2WL70%b>EENAA90tFSM{b=xiz9ypAL3 z2-}jfvcAlDbLKSf3l-ox;pM%MuWi*|cdOlPH<TA#dVQr{n9*NULqhrH&o@#z2Hr+b zI6n!q-J2aMve|!TdHTeq;)&%upPcw=e?|1V?)wubGnH#LJFx8g^GDfhnt7G!^NzN* z4DPoFdXMcpmf5YXVDoIR=&!csN9>w2i)yo4RF`o-Ugb97c!|m)wbj8BZZgll8W){Z zdiV13mbmx=ev7YiZnH`z%s6K9l#64XxlQ2W^#`g~r-*&4&P-gsO5BW>bvxr0GrpL2 z_os-+e@>h>EA{C9Nl9;0IDg#F_rLYdd7t!cIfLAo)+5S}LBIYA28;Z;c<@f5=D8UX ze;9bptQhPngf<I)PnXyx9K>#*{jg?5g}1Ns=S^&^(N|X`KR<P=s_M$Qj{J}8@7Xl> zNy;%=uPxbX&v=OK>Fd0>u7fgS>lru4wiq*gZaRJbpgZrMpkp@@@^&4c{ycA8*+J2N z>oz@dJDbvSuXdi7Z*1)}mZ@zkf}g!k-M{F4cIgA3!^UrJzR;>Q;dcBexGYV#ZYNvP z&K$Myzi-$T4;*l*Qpk^5c%UR^{oG79uB{<A6uPzhCZzQ<#@fm4J;BA<W$gc}FzIfr z&EN2ib{3I~B$uC)b6m*xqWs=AmLI-Gh7Xf==XWf7#ur^D5WVDlcE$wO*R%T7JGdk_ zJ)ObP@=PjZ!7D9^H*IYv%=E9>?7h3`az;*}$Lk#zcmiGS#hYk4{q_rISXjt>E5bv- zSnS6;Sr)0pMOk_;EKTM0ubryV^6QKHF8QS7!Pl*BTb;zFpKbY)V`;Oli{amVF}G(c z*Yth3dFa*hfKP5b--9MH1Q`6EGo`fUZ+UvV(7RCITUnu3bn15cSy_Y$z1{!)%JIYb zleQ>y@k;NO>h$5b9O<j5Gb_1ufBwbk2P3nuJF|)F{`k$Mn;W)ZcESA>zkl15FF9bz z#l`f;E%IYb)l!G^UcT#ol`PsX7jLmaZRt*#fV8up8~ElnSUIw-5NAGP;I;dHywl+W zXF79l*lhe5p|^40*I6CL*1tDTULEjelFcC{m!B6DeberFt(P`#?kG)Nv3B|!?YN^U z!J8`n%~~mtqO{q>S-8b|ZQ`$YUpH+#_U2R9lC8J4Y<08!y!4^BQcRLCN9PR|#f7FJ zsUn)5E0{QcDjaoF37UAd#rEi)DdvlKFNFM?&{?DQ;HT=V$F_f$2i<29nDR$|wOVG# zgcq-sxqig`<f^hbxzc*?4bcq>o+npn$UM>WDL=O@`+Xcw==qD*6Kkdvp9|8;34Z-v zb4Q#W+r17I*`z@8%wS=;PtR8xycCx!@U%PVcz@oXCRRO({=@5@@i6|FJt5sdh9kkw zKKVUQ8smZaY>%%h_?_|LId{v&JIL5rux+o+$tLrqr`B-I_xus0zTn7CKH;;gJXITG z-mAJC&f95ns&-yvSK6E2HVG$*)%@$G<gc1{V(CwV+PB{%q)#RL6=c3-YG0Y8zju9X zVBtIN^%XpJ2~oA_6PCR?()O0;-kG_RJ*UcS^J2F=_mK0$yujmgZaUW#&x#Ke+kU|$ zRB&d*%Nhg!*0(9ogH5^bNWB!Yne}{z^f^<We#xFm8(ks<xa*r=F8BFYziL@$rlYUF zmwQBr?~5xBmQUyHU$n~I-SKLO@>}!YUDqe`X>6=Wys$;^UHb=?x9<{u=&jOrEArl| zEAoApMe_U)2_6?-Jh7ZudxvEMTS#N}z6k;@AyLP>Bii$Q7pv@*f1&YxC)=IFpG|Xv zZp4&y-9N~2?X>d02di^4HvSG?&Z)cD;Pbp2_KEqExiu9ha<BxaYU>NkKU({@M(UNq zy2@WnbC|d;iOrg&yXDO`;TFHDm-3U$Bf@t%UGRKeXym!%q}lg)HphZ{4!ujJ&iT>s zyw%37xAz{)ouGsZEsn0XvQtfpn;5iQbd+5luQA^g=lQnjYICA`P}Tkwd78|t?AR}J zzc=tcc9Xk5Col5)lUoPxNk}(UtalWXwW!#!O5~Z{`aOJC+1c&RG@ZMC#Q%Vh<hrnJ zoef1DXXl5w`ZaEKxpeDWwA(`OvrNhf{&p)8jPzbtWXK&bb<(;!fBxx5JJmGKy?ZV^ z)$-p{NA<628r;uk<=$rzJ6++DcVq45?(;V;bLHD9I>pFuJ$dJVT!!E+>*fj1_V2hU z)$BR<QiO2qEs?&5r(gAdysIxS$+P0Z=eAj<rCf5xz4dYGS2`>L)z|F!AMv}kIwdV+ zQ>w^%<pq!3`<rvM_Z>R<-M-JGyotH2Y}&1-(yOa;pMKZ=-kxEX)WuT&{juDZDT)G* z5AEI@GONaVv&v4VM9-D;|5b~Ji?VF_x!GXl^a=5`>~<T!SUm0sEPnQIRrt}g6>BT( zretup-dua>MbH1v-ms;-dDr&N)R?mDa8B8`pzE_TmA=+lWWAmoaIf&fB4dd#W>ZbY z&87!0<-B+tek1;_<c-!7EDI-mw{5uHwY@cUYMXsKuibnu=LcNB{aFuvIWs+QzfSfV zjSLHU8%6yq`j7RKcustoD6%^)bWyv}uYzq{;*$e)4L8@Q|1+-MAMtd_y@?-!3d<_i z7@w_Q|Lw+=%ujZH@rB`1`c8}M-`#PJ{@s$Cyz%fG$skQ__vz}BmTr4?lB=0F`7F;j zzspSj7xkotuW$Ns^|W)2q_i(*P?LMe4c6}MKh_!fR=M3j_i*l~tNnAAF{<p?9lU+k z(*u$|YlZHcNALBEKlpzAyzTp4J-&9yF)zs#Q`+8gDPynM=?|aJUcMpMHJO+3bZCy* z-Q|<bCG&Cv((cMhPF*9HY+hM^_UoKYOPn};_k9*fIXUCt@-GiJUA>rgfn#%P;8q@? zcS29R<(10+ev4}fuS#<J@3?XI?<w-@U+Z0qo$~eY4uclEsHBO(n|8-@IX+FSG?dzN zf6jgGBe#qAw}yx0U*yWQ+Out2_4>c}mTyxrnKbR-QN=SagwLd@`A3Rc-9Gz;nX8O1 ze&g?Xq6#jvMX#QSKEGkv-l#R{Ru%4>kDK#WelB`;RCrFM&i<wcAGpL{vrP*Ad(NBZ zRz>Y)mRA<*w%mOXYLLA<JyNVc_+#_BNd=!b1$H&7_<1{Ze%`!x$yVFoMTg_=uQR*1 zpGp69<>}M&5}55+e)de7_phw{@+9l~+l*}$wkc0K^5?PV8L{2j&D<>WUleIgUy<Q7 zx2$*CtaGfb?-E^t?!I)|@9;7r;l*KZj%i2R^?YOtx<5KcMQvVwVcG_tB~#x??A`zD z{u_OR69R9~9slh0QTW^x51%RbyIc3yu%<6B=VMKIy+S>9!`?6@mWV$u<}G>7Hh<Yo zafAI6jIM<7UwzVY)jJ?qIkm@=+w1hBTW#&8T{cEDc!F<9Kjb=PT-<&&vCYZ(R{V;` zy3-H)JkN@se*a7v?>@Ii&RL%Qt6R)I?4Hl{C2@0=$B($x-yfW?cr(ZBhNwn)K}K5k ztqr%{X?tvmX}LS`SZna)H$wbvwzWG;4SklH8+|lm)q3r>e%*p2nm3<5+n%?$qNTRF zndy_l4AwxCD!tsS@b>;Ir`M+ak|{qrZ^pF=Rr%M7Pu03@Xx5S0BUF7^|1IZpui|vO z(?9h73YKf$u>HG(HTIk7Q}+gj`B|asa`Hm|O+It!?ls@#RY!#SpS}H3wA^KZ;OX+X zR@YP}J`bOp@~?z<-1y~oWn+iWD|7zqHcO&wxfk;ACb20fMK~;2_2hi}jL8}fO`SzX zo6hh05V%flv5dz8>p=Dde`fLIy*;_<xhv;P&&^Zjyr`?Gow|HQ-=XaxR~1;E#Rc7; zwn_Tgx45Reg=`1eO!gk{P3f7w@%V=XiQPqqZ}VPbE%MsAbI!qY+zS$-s*m0i>s;}5 zQEu8gtFGJ!D}HD`&J?ohpTAm>ze^*o`N2BDJCEkgc$cNe@x|b>%0ti4>PgQhF^Ml( zF?o{Tqu)-8>)t$cbn%K*T{OX6a`N}2bp{-w{}eX!nf|M)KbCj$gV4pCDa_jH4yQV7 zHaRpLOcu^PE;_|9V|M<zstWt_VQU>2({g@HWj|5>=kT+ST^A<196onR(RZ`(XQ`RX zwt9B{=UWo|uwO}I(LRRozgu?AeVN;DpY7Idv!T}4am$AVi#xm?7DcEjEY_K}kNd0L zp3{HtZ|1%*<^L`9weRoVGVg8L{P86F>|lv69uqeIVy-#y!FOlr8yo4>8@bz!?Xsk2 zT{+X2eLl}($K%J7X1Pf3*s(MC+Hw<zH&O=+1Ont^q(s(jX4^M!g7@<OxxQc1w%A#m z{ujCLonVPg&kZ)tC&i2{+~zA!Ew)NO%v`Yb9P{*PvhMdf#ivC`S1lKxzgA_DbV)~{ zmg<B4TO#QZuRl7zJR!)k+<MadliVNg%qxm}67<MDHmdu^eG&JSpX6?No_~0iEg|({ zL8Hjy5WB@Wo5dzudTSobiOG6>GT-aRiz(6?&UP~jJq(|&VQ<>v^-zJy!#~A++LR~T zZoWQj+4aonyU@F4zYWYY^F&gZ8+b(5Jv4oO*m}GCA4RQ?ySLm4TYZbCsbsN*#D;)c z<pb^8%BSj8xxTxe@W{4eibP@4%uPS)dVA`VvO|Uag1)sbcoX$x-J+nax<}UO$J~8( z=;WK#7BV?UGB>+L++Ocfyl9u+h2NIu`LVeH>C*rIi0l?>6%(r0mp1w)k#lg8-0VMJ zXE&Z%zsC80<>{=`R#Rf{&z!yNY11LzW6diZZ!ZvAzFgW)rej&fOQ(pg?8dN6>w>DF z$#&n_8M>Y^J=5DT+0k=G)CY6(6r+Q;Hkiccl!jcmzpG}}(|Bt?UMWkf;;k%Kk6*v_ z_)6}(aF6=-<@-B>bE5S-?=LwMvv!lsij@!Ez1n>;!OY?N#N?|A6|c%~M6|s(G7jov z@2fT4IIW#`vf>576BEi-Gq-Bx2G?u(F}AF$^2mfFC%?p!e^3gf!9j$3^At*jdF zJ%7R>zqxE0@%t{${r4$$V_f(DDvej)cOB215ft#{!^~T!e|z#IM6LfQ8G9hZ?#c^h zdBFwGUv^C>Op)){FnK1E>?y`O`@X))tNdvHI3u=w^Zi$z`!4$J`F>XG$owg<Jf>Lq z&Yti)ZLh_h*m$?RlN<ArO!x|Fg9U8dl5=%aQndZ+w03eGyEHxWz&GxxjGDc(FDFa; zoexj<p4qqK1!t4CkS)W)&b-wouk%feu6C_DmB4Lcb%oP;r^x%aT2&kLWxG!<<Y;=J z_WF3pv6sD)9WVblu=yuF$yyk&=lMp<?HTL;+&^s*cx|e8$C2m&i@9$NdSe%DjQjOU zr`)GR!gEUTE7Q!@%6n|zCnmgIGw-U>>ch42BFVn1ehI4SEjvDaQD>*t+FQ=+xb!*l zmfgO$efznyhBwYN?K(KAJ~F6M!&f~yy5v;8+;vOFQ`;3D%FI2!Y@N&X^w2^B=Sz+s z%*9@$Jn-IC>Lvc(`qWnq6SmZfAOWe*7BQNKgQ`2_7ZyD_5$L$PY|Z>BOLsLM>wj(@ zWp?sQ$;bNUbQ=cYl3h{-ldZkic(qQo&SVi{)V*2u_>AD1GJT2N4_S5Im~6fgs8CR2 z7BA!K{#w62_uw1m+VHz892PEKyi2aQfZ_S~#f#%#9r?&t{ObGPJ)ZyO-Bq#murPa` zTG{8dB<lKsc*lMIp0m`>zfo7N|228ygXtQnOUsXZuDf^l+v>2jYmPCW^D0SOCe{1g z{DxrK^UyQ@l2!C3eLVU`IdfK1|HnT@;yRB5Q*Ld4B@)A_vw^{9war!c#{oY?XDpN` zF?2d1WEplQ`}O;2-wyox^~~w+F{^%a`DYp_E7xqEXPm<ucXNlzH?haO#|zxGpRTN} zIQ3B9M`DV0!uOAYdJ9sW+q`|Itqt)hTRyG)UC#9IdG*t#p6d@hb^e*<*#mv9qW|>R z74Akc+3$<yELy+Z{8Qk9HEpHObQ5O!)lE9AvU|E(@a(0lJtlO_w6bN_o^?FK{;*8( z>z~VJoNAjgGyK$7XRhGWQ}*bt$+F)T;gFzpwPC~2fcurtnH{CC)vemLU*q~_3;7#* znR7zF7OQ0}$d5Fb;l|tZA@}jpx3BK?9lOZ4Ap6IW2V4t#E(XM^L`~gS_|oZE>SX1R zeOE<)Ha7|Hd$8i&rz;OwJ%gqNg{DVtJ6m2VDN*u|Wz!$;IkP9r<+`%8{abK=FL?Rm zG~<H&*tW1b1KoPZ+tPUx(tba1;N5v%_nys?ZF!X(H<ohFUG{ED=NCO@o8CD`rdKSt zxc@KfvkhyPlr!thwTt%8GQ73r4YR}C83EOIIL<RUise<+FR1f;Wak#tt9@zeLMDZO zKd;!;o_O8!ET`zEc#FV``>HO_Qk1R>%W_@2cjiy?Y%itt_nN0W91PDW+QD-oe9=M9 zCwZ9{f6QfCZozR=<{GnzBd_>=mJ3|_UoMeXGmG{Xc_;0c$ym-=zIThm-<)&K{D(`m z-{ora#%m;Oo||eo$*W&VeSI3o4D}cRhrX7Y$p`Fz>BjT>Nk^AkuJ7f(6|^{|W8M8D zFC&;b*QkH`>R_W$7+;k0VW*|I;-;FLna>YyfAc%+VeyApCbg-huXnEDv^kO>q2!gp z7JIVb{<p-ek~PLrtzljJ-%Z|eIIw2j=|is`T{J&<CH?=?nLXZKyYim?d}?X&h55&v zO#(~r&40<D%h{2(!9Jbk@<nw=O&x{x|0KVqX|}DAkZG2>CXxMXvTtUk&h9$Rnut@o zg*U%gy!HvBqo&<7-PX;rRnK~+p86;`Bk1y{i>}YAx_WMxe#~~4pL%*a^ZJITO{JE7 z7x^mdR%gDE-JU-`@`2g@4{I&X95}ya#wJ(xbCq_bUr*NmVEtaOydh-y*JIs0YgOO7 zW}baFe_GTB=AeZKT@9CKwsjdTStDO7w10(7#h-cJN|%bX?HqMY%N@%eDjt_<^0i>A zSfcNH#zFIq*pEAg_Hp5pf5x;%9Ew=meBq}5k!<b5pVqw3E1G}fPb+8Nx3{Mk&8(<d zduhkrtoLb2>uio%Ew>0h(SIc=!F~7f?MFW+z6m>XE06KALsPu^%@|qp*9H!!XHWe$ zwYJ6Dzq%p9M$4an)kTXJE5pP4T4I0xn$>WQv$k;25B-{LpC*0MobsmfT?^mC<Hq0h zJ^pY#eqc9!$Fyb7u08L3zuxyk($Az?w#0dr0%cyApO1a-RiE*0v88g`%r5`^q3d?~ zKT(!DvVDW+kFPexbq9WBw6M)9HMEtR93pG+h1HYw0b|G~tFYp-+r@Is0*=qNcg@hP z+Wq9js`D}mpPRXRLoYnrqW<aX&-z(!eot<;Z`n}$ts*xjxlLzQs@>`7n|#c}4}4hU z5WIn_?~ag`Xt3kP8<Qvgaj?3RoBBz~)>7RoqF~dH{^*w0A98sYKExilT)FpNM!wA2 z-REU4-)HMg5i`D{aBoY;#|00IXC5uSv2N1cNZU)hih|dL#!uSK8uInl>#E$BIXj*> z2Dav}ow8ZPCO7Iuxxu~0D3hanC*!My4th%YNr&Ehe;{$$Tdv>_3yx_@9uM0vcZT{6 zlSRMnOWOhtHo0HdKOX+-$X6qSlAQLZ?{>SfsY%<adVbpBnjmp#tFW8KbiV0kDzAOx zf|b=~JLK&AuB~<A(w7OX*{AQEXx#HH=}hyVsaN&~Ty$}8y4rrl(qmiC2HXEK8>c5e zX??qQA7}Zd+~}{Gh5SFe5+8h<*c@a2_Ok-xrko28*f0K7-7w<>+n-<RTMg^j#WLSF zzPz&C_s9{uos(8bcmMyxeL{Qnp02pL|6l*NesEP>{T<JS!=+12j#~tr(HC0u`sw1D zj$?&t#;lA*2Y(8fmrjh@%=CT!j*8>UYf`l4H1MRVE4)>h*Y+ytOVaAqVyDhA-Fs-o zH}8ODR@=d^UoO0FITCMQ=Y8nZZ#Vt)W7!@ie;*aDD&Nf|xYO*{s!OgpUw)l>@5|U8 zdLVd-*YjJ6l9L<a{Z_u&dvST-@ob@aMsD|NG!quRm{*wXHOuze291{PKSFce&Xj(i z!9T6GQkQ+^BbI&gCm9O<T)O1manty5*PnGS*KKM%{+G+=-xsb6W*r9W!|kp;>F`MU zr?F2nSM&qt#-Ia6y|ufP>LO!{L*Km-Rn_F0{6hQ%+eCwXmyU(SiwU2f^{~cq6DRLd zHi;t(U4@dKt+<-@Lh4|G%LYEDu7;3C7l#s;%2_5|JHz<aPxY+g{;8h-`oaU9FZDB; z>^8*+n6dn^F7)aYcpAB6+Oo{%PiGeY7E7Eh_^SHmn)nMx-Ky3|`m;D09{OC{EB9oj zX-I<8yVi5L(Q4I>k!KB0HmIGNS<Kh)ebyz-A3tid?#zkOe6x$OZ+XYkeKQ|aYgufv zEL&vKb?n*Ped6g|2D`#SHcVW_6z{LJJ>UL}o}BX`)+=T#N9SIv^_XI39v`Fnr0d|q z8HscKgrB-81*xq(zG~K<Z@RJ1m%q!*NSVgS)-~^n{MOrRzg$t3y;`qd8j-YRXX(tn zJx)cZ7Pr+-mSB)}c(Q-h4B5xc&JB9!AH|*d^WNv$x!2d0y;x!<D#~2WF04D1FQ&6} z2~XV7$&OE=zuvuh^VCm;C*seZU%s@S@94pkhkl&@V#N9BWY}Exn2$H@xZ|sswI=Tu zyrecU_nh@?hc6|kU&!aPoWI^T<%r9-wSg61W|<kK$}L)%nR?3NtznRd=b}|iPrp9h zS!j`Cukvi(uk>DnMW3d`6@9$I@ORCd6knnFr@1zqP+52QeV;(j(`hL?)pE1_q8@)( zu}S_%df=mtB?meh+nFcb-0(l?yFu&EpjYp_rp-w|op8{5sSY1cq`;bng<LJde=^p( zx9ZP*zV6?b^^<1SsD14HWy`~Ie8%}D7rvc5^HyZP#=#fP_V%ILmsndo!_R(PdXv$x zATn!>`s2C_9YT3gZVLtfN3E<mf5kJlV(s(=dDGX;?zvsy8~Jg@775q0xnAP?JOVEL z_@kBaRrIDqjNZeoo<DCF*nQTVvi#Um?^UM7(chJJ_&!?FQ2K!Jo<9f239YUtJ(Zby zfx^$$E|J{CYkY6o_Oi^@ga41+f3oqz&F+J3+gKN0zr#{*5Gj&Axp+>rXV1Tqsikd6 zZ&vR+kkN8Xi}xG%CpDpGk23G|9{W<ZM<RG}{eQ`+q8}n(sn=g<fBxm7tD(MHze#-# zGxyXxOOANWX-HYLmicM+<aa_(xIPseUMiWpCh5`vCf*ZKvbR3@)Ly;B&J`Who0V}y zNkl>{ZNcw_U$m><=wvT%iQ*5nI9py>rd1PmQtfM$=$UfcfLuw&J+(*NRnp_wRxAlL zu|78S5WDiG(;E)_wm9yQD!?_1>BA(mBOH@2slHsN9aC`RTf;KGjEyF>;j3@Gn!IDG z^o^NGl4<JN>Zuo%c)Jx}bv)DN<xBb?@Z<k!-k97ox5}rK+GO9I^y?$Hw#D{GYbUBl zez#rp#rj|K{!@WBbJ&jkZg_iQk>2-Ly94Vam;JYUb)n<eN2VomY4)l+tbPA(`Llmw z(1ZHRJUaR(V^X!tHgE25lHBN$Hh<N$2VdtFq&g|?<5sfjN?cfZXxqIFE;qjXs8hIl zm`(Z#Yf_K)9qUyNi&frRJe8a{r?+XsM)4H^v$O>LZU}ljyImFSqM_or$(>1a9>e#k z5;C4!r!QZ+wASv=neFAXm{w1pH*<@c`oXBegBy2<e4l)#wOWxst!{~uX+()VL-nd4 zS;u`Vj$}L(z1hAXa@G#EU6;OGFIcm#W`el;?uvs|_B-QeIVi4{=X5-vr}21Kx|ol* z9;eNr#!CW~TNfOe-M`{Z@XQ}&IY&&r(lm;9=6Hx^{_3--3l()1@KHJ5mvsD!e8-~4 zJ8O6PNO(=_@~SslviHP{;P8;&^+$Kk^Xs#Iu_1omLwSyC^Uqcuea6i8Vv8!9&2GQr zd`o})o;h_UZ{W3}9jBweu4(_`rhaV2!v_kEn|yAFtC+7}!JOT3<b{x;=7bOiEqAF+ z%Pyz+yvxm79r$zU^bor+0p|JZT-S6?{3dN1^`FCF-OY1Cd-op|J;~Iax-R?0#kI_j zYb(pv#wFhscvj%-RN{T%&hlU_`IonC_00d7X$c)={BiQ-l#t_l+f_Q4cqiWO4ZiU0 zC)4B;{bzG~H6<TQY3p9tQ1>_B%2nszEG^M8-{1Y;RChmg*J4fnvz=!iD$D%TUGwkF z%zwKWXPQ3P^Jl*8y>C3X7Zjh4IPU+aw0r5Vmc7XvFKbvy1zGmVcbsj0{v-Fao?My8 zq}z+l46O7X+k81_Imwmp_phCS=RZAr{6q7H_a~psZ=e2d4{qjS@c*Se^J2gpdC3od z*UfH@KbLn-*jm^`?8)~e_BWa9HQeJ)MM>3Zv`&t@s;o7mU}F3+;qBkvGuf(du{*gW zL8WA$dY8MQfvUz=ewBF_bbtS;z3Ve|jhFhiDEqz3maENAoN`~<Mcq(VU*gz`=H`z= zs#ON3Ewn1j%mOkVOn$Iy{lWUmFdiFbPGb+-H~G6Zs_GxFF>{}CRQ!!{?%P1!01uss zyMzzA&vXbp9=$E`lF|bkqu%q29#(nn-I3<EXvVhdhijDIn=*c%b~n(Dd-g@6#Y~S5 z|N5zZ=d$rHSuWF-ogF{6y-Nr@IP+qB>BkR0T#XO1-kH3v@Y1*W=I-h54=(+AMqJ=` z)bH!j5xWmK*gp<3l-P6Qj?S0Zg^b4&?%i_8zVlc1rtuG5ZtlCjf{%1;_Soinan9)f zQfGWvvgB3T`9uF_MOUmnEcc#~<K$`Ygyx)9`GrcMvipB{ymfz2cFWRi$D4O*ajV;! zs?<L{Ka*=E|Db8Jjz^2Rzi$EmN(arQv3{pTf~zE7T#KE&xJh&Bv42+eUELnL+c%t> zsi4lVPS$j9^NSv?8*hta-zTncv(QwJ``O3!`MJr{eaA)1#QazU{rNTbhyPp2y<qi` zIh9N~Dh};yj@UgrzWUo{DaEBS861m_bh#=&D{5mc%-Q}XcscL;>79>Xmi*!NHTt-U zFT(tO=;apk&<&S$Pl|jj-gd2Oorb~7CvsJ6#(Cb(Ht)7d&M0GgctP~;b-6iRB`TAu zT~n6xv<m)B?Fln~(fr+9GXB8M0%!C2Zu{b%Cgi@3_S`jB-htbD&k?o7OeYwWd;is} z|C)aM;OF!U{+b+ok0uy%*|kMDoZqe$yf5xu3e)?eeEd1GFTU3mMOxPBcrBly<9oeu zk^PZtmsqZ~YlR;EvOvqRZW-6J)@tkQIIU+&?C&efUvxb5s<`d`Wbf~f$9uR{CVb73 zmMa%Hapm;%mrM3`Y+LEc-{WO+Sa5bxcjM31v%|a1UB#{hu3NK&XLh1uU46hLLAgKT zA1*3*8W`=F6>!IFACncI$(zfbi%!=asC+f$XT{VRdaF~K4{df|GS!98Ku3g$cbjJD zv4<1NPNns%T`k=D+45Vj1J?zuC;K=y>!k#B&WW;X@M@G>$T{C7R&0~fm3pI2Pg#kn znwcx)n%eb_*9+Q9en@yMAZK@`#A@A?UQOX0$u4#eZq~6ph_f$v@xnzVX;WtYBt^az zJ0?YaOyrJPD1T5;(pqfCB=_grYIjbv-}WelLFe0faVe)?hmM@;e-(UWU31dwNx~Id z`BimP#GD?uJzKSq>G8fy9l<R2vj*iS?)<g6<F}|_qU}}JJ>~meS0CdI%|E^D(ahMz zL2=(cJ8L@3+LqP-&ijExR$%Rs!>)Vf=Ql9y{dL;*Ldc3dr{nfMd&9pi<`Vwq^<83f zUXpu5Q=hKQ-TLV)Y<a6E@G<XbuE;!99<M0mYooc$^t@Khp{>(PB)Rja&Uk*Guk78@ zNz=sMbAM}o^r(35Cgwju!MA;;bfmRiW7uM+KBNBSjaB}t5BL@)zIb~lF>~YF%V#QP zSEwC1IY&w_=H97HrB^e94NhDaFgY##?BAuCs{TJ1wv`;ut&>UZtW3P6S2tI8?ZPcS zNv&;9I&NK3PyF;jOKgv(v|!aP?ZwY#Or6Fbt~~48Rh9pzEV6G`9NnJq)Kj-~`MJIO zH&*iRjz3tjtRz?C{k^Y?d29~u-*WfJ?#0YAx>e3HUEyg`Wl}w&QTTe_+DM(pOSW(3 z$}fDoY>mFdvlFvhZm(Z_Ts6XS<3jH(rMx9a4ZbUDp837EitVED^us5(4YGE}y!D*4 zVV3Zh;-=51-|Vx`Kk(%Hz5kpEOqYa9Pp--~v~M_(zu~{$68Y4Kx6EFu6_O8(-6zg) zI4)nSAsKOx>4r|`rHRK9erRgQ^ldwA&26lk6hEW(l1oUQN5zlIYbDM9cDxRVJ{Gv_ zy?4u^Jxa%J{O;~74t$_~?X!PX^eR5d?WVVa!j9K*NW3`er(6HbRN+{ZAjd1`!*|V> zew=^$>GZ7LJF<JPPgE9T;};6OU;8SoV_9K9F_TTe!`mH3n@hjM=lu<g+_RuU;NXRd zoeqwi0#!ffJg`}!z%(I$-NCKlNuhIdSBEo(22KuJp_+JVchsxT%x-)2Dz`lktUdBi z-+tZ9Q;(jvxa^pE`u3wmp^~-p=DKcv?oh(A*#GompV&ol^Db<^`c}`%Zx#E^S}C8S z=l8r<{PM)%vxAMSyOrjYLk))%V&~kjls?F@?+(ALbIgZ`n&*r6@#S?ro7sBd!?StP zN<KT5=KMC(-xM#K+4Fi{OyW}J)f>gT@~hTNSD9{pfjj18`_b2XGBuoY@_!tCRusbe zYQ-{!_Zy$D-|K$ffpM3!+nm*IA7*7Tdl;qHBm~`v@3NTZ(=s(W<+{z%74vpETueTC zQLBTUCo2EXcm3&_nZ=?%CtmlJUc8^T=7-D{!5udL3*Wn?dR5I~-TR<m{p`PO#Wgz9 zt2eFw?k%{Xr2Mi~XKdJ7wVKCET=%LOEPoL%#dO7e(fc<WxjQBt&d6J<B>$9MKK^pV zW|MGrT`B8j*IHjX-kG>DqCz_8)`Xh1mkZ48yFanp?{Bx7H_czD+hU%PqSm~C#)Z9l z4@1|-$i;dI{y${q^4IIe*}ambe|b3zlPj7$cs_loyg2hP>mNOxDO0~Iq`bfP`V3S4 z!CxK1=j9g8zq<Js!_SX~(e_U5Z;!KE-_iOOmX$W6-&H<eL+irhij0Sermd@-|74pg z@IIM)@+8-V^&h+o9Hru~)|~X{&pO?ZBX`Pn!>b0{E1!&X+yB3s)~#H7CFYp#AF+?i zMOzQEn`YisKf2BH#l_RpRyDsb(Wsu!cyqR$P)&vW%*9daKmWIdB!&w;J+fVC`eV-G zx5<y13thYtcF$4i+;Z6cN>|i`Hl|%~m|Gvt*r|8vJNu?<yoHS~#a8MUt^2=w{`;V$ zE#j8*H2Hp?xv}Khb0Id@3vb!-EkuhB{9v?LZ*e*1Pn}P6t?-%s3)ug~d!M`*vUvAd zx4OXf6_ce4f4LiTF|V1$nUPerW_fA1hr@?$+g6_4IcwHw%O58sU%!*;VXatgFYsT2 z(}2(3TDv$?Hs9^q<2r|B?}BPf1360<w<Q_<KAZmdfebg_1EaO^k-KuXOf~+$rRX&y zvr+8A3F~KmNq_hBP(kjyi#LJ_{w5!Nu{r+s_X|Iq&z^0#yvp~E+Tw22gZc9gF>QTu z_HVmKz$|_7Z0>JMUtHMRCGsd#aqX7pTMjI1X|@oN%ekadS}7tY$ro?Gz^&_A?ZeEe zKba~u+NUMwUo`w=*zxl6YEh+zynW}-n^>>m`MXBK-m&eW$b%nNg+=Rs&b8BNo+p+Q z>t&q1y>5|>@-iLa{~xTYwQon9_+M~x?z&}jmn;5!dE+-*_9l+mX~GhP_U)UKdj5DY zELADw=lnPE;YrVmOor}56YS&`82tBMy>{nUga6C3L*^Wv8Cq~%GW%i0aql~}#uqf+ zPb*uw^Xz<04{njy^S?^@+nlVOzs=WJE>C3d{=Dg)1s-V^8{Fz1otEFwl<}4?S<f}A z{37oJmFz}Suj{4eDJvWzuI-qz_s3?v>!*${nWWapx>5hp65rGp#mRhp^0qC>eu*=) z-W<5O=<tQN)~YT0UppU5xPP-iJ%Zt#rAKN*+Ny=|T<+@67dotB`RO*T?8^kj9WN)a zDD5&|vrlSGd>LEm1&Q{vZ}zNje|_Nn{L+&b-#Zsie312K!ZZGNeBHs16!(0Q{SdnR z|5rz|saj#3W*1ZTaffpD#v83Ine^9V;h{gwJ={(i2OW7z1^K#5p7}UP%gWB*@@I!^ z-sU~WQ?$6%?>RsEr!(Uqi)wa5?#KJTjii+m_7^d$y;J+UxUl3|O=bAXN&YpTRWnY` zOZk*yn?Gft(p1l44wJ<28D5w8+2R{^wl|;6c=C5eiv7~6)#o2CzW&bm{Hnj(-fO%r z*d$ZIuPW}>#r#wJxkS~<Yo&$-n?qaPUpOpuxGMW+Q<B|t!R_m%ch4|!3G=Gj-Slup zARklcik(?EpT1FCV!O`9!ZdfT(yLzMn>PXquR5L!wBS+veB46FdU_}OS%Do7xz+fZ zde{yry_{Dz>*B}%(rX2@mi?@j+kdFkpY1iDP}(8(MGj00g*7&Hg-<Gup0r@%sg~xm zL8?0^uG_Kp-vt@F&Si_rj&n|5+FsGkbVGdOxr_u3b!+p+7AwKYE3UtD6;?HgJ1TH< zhkgIqra$~9X5oyM?r;58hk5bc@GAYGarBN+P`;|X^Y`Sk^&PjDoR}L}mi9VOqDo(~ z{neSSpDMyBGSNH>*V#WypP17;<F55<i;0Iit_S_A^pHKdVHu+s&(tHc=Wjj#-{SAz zN0~dkc18b`n>6q5Ct0~X6{e-X<de0%k1XU;Te-7p{n-PCvi55)2$kIDxb^RNg`JOW zk-Aa!jt>FJ8dFox@SneZqB}a^>o3LqlPs&cC-?|1{mW&}@|(GNnfu>6rT^+rd6qq7 z%xLCmo6F@Q*lQ8zCi>vhtKE_OGrE__o?AUVJW+4G;jgN{OtY80mQS4cy2js3&5@Bs z-oyKRrcVcB_zSk{snedC>G3-rR#cJwW3pp=fXj}Y!g;d4<Ie2v@#*)u%A@?n=*P>$ z`mJle2Cc6;{<2=iq(8h)%=MtnueR;~6qHV0S|?m^CrM@cv=jIJgKq`P7Dg;Ow@dNA zi0Pf5DvoUz_pLdu_fR8g)wTZ_I|{FS*?Fqrx6sdQhlz_8$@TnsQ@5*drVd|2ly~-) zRXM8<%B=sFCuDJz=fH!zA9kE>__ixLcWI*{lgxVaX@%K8KhJ*RFK{KIS*Blp|L4Aj z)(cPHCobD@{E1B8ksmdsVK4G0_cn7ManER3d2hbezDMuAYF}aseqXq=ueZB=xm1GF zB^&)9Kjs4$rfS#U=yRUDXHxW6kA`;HQ`;;;PFOec?*1NmVy*c{hR_Z+@ktX`A1`~$ z!gAa^(MP98`{)7gi|i{WAN2eGS*$XeJ84ea^}da~TFd+8TpJ5SBgAjz|6^w{{PWyC zZ|Ppa=#wHLQ)c?iNIM}ifn$H!_kUB>Z!eeq&k}l=>*3BvkHh)PwB{-vlKzpu@$$~) zr*E(IQahc*oSymgsDFZp<gDdKFJ`iuXm^!pxXDHpKi`tOV@><%Q>Xs9CQr1GoPTRR z=NfOV*#R7fpKk5#Q_lKgzQ1!zRBpS0_J*Fc2daw#W(a7d-3y)BA=t-t?eG07Tdx$U zWG}YkHc$I!(CynR70Yv%Axn9~k@t09=Rd8leADLOKYPW(<*Rx=eg7$;{YobJF>~`Q zEti-y?TfPoS<MXCwkA#Azs!2y9n+Fr?#oF*j>3r*3pwSQpJ@2}{M&wcJx72?cxFJ) zlg~bBX;a^>jPa6>KFG>YJ=azEK8u9O#*Mw__BC*SoVa;KZ0E1ET#s_2!}B(Ah3<P@ zv85|ABTTb)oj};T7}a&h+PB^^-@R(phV-dtCf=W_@09P>YdphiO>p)#J(-*4r|$X8 zaPx00G`n-8X~BaF<>GA_&l@+It`WSs(^b)M`stqB{I@%198jM#wLJ2(i3QV2#*((a zbppaZcJo@AV%chPK1@meA;mYF@!ZePZw0p>tY0_%fR;?%Y-e3@Wvj^PT27Prb-iar z_4;1cS3YL>c%JFv+zIz(L~|#bnHKrSIhao8Nr_gRtiEE!6;3saqAuopWv|sI{*Vca z%vM}!=Xq+w%rnn6`}V84on@b;o&2--p-Zd0rQ2)fZ9!Ag+_p>U&RnLVwD~O?r^O4M zJ?i2u3GaCdj{aGB;nho-zsg4QGS1x=x+*kt@&%p`2Rs*dHg~Q))+u(Z^UI0FWxsOI ztXUpe{-V0&ji)60##g>3ds}^!Z;FJhK2_4Wdh6|J*8d8`>$qF~Wa=;8AXJfF|M(?) zYVMY;dk!5HbA7TaH2K|;jYX|8ha1y$bM;UB`kN%KqjK3yv*wt0%FoL;MW*%ys)w!q zVwAY^pixn1T;pr+zXkCR<DUxe-ZRI(ZS@|ZY~#Bnoz1zDk;?lt*bGB#*j7AIQ@u9b z;KAdJeI;^NncRG13*RSgd}nt`y;Au4lJ-?ApWM+~d|KIt*KFy-e@iw_mr&mD+}m@S zZ?2^967!`+-;S*FY%c7-+kW%&+?720nB{+YJ^bM$dDp&#p-qOT{NLK;JKKUUELgoT z^Ehk7JNx%K-ws9cFY!y}+P(YVWk)7c{kc>2_=Q;id2{>NE1A$TzTNXz<g|bKeJuR- z@#_W(+e}T|b~Om*xx^}$=$xo3+AO@Ly*=mR;h5a1TNxw^9Hg$fU-wsEv%pkH{?2k< zrbUixPZ)htb|`Dv@ar1$9y9s*7H%6dsyj2~E<2cU8@al_n6@gr`IpF>cDMcQ?w#{* z)vjiGo$l!(GF5TC<$H@B<0*xXaZl=w`1rNNbzEOx;_n#Ak|1a;@^twn-AN{EzCZ2T znJ9IqM9SmxzuKoC!$Mwu3pkamX8N>dg89EGriG6tYAp`B@yY7YFQdAO#2fsN?>4q3 zD<@4^J@-oUZ=>pV8B5OoH?tMODlXl&6FvV>?eh!k&FmKix9EA9KYiQEyX+cgUX!7^ zjKEgw%1*6-_4OP~Zf6-p7fxXQc0GT8L4VK$XZ=1|bEUW`x5cHOO}Kw|@{t=_%`CfR zrq5w_W{>dUV|3zeHe`BrrF7@6JK^@4_nV6{9x7f_I`;df75{Fjw->WGqs#a%EPs9O zs&7~C^R=$`eXAxJg?jAQ)?8&NEO+<hmgZ}_o77a2CM+%}TH$J#?7nT+4hN>SYFF4i zKQe3Y<mt6H&9vuGJLOsQ&@^@(Z;;lteDVC7^_K3U8>G~O*I3xFcr6XlZvOo++}@aT z+UJ8|vAWEe(l5GN4U-aH-sX6J(c<~p3_cZu8?~9-VGEAgDz5X3ntdR)`uB!US0_bF znk;N}HB(-l$<Ll)UHzu>+{vXuOgz>rkK4?jsk&sI@qT-~R-QkW9VY4(B6;g4Z@s<D z<I-Ni*)u$YuXTU;kap>0_-7vrH|b-A`iD&}Tw8pI`=<C#osd^G7564pwBJ=ab7#f5 z9nE(h@=kqxd*jyJ#A5wREwVQbw1qu8RaO7Oc4dcEPxcO}=*&YO``)%|oq6~-_Q|d; zMm@ommm^r0*v@r1`aXIucV69e_6bcLOfwjr|5yDDTK0a=7qL}UW}BTh@oZSd@Vd(N zM9B$R)j0KyHY%AGYd&u3-<f=G#-7^WOy|22-Cj;E-EiWAWw)H%xfRVy#yj^$cr7_~ zi#bhv|7_N(?R~!@Ir<m2#8n4PS$0fk-lSEDaeTX^4@vJ^=gnMiS12&MoZE&^rzpM4 z&Tielh=*=dQ+O7zD&JK3+VW3dq>ia&zEm!EK|x8~P0M#IbHA&c*FBgTeBc9b56AE0 zJMI3>E_PUZL9Bk^lNm)(Hxie}BwbyjY<zgb>o50@XB@qEC{g@L&-7U;f*SXqc+SiH zpSsC4rl+2%;mjds2a}?S|7S8?xEEM!{yF4{=Y!9>r$p@<`Tn{b$=ECO%J$CAg@29B zJk<_uPtRZSeZqw-pE)uW@1{)FJ8;Weg=g;+of#X<=ja+;SSY(xp#4p8_tM-iHLrqg zY7$!+dH1<A&f|F+AGY&d+h1qVqO}U!HkMqD^*sOOt<jmOhD{w$>aKPQIjs;m&z)73 z-=t=kBG~YQZ^!Y6{2Tgh=hm1o$oy}5+`g&ueP!R@1zh{*&531yvdL#dYmr!^)Yqts z><6VYR?I6r&ClOqap>kICAaJjMWY>UG4q=`BUkD7E%6SH+px&FQlU70<+gu&UY=uq z^?1|#JL)BxtC_0|o~LzQ6MO5rGjeUz+@sBbI=gDrdnRT%tkm>8@Y<KXZdaRonq$DL z%WocKp7P%v=3w{A-^%h})?~kB!7p>a9oXAD;b+cS`+MDd8BH%vE_r_O#bveI$&+}T zc$rsxSl!6*vFPuVx5ghPs|4TRuL_+|&~o7Nl5LJpisw3qy-YVY=l`x!cK^q8W%1)z z3%z2T3U=SW7Pijq;dY&E(s9?%UD7;hEcs?m5X1HM`3~H*3lgeT3ZEM&opX7k6;t^q zAo=OVv!(UYAxk5C^rlx_S*;{w!h6^<#8A)6Uiw4U#kUP9>3Tc1-iYfzb-;Re-~BqJ z+C`G)DziNGUa*{a`j&5|RTn?+Bh~fF4a<Y<T&Cvlk+u!~lK;OoDml&QnwF;E`L2{n z7oY2TP5V-N;aPe7j)NXQFF&uSRF7{k-uSk8QMc&plwF_q-{-1XWuDj0aUtemao`=@ z=X)})_B{W)@sO|0lNZNVE9zXTJKMwfrMdQYYI^bk_Rq8A<0E))tYGRdy~LKl$jQf$ z{l$NZGv^gy*^SwYTAGeUh$M^3&S0B-{nwdoJGPx%y!q*oUqRMq4(?leSpTeye|W~G zht2PdH=K|Wx&PQr{Ep|hzRdPzmc6_Dd`o%MCq`ab^6|p0=igsGXulUI%_z*my!pxH zEM6;_53#}LS1_dfmg#bO*=hNeui`_0CV!LnN@;1ImD2@zAI!@96JDpk{cEG!ZC>4c z`L-i>b0m{iZ~k{u=31=Pohr{T?uj=h^G)t+{(MY&@$bLJzl{(5(%xcrRbX}Hy)*Jn zMus&Cx4)`Sn*2*>!o7z0Cvj(w&)E6N!r<~B_vzanom+8VaA)H`kt<?HM6$02&6>Nb zbLQ{TlbH;uy1q>^K1;L=_H|STz7)8d<*_!IcgfAIDuQyNaV^_*yYqEdZJng~UHTSJ z`tyg8=T+8Nr<m{KxX-lyy}4G<(yvm}T^QHpHk)!5dS&xu%jf*sCU))7toAjkjCHpr zdpu+c&=Ief^2{%ucT!s??6HB-1lNMq9_JPc7`&PIqWHn%J#{7BS6lynZL9a#T)21& zV{#&kn+S8qzEq!?TrRAQ?2*-OaZ4tpeF)k0l}-9nc*lbrv83b^7y1rG=v@m^{JK+1 zRrB!cn0AJL?9b93zSj(lcA0(pbeV?NpTdTFkLI}C3DND8cYA&Hg4(+@-wRHgBvU@L z=pXq}bx2Hk&%u?UkCYVqeZE?h8=qV;t1_nVCU<4q-Ku$y$~;nLY^mQQ^5e|3cT3-W zN)h{M|Nr))6VI3Eo@mMVF6!{=n$Y&04My`;ze;)hN^wrx!gIS7PYW7yIK^}=mRv5w zA;NmQA%!uyMDQ(_lg>#-?J~BW1^-^;zR_Ag?V!cFw{d;70yoUREJ~Rinie?YmG1wE zJU_Pw?RwKUb&i;CcHfO~v3YfCstiJExjZJlx~<!u`sa(?FZFU)?_&>ZWz$m1yEpz~ zV(R-Xa=dO&_m6ou(m!o(Pbn=u8Fskt;6^3kKZpCQXD6rd@9bF{R*}}WU_;@nWUf8Z zxsH2mwLP9x>L<!A*%UBOtp0dO%JR(hUTq>pX9EiPT_5%SK55{w<dvd)MbFbW=T9n4 zSpRgl0-uNcH@1!t$;Me;*2lm4$ZI@FmzJ2Bedwr0TFH^PJKX+yL3xk-_2cew^M2qu zHfPJ6W54;Qo&2FcUGAW+(6;;QpWj-)sOI&<e>njfIg<|lx_C?S=HDf6mue^-w&38{ zu&3_@*GDO#OE%kzj_z%(taJ@yo1qr^Q{1Qe(}Y|Z3)a<soY!_g*tnW`TFAd|%nb}t z?)O#)WEomc<eU>aQ}6Xn&81T<KUkHO9e%brIIY55(fy_9!*fw*5|=t@PPkunG3e_R z4PTy8@0-Hje&3eHdsi-H>`<3^)|=h?;CIRY%go`QlNvfY&K$ewwED@f&Cf(~ZH+@k zPH0?{Gx^8-RHer1<2B#CUYDnRku%zMy7KUYILA#voMJiW&gy)T*u1`iuQaAs@^nc~ zyWLD?_6*)RbyF0g@3^`9Tol}Ht=5wmBbv1$RN<0Pz)$<)gmdp#u3jE`*uK~%(EQK2 z$(-|}&3Cnbe}DdZ-Nc;_mUq63(YbqK!RuFL(kzG1Jz}r$KOxO|rL;S|_vQR~+E3@s z6PhNw;j*@Xxc@1)V>_NT=#<3GS^qw!IsAU3Qskk%JA&uVJ=(J4QPLh+*`=SaRUKYx zdfDsmE`dqORWYaQPE_hPMz&P0crhg;%~-mi?9bB~*TgqmOh^ngD-DQY=Pe7&wtw^U zTgWcKLe0y|1eBK6^85LJN%q(^xwP)D|AdAry&vy(y-hus<{XzMn~}Y*YvVJcfVkP! zRmZ&&O0)R=xQzT}?m5|cmo;CI?YQebyAtoJhE#=VzinQaSrkrusk6XXb$z<kk6Fcg z3f-DjVj|QzIXma4XBw<pdF#BJetctnrQ6)(+qJ>*Hu(|JQjf*LwSKf}b6awLvJJX* zB<A>xUC-PUXP?mEUfgihtu18IvqkDVR_6ZwKTGqyoRjQn(~S=gzg{{)^u*s6pN!*~ z9>`C6_h*;oRcqc}(I(cp!7^{G<Ci|``JAiO^LC%n$)APdfA8}(dYlY?&7xN9>Udvb z!rQA$%tM~3cH4dHJ=&T3aqV<frtGWVGOumEyz-U)(aL&}buoP5g^x?6RAsaipIoVY zki`^#ZKGrBzM>oQUUR=~IDh}O;ViZdZKp4H9X;xHeQ8^E(z=Ydmya(!diPS?N8K(J zl^QkUdj+$OoH)=RrVti;ODR3}g2>ZasbkF-8Y8~>RNXrpRdxDHMdIo!r>)sUoJATE zBzhjdwQ4-C<T@+t*{AT&pX@}k(=FDm$xPR}yX431`usz>qGpSoAM^aQzs)xFpUo*P zhKp}{JI_uvi+c5_IZJKttM%6{YfCgN^nbLwt^Rm}%iu)#T+=DBKj(2RVAhtX+0gNM z>i4rouU2MWtFC*uK}l3@nZx_(5nE4&uteIvkes{7Y1XDWH-2x<7nI^_ew?l{UpYZp zZ<~~H&69^>6SVd<c3RrAR(x@++qEYr<JEQ};XC$f%TFAZpBNWv!tq`Ea`(i-*QxLB zPOQ7u^~!N_2-~aqYbHhT=09ajw%U2uLt#cx%JY~iKfAEs)~pL&ec%r}_L`^Z*45Uu z$lp_K>yE{yuK#(OgY#3pKxOTb8iBbF87l;4&Ud$4vOnLWD<bz?T<Xea^Y)reOZ*)t z@=)({y;|(r#Zxu6xz12|A)xUw=bY54E0!DDYL~xN+Soc-PsjUeP*?0K%idE@MOWT_ z^h)qu!ILf9l$xe8_5ZlwVYcO%ps0GWSYJq-lSMec+U&eXtC^?y$*<yKU%lh$0ioI_ z=fh{7NazuCZ|KyWKlMBJW(V<rm1}}|`48=k+T*cr&L-RWT@Ak-iy~y0_J;imp3UMU zm$9~B&5yf(VxD`QKAyoNQF>W9y}L+c@3$aRyLHlv$CSTIPHx@&YEF3&JI^Gm6CXCX z9WZ&Ka%WA1-=^@X^S23Dc|R$&<?eYsHN=POPW?@PyB~{=&a#|0;e%<{rQ1JJwfHBc zFFqrjaXngI>XpXgl}rjhmKda7+GDtkJ;&4Ih|tfQiH7ZagUYKt7Upoi+&}O6bZMa* zZ+?iI-<dfvqm%3Kbf>#7SyLWW*&k`#|7&UWTeHVvO+iL-_js1)-l;OVcj>(1Ozx@e zE$<j!35di<YupT7nC<Gt=6c-N;!Jwhtx^-ka~scdTnXQqaH*1Gk6SKF%Hnfp+5`hH z?%Z>DN>jodBMrYdSM&6G1G0a<{OqL|zcy}{{<~y#@h3O-x^&GtakKLZpRn<VB+;sG zA&j4IghiEa+c&c!_T-<qYb%yb;JUJNQOxpy$9?AB*W31s{D|m!zo|&F^5gVl1zryA z9h>G>r(fLH!~Vs2=e^t+-!vMW<~+M$&hKKf!q@xlH2rFIpZkwmWzrr8X!ct_dK#@f z_x-%)hTCoz#j3PyK2HiM%L=ovT_zVcVaDz6+dOA`e^dP6#^RBEx%{YC)zLX?U+=0o zT6JS#Tx9*5qlp3QMQlF2IDS_5w?n$`o3^`$%RlU!=cBjw%5LT$rpULI=R1XzQ;T*c z*|aA<-D~*p*-lrBUFO}bS&^-B`;R1Y*WPiD-*f0n=y!I}c7^cUIyd~+{hIvi*_WR; zO&qqao)Q&$fIauco|>OY`<Lyn*P1iebdP<4W^Z{`T<gg{hizE(bnYHlnd!U8?@o`1 z*tBy_zjTC>iVClICkDN*oU43g=CAK-^!BA5f9hhav**KIb^+lD{lS(~MWWUg9J03P zdL%9r-!JOrpd!ZavZnuiVpYKLr!Dh@nYBOb$)CDD`|$HAFALt!c&Pch?TxZF!zJ!0 zo1=;)n>9?9@;<q+@lMW_-5(d5pXlyh;dn!%PVvBub46bQ9O~}*&ncX5<|FbgwrHnY zqLZEI&1t!7bE8kme%#Xizv{@-lskznlk_LsKUX_dDZ2U6$GNw;rpI5?f4DPtLd5rb z^UmoNAFE#GZ=f2*?Z3hIQjags)6a95iu6=3U240qIr`Dz>YtBaeXEWPFT7FYYbkMj z-QmQ>CHJR)a1lQe^rL0RYH=;Dj%C>qW@5S}@7}N99MpO7{Py1ZnYS{+0;}T|=(?1( z=ITf2<g0uax$$&bUQw1}ldXlbzNe7y>P>sP?XMj!n0nm%bhE9R`pvb!I^+dolMa6P zxp0^Nl%(xu83~(wPZ)fV30wa}fxqAOjn9Q0nF-rZy$s#uP*N*hlPlxlb?lIWk@Tvy zsR!5IdDLg$lk9luD39Z`yi~pn)3`$uuiR&Oz-E}(_4uImCZ+TT3v)!@mDSa)xoa$S ze74NO_X6|(BwkDu3BJL7TI9W%7sJMhAKo!dV6r%UZE;rfv-<9$?Hb%lmxGkGJ=?6A zSx*}9u;p(vmEe{x{TChe!}yot-mc?P@7g@-S9*Nue($uaefE}yl~0R>|LhPx878YH z$q`%R?C)yqpOiXrYoywl=<iZ;S1w)%{(k-4f|p+nJ()Wi-Wa*%xnA6{)bWCI$&sSS zy%u8cjE#<lzpo6rn7MB0cl}J!-0K-uKVMn;{C>Rm`OHK|5r37=OYNfjR|g3;E}eE| zr(3vG+`(maT6d-|d98l#ke%I|>-RS7Ix}_4-6@uTf2{m--Zg3i!-Ru1S}AUaxUDsJ zN$o0^RGz-$n25~Di&IlQtBl&tT|9o~t00d9m;OW{@0`z{Qmu^EpXW1K|D%VSZ)Qi? z`r0+U-C4T(4oA+ure>lpdqc2{Q_}SF8pCHd72C~zwx7N-X&=LnlApF~r0yQle5JZe z_u;%HqCf2Um%aWS`g8+R?Z00yzMq|;KL5{|WBb%rl|ANme=C-^bK9mZDpH&MzMe1Z z`P1hbs+Ur|uhHwA2zSbtHJP&UFMjA>o+$p({p<Xzw(Aclspi<sF+Vb&d-YZI+Z_d# z-tDt9+1a$3A9VjplF!}$Sm;H&lgpH8Z+ROEoO+kXzGPY%(-&YHo2wcurPE}el5xf6 zqucC~Jk=cn0&W>%c2zvTAASEDy!KgA%4>UvmB-#jzd9|N#d7vhDrfDEN81>F?(U12 zc=oWH>cfMQ9yZ&3i|Tk})DxYq2L8~A<?ehdxBl-f%dnSsnV-yB64EZb?DFQ9f%)gP zgn!5Mp6%Ru&6=&__&=|+Yred5v#HS7)0(f4WN0xfQKd!piSj<aCKi(vdy%cHG9E2U zHf6LbPw+1k-z;qWk#(<eYX?W+Yfd3a-BojcCffd8o$b9v^Nnmj$Lrp$J9QRb4Av-* zQFJtj+*8xsWp_f6|C5_>C-dplgY8{BkGIwxzj}2~Pi3)$`L7ibqRhRH-}TOGT-XwR z|Bz~Hx=zR4y;oO%tGNF6c$ACjmj_A*?%wgA`r=%7|Cv_(%^9!wie51O{iwD_Wm-w0 zT;cL7mu;uB?Rc}FEp1(!eb6$^%w2*r*xg(EUpZKv=a$}OS-9=)vh*C`?){Oy``q01 z4sDxZZZ^;UhunwL=F)pM*-a4A3KBf=LG=If1H9)KPWZ}n$BMt^+P(-+&KI%)+pB9% zWapeQJ@;BD<<9C-CBDD<JZvf(CNJ?`XTg`89<d-<<%054rc237bad`!{Ruu%_;^<D z0?yCvA5KWv?R)K<A$@DX{yKk_Tk9t=R<G20dqk5VY3@AMje?(+bJ-=i{Y<$3Uf;-{ z-@f{^#vIkzOKg?4ifFp1<qI47<c6x}t+<z-&2W|D1A}ACjw#K5m?s+jiTPD9D@|E- zL)G~hA47-lMSoxXI1qIH|5o--v6fXbfjhrVx@8r2KT^StE1LVupCE~pI`uBs_6-ZS z&#K&Pe_*+?`>vb?rz}ptw6IdhxOdlLnP1PMbao%M`wbIyc}&Bz(^vnGn4lWe<twb7 zxLe(Rjob3=4hvi~o(js`5b5|g>DQkXEj9};SQZ>T+PKjmJ(S_&{h0Y`hT8kyr}5PO zJJ-1Wh2h8YC)-<|W}Lp+b@xPG-=x`E{}>Hszu}TwD(vF9>tW-EJrmqK_B<$)TKQIC z(}F!hk9R)(xc<N9hTC11$MU!H)@gY(JzDs&S?Yj(*tNt<8%(D;)VwNn+<0E`zL9Qc zjPb!IRmT;1ck%BxJe6xye);J1kA8EeyDYx<_}aIxCs^;vTv0i*e!&wy?t@K<wvle$ zdo7o4e)VIo<J?$@dbRIC>Yq<$u6X@x!VcAwYjp*ue&&9dH8<ex!6b!`ljMIXY`V>K zIA)5$k5Bj3UZ{(`!@25xRl@m0H@t$fJ{{clW|egWU*fw0&%!-hITQ1Oc`lW5zTC!? z{NnQZH8F*6_GNyVo-FxWA$_^W;(Lo<%T1hg^5CKGi_K5G(wdy}Z1?)2$e0;{W)niU z`|s%3@;4^%OzW&3Hrok_=dKHXNqX1Y8Pa_u_LGOe{3Y_s{5n6KIvb+7HArEdVXDD| z)#m~#Hs7B7TPgVJNulV51?mju2Zg)J{I2d6=R14bHSOwQ;U}*%x6Jr`pGViEg5~zC z?ww8{?iv#6Q?nfUr?rV5srd10W%sHZwV4J>`d7O8^={p1J9QD4;r263db3?m$`~7L zKJ)5B(9Wj(56|A!+_gHDFlm7muT<td&BBL1W)fAeO4>cUl>cv$ys|#)6!*cmlPkqE zb8Ri0tSr1g{|R`K;aKe9IoY;ma&Mpb;(t?;uDBLgNuBOHDRO#GWU_%vm&Y5~+4o!K zKJt7Pc=v06^dFgZS65#wEY3-oI%j|0lI?*Ta~E-4Hb~BsIwq#DSATkW>bjNemux4# zaDKJ9)w96J<^6iG`5fD~yuKeeXWqH)%)M-{<~sb&zNfm-GU<Myjp($nLg6qTkEK^u z&Q1~uaoZnq(ab5CQ9yFvi~}3E(%2J1ieu|a8Z{JlzSF7v5%+EH%W3s{v#bs^=j91p z+Njj4T=Ki}$hVKL=4_dhbv;#Ad8_TU#S^a>A9%WPjm(O@#eQ%8IyzT=@3~x>@ioZq z%G8Jb8TXbgO-y_ge#Py}j?LlgV!xPX@>sk~-ddM?HuA<AJC>*gPt*Ati{$=BufM2K z+_6zde;?nTiF=F1yc9DII6f%f#C=~cZb|G_{cMk?2{HLIIG(08JFZGrG3z<TZtphp zeg^kh<&|?cEI83Aw)Q)ZOvbG9USD1;O^e>`tzpe|@Lc=KoPrOJU;WOF*&=<aVO59o z#@Q8q@BUc6H%(o<tZ3y|9s4kgtg~ru`~_#v-a3#qa}HnM)D>ot6JGHdr|zkhJS5+e zQg@nlZN-;Og(uT%rwfZ6@E3Du?ueRcvtE40oucj=eJ5sX-}~saF42?s50{)^mQ_K< zgQNWN^EH))5B~c7hM_=E^`QKwtegjLX0qA;JIzv)?)p=&#gF&oWhG~)%d<tVaJ$`@ zyE4zNF5tqR0E7CFw8)zaIlP|nUavoSp!NK$H4p#(m{zR2Brx#URJ)tGq6Q3QOntk= zrg^Hini=}vs9c&`UzF;jdY-vVe6Rau%RW`HPY>i>8MbqJ{M6uo@nGq~)7CeiIG$g8 z#fzuwmv(lvHOKPV8>M3cq{HmXvXUzoELq=M<(YAK9nT`?AZMFL8+Z;L*qWhwR9JlC zMX%83He$_7q~Fib(mZ_q((eC{+}^jS#?@Ri{ch~G;KsDdSEWinPrFD4n3b{5cHnH6 zVT!qO;?>e+KXPYYW397^5Bg;GNYnnCyxanv&n{`q>Jvk*@}yiZ{PyUQF>ik42Cl@M zW1KtN9tcZXo?w3D!FZN2^TvV<1KH!8FP1&;nzdJDZh7j~ly}a$@m7}~gqM_iZ<Lj2 z@sNvj<!<Vk^o#l3x%S|$_j8kzg)Y>m`%QA*@#x=e)}41MHZ7l~{^~EM#m|my&M!JA zPgPznp4akpo!)No%Qr=Ldb%Fo?f=$jSFUHq>o4lv7gyN*f4pa<6r*O!;sPeNYspDd zc}q_J7QD6OSv>b<zBRg2w&xffnPTP^HQ{dXNzS__V!o2Ujc=^6QZSxyKV!OzMY3GT zZ$7i7ncOc`Y~!=-YPQbG_}!wg=jhC5sSSJfl<ut%`+oT6%2l89l<hM<e-Rb`zp<(6 zixtOmmS;AXIc*kw_!A;<XG!B9y9Gxs8a>er;$(d~KgrT!rtS6IE9GW4!@|T;X3pPn z%{S%S#Pd3j=D9J5CC+}kc~@BAJQL5w1@&c?_f7_vFOlE;d4<Hbze_~L1$EPQ2+3&A zt*YF(+~<>$NQ`xgV7WMxsm>i~BX+CM<S!w=!hWAu+A{6Y^W+PvcIS8XzkFRZ>-|O@ z)dgQJ^RqfGNjo(4sK~J?M>@>ymrlvP<MP?{v7%|-m1@yX!p}pw)~?z9=X4+o*NK;V z_Dz2I{AjuD#RC%;uj>$J>AAP#C)dun8N$~Zb{@X2`&KT$u~qyRb4CTL@qx`Q8JWFz z1sNN5YHhZfCLFs=xNJj>_H9|lt%t?_@h<1R)6b(cxn5&+|L3dUR_xz=gF|1C@p2HK z&-)(7&kKI+(k{@?OHvchmFwe|e*Ef=$I{p9*)NIDU2cBnZr&WRy1g3$I19IP_P>nM zHdwkvQgD%C=~bz$Iytt+6Rok4m;5tbzBx@*aeV6;t-7Rf^&?pk=~DH}w^tlB?0!}~ zD=R8c($RglfJWNNbIMvb%#3E9-{QM5o}=sayp7BqUP&vKY?R3O*Y<VBLgfn#D}0}w zJ9+)GYUR3#-K|fqNnR|U<^1pe!#7(Lj!Zk>-<n#x*OK|@!GNd7+Z=AcwVP|rmSWeo zKw8s!V!oGJ<xA;fwW)1(i$1qM%DHm0$zQ41smt?_l4VV0z$}T-_<Pc><=1CFcZ`l? zO;BWxQt+(d=IlK6%$euO=Y%Cv#(L~0z3Tb6R7)0|z0KhM`oVIBB~P6HEnQaMeB+Sj znO|#SgkP;?b@+Z;M6mHufo;qb8B2k$P5YKd{M*FsYrWn`Mfs&Br}XiPLobd#&QAPN z`Sa#P2IcABJbq~LF7f;EH(~OzvY)^FtNDx%r!3Td@b$+$mxzUz5{kl1<}Z8Glo@wx z+B}tGZ2#tcS+phWTZoCr5xzrrW1qV>T_|ldduq18Q^9ffm%DrORFA$4^`CrtlJ2iB ziISUE-fLyrYq7Hbcc$F@OeXJtbMw5s?xy(s<(Vg@Goem_H!Zv8kIYdMq5Q&GOaB~o zHWBUMQM%6@m%M1}Glla%LZ6gLb-(`eGGkTF*1dVMO6z@}{@K{*9QR^d=}ylWxl4^k zKenFFv$UPRg@JL+MIQCzl1kh6HtEc}-I-i|XaD0FdA;|dH1?aUy}f;<_>B+UEj8sV zsR~UC{<Wlgr>}o?VgHKD!rd$@HPe1@e}1XVq4}|0UoO5X<9n#Q@!qvYl8tLai~mpf zQW{cYBK4(CAfZ%pGh67}sR|q-w+~y~$xF|-i@Rd&F7Qp$_vhZS^EuZ(^*t+XGp;}V zIfE-rmz#TLb;oS>OZz9p&g<g}X1ZQ*wCJij@4+>;7Z$p(?p|KW?4#nFH?!QRar!}H zjrXQ3jb#}-m2+LLANf!uUZrX>yZM51=7fbI4{E-5IpwM>z5ma0$FuKYkp(R22F{$x z+!rO|_#I20zFSg!Om5DD&mQM?-b#FWrbeJxGo#E&=zs(tZ`b^l#x74B?v&j<$Hu+! zV%?OY4;z0s{{2vW_JHq(PR8;*Rc{{aEKblnSFrrPkb=oYp})2}uAV&LH<3r&@59C& z)<SXj=6$<S&wo&idvim&xPis8{ky*}*);J=Rm~=4{hh)OiZ8w1I3e|Ave#>7|1Oo9 zqlra(lGWZ{C|)zM|M#pr8|KZPF0q%(jBCx>^KJ@l4i%icCiw^5QBK${vtpOaS%->W zPmPTI^5!1i+%0t_MXRLeGb6+5cU{4;smre%f6uzZ!>yQqL$dbC551;a&+&dv{qWnb zWQ)8)Q4vQ*Qio)IND^1H^Svtd)Xi_MxQ9MWe{{=WuId)qX9p(9WmI@>IkvAfLGDvu zcXMz@)zbOztWPSttg}<yZPu}5d!p2|k_lC-w0$1*8(HkmC_JNG+P$l~m{Y#>$=4mz zQ>A13x87X%bh=WlVS?~f-A>cvUuNr6+tp^xzw@z7jQio*6Zg*eZCYsIQ&(|(es6@R zVV;-{Yp<2v(}<w*us1VS?iX5Q@?uI=y1Q6Nvw-^9NfJBiE*=n?CTwcBH$>%+uV#12 z*>6c7<jUu%>Lt&4WTO08y2dr@!MmQU{=+8I_%%Y3_h_?hYK%V8xp-OO!55YN)1_`p zS{Q^?sQ!-FWf}XY<hA7-$3x5)_w0PfAoqsF^-FxKPfOc_?xx-Ay#8HT^gs8}q<dR9 zq}O-`&5Kv--Oqbv&FR{x_nrpb63lxqG^DYGDeiI?*{*!{z&GwoNxW@K6at%d)(Zq5 z_TOl^*Q6~d_wedSP4DZ*Wp{aIKCTE}9B}LVX5UG_i^9)k$clPoTWpZMF6jQ^(ZAa% zq0^^ZIIo<s&gby8Q}10*E3Q3ul<$c2alVJUGhVNM|EBuGq66y5f6cih&Z{YF-cGD! z|CRONMAAnFfvmL03or4APj`{yVwx6jq?Y<B?(Vhet-X)+GPbFts1*JaNxn17IO)>T zI^L!CVq*6E{1iE5ojmiqpUcfF+;*v-7u4Ao_0#O~=ABx3`B(M+{VDq8?lfhdZ^#FB zHHE30)~id4oLDLI&YEfR;`59e(yT>O<R^TPw>(o=J9lGp;U_n%+n?OnJ7RLJ=Qn@K zH1+khl4mMsoy_Z1YS9;dT8pLQ#$-N;P9bI4H~g!0=Pi2Dm8RjpLit{tUoDry7M_o> zd;dI?xoiDbUNT?k)NRZD!Uy}dTK;6onqsMypqEm9^j6%@>NMvAv(&Fj9KJvAKq!;y z35SS)o?XjppLA6H_WV(BYT=Kx{1#=SoPZmG&%Wj>&-n7#-~sQBi2oc7-AmMr0%A<J zJu2K?U$n+Ma!*=HiynVc=Z*cxYBu@2(K0!A-|VKnZ)fE9H&t&fTB8o-M;>TTFq7y0 zY<}=pMNeK~gzEjD&fy06#v$Qu$!AttRkEI|Uudni_l0An^mJ=GpXSbOQX39#Osale zWN$k&r?5goNO9|Fow#X7)(7TaQTF~b?bw+vk$dgTD!)W8MPD{oC<#|QTOhCd(#`hc zjneOGZK-!3%&=GMX$p`y#mw}cW$)$vN##412Cm^*+M99wO{83Bo$29+FHQ$?EUb)W zV5zUtyIGo+l<7Y2OuWvjmk&17<gB>&Z^gFih_fwIo>lE!$Q1h|@x<~4_SgT`2qynv z+4{`h*HGJA(e%{%mER9!%*fpQ{!CVTZ&b#6Cn4W$G3(AoV7V;aEZM@~((3n>5xcV< zd2;?Z$-S<>A&uirgp$pVc{e|_I!YA_u!RMkT;Hxe)3oLwpX(Psj}?38o&GUl#|0O2 z@5`F{3mHR$KK&O^Gd3wbIjb)zf9jSxuaKzi+q%7H)owSQu6m92FVA!*(a1*&{}(w& z>Z<Q)Tl|T~$Y|bniAcka#WEKv<y?$~T|91^?K8;#I&qDPc;r#GqMt4WXI4g49yPG` zl_>u<ea^iUnbKu{4~jHZPP+c{?GKw9eJA-m`l?#kFYxqm6#m)zJ(5GG_te5k>G#=E z4Rsy_8eDlfW%2C&rMV|}#LWJt`s1-6&o1G;hj#7m>N&z%vh3BPnUMzTQyw&ZJnh0U z!|;1Vgrx}2*(+7f50bSt85Qq7PCD`Wb9Sifl-$NStcI?6haTIe)GA!PeL;Gm!31O9 z)XE&&8;3algv?)k?c%iCtXyu#vR<4~Q@(of)T^uA97=l&1v(BdU!w80X2K6=?NiSa zN*BD7{B|(KyZ=||v;0G1r)1{56kD?G=QFL?S$Ci6hzn_OE{NplVYj|r-+jfy{`Hk* z`aWr0*2YR-lTseP)2s^9H8`HaT)I+9s=+b-adOx+xhNsl3lWzRmH2zl&hTLkxw$%1 zSVFGzXzEg-oG#bu>L)S$7U8EJ&*Knd`Ws^V$#&-VOIuVew!LJp=bRVjDV`8!^Edl; zg=kXf`=ro>d1jYY*cYaGu9#K4IP$xXo6{N5H*aqw7e4qqIfilGg-A6i>(1N;r6)1- zv^ISDuO67jsg;@T7?o!5_}Bu^%~~sjZ`8A$mfOkqZ`!pjv6?|IryMm2?l3THy)JKQ zy7j}|FCW&%<TP%yZ!FQ@bLjEEtj9cct3PCwOSRTcU(|1K-lsV5wR(@qt-Q>ick0)< z-Ti#_q~+(Mlc&XnO^XZ)n5f@<HkkQ^JMW5Yzu%8$miny<yLpPYPW`yd<DD0^P2M*D zxSr=1CVAPdB*bZMVr$ZoPE8%=^OwJ+8=q>~8}4{~Mf%kB#w85w4nOvPUG4HI)c<GD zZx?m--jhB`GhNIW^V?^gu&R4FchPY(>5A{(1qo}nX0md7)NUw0kslez7<z5j^~2vP zI`tkp?wI}Uy2Af&IeA7+zt0@jZOpxCJzuNrm_pFZH}5vRG=KEK=t^7VJFh9trd!<` zu553fS!NqiZ^g=Aa#!R^Ns@#7|D``z+mb?J=a~lUPHgB7KEAf4{lDa-@1J_|wgvl1 zZCcFQerM7|)@+f4;?;NT!cGRRTAKK>)lE+4U7z9fh1tEoGVU2Y^lLF+DbRfGNYk#m zPv$?Ds-0QBuj!cJ3fGnX7FRDdq^AqloSWF=$k8Uf_T95bZ@i+;9iM$*hid+|Yx~wI zF+J*@e?M&gA+s~fy*xYqSKX9~KD_1m-IMnuUfu~iUb*e2)%DLFbE>iyI@-0U?zv|r z*8Khb<k0_&7b=y$O=^F|le#Y85#v0Dd0)LF`D2dqx1EW;V#WL|HZISbA?R$xq_^)< zwYcwIQceCUw3;z|8RPYzFV`s9a&67CT(>4HAlBrW&yuCz?2k&D_P+aC_3zjA^H;Oy zoyz)f^Mt5M&(q2UrjpyQ=RGipd$-r^K*XC#J<<ZAH%^pat>wAUuvpaOP}-%x{(i~| zIj=1)nOEd})7g-gy|rAG>B!uh$G=x87~Xlj<(*f-x%WltiyTy=G<A4WgjXu`Uz9yG zP2o@EN$cd<dh`F+K3~h7;=#S*qUIkB@wy`x=QYgvgtD?!o^0{f^^$+poAO9j$3p#I zXSv>vg8tNVYuSWmZkx2ILVS*prrC^hoA-3NyUiBZ&2hKn&cdBXJl(#(s*5)Jou*#T zEwN6sG|gMSPf+Vy>AXVWatY6+{8Oga^<F=+wua@wW2sq8Qq!h|$#1C1a$|UB6S#i; z=Vzv_A3mE$t>*}@zq0e6QBq&v-3z<BHzr<{>`H&Hf8}*(TFIul=NYpcD~^AexT?x< zAOG~sa|XLkR4ZTQS-w*DN=)6dGs^|m9S?E~h*e+H`{>`VXQ3KT78nKn(Q(y%$Nuwp zSM95_>9-#VZVC<KyMA&}T-1q=FLzEgVXW$kW>1QYj}Vu>uu?_Nad*=1$-no#6kByv zZP6l|14-s}&2zTIeYH8SIZd~}afA6&RgQ{Feg1n*cUt6|3-9f^>)x>A(rMN>doIaK zhWW1_U*cl<R_tQd?bZ8tZ_%VL>k=nhJ#u*1^{1i4M@;L^&+|@=bB&Vh=iN`9owV+> zw#g3t5EX`}e%$VUZ?<-|F1=+RtC@XO+TrQ@#T=_IavW%lFS=OS>$xSsVd*0-sds*{ z&x@Szu*qz2{!^_Qll!VU;PZ4Pxr&p2B>yPB;J<Rzjw3!L$iC-ZeMiQYe?bO%e71i7 zC6-P*bVYo}rE={++xh<18##J5R=xWrJLSJ_gzAT;7l%Ds@|><2gwH?u>f!x|Y@!0* z^U~z!&*r?awJ=7w^^S$p;>pY^4RanYopWhJ)B8&iYmeK9l?w2_F5OuqJ;5fA!%9d} z#x|Cz)KkvKEOxoW2SfSy!4c*4tsC!mEfr^faz>KbU_sF0bne2IH(`G!T5LXlX|lb> zURI@VPamp&nYYharPFizjw_<#wr})K#N6rmKCNqlp@i_)=1z;t%k<~HI;;9|fySo2 zcb83cdB_tb!@t#VzV1QWoxMlau&U~x?P!pUa>#%1dL2*x#EF*Pr$4;*R%K|oZSZaC z`X4{+T3gP&ese-{uY}d)8}q_?GyU!c9@<yzXeQ#h{#b^hV)`*<hP;W%dqNBDrfp}* zRa^9)dC|Ln{6!~~o^MRs)LWaGe^AwTg2=Q>Wg$K#TPk9$K3Vr2{t>qBq^$Y$H^z?5 zk9Y98xca5NN;^79e_<5s`KGvIudbDa%zQ2VvyI)rx>I7c#Q(?7Y^JkJ?$vniCZwUp zkvmc4DSKh=;^RV^MpB2%?pld_=4v|nX7#Vdfy<})#R`2r871sgyzqrhzTR?a=WS*B zF1@)ma=emjPn|q7wPV`1k7XLsb<#!~qSqf4NywS&F<YT7plQ#QeMy(ue_Z^X{G>3f zLw)B}E4yDm=Wjb7ly>{6{p#y~ee<?4?Np5OVd4EF^7>zt=*)9hXM8vqy6MlotCK!n zn)7<CcsuXh$M?g|E!q+9@zb;PSg^s?H3DnY)3_GMuGI>;8PId5>rJABd(*>R(R1!7 zTnVagix11wS7Rx9dqlP;{zYBLEb%?{cl*2Axl~eq?=1eX(Eh@&^V_V$jhvm_R7z~S zEM#*ZC5mXD%$U(#eR}nK-JmDkCxqwjpR9jlU(H`eE`{F)^Lby-6FFuozHI5jg}oZ# zf}0w%3%6bTaCl~X@_pIbnQi+RQ-ha#s4y((`Z2T1aJtlKyN!GiHw$cBCx3O@HN!mZ zs*lSjqZzCIXxg8d!~Xd7=BZa+dtaGZG4IS7?q-#F>zAtvefY{a^>psh+LzDLbrg@r zZU6k#ow1fvhV%c4<}Y_XE@m=V`qlE)tft-lD^E$?eR_6x@Ri0G_Sda@XYZRcdB#-f z?Yv<z47KU&VwZnkdm`q0+K*uK8EWl<?B6DzO;|nU>yyPty3;3%KY6cYy`shCd({h* z4}7w|4j;aS2<-GTUcr#4o?0>8@tvZFS+&TPJBq75n7rvV;%{Ygc)jPiNzeDyGgbX7 z4=5$h`>;nd@q)vmDUN3^tvmCPb@I;sE2SZgM-I(c?Qvb{dhxR@f#Hf;*KL-$xbb~i z{Z8@VKkkeFqpHomyC0l4>B@56NxoZlfB)lYmD#sI<dKtX>F))zBi0_{eX-|`*k*GD z&N+^^xV!#Md+Xx$RA@uf?n=hGpp)v`4>4~3ZV<nvV?CR)Q~Sh_fMff!`OJkqwsRj{ zH+}A1^>cE;dt#j(wp!SUZ#<H?`cu7z$&}M_GrqlAe59tY^yBok?<_?c=Dv&AxB7$D z_6K7AuP*a0^?0{>g#d$`nq<?{?Mw8R+$+_5EcW)*-Pzx!-ah=}SyyNH8@Xzq)g9lD zo^HJtu;ly#y}1tII!_;+-=7rxf#utqMD~`u^R8Z=b#k@aDN8LW%UUIlw@o|th;+I< z{~Pf4$n47|Qbt~hVRLn)b5mW{aP4*6_hz5&L-nT%mj1Qy_Uit8LP9%TZ};x-Ht!0D zjdSgV5B+?w>4@*zmquR-za&56jIW!*?G=6P;WmaT@6JdcbdhQbj(hiTSK!A6&bT{= zU4P2l?Yi{(mebj%k!8QX>^~{FC+f0iY^vJ<ovoijB8oS9p6k6M)s)De7&M<Hmi0pn zN4rz9z*B~2-y|Q3l*-k`pYsTO5%hDjdeq08o?0f`IF@`@VPS|$%vi6$IQs}cCwt<N z{ENS0e@1sabh1#j$Se^1sQc^&+l_0>9zWZ+<KT{oCpK1zoD2TJ=>NY%x1zY}l^&z) zYnDyc8}r`Yd8jC7%i`vxygJ;mi~BLRgmZGCuJ<~pj~g!3zndN`ZSnBj`WJoM>^A-V z^>%LFcbBQx4{e#RZJb>Hibe79hkUL5n?GIIcm4k2mE8x<e0Aq*SsO6(()F!B{_%Rf z?Z39+R1Vt{p{(qUZ~oPMJ^P5~lG&aLPRn&SOiVwYyrJ&0f8I5zAK{fryIVN!oIHK3 zOVRQA=Q9UtY?~sAn?vduYTim;U;E(I#Jjr^rY&Tfv3XjuHap*UBPW)uK${oG?Xs2l zUa?PZ``g6yKl|4-qdqnx`}T~bmKH45O^v-1x4qzaU)IyKl=H=1lTVLcJz5%>ua~Db z{f(=<;>#C{Z#D#(Rpo@3-f2+2n>t-h>w9Q&<h8w#&JJgP9Z6rr?$;@IqU3?kWov2w z+FthOflbnr=Q_mMMB9XZQd*QWOTE%4bGE=E{|^OzZToxfTw36~Fs1W)hEtJL(4iTQ z2`^sfpWAz|t7ht<Z@ksXJhSe$b5~5-;HUTPeurO{hhCosmv307RcpWX4uc<;ZY*wC zuHPKX^j7?sRArm^YwxV=+2$E)rh!(+CM;&#^3~j5o9mXDR}}YiDQ>Q}Y&B0GcTY-u zxpha&IuEl<71Jl8Mhji*qqNgBf6Q_bd>f=DQjp)YccaLrpEr+ony^N2OjqXm(xkiX z(`yy6o))n__wO4xe}5@eZ4<h2;p`OE{Wms;%BiWbHvc<V_RN1@+~rqMe99r4Up$)L zvHp2gRYVixt}0KxzU1X!XLff@l~eq(uZ%S=qER7rd#Fx+=&SSjN2mI}6yj-ZU#WNH zX7#MVrH3{co-^rvxly=gTd!I41J2ONdix@u_FWP;DU|;`>rO~o&WR=orjO;5K2BS$ zt@}JNsjGd8WSH`m-sP5WZ~V;AdbQ~I^ts#C+%P$v-x#}8I8^S%-pwKMBFaA!zTKSk z%H~Paj{h~69QG+bG%}bZeJ%8M{aznY(eo8)uEw{I2Jm?6<Xrh(tl=*GKy$^i>yp*# zkp{(rbw&?f8mg*%@j2U~D4p|i;+^w~dbU$y!aUM5n^!lVcQBKfbx8cs`XxuAzMm46 zIAXfFiD#$JT9@1<i*8?On6vyZ-(K#BQXcC={dYrTx1YOKVBB`Uu-9-}_N=1%4=XqA z_mK_@d|AEflYgMR{Pdco0yf`ImmKxG`dXw;x$)>ti))t+F3T`P`fcsAKkv=CI)LkB zp~G)y!TnxWK2Er&#L=7ZsH?W=fs+^O_ZauHd=(qZQtBr!6uF`6pR2UbedUBr^FDt* zwkPc-+shMSsSMI3GMj`KU&?(wEq;sb!H@ecxT>$Z-7ImooBN%>scFBn;{F`duZ!-F zh}c(qLuZ$M&-(ipkMyOU^Ve6qJ!5fUo_5#y@1OH~|86N%QYblia=V?^ym`#O-)cXV ztm2v{7a|-xDfh!Xg&D8zNcBAt;5Xoyy|B6H%g^t#*LqC2bD^C_nJLdMcR|>JwOJcK zPF&^8yyVq%<0agurtNP^wG(8NmkIN2=FiM(5VG06S?v2Zg=0GY2M-8O5$wFY=1pt1 z!|M%YSMEQkZ-07=Ys1{Pm)z#t&zo{5<a}7~onUFb=10<7PHYggU{hLJ|I_Es$#3e( zwiQo1{^soNG~hb)GAOKDK!cC><DKh^SYBkZ7o~CN9f;VU$Ft0cYu^9&=O=bwG(GbB z{+lN&e#~!I%=>>QX4b6EA0f=HYJ%H}rfFRXNpWks+91l*Wqi!`dqJ<cyZKzXxQa~0 zCW~2SeR^7Hdcn4$W&WFz??q^pJ)dGI>CJwc<B0~V<Uiw`Iw9-cGlm|Du3z0g^@H$Q z8B6hZ2NL(+vHNbk^PYs&Nnf7Sm+?vq9x*fB4fOh(t7(5{U7DG1%Z5qc{Uc=s;?HQc zGnIc)vb%g<$0NaOrt_wVN3#!2{%`v3!VUlBn;7M?lYF{z)^UHE6zZdR+sS#-7cL{| zKkL3pH~f$JoXl_E7jX2AWy==L2fG=iQd4fa*x0b0IFQ2AuzGvu(v*dp^&d1ApAJ)B zQ<J{!^{!h_)g!9f?#gd9zaJXqyyn-2uW8Zs65nF21L`j9e6=^_5$EUI5|d7cFR%?M z)sM?vwIuZSrZC;^+p_~c2Nv<KsmtB5Xxo}y3LJJ<?j5+cFC)yz>BXO8)y`)7C)aKW z@p-#EXWzr_>L(XAFWB<a-gPmThJb~&#ZQ-3nXm`Jd?!tK8J4}0zEE)>?W9V%fZ4$- zM}KIWNAhKyDwSWlQgv73cF~+kiHj!AEIOR@OxkQ-vY!IChu5VAvDtGzz0ql{+_U#q z<NKrD^66|xOm+mz92Kl!eN(p9U8$0_d6{UZ%|1cP1AoK5N$A<L<%)Q6dWx3L-ueCi z+ry1^lV(XB_0w2VQS^AyKb3#+@>4hK-E#{v@IPLBvG8Pe#o6;M$~p(<Z@Lw@>CWa= zJr416H!DndVD4qI+`MI)PyVL${~1oqY&dN2Ts^2O%h)b)L(F>7Ad~jT(wzOeNgE8f zJ^C!;*0E{_-rB$A*w>J!W%|A!o;WU45@ZNJbFcjSsaqEP8ZWj!D4pZS9X27S?dYz_ zUiD_(mjhI4ym_DB{8GV`bnSK3#x)`{o><RVeOoz6Tg*09FYfOVw}$Bx|9yQKz$Eus zUqyX}SKh3o*hd{X7x_Q%=|r2)f3W#|&8h2B7fd3#L>|V5O7E5DklwFU%c!1p=>4^R z2SwF0Az7A}jJ`+lb4tEE7-}8h={57}p&7N6eZPXHWqt0QtCw`;)!tW1ZIKd2RhdGz znsPeNzS?r%>A1hF+SIbS<9K0#%M0eM=L$E5d>7(f7&%!))61{C;$I5egS@T>uiIX{ z{>FYQ#q=GE%K`2Q3VJR16Fx0#xqYnX-OAMh?*$HA@#vhQ_~WvTg)f7M@VCyezr{1x z%g?W=5lz}HRPyxX!(W%)Gq=pWkmZ%r{qL3fo|)U)gir6&dHDFWPI+dF_5W2{<U+2c z@pYZq<#21tvH8zjCc4gc7rs%k<iM1N&NbH#`neQk#nh&0Hv0BmFyL#6%cwl9Akk{S z-e-G<kj=swDok;{)^78YZwS{F33u#n7fJF_Ex7t=g@^i)$tMC%A9EAcv|VsKg74gH zpE;{0wan-J{ccaj!qpZ>4Q{@i%gndB_1b1#mAew&jOm(M<|nS0n`*`V^_#7t?ep~U zo}jR)4L|LCHg&J^JG-m*Ym2<%`I8F`Tc*{gu5?bG^zms{^i2P5&22JP$GtY^J`i~C z%yIUE(6w*R7JuatPtr`e>RzFcbYYgj!xoN<R&H+#;x}ioy<jrEb^d~70N3IJIy;#6 z_|DwG{ostMGOP7|t%5LSf%{wB4pf<C$lN`)``h;0Zc$TKKFOZ^w5g1}bp6%7fMtKJ z%eS4=y!@t8>fxp?hL+ps3)o*|-VOC}N~z>cQR{7GT2*Je>9t>ycA<pT-_YBS^q#%^ zCusX@{kfJ?$vfX|;h9mkH-Cq@LCI&UlI%C4hu!k6wof(_s_iRZc3F4bqm-jI6JneG zw&tcM-h3<+y6U3#vn8dB+cS9H1x;3XT3xm@F?xcE<zM;MO=iVij){HArAIi||7ZX9 zuKuj*hV`@Neae~MbjjlG&c?{J`JbQjg|{~pb<BAytG&|RNby7aqm+%V%3_J-2i~^l z9~8XysV7yUEB=G}y_34<8J5~I|J~-UwBx=+(>d0SD(@Z6*+q1VO7_>x3*YbQ;kUtb zYsO58HDcn*jR`+*PF<kDpeg3xa=b%%GPAbw?zenu5`W&U{OS2JZPkt&d=f=gV)_-` z$;|2v6W&}%d{eafM7O-55kv5TWtsNNUu|dQh}oCg=KM$g<LYf)ayJ%w9Sh%CQgT)3 z5C5+jeG`*S1@{Wijp9Em_~h`b`TB|9)`-*}inf~h$J^k+yig71#3Hj<e7BV<mrn?E z7BTvA|JH<W6Dn`tT-dKEZ`1ho@!z5((dR-%KM&b=@mv03-2Qt*guv#;&C=evQ#bEo z)3OZ9vrm)GTH%ttKk&!Byxn5k9`4(7Na>*2sbcZ(cIsu!60zz0%S**Q=Qy-9L<gT% zbk~=RYgts>HZdZVjrsk-KWza{Z8PpN)*gzn?OlE*Vk>La|9_9}^7ItuTnRmXV*BYi z;x(&ZB&3(!__A_uJpVoGDXZ7q=#{nMJHPVkBL>DV?^zQRk4`jVaPE3~=*gs@$vhdK zcAM~?KlP-3+T%A%UR(;=b0x6nmhN@MSly2X1<CVn+*?`4al9>|I#g8osoh0)2i<&2 zy@t7NhTc4v|K%GgcV_5I^yp34X=H0{?7wi{WI>flHEtds(<blj|Ma(A<w|^Ne2J-* z_}(wZ&M(}wEV`fl$=cI>)8te}!<pSTlf9mMdYDahF#XcU>AK-1!=L06k>`H?o$GG% zP4kjFhwM&QwVNAHZOjdOR<j_ioOAu^Qzsg3-!OZ_BK}C~O*%^+dqD50mI=xETfN_> zrm70KUFPUK`X+t(HpLaGe2d(k|Mpt&x7xk-<waf2s)u14gW8wA+;HUf^~ra|5|}bS z7^H2QvUXR&^LwW+)@x3j-*fGR)Wpx{--#JLj#<Bzd%dT#U*t^32fq?#UtH<=_V2a$ z;-ynP?(DFhHr?QnTWPbn2+OAIS99jcpW-$C+rb=QRDb2G+Zpv+<ySq-jJ76tOZ=|? zu-#~%{mYW6_OmsNg2V6K(zc7u<^E8<)&Jk|3H!@z*Rl6<9=*d<s#Uz`zfO6{$z@#J zJ<-p0-K{VS=l?DdzWLp+KM9}v-)=c`P>1!#n>Bm0?mnI{KR0C0K>@A>S`}qxH}0Je zuF?N&Q8St6TzQ`s%fjDNIqWS8>$XPPY+~e2*naet{Jh51(;Zx-#6@nDOR?lP7*0B3 z#A~xgB|?9h-Hk7)rxc#AnQW(-;dcB8<7W}Iu#o3od*z-!I;he-;ofY%y=yM>zWyol za$(jb8%Yb!e|#G+o_rB_@`AGKw<bZkl?G3@&4^mMbB<t#{HMV1tA!4q+qb)TJE<$K z{;2r+ulTa8rN`1`_0C%Ck>-A6UsPK0T)CxxRq0G^m3#j}_kWa}Y^~e9!*qgxs+Rm! zMZwL?Qcug~h<cYyjz3Yf-AYufl=Vt#o<_ss8xx9jrZjj?Uj2L3&)M6aq+fexulJ<? z`d9ALySiI9NH*R5^|k23glE>mPlDn^!;XipwiQ3tWOG8nVv0~er@>@_lr?b-yNr@g z=E|fuUFbD+)y(l{t^Lqg`q6r(ZqPQRscZJ}Uas1_Xvf#pL35KdJ9a4?>F3J(^scs8 ze<km?WjPFdit8LUhRgMw`y@OwHD~|K+AT~fZME&ujI+x>CDd<?wS2qw*wPzImY=w} zzEOSkYmx0gyNVQ-)fDKMt*?1{tFB0%bLEaMw!?udb7ib%9W*)=a(ww@XWj$9m6?u< zNJ_Ft_Mg!ES8R96gX_b-o$F?suIYYR9}p(c$Hnu8N0iSyv)N5m%uIe-Tg&Z9hszFp z&tG6-J|)y+FAEcMY40ulhM&_8WE<8QIIp^XX9~yJxi^0IA1iDNR8(E~{?swHP0Mz8 z{CO<c>%HlA>$TY?^>OM=Ctiim_nLD0#VOmPHtQayPrScg>6%mTlQWDzKFbR$cWpZz zb2MbHOx|;W@=bFSJMBY19S~N_^LsOQdVa{++uJs@yBe{WyhwA;eIs#aimpV0-{p$E z=C;h!0+}{%IT&pdy0G<UkDG!2m2kN;g5Ox$l_C#3T$z4;zQECw!frd|Zu`0PzL<4F z>Ko$@`?&i{KYPw{751tPG_cO%6f@uak;ijpq4UcHbGZZ-JdxaVPV=UIXr#4wfb6OM zXrc8<p{E^e4+aQ}1#NbaK62#$#`X8M=-r(xu2y~GwfcJl-Xx1pWjcEu6rUY&+~3y} z#Bef^DL(O6+bSz9b)T6Z3fP@e7Z)A0Px-KV>!H*0b@y2<IMb=D9m;>(S4oqN(ILZ$ zQ7qN%q3Nr?X<j^s6-?q?KCh^Jd&+}*!@=FLn=)_g{`AzmS59La^X?<HcXVGqnzSci zVwShZj5$i~VN<_|&Wc;Mg=dycLq)_diSCsZj*d(hG7>nIg1SPxSW8}7uBtMazpw7y zg?$0fFRXg`;O=3yy>43$BwBs$mtfx4_9-Ixu6SEqgKx_5>|fj07@wbQ)$;VPI-hFl zx!EfYAIY|fUN<2;Z;jM~MPBl{-1-aVoDu8()+Kx?X@Uc9XNDTr_dUjrIsY5%KGd)G zi17ay`gYRH#?sUF#{$kAjeBrn*{ORcSKGLqe0%<qBTtpm-gR6zcV=0~PrUM~epdMR zJtjZ?{<faH?een+hfaOuIdDmRuk%-K1-4a9Jx&34TX%k${_pI{(63MSgxnOBw#bjE zuuzkH#jyRHarzbK&kNSur#wuYy2rmagyolQ@_p{_sV9T8%H|k2CaB8t{!n$e^TV3I zQk?Po`3L(XbAzV6%QKc)wQz<>7t<jr_o7cf)m|N_daF_OQt8?hj+2|-Xq6WvJ^%7{ z-@=DCm!3!qW4-YF+V@EN#*4RvioSf9^6h1sf{Op4c_AwNVY7a|d3`=dhC%Ye-;_lW zE;>t3JFiyk?`5&MWA#(s{%GS$z7BUmt<}G!|DE&CTW=B)edW}%hw@iFyhNQfMfkbS zmTuhjT)n$aY<g|Fx`y<ejfFw(-+B4Zeo>mVa?O%G*RRAmcEr?*hR=NZH}>3t-Q~;M z>+U{%mRek@Xytl3z*0Di%{0jWitiDY<;SY8GyP`K+x<wYDk`skuSR|Cq{$4EBOaa5 z_SSFM!O?V5=1{hTik6<?tgn|&rMhVdo?mjfDDlwKY^805a?Fj_PWJrh&OLE%lk=y8 z8PA@q^!%+__;tta&rAHf*P7oB7BFWNxGbruA<_TC>D{w;$NCEoEE8Lhseax4=4WqH zdF`0lDi(YT>SP-QuG)saFVk;5Gxdq+1Lm~<`xY3jz7q22^c)`h!g+nw=arutH0I_S z@NRh<{%opQ(Sh$yRXq1JWtZ)E!J6#K5E-yRh|6XBcZar@{g%J9v~IP2nd4UbHEhMS zgtz5f|M?%TQE%F9$siMKH1A57`r*!7+<N*Ai|#x5xx8-Z{CYF(Rr~TCxA%7a_`ZDa zAMw_w$Mxrnf8KaNZa0U-V#^j*6^|WaZ|&XAEo{8Mk?-Fw^~ZM#4o^Mhw^n%a9x>0y z!9uTo@!l|B*LZCDFX<>L{oB)5K3C8_A(Z<1V%e8{x(jO`g`b|1W~A!+>vy|!i^;|P zXKkL}C<vOecf-y#w)P$T_BoF{0@m>?*kXP#>+8QWjkWFz-+j%$7J0Z^(S<YbmnXBQ zaXE{Q%X-1y9#`WaCj0PpyFC8uZ(XgW)P8yMo0Dxxe;lp(?^Z2)c-s2I{u3#thlFkv z28Qa~4c4r;*kJuQc;#Zv35&dZH3f~5m&ex!?9q<hU6*EeRpt7}DtUFzd3n;;MVGs1 ze=1S>;d<zN+0S!<JPw;nHFlOLXvM0vt`M5xw=?rP=lhfIHIBDmp0w<hLZNPd*t=PV z0VOMp8Far$%w=X-^`ZW{XsEDIV0O#gKlaCWrbN!P37fo4joHM7c|!cPQehbhF-sHU zwO(#{yLGOd;BsjxEK~XtEPSo~%7N>X%GCw79q#Qo^(&-@CrnuE`_bGcx6hBB<WJqy z-{-r|^!^=3nVa9&cYoZxK7+Ygp=;|hjh#$p_Ey_&hCKdwUXnlI+_{ZhhKoH5IWrV^ z6~FVePDzfKvFdTm{Vs`18>TBboMVV(OANT}qvOc#b6EYxJT9GoE>~YnOip}w{7&8O z#19j0GHU#J-T8fnudp;%Tu;>Z={A{-@wd)A5?=I&Ws%-DQ4a;PACK-v&p5M>eai~A z;Ioc}3a&GoPHwWa|2Dt=8O!ZziJw_g3AbDyJn1^pyur+V<BTvL{Whi_Ul%St(3INe zk^Lr&b^7_|?h%T|SQo25d99$I_}iG}(lv!`oNr`U9{lAxy<8yK^zU{qh7Vu%=DoW8 zGVp+|^Bbp=hZe2h;%@z5>57$Q8|9t#6&<`IE>&25u>P~cPV%p+tSo=i=geZ=I~U&n zs59J^T~(^$_`SBc*x}TM#g~k%cZd7?^>><<%(?8H?JqqcZu7Q3%eXJx;yd#F+{G7t zMy)HCY5u+$uAX_6O<rx<{CPe9Kg@CwxV-M?)EUzR7|s~FxGQQtUH9`Jd-cD2%))bw zPesi$J{9*#r2p!^w|COatG|DE@iENp(ZjywTlcri?dh|<{(Sytq4g>Ud!I>daN}BD z_1J=~Z>sX~mOTd*CVkw)xnA>!{lkqjZ%kx-XPYm}H>JZVXm3ff%hNTsTpW5I5BN{w z5Xt*{)a%O({^Ebjy6oZ)ZSUT=K7NU@wb_YYf%6B=AI(>{_E2HIt{SwKJFBjq|52N^ zU)<K}V$mt<GXMG8>J;5R8Cd(sB(yH|M@~$m=E7}me^*5XoVon(3rD);$!A>>%VOG` z*37<nJK39i-BDY+1D~(m+U=**rqTGJNvJG(mUZ#viX&?U0>yM$j)puv@9(#E`_+h; z4<BAS7i{8Q#Nqe%Xr6NI6I1isYj|GoSg}22m*%_=`SaB-7?*p!Z(JyAD|Phd;l(lG zjMBlEydpEBS7l~2#B_gu>a^8pic*G(k^J9%b+@EEHVNFl!g12_b@-9;`bi=4tjum) zc6%-`b8pU`02Q|#kN+RIDAKdPaKWR=sfN1CudvydoV`?M{<NrR$J^&uk4qoaNcqZ_ zaXU2DKQy{#>(&2W5i?oy+ai}0KAHEf<YD@O;N1n*V$wBy2Ul;cRK2qNWv~1mhgzA< z^=Wt4f9ud>U*%(QZ*4@>`|~-X7uH>34|aTJGpS|1Z0%IXwv(9#SGIqcFTcC@tLzSW zsfuS|jL+2inx{1QI6abzxFmBzOlPyfwhtdd{2h%yJ^uLP^gIifS?}6(=5oH3eY(#i zqN=Fc>X*?x-Tfciqo+UKD)XFqX1uZ4mI#As;RmuxEH|%W*FG=Wa`ukWB`?=kMXwix z@B}()FdnkMcewMe$6=SEFGqHlT?;KrID7T5#LcdZmo~f4{F~u+>Tu|ZgJ(pW+!}IA z?WDwKe*CFa9Pu%A&hgB@cZ~dcO4-6*yKWDksBHIv!L<9fVRxXn{Dn??kMnU?Zy!|* zH_kIW#F4!|WckiNf0sUe<-6W8uGlr}>K*j}$&M|vKISgnxV~rQK^GJEWj1p>Z*A22 zd#Uc!=X8#qBA0!tUo!I+Ii5LV+PZ5>k#XSdrUawRmeB1TOZPsWK7qULSb?R3_^*sz z?{_trZF=DO{A{twrV=&TsdHDa+A4SXLSxfm$<@(v%e+(j{B8=jrSG4(<7TtdlcsG; z5|>Eas_<N7AM9K5l;JM((S5UobOkGCnr_TAy~%lSdx6KQHEMr;{80=$cX``%<;qt} zdU*c*ZCuqQ^v1ZkFgTx&D}+lYb6=k6lCFi7XG+pnJqug$v+BHSFw?xY&KcrM*OhC` z|NH(*q_SaY=##X<>b0|06y7e#WZ<unQ9m@rp67#@@+3vCfN63|i?>F67f(q4aa3u- zs;^Dlfe(EjZH~`bU*a46qqAuy@Aj{6rvDHPy27^W!^zVR8x*G<%k;b^`jJUOIdtaU zx4vJa8z(H&mX}`8V}I-Si)!BI;Z4emc2z_k6)xreC+Yj_6T{D1zP>kce+zCn>iC39 z&7IpLRK>Z{X8n(g3v8!VCrs3Ru%Cl{>T0%Wys5vemcLOA4qw#Oe`dznGfjU26>E2X z3Tu5J`sMhK&uYS1RSULF(f8VQrR0{{mcp1VN)M_67@~_F9%h@Ntk-N}<N7w@)q5R9 z$DO`=P4?F@S$6jc@hIw@K6v1Fag=p3<Aq(_EdLEA?Be!j`ri_?TCjfhy3g!!ib6Rj zCPZ}JIWKXH<8g1_sj0P}jO6c@UtKfX>if2qZRM+44j8t4UoZT{>QecSG=Vu!cX*z! z{BE@J_ZFXPw&5!b$~X6|e%KR}`F2&9<uoz=s|r7kHHm%?&1O&2kSTh8#s1y%==b~d zFV5kW2?#6da;!aj+(pOw=ZA1R7X5vnK0jXR5&e7r-*tjJHgDeU{`L*qLSLB`6ZnnA z*u-l3m2wxGB;THF^{0e&=PjeF)g|={&Yru(6MJy_y6aKDwmEQSo-MJ6{ibED)$nq! z=8pG2wO)8;d@7i*d!Z)7(=R@&_c^;5<n~y}H*b+S#m}}{YuUlQjTW-jRWI*NdT`P$ z`-q*R$UDL98yZ3$ir8Ozc4Sw#<R@3I)2T0?tPkhOO-c2(I6XVVTkzw&Bg~AxnywtW z|KD|YYkXOF**D(AoH6RRVez(^A=&TaI2K9C8b3K(mg(}#r+)i+wudTi^AAn1V?MEe zR{V=!mu*;W7%P@PW#ia-A=Gy9`-P23Gmp>e7TNyNrC|rhMJ+z(jcIxX(yDwm5u2*k zZjDZ}(^-EnFSoo>QFd0d%?)-#Mag;}an;DJalv*AzT{8j5B2m<YcY}8E`7Ykm06{( zGB0?|j6<5evzgjonLM%ynr3#HRcG_ltFJCcKAEz5qVkDy+dcftyh2}xSX9pPV%W4@ zs_jn}+txDfSC>^ENVVu_BrT}ly2kDQA<@ZEj;AMVnpXW`XS%4U<jDx0=@vcRA7(5w z5mU+7XVQ^4|MjAd$_{>uSs_Kww|-B|OkHSq{X#;J%<4nGR<69K7dG8$hhvA(5-Ii^ zx5_&EclWmCvQ<3WBYu7DwI%NaxZfvpcp7WXT<f<r;p2@9S01~4ezGPnr{?6pmjwlr zeyJ|Lv2UXBWK)xUNB%Bp`<M2CyYqf$Q@UPr`OygOE{#2ZZ|5JL>{|CYX7So(*Rx-- zygSfo*(HCgWLDoJkBDolZMw~Gf7a_Q$>&j+wx~Ni_D0EN-L|`bo>gCvbbZU*a$G$4 z<Q`FpdySIL&1++Ka6c)Ud+KAs<3ObiiG5Q7Ywqa@)<0db`07p;-}Rik%~zG}R$Ap` z7JA&`<_7^CwtR7A|8fKICc)_jUuOt@S*jCdc;~j1W43#p<$uYJT?v&UA1tjKuAcjO z_wCeuoZGiFZs^}$dTw9ymY}p9Gp=P+=t#e?*em%_zDQpnL~6$b$qOw@@7H@4a7wIx z;r#pZ`yBNJ&R?IKowx71`QOC+H0ShRoZ4P8d-&|6j&3kB{S+E*DB`Dm>fdyUvVA4f z&BP80GOk~-hbw%4WMqurhL4%di~dcR;bwc8%a*D4Lej$-FVD|m^e?Gn6X`g-L`3sy z*c`VBUdE5Y>%L@tT~$6I<4*R{E8XJV?fWOZ+ASdRNAJUis_2k-86T;hdDByba^7zK zcuM55ulWA;iIQ_$x{lpW3CIs>$T#F)In!_9l&7-Mc`|46UK$*Dz2r{wm#@p>s&AZj z*>n4Td1GwE^P4Z)8Jo`k|NPmORnxFH@kfi=%x8)+HsNY}I*uMX`+m;+&U^h<*9EMO zZqzdDJTKm*|MRy1pWTthqjiE)a^!va_N)<@`Sj0EenZF8yc-UhvrjssbVFK7_v+^- z4o0<Hk2p7cZ*cO9+j7?Iz{iKI1~$)cZMe7o=VG48IdeU_&2~L-^wkgAd{(%jaBE+M z_Psq{BqB_MU-nA$zMAY;lJ&wo<NRkqmHLlw&AsAQg>@aCt9g8DaY!8Jr<Kz`w&Z_0 za60O4m0pFKT5M%x&?D8GkxBxtrw;8eke*OuEi2JDu~YajqaWKI0lnLa#zs}F&hBbL zmrsk9#<v}KYrcKL$73xymw)X%w7~I%(V7ImeFvL@cVBf|#H;JstL?DEpWFKQ0lCP? z$eT+n;|w1dB`W3@S1&hFZoT`EJt1^qg-KceyW4lQ|E+qodD~O#NPVl+GUc?^>wDOj z6veTAXk$=y{CrkeU*PQOM=Kk${r$h}tUKw~r*iM=gS<NZ>1P-5#tYv1cJ;XB$$6*3 zMKx7EJg`Zyao)W1)zQ6TVzz>=Nl97L+thY!j@+<gTZ6OZL+5=9y2>uMz3pkWa&pMD z2+k<kx?rPe@|oB(Odf^{w{#h7SZlj}nS{@!3xeCzQoXtij~`R{cy!&(O<NfXoEaJJ z9uQwBqoSi&nD*=1$CEdV%U{pQzr4z6-^TD=yBkz0uB~mVIla|JF;chr+p2wk`j0MX ztM`i)ikT+OxmP~CW6rX<)0y6_zT$j$f>}hx^+&VMZ+o1_?80%Cg`a81>37@KvoBo! zu`zJ|;`I4C8%y4PHgYJ=Sn$73gjZf$@k+$Qo@b%O7nh06xNACb8Q;zQMQ0PA=zOhO z)Ocd{s}-+K=lpuC=-YDs)Yh=T#eHHrtg)rD*r!QI9#h)X@nZ4Oy)tLS{#@F*oY6(? zm8;7Y<2%1)(vO(``Mszy{`Pv68};cs!h<UPD<Z0|YQ0o^oO4N#=|s!gb02^1`ggqL zzVgi2%SUq-@fiR6!McBH!m)P&aprSEHwbe!)(7;b_D{}z$1J_zXp?rF@9x(PohN66 z-OVi86h1>#;ovEO^`8?s7Y0AgUe$0m=EHn9+nC(NGiUGHcu{v%@e*}cQC}m@HI0m} zVq4obHQ${hAY$Y&>7(7d_1q`F&d;<BvXNhQQJueJ+Q$A<`z%{6JS--h|NGWHeY2zV z&DL9HK|jORPdL}MSuyx?l6vL-@>}tfm2OIe2l(!}s3qi~KI!@_!|<+8cA7Vil@ye& z_^WG^cHke+!d#1264S)$=W|wU3*jyhHsYFC+uF#c^7-YX+dg0S2yt*WA1`Fr|NBmV zlETA3uRPgqH%0&3*q&X=>Y}o4YOG<g<f|v0zI+WzHKxImy$QuTw`FxcOgqx{Dsn|7 zKR2uDW&Ktyl_Ng|&wI{lYxce^6mrOTP0!)YTdhC1&YyJgxES-__i8WBoZK;oGw5=$ z3EQ*WDKmD=4EQ(SnP<bH<%=$t?U-@h{Qe7N>AtncnAn{2nra;k+e=a(9-qeP+&5)X zPUfp=Wn5`*^JLEWP7HbwSoq2Nz0pC1bv<v+bn~^(3X48yryX?k?eCLJp~hU3Gk7|` zBz10E^4V(QR&jmR$kXR#O$_U1^Y`^Tz4No;namf{eUznq@#94$om`7b6aOyY`5^M^ zVbg#9%dd`J+gJIVwX#?6Y~8IuO@UP>&c^gEUgpcO?}X`j^#bXw-Qj;3{I;7djNg9c z?a`CZZ+IoEeDBD1;6B>8W~NJN_NV3j@5(ZtFN{A@($CUkVA!C0;7hP;`={@lWBF%E z^C@p}Kc4YhW5Z5`CbL-4Wq$-~B<l^#=YKo&!FucS%`TJ9$niGMaEQ3e9@wPmp=rVU zbN}~_v+LHeiyvf`OL%^M>xtuS_Z3dHl*x&#v&>SR@bY=yhj*p(MAA3;UI_V^8j)8L zAr-Ossm6}4B|H@?re3$uUY~O@Ev?Sd;cS4Gu3Yu)XDli_KGRR1U6943A@;FwhwHS( z>*k(dd)d&s#OB`trgx6>`aI_3_>?a>yKKhUH;(HaPA<FcS!mHE^NwL!YTr$x8+_s7 zDYL5{-e%|3-D2)7^kTBn?v1VaCO*PvR(@~iX?UV-u#R2!(beEL)?2*qH*Vl(^)9)y zF<$NdrPF%3D)Fp(`hjhCx2yZVt4n$9^8fp4hN!P@Zbv;WRwrjI(^g~rTJ~_E|D6?` z&gD)&&du2zEo-4(_F<Vp)voOw$!DdXb=L4cb3PXly(%l}(xZfX9FBWF>^}eU@AAU5 zr{%?^CjV9%?f#PNz@ZXwO;r8veBnEacMl&dTeYR&e@k@i&c|&*MO(v`?Tm6aJpJ`Y z^YlF&_n*AZdH(&8bjEj)Ie%6}HvhR^l%ckIlTUr*$wiq$H}}Tz{=50^`nzL0cfNSs z@k()%&g?3#s$<^$Q*SM9bnViAWVcg?m(%so$Nl@QnZ4IPKPI)-{8D*T`O8mtX6*c$ z@H$zL-DtIlK$D)B&m8HMsyRQuYVK{|iaYe5<=2}yTbFFRyJ0OtT1QK~FZt%3U*>aZ zZd$McukrUJBf+rmM^9Xr-!?r*BYSOt_56zI`=bx&{pf1#4_tQT(e*=Grz?M+sFB$o zvX$c$-)}J^<&@2r4!=!!f3{ufdw?O!#3vTJervh*GCh;u*C_jc%K1$$(QS>VyY$3# z6zh$2E_^pkda&4e(qh}=uRmPr31FD9T_$o`>~+7-xsw%d1zvk#dgtJhd!iq^=P#cv zrZ3TOLfd59qsht({;M7h`FW<=`pDPH-p$+VnrCzJFkIeSY}zO)R1v2(!|j9FB;D@* zYSvyByNB~!eqQhRys4jgX3)7u{NdKhH~kNBuy3wS@_FEUy~5T?@%$!_mhZiy3l;i( zr?2>=uw-S#o@E!eRcKWl&{4Ra{p4jd52N6fdlMHlC20PW)pSpK-xFgQJh39Jk9WT7 zF+UII*vUpm1PiaMJ{J|Yc+cypoGomnI#JnYZCo$O)s$N6htDpNV}3WMso=Pm&J~WW z=2v?eq|z4qN64DmZpk?n_GFn+HtWGnf5bH(gnkiSCs^}`!AE=jugkuM)|YsgCfW<T zL}qc^4V?F6%k%>}i%mIpSzCU)w_I6T(tXLk=mYzM)wy2YpO#ixdC<dMS+v1vv-Rnu z-T;nd7p>qU$_K@+*4&@2n)&H%Bd_)MUxMtWN^$nw|2$qe|7Uu$=$}fQi%Qw!o2e6i zW$He^diK?N4xY;F^Smbo{7<)c?mOk|&s6rSZY|sS8J9loc%|UE>u%osa{bC)7gJ%g z#?=?!3OR6oRsSsduXe@els_eY+m>HwIW$Ga<?79Ekv;1pyfm$~3WC1oUE?^;tZJ2z z^-JzxkiwH4+oqjKWV)K?KgpFt)G{q^XDP?r2%&u&ES^uf;eG8XlcN?_@w(<77R4iN z-jdG)Tkk6~t<R81ReoLgAc_C=o4D$ps21N1J2UR){$aakad}>XL*=^t{#R<|Jf2lJ zNix`&W%lgnhmRgL_Rosti<l+6;ET$U`CqpfSun-^?Rf98sI)d-{_XlRyLMz}UWrQl zcr5f;%*)SrzKO>(>mTP;*n4-q{M89tWu7@*X#J+^AyBr4RX~Y5)ac^7?S^lkH?2DD zC*K@g9$FV2$gg#2ZKR&yrVYMRCNLMp$A@M7)z*kSSHU`aN~vL^z=DY7@Ata>vULwX zp47cEF>O-+we?X4uSvw!Gxj7s4B3>Xu>3sRQ%MQ^k}d779Xcg%TLq6km01usBP;gd zbe4%<Cj`7pX;_uI`iHk`G^^Wo?xQhQ!OqWfzFfb+)cQo6=~vnL1pN}#VwGvXtlqPk zJv*bjLup;lg(re3Pyg*%lau>CjkBvb&>@Jk*D2Lt+na(r=ftF{pFapWd+L63xb~m< zzf@N0zFVK_@JmB*aetDk_~tl)>3@Fj37Fw2yKG5qO&~+x6J~ucnbz%(n>kMB&o{_F zRcGqcQC8S`Ir{eF;tng($iP|h56$*Q@v}~APM2yt9rEGXlGBgP)`U8*Pxy3#IoZhL zk!QM>1)FGf&68b^{srC_t>D#_Sa*d-?93h6X-_8Q7vAnIj=s{WccjtNmfhu;@AI`h zg7fRr=LT07)CO>!_B5#9(7L@LbW=&J<fXQm|N8@drhS<5`qp7SmMO2doio)u@pA9- z+sy{&nd9ve-z0y{+Yx`nN<iV39Onk>ypOK2Y{A!D9#yqRD7~M~c~W4)#)R$bx?^=$ z<R196`fKi{?ujNxyv{AxGWu0{#pX<9cFwGc*AM451<p5r_)vR|&$;3%<sZ|3Z`$+d zY<rvHvCGPn_X`QOcHemT^3&lvzdb6LOAoXkxEuZ>N!(=K)U@>}4<n8T3Q4Wu`?8Gd zu1j0#>lg**_uH)<A8u_CJ;*wFy?^-yzmmL?2VdSN2YKJIdMjY`{a>W#epd&cSQ*RY z7*1=)`F_t`yS`gWm@C=;Nh&fq`OH(jy!`Xj&Z@;ee)i5;Tk>zNw+#M2W%kN%^*xR& zmpZt<U+&-D!DDtXSM#b>Q(^lVv8N%y&qXfGuuAjHT_UTx>oEH=i^BO+xgzGg2#!;8 z@we$q6E?A4bAIpti4Lp2oVGYot^Dri7rwM@_H~IlNf|}w=Go3aTUvNTFlp-?Lvi)p zE(<nhDrucCNSJg-WQ9a)*%zq>t~ob4_@mqoUs@1w@}A3_TLmB19sE8w)w$%Fm_?|n z$XZ7hv#Z)UE%y`tZd@t-YVvJ0!*5@gaeel@)c90c`Q^|5|Fy2N`OYh@(q18a&0<^V zquBYU#P<}r8w96^x6D4dQ*Ybj%w>CBwpye#W(7<Q=TVPaFC)C6%Rt3!7K_e0*Xe%@ z^6h%V`c=C6T>>_*s;dZh^XB{dPd-J7tNEqsl-Aa@o2@(dY?hUHP&xJC$+NSyXKvYa za!K}6rR4Q<=FPF<yv#K38^7;1^{=A)3-5CmEew<R#F@*xls_cGb8*)b<7fZsbD4sc zY*uI%6k*-M@%Pd<J=GaUHoZ;mJec=8=dHWA_$%oi{$)RmxJ_&wTnyTrwe2V79Jrd- zrgMFB+KQYzn|V1ij$aEj-h5CsfRW2#sT$X}C4VE=GUa<mhw5eon>lfuPttf?bLfV~ zCyiIEE4y#9X>4)$nBAwF*b@D=F_kH7DeEq|Yq2w(KF!^AEX;nYNr1%WfIddH)G43Y zHI#2&JG!fSWlx;<Q-KtxZh?(4n#<O;sRu@IeRSbH`uM0sp4Z+*Ua!>}-goIg4Yp%= zk@rzE?SfayVV;NoJ_P(+t;X|})6vjKl<$6%+xfI(0@KdiZsju1oYLxWir1;$?73R! zsvTVybYJ;j2{HPoe3`TK;S#H~o$s=C#Kk(O?ARNgtp4oUf%bpX7Fjtwb`)B=;_loh z*JUgZCM92b9#(C!_wP-!oM>0>V)G2{T?cRNkaL{Yns75>X{bt;%!9p|SI(^v{k%7> zY5x|E^1j=<jr^=QgcW9UI!{eLv)gF5UKH<9rP(}>CmpO`^UET#?ytFw&CYkP?C-fB zm66i0FIr^t{f6JHU|%;r&sToA#bMlGOPH5;sswMi6W8IfHq-vg7K?<6#X0f4FE&h< z?XO|byil04{@IovrjzH-yzt%5dn4;th5(PN3*B1PS|{9GJ$Fk%gL6p`)4YjmRT`$b zZ_!`7w6fqYn|9>wsIU698<%*f+@3c7%O^j*fLWz%N7ZKhJ04%XQFG0=rD<<x1$;PX znKaSpiL1|MZqF%vl4WfAO&9Z@+;5VWZak^JwD?HVuk{j6Pao`4=GefxaAJjK_8XR< zz$+SlJ?5@^`loaTHMTA)Hnl$ZVbWrs;Dc2sPs{myWmC4-xuX78J>mAWc+oE$TG#yU zyM@1e=40!sn9j|!<+WtUW5yzZn3Z1*3{OtJS*LOP&V{Cm`>!>h&zt7s^u~1i?jw7C z|D4VH|51_3HLF8+<d!M)ESazU?$vRT?vLkOGgr&qoAu<h)gzM&)t!NTF+245Pc41e zAl&*=vQ0dU<J3JimZWQM_DkLJT-dj0#yXpqM$;eJ$%md-w6~9D+_c$c_2d@|4s@NA zeyAvUs^)Oo4<`Ld=eM$7{r_{T*sn_sj>l>OH(4CDeV*PlZT^E@OtqOZ$yNOowSp#v z8uz|@udioc>g@he<?;iL^3q1R8OI-IW!_;p5&A~_b;~v14YnQjTe8HC>*?N|_+`t? z2xUdJ*_^Rr*Io-BySB?wPkz4hzM5}&Yp$O6QSaXHO4Y<oY;NBvA<gK}ubYmEEV~_> z+_h=5(oQDpFM7Nlf|Ux(1r%x<+z+i)oN)f-UJZ*|xgXNL{hsLWcKAG-zzpsX^LnR< z?RDXHkJV?+ahy2q?_x3b!-uLKS3kX1Ztd>c_NV36F$G?qLMP?pes{z6Scxt=^;!1N z%oWPUyCf^T_szP^$nbA#Swqln>s1c}Gf(b5(&bc@l)T_V%A5rok1t}pyoDtq;aumI z`Lc7DwJdlfVlOu1MqPX8grg-fKa{7&?NgYMA)zNa)xWv;o$izc(ZvfNtqv=#3VZPP zm8kJwUL%!7bKWoWnwP}aC1e%J9jHIy<r#sM=O4vqzbcsN*SB(8Qs0?P=kCZjD7Ufx z{k{Ijc~^mw^9GZ&W6Smbq%1w~>E5ne#<F@P8-9futTie8=JQy(Yrg4b<9Fc_?91+# zPxD~P4rS!8uD7>b+9m4q*!KSY`hA~PUXQ=Fa%;%^^f%f$uiMl=JZU*uVDcnn^UE;7 zdELH3T;5A3Zg$~+DrWxfSF<#m(znUp5=)dluXpu)*t|FC@A0ggzf_p}d|I@F=GX9@ zudOgJ{`mLov;%u?MFi;|T{ZWWPv7>lA`dg{@9)_=W8dw&2ER2ux9)c2mPnuE^pDSl z<3abkhCg<f4qfeRIhV~IbiebCZ^DC}B6?-3j%<u@Igy~&dql0>S6|uSYwdA6c7vt& z_S@_&ns>z1%V4MClbw%pbSLg$dbv=cmiti6SDS)k@6v8^cx?K&Yj?#u^J77pJTIJI zi?3*ZcB5H)M$z0QXPYjqaAIROTeRSc<&W)mr=}Qpxrj5IlsPPR@VUT^Oq(_X|I6Y3 zcv*xplH*JxoF?AqD?NNUN6+5h^QJ`VF+&Z9tsYE=uB1;ttn6^&^&P`iy4F5w3_rry z&wO3cP?SCM4%ghevyyvaq}J{`KVw?*hZ_4{vv=&G(XshY{@neMAL!1KFn9ZocPTZ` z+(X`fQJdQKw5{vpo3OLTYHXLAXKK`3*K%pwyi4b>R)fvksM8PmZX~^!xBg6xNUDaH z^4*O$s_y;Re^1eQOa9TQDTfUzKd-&$b?)BI1DvOlWu9!5o^O)V#ii)zqZq~7?zbkv zH%q|#ig%)fRF=8@(SK_9T3BE3|J6IM{Wo~M!RZa|Pwa9SZTH6LsD7xrv`%lAXPhcq zOX}eg*F&AU93g>^_Llvhxow-Uh~ngOx3v@dtLz)HIUXMQFRE?y<L|W-Y7w8-XQzL> zBfjkM)<s*Day|qZ1aXEaoz?pC{8C70%Ubr(fO{E+{S4dQD{lJyChcaIw|?x##c_X| zEdQ}AxVVD%3eyAKN$V4>Wj@?KeM>DSB=yM7r(X8%zaNyHbXlwFaORc#*PQ7#k#|1v zo0|8BH|f3qvrSSsH_eYJ)ppM$*JVqqH>DQ6IHLDJY>&01mb3HJ5<P#GE$^L=8)?RV zwiNmD^EuP&8TVH)NI5*+U$Qog@j$XbyIRf^h4*p&*OJc8l+Tb>^qAN0yYO={Th*VS z#I{~dAw5RjEei`9O4I*sn)S=tMC+a6L_5xB-gWaU<DE8t{3_W0ttXak_QF3K#0@fb z3R~p_?)7+J*~0S0<&vCb!)!OxDXVSwJX(9>*}=ay%m46AS-LaL$MxGe`vum&611*z z>i2IB@4R(WRLrru?aJq?bJM?1V`L9{dFb1#XV+#NpEX1KVs_JX5B}c<=6oRmmjk8u zZ*J~z*z)3<l?sQOxrm^#S3$J>#AenNCwFvpyvfbfS6?)j!?|=-{Gz9)oflnf4*O?* zx{338^QUUD9oIP<CtN?vb9!FZk|OnY;>8BRx4L&It#_3^P<po^#&wTeP;bTTE7HQN zSmLfebhV$ZCHS+t?CH{M`87^kUN3sc7ro~4#HfYlCs~#qSR8wH;!-Y&$)DWRIHo&H z_`JCM`cJiWv)<1+zj0Z?53b^^i#Ey~-XnSTV&l7KetHX5m`vK&xUu7QY_w<C!uH-7 z2j&`wZdUlbyInzK!MT-H$p%pq1k$*Uoa(vG`EH-yauNBbe0wK-UEHnp_Mcvy?e}F< zmAJUyt;kH7GHa8J%FAB~Vn=wMh^#f<^JDQH!A!piCPn$}`_pB=1_U_Nud&=cUF*>5 zowqhl+7_+3D(q$TQ_T~x-y|0;&Ec^<)_q;f^!1+7fVG`JjD+?Jdaa!)BfVwXQ}vj| zxzDb%|G2QrqENx2cuzoP(Vo9c`A<js@#f~tug&~9(eBRsx8J05F3nmwfhF2O_yYea zjTIBR<({j><Y$)(-+c2Zg-f`|tZ&)@u2l&-HC#7&-~Sc-cze}F;iVIvPS!L|{xdV- z-0pSfTA3c?>mN9|lp*(3%+crTPWa^B%}O|^JzuLU?6PaC1oH=z^s;rl4Fzf{YyKTH zx_BhzZ|Lrl!Xl4<?+YY0Zu_J)`?46<=UtaP=I5@Oyd^8rdihDWHM`3H)=Xc2-9YZF zd#A$t+8K=*vv2oK+45tXl-Cr_>Hi)In`F6pyzt7;{u3H5ySL^Q)2B7+j0+j_Z~XQ2 zpD(Vfpjubg*0E>vQbzA>%#*54v8LqDUajVHpfqyFA)bCK&a*AkRkL3t_bH#<v@+({ zOpde#zs=^@t(!M3_Q*=hrwc6mHzc-ZtmgDvzvh$BXQofzcmo;JtF1bpF`Ykpc2ZHR zaP+of_qAWPOi)U`>&7B-P;+itVDMhmV(!*3aWiWRwYr0U^3ElGnSVWGUjfgf_6P62 zhBb%?nQrSWu-=(B&DEX%T}4`x@1mxc+?N$DPwu_(VD{c$e2KgjIuV9f8g}i>u3kK$ z%`yI;+OzdK?ZU@%duFvAUU#A*NArMeYU&4Di;li&|GtVySL*(bzF3;XD467TTK{%^ zr@z*3$Gd8Bf%&HY`GdLMGVES%Z)sFJM}+y<E{z_+uSNNXcGtdjZJA)n#J%{Mt@Vl< z$K|xYc`MIdv3NtMd(yNww?p4=e!Jh+z5h33p>Eoo(v2$X3f7BvX9o%QNyaMv>t@UP z<?(feQ9}C1=TX~5IhTAi-#+n}j_t$+r$i%9_f3xaClb-6bVXbBjLPe0l1HCNB`~e+ zZ=SOFWY(X>afT<4e2+c$D?rA-^3F}aUs<-341I(qT)x7x|NQRgMXA+2=Ejm64mi0U z{B0+a5Z2<r{eo#u()~Oe`*a1)+^0Jvmr2d|#GK;!?DC6A`zQXiKUI*gl#m+Wug$UO zyNV~v2e!aNmS?VA^Ys5#CoiN_+%bR0h78mE@Uq+Ys$6bqsJ5Oms*ag4xBtLuzLXP! zGdBdJR=dCd8`G4eU1=Q15_;2cR;Jhk*X%lpzWk8?{ZDLs);6`UO?=V4v)N6jd1doN z-v6;*7xr<+O#f*T<1IVY(|_IMlfPE6ZP_H^@l#27%fiM}PR7@sUzPlSq3Y;+w*$$k zy;0AZ;$!=I<~y8y5}2#^Oj-Q04a-wuwXhRGD;xgJ`M;_zT#qriUw_&==Z2y~s{+N9 zcpCRs-I{SsTkh7pwR_ifv3uFZywR@_vcAIVx9Vu@24l1Ms+rckIVU5W*93mnm=xAH z=XTT)r)5i$-%mduJn8p@4?1%~7mNAnsdN-AOI(ok+`D++Z`BDA^V3?4BCdFOHt>Fw z+>rZA?t!pja8r%pTSb-Vy$x}^8(MCy)Z15o`fjw=i%*xUy{%WdS52E5_gK1y+cR0- zcww&Pp{o*^Cr@()@9mL{nBg;b?a5#AZmDNC2?~})6lg!Yl<QJ=r>V&(!L+GVRcHCM z&9~X~`n%ql*Hs#rP8RBkS6lLvbJ~VizXWT~X)3#h{s|9#vd#7G7dDrLUxoI~-?(SC zhP0Dkf71MNk4c5z=kF8?G%#K+7s&9{+&P;yCiaPT+Lb#T7V*EzPue~{{o%{CS$q5C zo^vzG#bo^M`xfN<@<d#<ef*M`NesK})F#z_FYI8O#&U$S@kgfa%USG!`B&FYz1XmP z?cG@def>7f*YD)Ud1bvSstxv?c{J60e&(jBj!nGXlTwAYuJJDW<ad3UY<{M=<oen@ zmzPO5=!wR86whLIPL<d3-S}evrGqz>|La%kZ&cKGNxy%}KKyqd%QOzptCyc{diSK} z`+LD7MHePY*s?B4Uf8{6W&8Z??p?e3OD7AhS)1~73%j`E9G6U?1HpB&&yQ7|I9)SU zhTGw{YFxK~+QT19C%XvMm+57*eGA>)_wxP`?suK@c4RC4O*`B<`Lsg!1gV|wz1JK5 z6n?x>a9(GDWxmesmL_J;9apXyuqq1~*RoC&x!q+DA1b<`OHWHVTljU|q~I$L7k9R^ zRdv-UsNKxj!0}V+0QXYIH7n(;_42b<Zc(hS^A3|a^={Vl?$fW0ekVDccbho%=C28d ze?Rp$>R7?qGg0NyjAxujZFX*&8m&~Yxws|zjk?r=jyX4%MTnbrwPw0Ac=0UQtJv{! z_d92!CvMKxA1q=XpO37u?1;SjUDM*<yWR&crzr^+-|se5@VUzUM8xU;x;0mNOXnL_ zig{|W&kf<xU9_W$|3~Z={$rn%b95^*t8K3pZOC)^X0%27&^cDab(aGF*;;LX<Mw3! zGhMa@k9Tt-o~_x)KY6FeDXDzMj!5siE34T2T}!X2oI1AsrUrMR+p;Lz@U^~~dRlw$ z+1}V?%$1YaZO3VHBwOO+vQslI{$|yUxuz6rCY#!{D)Ujw(uJ05d>%3!m=)taJFxJW zuJYgPef{tKtIihIT$pg^&m8`HnxUWH1tu+g&$L6nS9srMzqvOUl(m-5TC_aqot*up zu0k!#Shg!o4Ij>*x834xkn_~C$6e8&t-Nk(d8LYvopt`_O6Co(6xjY4sLVdLwZ9<P z_rjsYi!O9(<+yrnT32!W&DzXqk4p}oKmGI6G5ZItHU8dfn|18pTX0yp#=k4gVp!`F zYOwlrZoac|5m&~`KO1gNtVxvdNn-5JwO#bcr*y-riP_1WYJz_k{H%Ex<1jVlo4)$n zx6?P2PBUIU$7sIcyM0&Q1<CL~Gw%4_H7iBCZ+<mf?cx3_TlY8_GaZZH8M0rd<iWS{ z>AUTvlY^`>0*&M3SyHu_wQM#PPoLe%kSSuY!{&s?^oi3G)dOl)yFYQ=AzWVWp|R?y zv~-T8pYx44C)T$wf`sO54Y8dr+A4apZ~gj?E9^`C56<57-D!`#uGv(F6Y7gz=doMF zPVQXfmZRzaLUsOWr;aZnf8O0Z`%jl^isWWLN15sqUp<3=m`!y0?R9H`$LqseZanL7 zd2m}b`pv2ezg?D}cU{=9|IT!~z{;M9Tb^utA2@4n%;iI`?FuS0A6>65WYPVU`)}5x z#K6MhB@u~w{29H>%Hs1<Hu65%;2X81=jY<dO6zxPIr7}k4i$<xraswHsr%~ls_UE+ zE-F3Gx%Wd~fNw*w{Z;w+zz32dyS;B;x+!A!qtETaYLA9#>Qh-#&Mz!??mHq>kmXv& zbuRt<^@9^C*FDTy!8>We>Et8-n%+EL@@&c_>)U?+p8eha$ja=H#AQzJSzndx9~{X! z`G>)4gDvxeGpDXQ-<|pP(e=8N-0AC5ZyxeH!v6BeZJ({llh2mFNw9ZgU39`+Z2G-4 zRhzZ@9?eO$b6?Cp@tu;Q?XoKqRVGE9zEvwCTI$%gIlZ}cOO?sS#L43Kmb2$B>30!Z z{^(GqkVwDFzq~!BpOT&!yqw*s%6}(ST14f=9if9uFW*}<xihEIzRKcNkWx^v^1<IF zLRRaJpNnA@`@8UJ{>8Od;&$$fEY)I<sfch?-rHIDoAFIS-)vi<*-w7Nax~R-`L&g( zpLk`P8{HIp&`T&VaqaX9!#5Md>NcFZy1Ztcvb&&TfAOnHo6Tnku<=ZiYnja>Kc{j* z%c6PeAI;kS+SJ_QntNaWcccD^KW}{lg&V94)@x<2b$95g-f6<Yu|TJ=sKw2c=l&0U zMXQHj>^ooo6}3M0`#eM9?YZ-I9{yT4S<r4nb5^?O(ju8Gmro8|k^evM`Bp8E{xD9X zG2~5!P3rcS>Js;y6b^lwXt(hUtL3GM>bz%^?;I;zvTWLwX*R7<tLKF;_#?OA^2zmD zIqzo2et&Rw(u`$tF1{Q0r5nwj8Zqfx<np5TNs{X&S&V;9`!}mTxhiH4$HqsC))wYk zuDEg3=2_WtC5xpyH|vN;&e{7@T2E-&_j}E|9lo*TxUG9;spK-h?qO}u@@ysZ%qz#0 z1(p|N{S!-g$hdNQzinRT;gF_;_mekF(%_wuUZ3ANQ9^p5UWNVcX{shqTbnDK-t)N6 z*Z9(4n<<tru{6EM<I!7X{-BU6|08QZw;#Obz|NtrlOF3Skz8!`R^<93=GnVzg{=?l z%$_uN_L<D4*6X`prL;Mo;1rmbwOlLn;@<mwn$suesBhByo2u5p(wx6}ci_jfZrSUi zIP=z>7n{m@(B045Z^Erx4xbNnpYolsn`?6VZ_j@&Kh2~T^}YzmOKJX<Z1V7G=AFFx zYa$q@d3qNz_^x)BteYs;WTuzor6}L?x}cXu^>|YF$J|RPt1K6;ch#v&J8+z%shH`; z-H0f)tNw+*-#mR$`TVND?2uE=zh3Xo*!V%dHT2D#$5of#D@R8NJV@B{bkRAj50CpT z=D653dA$4M)Ejp%CAL9Za!=Z6DW9c3w_KWWU%0t=pUuvU*)}qBKa?L%l78g%dwZH` zQpcW#sc*LbpDlLAw;@t3S|ut==Yhg`rMV1g6VJ#@u6OwRNoJyGs>QX!5}CYXW(VIL z%5}*ooxI}LdaDJ^^SB&RW*JQQvfo46?s<yF6DyCh_2CyUMH$pQj!w@nJ;b7((V-E# zCd0K&I{w_*yDs^HD|}P4&9WC4-@h4jYuY<8eq}zLFW!eeHcVsLpzyBo^5aQCHcdWW zdDCaF)_-<*_40sI2Oq@-WSpzHY}(kQu!Q~8{t!oltNWf7&ehB<SsZ)ZVio_(S8eY5 zb3df><P~fX_9-=+GAE_G^@GMH=SM=PMSi}Oc`<RatdEytzy{yR&mJ=~-stu^6?49^ zdYO`<!^z99wx1R&l2+$2Kfmb7woaaO;blJ+&c9e4d2-+M@0(Vf<5LV=Z@k03>D>2M zJzq)!OebIIH(7UOe$crY2B}9%q|4QBEM&7g-K&1&o~Yl^XK#OY?MQpDQRnI7RD(Nw z$pT9p4cQ8qMC$H*iO=-7bNc>?lP?l(&8V8NlS}o=Y1`c?m+mwt&0XIl^w>$;e0M{P z;L7LoV@p3B+!GmM>l3u4=%lW7a^K;Y`L4;Is`NW+r|Pieoqo3Wo?r!c$bt*aR_%}8 zZHe%C)3JjsDbhlJUZ+}(rj)r@@G+q|$6GV3mV8bY+M%ZO<=%yBO6IHXMc<F+T%2BP zX!XGE?12~S6hAK)i*~&DUsnF?-k7XBjcZ>{rYDHZkpA52U!^5_!k?{wj(T^^r{XN# zyK8>g%gEIWecYR|o!P|hWt&a!As2&Lt3p1NEUM)STf;nWiuRJ6Uk_c_t2C!?k}Hdy zvi+@8>?9Gvbm_Ub(`@f(es_-i^ZU4CQHz;WT7S6HxwrS7)fCk%x6S&e&HcORP1W4! zpycJTEI}QA8h-xGiMqM6d*N=!J?}%zo8*sN+<bh}0^Z+Ox9WN&_(Ux`xg|T&$lFOu zTsG%!^*-qsyO|X%X7>&3(%!#(>DTagpRi<1_>bVuYm!~>6dAugJa}pA?dY#lXMFtV z$I-i0pEY^?ya~IuJ$_eW!T-}&?3lN}-b0UXZ8v|qF(97P@3O#`1^b&eriipmJ~;c~ z!kGI_YCon+?-Ne?ZL8R5(;RAjl1X20Uod0u#p#o`To1b@*ER8A+LPl<kHYrUuK93) zS=sSm(u{pf*XQ+j_Wn0;I}?y{N?ow_UH`PcyJw>BFur(tY9CukjAF>z3vMns1rxH) zyKZ|R(`gg*Z>4wf*I71l?@xrh&{(GU<*mkpGC%L`01FfO^z1Xc?H*tJxmn0jIw8p7 zHP^ud4>|5m-gEPk%+^JUw>m2K9yU|;R6V_ajzGzh*FXENvHD-Qu*u<g(K5z_<%VtF z&G}c!3mq$&9UgGR%Xx-g!uLF$oKs@1%m>VNxJ8$mvuxLH-Sk%}a^fZH#wFs1R}^2r z$Sc%w<oXqxy1C|c^NTmU%FXkCy=$kj_Xf{;4#waBecR7>)aU1JeD{v8pU3QL$Mme{ z%B${tW|Y{riv4r$s*SBXcK7BsF)@|8EoIy7#N%-~qB(leK0b4+n`hM@_AUE;SlH`o z^OCTaCj!eBhd#3wh!P9aJrn%%f0JCcow~`T2`iMi_c0}|zxrw0uA(Woo-eFUEp>9r zRd>yZ<>n6*_hD=C3IF<GPD8{;laA73rfdlX#;hOOSR2L6^4e|$iB@$UpMKZwlJBH1 z6YGjhPK2J^^l$f{eM;g^C)8IwbZ(AS_>#1TU+Gdou{T@Sin)@_e**MtA6Z&m_$ijq z{OiUNH+9>aQ5HX{SCvn{p2;a6culH(?OVyBrtAMYZ+>f2y(pPleZzN(s--yBl}j;x zxr-(4%{a1K>q_a7LfwpY)y3@B)^(;X-oJFgDUA;g|0TSRjw@bxVa}aDGj7hm`Eqi- z+_D058@~tK9N!kFYK70L-hHQ4hi8eMnL&NLhxeH|wXc4x`S)Veyp5J(FSi6GvwmDz zah`F)O12)2=y0dW9IJSlkGx-|wv=V>`P@GpOs}&mMT@HrZ_}C4`?zwinqJi1ogeI6 z*FU<TuXgwG1^?sQI{LR|JxZ=x&25r5lacc@bCE@>U(cU~dM7+~&YsvQGh@?q<KMN1 z&Yiur<Wb2gg^gFt_L=QEzS=H1a??VcHvY*Utt3|*IL=Tn@K4`(fk0w$zuP@WnQ1}X zsf*f96(6d$Z-`HL$j>)hr*!|iSHGS2o$>4ycNcS#S+?Qk*9{Z4yc6<1wm!_BD_c|5 z?k@MXOPA-J5r67_YK8dwq&=nui?=Ls<6N-xn3J_O?}rn&_&Ap*U9SAFn3?nG-W~Qa zivKiaGcww%9Rk(=it{etzA#GX=f=g8&ODp2w`%I~-f8ByOm^O$RzE$_`do|a9)Wwy z7B8yXF-PiK)X_BS_H(O>o;;Z_b%9Xb%R3iOd`j>TSDEpRr{|h%<0Ix3I-%R17_<Fq zvT_r?cIe%bUAGuS?xftc*vy-!+^+lfUBbiI>F)#N@^&_BC9@YAHRvTzz2F<UN>L@? zw)QQ-%*TbTGv5e?Uf=U4JT5Eus#rhss+`Kz;vak?l%C#te*XFTvr0y>M~}R?r#koX z8*?|o<lq&u7H-UUCNDpDs+Mz6io>6C>X|3jiF|o%F7$4LyMLV8N{zSb)0>a-zkUB? zVv+p)`A<GR;J!8|`Kj6&fl7Nr%VWzvbFF)D`}Ll;cQTqQepFRHn!o3*<`w-__diw` zCG{A&uqgff@x;b(+E&lKhnvff%}5Tl|JQ!ke(D-?`=(QAnVnT?j6aV5QQGQbA-MXC z=gZ`vx_1W!H#y0!|EJWgcl%RMgJXXFrk&MSb|<yezqrHSJ$>;tD;b@9Mw8@|4mGdt z9JKG<a${x8)pc149DA<MGb(T|4oYgNP-twI-+1tdTwCU{zRL3|u})I@{|i)hYt4C> zaNSPuSdNhGWT(SSElInNJ6@?Ps63LxqS5n*d4GuE>-u?bj1ArTk1T!5mDs=M_z?k~ zB4^|LnT!i>w9gef5jS(i`d3wd+a+GuJo?vJq8P1EFlYMInx+jcj2G@m?O1&OQi<}H zt<QZIc(~YvR&Z*wBwzcMS@8Ng!^_^U9pP#^2j?XCN14}4Yjim!Xzl(u=kp?;u!}pb zRzFf{Vm=pL=EkkEP_x0Rz?(Z`&SrJCBAEv(Y&1?M9hoxqo76&`f3Kb|;&68ptzM{l zI-yRnf%nSl*qRS1W_SF|f{c^+KI=^>n5o0s<-8&Gd}UQqSzC{IShHu+m*k03X{o<9 z_B(HtsTcfWsJ_wl)n>2gjX$qnX7)XoID>u3{YMdr3ay3Kq5Zsj_Xs@i|0!s@?fd-x z+39Ro3~Dx}&ds!&c<fV_vTJC>=Xd;vUT#=dmv(5me!s2dPv=BYwXm6+irWipFPuDC zYaGkh)U!iHUiZMkUn`1YT!NVVd(K&1bk^U$@at{!j){9?9<0lWt7CUuuC<dd=iKbb zRCbkV4omc#_CBv(sV=lF>(Lp{$t!G~1;W0~+>&1ZQ(f)-zX|0n#ZCu*l<!$I`_`N6 zu&`Na!Pjs6&hGqwvf+^0Gp3f`@4t($oiq3Dmg;%$6&J1Ta+<&;z3=X-0M~0>8(&+` zll70EzxJnyl|-%Xioz?^Z<jk>Zt$AMzu#<;$luPZOCO!`Y587d#jq<zG(mnv{DF6F zqAIH^|NeNbXkC<Cc68gp?&#tU|GRx(&1Ow1FEsK^dcF0I|Nqq8#{Zn{&UKbNpC#*e zI!|lK`IXYImY=uYvTUXphiZa#tC!nVj?)`DST`DktowO%gSV6G{T+q@(JRidJBiO^ zz8Ra}U*GMMtXAtRqjPYpvce;l8_o>;`wxFPdqSs4&A2UKm)V^58DSf^%|E_=uf4;t zW^SBKgN@j3i7@NAUtUbpn(^jhIs2I>^Bx79NPD|{+H%g;Ef;vMpOWz6Jh*&M6x$z@ z$rm43rv*KDv}GyNF56k5x3~OLVtjM@cZB@e|BdS9O@=AV3oTDHva>yoi0Aa%uNypN z9m6)>|4A90CrT&VPm|fzYR<KZeM|Y<jk8o{C>OM@o4uQT?-su3J*C!HwnVo#{%*gx z`%=<z)xM);weinx#x4tf@``KjrtJwOHEZfkyR5?^PZ!E2PC1!w67guw$K>^1hXp%? zPG_%8o7`b5Qaev<so=5uVWBdU*4Y>fo=%f+@4Q+X{L12=f@!-|+CA}m9LF{Y32AI& zI=n+AuS{R^5SMMn)nuQL#a=Jul`pDVB{v!#z0=K6VsdlBlu(bhmF+X6^Q7*lg*g6_ z?cSN^(fxL|vKQyNYb<G7t#{u`Ze8Upw^m?X%amDZYIaZd|NCG6^m1Fa!hg<=uj?GL zbK*Q!7oNJ>FV*1kw=F&+MmO+ozxVgv53a{g&yMY1<a0>+Ri}iBcw1J}J*%kIB@dFD z-RG>)UZ>l>bmNrw)qMx-4HrhdnfgoE_p2Cx)3m?mccuASzStI#FeiKc-q;wa=aZ*$ z=6sadHPcnl<?Zq}x*ex%r%#pMe{u5U44Gr=Hcc-17v(<f^{xx?I|Nm{N|xN8`Eru& zjR0>K?Vby><mcGzEK%bxIQDnRVn*LDUlop<UE}?7QR{8fLhgB1q4i3lHpxeKYXp6| zI=$$5j-Gv6#@FK|VGs5cEjp;yr!5e6Z_2(MaaZ1o|G$wFXq7p|!Ow)j`atFT?}<{U zz5OSs2IzcHP{}-Xw6w(a=Yskx1p&GV&D{>0ujyXW-4Sv5xE|~Oce&w_g*Wz!c=msn z4VtxO;lCrd+Nx4l7^PVH7jIk1f1>Z>v(Il$rptG2e46>;9rON_TWgsg)h^v5b*<O3 zQp(q?`>po3)w6s={3ev?Gp&wXU9|cByeZ7px*Bi11k-}1ToV-fwr=;lu5G=t+=m~x zU;MbGa8cRPr9b|C+sm8Dzvk+<{gXat>{i*mVA}Wgxcy~}f$z+p=kGOnxjZnPEwSU@ zycyN~-*d%p&JJYOK737Jj-k{)(e(n7<xA|}pIP$t_d~g*-cfsd9FMfUcVFw9RXNSn znZM_{(G0E|UhEOs(GUNh4anx3V|(M;1<~pm%umwae~zmb(Kpo+;t9`taL##tQh&h1 zuDi2h&d-`H_jWqNjuK@<rW~^+bAF!EGdCA~sGj?+Fz(69J(@l0V!ZF42#Y^I^k~tI zCzWSjns{XFiJHhDVJ9hl^uv{BL3Wo`Fim|i>A&?n7R&u%CuAf{Q*QAWh@O$#zM#Ws z{>z1Rq16(v8dqE{?<sb@a!=<NSJ{6CXPJ`Md3L+_;}rtuE?q77ym!J&!<h>>P9J+O z?REKTM|<9K)wgO-XKZ}9;g`4iCSB%t^P|=Y-E4lg<$~v>Eq+x2AzPRE{%*RPcXd{v z)MP0W^$#6AXWq~Gckn~GkgxvoM0Kn1$i)nv|K57>yjv*fc5vH`-y4sdwbS#`S$Q|j zJ-OoRPnR7VjtRPaxOMh~`<XZDt0mmtFK{(v-L+-b`&GM5s=gYz*e}g|yS^`7cmMvM zkw;b<+P(If8c`cj%Q>r8kMFuq#HOC4<EsUKr`*a}t-!?Okoa#={&bu5|03V*^Si%N zRoQT(?To{|r<Zv##x<MTA8);V$=PtJyF)Ll;3mH*Q;xm!6xbO3+e*oP-tkXcW0!~8 zYBjO=N_U=UwmoSVmVQF$M9@|d2lc->{cF^D?N&6gCS=_!Ua_g*X#KK_DsEfzCqLz^ zW{$cfkmYvjE8kkN645oeW*w2NEw^Q-NoCt^&e_1>X7b(iT%@CLamrlJ+XlwAS2~<s zYZ4aD6O@_o?qsC*#a+G2A25|y<|cjk-n;x%A^(r)qY2A@@z``p=`LXlDtgs#TRv~c zUml|pm&LQ2Jx<^A75cI!<o)MmKO^4sd|S&_oD!G!TKvb&HQj;P65pmoN;5pY>@Sli z(<k<zr9jn%)1%)_W6q}KoGVVBek{W)RT*Mumvoygap|X-ZNV8euXzvI1fD3mH)pC} zy6i?N*|)Zq(_J*|wjEk=vuQfhblKSG;1BP@4&Q#!`K9Z~_4QR{eui@skL5gx?EStl zNhDUR!!Gt+qT{bp@7c1hRZD_z25#W1ZOA<M=*~+W3z>T@X`JCH-{WgJpUK5U@7>j+ zb!Xe{^y{Uc3)B1~CWt!NUU9s$jU#3=->PllWyN>(63p7VqdnMPG>LONub5Q2TcFwF z`s!m26_*c{oe6O<S(Nm`lJCjP;}+em6XR9X4?j3{{Jxb~{Nwgd)h8!yofY)bv7_Sg zp@0>v%6ozgrCwI==PgJ(#2a1Zs&|XS@Byb4=f-O@+80WEV=xGKlgDC|>9Ha3{zbN@ zHrs{1%v*8yRUAhco3YQ8^ibJO$LQD{7g8np&pEp`hp#&n9lJp1>f}W)Lzlceb^nsO z!Ygm7n7~;-X1!&RIJbP0k)C?{+`GFP*9Cjc-LKD~d#rFxy3*s&O*`2+A0KvoVe;Tg z-2M+A^c*f0txi4v@%ZFbb0X&-jX4lB$Nj9COK47u^-H7j<RnQ0Ue!vQmzSN(`=9g7 zlV|68!LGMMEzyOmagF*;LHl<c7Ji*(r?a!FUskHjkiF*LxFvpBa^<Q^Otv@I@YGt& z@jLt@tZLCRmTT;%cg<U)+IN4R)4%!L>oi<?UhPQ#-yTu1^xFZ84Sd%pb3FJFn#H2} zsMEc=*5{e4)MA$ToFNL@Q~!Lnk??%w-IF^b_s6EQ*Z)qg@cn41{`N}kiN}1;Hhd8X zz0~n}@45FLmu4SuFMZJcsQa8^&BA)U$M3`ct54g^yyK$t%Pf=ZQmfyE7Tk=}qLPgL zW6vKtRhz?a_%&`l59h<4HDAw%3D1d8KlNrlbKZ@w{eGfCsy&X|TOPW|PIsOmmt62E zbXVEttBilkB`nyVsQ$YYHfvV<?d*6tv8L+M<o`?3RYJskuZZtStV!A2mg6P#SM$=- zNaNEIae-6+H~ZIqw>TZbr=QEZ^TCs!QS2FS6CAo8J-xY0!bVhERn(D5^7Q+btd186 z6D#J;J*}BJVM)-;X&pRPn?fCb356Br-4)y7wbZ0nILEA|=~fCWZ{DhB_4}`i=*{AY zdeFIz|D^iLboHx$eR%aYAFeplcC_u|&b@_OIGH|fKfu{z^40OyhU+mIk=s(TrXLTB zKI2tCJ!c+!B9nT1+GE>Lx0eYK2HWPuyqz)SN_c8yilgwsebTT0ihMm+c9NZSzxxg6 zUa8!d@+NBTjUg`=yUtg#oOh_~^0wkTIXeT_iY>URytVhHQA5v$(7S5)*yRhiw5i2U zQEc7%J6`KTyd2B+-P=?G`?89ygQE3|mG>?0?!70lSvLLub*AL%5`Q1IE{%uYsbck( z+fR0MR;vA4(R;#xscpXWzp0N_TYPAY<5#y{?|1rn3*VFNGoNViHi?H9Yj0i<a`n;o zV(ZszJC5qby;INnmp3stO~7}X%9m%iUv#}$@kVy-g?CeGHpu4pHEitLq_SkD*PX&u zSD7}&CtN@OrnKo!s@G-th)<?DIm^1cwrHMtny_oakJ8z)^W!()G+%OaN?;@Jc5!R@ zM~l)|y;{10ZOe>%`rXU!XCJUsNURH6{@|aI>A!hW^X7%C^oU*bf6FByaNk2rp8avj zzK{2QzKHfcxZS5f_t~W4+eSY<gD>a%PH=u{qP<~JPTe2&$A>c4D2G36K6T;B!7B@o zZuL3LcHQZ9j&VVF>$%@0Qqry**NyInWx9yO>Qye9CM|j|(Lq~IOmvo4Ws$`4gL9u< z+;XheY?1!`f6F4PF8z9U{g6rd%!IbpD<^#n4ZHTHJ6PrD+&;NeNeuTT^BA`6;<A@d z`xT-jA`rFJEp;zTiMY>h^JlM@WW=AT*y(3!Ss{9n?ev+&FOPRmUHWMe=f&iPZ;Kdv z6nY|L6+#~DKCLUqSbE6kam|6+RY&^T_8#*NnO<1E<HyBIf6m^Pdb(fM`?*P_<dMV6 z-TEfA=C1cNs(EyHRkqDv&i6;YyYBOJZoV*g)}cmCg_f1)-YESpXz)@leaIB7wCNkK z%<5Ge3%1+){8M4M)6V<A(&Xz?)A-f<K1*&gIhg*1L+R(inXOw6_=>E3ZxCN1A7Wv( zB5^8L>dcK7yFWF>mX-Qnb#c`!T-W{Px@flJ!oqyhU#)3Nl?;n=$}*JG%pIN;tg*TC zZ=(L5&Ienje+g<k$+GlvMzQ?qJ^zwz=D9zdy7olK)gL8hc1^OO2BO_!$uEyI8F8)7 zb&)&##puTjYqreAQgiYIO1Sp3&n=L@_<r_;8zBN^dB>^}JT^8&FRQP0*e4Rw=ijq< zuR|>Vsrgn6@kdVTU26{MHp=gRV&YTuouTYda%UjljfsEOe%$l0;*6zV*PHT-8)9~x z`IItu*}rb#e?fh0a{}U4t<kv1mZ;Ku^OMJ}pf~F(#2&55k>5XAYR8>rhy1>#2+xr9 z7u~i-S#;9>)>wUo1xua3-)mPch;NJ$-f-s5<#hdS?kE?{X?B;wY(CYkn=`+e)80$< z`EAu5R%av>OGOLh++DZCiwoOi8h+)T?%>PA!@U1w`GoCnvTg<)_`6k6QSaNaNeNu7 zHFy5bxE?k)Xhl{?gw>za-484i%zrg=dM@?o*>0ww8!+8i?nSGr^Xryff2}@DvtQq~ z>-x5({Kt>^+q~%C9r5;q{OX#e-eJmfDnhnpaxoU}TF$_zXDGAxJZFW`W0p^6OJYA< zuCf1`zsG5r>Q?i~*>Ytsp6RU7sWMBST_0OqrOz?Jy7qN~S=F2$(to?IORV49HtBux z#A*8<<lE%knklLF`P*D+p?x=Hp3Yuhd;RfW35EuR{(s$#kMi0ih0+AFt3FF{HElJn ztiSd#e%syt<TqswvfmDx9<W{Rk#IjSf$?;2zGL|2a0`KJQFD_I%n7=Cd6(Zib=%_g zA0C#TY@EDWPm#y_#xEY_scF@#&HazRs&f5Od2tK-l<)>$tv&M2E9RtEHJx4jsejI& z^f+Uy#com8UbDUZSii1)+p_g3W;u>bHG*#S-RA=1cYSyJBKspL`=7_N)sE-R#eRsc zi)!8eZt2>+T~#Z7d<)^Q+vn|gne|1jiRtX`uiZ*z3~xVhy#4J@6lcS(w=#bxExNb$ zRJA!{%V8tVJ--jkeKz%8#HUr9e(J?vB`TUf%@3M*waM}7Mg8ub6TfVVme{=aLC?He z?gLgDTlcwD%zw4gK=e(z@+Kyqv>CRCRtwGNG)?ena8r?zc=pNC^Ir0C(RBj$hqp>O zTRxxht!dwuGrP<<y|zBFTEyTOkhI`z#Jn4#;j;oi?})Ho_-JuG_pXJFJGS4;yCw8U zX3AfUoSS{}S^Q?a0aqg)x3KWMNZF*qF@O8F(8yn_uV{Kt$g^<&5LSQM`*_%F;mAEE z(^u}jEBsK@ao$d?wbEH`GPN$Up%RWiKC;9vcX%<QVc{H=)&I)x&)uW_YML^4GD~!R z)$S{GTje4|MH#W(P-c{pWbolo*6w>+J*zHAWu+yv{)xz|C~)R6{4N*1hX1$B)ceWq zKeTkz#8P7ZPu|qknDH`A=)3Zb;>YJpZ*BY3sL!zNdX0~hoBmC<HQe%kukYrI&Hr2R zIy+P{?}PRH2mGHexk++%aq6uUwmbK<l<z});BH~V)1QSV`Au_~w9QrT@s5nniCtoW z^N*??vU;Gp;Z$?7x2b?MQ<QBrTUM7+{Nss7w3MdVESMd>_ka7$uDPM*>5^Oef-n09 z^jr8%)hbHtI?(TWgDHLAL$`A}9NFu(yBnNees{{uC%->G+05RpbWUGx*EXxu*X7x4 zKZRu}eq?+0#C3XYnCKK~e%)W`AFG3FlBJmDUH-seYyUM%`rwVF=5LnVDqZw^)0Z2b z$_3rWH+-qQH2*@;;o4QcUvApY^USeQ(YvqeWzH8Nx%t=DlMAjqm>4H+y0Eu-<;Va3 zTl`WqShqZV|K$%u+OK8LE4wGG_i8ZOqfq8v?W8*U;DbOd^Yh04wS@bdvt8PnA1So% z5!wD<$usJl&V;F#i%xu)yGX%^;pWO?4QCb>zV`j9y?vhC8m_0S?3%<F=josK+trt5 z^>D${M>7qgSKL~1rlZ&^EaBg@2U&6dQn+)s)UI62A<;Nl`rN%8f$omNv#P6}o$+@U z>vXIBu~D`~w|N5dOtS?0CUq<M^@2Q}^)VjK>r%hQ^M`D76BT;0^#0@j8!vJ?TuNr& zds!y>ZcX_9o2RRIRU}`?J0&I5DJ<ZT`^uqsOKAJcXxk9Jc%?1k4At4PJKFh8-gHcS zkS8!VY3ovxy&I=g3azs%)z&q%`r1|YSULUj#?||OB;S2`<g(rK9T|n{6}I)M=U<E7 zmYVm;N#&xbLPG1EIeI@6|Gn2(#yz+G&2Q;Ar>}_&WzC6xA#2pX-(R*y_S5CWtp~cR z&p&uD;rNP(x95W`O;u0%zrWpX`Hzv=p6Bb+7}1QQM<VJzG!+?4_FFnfeY@REvxC1D zGGzW)>Cty0WcK>ifeZJr?aX)l^L#?_T=ned-`VO8`0Tg7a(r_3w>><$KW+s`E<I<- z!^Y<$$g}Y&@8YWo$4>vAtoufd)%qHLH2;SB-470bYn6*lzbDwddFIJ$NB_Sl4|e-g z$HG}szWMR3pbsCW=@orxKOwxKEZI`xZf9KGmU&5m8k=A4n7y(1lKIu74X+lu$oz3M zzH%jDn_9?|qbbuBMYqnES9iCwzw|B3ZQAK%XPI3w?<&)`GC#>Y{(th0-Bvvj$Mv`S zrymhbwJlzmQtI<B|5C%+!hIi_Tm{p&@O<56A@I#nJZbT?j^jUDI5h<s*2lUW%ZO}P z8DUnXuwQ*gm-Fmx#Ss~nb7M0#{Ad0)_*yGxvNd^;Xk+b-8m`M?iL-otzvrl4|9rw- z)X3trj^-=2>q+Ob#ES$xZC>2I7jWS1%^69CuYL-)NFK|%aca@F>Ak!PU)RjIm09V# zJMZx72Dvr;GvD6SNL_H{?|k{%V-Y2@Itw<f6__zyK&M$JHr{IDr~96lJ6q><zhT}U zRKN9qh^E?|d7CO(YCUhPYrlSgVaun&NgRA`%oG0z>eUL*)eWAx@9WeBQ)Hg<$>qtu zy5j!Jc!sLe^Jk@E6SzM}A5Z?g;&A58C)uLQT+NPu-*-*>QRLItk(sKU%MU#GH8uE^ zSf*(pfAyM8Zf=`j^Q=1aTxmm5Z<<T5f2us!o;?Ly8@DCoe>mW7w(8@=phBIy*CRW8 zs(YQXcBTI9ILzzMzvx(iqUE*_afMS0wzJ+}`pvbJ^?LS)lYI}@Ef9P5kL$Yb_gNc4 zUrt$h-H2ahL;H)#S6+QzoF}(;<tO%Qd7NwC&9Bl`W<36<CB!}DpH5Z9Z`OzFHwS$! zc>n3o*66C=*0)z&R(S87zI#CluhHTyS;;F{o{4>FsI9aX%v^bGi_1>QqZi`#v|Np9 zbe7)Jd-v<X|Ht(oy^lLs*ItmBDcYU=c~M>4q0PrC4(*=7%zZP;D1gsy=_jH8N=xQ# z|H;7~b#KWbQI8kXg7W#LI}NA5`l|3`VY{>83o%za?hD)Q{9Jp4D}0x!+PZsx*WLPT z)%#(~mbL#J?+Z?OAayLp@sa1mwSBYIFX=uH$`5%P6C##zaozjV{F9s;Zr)XYZd#>v z^~#>S{nFpq5BI!W+0f<LcGz^q(TM3Gi|25@Pkmik;<)1E_2`nycVRozvX^h2{QaKx zqo0rW8SHv_?0)>S{@&MCiAwf=vY#bgi$AV0J7W74_XG7yX1PW!PCYqop69IDjt@#~ zo7L?!^e-CUWKqnTramu3h;gUWb9V72tu58!9h3fD{C0fp4$q>eeH*!^F8$Fgvia{9 z^Y6wJYLg~T^4a|KT*cZ&7RMu>s_|bpda`bgP{<qOmCflr#?Q4@*sz`S&iZ>f>TBzr z>dNjb3yXMcoPV;!82YxC7b^W?xV<UqdHAEp4JYoWhU(w4iw|CA*2rAF`N#V?ZR}>| z8JCW1I9Hr1*`}prd-aRrpDk5;g8X0E#>`@$@#om|4}a`x+<xbkt1ge&er>&{<e%^R zpE`eIEwH$gQl`=BZhUb~`);-~C1oDUU(N_I1{ro)ZM`^WzQ%?95}RIp{U9DB!2kHR z%)9TJ{ZkhRJIk&*{+~&adpFl!s~L}6;}(fkuX=Cha!u39L%G5v_JDoFy%#@sUtguq zc`WeBvn}aTv0}I9pUrWuX1wxDBXaGbf+x)4w{4eKJk?%$`0dQq$(Pku?V8WI@1pq0 z%*vIC7AbbU4#%Y)+^@=7CC9Z}=U3ongOF1zTc%e;|9XAuZSI4)zT6GR<pNVxpEEWd znbM<vkNN7!KND)7-%G9jGC$z{H_gqpuV=2VGTEM4^19K*Tw5|zvCXq?H?vE#ZP0To z-P|iN1{dFkia$J%;H$H<J3?i{(}@j#gd5@v%NE~myMJ!ghlOHtvO8wkoz+vmuM{sR zHu;&@yh0^0gVM-Q@7xcTf4T4IR;aF({JeL`)Whk&x$jOEkG%A`=eMWl%lR{M7VUid zS3HWJ`A36gm+PBH+V>extZh$A5G}jdnBDs>nQcu%SJ%-)?0M7nSGg~p(sg%%sJ{7& z>M5RY1Ck%Go}IzWB=tS^!6To|>y@PE^aygMPMhtWan1Yv){VxAijvE(^{kHfPcM&n zbgyQ~<K0ctB_8*$1qkl$wG`t%b@u!A)-L@Aw@-c(<J-`}9QL_m+2VTZAlKh3!v21n z?{mUD<yXVk?b1vap1M2^SlL*u@k5jSeWjdd{GLM(MK5zVt+eCtn^o_X6TI%;G_Jb6 z4gXSY7HtvTdH?&GWryRIh**7mWy3J-ho1PM_x_Vkc3K~r*d!<M)#eZX>JY~bZ&j@n zbXLp#Y(HgN+%q9{yUCx$>kd8tZMB8{d%IGLgFoL*i*IY9{$4W=nY~fALYC{)nIt*! z3;X8G%G>x-XxG+@j1T$(&6aQ}>{XKU%T*B1ZFT)GRWH0>pkRws{|ejZ3zCn=^oZSI zIPf`-W!k&MQ^EagXQi?m6g~*;`v2zF!t*!&T)MApWn8SgA<6A!`l&k#+XMqo3&!Yc ztG7GM-hMvsRaJbb^sRlO78YAx%s&2`tv@WtajMts5Rr~pInT@eL95t5HvHXxS>oo* zFFHGT;ssn5wDelf6!fk$_Vr4fv67jIaZ4$)_Rfcr;;Y>A1>WvWuGztt_NDPAzggC% zz6h(e-{)0(r(Rk#CB@*N%j#cm4ojKeI=EvIU(StvU-c*6S(Iez`%3(l@tM?>`u&y# z#WE7yxAo4>_bPOK{9dBfd)C&FYsJ$iPOfeBRDLHUp!iX`BDmFvWobqE;foha?mrB4 zi{a`jD1WfxDSJi|SLY`2fQcuM2|L}AGL}5#VfOmZoMZe=`}u<TuKX|js&{j0|I>~S zEwvZe*^Y_T&W*qG>dI`_#071;=foI_W&D{v_d)jOMJuQNOj>X7h4udTeK$@|-~M35 znTqtkKd#=D4gL`^`wM4K<dg}fe)fR{*=+ayH4k4l^^sh1SEOwBVx~FgM9;2zep}!P z=Y_WNi8H1*N*%F?{}wDUi(9l;R4#qPFUIDNk#D$Vr~eexcxxdu>EDu-9UCt1^DQb{ z-WkF4ef5jmU&4&f7@n?ND1Y;-?nBq&*27bKW(rBI42c$Zk9+t0m+4E1TSBGPT^@A> zDlabEt~si=ET!ZVTgjrDU(Adht<j$a6%+-zzI;r~{Q1q$WS5wE&vBiwzhb*j@k9w6 zT+mrtxjy=<;i4{&)@v&#-*mZl<abfz)83lY=gIRDm+Z{!Pj?Gwv}L&W#&FBah>|6# zf%h0wj5;`O^|Bn^_h346*EJS(<BGk%_bPL|yn5=*#Em(nIbl(@`>W<lD;+N^F*qAB zu}msu`7i&7Lt78zH*Z`LH7Bd6MgECV-tOHy+pb@D;Wa@vW%W$Mr{CE%okY?v{+8G+ zl&ZA)(Y+9sX%C)TuX}h;|9m~$jn^{*zn?L9+T8!KE%!{?xBJ;m3uVn>&NeywacH@p zaHu<(805F?^!%jbvrfs+ym@t3^U4K^Y_;6Fg0qB|hUQM};gL3)wJ83R*DluWZXw$9 z@9Eu)c$0UBFX)oi;n}B>H&1KkZ(xpX)4jyPCAsD4H`ctbey6{*FWc+MVj=yV{l-=4 zo9r1!5}nUV+=)-R9NwMf87pzKySGrW-%~@mHh+JP6wj-#7DpD>)y(rLz5jmemOE3` z#5bIqd{I$p%gw75CO_U@mW~nJ^z@vi2P31amfq!Mhf5yM-F%?Jxi-0I`st}_R>%7H zn!M0X_`9R&(bsyO@|yS4f4;hyy!TSQ;`6k8v%4DRhod7r<}sd=zurHU+dgxPdHwHb zmV%_lfWC#wzYN5q0}|_3SEMv;*Hzq+uK0%in&aHO-&emMSTd>K>POR?S6`OtD0tbN zm{YA&n;BS={zz%{&bH-eEg#O<`zLSv|MKK?uA~e8oeazqx|@BjF5BD1bL(ZN$STb@ z=gMBG$clb#PI8lwea`B#^3F<O)sua}vqV#hoy}(OdYyb&WbE{zt9D!cD>;h`ZIWx* z#1hvh9=liNcCy8A$AZMY^X=`;%KkUZz1X!+b5FaM?zt?_1FIwQoz9l^s?|yf)JRXV z-dFaV^9=Jw<GTkFm$I<TS7v&vop5e5@26hVpD7RbN~W{$9)G+dp6v%i!Nl9|*xWv= ziE`GnL~Y2qC2%rNQz+lsYl?4+!lG3nQ~!i)X8r#>K%iKE-O66W#p%mtKE9Wc$|9nr zHjj^`_UprSR{Fc8TqVx$-cpnEJwWUF9j~gqHY>mRH?-fp{<!^D@uIUH{A~B)=S-Gj z-5IuCd#TilnMKJPr_O$OA?VVZ7oBQdJjX7{_Jp$t{rmiG&y(`-z8{(=C%qG_yK^fc zuHw*VTbFF6q9W%1+A$AzXL&Dho2V4RSnFW(pjdw83egVPM}@2BRQ4&=GQSgh*zPA% zc`(+b_hQy_CDT9ODo;+ow7)#j>Fyc{vrT0MGk*W((v_O*?{JDksZGL#-R`q%(frB$ ztMvrS)9sGQyeRq`6D!xbgxM^}z%*Ipk+*d~>&uPvMYbNyT^=+m{@b+GLdk!=@tr?< zBg)t8YJp|fCDrDFWna3Pljdgg9ZC25&eJR@wZ`+!dWp==geHbhOJ}X@i<mR5Oq1DI zGV6Pixydrydx|c%7Q1};u}E8bN0GI0r0X}4^|9QBCDGBbelNcyZmaq5Zie~)H_e+n z)-}zpdOth<x<FD%INOCil6ylezMf2#Um9q(J$SzZvl!zxuhX_$R+XLkxZn5i+Z+5- z*B^dYr!2kk`mF3v4@wjFuVdn#t{<^PCv(H9yH>Zmm&PqSzaeC$?Apvr8F6`@0wMv| zOK+BMkMi<NIk(}^-qwn9Pk1_a<~Yp{o<4)&VYa}=rxs-i_C~Xn51Q)RK9aL#{J^o) z<g-fdu9cHYOt^o|o77cMr^FPQZ@~URTfptY!KMZ4PTZ=yTCnxgt#WS8xGT|qyzzTd z{{4=wWwywAY46gnw9q^=?oY34{LyfZ`SPqE@84(LBLDtNhiYn;L;Hoes{e8tCd=-; zn3Qg{WX_CNpJIzn9}>_z_-$KN+v*QKdd|oHhu5X|bo+M%YCI{(DrT3xt1??VZ~q+L zGxn<wU0brMbIIp4$yY2g(rfkf(<BeY@BXvk??0}njq}nMEIzt)Q)KJL>eYvK{<|5; z*UkI=pZ5!mO4TIM@ccUu{a#*Sd^c}n-`N-QSr5qn7LvTUyFl3FsYKXi9m75Po74L) zN(Trm*zVzw{@BOfJiW^$i_5ttQp;h*E=HfZ*=wIL2xQ;+%O#_^UvlxUbuQJ5MDJ|f zE&5X6T6pcjny%9YvsRYwOZ?Jdw8FIF-jT(xzsXoeb07Tlaf7PStt(&7A1}_?Bj&V4 zjJyB#E8A^y{p?M1rWIRf&2SSDWM)ZvA29pdwRQh>GF1}P1mra`yJLAyzCO^;w?^S% z@BO_zGq!Axx{-e&bjLJnyE&y#1$APT6;cm>WWKP)?f4h3s;gP9%lq?$4(T*jnIG_; zm2&t}=bf##_Ek04)ECJM2|qd#IrCxvj(!a}zZR*?Q|-SO>9-vJdEvT)%~5WhRXYp# z4u1Z>r|a6i@V~m><=;m?`<VP*PvpwIzA*pr`H$}$-fC@ssPyaA=U?B{@Gt5-Yu>!R z#8mz9-_yDdam#GJ=+0bd{6#$}rSIxzvHekox$IW=e)b=XV7N1zuV*`h1jF9K1spe* znmC`{DarGUx%uNxE|v2V|C%`TW<L3_U`|8qg}|M%n;%V7Q_L;dIp_VRCzby=gIzYS z5)NE=toHJ$uxAs0ez^WesIO_3!;cd?Ii#*vYj^&<DzaE-Z|mvZ&U~?7TO8JCti4`& zp>O5UE7l2J+GYZ*e19EHB{n-RuhY_7UGKYhe>Q__UcAh?sXo6XX0Lp#^y7yq`%>Th zGVkZP8ae-`Bp7_Co@X~DHo>v`sms-OU$36|{*$NvY?Qavwz|K69(w(K=;u6%ZRzhD z5e{BHcV7S6HJvL>&q?qs!~an3W9I5#CZ{uToWG*hw|0v1t-CuazaDvDHtUqFLf_<X zn|>dPnOpNrOQ~pyn4FF+bIr>$Gv<Cx3w>!lab?(F+h^NzS?s>uGyQjg+4}UvkZD=I z_WE0At-LK)bg^*zlowhKZ;qP!w=$e%_*5;ac;cjex9Z`il9^8hr!C9~+7K7tb>!<) zwlp!GyC2`};&;8CX{qpg`qBjl{HKPCYB^e--uL;R7PFARGMkx7RT6pGyZr=0rdKcM ze!2Y0;gFcr9WQ-Wrezy>M%p&`I0h^=wv|ZSYPQ~O_qnc<VH>n~lrJuv-YgU-o*L75 z>Gp-BHCNhf{vEvbZsPiB^(J3VEVG$8;p^|O-~KT%R!f@oZ~HuxUG%(vkGO2e{tJ7) zHdxLwPS*M%UG%P_^q#`LHw}|LB}A67Ex7sY9N*pS^Fg^^H`XWp*}d%Vx${B`7v^o= zemCqD!=1$YY!8oeJlMtivNU~@!44A@tDy5Ku?DRfTfeN4;$D4C|L3vqpG>xYv)qwj z+O8`(d4-aJg4HfQ%XLh}capB^9QgOQ)brJP$?t|UAEo5KII&1``?Rykd(G~N)Xtt+ zB{|XCz+;9?{!%7QaZ!0A1*Y#Sj^8!8Iw6$VJ~wZ#R%w4}$3i`Ei7iwATXdcF;bu+@ zn=d^5{6n6XQkOlyRk!SzpR)QYd+PSyDhr0??*%Wl@jeO>I=zN_)gJcxYM=BE-PIrc zKR)hp^^>m3GLNXeWFEm<-6W`WL-cXLt-3$cFMPW=`+MTltB-fS?&nE#<hXgiBx=&p z*K17+--KR#UGDXRH$L;7pGez^yvz^GUGw5~-e`ZDsuuW4aDmF%vn`D4B?6vD1h4V< zvao)#kxG@@-zSpiQhCd?`FP5diaqpaY?%EetZ>D#whb{xhIP9_H!V-i3{f{ONZzzg z_w}XbO@Ex<PnO)ta_soe**&Uz7r&~_^z1Z9PT~Hmy`uLcU-5~JqDluQcl>FZoACcp zbYJOC!KOUBRZst|&-Z##qLjAx<%i&h*^ifAWPNhImS^8HuO{XETy7T8En>fR_sy(1 zzUM!`m^;ssmS&Cpf0nu&$`F}$gQ+b*iZA9gzgUW<XyMt*0`s@7pZ4L-z6_PUN&j|f zZS<PO^Jr29>x-&OT7pYvMPHiro-OM6uQPGquT<GyPdC`hBy>^W+t~|(8y`8YZ<w^W z$X@vJM5WG1&nXYHx-P7}#V+s5b<V_jt=+k`W-%H^8oz(sv~mBHU@lRqXLb)t!feHJ zUUq12xc-T8m)G>|R@P^{u2_9f$dY-Vb#v*2a=r(gA1+lo-LZb2#%kT&{rA7MhUc#X z=e6UmYkUi;dm?&*bH>f(r#%F1oPT&4Gp(E=8~FZW@kh_EN+0~$w)ma?+{OE|dCi(f z&z>FLIAvN@=9Qqk2QLafbB??=gK_Pf%%?eZ$2iM>XXQ?PuJW}<*y*jlr`iSAYa1T@ z@{F1s^6BTtDnG7oPlZo=d|KUh_kv-Jngb(;;FLe{ho?(8{xVK<xbU{ODEFrFuQdl} zP1l%Tz5egg%yiQ?0{8N!3%^<-aNt0Uw!^D$n~NEr<u3YQTp;o?M%SeM;g<q2)tgS% zK5?5H)lSPbpD7YvX!}g{RsXGm4I7sqQ~dkN`qBK5Egl9;_c!l48I(6O`P{*zNB&vc zpUbpsoiupw;{W!U|1G<yzv`2Fcj{iUE4$+1TORp&NsZm9ou}kK=~~3sFJbnucGupZ z`R{=6nj1a>AKE=y#KO5xFp3DxU1Ye_`bY$ahd5L2k0OOc(`5<PI_u-iWr7Va)_thU zlVP59((3A~XLs+pb!N<#@3IMSoqGP{*+SdIzd!S~6zr9mq@Z?S`qRsI>gGLNHsj=p zkGr;R;=Wn%BywKWPexwN&%AN>r!}Ui9R2)g+wmX&e8t+m<1+8FxQjb^gq`WM<$Zo- zZXUCiMDn2zDvT-5Uu+C7JR)Ljqgp)ku%Pks&Xu0iub47wzfAvdHQL@Fw)T75&J^3v zA`kcNyy3miebSWMb&Jh*#2gX*bV<we?fM1-oA4>eU6)T2dKsYZrXRzT+H+7wZpUV( zJfnwJOoow<&Zy`{eP`HRDd?bh(dJX>!~JrlZ$BNZ|Gz~4?%bW8C48qBb!46nnd)q| z+N5RG^$*v}4yY8e2l7l2HQ(Yq<$+JV$iwar=lAVfRrO{Qr(>@ACYdGKrmeaj;c3UN zZ&msrcQyCK{r56zj?vM3XU*Os!&Sf`?zKDAerrL{^)r9Y+WN6xE#7DF!2gJR_}|@u zqJbgv?`!xZJrqCRQ*b@}izC;wk9W(ym>%}nmn`ezBeHF_!b`2r+{Wsl8(lN*Y%zcP z+k0PSw~NP2k3USFTlXHls=W66_gz|*e_OuY`NryVf%E)}hV`t?9P2Z=UWUgXx13V( z>zMu)rY~C;*?pQ5%T(=}|EWOdsFjJR{cZl%gR63`UViqo^oiO7|I&|(jju?3GjqM| zu(dwyz(J9R&rWAweRKIl!}A}?P5hH%j>%R@b?G=flQ5lHzv&T6bjCx4j|*&F<JT$+ z9TW7uz^jul-W=%}>wCw%VEF}eZ`ZX9M@zr|S@ntk+rEPw?=5d_cD?O2-%3R0;4M2= zk+Z*b<H~&Q<dx_ZJYQI>b2q<uR`Y7L&cjL4;x%&1x6X1cVC|LUyzdmdz5kC(@y%CP z-Tvxr6=i;L;EQI->r3@F_;vX2?&a?_GTXTQ{o^BxjSNa`K2A-Pb5A+BrQ^W#X)BuE zT>kgYOFhJ5(VKatfio9#d^xN&x8S<7>-ra!Q+7S}a?rkbU|r_ZtNpguA6&l}QK-0v zRZ!~hOzEW$lc%}1{&}gOY;?uneOd02-qjv*E8m*gER8VOsk$@c>4m3mrCeIkHBUTG zzWAGV`wjaWB{k+8hEvlHW<6bMvnRrgZKi#i@x{rT*6Ces(22ga!6%LT^8%v@Oy7^^ zOp~3mC+nIs=dv%S)<;gh!}856yvhF0;^n0?e(qX$WqP0}Pui{5v)aE*5uWg?`|X_o z!@eY`qo(|;Gyij#9^UbrA$$_^*DKOZBKGZq#^UK>X)=qfF89yhALx3e*TPeC(-jxX z)8~F`nFZ}my}4$_&ei|sEe=_v^>%f}H%3m4r8jNnSFy+Lx}(_lsK>sbv+z&pza|yM zxZ|yDsW<=SuXNvhS9rzh_op3>zE3c}`oJqhd0WPNi{AZzTaGR@{?5>mD>Y50&~=KC z71NTdbC(-1n$0h8X_>n4@4O>Rva%!6Y=3*Wz0f%-oB8UTO<DR|{{<|Zwpr2J?)RL^ zz7(Xm(OFEBbL*2PF{Ogqw&M-K&$^{jHivy*vOxdX=1qQVS-)H^hMJnCuo(RKJGISt z;i0YaUfj|Xw=;G&Z&)nFXngvv*7=1^+8kbg_4Jl0eLFkhbK$;8C!gGt70xt2Z0pD6 zvwy-p>u-yn^+&M$v|`$SE2w1E^qo5;bQV`VDOCNkulLL4uZuVCzB5N9V)oZB?t2`6 zZ=JVsXV&gU=G|eLIbQerS8PtJn7HJbl(*UCZO5bQk0~DLQl7ralEdZBmAA>Y6INWk z!;?QDc){vR39R?J;tb1oS&1i<gtAww{k$~!#}uE7f)eY}<7IyLr$=6S^t*EUO^G$P zC$m^F_H8y)t?3Z&StqjgqWg!#@ea1}*7ue)DX+?&`R(rP=Z?H(0glJrXVg60>1>#@ zX{sSdL>Fh8!n7|d1$DP89q5z!p~7>yf^inBjZEq5zSaBQwY_>QD7gQ$it3yh-*&qg zJ=uHmp5;RGH#w^u{1@E`n7@BXX3IJGcRqH{HgEd(Q7Y`i{Md8xhlRP!_s*!1)f5b4 z&`oqZ5q3#DFQIz7@Iv<+Vw?*yzH3!S%NaND`ae3Be68~C-6E%z9`0A?x=#JQd;;?u zjYr1LT81AV?|piRx!J31>%^YBP9n+|mj}(a`@iLCH`AM4yv!BzD<Z7dX+As|*}b!2 z`_CoDlTModu>Txyazi6$Vw23eNBh&{_B=dc{5osbpBhKc`*Xuqe&)GeQKoup$G5dU z#b<9^UD0vyMq5+VA3KZ5Qie>9AM|)%E-JEIToAu}#d^zZe=}}3r|TL!uRm^#`*873 zT|$Po?fNY|&)xp##Ogc~biW_tmJ_jIK0~cKkN)J754PQ2x;OC&1LtDyKI;%$&Bz(g zZ`CRGbWiG9lJubV`RmK_CmgpmwPjD*;5PB+^xE|5T~{uP{K%_qXNojy-0QQ1b>I3` zh5DU0=b5=T2g%sWmx!5Mj#++i#h#~aPm59_F6I8Y9>#88;itRTX1V#pz>uAjym#z> zS>jfE=xu+T?S<+47jISHFezQbT_U7wslELr$Hpn!S_Sy~PsGmRozCbbYWHzZ#@Cun zqO&ZfcBW0?5X)Y5LNu%Lwx-;XKei%DJ!zL(w*Lw;zu=-$Zt|vG;=8_HfuYl`wy#+w z+a6?myXQ2mmU*V#jpMgBgg!bI7Wt~CZ>5Xfx`cY`m%2LZGhctIm2D9?71JvaA^X_- z?-ag%o=1~{d%~Qzo%Fi6_^*rVk=P}(CQM}aZ`^cVcj>jKI>ruvk4;$gS=&BN%FuYy z=DkNGs%xrrOEcS(K1^btZXPptQ(?pAZ+AQXOezmvynxkHG~o^h`(l>8Ei*4=_+Rz& zWj9GZ*IO$&A$;$TP)?<^WwK3E4mtO*1Q$I|&O7?6_xQu<Haf}*+e9ZG{g(7sa&rai z&qsyYA%QNFJPH`Pg~fiGtu~n6bKU94t)EpXX(0_sF^8fP>;8W>nw;tqv!JI>wVr#T z)#Ej~kqK{|9hgokZ8>l7ul?WKGV|;6cE|3yBWCFIzI36P>^V6h8NsEOFI#)wjmkZ_ z*y;KF+|M(!%f(V3dTh^lf9v>Zu2y3c`)kH8zepLxy$rjz%4^e=o)FLQ>kdkMJC|oS z+fCUk+L;z+CA#XOy_cx|w`ogeRI#68FS+<k^ZwIS0!NP>d}^Y-c-yjH_BI9LC*FLC z@#HRCxJKkhw_YkAgY2tC2REf_P8K-4xc%}MrW>B?qN<ztr>Pkxeqern--M|mnq&X; z?Cn!3%-(9hE}gh`&c%C2SACszjO&z*mz;D-Y>s1Jh{W!5C3)5PiU)Q?c<e3J4PSG1 z>9n=~+n*+7%s+KdeaVd9drRlOU)5u=ZSL!YU3I@==G4!+cq`)kzvZW-rcKJJS+p)W zWc%7$Ux~d!m0M;{NwL~g{>8;=jg*XZ*%AZon~(XA$ybQ)eE3c?<aue^T<zA9X|oRe zv+aMAa(1r9l*a|%c#nSi&Z(<WFZ|d|WqBvFVZ_`JiJMyGt`8Jiq|@%&76vBdD^*vm zUfJN@T_CN0O!AAuc0CP+$&V+`&+;#|Wne#|RI?^+BD>b?TU)bc?o*v*l{xuqx9r=7 zIYvDHOIGJPD7Jijv|^EH*t_JccUS8;_gVRV`_{96&Dz#wWjmRVR5K?wEqk?l+F_Ol z|3mkMy}Z#UvpkkdS^oUT-1i=D<}`J<&pX;3b8Onv10OeAFdEG`b~oyG?fye5FL|VA z{cLh{(nwQgp0N4&e1qxTQHx$A=StdL(4K$7>ir@6*>zFRZo0D?h5cMK`(fat(^cx{ z+h4U_+MT8KZsXCSO<UJqd{-tbqgn1I9WT?pKY7;4XRbn-zKQHBEEj2*KNSi-y2?mb zZ2j8@T??{bRHhd{U^w~zuebi9B^xp)%e%ZP+$*xc$m>8t)WW=1t`qNB&vOZ_KL7O+ zo5C)|+c$4Ycm2Lper)2_%%13#ANV49N;Qr?n(}_Vsj#Bv3zq0YajWb9r4l5kJ3nH6 zz|Zt3<xb|Im3KJT=51T!E%Rolu=6o5%ardH8y-x(_%hTqaFeUN(3~umj>Wn%M<mi! zkJf#;HoM{6x!VUgF4WkUu`2voH}~;P<4s32o_^Tv7q(&1=6PD{ZvOg{-M>6XG{&;Q zV5(k^=6Mxax8;X9ZZBb<D!=Cui(A`H^>xqou%A<Z_`M}|^WyLb_mbLmj)z~ebqjYZ zeQ=%|azuLCqTF?Me<ku}Gk<E&IB#wf&04gDSJJod@Y{+=Cix9#-`{<|q~*xXqi0I@ zu6Z7D=al9IiRjYT**C1cG#)6pp0!=qE)|ru^VqsMKLqV|U0hu#^l(jLdYjfxS0%pd zeYq=EAHDH?YI>)}WQF@bt9A?U+KAuZSrSu_C!lfOP9b5^Q{E6A_L&+%*Sbp$Ue7bw zreD14(#-~m2a&tK@j6Nh2Ihp!J9hE<|BP2>txstz&7Xe$-G=S1lmE5W-jDt2l54Zn z_dCaR^>3mnSp_cH`t2d1g`)c>Z0Zl@@Q>YjbK=J(Pg5Pdcl<GzWL;^0-DrYLx#!oS zWVypf>`v|25_xHxAOEEqhh^(lZ>Y6fd8qoG`I~R=mbdq4e=gj4CEkc-adrNmtw$eT z-@f0N@6H*CIbBxg%OpyechvRtcE#naF}e6JxF&I~U9<R+hRqdEtM?te)L?brQtyD0 z(wgO5hrb+c@aD{my>tAYd;7`%b&3XTM@2&Wx#K(=zqP4HDSVog`lWk%;JYp#QNh{P zRg<=vZ{Jd8920)^`rjwNm1|QM&Tcr@RvlV#`RGOg1+m|LtLmx>nBU83)%`xmviP~R zNcp8#Y_}~76Hk3kU9vkZ?vBP<(V2$FQf24%yom5NO*5R;u<X8RQ|mUC=b>8N>enal z;GV?0`NWEE)2xndy<?YZn!WtojU9$F*RGi%Qu44wU=PoR-i6*>r7Ay`t+Ac`Rrgxy zx|@%~l2clxzs>Ww6}NZGpNWTj`Q%v-lr6Zr;G^h!4<Ds@|MsX`q(0C6f8@PV7rUUq zCBwtIEKXbNySz?(-h74s*REQrzU=IS)ALWiF}L7-63R8LC~L;HodSkD%L_DmbkAPZ z|75gyUBCbJhyxGfH@q}|`o&=eyQfcuvFh__THnvTY_qJKeC|bG`F@+3xknd$4monp z>B8m3nen-;CwGR{9z6MvIYqrN_07B|{l1>ro+oNHN1j{sSW&v-qwD^r7rxuN&wdb^ z>f@Guid}tA1FvFEd(KytZT;(wEH3Z{Gfw%tTU4|6&8Z6^*WKUWo-3xYbe+XNch>71 zla-^FE)lLbvNdu1|9qms`O~e@6Esh=c}{vIqrK~Pne4m})*Z4tUU>Q6z5eFS4F~hu zq91C0x>w?}DtGlhllXO#!RKOK?5SB_a;6F&i_78qRepO?V4>N{6QS#>I!m7w|Ih7P zxc5|S;cC9O=QOWQI`Ok$cekFG(L3V}OsapjJa>%F5HJy`Gj(JYnzYPinU3|hxt-76 zo7dlK*vF|Iwjj&#az;YEYeAjgw))=QmnYAcmh4=1i+@r;pylLqXU{!PyH*=>(KG9I z_V@o+A1`?*Y4qt5!{X_lZX2DN6_S@-I2vI0>Cw&Oay9np{4e^p8vB`B7zuuJ6_uN2 z{<wNa;h8I^)P5gK-}bGeGr(+n^{>pS{TgLQ=f3k>@8q}n;hRPNb(*t&oweM1=`+vb zL=lzQa~#ZqdYde^T;g<>nRiQj?P-V7gAb;BnlnWvbgO^Ef~&_xuWu-~QLDSNUNcv< zd-WZKa$ko$S=DutA0*%M=ftrrk6m@j?ewu~2Z7Bq4j%OF?ELKibwk?zmU)|=dk6DH zS-gI0_4vnr(eo4A4^GqE=CDO^vg0A1BQ-NE@)KNNF$7#UxwcKddwR-@we>qyyA(F& z&bwwd>E)5f7wVH=h<**dcJS%an|$*9KSQlw-kxw=Ct7Bsq1lF?*XKKy{%3l$UQd0O zz}!Dka^GzlgHA^sxMX{m$yt$U{n-xoRWpT)v?||C?|pYbkKLhVY4(PQg`z5fFRE<r zZ8?4R)OE?$zil1MUWNVYeAsMV^k(nws4a2t)*MZ!)c$#?>*IRuP4i5bGt17;*mdxZ zX+e6)qy0~!S11Twzx$XkS|g58#NBDp`6nTZ?v-r%qaHe4h0SKohq!N6iV}h)M~@W? zPq3?-c_VSxBH`^T1zbC}GcZIuoSpFf)!VdH6K>y5yl(!0ea1vnMz-D8@4Z&GU8^+1 zud`@M#nup}^9S9FSvN1tuRC0uck|^QRj0<%GWpX#9TzCws=TZhdPy@fJ?#2}=pSsd z-|lKx3EbDZ$XRX^A7t=ubK&dzubcgOCZ6Gau<THvlkG{xo`8i8+dgkCXh~suCH8UW z+;4AIo_d@st5$Z8XZhcCw{0Y912<(K*EOBEXVX9XuiB0Oc{FeGJ*WuYrmcRbHfFo~ z_SXwn9+@Czzk0&F2>Xa*N+ol88*k_&t5i*HT=i^u{tlZ8wmCAtx2)K^ORj?94bRVx z_2&-ncr72B+c`;ywRHXZDyB!C!8bMwYvvvPn#$97w<NW%q1ITjCRL|p_Xf7m>1TDz zZQiQs8^|vH{p#-KET`iV3eBHN(%zN4Y<(U7pusHQBa7s|Mc?&;Lp9oXzcHLLUDmu% zt}ptI-R^06?Ryt}czeTGV3O$ukyXzXHqPfUX!v{Z!22VDo_k~`a*KSGiOYDH##?hT z^PaR+bd$1I<J8^U9amiB!hd<0>p#++x#qHpt%cfC_f3bxKXouz*au6RuV&>4KUtW3 z*pvU>mY>31OO*{QoOG^C&1l}Ve&PMu+$%oyX0Q6-JyV0*H8p};p`3l5qmi=MuUpg4 zakEw?U0?hvO-#vS$3h`CkC#FpG$wCxG~JU`Zn;Ro-0O>8r1(tD6`GR4ioc8AF5cr- z>%%DjH$%1VM}~#Kdc}-9$vsk%^ZhH2|NWEGG40@<&|mM;3#%BuKl8B*k@xIQ)juM> zzA|&_!KHqTJI{q@_MEg}S7T#v>)wCgewlR(&xsEwg0}^=@9*YZ*}S2%D1On3@KaO$ z%Y2wu&(YrXxZrf>86V4QSJ%EQ3OLtx{Qa};<);{e{+4=qu6y;dWLDOrpU?SOw=AiB zGd1=*b7ae;CkqxI-@e&9X}f`Qz%R4Y&XGLTg5OuRyt-5&b0_2F+ZBDme)}R!czhkK zBDV3%X)~`spk}niLR;rq&k-w*jF8_ZOig_|GS}XHCEu&D@^9kGKaUU8Uzr&b7xE8j zF}H$3ib=8p2pF0g7_U?iwo{z)JHY9V`1G_18p|)1rW<|MS?BT4?|F9fQYI$3pWagI z1;Vc0zslCiYZ<6{U{W5x_gZ1z<@Q%!HEw?Tz)opS`Gchl>ptmicsZr($#d&_jL`<1 zX`N}wS)C5sRzI7e^qTwjj;tL&gI+d-J1m~Dx|s2XlHo>=!hI3z8@YrfIAt4Ep03Q< z%M+EB6(Vu6=1S6*Ly;SFlKg|BYXSvZzbv?VpyB_5)FUAs9qSL7uIKJKydXc{@BNk! zHw%=l8mD&J2NZfF?Bq%g`TgW$^ZQ>vj@IvKnZ9evl~p0nrDLXByE16+HHk92b$9Q3 ziG{ptithjMD*5pA#1}c<!x!upTXY^i7g{r`>!C`ja%JSk4f}qWPdj8CDEn#Vj#J+H z1$Ma;49_OG|D6)`*`xQ!!$YEHv*I(nq-I@eFXFt_oF{QrT{*~~>G_&Nj89za`Om!P zw2=t#W@Zs#00D*AsHl0#Y|m7s85uyBmw}TZu{0$!KcFZ-S+BSxF*JmgfqC!yed!uo z_5Ut-xQ2;gN)B6H&FOG89|a$&X(gc$kP<2?>8Sd!MYC0SnTX$vAd5t=hb@^KE_z>c z)8EV!uPV1p$*fjw@n^I0wAY%Uy~5mHoYM`H-R-3Mq`Y*C_Hvi2pHjN2I@v$m!);x| zp5UV$(Mvm`TRWmtJEC1XqE$PhSv#Viwnrark6zjy-P#_V+8*uN9<ACQ&DtLQv@QB* zTeRx&wLyp1IvrkXba<`O;k81C*D@Vm`{~fyM~Bv4I<&UwaaiKRu*ipDI}2Ce*b!=! zFM1~W>8G%jg{vd(X-(bE^>nRK<!X<6S`)W(Jz4vyVztFRt={cikJoOhSgmnSt8+Wo zqqRj9t0nGfwQlEnxYnp*HOD=z#_e1W)_y8q{o}4y?RKvFYd4jzesNc;ay!?(wMFHt zZ`{=?-OhD)tx@^v6L+-=w{zWD`>Aa8j=Ng9+qrJ9-Bh-E#a*q;?OeCk7A;Hq7UI?! zt=1XM#yL|trDuYsQ(MP!Bj-DscMdaue{sOpqM)Dmjx+Q369;S!3i`S49A^6d<6FqL zfNwtEJnY_fzG-|@`KItqX3ed`72kF4*>;utKf2po@m=PgZCknj!@JHE-+AuYHkJE7 zxXWDe{m)(7x^n;fcMq3;e{<Kis@(tH-RAP|ckbGjmHXel>s<c*%w5}}a{oJbnajWL zxoewO?tlC4;j-^*?%HOR``^0TT=sp=UE8#B|C@K6%f9#AwM{Dbzj2qj?0d~!+qiQ7 z>vs>Ae$V-u@ipaZ!q*tvw;Nxre6{e^%w59I+og}UOD}JiZf}=PZ<ls&msW3=W^b2% z-X?v#O<MhUZ}8z>=fl0mhkKO|_X;2GWj@^d`B3lUL%o*|^)^2~miX{k<ile-3m@Ou zQD~GebLO<vw5k4u8u@chO1pnL*14xpA%D(s>Ej=dW$r1I$e(jm+Wq4(&pm|#`Ew3S zAOCPnb59{h{+xr-?jMeE9$`H4^Yh`C)m?|nAHTV4QDyFTueZ7U@twOCW#)c&d!5T4 zpSf#MWbSvTm%04$p1T%#=6<((50^b&bJrrv-0xOzbJ^oLcP-M){ciR;mp$&eYmsE` zccYiN?eo%aThw^po>}uIllSI~2#Fh)XX&rkTeo((X;frHxM`Sw_NuHES<AAPWG$L^ z&EcxeRg0@8R}E~}My?86<+;jn)}xi&nu{79ajMVTmC@9xI>qDBN^X@tgGC%l^L9x% zbtp9ac({^VzUo0DyX?GOCm7qMb54A`;>v4sM!}iKKlZ?fCT`sa6|b6FMe}Z)sCmWo zc@vvoX<}tM_uk#E_wF*i&nqn7zF~LtmAkqx-iZ~LbMLg+VZMF2?yVcwu3Vb8&B5AO zTe<pHl8+A0o7b7#>-E;@u3fIXX~ViTtLAN6Fl$m*Q`N0UD_euRmx=l4PMfzaU{|!t zUEK-qW@^5Z)O<Hn<DI0&yP4|mB-P)|RC_0>_HL%?J4w}dGgaP6s=S-2{7zE&-Atu- zl1lGpD!!9cd^c0!outCMney)><=@SednYOPZl>%zN!fQZW!_23yqhWgPEz{aOquUK zyx)0vjg4(=y>|Csc(-`-?-OtAw08HOc(-`tZ;v;2T)X=Zyj#5f_lehbuXgqCc(-`% zZ;#h@r*`#kc(-`<?-Q@=R_*Fv@ow?T-yW~*x_0$1c(-`@?-MWWvUc^)c(-`zZ;zLD zUc34y<So|BQ`XE|tdXazk+)bqPgy;0v09$8THa#SJZ06q#VUEqDtU{Q^OTkI7Axf` zE9EU#%u`m(Tda_$tdO@@K2KRbZ?RmSvRvL`**s<0yu~tk$})M2rSp`f^A^i&59Hl0 z$h-X_&-RHt+XH#F3-WBg$i00c_x3>U?Sg%`J6dmNwBCMkEH~w`*{MCf2KkFm-(GPn zHzhOVXUU0=a#?%YCEhPQTJ7;s&TCJ*!25-Vt51B8)7sO{@qXdKYL5?cTzlFX-Y?u= zed4{`tKIEC-Ywi)?eSjj)b92V?-uT^KJiX&)$aBe?-uT?_IM}PwY&YnyM^1UPrQ}O z+TDKR-NLQa9&hEmcDG-6w{Uayi8pduyW3B^Tez{><Bc5G?)C%k7Ot;8@mlWHuJ#@8 z7Ot)KcrABoSNn!{3s+a4cqO-LSNn>03s+WqyprqM)xO}}!sXQ`Udm<dYM=3L;nHf4 zmvUaa+9%{K)XY=V%v-3Dr>K#)P(4pkJ#V2}o}yabLe(iAOr6R-0*_w$3po6F;LPt| zUva~+U8bPoS8+41W!8d+FVFKB9bq`k?Oz|0!N|_7ZS!|$;r|;u>W$vZpZWd#)4!F8 zk)D=6zqlI-eY*JZrN8pyMM;k?%O7z*>~v_keRES|L%o0fpLg^3{ceB%@8<6MFYo5> z`OW_R-_G6jZ{E${^}GGuznQ!1pS+vD<2U=ef0euI@4TD8?RWdzf04WEue_VT<v07= zf0oAD%F@+clfw?}=DQdAVO?W6>z>#5WB<OpRUW=?_q)5H@7Gn9AKm@>ZtUB4QQ<FN z#Y|mY=&yYz_Wrw9W!Jau-gLM0?YgXT-`(1`V$Z+JD!aaE_oTa}Z`LK1^X}HZ5xf80 ztJ3T1b{E|(eZ4NK{Om66Yq9I!WtCoEwL9o;>8o`?<-NPKuf)!O_p0RjvfV~^OJA<@ zD)-%`eJR%HXspuFSfQh_Oh;os9f^H(B=*vg*h5ERHyw#xbR>4tk=Ujqu|-E>la9m& z9f@^15^HoMR_REr(2-cCBe9<j$38k7d&!xTU#U{5LaAJ-%-{8u%L|uhE>Bz@&FebQ zxu<hS=a$Y5wxW^3fx@1`j>4AJT1jd_YEEiKYD(WiRD4)`o_HVeUh;Y+$Mh4^I;MF{ z<G2<)QCm=ZqL!f6#FfjHf|LT40+jrf{QO;Kxy*2x<}$@)(!4H(Rv$qnR}T@z02|&) zr6Zja)SPB;L_W&w5K{DPQ4lP0=@9gH?&45XO6d?#bZV-QVsbgbuV~luMc~lk%M)as zN;pm`vb0Z-p4WCmV3P8ZHUTN8G>(ahetLZGG*8TqmcD%}SYWHk7URv!6;e}@lM?+M z5A55sYsb8%oXph3SX<VOs}{|gRK+F4`t`|`FCs0RDV#2xDx54YUoM}Z#HBH>qDp;M zLK3IyJUdQMf!ncMJ&8lHiQ&=9`VR})<;p6mWQz_wWRsp}=ME}u4_`iBAo1@|Yz6o6 zk5?*7t=HzIUwyk|*WBRk!LQ2P?)t5`{j<b+ZeIH3w<){kdT$SYS*CW^Z^>@Uqj#q~ z%5y6;U$|qo{~cfTcWJ`qlRJ0sJaRYWQJz|%`NSQw-S7A+ze^J=pWLzA^2lA0M|o_8 z=8ZdM+u!k(f0xEzKDmAO&ck=FJj{DmU|zUmw)q`j>33;;yCV<ZUGgyRT!DG&j@gEH zd?nsJ<1WA4y8Gs#yGIJmBX`W!zH?T({PMB8XZFmVQU3W;-nAXGr<HI1loxdG?8)7l zmFCLt)t=m~*)zMZeDlXVqkCtM?p|48F8p5Y(OsK8v)jryf5;OuW50dl+Ld{;mfTg# z*naY6Zc><=ncBB2DsRjfcl++k6JCFN$!oDlVZF&~mn&s2N}cre3QKoUkkO}1_9u5g zR+L-ZlkF{Me|&f2jfFQR-e|m0_+!iA4U0E4Z%E$Y{2|jlfj!}Pe0#k6{mXp&`u6he z?c2k*XSr;7dHdbFf#u~F?#dRIx8J!dSYCeMu55mJ`|Z0I%gQ(0mCY`1zjb$FS^0vy zvgzgRH}3|Pl~1@Un_S+0<E~&?dBa`V`11DacQ2Nf7u=PNmW`5)l#P%LmkskzJdrnJ zqqN(bHqPCN2l8gDmp=Bo?d7h-9eFd>O1r&oJGm=yL*9(l(#Kx4t=yHkB5%e@X}4Ex zox2hj<jq(vee7jh=B~sUc{7$uyS;4l+?6=t-E_@&;+pTKYrGTJcsE`Bow)kD>1yx9 z)!t24eJ8H^Zo0}lag}$|mEVagzniY~PF(5Tbj5e#itnZ?yc1V=H(manxcs~6a__|D z-c6T%CocPLy39LqnRnBr--%1Vn=bR+oA*06@AuO@-@AFfd-Htf=J|e_`+GO{cW>_R z+<m`WTYsmv{(gGwZ_?wslY8O~-cLXMd+D*iNtOFU?&(kYe)`E@rON#-`y8%qShJ+& zR8o+U(5H`@+{fLHxgK4vBP7Vr%RPUSf{X|cOU<cA=hye=zt`m6YqQ6C_i~+AFP=Sl zG;dQwb#Zob^{FIZUEa5EG`Tk$Z8F@rT;~udcg@@M;MS#6hpJ9JI<=}R>y;+=N(~J$ zf1Nq=Hf1Co+;YUJ)AdrYn1@dA29JY6tlT0x{x(*2u@_J5STW;A3G1VUiHZ*|i$V(O z@E>14zI|yMJF&eyJ^XtK>)o!MqD9(|!VcKRe%y8_*Y!5nHqmVV@D+EpFTE4Z_};a- zy7lJXMQ`F9%hpTm4)3_DedwKN!uPKA)ved}E_xkzuyp;6UEvvbwKu&JjriWRy1Mo1 z-bJtC981^F*cI+^S9{Sr(SYw=%d1;2?_KmV?qJFKh+W|tceN+I6ZQD+SGZo}yIY~Y z$alNK^}^rH3iXA*>lLmS`mR=}FI0Ubc<QF;);D32yF-uUP2CX9`X=n>uFySsQ`bee zz7D&&D|AcV)HTtpufulk3SE;obyalhtFW27LYL%CT@lUt>f}n#)|V?!P3oHDHHqtz zh=1^s4yG>kITf=mu?Tx>Oq_J&vWQAhpMlcS4iTk!%XkWxa}+LTDO}D_xcpDS@*f4u zzZ5M0P_X<>!SWXc%byf1e^9XePQmgU1<Rl82+rCOoUtP~ZAWm*j^Lyn!3jHp<8}nc z><Et95nOObQ|FGR_8m?0cOuf|UeD$>RW6UYr)m05<h1qDPksyc1gpIlIXT5+<#OA= z0DnJU|LPf4)2gOaO{$tO?~{+Bt4M&&$0^4<)n-IEbuPE@R48l_bhUY^_~@DBqi2GT zo^d{U#`x&j&xg-GK797_;j@PipWS@;?Bc^`Cm%jL`0&}zhtD=Xe0H+%^Ob_n7YaU~ zDfoP%;Pa7!&j$)V?<x4aqu}$Fg3k|jR7UKm4BJtecgMyoZ{C^N?4O_Q+*6r#$7Y(j z|I@S1m7jg?*-SF`e{z<&^0Uo7n?7^@$7c^$eAc;V(`D0X(_zzY)8=2vl`nT_cKU~B zf_o~N^5qW9e%^oly=LF_-pipZg3cTtzo>QmX6yL<to`?~_TS6ef48;EmYiU0Uv6%G zW5QxKsd@K6jc9{CAHE!G6)V`V;n3yT(%*Y~f2)1ocW_U>-uu~7-+Q0d23Ot>xMx23 zd+(E4;mZ3C_ssjh_dc$@Tyfvvo_Y88-bb~QEAA`YGjIRi`>-~+;=aH=^XBip4{C)g z?lasoum9eAzxHzZ{SSA|tH1Z&tDRha|G{1J^6$N|vUlg)xjcKvZ?<>)DtG7Kc{h98 z@3yyTZ{6Oiy=8m*Y|W>Y+^0NGdYo9UCnqZ{DL#K!fRBrfNzJQ86AA(hKCI+EV87pP z-*UZgUp{?!H*eR5)r)6Ou70J&_wMZ4w=21~nQk@NvRv=nnNuf@&D$lw&HVdQ)vHC_ z+1}c(S90r!hwH703E8=z>Q&OKGR4x}9lK&3?&{6U6ZhNRz3evY<+n*M%NCaG_ShAx zaMvXGj!DoRlfXMB0e4LN@0j@Av3S|T^WBH%I}gwIGu+>MxWD^wf9L6|ZfmVhYps5E z%r^P)ypwy<4c^Z@UA^p>ZF1%LkbA~czMpwwt6X{B<(~0`?`Iy{KCU=#a?iNu`<X|! zi!08n+%xX@e&(TVa>aR(d&Vt(CI^oQ`PWX_Q9Efz?Svh*eLHG<cGPz5sO|V5dB~k( zzCuky#jDTF{rq;eKR!Izd^lKMwx;OA!Gq7)<fZ5Rdh%iA!FIO!k~Jw6uY{ZVdF=Fm z?09hbP_Vp=oz9On4~h>TKNdXSN8V??_k1b4Y5uiZwHmc*wJNnrwF>j40`Iv^-ahe3 zu1KY{<2|?j?Gqp8Ua62ayyw=ved43sDHYO+_uSgIPkfjgQXwsP&#ig;#0R+|71E6N z-0HVayq|leT>9f(x9aT^@8wP@mwtHHt$h2$ySX9d(iiW#6>pz-Cs(9g`ruu+{OuEO z=Uyq3-gwt7d;7#&xl_ud7v6PC-#+nXZb+H*#Jg_E+b7=06)BT$yz3Ufed6`pE2Ywf zcip15PrQ~prBpicu3Px_iC1$&N~Htux&?2acqLb)RNC>boB#HSmvgU_NE_aD^WHx3 zQtp%zX~nw+%XTDs6dvw))W&#}_i?ARo3OcG>p>Sm7aqkU!3z}{ejIF<o5%HWLo=V{ zgAXAu*rbcDI2;aMD4Ej1*d{)YYv%<fKC!S0t&+oTYR{eqFO-@#b;@M_RuyGMdD;0~ zrbc>NYIdSdHYPeMHCiSb5*$Cihn!g7pYQ*EWq{nhxv_VH6?a+gwAiuSDL>CC*D1#- z+rRZn%cYhJE$3R!%zG5FaFf9X{q@W3V`HMDBK_+@{mvEh{`vg%`0Mi5;jfMD-@;w> zv);)s`<?#sU*N9#N$=#BSX&*Ho%*QHt<Zhpj>Z0W0@dGT2$xUkwBB_@HuO=STA};I z9gE%X1S-GF5G<e4VQqCpR`gLHTcLa7j>YzO0_ERj@Rv_%x88MF_UgmFX9ezsI~JSY z36y@9(PtfXSa#{dzH<fcsXO*xlt`%f)w}P*mE^^GHoe;l+zamnioJWmRsQ8zY%#z1 z_XRuMyQ|OKaegLe_`PB0@zb_3?=BYci+xYn>Fyr;)16Z()Zp*|p<Y$tITDVqo3}7r zC^&Mharsro=V6;!x+Sc39P!|ot9vHl!NZFeA6~rpF|bxRfuVtY$)vNJ)q*FV{OPjm zYDn?54;RbU?zWuyV}hg0n#dO=2U)y%HpD8NVhWTE_Tu@m=zugw2?s+=dvJt%@LcvK zCl<8v9o;4@V(Q?`%`?kyx$u&gHC#-eN{&>{c=Zu%e8r9rhN<fOn@rA>tTUO?9%J>r z@ksk@cEP?s4F`1(v|I?ZHee8DTfsG<+{LWPjZH&0!Iz=hxk%Q}OLM`(57Q1taHLCD zST)4*u&p%yTd{d&UBjK3X7|?^=r}mNYINMR(x7bDoq4wkg%ScfO@1`(oEgJ&BOuYD zFuZaBvw8u;<j+jZB0Cs-{Mgf47}VH&*wr313Y#!6Hwo({Fn@03iC|zfu#`B#;KL)q zcjf>CyO97RSH%G)%@_tI#gZD%1BaOy1Q-{vGng?nFdito(pSGArAu?!j7PrnmFt9L zAE_%j{CU!DuWHcDY_-R&xW$2Cf_PkG!JivD&Yn*-`D7O8I)CB8+dp_dGG4vQcH-^x zH)i%u?g{Qu+dH{rLyubAJ?8$x<E4pH@Eo-joC{R)m`#rO^YCxyJhZTFfkaZ&j$VI( zXPI?6i~F~CDzV)=#_pkSRX$ro`c`gD-HAo9+dHEqeO-HJEcuZ5$i!A{hCuR>Tmyr{ zOj0`zaB_Tb;F3DP!0IE>z%8)+=R<!<SA&v<iOg<1_7-(Ui>C&jc#(9)KuY3i_n{r# zhbClOclXTG;MuZq^9hAdR^2@d*K9r^u*j;rXTkE#Cm5O*mWWB(2hMSIo6@O#j!E>9 zj;po6)elpJ#rJ(GeykICX7i69A@i1UeA030OqVpW@xE|Bvf;zIPtN7jG`76evCB%o z*ql@*W*46>c+1LL;A&)D*PL@gb06v0x%$jWUD2_bgSBv)g4{W#DUWsR_2;NoJ=U>v znVSBy(8gQjqmG?(WBSjF*4`!WbnKjR(tjFSdw+SYW9Jx={&S+0_mqy8I(80$bDpMm zZg%Om=sw@ipWgYbKy3aCp7hRL1!D5^&usqVGf&m(h=i`rC*@tzO~PK>Ld>^*E$rem z{pnFC_ChFqVql7kK)hmdNBjB-iY146^zQsv`BC|%gli9<TkD@iPL+X*yH;F?4)Iv4 zQ^oX6RMPh1+Vv+4HvZz0bae`hpXjJ^<cp}Jb8wAo+XUhGiGov(gr_hFeiW6ow}0_L zRMO6JpHMSr-})a1|9D;bq%9$F!1GCXiU_MAn=BhA+gH|ytY=wwwhBxUa}tQ;aEw=E z$z%;}6<`r{x)8(>)WUGZg~P$4g~7yy!@;hFVTv<{gJ}x`3$p;XB12+ZLKB;o#(twN zIZ<xL#%~R88XnzfIJd|lDR99$4FRzWQ!a<I2?hv>#7jDP*QByY3LXhR#?K!wc~N?w zQBB&1!iU<6m*3mbb)KKm=YoSP?@6~Kt9gFKs&<95AD`ydP^EUQ)%59?DKGei0z~Y6 zTqb_dT$IwWe1-$#JUL+>heS4Z3xj4hHjM-)e^wm<MjoFJ3~WXP6Caug%{bU7R`-aJ z(dd9f%F$(R`F~tkMf?mNG70WD!0NWHk16D<@mFDa{v{I{xgr#p6a(!iGW3clI4}y= z7&w51l>FN-c`!1mU0Bh;s`O!5__FpNGZq|VXY`nE_vo`rua@nT<kXf|pN}}FxJ=#A zC1UhJ<&jp3$ln5CNf)apJ34t5O5`aia~$_gVMx8_a?D@#iEm1a&OH~mD+V9bYZS}P z87KWddH$CFqEvf9PVS$(I{W=E2UhtQG(PEA>|)!{$kFm-hbM3Ssg6S*ybduq6ztjb zVR^u_NSg*mt{D#+MR*uuGacS;wCU!VKhNXXYL{xhY8J%_PV8Ra)(I{Bo!Pxe_SI$K zvK1$$g@*877V%Rl6p^%56(|&0AlLV4@!`Nl<{T#ljxb$#dOqdL#rF1(8e$y|>~135 z@r{4t>fgmJE>vHzAncpe(~nhO4I7zc9-Lgnb&!QWp@B)!*S_<Ug6-@bof8Dx-O3v* zL|7-tu5&szf!AnD!r>zIqV|s;v|L)kCAs!=O}H1@^lBZ`ld`GvH?MX%+P1G{OVg@` z1$AZ976tuyVNy6%AR(aXNT{C!Bcn!5M>w|&1Ea>B_Hao%E6XbdQ&(`?S<MW0bYKr^ za5%Z-arg-iFO@%BlJ-{hRq=|4-Iu@9PWf`6_Nf1@aF??!N1C=Ztg2hE$j8pgGk)U1 z9!Z%83z#(>9FB^_D=NQo5pr><-QmTjnWDhVAHcw*YPn9SQBtPkp+=yL`$xk@CaIbO zj3NORiBCRhnCi$YJyxIeG2nx)YhAwLsp``KccWYG+!NaJO-y0a_CE_E_8w(_rDv;Y z=~MpkXW=8=i^}&N39~-Z4V1n2=&|$R=ta6S1e$~`6c|O$Z1+fDW7k;!==n#jMIGUS zP8`w=EOD(YX$1}M)f~&r8LM`mJb%k~QHr@?1E+LN0t4?nZF9GfIqPN@B($;mEI7b! z<B)VDP{p8`+0o$uvuweq0wGCzbMrfA3LYQoozHOfN9UiD{Ez(?T|6|Of$`sy=gZ!! zU*zk!@z;gP*g~LOh_mDYli#+<Cp30h?iSqra@WCKGj|pK+UdEgt4BOwPsF2ELH(u& z54DWC;_iG%Y7^Jnv*Y8UW85djd-Vn4dG>uctvyLOs@m)1&EQ40_OaoeQGacI?s0h4 zX%?8ma*^NJ0-S;ZdgV`KEIPlSpI4qs=7a-N&WC5~9zEwQ7d2|RcBW2=S)=E**QsSM z)m?-Cw5;fy&!hQqvVx#;9g~X45&t@uk{_M{ea?+Z7GKmq2gsjtu5NLY;pesb<EbDY zcb8SE*nVQ*lW!uDE}8xl4PCy8NIJ#)PZV78RYcMu)HJ}})Z0GDM9@{q%H>LX2H!=g zMFuXB9Z7DQi~GYT1}^#`BI(*1KGBh-LR8YZI((vHO1Y?{V`2D2#v^5-lJ@E069q+g zck#^ElV7v?#0$;DSIb+ZN~ca*zMR3d_G$V{?LcwQcu?9<53YHt-nHFjzChL^T_@`r z)&RczpF1DwUX*b(*`vDRlXipJ{ZGO_r@c@PcpTmEZ6A}ydtLkT{LX8C&+L7w{zkiD z-@Q+lzfRLQ8#YDlweCgDeM}l%+yB^noR%SM=e_6Xah3M<47(oc+FRZe@?H2)SJP<E z(&H?`K5F}wSY#d?;1o1ic$`_H!@*PGzVD(+^MeQ26fF)i83%Ao+}+u;aGP@~$3j^x z<%~B0k`8h0r+%EY3UQnADnQcCzkOSP)s^%Yoz9CrWnL+(99ei#wyB6=?}ub%ua}oA zG+5nu_|GaZ3vvCQ6PV8N|I6#gw>NhuTMK_awW(`X<}AmqCQcQfjul4E92c4U&Ul@0 zooTbn+;paq!A#wmDjL%a9%(u_)jU1kzTTyDLt@){k-Z;8mBjWLP07^!IaSHsb<g^a zS%J2)OEuD7YPbZ;q`v4}%%NL2MM0&HDWpZfUS?@V`(lB+1ydBI`jl7>2R21na9!Y< zY1*e`@^i|9<I5RzmBj3pYHWC~;apMZFDO-L?RD{HaL0jpMpM3uEL4zCocCz6Gp~`% z(vH^U0#_?e2|NjI5n}z{C$!|d$bwzV|Ln0<on`i|bc*7d<s6>2sxMMsYB~hiJXP;p z?$Z8%v3<G7*$*O0GX9dgYPtfR1UHGzX9|&cG2Q+9vM{!7siIF0nY0Rf3VdwlvrIZL zF_Lp<hQx_-_3LeB&!)P5y|PGzRoI>5A~T=Ko(+edE=g8;I6qGI&a6m@>r+F7xtFL< z(O~M3&6%)}Ra$4-#Gdx1+KS@5%#`@3&;T9pAd|);0(MUVn0a&(g6y=!nBLtyx^Zr2 zX`-*?S{28QErO083P6?r3dNfp7GMW`o2C&dA%3lEmHN6zn~w-ut_xB*JpDxL`U_n5 z70&1fq^3OHuJMsOMB+!8=z?{zlNNER)CHv+ei~ZG^YX}okZDUYm^u~fg1#K~Z>u=* zP~F*BMyuuVg$1Xb8<qI~2HKcdRjlBTpL@r@>l&Y`l6A?7*8z&Fotx+Jv3faX9qMpy zZ?Z5sb(q)iPfJFtbGr_|=p-AHjE@&G9>(8W=vv$^v{BY7q-@26>kA#j+y6PpO1TDB zIdLkt{ooW7u$O%0*gpTql@CmP^F<Qnyi6)z1Uz2o)ZWg<D#x{@{DuGBg%`JP+34K8 zgHLspRmq8$0g4%y7CHvXa9ugF(B4x<O4q4Fo=^AD!vG~2KG9Q!85elknGP1b;O}!@ zJag)Vb}?N!ua=4z0S^~CHMO(-mE}58{=)y>!ob~@W}7$Y>1t0_edT#oNX;ljSSe(M zn$ezF4vh0waH^RUrc7Yu)Z~_re5hzHr^LMCSp10}6aR2aUOcvc!b9QaAL4Qc4)pMy zdC<U?#P*-*#d`~*hA(yR`jj8L>%A(Ik-TUkzxnZr51iF!eXbX#d^z*s0H>S-1AEX1 z2QCvI4n{_`3I%528I6rRGXfY`3O;OlG%?h7LtJvvR{=>^wIHn-Ke!}a++|#kO%QOO zsCeaYU<%910}nOqw2byUu?46;2~2UR4Uv#@WfM5be?Qpg%04CKD7I(Lo4$%HSiSy_ zPp#^&FQW3I-tjLPXFbw(^{;8o=v>bu`%!eE;=W6tKOYY7D*M3|_@!;VOK3u!>z5DO zc50>Zjn-a*w*xya^clI7i70MZ%u*`L>hW5`KHR-iC{T7O$14pNA9u-B9`1rok2D;8 zWmr8r7yp?eQ#eIXsZWXJNN`g{1(yJy|4+@6AE&I~Kgr{-S@lrEMOjBCmE*I9t<xlb zL8(d`FTqE_9ZeoyO!JLILKb}&S-4;l46a`OgJr6N?Nap>Dlau1gJhO+WOObUU=;i~ zMR9@LJSCQt!@*4r2^CxyJh<ICe{K9IvOxay@;`qZ>{Nd({vk47{?PJ2Jq&WG8t*lo ziv2m0s<{Gg2G{MGCv>!`%l}Gn)gl#Nf6ZBsG+lgbQde{==lJ<yih|5MCX>U#RUUCW z9JrK~E&75?CPkVAMVc^0nrw<NNs2HLiZD48Zc^lKqU3IJ$<3t6&BVyf<dLh%Bv%tB zSCdaBCW}l|gpN+&5O!8_=jr4yRJn9`0tcV7k_kuW9tD+6O%qNmop55wgpS1%JQhvh zSUBOt{jQFCsbMa!^foIomCqENxb^g!jv29Ot}1Vgw3T9}M+)xTb*8K1N@|$PEWOQ2 zO(ip@PEgm|EVSrIYM4{kjx$~y0@EWoZ$32ARy2!AQ*~)Oy{2VL!OW=w($gb3H|{y( z<?$pn%t=CjvrtjR%&7tgPp@hD5}T&V^4>^Wv3PnUXW{NMULLnn!<<g&Z5H}eDmh<3 zcm4#e`2rgAC#cR}E@F3Ht!AFb8lJ+L3i3@w9IF&L+7!f^44O5X<r+mAxf&TDE%%0b zIS%JH&tHDy{N~rsJHLLO^Q+S8ug&DT1wSH{vRq6$H25z{FEVzC?YP%*?Zd<`nO_{g zNPhYF`Q+z?pBXEIfA{b#+;si~2h;B!o&{^qpZKxyS5HsImGqP!8yXC1kF}?Eoj)N_ z^vKAlWzvqG9t9;mW#$*`vU<wOvmP56)g<lc>6s^#@}(f5jor$Dk@F14L1q&TgGRm? z0!&O{1u<^N{GUaqxM<&3I?Vn_*QiSDzL4%8!JcnFZQkq3zBs_cx1iw=tHvCIW@fdP z1_qJc+XW`Z9$ns4TitN(E34MdOQBs$eh6896b*VLopMCnqvQSge*XLO<Yo7{UTxD4 zC~14|U;9v6wrLO7g!2KVziO*%R!x4ZoqR&`2@luHU!D_-Uurq1y2d<hZ(Ggds=fc> zq-PI8tFrEdvkBbxPx&+FuZvsA8+D_aU3E%}m$R&yFB14SMdOvalcMkP`8>XbD+Gkw z*+dI9Ch)iayHk*1(&k)yNmPfQ*GoP4*cOAY-tU8UnCOanZx32+qLpg(C0*l*a@TwT z-dc^q7Z)xZ7ij0=t^HGwap3|xpR>F%Pwf_iFB2;>E?!`Ny3oa0zPFu^w^l+<%jC<) za*&#P7u@e$xV6wuDW#pSmNWP75p|B&>Mo)7l9PUQ2Anv4*}taAZW7;H&Z<Wj76_=- z1*IHW=;9z_wdC+ZCsP@zFNYR7nDhPJXk)@vxuXBkLR*KHcCoLrUMb}-F5F(|l-15Q zQ<h7m>_z|eg@J3GYuE9;{khO;i^i*fMa!I9*OX*j5NT&}EPTN)-0s$IamBsExq6YU zOjOI^g)WwSva=orC`$10W<3m8Aj<dmq>V|`;{bWVQ_hW2^?Zw)?CR_z8#ome6jI)+ z8yieu<W*^4<SJRfY^*eakuT!_3-gXUcU##bzHX>K!6T~ipi$Vuz?oT6gK>8ktI+{Q z{saYPL5awh6BbpaR5mb4NHj8wEMVMpDKOG{$&N1doE5UZF)l43o&7ayN?rt5PuOu{ zf}oQN3n$~3M!p_~!WZY+tqdL=UZ}oc!Qnu*geF#{3yeb2eNBw~B@N6frZTBaLJJt! z<9-Tpo_WCVB+x~nflGk#?2880pbHEq9|flTk+5@hGx?xtRP$q=(&FVUyO;ktVXM09 zo3j|}=a*Go{hT5Oj&A;(at9n(ytd5~+PbTgC9U89i#)H@qP#<lTm=sprHpkR#4tL9 zK2&y=6L{n>fvv%y-~~UIu}(sxv+oQ=Ca#DFEL;^0OcDzmVi_Igew@OWpujBm!GTM_ z;h{Fe3SNs&|6NQCR)?HzCOEMfI4}wuIWUR@StzEkGF*M8rT5^Gmdu6*PC<)<DXa`z zk6vd`U!YJd#%8dPNvwl`#i!!{r&bt4K;pWhCU!rEh0JO$46VW-QB7@z6?*9t9oba2 z$hb7~Gd&Px2oYod=)exL!a{<vnQ_L1gX~HP4x5-7b``zo@9zuf=bPy7FY-)%*JI|- zjf`vxPHf5<2@L{#8<-gH>wY}e!sPLdYa$Q-1V6Kh%*z;*3Yb{Z7JLxidce@(Ad`p( zBa=eLp$0+6W~KuoUk?cUYIJ<UEZlpj<v|P6fdvN2j5>?tYF!L&{&>USTD{13CeMO` zCN2?$g*zWzW<Jc$(bmu36A+?)Yq7A%+s=72K&$>VBww!B<-o#nAm9KyOMrv9$%i(k zdl&XgzbbeBou_f1_wMe6Rput|+aBG$uv<E--1&8$#$DdIyB8Ljo4ji~boat`=~rdW zxAQb^^TzI8m}PGAwr$bf3!A00%A8N<Y24(M-Mui$+~iGL)7=Z}rC*gg@6OY>&U<&) z!YFf-*KJ96FRYf%Ds^6+r*V~c?yiMF<|eP&obFy&F8!*+d3K)0W!~6b3%$%uUbZRS zRS&+S9&|@N@Q!-G9d-XZ>V9|BeebCI+)?+wqwaM_-SdvR#~pQdiH{-2+vPwDNS0e! zJ}7ABwVe0rO2O+31+UK(ygpIz`bfd+0|l@56ujP1@On$Z>jyhZBX*RA?I_K=V`Y{% z_e?bV=W9FnlxE$rnzr5V>00N?*FIiuPIh)z3v*IpLTXk`?x@I!_z}`x5MUtiVdc5` z@$&cY1<OL_Nv&2pn=e;hF8n=&{oS?7-KBT(=5C8_e|s%*cj=Y9xm%*y-(IuaU3w;O z?xyJWH`gS0mmbNRyCItW&9$GGK34rwdRy06w%=lR{EWN$r{0ODeDB`)yY<Fjr8jkq zW&3~Zim$k<zw4cN%=hlKzgw^UReD|5Sh|15uK0+%`m5fFhkWl|`MdSXU!_-djHUZ4 zcEwxV)t~iF+~<4u(%-F@{wlq!Yb?3#Q+V5>@U~0gZHL0!Hifq>3U8Yf-Zm(_ty6eg zqwuy$;cbP&+cJf>B?@ng6y6pnyv<X1o1^eHOW|#X!rOleZvQB_{iWdchl1O03U0qB zxc#Ky_Je}kcM5LbD7gJ(M{d@R+>9N$X*+ULcH}1Q$W7Rh8@D4jW=C$+j@*JfW;%Dw zwC|Xi=SfR%_kEVzTzNa@o|$Q$^l9nVtl*zh+B#>ab<TF{oUPV5o2_&9vyR!vI%Y5H znBCSfJFR23TgPm*j@fJ-v!AukKGr^aS^Mm^_StFev)$TftF_N&YoGnBZT7LY*=omq zgAe;UANDn7?&a~Xy_YAy{r2*=-x7D%Udxl;dRzVNH^<$z=knw?-(LRao8s=;V|nr$ zZ>zug#<;t7U!MH>+sj{nd$_B1Tb}&d+v=~s9o$vBE>C{-?d7k&E!<VREKh#rZS_~* z8h6#s%adPzd-=<6iMwj2<;gF-t^V?x<F4vuJF1uLs9v<AdcltBc{{4-?5Li#qk6`U z>S;Twr|hVnw4-{$j_ST0)jd0^yLMD}?5J+rQQfkmx@kvs!;b2@9o02Es;hQXSL~=R z+fiMzqq=BEb-|A6ydBj!JF1KB*qUB2Xy!4rdE5B#UFE}fg%96lK74nu@cV{>?`sOa z-+8g|<CYa4^^*2<i@jGmx?AI;p3|OgzV}Lpcdz)Mr?jV=?Y+{$-5MYCnD%u4d#AL2 z_loy=k9K!|d#AK_x5j(DL%X}*y;It~d&N7wMZ3G7y;It`TjQNx)9&tj@07OhUh!5h zX?ORvcS>7#YrNHS+TDHbozmvrE8gfS?e0GIPHE$AjW>EsySw+jQ(C`!#cRDsySlf% zQ(C)Q<F($QUES;6DXreU;+5W_UERyxDXrYC@k+00SNFVkO3Qbzc&V4Pt9#lzrKP(y zUg|mR>Rh&?bIFd*MLRkd?C6}gqjS!V&RIJ;XYA;lwxe^(j?PItIw$Pt?Ay`VqoKs1 zFpur;1807lHy=zq+GPrM9Bf<eXqmMjkxg<Q+fjzY+<IF+m~b4IJ#t8P%OTm4$9$U# z*;DU1&M3d|R5s)>-=;myTJIH3S!aCWo3y7{Nl{)_dOn+>o|c-D9j}#%j*3i;l!^q$ zkLTY_R`lol>%Y$wxHmUe_U`2cp!urp%N_G_bF#Din=f5BcjnYQwu!x+tgUxB_qKOc zTfD!x$Gxh*zxs!q-1iqd-1oYlwDovrSj0cEy5-K}O8yDef1b#ze}54%xqil-#g997 zAMV(_xMO#7$L{2g-Oe4ml{<DbckF)LzWZ?d?&i+j$(_5MJ9jI0?q=@Xt=zSnxoh|1 z&fSMQcQ5YTt$h4$;Nje&)KFK`uUA}NnRV{+U640<`RyYw%`$iS&d8g*^tQ`OGtXVV z6Y@lZ?`SRA5$aO7y5UjS$0KVGbw;}iZ|72*7NEqbGH;c|p$?@EiAO8BK<k^><>#$> z(a0`peaEw@eDa;UDrM%LcYU48C!e{iQe^IV$Cs&m@}9dYdFGzCeGipQUUOF^%iQyp zZ&TUiId@gk%sp@VI+acCxvP?7?s>zPscdr1U6nX<&+ER2N+;*sRf#h9yyn|fIyvU9 zN|?FlRbQvl$v$^gg3LXy_%fAFwz;d~XYP5~_fW}Xox3Vt=AM^)n@ak93i~|@`&|nA z9SZwx3i~Yz`%Mb_4GQ~p3i~w*`&A126$<-h3i~Ar`$Y=-1q%Cl3i~+<`&kP684COV z6!iZn=>JmC|DmA&O+o*Qg8nB3{SONI?-cakDCmE(!#!(<d&Um;v>om#5}l5EeDB_7 zc0^0xo^|W;1klp4P0JNil9Lh>{GCBd$F|LD%ScU(jkM)mxoFm;t|}>}uTQRs9QtCC zqUoZkqREokwcKA5vRc<}HfZhGq>5iIk2sXO6dt`S<^Tn5`*MHiVqLpp(CV=wo7!s* zhk1;SeE7l%O0o@)Gg)3uW)%zDF|o5Ua`B|1AfZneH-7x^?*Z$4tIpdVckCW*6Wrdt z!}rKr#XRmp>yFzFcm6%x#=pIK$JxVgW%FJaShwG{xU=u!Hs0;Uch)^L<K3RVL-+7o ziM+!FzgutX-N}1s)^}UyPTa$9y|>Ns((fJHQ(L+FSnlcDQ@3m8``??kN48S>)3?XD z$8Yy;m(16{7q(|^h4ja74|5OSZr#qA&wo#Bk8FkXhi~_D_usDF{xk3W-D|t&mP^0? zb~ktT?b7Wp^UmL$wp+Gb`rWtNx!Z5&Zoiqg{%%<Dtr-zFf+aVARz5E`ir(IHO?PtX z>4dvR;oEzz>IRpd4!CO+yuIg&u5jsThr34p+j}nSUM@LpaM#Ftd(S1^$tukzts;Ro zy^W7J)n_F<$~+_FrP6pr&_B6nM{?JW<c=N5Z99@%b|g3LNN(7XT(={+W=C?>j^v6R z$z?l|OLim|?MN=zk({?9IcG<5(H%q6JBB8A42|y?8r?B8yklr^$58){q23)s-8+UB z?<B<D%@BPzL%O`D*IMm!-@!e}dhcgQmG?ZA4X!*MaL;gZdCwDB;mYF<$879MH|~km z$`?O%JM~l9#67V}`Qj&TKmAx%xF=RBU;NnZ)Q@F>dt!z1#gE*6`k~BlPb^oy_@UdW zAIb#x#4_cJAGrPWec8w2m&Ff@Zx&yyel_WN)AOR|Nza46Uuiv;dd~Hn>N(bTD^Jhv zp6xxGd-myD!Q!UVO~g&68;fsVu9vEpqL-|fq?hO)dmv_C%$}HCF+1k%%GsH*Gi7JO z&KTR$jYTVq78cDcnppKp=qc0FPfs2_x%A~q>&euUt|wJbvcAkbEfVCycvRTW!ptr; zF($;vrRG#oMudmOk14JO0w2!5*YuXZKQHcHu-G2!-B!Dn>l7Ci7UcU!-T|!*pSNkr z!nrf2+NLT>3v)A9pZfIl>d~!Vr?_f!cR%eq`byJVlw0()NVkahm0&TAY3gF?)6~S4 zE!XkZ@zU|s@d&nwov6g6F|Xj!OD|y`orNw!{^1iMER$MxB^{ZYePple9q%K1o$qKL z+3R?R`^aAVJFgG#wY_ut@LuaXs}JwBywiPnulb$q!+R~?t$i3L^=|INILUXt591`> z)jo_9f0z3(PUicjg7;kC@9nsLZ12qPN%yKN^Lwjx->=;>{nNdZ)vE7%V@@nrcfF(T za!1|yj=Iwwb;mpE4tLb;@2K0|QMbLLZgWT7`i{EQ9d*k)>K1p@&F`q2-BGuEH(BbP zu++QBlJA5i-%XZyCoJ)9viLh;@pqHO-U-Wm=j8r=lI#1)-rq-#)lRCMpKwoo`ghOX z-$y>#t=!|U@qY5j-!7l*I`{Z1yq|ph_mPiwnS1;t-cLUI+vTI3=N^B7_mdC*KJvj% zbB{mA`^g7?yL_<Y+~d#ie)9g`N8a1L-0lD4-Q>N$UEbTB-0lD2-Q?ZBkG!*6x!eE6 zyU9C$yS%gO-0lD1-Q?}RkG!?Z-0gqk-Q=ymUEbPx?)Ja%Zt~{eN8T)Xv(oSS+QX%< zbM9J2ZTGvjwz>3m%w4On?S5C+I+wooxoZ`)-S5g;=F-<Tcdh)k`(0jpxa76YT`RBc zewWrZmpq5A`7(j6`Lgtctob^t_~@MEqjQ3f&T&3E$N1>n&xhweK0NpG;kkzo&)s}@ z?&8C9Cm)_W`0(7$hvzmvJa;mXnb-Kl2NU%+YxXwl=dISqTdkM3TC*RYd-%}Y&4=a| zKR&my@OjET%Ngb8p3V(^d~V~KC3B|K%o3i-JoEF6$1^VfFljeTH*_~t|L7-u>UP?v zZ4>w8D&<R`xc%(ow!%HRQu)%yZl`_R7Pu!@C|~->?Pnjh8ScsD%9lQLJMF_Z!9BT5 z`O*h&KYPFJ<L=yFdD8oCr@h~Had+;gJZVT8H!I%WdnZ@8{PuypJGXAM-5MF}?QC70 zl?++fs>bu?^y=3ud9P0k6T22XTYI(Es+G&lg3JN~%>2##{BvjJNiVyd_HtX`uG~p^ z(o1%yb?tWR+O5{Lo2_g2v(DYeI(IMYlv0~_=}5ul0|l4&6kOg>aCu9?<p(=5BX(ql z?a0i#V`7#!>&$Gn&&zi1$;`TAGR@rgsjqY8WuJQ{lgxde_%c^swz+50XYTvh_i)8! zoqHx-=Dv@7n=3BM+%su2_kHN=TydG_o=KCr?*m`vipziQn$(&5-uFFRe)-K^lPYuH zd%n%(m+#y)DKq!I>+4*8`OICDB6Ht6zRcy9_uMtfGxxpid${cKn!6@h=DxRlo69cG zxoeVU?t9bMx$JV!U6Ukp-y6QnWtVI2n#7s=UiUp*dO7E=NtC(oHQ(ma%Q1IN!pwcI z`Z||h_PJ{kWbP|+<?_5UyV*a#+qtJY>yGWT@+-$=&-Nt6UE2VP)Si}_5>QVHv}{dB z1+?1q&*#_GQcrg;t}YLG-}mfp;2!I$a`(6IGVaPQ-MzTDJmg*9vAcmgtbdic-+h;H zTQ+s~;_UK}w|&d*25zygDsw;kF5{-G>h8tK<sonS+U^E!u>Mu*zV}_mb=jx87Dtzd zyzWc88@R^0s?>e$yNs){OLr{}E)RLt=XN)6h4rrz_qp#ff~UOH6cjYSC}2KOz&udE zTu{LLBER`We)B+nb3uOdi+tu2`OE|P%mw+(FY=mC<TVfMo4ulKc1PRnjJDYxZL>An zW^=U7e$hJnMC<Got+P3f`yM&uyXBB?$z!!mg~F-#TxOVGeCiwWSZ&juPOW^UQ?oNZ zsZH9`sg$pDV)l!VYDIfGrSg@I&Cd9!7PO~RC|~Kw>=z%@jP`VL<trVUo$*0UXiq0o zzS4o&FW#$t+THmpPif!mjQ47nc6WZtQ`$58#XGf4yE|XyDean_@lI{h?#@SfN;_u1 zc&k>lyYp6_(ze+dZ`Fc!cV5a<+A{ma8#SZdou@iZ9GJ&eTUlDjnrq8@iR%>CA+B9q zo2sNjL|sHpL{&sZzM61oz0f?Nxk9t!W#)yT2|)ot0+&Sm8=-4dxvqfMs2&Mc04<Q` zP@2cYtLP%&@aKcb324vT0owCsx(aFJh;X!t$7C=b4^|Kh+mWdJSySK{Z%HNd)g6sG z`3p`-cNBpZBWqq*oUAPHcy7F?)sqh)tbE(Mr1M10?r0U=(aPEpdZA$TjE7;0hu12# zMYHm3?-I`w)w`n=wIlSwj?fJSt0NwU6+RA2d>jU9KOO$Gvdim~=gAYxwG`xKr6uRD ziU{#>v8h>gXv2hpfDbFX91hs;-?v=rN1kZ)cCNkAuJ_h5y$>raU%g>>=#{%#FY-i- zw{z``cD=Kf>0Q{zvegB<L$}=3x{)WEy`2lPvq0<AiDO3&&0EC~{Bh|aZ`bKu>y~S6 z3pr5Gzo(#oM?wFVg8l~*iHRw#6Qz~S=JloYCG^GgU6I&WV-;lSWNBonWGVEsgr(?7 z;gP~6g)JXno_N&p$m0>mqZ1D=3m%?$Nbu0agMtT_D>o`PDAz03DcAbD|8V=}_Qma! z+lP65cY1I1Ug^Eid&X9FqI9QpqjaToVYSsIvqNT^%odqV`c}e{`y}T`&XSy#H!n}z z=(yo=gX6}D>z4)BPh2OsZsJ<Owab+wl_QkHmBW-n{oPl%EpuDqw#aRP+q`+&Hu*NW zHpcVq4ChZjFXewa{pn@(r^TN%fA-Wk+11+F$<FWfKlc35<>ilzKX(4Gsgbg)6|>`< z-|T-d{lR7R2cO@+{Qjo;lWpxg+pqH~{r5b-dwKcY&F?(FETTYJp*;k<nR?di8K ztKTkuyYib)^&;EadA4WgrTTAre&h1;8^&)qzx}DIvaKz%-8nDXe{K4;%j(xYzsmf& zrYgv`*3Wk7yg>gI&o5tIetGlDlV4)0v}_sQf4_Tw_xf`A_xtYt-SIp3`_*^L@4D}f zFPs17-;LT0zvI8}eOG?>{I34e`LF7()GoPgdGzg+M`dn>)(dyc^}pk%o|i7XeRAjR zok!k=JStNww4S(QuKOK7<-Byk?UOrhTON5U@~Dih(7JKQT>CqI@_Fg}+b6f*-g)@# z6~*@DZo6yCZoetJnY-zB^7f;7?RVLB|1G`!y6k%Hy4%s)x8|kaJ+`a1^!BT=tGTOg z2X9}R=YF?s*WZ%cFUv0HF1zi$eQKWiUAJAf%XZi<*<rhAhwXwLw)1w_&e>r*YlrQO z9k$bU*iPADJ86gQgdMhhJ8XM)*mmu(?bu=4w!^k%hi%gi+lC#sbvtZpcGy<!u&vl( zTeictWQT3h4%>nqws|{jb9UGk-H|iBBWH3)&iIa;(H%L%J8}kh<n-^z>D`gjy(4Fl z$1j%GFPhgcy}iBnw({q12lm+N<@ZZ%Z-4qWu=4Q|!@hE#$Fhejj_cer>?-$pB->nZ zT;`r(Te;6eS?7x5JogNn%6%TlGFKe`bJwu0+~>aR;qv2e?iyB=``nXlE<b+fu3=fZ z&s|yP^5bXj8WxrN+>vE2KfdR#VP3h<ZP~+R$Jg97%qsV}CEHwfe9m3Nv~r)Dvd(44 zd+r)0mHXU~WiC5jbJsAg+~>ON;nL$dcMYS;eXhwimmZI~YZzAUb5+(^)L2ziSd{sz z$+MSzg}ahxy^~mGo%XUXa98rAcM?ma)4HVHx}?>*q}jTppLI$f>y%#BDc#m7oz^Ms z)+w#lDb3a?{ft9GIcio$;-b_}G1Yn7R4z8ToEFq|+amIDOKZn8#i*7H&PA*p%XRIy z{J3z*nVVnN+9vnnLe+LLnW(&sjSn-u`=&`nWn|1;=-Rei*Ko^$3!j|2xpj4Iat~fS z<aC-#*E}l6Cii5{!JM5rD=#Mf+_H32>!#FAuA5XpWpbySPVP?jPUe1mdD>C2qtlLv z9hr7`xo(?ot8R;Kvu=}rG*dJ~^uMS-QNQMG`>^%R))!l!Y<*yxyEA)Z_R8#q*)ywe zDP0%3&UF3LwMW-3g|P_whqnnRaVXSP?0N(m99eMq@>KBPh>U+YcyJ`CViyynr}>hL zTl>z2i9btNKW}PFeR^5+6nI6sc7I=QPq%-#h_E0(@BDQlLVR3ocCn0qKEHlky=&9* z>Fwp;OOCGV%kz8p(o5#f?C9H<MIj5ywbRqIQ_J5y-rM)x?B3~$_mB2=eOJ5JUGe_m z-nQ>z_q;3KKiJ#!o$VfX#rykv>%M=xd%FDny}ec6pWW>)e}8vx+4pOAz02R<*<1Ae z*j?`O_qX@vecyKXblLk`d$YbTyW3s%{^s7a@6+yjm%YESH|cxZUGB2?*Z0PKFS~oX z^!>HHQQy<<c9*`tx;N~5*j?|^_gD4?eRsRdUHbm=Ucc{VcTbnRzoeCWNy+zPh-;U| zf{H_(%e8zBHns?P+Jrhj>R7Ix^8MtETBWl2EqB!uzMs5a`>1q&$zAoB?<cR-E-Ia$ za#ua#`^l@dNu~2c?ix3L?|EP=TydV^o^k#6p8K|!%g=wfYg}DbSyAqv{sy#5X5P6Y z2lwvWYCAVEI@o)*W3^SW8K@Qct;FrkJkH(e2j0zGUwv$KceeNImp<2Qn@i8f+%*mh z4G9kNPha#-az*vCm-7mDrO!&6kv1)DO4_7(=M?T51>Z3Wx?>dR@UcbM*Jf&>QyS-y z<(BQ1ZI&(mwr1%cGW#CP6|Q*BaL=;7yzl<p%jM5M+_kJO@4Gj5a{2QIcP-1y`|i#S zE`NUEu4Qp~-<`R_<<Ae?wahQ?yFK@E+4Bu|Ewjt}Zq1!s_I$xz%k=WTn{$K9o=>=I znOxp?W3F)7^M<>Y@#THj=Uy&-UU1hky1eh&+{vZS6Yg4um-k(r8(jK4;I3tGdEb?} z!lln0?ppen_g$WQx#YRQT}$uszDsi_mpoUvYY}|MBIu4q;2n#AI~M+TEPU=*c;B(` zx?|ya$HL=|h5H=~w>uWDcPw1)SUBIYaJpmRc*i31tWbHcP`R&AIj>OpS;6vN!E#^0 za$dpmvjXM40_DB}<-7vrXZg!}`OAIz%X#_B&+?V`@|FAYmGknIpXDv@<t_K^+r6x9 zcU#-;w6@)DZM)UlcC)qZe%8ACSnKX(t-INd#~wZuyZKOT^5b<U_hcKqpLKfovSYEy zmDfY=nNBG``y^Jm^191C(+TBgAICnfxNdUKw5R;+qu9k2*H!MBc9fre7@J&iUF4o= zOZnLcvC0+KS?-xOl%KsH`?&o2m%FAl<!A53E-t_R<gRH&`PsX%$>rCt+%+vJKO55< z;~T>pbN2RSsqM42No||8)jD%tp49T$%U&)^+?6>kPipCGwU^5rcSZXYZlCZdPw?nn zrmo#bh0D3s---F&(Vej)TBmS(z@xm0NA5Cp?mjA5&aM1T%=M0L$Bt;8!tDZ&@&u3E zW$M^{l)s!?{+*cZ9o>o@(QgX2UwD`|@$g-y_T5K$%ekfBiCMlAGrgmmu_O9S!S)Fc z^8^pyWop}fl)Ic;{GFKY9o>REx)D2~*A#5uQMi3W;r0`Iq7&}v>b(~;eJ3`py!+H{ z)~9!qKIJJ^ZtvI=?Ql<5?!8!FdH1p1tdH*|eau@}vE5@&w8A}IzV~8n<=uyNvp&3= z^dV2NVmk*5sJ(xyY5mcAmtS0x4c*m0VMl-8j{cq<{ariyJ9hN9?dWgW(ciSAzhOsz zoyDhx?aFd}WffIR4%bdOT-$QEw&ZYa%Hi56kL^}Hv|IGhZq`G)Ne}J19@;fMw5xh( zSM<;>>!IDDLivpa@@os^@9yZ&x#K?byWq3hl1lljJNk9rFFy6V<CER2J^d>07oYge z@yV`gPruCj#m9bke6)+&(=YOV@sZygAMLF6^z*!5eCT(_2Ro@f{VeYnANbAj!S2`Y z{y*;)@B7{H-tN}!{x9zq@A=K~-fq|K{x|Oy@A}>G&TiK3{wMDi@A%E}&aP^A|DAV> zxBc#TYZql5RPAL_Cfs?~CDB~)hVPeB;mW%%vF3u;eYcbfXWn&*G#9+)TT&_<dDkV> zT=1%|NvW{sU6(*}!7ILBN`x)%y7-z4UiRHmBCL7W#nW8ylC8;6Ta}}>B1dgmj@o`X zV*BKX?Uf_8M~>KTIbyryi0zakwk=0&OODv49I*{KV(W6m*5rt-$`M<UBepC@Y`+|~ zeRA0L%3<3hhi$hUwq0`AcFJMfmczCshiy|1+fI2bx9XwXqK9&`9?DI6DA)B+uIZs% z)kC?WhjLjD<qj3{Z!F+nTfl#JM|;j4=b7IHp4paE@?YK2uJeB3sp^hTa<lfdtGr)$ zqMD=VMd3%y2}w$i7CsDK;B?6G;DO~14fS=kHU5o|?MX~GuU<TR(w4QWxw<&Jn(N56 zWz*WeiM%+orYGl(=7b1|8`G}`F901qux7bKM0i+eh=1d<C5sl!o5y5n%4o=_%cyC~ z>c!+V%c)Ca-al~ZXz=k%I;ZL+hesXD?cGEikDM1MUv*+vXu@5sb$Oy;+q+gpvtC`B z^eRlTbaltBP=~u(^YTRfws$RyX1%;N>1Ei$lGPr&LKW_ocJ1~mysq(%>uBu!9jk@Q zb04kqxl`J?TdVN8%Db*3vHd$%3zq9XTIX`7v|~3{;dO;~Tt{N-cdX_wfBSHq&7IQr z-LDF+%e?D49GkymHE;RZ!s}A+ybi~v?^w-K-urN!!JV(IyLS~_7kk(Bc%9Cj($?Mg zcC7B*opw+3^SbHfYYW3q?+&{+_0zh^<x}@$KZ#X*|EnVW_-?a%Q$McjE)U(4{U}!O zeN{#H;V6qoCYMYOnQZz};*zP7$>N#9C8#;C%SDl?^@OmJUx&%ZkQUB~sxDImJe^oN zmn*q<NGJxia0n_n+lUG}sc;A?xYP-Je7MqsU&*H9jl!a44?cg_0)d^5N7yFHD4E)b zE_6&`6O?pG5@>w5@&vb%UdI-NCg+yc<x1KecLX*nPSR}p8S*4(Nn6KKkEI+>gC}|l zdQS8Z^q6>jxl*4}uTqavw^EnCtB|XJE1xTmE7$xkfi9jdjxLri20PK8!XJfS3O^LS zDSWY7snLf~iPb~I-*Ev$CDRek32F{AD!4YWbO<SUHYhL_9SIgtcIaYIWJ=)>P@LDq z!pOvOf?vU|;mZe+7WN6U4kZjH8ClwwE0{OjV3@?bgiSzdUeg%{C1wvE1%rk?A4HC@ zP7re_XxPB8=}_<lX$3O}BS!;Az4=X77&fx3WLd~EvxZBFQ;3s^^ApFTA0kTxTLe=C zT?AD=YH}!@Q0!3jP~><RJi$@Gae{+@!-W0I73vgf6>1c!6{`FlKRJAGc<1oO;g!RS zc~*rx=9=H}lg>-;yB&G>?UILO=L)P-cg!`s<0p~#oO}D_*4sA^y**NB9l2w!_MLOm z+b<t`duGqv8QVX9D!aC0?zHWjKa~aDJ9qN7W~H@qzWS55HGAgvZQuN{%;?^^qqkR9 zSPSQ?KYDAkXKvf}%^%8y?wvb$TeHHNIbZ$3+dsSK)@|SXzU<T8b9-;EEVurgr+)A4 zp51fHwr_q{cIoc9owqg1tsm#9-+5cJdv4zL&2P&#tzEfvp>65BnNuhB+Lm@%9-fnM zPJj9J;PmTnpX{0&y*>DKS(>GKKL5$v%AdY9?6H;0=RbaX@yBlodu+w>`H$XK{`k#d zk1bz5|KZz<KYUZzW6PG$fAF^Qhi?pfZ2#r)@4vnH{kI3ZZNKI5@4c=3{@a1ww(s)z zci&cNyUo&e`$_BVBdxcWwBBYpo_pv}?xsVzMUahTlOY?)d?6djW|<hx(wU_(OU2IA z(Zmw8nJmkwVm8M;wZ`q74{|>}zkYoC_bV>%%}(z2{g5{qG+MoLxmwY7&pWwH<+Jz9 z++o|Q`KV3tQJdtWHo-@2oR8WVAGQ5_*!J;Z+slV-4<EMOeAssJVcW@vZ3iE=?R?m_ z@nPG^!oybz4qqrZe5T;=iGssN3JxDAIJ~Fe@Q#ARTM7<8*pV2qBQb18V%{ACv%DE+ zq}e{V?c9@?b;n?uxzAHx=gPxA_Y5YP`#j-gt~_jW&!ErT=P~c$io-hh47$vH9`QC; z9G1Cf&}Q!Qkk`55FwZ@MCUc($yv!Ac|J*gGGxxdAd$|1Yo4W>8=05j$o68U1xoc2n z?sJ#dx%}{%y9Pz(K6iMT%Mb6lYmjH|bDQ^Y+2J*J4YJIAZt*sk9iDU7Ak82(Cp#<C zKl%b_gXg?$J)NzMwYIq*Up=~Ysp{6G*3#6_uUlHPytKGpWuBfK9Lybj`qE{wrPG#( zEzw+3aSA*zzo>J$&Ws4BPL&B2r@-@9Ngc~|S~!?G<ZCKUEn=4|yP(*<Tn9AgDr0Js z>Ij;^VmKTuroRKUA8_8Lh{mJ5VrCI3n+~KDdYXMJan<2@bNcm5FY)Ws!meEwT>~0A zU9KG*6d2&|A3kT+jIe28Q|7HxS*zfy=qlnL4lTn=jzXt-!X*O1`*llPq342xe}HUA zEIG<+_GCq4+j8x+35skIaTU7`wL*64ikj!7B*odq1|Hrt$w*gM*Z=k<5LmQDR~ZCm zS{oVdRGwy;5Fb1H@zJeQw^~L;gon;fb~P2<Y8ez@>2K*f`|*(}o2N|PV(De+VQw_N zmG_MI>D0i*lUScF<>fw^YIyR|#$`Rdt*4m;jf?~@7DSq;Daq+|pWymbp6<R~=i95u z8yi<di`9!RIsabYKfnKE+tJ&bHmp|2O;5<lO%#t7%lxWyBBDpP+e|D==UT*ujTy;_ z#~$^tN_CrvrRwaM#wy7zrW3K@L==cjIM|dGxgp_T6KnVK)Q3luJTp64gO~M6>rBYf zYU13fG~IN`;y%H4A@`y}wb#Z%o2+bQ#Gh|0EG(1|6%<$hxS^nshm)OI++E;8e&N4g z-^AMe`SS}uy?ayeLTq`SpWeIN!UuP5-MAu_uFLy&O-|vN6UPdU6dVv!f3rHfaL=oq zTg1|}UuP9=cqM$Ct39i5Rl%}FV(wh0G7IO;nkLrH>CVZXSvV>0#)%_)w!}=6nmT3j zqzR{me!jS|V~0*pS7-a_rV~jgoObB6er;}QI4u-0zpl3C>dx@FI+dpvh0iZ6Eh#>& z6h1$vAV2T)rLg(w*;$#Vo5JSnB<ZB-r0S%cHVT`s6RVS`lb{oS`cdfo=*ZKHLg)L0 zT<u#Ouw~80A1QZcpZw*1{Mg==j**#>%tk>)o0j#rRX>h!{>Q-l`@^@k{0SQb3^wTJ z=l|f~{{7?2H?<A@`T6-=tn8fJe?dxKd}~|Zc4q6$lV|7JUi|iKt=-j2-_rQ>{POzN zo?W{v|LV_;VROxssuzbj=k3Znly@L+-?wAk+1lCpTQ;m)_3hZJwpVGd)UxuI<Soeh zRdRWYx!+fFA9Jtj%^~y6J<Y$dt+dNcc<1$O>C<&rRxFuvXX?o+@rjcrPT2iuqus`d zg@xf7*Mybiq~3KM`nBKj;DK1ii1ncIO#9ob*PlMTD{GClmAO0T&bp4(@zurIw?%Wl zre$Sj?0&SyZcR^4PI$-F;>Oy_w_WRgt=3(;CbltDKQ+{KtM;;2vllIxSJoQ*murcr zkM^`MjkjGY($mdzQuH{|{tBlQIqKvlCvP<agTU-$5IDTW%=_z1Gfy)Q^RnESu%x(a zH@41cSu$tJo!*j|nv$G5sXitlJ}!4`Rd{q%WbTOmdCdIh^X<2nci+Bz`u5S)lQ*wk ze0y$lc6W32?b2xH<mlTWx#nkc-ke#JGhOG5w+>Iv_ipX%-It!;x;m+=>DH{}zh*6& zwM=T+tfgxMPi<LPw3zMWremsUzM)!@;jNq6RJ8QOq~!R1t?f!!^k~t;hY#+r;?UCL z<6>HO>fwTf2di|H)U@=3KuTV%?Mk>O6B{dY`{SpOTW{Wd`1Iu2i&u{>gt4w`n$wy& zb8hb3?9gMcLidF13VZ6)>a*16sLxX$Rv%R#SD#d$r`|`iLicESTn%=g&2?#&0qZ*M z19rCi?e>*!Y!9E_UcO!L&9etDp5;7t%8Na6b$R#J?Y^-)T5s&gh!)TJI<st>-i2eQ z&K$YMI#crIja?etzoWzxqGRKtUN@cY&d!c4N!@v%C~>Rax+N=CExL9z<m!|wyB4fc z(pwN?v9^2puaKlwE4!DJZhW%n<k3moOG^(vVbXl+=JjmZjTQc<PtRHwlIPVca%PH@ z=Cs*Gk*;dytwu$`D)Q#6n+k(vUK^(t21|(Y3JaP)HCSq(YS3zsS`f_gT6lw%?Y~u< z5BKK>|9W*f*<W8(|7l+Ehga5bR!!EkQs3$r=<n$5=PP|gWJ!v$h9*a5W?qUO@7br9 zuB>>!V#$=4Stnk7smO_uazFO!jYo`>tJINMRWf2+dS??7O3VJ}@+Q>&`uZ_6y*;k< zk*;rC?WG%6FP^=+ML93?$t&S^YnSEaTwS@%Bs()BJ<TM=J2-59@>R{Sxh4tT!rz{T z&XtXgxq5Ts)U75_CJ`oK-o>Hw<wCFCbPSy<7i1D>;_uBII^WO4*Tly(HfWU}|B|Ji zyAl^$SsSh1%)I&7qL@VyVL{ifBnNF;rZJ)WQN-Nd?#}K-5%W9RHQF><O;tr=rW`zA zcVNHk$JPG(_P%nt=zK7G%AQ|aRy%h_i|iE30=X&W_M#jg9iB7U(KV%oxv9C_x@%Ui z%1yl5w8|^Dd!<;wZKYL5gS(fC`RUHu#v076Dy=&yN<sGvn~881=h2opy)AC4CX<SS zUp#SARg{#M6~DEkV#SOd0WQu5oes6~v3+Cwr+cNJKi@xpVP$!7St<9@$;I8x(J!K- zrEcGHx_aTnxiex>X5UoBwgnjIuLu*r?zH_?F{?@T!mw2H+cUTQGTE|uZf9|Ib9Zob za<=zszYTL8S1ZIv2F1k(`>&dNbJg}$q1K;G4_|%ywRP&!t)|7HmnE0a-MC88*w@(8 z*vHu0dU42nV-Iz+Z%^E6%Mz}gmb$uWnfjFKpvd`?`zKATW_r2e#)%#3-JPu+?bS&h z92Oco)En!o>uReHEl;1`zFob%RC@bM&bue?%sX-Qu<q?;>iM&slojP=uipfn&;nZV z=wYF;;~e;K3ERDU>_PeD-P+*%x$?H^-iOp?Z4W%)H9I4GzHiCuGip_$d#!Iy@-4|) zTo%gG=4+C*ILKeRbya(!aPF-Y!6liCeZF!YR-3gYP*w8G)RSxc`=zeF4BTln?W?Ee zPNT_RHJLRJYkbytyu+yX>&}R|vR$25HH&u`b$rc?@UYO}*kRQ8wZ2yS@b>VzvX$D4 z!{-}S7?o)khr5Rd&)l(nYGZNsYR%hGrHj`3+?p9Fc{Ae1sg6d_R9EKOGhusurtwTW zGd0vVbydo;ur@C@Ev{E5J=-!<XQfP=GAU(3-jyxN#lAUL(vPQ>&bnf-am}0<;gcT1 zC+4^xKX$Y?5j2IQb4SAYkmEsz1G9eRo{5*gKTq!7u_srb&yDqc{US?BdAnipS4sBp z%jVl>Y}?wK80_tAe0v7?oSY5odl^5!dbBFD=i0HHtLb5-NwHVA9M)R4YFV(fbLjk_ zfU7q@hRpRd^pU<CGGETy(96)%(8GMyX65408wd8RNsP4IsimO`iWJFk*T;*JlpZBM zTx9^BK>ZReT3=gJT~%4Wn&~`ffR-;W^ayAod+pM-T&7!$Hyask3^f#E`}Xwe)~#AQ zUTt>fUTw90&8o>+p&?hFhm@ANgf8dOn7`cVP^%=*8|FXJ683g`Z1=XF+&z2q>Szfo z%k36BS}U`?lcS?0OieZ!Z*J9;{w>5EEn%Q9u~u(g>&>Q<O)HxYHtmd((3a4W(3qhq zv9h(Zsj?}usjw+CN<vLSRocMQz#}?8B`PT{Z*5?_q^IT1P@zd5FBWD$ezY*@Q5ZNQ zt!-?$`ZIj4f~~2Udc(@v=)ZC2E?mlrI<{3m#WZF0DbMWJFEv@W>W6>*sq|H7tA4b8 zgnndHw0?+wsD7A!xPGv{*KegzXC>A7lcOg^&YwEv_oS4?PuMPb2laZNnUxln-kIw8 zcv{G{2|`DNj!il$bX3>V#zf`Lq(hF52Xs0Ae13d;(mq?;J-R1%uf8p0ZM9Q(W_R^% zAq(?ux|z|z&bKFRF*e?$t0^tae0$P{^|Pv`7UX({{|&n~Eh8~9_hjhRE7Jn5E)U_n zGR<YGjpbB>T+iT>LB8HoR&93WyfkgXgb5Qu^f<xb$s`bOf)0e+`4GZofp9tRL%4Tz z!D>72K)72VT+Uk%ZV!apc^$${fp9smLbx^%Zs(;*Jv}`=A@5Fr0LPO)5U)oE!tHnn z;j%!u9QPsIJ8wa1PjuXYaJN9X9Je6c9tgMNI)s}7;c{GsaBU#mj!S(Oc4}IBa$M^= z5*I&S{OHlc2VD|Yc5+fe>rOmcnE0^E#>mXd4pfiJ{aV|RcwaUyPWH~vUuSY$9)-2| z<@c{Y|Bg?8eP6EY3oVbCH*e0k-qo>m<=UBZdu!Kr%(!)HhQy7oIlY~&Yfnf;&AKHO z2~yH=t*aw+!WvDrHEWhxuk{Gs%Q5A|<*t;@h|ZYKgwB*sk4~S?fX<LkmrjMX93gKl zI@@_qhx$gYn0B;_wfkt-(yYjJ5z8VLO><pkH+x>zsY6?I{B#0z{B(Rze>$@1$f6@n zTXZ~UnB~Rfc|7a&Im5d#P<cs_vyQU5rlPsaq#&arXSLNH$CFE|EZPk6Jd$gbw62wG zl*{u-`uoUA*xszgm*Y{JM%YAw!vY5<91=LhE4k*p!+}?SxL@8D*#C=%lauq}ZE%v< z#S4n`MhIssZ=kcaF}LDvfsF<m*01CJxXPb@o$lH-uXqk}ZM-#M^@LRds{~f^&gAOk zs=OtzV!|@sLaszEN3KY&z*_<y$73sVQbO)bJ?i3eIM#BFzRVrbL%&2=?o2)K>(A@k zqV{%sV{e{5y!rOj-BwmRV`p|Z7vG+`{nxgwv5CRXx2JBIYHYkIR#STQ%JzuTZ5tvP zZ%^GYb^WZWiqflFj-{n$hwpe5z9no^*oLrmVQa!xlr7Cl%~H(@U$S6c*qpFgVKc&} zl%-~>X0pC)>pgS2HVM4)qvy_Yl_!gnl@~v<69>&OakGE-1f2}?{P_0s`)v2x?Ag7m z_SVI-CyyT7`S$bL-dtbZw>k4O(m*HpCH&@Deg66N&DrzMKmYu*ChG0ypEY*#&o8gF z0||6)@t<%~YT8t8r^xpjlAJpg`oDJe_H=VEitz8K$k?IK`nA5fsgaur(!f@z{#sgD zQO=zd&hKunzFnd4Yj%EKF886Z{AJVHwkf24O-xNm=2i+j&-(4@R)yHF;n7i%+)a@7 zwL<V$-@pI`f9^*i{7pw#Hf_=DxYU&MHtT9|Vr=Bwu&egIp0015uCBjim3!Ift=`r2 zyh}=VZ`@w7{>Yv+8@I1%2Q{kQuj$)anZ1*I%_}M__`UsWV{1!u^}#B;1NQrC<Nv<j zSHAc8+mEl_zIyR2t1J2Qj=eFp>@lTfJKo-ZwYfJ}H+Oy5`^K`cZRV@(Zyx=Y{Pkz( z+?d$V>NU-+SHFb)UY9PrdYNpT+5TJYe^0*j`Mpd(_N7Pls#Qz0*LsEQ<+^-nRme&Y z-@s5y+m#M0U9N6fX=NDR`ZB2K;-+J&s*gW^+Gu5cC}&RZ>m_Rx<jl@_otBzDanj@| zrhgmOoa>**rzQRTp_P1g$AUz~hgR&2znK{SUwgT|{rL9f+t1nT-f6viSLvmrJ5O3Z z&UxGt{XBa1<g+Wco!h!)bLk?>vvX2j>#UX+=4Sr=Drz}sG(c?HRjc)@RxDexXx=r> z(D{D8rlm%f)3aWM6e+E}d|1s@k2Np&(X0EnR!v@Ob^Vf=E9fNMX;-JLu?jw7ma3zw z!@6dfX1r$X>_?&VW1_F#oY)#VS2k>R(bS-+PE(DhDothDsu}q8=afxPu58it(eyG4 zniMo)Tcz@4q0dFLO|_IY)wgSMp8WA*$Jt{?osS;LRWjq9-E{Vl+Cww;*UXIn|E$`) ze&=>yyS=kc-7xvMZDx4=^)<Jb#nx7xIT^a#J?3-n>;m8IX4_0nx8yFHJ9Fy9&fH=h zo;RzrXUD}vN8Y}Ym1MehTV?3|CAZQ-i&y=;G9}9`S9q1(Y`?S1W*O<4PF=NmdFPh1 zd^>)H1#dSq+v*zW?CGrO?0EZ2PT+}vV*y734h8HJV?DFFJDWQ@aMP>HkGYO=wPppb zdbK%um6h$1MGFGv1<VpVy3)>i+LTGFgpVFuW^Qy{=yTC!smxSgYmL__zSc_SQ`h)= z9CJVJD=p418lH3Mh_4_oD>tXP>>7Tb-wglFzOH_L`|{!2ChP6qzj^gyRdC+rofbQ` zzxtAK`^s87+Z(GcC;P6ovc7a-)#k%FmpA`<qp|uN-=<e@Bvzm6+u(cjl`*ULUbDZe z{MW60b!FALzBR9W)|(yO<Q2TicloQu3ob2}n!P16c=<1%nb%$>PF}Y1(lWCPYqZv^ zZjB0b^!3y=dBwTw$(1buYAYu3W(bL7+UJ2<2JhT^PkEd=`FBD~N=?a~esIkEbubap zQMuC(ZsGs^@c8iU%eVXY?6%y!>+b?k8~b+u_H8EHw*C!pR<@4b_|xEa|E7)l8-48$ zePdo@XTQg8i`_1}9p93<v+Xyn`S!Rg%YN08Z^>L)_KW6xd)%36Kg({)w`9#{%$fFH zemQ=3zAaf>=c~JRnQTV-)t7<W)YHCtnyHC}U0!d?xY~cc-nzBIYlYX?o?P{P$EH<Q z3$13Z%Dghq<Er|V$-$*&q0diVnrCoz`6bUwoR@f|XS@cVN<C%L>yy8}bgVhYcj8q| zNzR(g<Bw)-v=bE;%)V6^F;}kb>PyERdTadq9S^>G!?C8}>-yuzYP0wMx)N>uTr$5l ze12)k)t8p1AKsA2lMSE0?e4a1xm&j8_OH#oot=9-GW+(jsN3Hz-`Y0yT5tBFi1|HN zUv7+;+huB|){#A_A|oOq_|U625^K&KFcoC^^Ez61|F0*PqbGy*Dp~I`UAg*v-_BQW zCNxJ+-tnuZc=fq$uTl<gGz^TM3|`^1Y1XWswVaW)WgVgE?l-Q_YN#yDUEApy#w|U0 zwdui4Mk`mnJgt@Mv+P>SDHp9%EUT8O`K$S<`D9;8*_1MAi<;NhmyIEF<;+)IKI~Rn zl@hj`J*~9rN<{zZUt8Ar^`Cn6Wx*Q1{*$l1OjzT0;%i6Enw81QkCPr%X<Sp5lM)l+ zT6a95R^h??`1|*&K3rWMCwDKlwCqM`I{V$KD`!t$-O}32ey0l5#NF^QA-aFtuP+gx z1)Hl^y5FptQ90r2mSi6to;AyC&E(=<e+<2^n*4a<R=Jq3D?{hXMP9wxSehDonQztC z6|2r`uGG-rU;edXRsJeJ{$;PeZ1@<l%FFcVM~A5Xd2-UCzN-(dv<o#@=@7JWiBo2% z%H17XChnA-VXCI9y}dc;lA%zMwVbrDr1*Ac!HFL(6j*by|7T&|&V0dteSg07w@<G= zyxVrTk1x+J&-&55Yj<vKYxX^>!<%b;?$n_Z$F@21^t?WuZM|#Tx-FZwF`xEY)s<zv zY|*R*R`a$UUb(M%CG*PUlQUT^FWe&Qx%IJfO+|H8^yhca-n`m&>EzC%2Xkvd?eW{I z!us9H%94W5ZkyKI+1hwj<oL3x+`p@0uSRa)R&;fFyYJOghc?}+3UyW8TDr>Z>hiS# zU$<ti4z4xIeU%tI(QK{v?69S4J-=!mViXWK)Re%$Z>MoUXaO@Hn?eJx!(TS>j0p#m z5*%4Yj;xTkFmtPZlE}Q+%(^Nir(;`&hsKNa#zl5Ew>MATY_;{}Md9S)%@$wf%*?(X zKK#t}Z-!&=Y{RWTFD5h1p4z%H{N}RuvnT5+Zd6p<Sn}q$dw=`c<L>7>c~3dLwyv_t z@yWV;*v<8CMq==$t!D1iR8m|WzD52wk~TY6yX)+)YTjEVYuw-d5N0;JqdB4c)Z@P* zYc%`v%~;>3J-ExVXLf!0<@b5t?)vWAy|di>>$|jjcaQ9zU0#0qUEa03zPol?mYY9) zmj+p}qIP>$l+>-u&p=(n8<&@X=NK=ig->3+^XiqXG~3*yyJuJL&MY-w{Z8%b-8s8v z2bCMY%5%DVcKPm?CFZl=sa?Jsvun0jx$(<9rMoXh%C(Npbt_yRaOb6P`Ku#y%?g+M z+<7TfzRE=?f0@ZW&7Sg8kLE6_SgvwUv!ndf!?{Tn%SG;Kwv?ZGFjuK!Im<oGhVoPQ z=RPW5{^hP_P5G&Na~G8_e{xr|qWsj|xk=^AuiVuvDL-{*u2T8(BX>0m%1_;%`>1UB zmb;oc<)?1VT~xMw$z9Ei@>4hGCY3Fpa#u5@{M3!PN@dGi?rJ8KpSnKxQR(uMyP7fO zr>@OiRJuInu4Y8}sjG97N|%S+)eI>=b!Dzn>2jC5ngQjfF3){bvfSjZrce2)OLG^M zELXX!>0y3K#Jo$y+)KoqOT_$?uz8oTxtFjxm$3OMA@eRFb1xxtE+O+%g63U<+c`y- zb}YB4HRr#7c60gX7k6zc&H3-0EiV6j<E~AqIse_W#^s+++_fn*=f89IbJ^z|cWrXb z`EQ@yT=sdz(uH$p+CJ6XU3nsJ-p1MPZ=P}Pt~`)8Z~g4!t3Q?O<xK9BZWA)^QOT3= zxMR?_BT=I8u*IT73XjfCl6@y6`)-oVJ0Y2Olce7XNxz#UQ|`%I&dFPTlBc|rr`(fg z`|%jv#)oG`I<0LV`StF&tn_Y5hqde@zt$a>72b(-SpR+KSG(h~+`B35)^{KJmF~DK z^G>AQdhbKO+#Q!?%A*d?y;QK=_|8h6a;d{}4;3uezq69N{MMnlk9Gu$y(?+8-dnib z?48MHKg0K5KKW(eS^0Es*gegsbN%kEd@|SVp5~LecK233o@;hb^YL80dn+H!RlBG8 zXs+D7l@I5N-P3$Hm+#)n2Xoo(X+D_y@9xU`bHClyyg&Ed-Ie#|KD(=VZ|=RjEAP&| zc31Q6+;ewV-kE#suI8P&`|hs1J$Kt(&D(R=-CcQW?zyWXZ+%6S@}?-}i74hxQOFZf z$eSXcCnBFWMJ`W7E^mr#o``JT6q!5`nY<~|c_PwzQ)JA&c+I(Z%}?=|ck!5e@tAY* zn4jV{@8UN1;x^~%lWuL5PHmNbdaO6;vD?W#fd=_gPD?L6)|*thIOLwj6!TM0dX*{{ zyWG>5V1DXx@1u&vCigUY%uhY)T~x7H<(@`|`KgD!NfnDl?rF4`pL)=%RI!-lo<@WD zsr$W;$`^mRt5IWq>R#`n^2JZ?YE+n?y4#ynzWB;rjS}-ycY2k|7azH+QDA=RcJHII z#ar%b<d~nj)w`%{@shh58Rn;M_9m4rp0aR)?bC<5D!1jyt(~pj&EEaI>v-4lSDO4+ z&l;D0_PA>kXwHA-?B|lt7I$rY&G|2%-CXin<F1XTIsc`-#z*%mAKfc_bT9MKy`PWl zeSBo^<s*9!AKAP4$lk?A_D(*sxB1B4;v;*LkL(RTve)^@UgINsm5=NdKC+kj2*2Hz z1BWlq1)mQqJ?|a(eAu*#UCPcp274YHx;z&=>(sm4+RVsMKilRmWZ%Lr;hoGoKks<F z<MNM^_QLc+_d@kR#!~i=$KEV^)AlCq&CA{!zBhPpoW0(A{qpQ}((7igm0ml0&2sYy z^KkPp^HB2;|NLcnOY#=wEy$ZU@1Dh7le-3Yb?$1|?)BW|SY>#WTQkn(;}=#D{dpA+ zk94cW8GKCYG*9FyJS_02P4EaWbBFXXu(SF66JJbV<}<(XLBy?3Dr1IY+j4`XhKH=; zQ59Uw?A+RSHhdIuQ+t-mdwRKnmZrL@^87;$H6=MIHC)MohJqhIX!0BeE!$Xbz{L3f z&#!rh9^Afs`fxSZ<8$l!^4@9k?6d$~8DVhi2I$I&Lk`x)+Ot<19J{Ae`B1O$p<d=g zy@Q3*Hxx`?Q!xF`4)2^hsx!+upT!ncPQS9lTj%|xQ@dL}>CN2Zt@3`-iQO!p^eXpw z%e<d-Y<J5?y~sV@BJU?1+0F7%&vK79&-+P-cDH=clicIY@_y2R-7FvUe(v`E^KR0< z-7W9+ZtnK}@@~?e-7N3*cJB6m^KR0v-7W9*X72WW@@~?O-7N3)DtCL|c{gd>?v}TD zk-NRGyqmOTH_KZ+%iZ2*-c8!HyXB3Z<ZkaH?<Q^7&GJU?=PvI(?<TF=-SS%R<}U9o z?<TF;&GK4r=PvIx?<TF<-SSFr<}U9g?<TF-&GJgGQme4a*migDW^3Ku!JDjQcL#5@ z=G`5<!TRs6;PuvTcLlGrzPl@Ut@YVm!E3Dd?h0OQy>?gdD(ktsf>&Di?h0OEUArrI zxpnTY;APgayMmWm`|b)}@;kZfw{zET<*wh%UB4f9{yyCKdvWLQ=FZ>Aoxhzse=B$X zX72p`xa0TXj^B$rem8ggPVV^a-0@qv<2Q51@5k-G54Znb-2S_{{daQvZ|C;k%I&|I z+kZc9`+d0WxAO77frtM(9{y{1_^;yOzk-MVG9Lc>@zCFghyGqX^tbWxzl4YXA|C$R zQCNRthrQ8z{xiQHfBLtgus-6R{M7I4Ph*>Y8Q#3uaLcgt`RT`hA64u(xu@Ur{q&>1 ziz@c3+|%#)e){3xq>B9__w-x7pMLOHsbW9NJ^hC7r|<uLRKEYqUHzKxr|<n;RKEYo zUHyvhr|<qvD&K$Qu71h)(|7(VmG3`tSHIx<>DzxFmF?ehSFZSL>s#L`8>L;}xN+_d zJdihKz4X!7ZZCHQ?#P?6R@(Ko+sR#l8}g>CmOlE*ZRM`O6?s!uO1r*t>)aK%AaBZY z>7y^*GIs^e$eXfM+V!QI=dQpBc`roFL%O7;j`FrXYO^bJp1WhA@0|d(yca^|A)V5* zj_|rZYLhE;?%lD_^-h3N-U|WqkPd07BfPAS+Wr+d*X~$odnZ6H?**TENW1i`!@Nfy zw%sdm&fT%lGB1PId`g?N)nVSL58I9vI4AB{sDCFwJTHUWT;w=!>ch5m1<v_*0;J7N zKDQ;^yKq`M>Tz4ty$h$Ly(*p6^H)6K?cK9*!iD3@t=qr%J=_~y@m}Dbb@TVW2YZDp z-ZR{@uK(V5fA8h;_aE+BSAXxjw|8>+`v-Te%fI*C-5Xr~{=!}B;_rQT_6nE3KXBJN z|9ju<y_d`0Z@6on{k`wj-pOU}7u>Z@|K4|VZ*bZB33siNzxUnPD_r)z;jVT3_rB|U zFPFYAxN9B#z3<xI$))cT?plX`@4LD;xb%I%UF+cQeOLAhm%ewnYwiEN@ABTuCGQRH zT6=%*yR>(5$$N#nR>60yg6>!a-mwa}W95Ix%I}Vq?;R_jJ67Izti0}6dET+|kV$kA zbg{WA>EzBaSD~a~g_ZrCpS25Jggj(EerXkS6)<cO@Q-I<1eLEJzOeFJg9<AD_&W*C zeNq_}zmnJ_lNuhjF4u=%_fcC2ULJB;+*C(fQ+@uv37}m>HNO^3EDSXK_>voP(T6@8 zYdp(7hMJGxzqH=(-X~t8|1Iu?ZSBPJ{Rei(-?^**=AC%yckW%kkKXy~^sY{@e1F64 z_&s;^@4OSw{m#AZ_tB`@h2NfCe|~Y}#E&b_g9g|i2FpVVK)XiJx;6jWAKz-e)O@P> zFz?r$yLM&U{qMeYF8_Vzu3gb~|2h0~A|!5H50;1nomuRkn35P98EV_=XsRm8`c>rE z<X~YQH3@J3M2&+UO^qh4BL3ar?ZUGvqK+I9a`h5X4Qx4bd69BgkA~Kwj*dl&^Tap{ z#aRo*84Jb#7Kr~W5dT^r{;@#(ZGrg90`aE>;tvbN?-q#PED(RXqdRLycgBwHv>n|k zJGzs0bSLcSj@!{4v!gp|M|Z&;SDibq+IL*d-ziC#2R@5!sT7aB=W6;+>GbXupY#^( z=~jEMbaJ=GC%vXU-E#Q?Sre6S&WN}XtO(h%>l6*TtjNE04QQlh9+#`5t);20Xp&Hr zP>_(9kW-ZuXnU^1$0L^&)SH@`rc_9Yh=_=U9J#z;f#4Md#dk4<{d?O_*#^C93=aGk zc&TK%!Ch7F^3F@KlS-y5+*Jv_qY`vSCGd_)z#SF;J1Ty6RDAEK_}o$PzN6xGN5%7w zipL!l_d6<XcT`;OsJPrwalWJCbVtSUj*7z_75h6Xc6U^4@2J?^QL(<GVs%Hw@{Wqd z9ToFCDrR?7Eb}Hw<q1jUO_IzLlFXYVktZaPH%UBCNIY+nSe}rKIVZRINiOq~y|a%T z^PN;VIpLnlbaT(%*+)L9t=!|Okw59=Y?n`JoqIeL@+TdiedMEB<{nRp{7FY=yL?ph z+~X;bKk4x7BOlZ>_jq#TPdYf;<%1gM9#4k+N&9CXd9U_zx95+%Nqc9zy#Kdg(i8B3 z1U9^xpj&J1R7fQ$3xD2p?6SaVuT!2Umn%-3(BIeV?<^rMDl9m^O-4#gh|i8!kcZ{Z z=W3}-$G0z^{@sM-{ke60?=p|a@XoCM?EL9p#~yoy_x;CzAO83+V~@SW`~IW9oj?Bb z*kdp7zW?y=!yo=>?6K!~-+%D8^M`*Nd+ZtB_wWCG`2D{ZyX}9x>)-p^`Tf5WyX`-` z>)-wR@VkF2cH6&r*T3_(^Sgf?yX_ym>)-zS@Y{bGyX|kh>)-m@`RzZC-S!vW^>6-t z_{~3!-S#Km^>6&`{N^9WZu<l8`q%$H{QBREUG_WP^{@Ty{QBRCUG^K^^{@VY_|?A^ zyX;rI>tFfX`PILUUG@v!^)LT@_~pNhUG_8H^)LPH{PLg2F8c|2{)N$9w*&9AJ^CiR zeeRCCM{?Ej^cS{Vxy%RJFwZVO@6?xq(;o^>zbQEVqTuwCg3}KQPTwgweWT#?lO3s9 zJ5n=tq^9jiP1%u}v?DcPM{3-T)R-NqQ9DvWC-(D7`=^3hWD#~#S1y?|rKd)V`R9up zCw_#aeVi7!Csin4@<{Zv57P|yq;lm;9*R!;FimhzDpS7Xf#_%Nr+wU=`YTUzUv%30 zX%}~=e){n4&8vB*E`Ua+ZKqZiXD3HjYb|aoOZyhG?9DXA-Jet6)&=g4zw}Og%kQUe z>I`?spL!?0>38azI>FuXhu(>A`2F;C-N#+=yWWYf`<?o_?&7ZaP4C3l{C@hXZsV@_ zRqw=C{Z4&VH*r_|qIcpeem{L#SGX&F);sZKzf)h<1@4NU^iF)q?bNQ@u3fiPyKb{~ z-G176`)KFwrJc81J8!3U-gfQ0t=f5;we$AVj@w5&ZZGY)-P&<Gwd1yH$8FV)+pHb8 zpSIsV+J1X!`|Z~D+o|ohUE6P~w%=xLzx}lB_R+T6s>k039e(R{_^r|5w@QcK3LSpS zbolM3LvJ4)dVA^6+os25i4V&nAC~Pb+<jw5tWm!BncGi4sX3IceCQ(Vqw{f!Yp2Qt zi$fjDbzDIux}!}h<0E$Yss|4>PxDzlX*kU5ADMFkwD;F0bs}h;R>4C}Z*Gf-h=<35 z#iVD>m~J|6Q$$#hpO;-~XG-Io)jIw5S3b6x-3vG-y{p1mG(Y1Juj`(L?dDfLw29pd zI3#UV;mpcx#ynwFX8&1+1!W9@%oS1%ml<ZU9WZHF%Bb>|VG3JANXg<8Zh>inX@R}E zyL2|`tkPMeGfSuIj?zh`6Yj^4%@Whi6HKn%74RgX=<LCVvziZ>vX{PQG+4*puw9Pf zW)(y5>&t7;Z(DY8`!dDt%M7<JJGezHvB;O{@vN5pUzs*sW8M(M?~rTTu;y#-n#_Q; zo(@sP8+JTX$p5?XZ)|Q{p?vsk_n7*E+WlLjbN3a@51Y+?XZqD=-@heoeVG%@zw_<- ztYVG4{LtCX`L7jsd=<F;@7TPRX4yG!CT4xk^ViR3Z3x#-4|m_rG-GvscXM?#<BqF~ zH?Cc|mf_N?<LAzt3S&t58kdxqpxv<k*BZTbYegHvY(=k4y~?#<)xS(Htt?iCP<;kf zMhngbo(w+P4XQE*E;F?mmdtACzhkjBJZp{TiKx{UZv~=q*WFnge&d?omQvqGd~>fp zJ9Vc@HTSJf^mT=I6C!h08SIFwyuEPKtu;IEEL?YM&B~3=ky|63cRGh|jWpir9Jn=- zd8f1Q*2vF0oISUCF3$B`oa1{q+t)eM*Xi;s=A)+UMbVQZXRAc4%bc?|vu15(!dlNA zF_RB$ea299mhs-Th-+%R2Tgl7nDRPFMMf*noV3Mv%dMQGyx=uvv!~pCv+C@#$7Y+e zHm}^_yZTm+Sl;ASX2Cb27H?UbDRN!(L+<C5X2BP3sZ9#14azOEP+VDXnC;oB36+tD zH%$sE3Y`IJ7v#jmh)ReG3-a@FhPNJa6lDr$Jy<2m5c(tdpnt2K=#P*K(W2i%P6RXh zw_1z730V=Gm>0Sr*wL@mLi9mMMespi)@`8+eOpaMuY`C6Gy1j~i=GN&H5NS)A`$H9 z(`q1kAml@o=)RB_L5<$6x}sY`P6R#73Ox{X(5qETbVbOFAV)9O6`_h=t?Ht4LNbCH zJzG^pCxx-9icZM5vmmjV?b)RhOA0*~v+;UMP4Qkl>6i+yr_@9fx#?^wyzWxHCK8!C zmjaeF?l2L`l(}TEB+#RI!ZC&314|V>m?s>Q=e3urHTjY8Lbumes?y{`#tq%x-B!CS zrSiR*``V(TeM@iD>@0h7t9f?S)wEMrmxXWb{Ip})%v&L=%r39;ot<^LefF}3va~Qa zwQZU`O9j}b9SZji{@~S^9sFX|`M$NQLW9?=Ugdjmm0j=xFGj7_l_E>bT33k7%X)Ps zWUi)8XgZhY?53dFWep|CZM~8+td%$ao3yFz>A4ka{7=3D4RZHM_F5m_XeYipxRCA9 zIgdGo5y6LFy-`@xY|K=CEWWhrM`*gce0=Gvw>^7*9ofA4oMegh;&pcEXU<m4Ry0@6 zOFwY+InQ><EaO{g8{YP8mCQ6gmA2rl#cjzn;}dBOXC-DUZZkfVR&(~otcRwHv(pRC zUYM0=s+`Ss?c9!8fu_n?Y*%|$&Q;uMyr9hOa{KkVgsacouh&IfeRk%0UBK06J=g0> z-m-_}o-26U9-NzIuua})>&(U*bsAUG*b;v?hRn{-&dcp)nmsF0>eixyFfli?Z5kbo zg|(HsT(QyNQIXwD)251Wg=z%oas_L+EWE(-b&H0@)x`}=TpAj>TuL1ZO&l||n>ds@ z6r7%RDKtH?a&ub8(cjzI)2-d4r1Ws%!>#~}AL<f2lo)=!{Q7Y%M|EXsMY(p9tn8gT z%L1gIOP)FHzMycnV_2@!cQfWSw_2F;*uuBYbi9<t5IS2@A(zc>>(An3<Ahab<)vpg z2IV^K{?@+9Y+=%G<H*?;HvgTt##iI^KbLE1Y*D{2N6fZw`Mo(}wte&O$q}>dn|>EZ z%(id*9UL+H!jiuiSNpEG{O`$CwUVs*4_U%pTkXrY{tVt}U%d6_;%)YYTYpa8W}m<H zXY)4u+^s*0x7lZJ{TaB!zU%kr(Ao0+zaNLrmY?zaa_DUP>fe(?XWIw-E)Jb-@BceE zbhf?UZ{yI}_P)P`LubnuS|=|yvwu^jw%N@7TN&GCGkb>eXPeCG50$lTGD{aLciUu^ zE>h06$t+!{{Mbgbbb<1=jb_mnZ+#MS>pI@@B;?l3d3z>4w{FARp7`8zcWy}Ty)~!v zw&b2$a~f|;?!Gmr^0wrzTXPC;OYXeYV)yP$WUiBD`LQ)-3ujmdht1ZVRMxh}ES;sC zZH<||M)|T;W(&)#okM3|I5c<WD&G~4&UsvY#&heO#nor-*M2Q2N}rVV`ALy~&Xs38 zm*)jsd&W^w{V8I$@`1OVNx6L+wyC#nwQRaMkKx)gj>PIs;j@(s-gd_3_7!YZFWhR` zbY<R#tIs;0%$sucS?Be6HCLZ`KAx9w^%>`*c`jF<c|Q8}B)@jicDu&glb7DIk&H>c z_N?=M)Fw0kPuIG)Ryu80TXS~u_R{!VtGr&uOIBSw`y!)$-&vb{;+kQ^>lrIdIpPho zvUiKdzZQ*pEgJe-G~l(Ucea3Zw!mpqk0(+sg}g;O*aTymzpQoqa802&TVT7X$1SOr z9Nte`*)B#khpcs6a81EGoAJe3)`0C|40oa!va=aytYsD0CdP0*iXl9k(PJ&^g)L$X zmu9^v@-^A1Rum(wx~`Msx=Vew(q7ZRyR$g*e79^<Gl~{&UE8_inoD@L(n{07%d<E# zbBlLwn;CQ4VqLD#b+eZ2+55Nl-n+FdFPC}yw#ew)GuGxFx@H!WJ$v=m-Yd73W#%?- zxp(@j-@5CCdplpN#=O5@_4Qix_P8Bi*R8#tvp4be)2R1XtCn87y(MnRSN-erW9}FJ zJ@S?F`v2(o{Mh8J>pG*Z8?2q%nyvoe*3Ru^x7N-r%ofkj7H`THugw;($QCcwZjPL- zAGU1MGBa)E$jcHdxAxzaV%hVRH8*>{^lR=#*X)8`&p27i^T29Zu59zRzK2n(cWj)- z856%?`!~br?=EXs->*uQu-<abwmN&p%C{3%o$Xsy+J9H-Sf=%?tj)|>cZIjNdEVk% za;v83mcwPX8D<N%NJp$Xzl2xe=8AK|2H#uG{90p}8M#wEz{|?b=^ZCH*H=Sw{X9sn zR|n_%x180Lr4{AXpj<!a&OCwd+n!z9roLh2%%uz8a;B#yrX*K4Nl09uetq7Bt))w+ zZdFf+^b8Gr%NhJt-DU2DEt*#@&wCJ3&ve-;)X(9T@T8<Q?dPlB=&*lnZu%+^)&HXE z#FdEGYuL}l1=zp3Bz1L*(OTCCe&#jq?5{T-6uQdK^2Yz~kA%us#~I9)*JW%l|EjE( zscXE0O);C->B_IBL!~{JZ!zsH?Z2|?-`dbM?W}dLPi@t^HT9R^;w@~B*|MLvY)i<$ zYrJ(`L$>6>t*rI0Z*65`%&KL4xNF_<?@Q{I<aqvFaiGvWE6VY4+m$U$$5>ZpvUa~- zvy!!Q_O&a;**pr@B`nq&&bZY#>(<Gu7vC~Idvxo;y>AS8IT_hm)eT!V8*biY%P@0B zxWo;)2dlNav$OdZw7Qn2Ze?FFt7=l$EoKKxS%;SlzU&FNm~~_oG(R(Vm|tU(ejh3A z%$UshEUMx1cAJu|os6qWSzk<hzShip+BM}HQF2>vJ=qyGZ~d(&h0${BZ#|hAJ#XDD zosUuTR^HON8C`u~i;dsb$WPn9C1h7l%KF@zA8(ZXIW<3CC;KyNe!NQd=cjq`GTEP7 z^WsIaKd0u!^JIT!&5LKr{`@pI{!i9r=F_E|v9I|LT$5K>>%AeWx?rbSQH=D>x7~5M zK?ysTCFJ`y-kQ~P*pzj8HrI`BN7tFz7eobr*s&}jCzk2zE|zs$1t0A@aaE1AF`H}E zH4%|@_7|dp1$Hhwkmu`oa~9KjQ`Ycou4&(nt~K+P_@27Ptn$M**EMF9zrLxiF{@<x z&RP_|BkM9_*Xy~`*>m=raxbavT;<E7u-1M<%;OJhUGv^>hvZr@6#sR+7j@*=KAWr0 z*vqon1J)HvTvztEBfk8Wh~RDUrMF5Po=Pn*{L6SnYI&ZwMz*kfp|@JL@bQ9P<sEX3 z52txtQ#*G@D!ss4DSI;O&1ojr)L1>UWe(^%t~twfWn0SzGi{ICLI-ZGVaWCVk+r#L zv+lvwXSvR7Te8kfTjRFS&Rc65a=h<lZEo7Ao4DFn?u@SEYTtRMbro0p&XbB}3Y%>+ zE&5RCY@4aklR{_POo>hkoozEY+9-6k&7^3i(AhSb(T752+hjyHh0Jb^ZhZJ4%t2y@ zD95$u_rn+>=GR6y%Fn+SwxNByXh=bLuvm`PirZXoR-L#L<`6z#^hhpik?4-l4PghP zS@WV9Z?otBW}Fi(J^NPOhH2jzZ?UJ#PkGCp20G;}{x{={aPjM5*XkC0WxU27E+0`F z@b%!8I)|@~SJ(sPZQim6$Xl2i>T1?DY>`*FyJ2EyWU}UtEuiCUT4K1AKqrid3r}Zq z1&u>F9bB4VAn@UQf4=4m(0KXo)zMsUG!`sQ=xf@s)Pe8a+1y;sgvAcMO(t9yG%OY$ z=waHlbU{y(A=eQNj>QZ;P5NBBrZMTS(_O2hvwG=+Rr8v(xK?P)Sme;fv|_13SCcx| z9F2@c4V_F&mnL*JEtwV6uq8RUJnv1)yO?);X|;aWHmtcLd+Nm8xw0qj-n@A;^Uhr7 z!@YqL%5FUpjnW{}FzG{XkAz{;k&eRcAW~4d?ar|dL6B4~RKWtM0>f=k1=pbpBB2Tb zpb9>2fhxFk?83#17cZWSkp+Rdr>=r{7aKv`xyP;so|$-O@rqkEO1Bp;y=5a5Enm8} zf5SD8t(9N4T29|uxn!&5l&zH^TP-JUwPe~@+IQ!f#I-cPyU#?frJcKD)t)z(dAnuH z)|s2PSXOVHxp<3Z`PRru+w~%&x;=9FqI0L2tTp_wMb7d1EZ4`+)?7{Vyd=f!^I9-t zZKOe7UsP_`g1lbFm1jLy`-+*Hsjo4Mym4dBx?3rQJ1rx(o?LY7S;$p2?o($wSNV!f zo_#rFww%p6?;laq3%2SpX1#TMa;u{#*JYcf=hl~uk9M`JDdh}&Ei~ntoW?raf+%Uu zb-h=nxu)e#bJ(tzm=)V}cUQ~OQqJ6Lezxm!AJ+C7U3V)ueQ1-J_k`$bfgO5``LRxy zcd=~T%ATLizhYWzN^Vubwr>qNZyRrIvRLEGf8(0m0$uLIx4taMjTE`2)^}S<J-at~ zo6f5&VfEbJ<gLdzqs2<Lb~<m9W4y7cVzsZ#I#a$oQt7$9kGJX^%MxCm+grTt*uf~V zq^+IJ+l~oFKMv9D-uRW{&@|SF+-EX+-AhsnLuX$+ld2dx+pgpEqLpT@FTz+hnR)NJ zrW%+%XUW!%yImsJ(mWsTI+FL6@kZ2=ELV=~%_;@4M%%wF$kuh*s?@wS^3ry_#^~w= zTg7HZ2_C$4CNo-a|1F(^`DdGUrcI35Y_cv=;JQw$wov$N)h}z+!&O}L_}-oE&DFjD z+SpqbwoTMPSz4Hz`SUF<&?5ZI)Yx3s4QsRlLK#<a`Gh*GVhV_ET)|}%dSE4!uV})m z13|2lwlR5qjbvORZMt=0WbhfO=tHTsQDN7n6m42IX+pHqlf}u8A5A;3Vn#>C4pkX3 zA--t>J5)KYG5`DdHB>#mblQULs&Af7Z3sUU%UPy+Wvan;)eBP<!kuC`3sm<^eGtZU zd)kJurYO#gXs4*!vN=-|!ki*GQ&fAV3T#vDn92ZNEv;IRnVJ|In#&m$5*!rhAI%iP z>F4XCsxx)M7FCU_(;G?-yQ!u1etmFh6X?XoBHIek*;Z>-vUk2_Oe$rPJ*074JwEr4 z!tM0f+(R6<mq+IwVz~W0GWXDnTj~+H_dMQhUTv0G@b>a5v&59QpI4eCCcG71X(qYg zN+U0uiXlU$^^U;#Q)f&!-Nt;{<D{q8ad(enhu<ay7ESsjI7zV3I%3X}lo+2od<v4{ zqT88Id7Sh-<pxr7@NL4zrXQSaOnL0}HI=nB)wdh($;#fFd$%k={kxgE_;%R?I~TTY z-Pu{2V;!*i{em^jo8BH++q{+UhE>Mv18bW%^Ifp=c<r#3c{AUxZOxmwnzp{QesGI# zQ<qRT-*%3T`%Y}=DCp*T{W(9tprD)K_18bYer(HFzfX_<eO~vQR}Wu2d$LVqUESNW zYjeAAygGa3(uHj=Ap4fOkG$G>VBel?D^~x@^48Ao-tcPanpG>dWvtq#$@MC;d(Nxw z30*U~rgcr(rg8GvQ(ozrznLx<ZE8z>x^F>G$&{X!JL3I4owNVBKHe8_M#Nzf!-PDY ziM^-2&YYI=PO;?lwA9?`GO@3_zpvN($c&5?Gdgy-w6}m3SbD4cIL`j#`F5Aus`Bcp zO7E6%b<i-2OL0MNVL`t4lWlFswk_M{l9`sAo|fwEBKAyGjBT4sd`x6)OtkkBR~HwT zl&vnIU;TrFW(&FM3X1gZHRYU`EhKVX#b>SMhucyoZ!KZmq4u!Q_i%x4a<(sXo-fnG zSuOiaIg_FvN6c2avet7#^yG%^rp;SDo9@kG*}Yb9jjzzRZE73LT7O(~O3zl@wYGD$ z@50?%1#U*Y$jyF`73`7sHs<!Mt+zyiqPd^0ZN0JW*=n=aZ`YhkvlTb5{kY0karah% zJ5d=q*}JlWHS*r3+?HB*%jVj(gsab3>!OWAXNx!F_6Fzv;>q(3%3XC}Emv~xI+=Ch z->x}@XN&F(<y>=CdhOS<mu9hS-|BT`6~nb>y;py+W%?ev6~&qr`Y-FVTh`u5JJlF- z*M8cfRkzi0$~LX4t)9(=x++`6qM~+h*jSdhv&?X(R_<2M<U-veTg1AecCXl2=D4$L z;!drctt$_0(aPAW8It^VPR!JGw?tOOSgVG&t~P5`x$e}kdQ<3Zr}}Kgy;}orM``4S zZpqs0mKVAvYqHzpsEn+)kB;3s`ZUTTGjv*3@QO^`mMzN`?htE=5?y+0$-^CDi=wzK z*Lnrza)qwVR9VLrlzUBJo$zL}aJB2&E!m12wtC&QlFlxETDVtehuEvA)fd)I-e?vs zc3rzJTXF5yQ+KSyvx8j==C*Hr`FWe)hSG0Wm-%0|`j};WtZ;7eR>{rV{kGqdnH0S| zD)*Yny3cFOvL&v2hRrUIN)ON7mpM0VYvs~XyQ|M8U$b;E5838iU~=u!v^J?*Gb3-_ zxTFAC+bR`jvSV9D#>Eqh3b&eUc(oQZZ?a&T+ZAD6btzwy85uekJ))$%OeTOfO|IFf z5)%~`<maTv2|9VF)4NIO(Za-s4<<QyG%0X`rkqSw8}mIut%%*xLLc6|dNz&4%5sOQ z;=8rEo(0Ll(L&cQotwsDve{_U#to{DphF6?vppYNQew>ZJfZaP)T%D8EYBHTO;tro zjhUVqN{O8;szQ@I95!u~xpU&ko;86xWg>$7yxi>c*e8Ha{^>m32ysA>1880L_3bi0 zK3*+J&u6<l`SQ7pSsP6b^-6jfPby;z+RJ-JR`K(tBKH-6o|c-DKX)iQTuX0HPA=7Y z$I-2<5cYiG!v}p18WI*v4>;;gWe?`F?2}!%-p=})+X?B1dF}_K59YD#l3kbw+JWlc zP@J6{d|U9^r3+cN3d6Q3&zRWR_?9CvJ}x%enrV7in6JCU!7TR`tAdqX-f{#5C>z*X zzUA;$*10R;nAx&Kmhq%pug{sg4=xp{YHOA^pZxXa#)FF+3k%H?LR@Ut_#NiuC~rQ* z%VsXHCi6l3{k#Xq+qW;@F8!o(Pfo#h=?k3-+m(*&UAuGZT8^Bow9E|cLy?gZH*a() zupQgFk|SQJVl79kQckBr6Gx;r)3i|0t5c?M1ZX==;qdqK^-<F4oUlbn<7#(9$Xr?T zRmR1p8v^G`C1eF8o9<ZS51QgRaaCcHoi+HTUg2=&qg6+o4<CA^vc`{>CA`^@mx=N3 zuWw<@c8~>`R~O{@>G8c=n`>H-91Yqy6wP}Me8}9sFlK}Gdh2v`*IZRt{m+Y8n|GDT zf=dZr%`2`taJ@RYa^*59gUkh6q;xVTEMdIFD?M{McS|HcON!*<t@|G2)iU1x#U!0A ze{gI6?OW}cvXgiAWyTzrS(p6fnqkRn?qApJ+Oy}c-^zdO);hDf+uK(A@`znmv{-vR zOPG1LDciK{&9{y`$dh%tWVOc3@IZ8OLGszq*%D9I8m`#5Z<R04iE9!e>y~B-H*YHC z@P57Q){z4_y^X!5L2QYpUw`eo#k0joKzem1Tg2>x;cU^88L1PtO7?^btT?N%%Fejp z7SBARgj+nbi~?@)OnYS<^l94E$-gIOMtbg)RJplhB4ZGnr>UNyv99#@<(ryx-ti~b zs=ez^s+D`kpY-sb1REEh(67IYpYFarKktFULwN=Hd;i|>3VnL}^ew-_{dxEP34m05 z`}vpg)7iJ@<5)Xy%kP{qfBWCY)w24D4wp_DTwBKT@RZE8WoI6rVz~B<b#nI1byHui z_LW>W_2g<_$xTx?uJ)a|_A6_@_UEwKMoHNM)56%+nz`Q#Q(I%UJv((v)@7FB)MZ(h zj~qK?aCO<q`?FH6J!6f^o_x@B=9;tI+peiKWnYSztyY)a6fs*lD_baHws^-{@oe8; zS(jCEbr)~hr%>ekB`Y{BGq-8mK8GUR!`szLwq^!xQ!CiY$#m%#)0VCLPj0nj`(DYq zyd=x_Sk`5gtlXfTYVlh$lXgtgjGk?>_GDP@tbnyALvw$LtSis*U6xh6B*%9~)@A0x zw-0xyd2W4axI@k~>vD3TtwQ$Y<$1PD+vGa8z7*abxBRQX8ejR1Tix$ey}7#V`0ZQj z*|smTiYH%VUT5aM?OO9%Guu_y7Oyd@openu_VvTi*~($BH-^r(^M9SZjy*K@n8P~% zopOF#B^U3I>x|-Ga?3{ASo^lXi6eUs9WZNIzfNb}T3$!)ZmpKp0&C1#RtYR~s^D_e zYFWX{xayu}%QAr(P64+BCOJ9mnix5EdN*TQZB$Y~C!;Fcq;5x*C6ALnbTB?ny3i4E zxzCd4j~Me&;c0#Ww}dC<#oRg(BVds26BVY%F=bNU#0lJol9QDlKWbXg;Q`v`A;R~M zGmp#ZK@$T<lRQV2!k5Ma+ZEn4PGEPE<)~1&qsviN!p+1{#O)OGcXqV&?WkK#3&Q4S zT)mm7woRd-wzBl9NXuGQMQ#=yfi+?+S^~?&STqF|IaEXmEO01bTFA+wCNM>;MO9#u zgTt;w<;}~cS35q9OQ>Xg$~sxxBTk@_@d;~R^}*!Y<kB)7Ej77!tQ~Ew&DD&FwOnl1 zL_VxvR(JJgG2{KX3+aweQ+u}_m?TsbRHWOnraq=5Cx%-Bw4wKU)8Q&^E?tE!2^W|g zxf!lE9r*R-$L0n3x({xz_T&Ddb0C`g)2q*U=ho%vg4e!BbKld+*sO4t$&CBjH6~N; z3pxs$ADm&@ymi5uCPVIhIs%&&a&#FsA2`jV%e_nIK@|5kofDfDoMO`9UU!X2n|p;$ z!={8&Oe?o4oN7|%o~Bc<X+frL!X}54ZLFSR3c2TdeZ0?{e!DUdv{}z~($@(+v%lFm z<=QN2$h^&U<I0I$m#*yjw^ek;)o_6@#v5S^!VX5VW{55cT@ZFKf;B~SN@zk@V+3nt zv||M8!b7_@-QrrcWZtqRi?%VX;L=*LfN9y<gq3!io0f1*$kXZPJ>z-I`^+(GJ^xf& zewFi&KP7yM_;lsL&L;<-Onfr)$-*ZqpL9NPjFCIRWmJ(7vBRe2Yh6=g!|BiA^RFM@ zzTKwktH0c?r#o-oycv0CE(pjT26cXK-sFUG_d&QjZ-XS~%I<`4Gojoq5UwPYyB@+l zc?+U=6@*&}<u1Kj2m&W##6e*CsnWv2!WeN`*||p{onAvwr&m^X?g0q*VKk^mEIW5M zggX(+-3H-0Lb)3u+>cQZ#cLqkg;4Ht2sbcl?lcfMlbZ<wEALF3CU!hGac9hCm35Ik z*L8ZdnZjo)1!x}%n{CngT2Nt~M?~%;nRV_3JCqW(b~^7kHZgkQ`dddjqaSZrTe$C< zMYQ&#(Ahd~!dzCHdH;ysoU$%b=DJQ-_OuhCm8*QkPMMy5yo+U@sdukv;i|Jj4A*tc zuIWtGz7#TB$NZY=o3$Llxtknf1ee@mQI;0Iy?Dc~wfgJV**;pe{nDvJw-&GdwRpwi zRm!Utue6<H)nrv=Rb-WA6?IE_netNQCAMCx&P$sa>6^1}zPGqd_35*aYtMb2x#abw z)LMn9KT%RvdiYGn&Php5ERRq5(ZYw%9DaR$o69#p?%v&J56)h_!l&1}ZdqYb*6hpE zg44q<&)Ir*;_1TEnWqzP%j}lfG-u<S4QB;EAJ{Nq!!p^X*NzGg=HI_pbzu4Q_VV!U zayPCnXD^XEbMolHt5=w}e|@kPw5iHAz}Z?mn*Yp+BiEWYZdm`7;Y@e7?TcHdqWHIL zShr@?vTMv*D>e8R*i?L4kZD`+so^B^(ys|8n^pKH<lQ(BvlzV5V{*2OrX=Uj8#~<k zdb+zh+p}9hXR1r=aBBjs9jeYg0&##_SxIqGVSYBt^J~YpP21*{m64w2mg<(A?UJSf z+M#=Nt6OX|Xwgk*c8Y7NNvcY!NGeO}lPzxkZoWR=Zl2joLh@Tml#2^<uBh{=om1_5 z`shkQ;7!Ks)2@|Gxa!C*TN1EUw&Vhc!2n}y*dnWOHMy*z<nXaWC)O<AvnFw;ftGUi zs~;6R3`A7bv$>cH6KxJfv@GOME==?Zo3PK}!2Z^U;r*bUT?U_CC7wCBqdAd%abDtu zgA=-|qa_ORa<jRcLHqL(7tEa6tIK1wal`sn#%EWzZZ+7kWz#w_wrQe0S`xwB&0bos zG7}vvO%FyyN%$B{Nl>{PA>m<emS>c&_nmFCowjav$)39!@6JByb~|>ob|GZLo<7&> z|7@(x-&qs(&Wo47zwgHC^`{T-zAaw%wV<T9=(iH2XBofY>g<(E7ryP@{A+{Jrj50V zkR5yayI!r|wq?^d*08-(LPf9co3SdttEuXixZhX(32_E{BdQi|(VvtPb8o}Mo!SPv z>gH<`BX??Ryw2v@bf_@gMo3UlP|#5KVo<GGppf9D-mM!8BReZ2lXG>{6*bjWud7`u z{CII=VXlNQX!qXrXA=xIOeiSGW%>Vu;s2j&%lzMiCh>DWyn6EH)r)IseCu@i-sR@r zxpv{kwJX=uActb)o;bAU$e{z*o^@-l?#|B6-Lh`QhIM9tCnRe(ug;tu?c08)Oe0sr zZ{4zV>nCCMOU$O2wQO@Pa!!bkwSEFRu*Mm5V2yQ(tEuQ#=b!+8U+X7FOtzRz+2ZWw z>|t)S{TSP`rBAP20i8H9>*TBxQYWO2udQ4YxkfW7>A^JtBQr4}t~}o)#fJ~BnS@QS zwA`_F=j!znS9ji?wRhGYslBuI&e|old)Dq*J7;ZOJ8`u_W^znMX6*M{vo`&b+AwSV zTF=#nt1VYMuJ&9lxLR_x;%d#+oU0GslG?y!wDslG(5u%1u3i^eax61@p2daJyQBF& zfR4n>I^}q$NZ~l+o!OwV*P;#6+RD<x%(lr)=qwaC#wfuaFH=!?V5>|?;fAGCj~={Q z)DW5<YPwY>z|+-Mmp$O?%aFOgGCI2KK3`Xc%$2zl!8ai%VO_wprBAu8u9y>}=ymeM zanYudl$eFbjvf&WaxsZnc-YBF@t~*_4`|DiqWwNw+dZPEc5hxiJ6h37(Q=`MqJ`pi z(W>m|XvJ++rdve4thJ*RH~$h*5*CVHXt;30dQqm&kD?Z?Tf0W|lF%WcO;HP1Ypv2; zxnj9!Q&E->Xz80*l%lHQ5@|Do>#on1c1!7LU2k2=?Ik|xb<!reONFsEyAnz^zHqwe zx~ThbX`{vubB!G@-OnFqD=sVD%{X^Xw9K8n57(w0+qO-5#lnemb9Xh~%nR6d@CIAF zbVshhHtB}k2U{DjvBgRkyk(32Iumqi)7(&LpSNrwLDCLy*#f`H`Cr}Hc$v*-x8r3t zZ!c+;+=de6;^v%$xN94h+*trR^!hk&Q%O$D9fe~Gu19#i*6<tMS#bD}!=Zz`LM%^y zf4;q7->*BnFQ2}xu;<s7)r)6$-&U~PVZL2q8*dhO6n7A})9nRYel6I%V57q31)F%4 zR-fPSiiP{rtp)3UeR;4d+Vm>dDXv|&6xRG&(&WTB>(&Adg;Q3NI~^uX=<B_DbK?$& z9)~W6PKOSMc84~H7VeM;eiIHA4wfAbjSlq=)edzIwcJbCTedq?e&u9gVF}sp00ITv zEG#T6TegAN4rySH2!s;@=9Fx82)z38;}(Yi2R{d22OkG-2T$%LA@dzPbaQgLrN7RM zoI67|E2rD*WUk>&C819jH@YTwAI&`&u^u!usLRLA$<DmJRpG&W`TN^mtUhnMcaPL; zvGUvC!xGlH-q|)`wf~OouW}w<Tg1Ek=&fxD*|+7lZ~dFN+TV23Iuq0N^>6zl|N7tH zzwvLy-#LF5{9W>Q#owO46aG&5JL7N1-;}rh5v)6J$*)=UcjKz_^H=^|_^b1l{K{V~ zLAz?24%IySXK?lYhK=?C_P+Lh_Wt$(_MY}$_TKhB_8wELix)R}ygQRp>-5fN$>Vc2 zM(=!*YxTr_Ny_p4d)u?%(W3<l56;Q(ak0HSqm;Dhky7HqhYuIrJI4_wuxIYc&9`S- z@0z=E^?Avib7!tTZ@$A~(_F{sX6-e8`;W|$lH>cQ%huG@ZOR(M!}nUa*^!6EkRygi zOq(P6_Fb{&UB7yotD|{7fsW0|(lU1s51(6jwR4-qflz_9YoFhoE`B}9AZ&hIZ1+J) zwv^N~i8)tAbk<neA6ZqrrtYc;^Xj7qR<{M{im(Msc<8bPewDCEe9*#pIq5=3f1?na zr@0vOk`=ufX<v#K&-g02HfH$(-zi0lCx;$6ucozA0kq~3R7G~Qx3#u3r^W^z>}87E zQ0{myZULx@7Qb;-{ceo!(?<{Pd~4dyeM>K4w?nRegtxP`vG(ehtjX1kH(8U!TVff? z4qj)Ct!@mj%?o|b8hN!db*p&H)pXHNU;P=o9%Sk_>^iWr^JUXg?kRc>x43hDB&_pK ztNj)8>&l0XUlzv9KlN+Q8o!gT-gLCo<iyAyuR7{-<dsRxe9(el#{*wE|9pP^`1a{& z`Mtl6?B2ZkoR!tiuQR)wtBaGP=WqKJ6TCXpUFz0M|C_&NTvfk0BSK<E|Mg!rKTED& zN%uaje)@S<Eokf~%ggksa_D8g6<<47omXEztIXu5!PP77nYGhi8Lzae1q}l2xg&7~ zeB_;J?;VMgzgpIun|oY%w%qZznK3pYK6m86=VH7CpUQDt4ty%dTkxqIx8<xXw_EIZ z3qF<OwjB6Wj<?`bId01t=&#jV_x9$h^Q#W+x+S-2rQAxn6>mFNou4;v-n?aRUpBsc z=@=y;At5p2bZcW{W8=&i5J%!TnBy5EA#vFE{^F#|imW_64-WBsy72JsO<n)kzqjYa z-1&51>&l&5H|n0h73q8O)UIjlJi2R_*~Fa=J|=vO`Pk>JHkqO+uTHJXs$8*Xj@i?P z4;!Q8Kw#d|r-6?gcTL=>Z)Tvc`+cdK>e46seB7L@-kk;=2e3~^O-btA=|sha5BBk} z?PJ*Y<L&8q`T6(uy?OfZ=G)VEz3+10?Y^_`%-$n=5A5CX_H=aZwz-Y1wnx9IuKm~g zCN(GiR@{|qH#VJ#iHT9TeiQ^!3u9wIfCs`=Er4);TmwlSeVPa1K7nwT=0dm^Al%d( z2zL*JtC|hru7Gf#W<t1AuC=zbw6v%cdwQr%KeB1*Qr4pAC=d|az7zzG7C^X65N>Ne zg!^tANOGxb9)x=f!ez~caF0Q_N3$W^O%QHtR&-Pp(^|b1C5L5&n$`V0Kvy<0FfcrO zEX^R%Rm`_=)h<R628XQ%YvKYWP6YHc`ADXwHKYV^Gw_<6`#$?{?`OkDwto$r#GeaH zU#z}DFWg~q_ReK5UnOMuH1vP&Hah)EdC`j(efdr9|36snd9Hl)?5jl_`T3KVlnDE> z8*bChEV(i3xX=5v2fnj%Z_e-ZoBhf!-gk;M|1-ZG>*6N9xWK*bt($($*Dc2mDLj1q zao?WKNojX7Le9Vav&k|2|K59dIW)a`D%7{G+`&4Dk8@d@LP=PUS+kFj;uRSQQx3zl zg3bjT5{-&aAD!Tk=oDYhcA#Yo>*_hDZywsxe6e9t^AWemsO+Uhv(kAk1a6R-H$UpM zX4&3`?#tP+k^UXgjmE5^yZGe9XNj3^&N?tT#MRHSAx!twtaV@VUZq%t>f8;L;@q;u zbeGuoZlAeJ?@K=2Id}PHDHAKT()QVg>pK;8aBk4K_=I<XwktdD^!d(Ll0`T7xH<>F z{&YC&LO`?V&i5rr`d!~X*QHy#?VRy~!%Kb7_dWNMrRDu5E>n2j8vDHL^Q|=A##IRt zkNMZtuj#$(seAL{!`W?(|6`s_UH(&Qoh19k??38yES)y*{vk2Z&At1styrl)e@9=7 zl9}U4#|xW;BGwAYo41!a|L!kgw)viyIgvfC_KJ8AbNqX&4?Me;gtJZ7VKjNsC@SUP zf5^@t<nE1o(>}A!=stJvNa%DYeTk5ZA5PAFZL{y)t=Nr=uHAg}TJiX5=Y4(w^SIMb zmhtrc{j+^7PhJG4oMz|@9@_=MEM6-Z)mR$%OdXo|Zs;&6XD~`KIVi8xZP02HoAbo? z&LWkMoyUuUqt@KA{PS`3j1cZWo8*hvKJNQ?qglcG$Bc*O>lT*36T7-h=hs*H>_=Sx zl|^3nmbkxU-OFDWyx>>4b#t_;wtW4+1+{sC3j;T2ys0;x`+oJO{)gRdDra5QOa4i1 z47<9$_kVchyAwOg(#>v6(`~zLc;EiX9QMUxTC5MXwX&BvSFGI1@N$<;$L}TS%f5f! zZ=Uy5>7}u$zn_5oruC|w=dR}5Ir^{m+}G#3rvJar^UUdt)Zy)t(z(Z6AC??-6xqHf zT<3mNnr}qt{8KtNU-{LZv#B}#E0AldtXk$t=hNn_`}*HWf@UhEuJdhaW!uwz@Zrh( zE8FfK`XjwB#cuh2kM?tm{@h;3`RK>yCxH{^z3jUl@qdO$G_USIH^CoFndf_Jp0C?q zxa{bA)myPs-p}~DT%>w;@X6PQZfUq~Hx!A9X+L*F_tW+k_Dud5_f5NQsmKOu>Lw*j zVs_)td=O`mdTcstI@_eo^EI)yp1p3Lyf>f!v?lv~<GM7hSwE8gm05mbHu98Mv!W}m z_0QBr^NXxxBwG9zE}0g6z>WW2rijmkqY+!08<}q!9k{5qecQgb+vV!Z)9xpgAIhyf z@jS7|TiAqE#it;ct1+4Jh~%G%;oh@m`P{h8eM5O^^r|<!DYrj{3sv=J8uj1f`?{j$ zRpPNdyhbTIpXyw`)<4txQT%x!mC)LWT%OVY*JtL{=blnvxD`8xTj9EkL}5Z*uhf~} zmJ3TR#Cl1k+b3Rpw@2mgu@7mpOy$3<+!%V?<5};<c~kNh=_((c=GxCS`*Nq|UA|tg zjlM752JcleD)rOn5o57h&d=4^W3b5hw%cu)nGv$9y{vQ=s{G}N{}K9NO~ESBKxv++ zTy3Z3AF90@xS4lKe`ss^qjg8hq4aw3m479AqJHUog-S;Q%s0%_*~@u<t?t=N918oD zj9$LFvFWY#lAoU?6!$1TVNE(w&@B9PmFCuy*UtsW?Bi{e;pvwsT0L9YK4nk$(vG|T zethNI$u^6L^Qw&*vmN6$uUtOK$W>)V%HHSye|U0Y{_|hwKj{BB{7yhIW}#Ka$}go8 z{=~P(Gzrc-$}i@+e__INm7B4r4qhoeJ>{KIB-`wRK~rkyZ?s>1<ZNu&p4Af+Qx48& zGv~SetBi5M{MCLww-o)=%1&PFOc&bmFtBL<VR1RGhvA9_>A{hO&Ql{(Pa5+-S4-dW zN1kh+SxH~wUQQ<Wv^ACbvifol`Lix9%iy$p=j&`N<5%UI?{xlDLdac(_downE6lUp z?OwKNro*Df6{q&@S)SP~%Dqo^Rh!$cO5LOWkpflI{5z~nn(k}t=3{i5?0BF^RO2T1 zwT!E^Wqc}z=5O^TPv=@$?;jV@!f{K|-64JXQI9HzL&tqnMW>$B3tF~IasRXKD`rh9 z>kINX7`hzT_iiJ@mt02w``O1V{zv<Du%!e(&`?QIe7|EML&T>mXIwgNuSw2cwmHkn zY{nP;2dyp7&&$7v<+=MZ>iv;j2QN&Cy8Plr7U!nh_A?9i?s(FjR9L)5_{6ru#TNOF zt6k&@-i7-WhRwX!+GI2DSAzvduBG7mKg*2np8jO}?<?Q4{~1A#GBzA4KK*sa1ujve zGY+dKY(C?8JSr=0+D!q$m_v8>=dTiav-{U;AAS8*&FQCO({6~gY<XJQt1w4LSzPkV z?7NC}-t*fg*J?MKXgj_0^}juJ^R6vNPu1F9Y7TFyf8Khh%j3(*t2=JH$1KWwf9|qS z(1w{;e=xf6oep4-3O&FpYoPhO>9SpFm;MXeZFQ~sH+lL_T6AqubbrJe7G`cCAMogk zp#qmqNwVkc^HV1-JyD&fnsfRt=Umx|B8v;Bub9)qwe0Y**5rtFQ%~^T*pZ~a>r*<L z)4Y$<3$34J^cEhT*}pvdfz#WUMvDS<B_8hHHr0hYZ|ehgrt&?FXY<+$f4=Pf_Bhbw z@H~&npPny~a9eo&{kdn}FAqH5r}Q)HhLbQ`^(u}v2ed?Elr<_IJlMVB%Yqul<m8`U z0%9}jb~thw>T*6V77CVok$XRri*2=A_w4<TOVzU_CvI%Jr2hBWmR!**cBedys&)sS z`?dDj(*ni4|E@hc|D@>EghzL5ic)_*?aRF1KhyhDyuYwYWbH*R-<{vryyoAX6{{4# zL+I@rMSscYKUZ2r{1m6}f7GHT_ttarK?~_(kB_IM7f<!yZ2ow^yt+!}-Nho)B`@#& z7gN4B^U8w=-PAmO`;^;mAFi2o?5gy$%ZOsqiiq9F_rmew#KMKa%iir}OZLpXb$`R* z^={|5Q`c(jz1WZ)oov!+n<=v2Vn+MgW4zV-=3bcS_PM)BvM#jNFJq<K#omLS8=}(H z-uSvNKF5@Oe%E7tr_)Q5Z!6sT9~&TBZLoBv+T%Gpq&y`~F6!J{E1GSx;1Zk5mszKD z-rOu<T4p@q)?<T|A4@m${ttb*&OqPdvlw^K^j$SyZ`Ey<iI8wBS>&uCUzE<R<*`Gm z+c#IhVM4f#ywlp)In~}%xym_{ChSRGGv~DOJ#$U%qF;Txk0k7v&{yZ%)I3>4WKpz| zq~wbz=>^JqlHcY!9eyO1|NNPLnbJkW^V*XPznVX~zF=WBw}ATc_Px#4=R)HbxfY)M zeBt!ABX4)C%u7z1bz;HEH0>_Ms$M<axmnumJI+qMHZLY_*Sa5jn>+KTMhp09EG*Jn z+;qC&$?wNYQ|D~&yK=;C;aah!9D)^P*7dRM6ZC#fDq}Y@J^zzYm7ih0;rdIbShOPL zosW0lesneNHrKs-yZu`huKqav$&&I9?^3h8Zmf^%*k)?3#lvy0UMj5D>{0Ud3z@Dz zK00rZ;9Pp{tk_iJsnhP<7B)X8b8k`LrQbi#o_waCz4t|Z^5$J158uA5V}IA>^2eTB z?_2WQo*Dk%Em`q7J^I`8<(D7a(NJx^>!`7Gr}mV<y#KOyw_4^+TqROA{~ycCgKNW1 zxy+ugez7#-bVx*G+TR^!KT2QB%+ioPE*^8?m06qeYUQJahsBSGG|y?R*mL0H$-cW) zJ3d+o=Lf7ibz)Y?gaT8$B;j{oz3f(%?~YtMvG2#B%aX1|u2;SDEvt*)`D*6Bc=)i? z>_EF{fS}-4gPFn(2D@+g#LkiD*yCkBAtSMR_P&d6gWA3ngs%x_imVaH;q8yMeR`~- zD(<!rU!?NZ_m|I{{que~r)Svr4A<rFEKmPEzRqm=&4UGdIaImkrT=tJKgGwcA<5tL zZ&q?@&LZuPQ{KMg-7mCx&$r+sQmbR?L&MSvcV1!5Te?+n>72}Knfli*7GBIv)R>ZN z{HAOPYh%R538D8*YBws!zDn6N`AeVO%;XP0c&=OV-Te8hb*Yx^Bro>ltcd7kQ=5!f z)=ZwB_Jb>ZYU63;n??t+0`x4qwkS>ekuIyTu%ms3ub2<p38^o&=P&B<3+or}vFyzA z)Rn9<_{9?Z^}781A65x1C-|HeU7Hf6*&-@ba_LF;Y}fdg|4+=x<NWmO)|~{d0Q+|7 z0}=C<>Yr0SF>}k0jqyx+ymK75H!^oEvDRI*#7Ar4+?65D*%MBQ8-;fq|G>-3!ohU( z#q+reRj*yUrdd|LRx;LlUT|*d*W1!B+HRJ3X>b+1&kmWte2QdwPR+x%)7u}P%eql? zdgn|9gHKiLZ%jV4$G7j{IySTXQ|X}?^Amc(nLAoO9+>yqO}ccd=-LC3?{-gbVaUB4 z_-OL-pG8TrU0;r=3*JBVb9+s(`>%&(+cL5n`<haeOl9KFTyKoXa_dliu=~B0`z;>X zyS;CUlm6+|&D*n-HTTfrs2lz-gDyuJ|Bw>i?Xb$Yyg4gzs@&>@uZoX8IQ`+z{`uA` zvklI*{RzscOyBbA@|m+g-lv12+vDi6d#3kievda#75?GP@!M!!z45;#aS3yHe|i)? znS1fj@|m-L-aoId()mtMB;N4Nr+b#y)=m@kndFi2LenOHo|Ec%?dJ}Czh`de5;y!8 zvoRy8yYzXt|NVoNIWa|fg&pO4#a&C7XLC-s<<(dIe5$+c!rs}Yg->VBc^W3zv|#am zwgW{KEQdKWX7Eh#cKl=f^+9fhd#z7d*@HmFNs7uL2DiO=9!{5Ll0KwW&D?*ay8cD+ z`tP<z3b$l`eqU`LU@ROjKTFo3;O+I!U{izk7K0`Qo5WSEx*9tRY*hSQ1627=K7aKr zb^c4qvorc1e1AD}^&SVE;OmVgOC|~?S}QMn=rh~yZ83Yg?VZf8$!pJE`yI#pSJdPk zOZ94@+qe5S?Kv7hU2&c{bF)~`n=3am^0vHPA@U_H>buhIxW#EK*JV8f6$7{%-TYI( zbtOB$S$8_@qITKbX?Ed?i^|!qZn@R9;M(lw%pHBFRupVJ8QkA0cx|1ehNH=$J-6qy zh;EkJ!_Ii{Akzw~skXcGvgcG6t(V~Kn!HxI`K`m(n=i_hFEPG3@|mH&sEYTYP-=(o zk^}rb+l?&MXI?8>yEXCSrKJz{B*#59cUW3H@BK`!ldZRy;_6kI1oJ(ZuZvrzUKeth zs`*-^SLeY2E<MHgxTdpve@B1I+g6o$cH-Vojeg;x|2D~2ZhhSL<3+Q;;rA<)*?bzf zMD?C;ma0<x`nx}Uo4QP;RK1<ap%pJ*aKCe~)?__)U}1e+N)B7A>(|p9Qf89+o3G!v zVX$E$&kxnjt3-5`@u}$ETru@ao9|VfxvN*Lczo!C<%=uR4r!)7R==cp!Ro}enbKQ1 z#GJJS>?C)s+nl}PwzvNW72Vw**IsbEyUfL;ntjEQ7e1SpOjVWU-~XpGoLTAd<<@n+ z(Pt`o)}P_Jdi7M<dqLhK{<XHBa#lSrzdTuf^&Wden-AY_A3ma<J<ry{M&f&I(v$1i z_o|n2Tr#f^_*MVo>7||bVyr{Ne*er;YgeydE>*_;IseC`pL6~x$y#-P(m#6X(V748 zeyv{HHh2bK-ti>cbFF)DeYx|FcOULaUdnCF>v_9y_WzG8IYG%!bUAi#nfq^9u;(m~ zSDSCnEB@q5pABz3SeC~Uv@(-dCGXg8|Fq&eXSKtpEuUkU`)9^ZmH%I3np7@`J0~vK zc+UEcLHXM6EO-8}PfdEuEO|n{X@SKwpI=k<y(kTMej=gmpK^wI|Hq}?F+bivJYzOJ zYvQc9vm5RRavn?1dKk9%<<I0Wzl&=<pIw^0+*vV#v2S0!Z7P#q_CwxHOTCw}*RHOd zFPn5Hh~>2R>Ln_CP6t_@X1-95F<5TDAzA5HfAuSyf(7q4)Cy)V$ba+6^yv0aQ}^(T zJQYe&K62Ij!{nr6zxD6zY;xIL%E;dz=@j)VR6*d<JY(g(`P=!vO}mkJ{`pJB4|$Va z14?hZCC<4LqiOWuiCtV!gEsH(J5w(F+mn3l=mec#rT+U4ZH|fGeCLhn?;~5yj(wiP z>kwu5qw}JWVa@mc&caVSgLW@;EeTLJtjuy|c~;05v2By~`uC~PoW*CC9rd;4m-Q}O zZL?$9%Yu`eY?mHnDSg>^QoF&=<naT$gT2PW^OUEva4(Kq`DC5jX=AsRUc;kL@>otU zy|L`A<;yJ^|E^s1x#=O8n;mv4GpI%=+<NI;y{K<<zFb!Mvt(`9E8~e7x9`4O-nrxR z)wtj38oBN=YdlhvT$b+D4$osV>3XSaeRuOiukA{9ht_6j+{xb46gtzt`NcAkr4~^~ zHE*&Wc$zEf&mbXYcIwKePbv4cLT>wReQ1&)oO@lp?A1flbY1RuQziK?ZutE_wa#Pq z|1HI{in2_l-zr)wyooyYb=BUyLt7rlF(lu3`a!>AR>8i?+cNJTmj!P0o6t4y8kheu z=`*)AoTqJ`W32mU#$J{GUrii?E{QKK=rO$i`&`j=-^aBJ&Z@sGVd*zET3_Z;&i?Y+ z^zEygXE9c;dby!po?Y!g-z)Kx$6a3(#`14Idg=MS59{0Jy>)zSE;Ogu_y_Cvlvm$o zhc`dm+WO`ei(0Q;bD;gDxqPp9S90CETCG^pZ#7$nMQz=To#HINLW6lk`UMljmi%Zf znX0Zn_4r}gI}Qx{PdM=Pcl6EqUa({1B&LdgM<il*tXS*%{n)<C8D>X>Gq=h*Wd_-> zwOZs}y~U9o$n@k#{=}mRc}v55h5dTwM`&w4c~_&mTzUE4;?u9I=J(CzOn$GvqIARL zU0Z7p)F<*B$YHT&SadtMDuh*1_@LGrU!VUg^DCVhx6QoTtav1TyH7^MpQT*k2k)J{ zeNQKM3;R5yliO!I-cpp@8XvWNQ%yzstsf#HT!#+-`K|Km5@&~->$m2pe|Ke1CRG2M zZMSy9E_Z$D;}K!U<~+@wAEPYr<cvquuYVe+)uw;p5x04|`}N8AkoZO26MhSH=&HJ; zo@wpN_@H7g>)w1vU323{)dO}<EiNTHEL*(b?%xmHpS4RE&UK~uH`T;R1n?CzsyjOz zVmx8Ou<h_UjvNc7DQt}I6sEQ^ELFI0P$1yShuTT5MU#_+w&#>RX8)u5U!ReaYv&`@ z)q-l5W;}hct50|N%87oL=C`rMJP=(cvfRe-)(?|n<Nv>Rwy0dNZCjL?Bl4t6;i&FQ zmFHKz*PXX`yP~w_=*G=g{gt;}eLpGa`|D@7zZdHkJ=@4Mf8tmB+y(8eZ_^e8%x&e$ zX@7G3i=(ypO}!6^yc>_tU)<y5Ez<eBr{przLap5uwLK2$ENvfCC1YE^eg8dc(Ucbn zb(c2%o1IzOkni(nmvmrh;E_YK<kOyYJlS(z?|uH$>0hFieYVT4y1PMQzj@!6uvZsq zW&B>OnCaT>{&r;v$C?Gr-mX2XrkkC)yl%~WYg@$`YLn)OUfeD7Yj4~#WfraDtrdo% zrR$`+8}?q?<I{UHXrY3U=e?6=Q*8w7jQxceB3V*rO*Y_3-?MGTo&|AwkN2i3%}&tx zD0I%s?t8LcjAYz(_RGi8%ek(+IsfnPi?44vV>p!__9i~NRB~li()wS&OwVX7m@L@B z`a@XbnrqYhrw`Y7p82T2d-GpKS$4s*c7w%bnKw&y*K92Eh+Od4+gUYYe%4Rj)zP)7 zN8gFXf4$><`nK=&g!=23XIycweB(C#M(&NXj~r^dD`cvF-S%|$stPRfy)omrB7a}7 zp5~I%HY>L@g>^D!mp}2Z>zyLFZuUy{srt3vOp{)&N-s<KT35<DmDx>yp2=C?*4;Pr zgWj^{F81J0Sua{}H{;u*QlZ7A$6QQ9j>V~GtNG2F?Y>9Xe!lTr<w^ef6E8fUr)?dz z(lE8}b&P`Ai<^bF+-|-;KkH@#d*fW;3pes-EVoYB$DGLW<wHy6qs>~6i#n9v^4<RG zXQbTnO4MQPd5c|3ZXcVoCemc*8OEn4FMW(zA|k;5^uniU@h@XJ-x~$4^hmyQIqy;L zuMQULbgr|ro;pr)P^dk`8yYo9aQ5wMxs%V7JuY4~DaX04?YhAHOWHxgX^#7Xu6WG( zu{-eO250x%uXQSZU$OAIsJ=hl<>l*N52yBi{<S$zBlpYmrDt{g_RTMOp>uBk2e0SG zf4xj|#Gc)6QJrr2KiewJ{Z*Es+VrQB^iKOdxOQ4tTRu`CR>x$`@;jz7$NyJuoMGRX zQtbben=9;@rQf=+OWz;)?+mS+rS|00Z?>Zo&%E<*?p&_OEMC#7eN@xG$IR~R9iPUZ zF`HBZB%L=t*zwHz+>Ejn|JN>+<ymNdnO*$DGM4qT;?qM_3Zrr)icd%W<@)(mx0{LU z`2y!1lTXj*iAdkLb4eky)eNWPWA7d&MGEZNb>a4${#acPhv_eQp4}+ppT!q?Z_AZ} zvuf9q+~0?bI?jFRt3F?e%Phxlhm>Jn_q>()l8q~W1TCJ;vG0zp`}EIx2R8oN$9!NG zdxC_3+=tmpoJp!@nI<&-eeIrcx$ko9nl&=D91$YMi-peyxJBf9C2>x%2^U)b*rW1< zoojAWneAWGr#J8NzS{VF{(HICz7lCS1Jz&U9sjf?wmkXRjyGY4&jbg0uG^BWU0?ak z;$1L@eSNZwc3jpnz0&B3nm;z4lz!B>*0^AKUjOvpxwHRYEWR~!*Sf3I-hS&6+r9ty z7GwL^)v7;lsz>G4z0Z8Cv@6_u)jIbrzs|*`Yd^X6VRPY~tcWSf3}+KAd1O_Gd#~Cb zy=C|Mr1rLDHZQ)iWGA^!Og<da%s2b+?997d@oJlLcU%A6k|D3Ty0bW0(I&fSSyG#1 z#i27vZjK@x92!?$*i9OD{?L+kTz7NRLkT0Ts|TLk+OkvJNjG+exx$1slf!}n3~vcs z)G}V{Qg@s0-`t&(>uc-^C#?{7T<FusnmSu~k^gLkUndVVJvnvFM*mg&w6l|ammWNK z=&jhIx2&aCXZ9+eNP2ZgBSHVH?B3L;_f9b!w_2#DY4m*hp)js!rPS`D7d<uCeC=31 zd9BIA%8N5L9lCxXd`{A*$txo*IFk%S!@|my0#<)n&R;kyVMXTMqZw*SHePyjQuxB& zuV|Vb9rq;8-~HA8a4reG;$KDHMb=4$U)LXLn94ur&9{JqJ0^X|RZuH={b=9O^FH(L z9AcVV>v6K-sc?zsQ--djh=m<$>vW22PG57)zVK_|Q#<3;i<j9QYPGIqwpLrb{fdKy z_k0V(^<J9)_HLZ?X-YueM7s}@f+ik4enmg}p3sc|`S;=N>3bSf*EhG#KgO^5{fny9 z&ie;A45sRIZQm)K5vqBgv(WeA++~d6Q>S;G{<2&3-NqF^f7!mD%Pn;JhDlNy+mfrl zjZPlB!}O(m*5bb<Khl=l9NpHR;*<ME;@nNGIg2Z~bEOj4IWy)y^<k+hea({1%UCMH zx6#Xgt<(86ndXmgm`>pP_b_Ho&(zhz>*nnjY1*~p;{N@Ut}x78`p<k_#sdTM`hKR3 zd76z^BY)L-n8{C?tIoOmXVCPQi7LL^A|KT-1oeJf{N?PFl4B1xpYo0J+Y!sqCwk=U zfqzx;yR3hARjko+l68A=)XmHPOJi}-CkOKe-j)e9C!SZi7u_hEbYFJX-`Ni{9JYL^ z^LV!7TUo^cuGZ!v>)FSSMu{w3<H-FdG5v~)>ZIvD{F<M-nA*0SzcT;o8E?*_Pj{|Z znV+u8ot^dk!PFNsPCYa~vwwHQsRgzhQq3|AGUj`Do--4e!6&sTUa8K--KMOk_(-L? z!Ge}1tvA!x&7X7Dqqo?|Eb5zYglTTP33tM}?cQby!H4I`{p!jQ+Uqc_c@gumoK!X! z-9C}?Z*Fw02syLOV0G=E|JTo+yYu0@=)E-SYl{UEFC0$vWIJXQ^?TR7%*|{Ew`I=0 zzb<lS!|&#tCzDqvhQzpDT(-phh`QI=|BVyf3mI>AaB#J%*8gIaJ0PTU{?XONftlOR zMel2=v3+|^W%ip*N&gI+@_y~-ms8&I(o8}iw_2&y-|yj4=?{I=y)Io<I(=+v)wZ8~ zb9X7vNSUVJ#?X`IqAdRGz3Pw2k?EfN7SERYo_V@4=fKoRw;d;nd~CeZcU+OaDB0Mr z#$mkF<)2fNTr5*@`Q+Q?pF`^%j7`<Pp8b(qtZcqR;;gcw`LSO6lb$ow^mj~RFU>D? zOys(_;Q1A!b?Su&*LoZJ9he^^R_Z-r$zAogrKy(ou}9Cj)z6x#|0QeFqm$FmxSZ4O zV&7F2BCN71+_~l{OShz5+4Eh|vp4i#D}A|Z`@|2swBCkzPWpDXVBUM{$n{%`3a5Sb zP0!vs=~I|^>2llqp?=kyY&0XUXrJGofBMiC_i1}iuggAtD0Sxd^j~j&Z_^B!wm1Ez z%Yun39;{z2&V9gb@$XwZ_wKkd`Qp8YbLw-i3&(}0Rr>HGPdoel+4rMHX*X)}?e6O+ zA3IxqxbFH9hby0R63gH7)p=(6@F*WUE1vg%n^Dc|W0&eoPM`Zz@^5a*+%?+l)9-zH z_5I|%-)|3>UFWTvw&KB#N3&}4^_=I=etwj9_Z_2(|K|@Cs{cslHH}j_mGZ3e)3GzV zAJ`aH#Z2F~{n)|t$F@d`X?t-UZU1e%%g<=bNlxDL?N8@E{`lA1WOrPc+~G&l--_5; zI)#6mv?xgD&Q`6^+cWRIsfs+NGyC1y_uZ*yHvIf2EmWwjsWr_fp82#%O^>tg@99sQ zE-jkz*+WvZV)Om$?1u&8yF5<11?qe>nmx~BhRtI~r|GVJ&3u(B-%k;lt&o1faMNF2 z=PySc4r#utKHK)ZOTqO|!5_<Q8WJr_?<c(LbltBxai{ujR_Rb1t(fWt_v_x#D_?Hb z6EDg$sy#S$>D=cl&YFKrTH0oP*?Pxi_TC51SAOmZbm5Rb-*&#NeA3Mgg*iX>2)Oa^ zp8xjqc(&4B7Q1UPF>h3kJ4asksC>A~Y{H6l*~_o2k$Aamo$Kz}PrIWYO}+kZN9C7Q z@_FaQ>Jz&8l%^hCxBuYJ`8I;jwK!a)Oxne7%~E(0Z*aKwwSZ;*8KpD!Ifr-s|J`?U z=lhDv&mZ>xEcqT$`}_8>(*NHjHy7XkxZrI`>lTGCGqif9`9>dJqvUw)?yi6%9JjtL zo$Rt@*SFNwn>3HcOwsT8$oN9nJN4+weG^YjdjHjH)>DzxU!H|(&Ay^VJN1>;|1SEo zx%}qsl=bT#mHyPtu;CCq#(#Kzdb8P&AggJ-1<mpcZpc4>xb#x!wdkr#8#ii&T^8g0 zS*CF7J&&PHmCmAiW|LThM=y6wJXLjV@Bd$h%u|+_9xs{wTW`x+v*#teTeX<CIVhef zeYQ!Li|bTO^_lJ6Opkw6I-g_uo$>nGn<JGR_ayxKqRo1Q9qX1!9{6Z*=eJ?x0o!+< zljq5o7F%S0d$;k|x9z>J|Gl?Pd%o}S)3b;FKf8Q4`Rm(!>Fa;*r=K}jd;Ik5_Wx&> z?>_!3{72>4=T(<K?EP8uJ)-jWeNafrf<o%kvxoJcU&2i?hMRPF-@VGqjAwV9v(Nk~ z*!oE37gxDh*WwKyu5bUn%Aj`J7TMm3SMM*n-+DJP`1S6dSjWc2&zDb~Z?YqM->FZ+ zqIy!+bAB&P`WdRabN7*px3w<Z_KXP^xai;A9j94ne@mzQ{Lz)i?i&ApA>UAzAMi22 z>cyRnA)8OM-uoJS<$m(3OFfNimCyZt_QC%1&v!ejzVGjS3i9RUdyl`KU0DD8^WDU+ z@9L#b|Gi&+X4&53uV*{|Klgn1;alORYm8dBp2ckMa&lag`)mgP<}L>iqh$4bei03g z&1ZJyvbN4uThCC|{W9SFl?jYLj2S%I^6nN%7FKBFNt}DWeDTc5&T)=K>%Yb1^DLat z-E;GN`@#zDeKV@(`Te*iTJ(nZ{lY(6Q%q7H+6kPUw{F&i9PxwY3no>WJE`wHEhbwm zCTaKiDd&!GofDdg{sJrYl6S4}@>+Cm+Psi!#&>;Id9?Z-ZYh1Mv7y`4*XMd4!|sUW zBc-`(o(0uK3$k*i-tI0mREskU%~}$cm3iyF`MvVZ*Q@q^|98H8d)eI2<^5lG|IfF1 zU-tfM)w{p*-{-xxdj4MSb@~7M75CoWdtIsjN2aXu?fd`t?%c25yQlj9^WEEbpZmG{ z{I8q;&G(gW{$5r4{nz>O{PLNf%jbXH{6D|ueNwgLnIE&X*>AjGrCTTD8=<1z=@Y!? z;pZ=c41alM8Grv5dFzyPmgurszjm^iELizE{hjCo?^N5Bi#E@Gadn|*SWd2%lk6<l z?|VJhJUXOvgCk%|)U4ZjO%kqK++WT4X_0TbG)R_lQQGR%{m(xXZ1TO`^Exb0qpLJs z-KzMO7z=0WI^*fNt9}VL&bzXyEAF=0SK(tp;u9y&oX7KY+E&x;m!#EIOTANfxMwF! zzqreyE&i4KH-*4=+uUwkI-tq$O`pjtr|kXzn(t-TE!{sVzRru)NMbp=E$wozlGBwx zw!J=CA;z{`T&?n<`KOm^DCNBMoI10|qwmqKiKmiYi&iC1<Bq!AyOCqFZ==)Vl^c2Q zUSdp<b!vR%p7i%7OJ1wB?}OzA?Z3>gYUlVw$89{Ye{I;3a-saJyVJL&rAs{y?R#nV z-7h0L_uP`J*LLaqo;_!BY_-hg+;?*?Y`ZnbbM@NN_*t`pCC^P#TWyub+BqrjdaqK@ zl^j!>wSPI6r3N1C4V|DFkWmu-+h%2~@RTciSKYha&%63P*Yz)-n-~7)dhRyq^(xM# zVS&LOxjWA{g?!CCZkM7JxpH>stlgrKpWbAMh~D1T63`zOxiMFp<7>tw(dgM-0qxsr zS1z%=D_!MPef0gV__-pzGurkp%01~e;nDf~(bwyDKRkO${Ocb#ZkeNZKmCiEcwhf) zfm@#i%jr_vV&z$rzjga>j=kX(wa9_<YdQChrRAwh=LT+BHcNi%(Ul9rI;=!m5B=sp z`Ep<JWZO?!hqhcz<hva^ah<2<qzA_elcLR8&zzDG-d3u7VC{Li=sOX6L}#u0dZT@7 zUDboDrPp@v$X>JWZ~oz3|B%Y;4e~~J*Srhm+jxyD^a<y)xa~~|fon>im1=W{9ra0% zi(ZtVc&1eC--_PMH<d{@4hN>M5U34VYCr$gOW~Xw`ztTqTy~w;EzVB&KSPrIeGUb^ z8rHTqkInb}l@R+T&$W~**hwkxM6%7wbl<GF=3tLoQ87moCUtOLi1gw;wJ7tE{xa78 z7NSpQo}L=`vHhiq$DgMMvyZ1tj{RCt-P`#-d!AbV3-8Nk<b5-v+8Htu)cCU|?z=Qm z@_P5919@}Q{!F}V=(>7qlGMM=FVnidMj6EZd$H2U@~vSBuTNC#m#J$WE3;;`6m>`M zc(l{#$5)XDQH$qPxa1W5;94@@Ty$w(hpzY~m)TX5vo86r&@}(JEaK$(To&KgrV9gY zh1B@II3{PbobzH?P~UsQ<ILVhzJ(D#E!}OOW=>??d1ZmdSA)Mk?C0)Hmz;Uh<<uYP zpOSB<@+9?FH-4-BYB>LK@w64;;%kp&saed~<F008yLqO~<cC+Pjf`b#%hQ;bzn``9 z*&o}P443Qk)x^`^2l?&WZ&{hIw)gkFg6C^gcEwfhmfW#?_QU^rzc;_RuX=DE^U}R9 z>=x~IHaWzi$NnWlproXwxAfpcmdmo|935VBHO6dd@v>lP71+T2ZdUop*o2#>^fs+I zY1ew3MRuz|zrV?%V>wr{rkvK>s&L)R?%y|FO#|Vl-m}*R9+kRq+BQP3;HAltzsYrd z%1Q$JOV^(*OSsCx;{NyQ_FSLE#xbu-j?NFcz0B$RTjS5iyX9H$K2qnlTIA<l$}(SU z@3Twa-_|X=8t~b-;(PJ#uX6ei_pRHhZ@l2BZN#rHS7o9@KE(G<O*gV%WFdaZ@b=3o zYZIEi9y7)qZT5TlLPREF(=yiYo!t^zUq!XMe{Z?}{p-d8|I8gs^G-%iv)ba4lK9|m zNQ>5&X|)$~?@RYRQ~Un#?&g`Yb2XGo%X;Om|FU?OFe8@vc;ZHx;>(-t?nZxo^v1bc z>bqIX%umz8Uh0N_EQtEI=8pOdg;Y28w+7MoUnvFgo;>!eq<#9mOPxOF1^$F>Ilb*{ z{gP+;d+$Ch7x6NhEuHaTdHKJFb@iv)zW?v;X4rniDeC<9!{PtTYA&)>mz>ObyQW(2 zcI3R5K575_z1(K&?u-eae#38G^$gxCg?umLHgA4^HNZrtO#SQ1iI!%)C+n|qmY!8T zo_u3s#?IZ197?<sk1(!moOkJG+=IT#<1+nguiXzg?tR>oOHt!d)XGlI_YZap$W_~J z%-i++<}tq=E0RJkRIKQ%JT`%I+P%Ofi&S|n-zv>w)2}K_bo%BWUb;l&_TCRZ^8cHH z;tapdZI)2@pt9U_Udi@Tnf|v0>hy~K&U<|2yTZvM49>bYcU>)6wPT;#wEUdIab66$ zt>0NcXMLLduujm~V7oW#>x=77N=5FLxt8O7EhkGi&2)FQ@XD9kE5A+GDmSQ#We|^f zVE3+2cKZ(ZeZpHbZ;ALim7mWktG(^L^Ukt@`zyXK<xM=eH^(^W!8ys)w(kD((+rC) z3l{D_(zej|k@SkQJbgFCwC+A+{K)aL-sDO6lvVG0k1UQ9{{Mo1t!1!o{7Lx>sa*Z+ z+diH3<XsfFf@w+4y5gM?e0v`5Z~VJBMQ(>r>Hn9TRth<3_>~+EW?=h2r>I!?xyweG zg0F(+M?P4t3tYo&GePgsdp<$cHEOdWTGw%uhm<MpIit?!ROppF_v42Ti@MX+xoi!w zf7!Au{cp&(WclRzI->c9Uwa%q6MAUl;{Unf?{{twUH9p<uJ(%F<V~taSY;C`tQYB( zD=Av;uE=flh-ouVdp||i>~3bm$}0lC`zyVbgD3hvS}~K~b;oX><r8IRS3fXil4Mi- zZLP8WyxFxUDK@^5IsV_WPg_~vv~w1Vo>DnY>9*AmOSb%9drr*_JIwAdmG`IP{a1R? z9y?<jnrlvGtWVDp00nJdn3MA2xflI1jJA}mnX$C>%Bnyi^}?)K4<=RQe|fkue1lMs zP32qJ*01X(em&Ro_5Drm15;M7*?))swfpl22d^l6dhqGRzsjyPUVIZ>cPK8+e`O(m zVC!9tVE*P!x1~#Ol$`yT@Wf2-a_05B?17n+mY4=UQd28U6<l-AdgsiglXVqLlAg`V z`o{41#s6oTGc*!b?fV+|-0Eue+}BIxN?A)jM@pUvxw1t^K0zwWFMP?3g5MTxd97+Y zi$WKKy3DUg)qPNSdCFRe+GgLZ9fqg1dhaDDo94~hB)ZsqhNrH1+D?&drd?-69|xx# z7YYruy7pJGxV@&mq@L|yq1dg(OM<S<{#={IU9bAU``(U+3_qV8{r55c@2mB7taYsS zmuLQ5^0Rd@>uSwi!T;}n(5gLV=$pN3LS|}`%<I#&`<PBYxc=f_c}`B?f{T0%Yr7aS zF0o8l7N5#*_pvaRqajwT-TAs0^NT1SnG!=W2R)f-%+tRzJh=7$BKNIbj8iVWPTRaH z>&d#wtM4w!Gi>egYkJbA{O4bhm%En7-1#YI`Ii59QGU7f%GIkE?c%?N6q`QvVytDj z>sWE?wOJ(R!v71bKfWx!_+{ah!r6UO#W)t%1U<K1d?z&cK*=m?tqCRx&2#y;`jzMu zUw+BtP*lm=<(E5gl4{z;H6BwwU*=f6f7zR5jhj<dxV(5vP96;ki&(II6?1%cwA}i} zQ!6U6U+0PSrYOyx7%F-7%H0@~Q!d*yR^Pi?vCA@J(psHeYrU_oZYf-H!{c#E=h8JB z7$T-@eI9c;N8*U#mCMH49`)4kde&|A%vZM9_4tmq3^yf_$B8o1zZqw3FX<__dgdy- zd6`}@XP}$B$l-t*;|1#~{jc|2%6xO#RR7hiWT9LpN5dyaq}xl*@Rgpa+4XFC-^RIp zmC6^@{6&(z_iS{#Ua_S4SS!P`x?O2g*L{=?Ol#FRcFy9n>-7rv;}uJi^{yDcerXgg znEJ)pkoTB*@~c_uyN*fBJ*F00yl|bR+(k7F5$Cu)l>x`!1RwXgX!`HPf<(aum5z&i zYE~QzpR;jp-_F46Z&oJfT{OM+LQ3A#rFgwa;VY)PCCqhZEM==W--IxhePOKo64dZ{ zL3eWe{Kc!*JIBtyoIF1{?Y_ImfA+)zx&9bA#@{xdzS^$)CHLr;jp;YrvTwFrg*7~n zA5FOOUE;>`7wgYYy!!pa_2*YMoDcLrp5EPkc;3GDMf;8)v^!o^+qc%PegD4YdRA8X zyJ~j4u)oD%t;JNP#ZvZ*x$YKI*_W~zV)uDw{py)y@wmUQ&||w~!1X=Lv-1|1+z8M8 zq15oOKl0yW2TO_YiU)obStSK`GAazVSZkY3I&;j?@cSoLq4&R=|4x`6wD9w<>-SzB z{ubo${&-Sj=fnVJ&s+bl%y3_Sx8}i_>WdK#n{N3&EIY?@M6T{{jPb45a+`B<k^k-= z{8~NX0OuiJw~cNbmWvy<ay)1~HD9=5x=Uqiv4i2J@9`&;137dzdW0}I&bS<Og+;MY zDMUYP!+x<yk(Z`?L2SMo6E3kTb>`&vUg@8H^Tem;b;tSI&KLZ97(AtAM)Q@e?sp6q zFVZaCRJO25@Yxin3LV?1SjAA~Fs4b|aS|^}{el>I-HI49z901O3%b}~aXe(UnbVS= zC0GA)s8^?X+GuRM&zSPma>AVex5-DC;=-m+Tp@k=#nuw{iDp`Cbw?!*vt8}~vV2i^ zu|cwm`B%o-PZs@+{Cel#x~gOUwpAs*Wsfa9&$wY%U$L60!0RdHd!DR(Y_sN4mx7jU z73bUScg1CPeVi(F(<Mpsgt}kzyB)HJN|#^W@i08%<-AE9EnaM4Y$0hOjt@DT#J(&_ zS=u1BWr6XQDKqbLMXYRhesSs0!6ZKk!I%X0Ey5*Fx0JFL#`rE<n&2q+<)iy$+Y`2N zGd;HN2~kRVzwhV$wGAbv+}l$pJo~I=z-Pk!XHnJtBL=Nj366^dkLi1?=6D=(%ZmN3 zM7VH|NNc&T&tg4RkBiA#@4jB$xo+2$;NwwxN4>-%&aK)IwsF-G7O}h4tFHYw?T&l& z{nIsps^|CruhXsSy#F|U{|npl_w}(G!{5~1t73is>9_6cqkA`h|CcSa_r&}E>-9g) z+W&t4v<I?f`}6n4Z<@~R&lex1vGTW&xaX7U49@TNZ!x&UAS~`7GlOBW&cQ84LTtkE z9$f~Flja=SA`rwYY(D9YL1Q1!ku5<&>S}tEJPaAzV!AV3ml&w&P1s@BC>z<Axp0ZS zn%yLU6eeBCiJ6Kj(|ux8FQzcrN>0gq=&{BpM!hqYNoS}2WhT!<J~4_f&#+j0GP%rj z#B!qfAKU*`toomS*JW2T-Tqvq;HQz6E#7@vTU>m4_;hV?@9C@c#HNQ$4;L4ouC2Ew zaJS3dCM&I1`YSdr$ZL&K)l&I<we{-J)u&gVZauy9^w!he+^f60Pj{c5dfHn&TfE!5 zyL;&+j#saa-g|R(@1@myWfOws-MMSl%{XqetzXVWW_5?Cp@F%*;I^Oq)@?SjlAqfy zHvaw8pu4>Phd@F`<R#15Yj4^=QoC5C+QV=8pn%<;W9$4~``69BwC3#Zh;tV#95q}` zPh@j&Ex*camL}=*?(-TR(}`*GOW58YzjopYo8bFndFQ`9eVcf>KDt`@yOqVi^%hH- zi`ELfd9gBa?&RJtu`f?q<(^!{$)L7+ciINSnNm_L_pVfB?$}(uZRH+`sw|#OoppP9 zcF&*J6qop<_2<Hvu4TT#tSbt>Yt6sL{dM_YqaP}<ab2(1f6+C}_@VLp;6mPgKldr| z$eww!B;H_mSCVgsr9-Us5!uBG@0Ysm=`z-eQhE^6RS_?x$|(3&$JJN)$7JOY6PFvA zU9QSbD~??}clO_e{TKF%m3>&Y?6&&k*7xoG|6eg34}J3}x@@}7*T)V^+OFS`)labr za1Xw~K1cArALn}y_73OWH;(*Nb9gxUdd3s6l(iz$-(0FQ>wnRACDxj);gpVxbHB+l z%d@}l+cI65e=uyn!r@m@k8UUU|5>7*l<t}n!!kv3h0ueVj_d!X#1}N#3hlf$Z>9i` zpjcsgaf^KGN^$OEek+crzfsL$x+mXS$sb@aNoA@NduXU&sB1`QsGv*e()X)&{ra_P z->P@NR`2`&@BjP%|Ns9!FA&?>yF%-LNXA!=wg%%1&Z$}6SFP_e?_8R!74^SgdD@vN z(|5^Nc}6oX;{VRKkWY5UyM}4W?Q5+kJiX!gn$M!>%A{m=-Rtcqz6$QP3(8|Z6u;rg zkES1|r^w`2-I134-uC9+p1WEK-=ChU<=ib@bceUS-1%<q<lm3V+W*yQp842ze67|V z^OEmrZ^9&Zn&)lrdb_XT?sTp1Pv3r1xW^muj!mY#xi4C6KgY9*<nsKYJG1vx3zx0# zxHDb0{P5kk5AHgY?SA_0RPL1M1J+L0?lP3euec*F_x<SWZz(&achz}LdhC05Z{%)s z>vz33)@l{Se|pD#`))(Ic6t1oJ96uPe=0Taxtq0fYZ3QTv6FW<JQY{A-1p<JlFD(d z1J%vt@kM_&O@HitSHH?KOz@ucmg>vjy8C3}uhli4{^<5L&*Jumuim!>ZrLVSezVxA z9I{iH<KE$^$^Db8neNLn=JPqc<FJ0#pn6<UrkrKJwbMPBWlwH=E_c5$+c~k%)@fbq zdTXXzG95A8iRCR@EWhj!+wyF~lc)!~7&B%&Ps&bw)3?BkeV<JAyclkaJcc{Z4wN$& zShVk!Y2TK|P;f_R)0srQ<BA)k8`eiNJ(Bs7#~}3l!jowUDSWAx-+1l`^_^kVIlgdX z^r6YoOp`1No?2Wf_14&<%8=*i-Dlghy=6~y(;cx5Pi`bXiPG4uye2o%tIs)RJB!@* zmYupH&n!gBygw9rFSy;5&}ZAQokcd<V^Z?@3DHg0#Co3G*j(<duv;}J*U_WT)+diM zZ9B_4-49PKRLZ;^cB_`;HoEuO+Pvckc*o(rtzdex|9x4<Q?iXG#hw+taV&D5QRJSn ziFLb7bzcm3dU?xc-4#!7Y%aA_iQ}ug-gIwkLb>jVyK;w5H-0F}D6(XU<8#$x-+wys zebI&8GH>+Qcb;DOw#Z|*%n7~r^`{eGM|tcKTNlIKcAe?r)(cOfIQEEj-QkI{5&PB^ zWgs!(l%CKH*GIb?qVxo&ahp745{*+h$UWr=ljKeZCq04ttd9yC-|1br!&<c4AxnJ4 z8>Yrsg>|bJKYf+3(<*qK-@~hdd#pUy^-Wx@{N&Y#SXsI7=kH#fiIv^HdU09lsdaoe zu0Gsl5~asG&D;3tC6PF(gWi*$T$0#n;-trWf9b=*%y)Wc?<_6cZIU&8*_%rZu~O@@ zo9>t{d^>AGnXkfbr4_M)r`B;Kh-aTT%2c$mCT5MSxcBj|4CS_8V)<>?vF~}^_|7hM zr+?%3l_zRH?e?$!p83{p=H2BReqSl=-4?U>a5hUtZ%52xh3Q9b>v|P+_uNrZ+}`nC z?BfpC^6eS##CG0Q+8P~F)_ovP@cQ42MfDr*%-8(B{KG$vd-GMkA3yO|u&DmWJO2LP z#pU(S-u2)98@Ss(^*j5Ue+98Jy5j7okIpPA<kIhZaMZJ?uqQ@FQM~>AqYpbQ$}Ni9 z9p)rfqzl}W^nKTJz<TkCxev?t7v%*0=vgZhEpSiCyH9uCokw1Mx(xY(s>j7@w+o!v z$a*vP<KsmdMS(rB0%3X`2e_M#voe-DPPyyk*{54`XOTyr?zR|_puCUMlesmvGfYwr zofQ4y#75V?=m$@4D7_UcvfQSzn>D&m_ds6aH07xiqiddaEIOsTsmLYJg6oJ(pg`Xt zuRe`=JxpGG8WVaJdG={^^e`E?Ybh@Naa!<bU*Mak9gFfrDlLOP_BQFci=-{iI4$UU zL*rc0l1GMI8)O`1`jWKW1wz;+s=BY*?dWq-@W>=bfoB{{ClzKq@h~;-P_}IPVc4<9 z@y%0@r)C|pmQ5AXEqyX8udqFwrp&R)am7=QP_vGWGU+ewO157=_TiSrPBYJSyc4r8 zm*;+2*V~u<xGeX=I^P=#yNc#CmZwMTGz(bgJ2_kV$*n&-%qp(Cy}LCfR(gG|Q1KF` z+snNALSk-<JhN~<ZRS%nr!jT5vgNrMn|kB>jJdW8-cdVgR%m(7VAI*CKI4`g=V_05 z_T?5lSt0V2%X6nq>Ft!K8HY<-XT+SDlpJ{asFtPJ#+aT-$%Us})h)%i_4Q6})Tj{j z?DLA7-hSe!qNP}GjOyCc!cUYY$aH(^{W`Hx;|Z5y+&p>l@+T2b3R~C2%-hp#Ritw- zcA@%o^><1!eMfg{A9?a=L+qJ}$rs;pF@9PQYr{RU)LCJtVqFZcUtagN%2#<E%H}Qo z(oDy%Hk1XjoI6||yKh~aQh4({n{vx@GiImjx${Pfx8C91Sne#aQ*qC_)019rDmN9_ zDOGpo@wCOKr@dyXh<&$?`_@%~CyO4;a@Td|jaqkl;_IaH*nN5(hbm7MUHMQRE403Q z;_IOD*aLc3@7p9;HZ9n#@aoyaQ-UtHST~ksoxJ$$RHv%@Y>nqrWO7-~ACk#s@INS% zzGtpyk;tLK)=hf;(@qCJ{p7OK#!v73q|?n$KJo0danS4UKfU?!rx!bH3Z|<ce`>kg zCTTkR@uw$u&3u|Rb#`E$)y|zyOeQ6BsD*c)^xYop(WhBHb=n<)X7lrY`(&I2?uG33 z^2*zHSH`;XiNvFmzB^`TnxD*Ti(Pu)v)=KZ-H~O^7CXH>^A_D@+5NKg+|wB@=eEfd zpYT;Muf21pF!=b+X~}GsXN%6rFOTS(Szh;aMyYzAjC06NwK<VL7j5z8&@RuA%xpZW z=d!!yKv8SkL@|a9+&vRo<vz5WC<+!!G}!TChn{5~_mSI4@9s&QQ!+R%;E=~5XU=kO z>VfN18?IdqsEC~qBd&Pe_06u5Sl+tu=6hEcl$lP6<qcZLw(fOPMeK?gk%)C|J8wI^ zzUMNNb&^ah)B4jBUT=Eb_F}i<mv!BDN<DY$7Tgg?2xp25XNnAGet7jjx#^L+0x99l z6ILf4zq+9`c2mrB&F{+!#N3KfKB}C4^nO!;QMaO{SM6CRk3Jo?%{ssIxDQ4$oD9s$ z7OS!RC9yL!=v~{9-HdPecz5bYzT>`m*WhWM#vW;w_iZZW%@cP!p16CU-2B51)}mOS zoqGH7I@Gqa-ghm0vgp8WjZ=CYI^r#pxDOp?RV;U$6DyDuept`=#=47oibC&uHfJw> zs`dBmBlkYZTWK4W-NiCCSDc>c+8_B~M(Yio8$~uB&APY97<0r)6l_*FZFu;a&c32E z3gzApW*iOclRRKIamHiLgZ>^TC$?TwU-aa}q1VCzMJa~gIuFT29;i(+IL?ziKVZ`1 zD-U%amK&<nJ@V)aj>_v)od2Wxz%}(>S#0Z1PE;0|6utP#tj#jZU*w&gn0=&Zi{aC2 ze`1@J-L<zwUoJn(eBx8;8^%O?2c5(Nd+H8cXD+a5c+Fm7oA8=3D!R_$+LUQ4kF3=3 zSM*EVX%zZYQ=8M+H8tzhl!sHMtkei@^>>`9G^gm(mC23=)qJL@RC;+TC`?jz>#zw; zIWb>gze24)<HOs(A1-dc7i{yX?7`ieFDq8{fsPkE_jcuWvu!fjSsDIIedqel^quNE z(YM#P)mGJv)$FV3Q`6SuRoPjuHP>mbUAaa#N@z1r=?w98JgrB)M74r>7W1gGdMQ2> zR&R3VHA~#&;wf}sAyY6L7v~j04dnpW1sx85c}-coIHt&l2xuq-)CN>Lf9(Ch{PKiJ zfn`+cPLKM_JUcyVFVA_(k@{5W>kKy?cMU~x&U`5uOTVqLD!Vc_6|sC$Kk{C}QRtYv z{QY}|bt-52<ZQn5O`L9E_2J!{zQE}Qpd)~ecX-c`$<NC@p5Q%Wv+33?$qQ6_W<*Nf zG(0B6<}PvLy5SxnHMg*ybrLa#+xiwRRXr`H+o`OWw6dt<=_!w}WiAUpofbJfafygk z<0o&$haUbc(_<W@WI8#gOyf3v>SVh!z)LS=A~)+3r<buBySb-6cA9xtMb7-?TeYQk zmu#Hf@;GT~tj_xEtxuz3cZLP7oBCiY)1I*U>#L4$-LyNb;JVk_sNUGs>#~(U-da(V zYq8U8T8xB~9?ypAEl=en?=4pU{^EqKP*MM<cN6=#TPu90++D2w{l#(9!lzXncUU&d zEMIm<^Pn|r#qxjeuH2h@t8n?VcOtjv8to2_FJE=4RclXxyWW)hzPpMxp3@V%arDxz zu=eYxK15mW4D(zkIx+j}<EV$R+B>tSK8~6iyINcP)jOw?u^QWEFL|nV@UF_H*-uJy z|HMk9i@V*hwc6v}^1b7v?XTVLHQ!gfb1J;M<j`!DCu&z?h4-xE)V=Q3pRN4y(2Al& zkvIt-y&h%pWBuGy%NO?DQQ4c#bZYCuCvurP-GkmuoVZwawg8)}z=RC~U6UOoH6pw> zOzL^c@|rVIaf(_~v)?DyZqBfY8>b~CCMG8S?QI2ttL9=L5Z1qO0|<OLb_fI(NoVWv z@tw|HvwfRP^2WrqiA#HzPBVzeh)9n}i%5+~iAYNBO>9kUOsq|;Oni9Yk<@DLY;JFE z?d)!`BWs0%)lMr;(+*pa6Pnt!aEe9%$9AonM=1dtPEJ}oL&H;uqvOblF!wG2$xrGk zC&KE&96qVGh~5vY)p}6pblULv+f@lg&IdkSj_9*23P1Y5KhE;ml~~ah`^={^K0l6A zy~%N6?}B7yZA&TEyeBH|i*=-VpZ%D!bz(xFW&QU<cir5IR2a)LSLCXwxC@m_e|(xD zvMsP*ruTr^p^bsp-HI%wGIO6yTO4@JE!%RE$DJ2C?m`{Xy-zEWkFUL0I(Ob3>EiEh zH*#+k&7PL?W$NPKNv}i7=l)oCdD82aZGz!_enIPmC%*ntnm;3Ux%PLqd%1^<I(03r zUfx|KfBoc%(yrZpiPtk<i>2;#ZJm5#k}~HGPluBqIghJw71s#OW=ZVxPoKPCw##Ih z;v;z%AA~YKZELXLRI+?_A$I1r)sIf{DxOwpdoqK$Je%X50H6BD>5GM@u1-36_GDqG z%02EdHAR)<0=LvIPEY2$9lG$TmG4fiDL#+%+(jeR5}&Rz*dxBoXOW(}Xt3HsWp|-_ zYJ$^~1E+Xyc={tHRVdTaE_9|#WS@VCn&7m@m#26>IPvjhfl#!iou_5Gcb|XYIma^} zIZs(e6xBQ`<eMrp|I4|~Nsoi?S^5{%+$!{&EHnSZxxh(}FW<Fnu(Z25H(BNQ@?FJk zPclND&QY+G3bahucVDde+`}^6z0WQ1+{V)rS*8^~D^e+ZDx>{;&C`r8k7YK=c!pT2 z>$oe+KhJrZv1Gf?G@0H{(`HUueDSW4f6<-=N0U>w_e@%?W}fz8hKyz0ja`ObeU_&K zm!0ahEb58qGs-Z3_Fh89@><1i!`kC1Pj@hbFXNN43QF_Si_bc8wPE$gu*Gks@=R}h za_Zfh{UpHK*?9M{NXf|FjZ%r%1y(bDdm75@&buzV<m!!83qzH)3)OWyBKg+732E(m z=oh@ir7_6kMapvZ<|f}68aFdNCpkE%xOcrtX*n^$Ua`)hjO)_#BhR-y|8n?byKw*9 zDvOtvPc7dT94g*)`{g=6_PcXr?)Vkv=lSJWZ+#jO?0s5%`R2J7=A1b-hiR=~QTC5K z-u~#~^6Wc#y|>nC?lw)>-uC*~gWZM|cO=TqkKO5gSe88Hu0(=4+jZAVMH83BEIHJz zQZaE-jEb^&=X<5Qu}gM#KRMRCq>aUxc~Lf}#7={tWlm=<y5+3WvOM>|Fm#Gc`W4@& zD(*8^PJ42iXVc0FPi`dTuTr$!CNZ1Kqfev9=c%&0NO|zv6N0QaL#&Ee3RAUq$T+IZ z)N=1rsPZYDrrfb(<&h$mL^IJoncPi2rcWg!i?&pL>d~^irBUfNMJBh)=CEg<nZvw| zD(=#9=N%?3_PuM|_9Wx;Hy#DcTT5&YyZ41e%qyI(e056tk*6M^KYHZK+wRV7tjJvv zBYh})^OIW=JIx%|`Sxcgm*+lM*L!QL<Zk05?|L?+&v@#g`lDx~%*u5!T8F(|D^|{m zS#`iW^hwaq*j0PImzJ-5qc`==(yZMfnbW)81l7i_I-)OWzpiJG_TqP^I(8e~SjV$P zJNeD254()EtP^?^x~XXDl9;9H+MFjZrk(JrvAp$R?Ue08cfE48=dN8cZ87f+uT;x( zC!#rZj!Rm->sfdE(T8mun}ovqq*(I1_ee9H=ABp({U*Z0yHDy!9`}0bM{lwciUL!1 zDwf?5D=~L@<SLk|sAIWk#cthQ>%1TGHkO+nh~;$=n>Fb%&#qRk;*yJn(JNxb<;;)V zz53zFHi=CW68iLtuRlFi`g6C`Cq0fMt&^UtXgprJu_*S>y6)Sh7k4Sl(z)_@SIAC1 z$91P~m)_hZ^kA3553ya*9l45fmbVfjU+B3@YjU4`nqj<SLUf;5BlpUu7Rtw+QZ2O@ zcY4{ayVSRO$z!d9u}fvbU%t`mj8&Bh*L=;Dy3;9i-Gs^7Tb^(!?sRfkH=$p9%VVyC zu?uCwU*srmkjecg_UP2bwETIppU<2<Y}S9%rpfYF&DqH+$0e<wRqocEbVsc1Gsj6m zw->GKHj0M=4qVufVZ^wrC}m>`Q^C^{N+(%voSMk`faSueiAN`LPME6Py*D!BWZ@jw zq<u?X%wkRI)7!jZlD^)yJT6^v*4w#^<$*doHELo+;>3?k<90g5`tV7UMv)`SPTdJH zB7S;YJ8m<*UG!qNd05&(6?fi-@aCJm6U$<k+!4sz?)t#h@#(pQqSy!Pyl<2~+$D6N zaOIhGZ5r2?o+xE3icZ+6vF?tv*5(aGfoJXtWL$Usu&XC_`nJ^%KV;1)GHr+z*APEC zr90{5)q=A02Rk(Q@;P>{PCR|}!;>tJ-9j&Rg+%3buU&24eL%=7@6x@1WXrk>hF8M- zJT3Fu4lmqP^k+larNln@D}F|2K04p>^eg()P&VnNr)!Z<RgwLaxhyKj`BT*Yp7_{& z&C|N*&xF!TF@2KT<}7)tvRTGhVIIr0<Tf4k+^0Vr-z(@^Zo05r=Zs$WhSQ5)&%06N zZ?IFH;XS9)cb5s(kB-|;Ecc&sS2*E2%k{G#o+!vnfA(R)Zg1zO3l4fSKV5LJd5X-F z(#$@YEl)1}k!>l@{KfZcmt#Bo+Z~P}d{spci;i&Zc5G(ny{Dkc-gtL`GP~(c$9nds zcNgqy-c+<@;#0P-702JQJ=|OTgze(q$ra7_?iEgY95PXQ%QwD9yhhdRo_zt%c>y=9 z^UYVhmQ}F4<*>&(`d;O3>-P5nmF%ai3oQM3_f4)SpR&jK$vc@!_IL08>}^(dmyUYp zci%eFGV18vxpLQ8?#b@SUiGBIwVZd-?$2etlVuieyEAud_OvG)sZZX`h*d5xKeX=T zF6-Fq3@0yUy}OpP^O$>Im{;D}ho;s=M{eyh4Oz#z$24GF<Lpbj)Q(TNX;}0mqxsZY zPHVSYYhPNmMf8O^<@M}O{vxY<qW5C5%3*2d{3TkJPG9dzlqkENEcAMNtU~&vXP?=c zI}+K-Ywt>=DJ!15n02C8(CpF#nYA14NW?1VN_L)dwUWtn?+b9$6Ph})<cY=9$4ZWp znmH<aC!Q<Xq9x<0<GyCPnW&zV=7P7Ign)FztzwwCPrP~fRdY5iFco%JHDw=qP z&1sTy*bRq3y`bw3$>JHO9qfu)ii#Tf*l$gI9MaG2lB%%j^<ySpzA4J?+M41XCmqr) zlVl}d<S*F7eZ@Q=tS`X!+Q~_euk>@bZ120tx+wa2uB^Icl<!W5?AJOc1-aic&0OpJ zl&N*CvC8q4o4K!S<(nY0a6!ym-Pb=(6n4FTC9}>sRaVwA>g5irfNP0GrEJ$vPE?*Y zsdP%rT;<mtPc6P~=j)SM`0LuqQ-!OJn?x3Ed9=%_;&RBzi>uzfy5K9U>b`n==A0)T zt&d-=@!hO)e5Gpm@f;}?OTW_Cxf?Ruo^VWk@~Xs_S>^aj-OE2tTx6TpZDMh@PiE~6 zy-AZYOCm&Pvgw^X?i;n+(|%pAqIlai+eJnF4DSW|xkbw-3*`$<%0B!?E^(*3_q&Vt zTBCLcM4NY>^1W1;s1PR+qQ`TH`|yd@kEQ){?kMlCb}6@2*%@G!7j(na@xv{TO`MV6 znIHWvcv?53$lhV6{G2=dKJVHOWIsA#YWQT<jNNMY)^*BUXSrqSSmxUhyJ9Ez)v|>J zcb4dyzkGM-Mp0tSPJ=};5)I;Pw^|j;`nlc*POdh2BKP&~;?1+Cl=e@!qr7)^$kVD7 zyFFXXPrg-KcvofP>?@_d4+?Wn#7fkOXC3!7D)Lo`lWv{8VOny~6z;2~lh@o)IWYT6 z`Q$h2IODIkP02ode5+%5V#ZE`H8BzadOd35ZBwj|KJk;?8C+L>>iFDUyMqhMv)=km z^nG}PWwS)Q`LS!RUVA*k^(H-ZRodg>s~7aZRjX*?AH7TWTrchRC=>U5r?fOyWmET; z(uv#j0v@sMDr)4>7kJ2;Rn*9&A25;oNqOTpy$SbNH|=&P5?}F_X=$v&Chjk#joa4w zJ-WKHsFY_t-@~h!MWsyZ{U)w{TweNZUEjT{8+Th3g)e{mYDui@rq!QIOSkFyK3cl7 zD3eE@_u<maqD&@z--+Ij%QL^}_1;^$akoj)^kr`^Es2%d<o&rcbK5t8>5JKQ%GplI z-6;B!u&sTIj4i`W=Ey#|J>LYTEoPUmesQW$t&l&`vg*c1pJ_7bD{P8Y-TAHN8J;OT zcG9@jvZ|um&A^>MyZqUQb1plT-mT-<P`&x-Ocl#>29?J=`ivXPkG(s$;_l3C=`Bwz zm@Cp>z4N&>S97;<-uVwtEtt#GOYY3vU|sy=+?=~JH>OuSvG`n;KH-k!7Hj9S^cU}V zZp{5y^-S-$q|*5_Pc4j}oMYH&Y^N99XYBf}=l<NAyN#>P&v<Gf{6uo2OnRNo=c$i< z?ipJY&FS22oPC}_vapfWCZZwP_9W|pD`!sJoheh^_F7%na?*+4FTMKwJ?otQoP59h zpiFPqAC(!4FHW96<%x&V@ue3ZFITpFX0cQ2Lfx;^hUssYZ<}BB<j0i9%XiAm|4}#T z^heJV{)Luyl0P~1j;kM<f1_y6C*fO{`+qMfn}6z^@QvC>yWFDI2~Nvyc_PKT)6GGT zb9?uUm?!1zdon!w!Xw_f=rK)t%(}IDLU+xR8IMc<7Y5#QEfqibR71I<e|N`QG0mMX z-iyiZ^w2rJdVhDplZu7!7p;*guKLsbz5lxIqTlLXeMWwH7jN4qZqIltzqx+GlZw!a z?nD11Cp~UmS1<7X{IvQ9@6JE8w=P<<@bSNZpYHB`MsDkF{FVIUe$qbOGR|?Qz574G z(;uB~{Qdb`{o}tce<x2}>~rVuOGCeDGTB$|%I_&$^+coi$-fJ|&SmxYj(sewzjiEg z(&MR9ekYspO_I^?xjTPD;kG;T*A+HA(J(GA+}870`M6ZM`167}vX-Y9;$*ZQ&xn=L zczob##n$pdw&N!!KAt+M@LbWJfU?5A9_4At=k8lr6is<4t*(7s%39B_KY7y=jplNn zsWR)g>G9n)3@qAnsj%=~U*V+3qLaHfmz|l^om|E<NhWng%$yC$ZBI0c%L;e(F-}V6 z-7dZPsf4`cDVCjv?Z@Ao_}KOOSb(LQdtZ2|VL)GF@vhwl72n++88lj2@$U2sSSS3T z^we&@lIxvs4l(QucYnvbue$loy#s}r89PnZ#7G6`@gA66Tvl8VBdHsH?9|l8qSRS2 zk}BbDC#L=^O#QH~=gw5m-9|~_ZLhCw*ll_#k9SkF@@p&EIQ6ZsuatHR<xiX%E%G$3 zVz>CEcdQ$$8(+ue?hFrq7doX{wPJnGoz)88R~_HGX?J+Rcdxf`y?0lytA709-h!e` zlbt5F^m;dWJHIwd-Rax9edWnqsiN7x@_1#gcb;s$S(teuRw{0L=BeCEg{~fw%RKrl zGtHmBewGp|sT1yYYO3TOqsH*G6H_1VHmVFydwXg^tfb6#wtH(8%jYt^Z{1Oy_%=>q zw|K#wMboc|t>b$?_2J&4qV*H*Xe)l_dLMW4?rNFutKP&_-jzz-e(d_%g{5;@)(iJV zFL^2!yVJF4I@{f)56dzeOcnVan=g93?`d7d@}l-4`90b@<#+zS`}>{kyNdE%zi-#R z%`4;IT^>6_SNz$BLjgMt0>mCFy9@fS>sVjCI91TPNW^e^$1WLHjYyZ6KBXd^L%QyQ z?qUn4E$-OpI^(IvqkO?c%dQ7eE#ZAizr+?!eSG$zd8cL9gO6vY%0!0zT;|oMv!cdW z)m<!jpWz?3Q|2v}%M!|$&bcG9M=!ANwQ_}Z$6b*NdF^Ypr#}6~u`{%D`{8@$zC|iY zyX~68vpy_qcwEM@+uZrxiPGAlJ0j=urcS%v_`b|wx4C^DS5f$@53>)*<agBss~neB zzyITD#pWl{@|OENem>JXK3(Jc(UY~2Me!4Agr`3~JvskIQBC5f-pD@vhWiaqUwr<~ z8{U`vK=!@d)+ZL9b|^oPeJZ!{iN&?Mg75oW-`)6Tp?W;<xNP~Iizj67zFYAvV#ebY z<?brwExRAzk-h$|<D15e$1}>0E0-_X{rI+Q@tu!v`cnHni)7kk<PW$jS>CI#<vRJ1 z?fBl4yOO6_hwV(BVr{k4p-4vfeZ|whwsMs{$&;%;mu~)gBl&%w-MT3c-v(^spCnUz z<D1~5#r?PJ?2A;SZnNvV&sY9^=IM*a+s{Y$xg<^JIIenXSEl8!DQ}afE$+W=7jG$4 z`F8Tu<aX_Hi!&2FUh}nCmIV~Ct6Tn3xVP|4nBq?Js_$&??k%~irCx3@DVbfqTwqdi zyKMQ7iOK8-tRFm$D18!UyVJa=e8K6B&evt{6!A>$+8vY=&h^%-H+IpMrSqO>94+sx zh*8?JbjlNtCy#~R?g(<2rZp*f>b;;k%dn?+SM7=xEnj^jPxRK>PrE{+yuUt;NZlUN zFSGi|v_q#iuFBQiCA0d{w5rn^yK+`;m07)Sn$hWvS=lQ$$jq#YS-I)-m(tn^clfHk zKko2pn67yu^^oD^X)-hC#mrYUmVI{Oi@ESrnVEB9mZ=!4p4+10zD(O#^5n#oAB<Yh zs;Iax+v2?>Rc)V4=e8KNP2MG`YI2rFg|TW|yjz|MNuDd2t}OT{_0%q()ae<gRJG=0 z>bWmld%C2ob5+cu!`>`Ug=}Y@)OA-|>pkVE(acySt?3%4REvr_S<-_}Pvkt6Dl|J& z$6Zayxbf+UkS9hzW0&ncy`+5R8@=G$sgq`})OKH{AgucA#Fu0xZA+u4zACEj%XW2g z6sL5}&M<IS+txYj$&JLfLT_W`cXTopt86N&eU!(()q9C~;6$0uUwVQ4uZy4lIuW~Q zOXr^=l_;Z&{W24Mrd&S|cw^$@?*841c_JlGb{u@O??x|EOrP|Ycc=RUE%xY_FD{t& zxNU2o!0C;)ZjA1I(q(t1?+ZL}>SOEsyb5bA?>>FkcWfIMuX^&s>519L6&jZN7<R5L znlf1?zUhvb+*Xz2c02X!-4#!MOg-^-;^MX^I}X0nP_WFKVSUQIPx@5ft%;A@)+{c0 z5~1UM@M(mm`_mH}J>PNP3rx4%S0KweO_|H4@6sv3*4^EQ?zkR&yJ$gKAVamLp}WYb zIIA-sQ(rGyP#(Bpx5kNBkz0CPnc}RQx({7<O?>U9dQao9v}?uUS$9?(kPdy~_VezF zz0ym|7vIVYx#itvx#&RY;w^igsJM&l%DXa6+V$yD6-&3o%1)2Iz~H!7rwvzqP~9uD zm?i$w#N?1k(|h)`OiP|JVfvw>o=4T0+Q&8I_)||BzIwM%)6(s%t;>w$DYu=yi+X~p zISh_#sck>?UQx+1jMGj<<+!SL`N|K9`(&oydUxq&?5)D-o8C>BEZzE8PjRQW)4K~( zrKgro7kD3Z{cU4W{Dr)b8?m#Brq8>hs#@N8Jhp1Lb8ETAhi?~bk0>8sdSLgI^66XN zU6_2k_^BS}y`}qir+jnze&H_9+Gv+OuG-r*o{G)Cqcl01Wsj@Uc8Moq^>>o)>0Y~= zbVqm7U8P&PeRmgKn|iQ3^}`OMck6m~Y9D@kDqy$KsdYRXw3}aRCGPYJUKjK*RA`S^ zZTQOLp@(*Rm4v^1tF<;(b@S>grLEgy79Q4idCKLu)2TV!<D{rnQR}~T0ry2;6}Em@ zCvaD^YPVB%_=z`MrLpsLr$7JjDPX5ffZq8BPXmi8`(ozpKYh8pvMNSy&uQoK%6od} zZ#^~KZId{C`RixXX1ANKywg(j`F+~`vvTGi^Df=Jvdg$k@_-)GjiX2PWXfg+7N!4t z=W~DV%fj>z?|QD)-YVjfv0TPc#I;T)n8C6&tk0`1JoCNQ%UIRjtFM$zy|hl~X6Ub7 zUeVziAGu<8I<<v&eBd(O>C_m0;yu^fSjD~CDdnwC)&<-a_1f(eZ{951e_@&|Pn*(p z(NnuScl7L)NoCmSRBFCf$65cl(tV>hMN<@0C5tRiCG7s0BAIJ>ileA?&$Nlt7Wc@9 zdt^(dSe`nu+ot36k5hqecb{(D)U#G5^+>Fornc%c4dvHb8)N6GYsa1p)I1&PwA;of z%;-$u%2T0IyKNe@1)pgoANTSt3ehTRofRVxS<cosm7#dc&0R;2MDHo;Zrkor(e0aO z_(81u&Z7Ie@9r+Tr+e$}qC2|V?k3&Ropkrnb=|yJ$=YzYer@LWrxxrsy0@-ptM=hH zr#N;S?OJzf+Uk;usVibsHNrCsRFy4nmE3(aE!t*}tJZdor(*2+fhTq4b{^dy{bu)3 zx$Pb0-6h+9lyzrs&nW9o+^%8ruEE`HLJ~(pmfw}X4I5<qFZ^X#FXMmaFT*++`zN+r zRgd#q&pTAT%BxR)n@#A9$L+h9Z?T#BR8z^a&Z1_O{&D`Wd6!Oqbe_7r<jIeNA2hei z*n3oR=^p2A^>2Opqw&Mag9|r3`Ef9Bg|=m#fK1lOfF{ej03(rvK6!@rU#CAZPg?k` z=ud!oNWaYFKW&FjUv!(i@I{f&sa>8q&O4u0EJ<ClMMnQY!}ArnD-2i59cP%dICN@s zb2*Q~_O^EtL3w9y8<srbI9jg%pkaC1TZw{7R^!hHPyGE*ZqKk&zAi>M_PWb8QO1v5 z4bx0ggzp&$>|_b=lW2=k(stKTsy;ADIc$Qh#IugC$^0#rNl)K88o007Wvg@Y;^7-# zH*94;AY(fxmOsAS?eyH0Md|b2Jk)pRcU#A<S^Z<;;&#RB&bLGx-*kN_-}p-}tiQXp zeB+C66Q(a_U-#Pa4Vz+|@Rn}@(-*TVU2nc-%J^p1jiTinVl*~pKl+fCQDm&LQ?l<4 zk8>W|{@DxPr~N22{;)&xLmr!~x$~W~7s`y++~vtIcfNU6q0G4AE>HA##^mpe3Evr` z%C$cF3C68B%>DJTTI$^eN3suHGYx#o7Q552&Aj6S8|yuV{nA^?o4@2uxXU|fw_~ok z##^?DcNeVPUHtTD(VyDvX^C$iJJt~~wyyBBw5ckjMjR(|On-_5%}cUgCCe|BoE z;U3eL?aNNC)hx>XlXv#s+KanQ%eK3{ySC-7)TZdqrP<r^c=k&tzdv?ix8a*So}JQ* z-yZYWEopN=xOvSBMhPCb)awgQU+j1zm1{X^Mp5rpnYq8-@v2?FvD+=8&o6)b$v0L% zclcVbJ2*9Y^1afc-M%T-s?TmrDW836U2y;FE88YdmGP8#moj5<@HN$m+b2(!@ie%Z zdD73dNM&J)x`O2-k4?h;GM)_YQl>8!o;3GCkxF5T`ZgKQ4{x_@7mnytse7|zsxqg% zxa>2JrsJ-`MJfx+1rz&J`1FO&e0=%Aj`5zllE0%~)TSxZPX|6bx&3Ly*V1^FpIc<s zm)#M!pQm$twfcA0d-YE%4!+*E;`dsaRKEA!I}(M8r<^Q|-@sd}dR(gbJL@fjHp^22 zJN1vXDW19L@kXjLcJW$or_-zs*HjrlPAYgh$>6D#Vw}3{b<N|Yw~D%X-t($_ce}sT zup)C#tW?Z)%~QErMcIGuO2u7Yd9w6YVg8v|src=kr*dx<&E;5sdE#r8vV4U&sg~)- zCVDr&HIu!krnbHFeV4%=Q=azBNsBMuGksLp`(fS0zHE{5-dpP?-ZC}XZ4e{QkT1?) zsmE|ZkKu$K!vQ^pU3t74qLW`+x$g99zJBucr5i<=F*{8b#Yi<yZ|n1Z{PvQ^9^bIM z%MWtBie@*(EZ4by^3A0iyL<!kgePeyomy*HHuuju;p@6idt5{F1gCHZKe_j#Fw<aV zp_xxY-@~(-dn}vH+fSbLEGnLGXO5D&`-!tJcU#)!_1!yraJQvZp5L9blDm!awzJ*V zEh_4s6(b=pp7u_R_1+?-?I+%gy}hfnH#()f`$=BlZC$V3t|{AJycWy7JO9Y<;-~*4 z?#Uneefh~hmV5J+z8`=8@6BELy}y&o>z};yzx~&9w|&a@=db_e#LhX=UHtTsM4Zf_ z?#oXevBb?$5<mX_(VJMAz1_*>g-`VSZXfmBZIL4W{Pm-pyEBeR7e75FaZloq^yMeV zSnkbGGC%hI*qgf&d!>`hlb_`I-0t<<ZJ1*I?DesnyW;iVUGLXke7x_#Zv7YUy0`yM zdb`hHxBj7b-RpKIosKQ62oKn)z2J^$b9w8e-H%Shek>3Fa#z&$9oL@SP4CuK?AE^a zj%)L7r8nzd>{8x#N3gw|WvVsPDOtk`ca5FOeRl-w%U$lvUVPklV7Ky%cOBcUliv0j zNDFS`kauxb+jRP3`x~K!vGa9>Pd@cnVip+P=VGO1b^4>)3EzjW`)bWwcfA&V^6SoB zK1aR4J6|)4tQ~gBcHPlB{Q7Wd@Qk9ReRudewl`m!>a{1R<$C(@w+2tkDrR%&9KZOw z?89!&19!Ew!?ix#`x2|E{XOly(7{-Fwd?Nh%QW`L&bY(plE+mX-u3?1iyg8L^0=ae z3!g@WKJBwu=ih%@@zmP`W%&&2L#Ar8R@A;(=YRdJLV5m=JgyRR*6rG?PrXcc+SQgX zDYCAKRoZ@A@$}md+xe&6Uih|5Vz;@&I{rTEPj@%IUbf)zGJ~hT7<S6ex+Ah{oyb(} z)+fKN+~q6${_Mn5tvxRJ*VW&bNfcQ>iCw9B-TmZO%iHYUeRkEq15RE%e%vmg=#4>z z^_f_Cx$VwVUvDn0eetdEwz_9ukmox8+f#-1xYTZEzH#=xoyQ`M_d)%;S<2fN+*z@9 z_mR@-J94{D8=iWj$Gg+Jc>D1Wd^hi|ShqW*VtUP;rCWEmJmz!VX<Ja<c`CMRx3}xN zpu4d`yPZSw`foWGTe?lXyHuk*^ZmCIcb96Fcb<&BRMgJ$zWYw^qg~!^?<U=imD=qb zns@&8+sNIvY5Y5%N_;Jy&hY-yb=gBjix=EkDqqg|UhnA+@6>XSQ*S$W>t~f~zT?x} zX<NEo{rFqQ-QJGx0v^d`6;0oAXQ|Hi^wV!8t37m&t7?{azSp~WS9ODRNyXwl?<PEa zo4DKC{oSRzu}Zu3GtIr;=;iKo_Iwv`?d`?F>T`MgH)ENKreAs|@JKePsQQrHrcW2b z`)otyE}bgmJRK{w$2R!g#YxGblcQCi%sVWzdfJ`YJEKLP<T>nV_v&+Ye5ZJ3qxuP3 z-=a4k3;h%NoKwDwoGd)?T5i8g^@cm2m5<NU{{H4f;fv$8mEX5KwFoKqkLq(S{66I) z&&IpT$0k=)u8Wa7eA>C9a#qZ|1E+(ZeEJbPZ|~{F<&|&r`tLl=+-;LNz5UInn%H@3 zXLp*fzhkoJ=99FEv+K;i=e@eSWw(CI_oE;7vD{PKZ@s0w{mZ)vcV#E-cFrx=c)RIA zVdR`xv83s&eW#UP&&w$CXSpZr`i^sdb<+EJ7j~<kdDpq2deQ4Ng*}cDc^9Tghg3AP z<OfWWPI<z{xzn-6yyH0UuHBBs<{od^rruRpx4Zf2JD;7_t>x|?-l^=gc6!Hm|L()W z@^|mf-ML%1+d8X!`I~nQcV*W_FMfJ0VW(;9cDE1LRCb!W@g?^eh^54RVm;Q>!zwv5 zY1fH}37m#v$EO#{952)n>wav}_t>KE==5#5zdwEY^eIS3?D)s0OOJ9L<yw1zOINJ> z@sCfJk~T)@h#lYf10>shG-;z#E0<{3(WF*E(Tc91$Sz}v<ApMlTRuL0s_L0>+ssoU zJ|U>XBhaTYxJ7UylT5~f6^stfZjw_P4qxY4utt1_yrfXZ17~iVGcFA5oUGv!R30!? zSaW?=zU<^8sMg9eNsL3q>tUk-V+;40ejW}L;SLFHMh+8&!;V4+XEO@9TO91}<?iw0 znl7kkqqkdy)5u8SMr3ia)nQM@>6&c^QalocgBf@=&n56EIEVTKI&nI4c?2?biI}(K zxp)d5a24j5DJdnQ5d7q%BjeLAj?SEcK`af14GeQE3Yl2@dw6)A%~Nz*_J*vOz;x7y z(^)2E!!fSNlIF^d4I)h{W-=*xU7~KQCN&DyEMWGTc0(jF&E!T%!_0|-in@Ca>^LEE z%yk*ZW2IomW3I<okGVeE=p>Lc!F5J=bL4jg?E^6jom#n48dtLJNzh7W+_2hcM%>RG zyO<6+u^7bOT6B;n<w29!h6GkE4@NG(geJZM1qSJYjjYRzjGQ)zE3M>S=9!S>ndp&X z;JRp2Tgw#IWk%MExf8Mu@uVai;!MbL;!e;?cH-(gb*`^qLhHoN-qv18M#t6JuRXYz z85t=jxb5JtSimfE<A9iMXGbL8#+ZprODBBjge>XO@D$2;;xuuGAm1`0@nt+(d$(=` z&G0*08*3{|e}47o)+MRUO$;saKNhgt+;HS8U~q9ew)8xU`HX!R&hA}s^2CV{g{Hzt z#>YyFnU1+Go3Zdjv)mnqWkyCaIyDL`p&kZ(kAFBd$r}e$RaRC?##=NnFW|~eywD&n z)MI>t@$lzQO|mOa6glcFdi=<!d6kG(kLiw!2fBIog)In?xG|%UVY-t4#)vHo6i>6Y zR1|eZwrDc=wQ?Ki6%>djIb3gG?$KVNm*Cc<xIM`*lgX3wL-B#5Dy5p+ES50w_J{>M zOm)4~yV7d+>XlZzg}gRwV{4uxo^qAJNdIWkMJCNQmjyc-7I80#F?gYr!oXv&fQfBG zmID)yL!*d7LxXe}uSWx$<n)7FMq*u}2{BqbB*F?L*F0c~IOuv}F1Jj#<c=kxu?Mtv zq`X}aF~_l0iCas=GeD<mfs>(k>!QTgM+vQq`ng<pbGh!|a^1q^x`FHHnj`_807a&w ztRWT-8(%D9<m{frwd9O1qu+)F3z_=QbSz&KROl*nk@bv6fMT$UVeEk(7E!H`A1f!Y zRtP$KaIp3;vM9J6bN#@2>?xB^Z}&R?38ya}ePFBjTKHB`$-^h}8XgN@Dk}N-WS7Gq zmQ6CTDskcg>$tc3|2TEAb*lf3Qx{#Q#-^OySiQY$d(rmX?=9P_58bjo_-3BKHf}A; zZO3)*zP<hS*4mr7H*&ArE_^pnpxi&fn(^&CgKga!mh%+0bsv&Boh;sHIj>+F_W>FI z6C1fzEax$7?^d=9%N4gRT60^k;>nGju`iz9*d6=i=?kTkdT)ws9FObWDAKvEcc5(J zwB*xe3#TpSmfvk~dSmVN)+w)<j_VyLDpB0ly-p^YXI_$bpYA!oiK@p%l9nf&He|h_ zd9BFjVQJUe;GU-y$`$#O?#y0iowxJxL)n#g1W(DbzhCjBFTuQ3d;6*5Yi|{<F1fQx zW;@sMwWoFkS*#10diqQG%wKxJ{ij>XXTH$8d^^>vsIw<VNpX6{JE7LtMeAN~e)>!1 z9-q~_(+_F`i{kt4OyBr>QEA|b-5OV7MIPyK<%qLx={|JB_2BD83rZIY<WHF@t@_l> zc4weZp2&k<rJ}`6cUH)oYrS{7dUwSR>7!+fFT4wwXnm!;z3PtQ9&4BK_G|AZ+<g0T zm&~>pzV_+NQ%^IVdTLN%sj*Y0FNUvvy7T>~7akWK*e&xyuYLRJ#J5ETyJZgPwXe%g zJiWD`LN{O|!_z2<Jz`U0xZT&a?$2KMKI*`3u@~!Fw`V85?b}dlE^&{yrQCV)Zp9CI zA9hQBd&jmnxaDa?ao75q#EIpRQ)0yurn6qRUHEifM3KM3PW84s!Vd2^<-Z^4t8RKT z@5e6nZFy|!<|j_^PAY1iaYx~Rw96AV)}4;_c>#BMyLLO~m~*^k>%FV6UV2Gs`I<Yj zn&r<=-c2kjXL#>7VfW|9@1ES9yKDF1vhoY>&fU2CahG+}cD9e#B6gbk=kZRCX0FKY zxHC&(`?KR~PwqA?-LCfT+J?KcHby@#&EAsNqhNllUt0P7u@$=wALa3ElV*N<Ok%g; zo;;ql-7OUp*Tkr3ioZPRnp8BAL0@QM_m{^?Ph*$t>J}-VctcO<maEimk2rD9*G!6W z3fkfxr&z0s8fU~P9N>0&!o<4Mp;COsJEo(t3fs6_%Gh*g2%dP&lo=~~WOee>R~|d9 z{MYe4xT;uG+7vTaK3x6%t1Gc{cdR~KR(fIGxf@qM?y`!S&i3(A#7>hSJ>JRQ%oUj( zF|!n=KRdqk<ZhGF>1yvTZHSfH;%!`(`CuKV<vPyv>nt0!nQn<DzUg{Uy0IljXJ7Zx z$4Pf%*X(?q_`d4GZn-z>*mu5O_|`6Qr@zO$%M*UHJhA(^!$0$T=Nr4)yUW*RPpRl# z7qeLT`iu8cUt@)Gw{v_D6Wr<Qn0K*1dP;fstGvKFx=y=Ylec@k{ui;+-v1r{<loE{ z^&NNSD|~-`{O`%#_NCw3-~L;0cmBrTk4x(X^!uiED?fc?v(v&ykMF@z#iGKdm^t#| z>hB+2iJh~f`*2y|1-)}Oj(*%_5oONy@mR!8!=St~lcf(oImU2LLdpEu@!pfW4NJpc zu-h0G+BY1W@bFz)fN=v~g;z&p0HY5t!<~IjX9O8?;{#6$GGxaKKI356x_;Ud52lCV zjh0Lg-mF%%WIFIhd!G!W!MD)3J_do`U3%^eHMLi#DKqTZXLVYTAtt`@DM!QF^^<Ct z4UbFPyyM+nt^DrZiI2S6=AY#9U+gfy_l|dKzQ@Ul$L=rv_*lA`;Q}K+r^`OU8R`cv zESXToRcN^^p^VG2Nam>DLY?CxfAqNb2Q!@Bm~~n+<LQh;H$posjd<ha51)QgT6-w( z>g3gHo<x*Zh)$7NJtf9;#^VbUS2Go_`L#RCqtDsl-GqMYEsyzL?r^qzcj0Det)&rb z+%k>no*!1pSuQ(JCK}fl<g#wj>A<e{tG3H5t%%t=ZSj@6p=p+0XJbXDEuL~aG}bc8 zq1)Z-?M3#SmAaN?CkmJDndWpR@YN|!*$*C)kzC%pG7erjvf-}87HQ+M<UM&k*J>4? z?0c|Vzv7N~`S;e_wF}GQr`#1!_|AGgw(;q@8Aah7_e4G4aVeL#PT1Y_e%*t@@H=-! zv&vm>#xj<LXY5qoa7QrsUB@A7rw@G|MeY(im0Ruz)|9jKTR(c&H)FT*op&4?teal1 zdusPIuEM{_yhyz0`R>m<&fmTJF137v_4U?^MTr|?W@w5p`*4UOZib4u+X>aiH<Lb; zdIl8ff4R%u5Y94DTj_-8!}8hX(&eYiy31adt}gYTv0Ht|UEwS5I8(o~Y<R8ormdl9 z<(e2R&FQaBE=?+0$)GPf(OdLM(BB;)mD5+f3pyIBwavS=Y~?Y%sn?fY+9h`3(agpl z7KcyGReXA`qA1;9r!m8O9wo&W4e4$Ix-+DB>XTJZ8ZzHK`=Qj3LsHw|I8TAO^Yx<- z%*7J=Bxd!5s<?~PB>#Ncp>#Z=+Op|_(X_Ze1-9dxP7AV3*!ZN#L@-5XyNv4$qp&_1 zR|6SsU3X!(V?w7dx=h@-qsZjrcG09hwU!)LRd?Z{Xu)S0N_pDb*Y-TgSd_b3!Lmys zRePU|@0#4H(-(7YUHhWQq;Okkbf4OpZC0l*9=Q>gU^z?RwwJcMaQtnHGZ$N~oADHH zxwxJ8kWB8LSm~_mZP(3aJ;_-7_LjqLvm@(z*X6Q2<48W<s<^#Z(Xy){MY_has~|<X z(z44TMY_zg%OFL%>UPBGz?MC^Z*Ck5?^Ak^>#XfA_^z#R#$t}L!v<#pTXrQ{oD5`< zJ!~MuHc`gcLy~QRjIRh^vwNS?8s5V>5+#;h0%kosWDJ+^9@cf|$vA8w?H1MNZrx@$ z?Q!7st&C4@?T}{clQEpZo2>0FUGcr+wek^}eu4KBZ@PyT*;MUzPv7n`t$)Fj3YPNz z3wfP~`&o)@qIRq9v*SARQRA&#<j)R|KKHEe8gHhp_#`+%rvF4<r>6YZ6CXX^Of&fN zLhtzEjnPi0+>0!eZhQ>vlQDcy8!}C~ZFm10yGy48o$sm&6(3pfSSX_Ch(kH2mZe;7 zEKl+Dj!DX5Q@lmuk__B+4tX1#6nuSBm8n={;cFrHB9X?|LM}xjiLZs6ibNb=3)NaC zO(^P=vrOtJ;@l%+=&+L|woigf|Kl`ewnN?<o_0Jusd}a8$b%<B>6S?ndsrg-Bzj_$ zdf1&OdRHvvmf>NWDYySXVe*H%!t&%7bql9IzWSiI@^@=&pZKo4Gq?2D-I3TFzvAwU z4e?8!Mkv2KR`Rz=$6Zosf5GpgVSV8)aT8}e4t=D3D=u+HvfoYZsy$3^L>nzn3G6%c zTC~nGPIRaKmbi`6A5WdOo9Uxym1SJPZtXRDoK9)i+!6JUJNQI2)AE$aJ<*2s9lNzV z_9&gu-nMDmhDXPhg^Kqul!rgDedN_=nNjX~SNYq!t{v_@cSUphGbSzenX;SdtzdEa z(HqJP@442y|9Bel<<_l3Tx;FmJgsni*XK~v64z&$Ro*hy{l-%b<)?zF<wqvFZ+WVb zT;Xm|$?`zC!{(9p@zo0bH%@&#)bD@Bu>E!4iQn3mr*7O8EL~sfe#ADh&nV}<%JJ1( zteM_27w?h!tz;P&xl?)1J^ka{8Rae4-anmjxzxR8uT1K@cVYMZ(q2FHkoeTAXgTS@ z_g)3dNdix#ZHrVMzLPGuoRsjLcc+Z!ir;O0`E#CnFx@pjP^6OhPCCkRl7e~fVHwYk z*=>n^1_hT3CMln}Z8GHv$6>QxCCf<#X1x1lJOyU6#rLT^n9<fR<E$~eEv`>-f^Tw; zRH>!eUteau<7!*0olow4Xx_V3##vxCTU4KU%bjIgGCQ8$P$`@JY}v)B$-@1&UCL)4 z%DXfvIk^A!lE-F^cS}@{FWY_lN$Knxd6On32j9)j+U;wRS2QVk^4;8`-M+ErnkP4E zzB9|co1$`DZSCwoMLA8oeIw2Po-l0LHTy#mkK=LQBFi?1^2>L8(=FQ+$}dOsDIU4| zWoj}<f%(F_0{6l;svhT#3@hBhrDGX)U{jZtW!#QUT$+}XCT#6GB;$Nz6PLPW+k#Y4 z-y$BxLQ&5mp2h-Ew<4a0C$;9RY0^I~z!rW``M3Z}_(tX90<+c}(mO6NV@;FZae--T zl=O}Z{0n<HEt$h9Y@+gUfj?mrrzLYZh9ypW+^V*kBc?U1PrOTq$?!OLUH6Vjk6ZV; z2Am9Jxg*-RtwY1IYr$@%8|yeWX)k(xFD0@ip-+ipJ;xqxrEEcuA`!z1*Bh}yr}Q`z zrn9W8PCU7{;YplCk^YZ}BNJp?MdAcA!(IAa8BPYaOxAw%<`BzHgDUgoZ>?^|3T00} za#Pi?%(Es|D0X_wwX+wCiZ?xph-~3ssTiL1-s@%T$}QTi+pgT7`m1p1E4~hy6-To9 znQvO~2)Ib}I4iInG2nW#V#+*5W(kJ`4Hv$)K#`UvYi5y#OfwnDDIJXhCmk3LDaf!1 zGO#clF<{|gV3Z3xXT-qJWK!X_bw1M^K3gkG?{l7R+gEhmu6=h^eLB-iRco2MA2w`P z>j+~Ml{j*sDS<J-@R(!E;+s?FN*3CRJ`&zyu*@r=`Saw{{5vO0OrCY%`9Y)VerH{# z%A@>uAFVhzohxvY_YUSh8D?pXs6E^}&M7s{_Igplxx~EN;$Y|1Ln}FEry4qVx9iyF z`7m@@GD)QSvhLgHVCu%0rYQJz*WpM5pA{?qafqocw{ZO@Tr<-qwyWsZ`z&8;mMoz| znmN{vD}Oeaidtmcx&EMU6Wb5V8$RzJ2Xs2DnXoxpWb20@SC>az&s$WFCn!5H3n#8? z=GYa)$*!~TOQ_)T3gd<Zk&m0F2IYtw{n@J6XxGhHbmB1gV*`ffqlXn2o)r8yYtv-a ziqj`f%@mlwV6LI4ptOxljGUJ7(ck&apU&+&XL!RWU`ZW^mhktPLR(K-KlHV#w_X(A z_xFQ^<(DaP-`^i$Ui1BRqfA$-v5~a$X+C>C8)=IZYD>H$K2I=_V5(8P{=i4j^;BiU z0_N4Lla^O(4sblsHUEZ^x2|;358aO9-B&gIB#%Ek_vhI{OV)?JQpM9tEmwRw$R}Z| zQd;xmM7GqeOo4kW2IY~{wY7dLCVbg&=3}Gr{hEyB2Y*bSk>tDQ-g(>BQ`|LEE>4$q zUin|u?Uwt)MZ8x<q)*H?eY)eB?`{GAyAl37N}c-ogkPFQOFs1d8Ls;OkGJu9cW*<7 z&mS(hR2N;%3tqN%gR{q4ZZ8wr;vG(Z*CZrXf9^6XV%?kM_e5?(Y0D#(7WHe3l%qp@ zcdV%|pZBAbk7*mjoGD-3(u3CfJ5?m<)}_c_4V-;oo{i|-+Y9FkTs84pm;2W6kpJtz z^{@X(cK+VpWbU%pI{(<i=$1U*%ZuNLdhNLKIP}@ljq=%{0@->mv+IOsHi*rCz1Ml} z@_8QDUad>*NeHNDWlg%%QpI*O_1yG19Czk%wYzO{G!ehOj_1`<<*Pbp4)I<5@ii|Z zWcJekWr4qKZ!(pwdc#m+n>q1e*5uio$NnppuVb+=n!CwK?Ei{No$mbaB6DUedVF*4 z$s+EBR>uot8&|ijx%lY3f$rn()19m@&Sk9Ry!znbg09<pCK<}LEWFhuD%p24;ygn& z)8wFiryJT<a;a%;eeL%=?d>n~Re#TwZxTPmb9d>57`};5`?OD8)O_f0QX;f|8gJX; zW!tMCv3PoXyywjHtas7P-kFKfb$6dEn;PtY-fLQ|L2X$2xvyWMFSf0exKik{SkTV= z#*XPzd9P0BeV;h(daRekFP1;DlHa?TU$X5x9K1);O<#s9aOncI1ruWwTQ6^#zuY_{ zAgd?saf*&E?{$S08&ppAW`=q&o6S?;Tk>kB$<Bx`wdq29dK|NCpRE4*_JxMo;TOyY znF<%UXiQvFRwB~B{N>$7TZdJJN7Nnu6^U1Wxgzl+gK=4EP?Wimz_u%e<$7~x&G@Ew z^3B{EalSuKzs=lok1h6Z+3}3U<?TLG*Q@6S?b*FlXl|XkSRY&3<C7(&o+`io=IO}r z`pihX#wzgQ*OoMnn$QXS>X-jc@_JQPz0twOVs`j>om+3yb!2#-gg6N0#IAgqmG}4Z z+Fr%HBYMk~uWc<qdZK0D={alsXNmHxxN@D>$V%a#<GJ@7%UJ%!HKo3JES+{y{m|^q z*H_81H=6lYzO~Q@v+C<f<I(6WT^>`z9#g3`<-_f(y9=gneSW9Z=T?!kiA2}sZ?l8W zFI$@}9>0qDM({q?tQcQL)x7shZu0X^@y`f|cptodiTPs1|8}0b_Is6PtO<<%sc@}% zis&Nuo%~LnS#kd7CnoQix-P=ir_=mh>yeLPrAPIWy#G8DKi=s5S6^rEKWE0?c~{Oc ztvWHMNblLjmydO0XWv?>H-$Iq?OCPYcYTf654;I{AH05v>0{;n91nA|ewDt}%6NL> z0RN$?-4(N5S()9DscK1;D|^3g!fBJqg-^=;JT{%bl3eGS`%k@jeyZ2R?;Ml9PTG=G zT%PjtV4u?c$gfeW^bRY`X3KkTH{+ezulhB@4>@1;*V{}F3yqqs*g1QFpB?wT(z{P1 z&z{mriWFec-MQsmU3Xt;QnX@2Rq{8v|DWV82MgW#vM>4PG%k@N99Ir6HF10%%c7`Z zV#}Y_zo~Y~>38zKl<pP2m^M+^jyvW#*9|}R2Yq=L=4SK1FX5b4m7x~c-2He;%IZ0j zia*bHJo9L?``*T{-=8g2)G1bxXOuXbXew)XB2YGmc}du%$bglLtOY`!v2mVEQI**+ z+pOsRBT3os>?!XY1fTD6HQ&V}a>&PB!X{Sgw-V=+?*{YgpEGjXFkXN9dyd`~!|p7x z@Q2dZ)-$)+R_xuHcH!i=)rQtdGp(*|-u$p{_tBTu@lA&;A|*HK^!?+uJ}$<a_$;D2 z<y=Pb>Pzz;Pl|n>thIWg35U|d;`Xyu;yu;c9IwijX59+jFz?)#<25@fmfh+REeKw` zLnQl)Qi*gjo69QE<{&wLzn@!I#>zw-DU@M(^Q>Nx>7ecHCm~hIhh*y>E9FlKzH#^M z_2mWIUOaO&zT2iQem;N2ZJP%h#I~(k=DV->rC+Oe$CPaKv)e20-l`XWzHImQUwp>g zx%2#cuSQj^D*C=-|JlX)d*koD4CT;W-B+jTFTU|=AM=L)o@Zb0cpG}h;QoDf{T<4@ zb++xT2d{k3f1KrRdF`_01o1_N`<u?4SiN&az`q*D^@Uo83*WLw_$Zf{hDA=;%Q~g; z>Vx2$eZG^vv+j!0VxM`ivvqaZjmz08!aP>*v|_ja6EV=elOz9-cZ=1ts~N2eTV}MB zh|W4TDgTtwoqZWR%Z2llxqYUU{n#LA-ZDF>&BN~1`H1bD#eQN*c0ucXW4HgveY@8= zrF_ft57iHT@<{Y`T$n9*=+|6Bj*HGV-){U}ee<&HftL-bx3<`-b=!noHhpz_<-v?g zwx6dk?zFw?zDCky%l3aAR!;1K_J-WYnr>CtTsvc}C~AEv!z!ii?8iALH)~H>EB^d! zX!XZrPp)REi<75M-r({3xQDR#?M9~6_Q|XJ{8oL@*<GA<Fu?Yo{cc%C)dpF+u+0(I z8?G=u_NbX8Gjrn7<#i7qJil(aoZVpZJMqiDF1;&5I%_+W1H#%%Pd#4L!GCS#JeJ9o zD!fVG9-YnZs<N+TSQQk$;G=YsoMX?n30ylPgfE=-7PbHGWBFK4XXCW0{$C$bHeF!i z+-JB`O}XK1@b>%XPdk{rt6A|#lRZv5c<<AE4hf6$*!jVEf@}ABr6yc-aXGi(@0?H1 z8$<<F!``ID=}z1DP4C_Gre#^;*AFf0NMr6=`*fXC%8IZFyf+tIXNwm6cs6e3+cxvZ zM;8_EliF9+`B!IFjj&1h?6BwDHzrE;w+7s?j^)38IwDxN(~E8Uy`75dJLf+<aed#H zJEj2}UClH9NEyy*60(0Lx!COF-92(sjWzrPE;%yyH8dZr47~AvvI|E?Ydo92&;wVC z10FSJdqo!K?Q#;I{cW2!+uvGynR|V|EKf$y>&m;cx8>E&*j%k?N^GrDvzXs?edSt_ zm+gAw&mRe=EQ4KV8hBU#emaYNhLw~}>eLPIIoJN1wS~oE?Y1L3ec7^uIMu~tcU;vv zdHwyT@^gDi-=D1%>Q-&+{9U^5p8EUDHE|_33w!%M-1?&O`DOHV=luFP^%jD{*{&L| zPn*v?^K|lymgfG)N4!@bdt4*$n%{Wk+3h#X0?z5PBLtsK`zxazB-&G4`|R@_Gp%#i zFFUwg-@fr}TcHid{4G|;*)@0QzG<%X%9Hl}6|!W_o7`0$zDr%qGV(SZ(Y|pfVDqB4 zOOqxVu%0sIVLSBuva+b_-}0qRxgYeOFdH&Uyj~qqS@|M)c9Bi9zenw{@a?w?((3cW zqO>x#&#Wu>RQu!B)h`uK#CJ!fMJv7FjC!Iuzf5V@KC}AHdFL)Uy!aWr>g=n|zl}e` zw5H8<yRCBQgW>Cl6}(Y$J<1QxKK`y|!MZ57;Q!y){RJD6HC*h~?v~rT#5A7L3-$>Y zPp;vdd5UR+{!_+vH_tuX=d<T!=AJXQKF?1~Us~}heRb2qi|4xq7pEP0ld_mKQTnj; zb+_m>p)p}@P7iKBiQUn<|6kLg#UA^9Dt6pB@T1vz${ruPt|cGr^tMP|n(A@r=Z%8O z4GAlY=3S8a{GfDe=#FGNH?EqmBA*ss-?3I!tf}HclI-W$42zeWcWT_b{?Xt{C;Kr! z_CH$=x0$GjNvfYUe|Twq_U~8gzyE%}WTVXT{i;GPywe%q%iNpG<IQ0AXOqHx*Bx!C zZ#gdH-?IAsviSFhXD5BdxcwaM+{%KFDzaaY?%%w4a`lt*^UYRjvpA`WrinBh-YeGd z@UWEf-p6H$g=xQUc0BktV?}-Pm-UPh)emo!t~jGJW9?q&$s3Y84raATopjB(wdZr{ zzu6zuoX^L~F0a#>>h72j>8?@r)+5i->E%KllN~SFAA8g;`8z4Tu>Ew%4$T`gK5czJ z!#>isb%lQKi+w^{7M<w&l96Y5$u3t!Hv9Cqm<cSUy+SXTzb3!0OMVk2%ptM)!^t`8 zZteG)`J?@o{Do&1T~|L@e)c^3r{Y6)r3DPu-X_73cBL=!eyowbV>RuUM}*kXe{wfI z3GKQ6Jxc!jVNLt53HnldzHZ=|wc0{Qn2l$~9<^0WLgG297t+{!yBdA`7PC+Bxo2xr zVJ$z$Hmlv)^!$`kf#urXtf}1DK^vmBMSNmdX4HTByU!A%`G&r$O(i(y&5m2$5$b&I zw&5POe^<Pej%pkY<1IY=ZA)^AcFnzMj8y@Cx>m3HVsqS0wD&A$y=$x@n3JWDYnifV zedtflOy*sdc|W!`Zuj9$%1g{SIeqiQUhAvVD(!BU%vd-1qtT`XTo0nwWZSK{r&Fe0 z#Wf-NadMIFS9^}-DFLDXCLd6i&dB=q(Ep1%V?*gLt%H|ez4m;{X2P=cuqJ2yihWPi zzxvGF@KLnc`JPz!_6nY`$9lVTLVYil`{w^N4(R#aon`8J&QUG0B<WN0iJ2icHRkm# zo_3~ot;rh06HLyWrG=SQXHV|9EMF^b-6T*bpuX%)!tRyF@68YvDh%Y@B(T7B8MlU0 z!F%2et{}cMced@Y;F5E&d7XIm|4AX26^F!q*jXx?&sD`={B7i_xM|fw2Z1X^$xEy{ zEwW<fZ@mBGI=5+p%6G-qdtN8z@k!0sQpmcku!Zl=?q#(}^|im^7U}e8ePOCz{p@n+ z%%s#_b?e_B?<(KspPiQ)aAN(;HOxJV%QstBx>YbNTz9bE@56*`HSEsKbLwU<Qi^Ef z(<<F^@x^hEv)oMcZt$?24vxQVy3X>@D}@7`liQyxXIRYnS&DnnivVwC77+#p1`Y;= z*r+JCDI0nxY-V6^*}}lU%fQKySelZVA5fH^te2XS78=6Jz`XbUzVwnR<_T(!m!I93 zSDsUSd|U3D8{2YkZ|jx4y{#<g_P4j?@ki<f#mgl{uSVXC6#Z#4^KPtQ?5k_<vd+9& z<kZsA;=}X5<%2z!usU<9@n7)?R{RV<{_g0Vv{1ih4YS1OwW-e>4kjz6%(4lXEV3iN ziLdDIPJyat8@Dd8aQF1NExXWQrqQN?t8)4k;wfA$!Yr}RI;Kd)PUPF2a&gY;W2JYm zIQ+Ibk+Ny=CZ*YTb+y~hTYP<GD!6`{H`~FYhdvWNn`pdIXsEo$c=khsfbq5y4<@dd zc#ZvZ`gC2UNo$zo6;{od)a$`Fok7mww4+U*jN-wZ6O#VilKPUJ>zUew6r4I7_yn~D zcTUdt*Ou&9E%Qg|M8^4GyGLsmv6wkTbauOF{gvCaP=Pae+K#(3Kh9pcXp)k%YTzf$ z`7*040$HqAP7>^1EWqS?<gkH^@ZTL0mA%alY{k=W-wG|S;fS2b9O>1mJaY?!ynxTj zBMYTY@XB(!zR!>LlUbyrWxn=;$-x=CyvD0KIxpOxU_ULw>*SLA0XF{vXKFQfyGeEW zY72@pX=-`)nZ(^$&~)MC1lz)EZ1OvfZ&bOGe}B&jfhq;ZIXY*KoMxT>YSF7N{hR8l zMILqf{5k57s`QD^kDGO8Nazj6cC{beY(E^QcC4DBX{gK>!m?JZdy7!`j==Vu3qEfc z#7jGvoxHAp%Xx8wF|_R>gMo+Q^b)gn%eEOozGf|d3%A{J-L@h}u;t)70q-*lmO9NA zTlwz#Vvp7e@h8fStb2l*Q?xb+UM-MT_u?xq6;_{q^#J3AFhAB6`&{NmX?zg5xv6PK z{J%-XiNUR0XI^D?T}f@R7TJB}LW^ud#FM}5>Xu9{%|E7ay_%=@`e0I%tC@_D3!|aq z>V!oH6%?oUg}jp4)L7*DD|6HSz^{QUa}tB}W~^CxcIzDN2MH50u4o3^=%z8~tm(Bf zFj(BwoLkiV?RNXmrLGRDp%KcA35S1ovPDi**pSxR`by@{x+NNp{IL$U3qFeT+*!fU zA*^Z>6(hZzZwIefL(I)dJ(<dyOQQ}n3;tNJ$h1x5#-;=F3{$^7=y<U7a#7#8leg}5 zHoRZjV7Sz8<IDLsZ23+sD;4+Ys;g!xHrq4Fm5n7RdC8NN6L^C@6(^cb@|t9OE|U2p zFWZm%Dm<%IeRin-Q)6$jSeMPUqhOur#g0QiHJKTiOv=`md-Xn2iQV=t+U!bD`V=pB zr!}un>qQzYI$P8n@vGML#7v8ZnTwY3=uM3X&oPwpc0DQBA&~m4z+w}tw9~W<)n9-A z9kgz=t-iV>)Mw`br-Y99845EusV<XFDKGxDh=FDP{)yir&T`$<Uw(<j=aS;ADIe++ z-DM(pyEpiBiOgK^$=z`c6Vr@CD~~pRmCdX?b(|?t;(6(cgKg7v1I(OcLV0^1OwEt| z?aOvMf$5jQvt>tGeov5)%wQGy7rNwR%%8#qPvxS+H16*=@wlGQbdzy9Q>#um_u25K zwsV_3<)?18bXoIn65nJKdr`Bw|C<GtH0N%XU%}zWd3)QtMSPE9TINPI2Cp_vKNxjn zWxwbmwtA5n>u;@Ccz~y)@M=sF_k1H$hFAKN3>mn$JXt(t(Tc7&zfKw?Jo`VbaW?lX zN&Obzu%nYtET5+(`f5(t>+<(+Qp$^i?`_E3sG_kj#BQ~_P^<cz7z3jl+MmiwZx_B1 zStt0;uE=+PuWZaDEz_eu^9>f1tv-;a_<FNDV}p~TD95|AYa>j2xf$n&EqdJRFp-h( zZ|jM`1mTJQI25?Ac-&hq94_%py@yeTWe%6{g1jmDt5rL>6F$wA@|dVE;mR2~#Uv$Q zjZXgO)4fX17qtDJ5c%2jsO#^B$4bJiLT(3nPVKMnXnMXa?8GD;;m?|mF8|BYwx;dj z%!^-oB4B=-a2Eqp?@X0YhoxLkXKKZ<=DL^$H0Mt5VJv9=JY|ivu0gXP)AIhGPb`mk z1}HhShpeBJvhl(K&7}*b2m~pJ27DAcxgh<3*bKv5u4l@fk89Wecwb|GE-$i#w_Rkd z^ff-!yc9_$?vpZ4if=A?+<0`t5<#u9-~VoK1$=btTz*q$%7%$g+q))xUeL*PB7yP9 zgy5x(pS|l3E&IkAB)QUCaZ)qy=gi{=m^iovv=x1(a$h>UOe&(IVX9?npVTVFJb@4Q z>cvjm3G(ik8$L7kYeKHSpr2fHx_?PxeO^+wv!3(A!mySbO?zMV%xpE>eYB_QNLPoG zoT=rV8Sdff=|9T!7BGF>6<z&ddF|WQynXhZ3(wts(Gy>ic-_owLY3c}@;!YUH8#nn zX>iE#Z(hDEqkmTZ-@ffmld7L|t^4t4lH~qY|Lx0n+f__)ixcGDb7St2;HlL&3Imw3 z+h51coB87Pp8b_mcDNbF%56=oKQw`9qo~9C|7+hLo8NxQNcQ2j%^N>m{P;PHO{beh ztwOq?B2!y{nNh9d%L7~Sl0OeW*L>f!^Xt`nuid_%)W6n!Z^3n8n`rYG^*^_cue>Z6 z^J~)VHD7Ee78&mi^H2Iyvy4Mv>+H;Og~}%)F*O!5nJ3@vYW!j$;PS=g)V{wz6*o;= zpd`?A>(l3h*`9rZ!fC5n)A##?&U<LHan>eVJEN0-#SM$~S^F-tv;<F_E)()7M27MI ze}+8~cYbA>zIb!yhy5gVyT+f+Pim5Pt-W0Lc|oRq#_eW1<D~)>tAD;cnU~9|QOM?F zrZa#2tt_1_`KC|)UB7+q+Le&->)$_LU2O5I&*8<<Zx!C`zt_g^Io^BDoZbKE$73dC z-x#K^xVZCd@6WdWX%BoFKgTp0%d~gyHCr-K`pxQ}Ur&D9qIcxi4)NM$m%Go+_3Bx| z{b=u;|IN-D6z<QPqkL@xLx9M3h1x4(mghh8omY{4vZ`{$0ZX;>_0i%+Ehhsdeto|t zlVdZHK~Ya?<89^s*gx{wU1z4R-h64_kM}wJ-=#IpBWkBd2hTR|d9>U(dT#U%mW@5O zi#c8A{^{2@uX``Q*M6<NeL4SLdwcso_X1Q71+e5j-u^ajZnO3E@P&mg^8@}n?Ap2W zZcKcAeEf73;Tx7;<J$kqU%U1H^j%M<m`#sPyq0B*H#l_7Exp=p*6j1M-QRV#8`=xs zfBf;<wToA;PV9U4ZCd}v?=Qa`zwtU|+U(n>?|L%L?JUk=I%j&aal_q@SHE5jdwpG( zaq<W4^KTBPF8-sec5u5vkH}KLc9T%gO_M~XdYU};kbcbZ?BMzO7JCU#jxG&}m5aA- z-Mo48x^uoeKHdKs?`LcC@92|<-{iB?%hRh@%Y9}(dGNvMZ*Bh1uUxtEhPi)|$h5#O z=lLgHc=`3)wJ#yz>b)A*4z9SbEi`@e-Lo54zB5~GAHQ@(W!;>o_nD5bUHfwF+WOCS z|AkHOrZB(#f7Pe<fAh-EMQP9WXV*@+^)gOAKlk^F1)fQ-U8-#U{Jv)5W?WhwF~RJL zV1i(U-G{&LB`;O_ue6pHFwlFsa1H<2^?KLU_w0zb?)!Gpd{*+t@>w#AXQ*HFGk(m; z9{A(Y#t$K?i)Xx1Ufv|5esfP9ds1Fq-81D2F3a}>Sl1mAE{m{^D>I&B?{d#P|LpFC zo3(#y=g+yw^3-=@+??bYPKOpaI5;FYoSEV9t)(-e@kZ*|b3YgqICz3YYNC9yN@_$@ zN>nT?d~#SMEMinxd`c`fC_Z3fWQeIKh%ve+S@8Bi)5&w$4JAK*oNL=M<M+X;HW7Yq zS?!6urHM;VMP9A7I=9QRr=er3n~D9wyGA8FO}!;^1Dy`*E-)*0`t(a=>CP*{JW@w$ zf<L~wd52f}n#u<0N><IkVo=COUkoh=#-m(ToD@u)U=@})=W@q^4~?@QvZmTwDd zeqj2d?e;&#<Oz>LYg9jEsNdXsGHL3(M<-i4dN&>XdDL9=Q;^=el%vb0-?~((z0>WK zfzswD7eCCox}c78?O8paxhFmDJr_FU>T@pm$66iLJavZ&GhV4JEq&zZQP+97fw@6J z_o<DfZv49X`=1-WoxZCb@amv&UHro1oh5tyT8>sI?(fmdkbTu}wzv1t#-|hVf0Qg- zpH<qfv0Tn`n%9B4%i#~sUrkL~sX4X6+;pyM;&a6UVFjjg_Z80<79SHk;hq0D!z6Lq zGx4<s4_7TaBYZTZ|3LiZ^&J8=Y#ywJ+iVs8Y!G9-C$#v?PtJdO?>06#&Hk2ZSmnsO zH>>X&)6swp(T8Rqa=&S{Y*OIbGgdlhzcubQ-97cPvxUo)fRC@Y%NV~@a@*C+%W%^; zFFxc@ON!d;B`@P6rLUJwWnm7C^r-55#mBKvC`CP5=;^eWto5I}o;L33N_REbyIp&; zSwgC_>uK3X3nm{;jh8Tc-EyVm@P&TmDPK)iCGlM0UhRKzLq<*k_qR;mM4cmNPXx86 zt@YWV#jCm9zw}c^TI0_h^K+&CJTK77ow{49;q^QHS?{H!WHy~t-<mpoWz^2ax2z_G z{=Yi2Yp2MB<EoCEzEsY=YnfrP*Vb^;=WEYah^IX%>3yy?=iF|N>~#e%*i0*L*n2y= zefqF>4wuTr`dO2kotH*sEo{+JzHXG+DYk#o{F3sw()PFiiXBxb)Yx@Q<jr=KxCMo0 zK4ffgjXAgf+1u61;*ZsQT5|+8XxYr$a!9w~?#h(9TYu6nMy{~p-B6bDFKOqc&XuY! zDn+(`mkg5gbSQbcTIWlzAxCehbk<Y(946^zxmr`@J0ahqF4){qTd%!o;#s3pStW(s zvK~ciXT9uq4^@*3IJW$@)BksezTb=bZk5Tu>}Tc45H3d1T$ja06ZQ&*=spfO+`Uxk z?yXgBks1-AB>_^}8r*BkFDyHkqjkn`&s`T09pU^fm$<`|Mdn|yUdP#gsf^QaiE`D4 z(8&qcAMe@6Q7^1-v!GLhrEvO^;1bpAYtQ8zmVRN^ZNR7d#%{^f?XP3|kFB{FtNbwK zt#R0Cldf(v)kk||lbyR)nrp9(Kk(JW*PnB}d3NBIj?(|j<#r#gy>4VSYwEeQNd+b5 zey_DPPG&DnD&KNWGM=%oFO+}xIY!yTD~0#>St}YvYzwZa_qN=5r*Y}ubAd(OUK|mN z7fwCAcIwk*Uz?81mgW|B?^s&8<HjY)nTNId;+$FzswZWAm$IJf>u^ggPbxaY-{O+) z)sLaKwmp`Aqtm)f^V?xgzn_zZB-aP8*E3u(b7`iDx(?H+beA<>9(;CJx0*4xD8XER zN&O|4S4)m=U#?qnecxuI;=XMQ6819OJT&70*T3wONkO?ny#mqSPG9~0xoC6RW!ayt z>|8n`N4M_(v{JA$>#5$$cgH?Fne%>mvv7y}UElk4i)6Vp^z6M=a`n$GXZ!Q%Rq^KC zy}{kjYG)_--hcBX?Z~rVMX#%-+^x8<#q-3KmHIDo9>35?ZvBwJ@V4r^VBGfm<%hf8 zHeW5D{$x(MxV2ZX#l=YmtMn#v>(AJ1c;+&v67!8bgV0Ff_}+U5(++*`NiwJmjVsFC z=9X}0{=+xcQQg^X+n2r6PZC?KzK=OyOfgMPl-0@j=LS<oKK6IlWF7PW-`aLPW109n zzn`nC-sPFhzu%$1F8SNN`Hh|TE-I|plB}?HMd-FGC!KzBuSuBcd~5!%doRo{&pKDV zvCMOqD?@sFIdA9w%=<>tBEmXy*Tjpv^*6A8JTS#Qt0C=C!0T7NH{=Cs-%k#yD=%zg zzuZ%M>uuqtUy4s>?tUvd`Q1k25X1Ga7lmZ&ial93W8w7&GMZPXRpfjL4frg&taL?F zV6J(~RjG-S8uoLw6>;&NuK93Eiq~+rxDZFtE7nzN8*UlA6l-d9KDF>D<1eEr*=xM+ zMRQayJp5p>Xs^i0=}V;-{=N2kL)izv*JlldR=%EGeV}6M?-Y^kZSSQY@Ng%mzIm}b zxbscMj@MHHS^Jsap1yE7>;t>{;i#zJZJ+;I^NUHbU0dX{;@5h<x?)3PPQO#e-ER%7 z*6vGr?vbwCx?%G6_iqZ!tWWdV?OJBMui)-l&f`(lAy+;aZrkbqDDRoP_0|36JhP1J zQ&(LPIDAt+gfE)^Mcd0=itEB$qMCxFcusSe==?sUoo#mfi|@3<Cs&96VLp<0;r!Hi zt{tBaPki>%CT8!}XM#6to0sPp@4Vi(^F#9Xh*S5CbY)&Gkj$twd?E9D>G|Ii?sM*+ zSTW&wMzQV2>SJly$1(~&-sEvyZ})i5-UkH|yRUYgx-44UYTdujx&4^yOd$iS3znZV z?u%}dR6W1?;9d`|7p=c${z-B&vpZ{47<NwE=$dfS(oK(Zx|aH$zw~_D-Dh1dH!;X1 z2Ac+%=%^i6k>~k!wRn<A(&g&Zo`8kF_C&qa{v=|$xBu$=B8izI{!VF;wKJx!QtG#q zi(Rj%=;jvYv|@d9rTB!q(O+j2G?!d>({_Qit~h>H_`}4)@;UpfC3c*%{`T7TUhXcw z`A-cQ6N~MyTiCUnRQ)qKT=(>m=j?|Rr$1<@c>hZB(5Y>X@n*cOZPV7Q$Vz@up;EB> z_uAcchgZGm@w;}l^S<%VJ83x|n`$Om?)v*+jufMF#kr{!Y|TeItK^bu4(<5Ua5Z>B zibS5<UsE&Y-4Cbr%siSBclwKEt+#TPaI$ZJZk_HXp3)C*-yZhLW}Y8<d-mRx_jfbQ z8+NKM=;vNrwPtP0Z#l**!I80s0&DknET45dCn=-4z*ab8U2T}HbHF^e+?XFZ4;kWD zU6)8asa*4NR^wsMg_^IY*k(nxUEpWp)0?L?Z}QZ-vmaN_Z)`a(`{&|yrWuwW9#6FL zJ6>ORd&=J<JAQt=p7y&VbGf3?cKbuFUQgZg|CEXClH9J-AsV^uz>#l<P98D*R%Y{Y zTJ`A|3yn`loIQ)5TDn!NT+H2?%&5_Gjpw?)-!|8S#xK77)8PsCSaw!-iNo_BpCsCn zcQ7Ay)|q~!^>jx@@A>9~z9oFDtSj`lXa48Ak$L#-ae<uK-z27*bm|x=Rdw=+KR#9H z$9PY8>O1=hUuzy)%M?G(yK`Hhb=BR)cUd@<oKEYkm?ma&<l$dQuM<0r4<~G4Ea)n| zqOE&;LIP`?e#~;iIZ@dhrhA{6u4$chWAO`{4G9$){=cp-zIbB8g?@d1fAg}?ZLW)E zn`Wraii&x&uFA8SMRMYzMNxl3cNJy`rQJ*xK5$~Ih1Bbl30vY5Dza^VMwu;;<>K_s zZu+uZZ*_En{hDB_>4l8f6)JkotLNHeM1Pg8ueg}h5b^x6N3hPV7cbjSPJMs=z=i#5 z`kj7Q-H3GSy?k}8I^WwY*^@DHOm~b<xR{#0wMZ)1I{RXZy5<H0+YNsf>wj1Ie&>F} z9tMS&EmMoP+rK{E^HS?Z+PN>iR|-;I*y{-2|KV5DKCStngxl?RTmi8*MIj5<u~o9p zeOoJ>$hWu6VXMrI+D}XEpRaUJIj`;Sv#RoHi{Qse;z{w0YmNv?irhcEf8Pn=YwX)? z-Ftpme&7A$;Odo!Cwee!{W5#s^}Jp7GFpF+9iGT@l+Q2KZbNl&=iv=T2@YE-!>8$g zQi=cQZ1u3grSfA@!oE7MWUe%$+F3ISyK3_EB%9N(Stc9{%CKR1ZvO2WbKu06YF0bX zSJWIf*}5+N?9O)+-b=l(kavHn>7=2v&gY!_o;n_;mwBvz_D{SzUw)@}{engDkL1d} zetV*%{^`PokomS1vcdw~za{1#m~eCH<WDvJJLOFtZC?L;!}<7jmVI9jdMac!yC0mG z-26Zxq2S7d>CQnrHrAPWZJL<nHR+rHYq*`?M+t9R#Y101DoU$-g3^}m<1<;&a;)<= z`~HW0k2qZyKmO`rwDO0>49!y$4n6j7yuKp+eX)jL&czm?gL~M+)UTVl*agi!{jSFM zz`k#Wlb-E(@x^1+B^HIiR1db6p2)z`ZL0sBPTDwrl4^eZfTR8RTZ!7cX1gqpL~M?@ z^4)K<fWJ`n|269mHcatfwC|eL!MLYlfhYZgD&8NNeIn^o_Yb8XGX<8c&0M$TS!&_M zC0jE*{;b=?_}kko%JX;htQQwGZ6-BVT=^AvW54CQM4N)ZX7}q!XWR3fjz4>=T-r8k z=gNr(xnf`Z(a(K-$^ZGGFYga;Pn#X}d+&0^-)kq|nynxDX_@R9r-*~y8=}5-&%MX` zbLxZboTqo~KG6NKp6^z4_@+X);|qH0+b;+%SGvi&pYhJdr*1LzE&r@)rX6tX^C%ae zdew1iYOBb{KD9s=Ro&b(CLN}XK5LwxvpRp8n!L_Rczwb10^y1NT4mL(AOFp||64gf zx8+OsYW)eR)j5wZ{ZhaF&3LBnL4zV@KIz|Q1UN1Bbey-GTlpgH^f7_hk~mi8+0FO< zKd@URw&yWhe3D=Pk#joh7I6QZcPwd5%olDqql|CDhc5IqDwMAYkqwm52ypnySJfT+ z?Bd;KDO1%D(RG!t-eu*m{pS)$$_){D*#5b`*8RcnEN%X+n=VxSdF$jYy5;ao+aFIZ z+aJ!^F0<iG=cn2KV~yljS(W{KC3W`Hf7LVVFaMmKRyvQZUv0-@X)!xPrn_<0hBZ@D zPCUKUzI#=lbg+xOYHsxV3>m@FFE?jjIX=&hUv0^|UuCiRLK-cxeSuHcWq$GaZ!pEB zD(8Vz{PDBS{(n_@)>?=48MK{WywW@Cb>Na|j8ngG)$T}r$-VRUkI&cMH}xl^zrR?* zyyRQ;%hz|(CqG_tL0Nm&$*kwMcC~-E)9A4O;I#btj=%ml^l!Vb+U~mR)pT*`)P45z zoch#TbyROYw|O0-X?Aa8?!0#yk8CfkS+9Qh>4|s#Zn=-ODzDml9XWNq_GZ8`w~8sQ z_901Gn_9BwyL|oh;8b?SLM2v9rzb7`o@(;b-?c}UCaT-rpa0z0r@1%%-EH@q*3nWq z=W?={{#E`vuf!N1H*xxVi~A+ceO711(wQzg-k!E@<;CVi<w=*H%P7X}kjqL=7f<eb zrg?L*<;}-g!hbipZ@)cl&f~o|r)CA8ICos<^xsNvgR8&SnJ!fb+j=ZoRw^!Z%|x|| z?WWqSnwNODm7TWjnwq3>?&ep6`&nU(YaadgG2J=Ma9h-bI`LJ%E>CKDdv(p~<XIjP zSHE)2j<wGB(Jg$oQ(erOm76UnG$EC}{+6-JT>Ck`e3Qi<A2^Y@Go3B(!Q3@Gf2>mc zKi%5CGS~8f__9fx#r8Ta4B%Ir;J0$R@wA=4Z^~zsHuSnD{XCy)l<T}h%=TfU`4Zce z6K1khr|b|fe=z4vl-Ez0y2%#}zPEdE1kaeXdEKHue%sD9$+@%rmYz$0{`c&JWmBIs z+*P_}5G|iB(EdSl`3%WNOYVKY8nkWijhBIn+sq{ob{Op1-(7fXDgVaV2TCp}_5Z!a zl2P=+XUaKeommmd*EynhEm>jwT*|EC>gx>~7PX$(bMTVRA%lzhx7OX<qkhO)b!kAi zsLLyjo=gAMwukomEi}{Z4AnJvv5#MBC3jQ3ZHe2Cs?A<r&!i)NSU<l0f9F=;;69}w zKHvM?M_E2)JpJFc@64WEI{X!eF(&WBA0AoLZhFYBWph&7fv87P*LX5jVhY<6yIdqZ z&f3o_m}$I6a7p>e<C-;T-Se-pYO&6j*zL}zvF#g&tH$@Zo$QH|8s{d8ow)Fat$Y8K zp1FTLr)|spyt?n}W%rr>md}E2iJafkbu{g+#H}2e$^1nwj}kU)R5upPTTvs$694AM z(O>Ioe$A7d{*+x_J9OFyZhNcpkZbO{iX)DmcvSn(`^JYQ<%`u@W*J<Fy21LL)qCU1 z<EwbjS~IC98LfO8%*3=M^_hQH&+^R+3PhOW7|vMEKAb7FHDq?;!jPcmf*%`XUpU&X zzK}Lsw|2c*ly+Np#h%><-UptQzSe*D%;UKa*S`5}@4Ll9M~I12_T#tcTS^JfYQ4`D z&rdnjsMq~_-XwjwhP&%`@_cfXaSz?)qjl22{KT65^?b{{IF^0lSB*-|VpW~&J*n>T zOzz!1dL0W`r|)u(i8^5CKhH8*@a2>pFSbo6^n84Z=TO*84S$}iH$z0ii~^Pae6qdu z`PM>{BL?$Y)-R}6@mag`yVUpJYkHRL-F-EyI63o~LO@IOe#OZ$Tbm0tQs!<GS3GiU z<C8;Y9cnkIEL$~QOl99!6K2MOX<R{vW?U6#<cqx#vEIn*?jqCvjD;C5ez(-GYK^=Q zcs2W!wn9<X4F_vyAx~ku%l8(P9qjt9FzfR3+O!L9w()^>MSWlYT&sU{tY!lvbNSul z59b}$SYUkkPweOTU`NR|0h6P%_->ta{!!xbYL#2|q)1kNu6l{|{s+RFCoTW@$*+o& zQ{cd__d;ryt#2u_vp=bm)Bd{nQEu5;t!|_1uD@2EyzuMNX>0Zc_mk9P7kM??FZw7G zc<!v24;x4N+OU`@n>QQ#_Z*rc@M&s-r%0w!)8mK-OdDj6skndsG2egJ+Pj*4a~T{; zXA2%QG7hc3Yrfz2^Q~6h#AL}j(R=1`-EZ_Z9(CK9-Yj49s<CgWVPWURJ>ND<uPxnM z{^0zf&^^Mvdi?QvcQ?%u$UBl9R<<&}`RCr{g8Ws-55GE`yZ6&MzJpfJWeqnji(k#v zCNb@u+iEjY*{7wC-gw-eBfl}W-}r2}jny83H60Rv3}a($K1=c3Jm2XhCR=#*$doN9 z%X*4W^K5;nwOTDspGlE<q5fGG`_7pL%R}~?X#5W3?N57=W%#{uQN&z>cb~mQzcMa8 z{h24;NT5Mf_N0~2k+2Dw&OCjgkMAC7U{>NaKkcZQaN1eG>w(~JzPc|g-|Z?3o}ZPj z|L|m<u6I|=%1I0tJP%d1e}B1AtaCeS2@_-gzi*AF;y)BuJUm>cDW<-T>ul`B0^{`6 z0q<VyJ^wVx(%n&$zdEY()0xljuAW&i>)!QkQ<=QiE;$}7u&VB(f=A!CuI}fy%D0R= z7-lPP=x<&8z3ixwo4MtVw4x3B<xi(PoV+o2TKE1uCdnDuAvv*$&!+}&sGauHuWOoK z5wDV__@1YkCUYI0i|kOJ)v)!#wJi*O8YV{z{ygKan(^>a`nOi!s^#aooE}DR@Xqr2 zz$cX8QNCDmMq|OgwO#yg7FE1<aeUJ%X36+?aek96)6^s>?oVGX-L&sq>9sB73y1ZS zfN4*Q7k<8NWt|tZgFA#{lY1^>6W>02zjJd^k3Y$dm?2Vk?4&Tq@iZa5@AY+eR_c8g zQFdefFMIVysEw%ZBkObjOTS9$vOc$)5jyLCzQ07}<vE?6!WFt*+dp<cT_Y`Wu)bi! z`YESHIJQjLZ&qezv0r(|pRz;wVZRRvetKto;{M^22lZPls~&w4-1J=9KJQec;zZtM z6MEv40;c6{S`*fM$R^|OwZNOdO8ee6`^^vQvA=ip=*p}7_p;eme0XwbLtyT@A8&5$ ztGt$2%{?`cllzbL1M~XDzR6Vy1+O%+r@dSMT>kH&JDPbRUg3ek#|syzb#S%EXvTVF zt#*C&sN}*V#;5ncZ8_rQq-ERHtK_j^pX0MW-NFh%=M2}*eyP$UH!kH)Yr6dHySWMH zEK4SzXCD*abG{azy?I7)#~rP3PNVcp>t$E|R%qP}+MkvE?dqO0o4Jb&o@L*^9wDoL z;oaUdv7IX!)LhRTiMcUh<Eu040^~JbdoH;m^`+{H=-l}4f4lXb>#o~2>t)BOzBP+j z;>=iL&I!Jn<<wHr!gy1}r$F-$=TwEdi(gMBTyoLaa60=bqua5~H$TkPSols#NdM5< zy*atp=U!YsgXP<dH|LoP_IvH#mRs^@(W`fPb05skZ}}lTU2sk-tK&_V{h1T)hLm#F zryO2hbETt{!$0;<^ug3wFE+fI_JBXX^T&ckKiP|f1C=&wOpaM+(AjynafY;B>GO_& z`zz+NZcdb#>~z*S{D+R4Fh9q;4XwPB6aDxa9++PjZJZONSMBgAVD3sMCbh~F(;u{O z$4N-%Bvv|pde!o(!9jMJjB#ep%;k3;Ts-xp<@l+T@=$Lp$N0iub^BIKc*3i`f2+>E z+M_RvC!eZ4`Q6&1@5jjk_cejfuDCmIvwrq>e(x_YLB+4Hmp*uxGHux*r>|RUPPbo} zXJq+)L7?cT>eKCk9Lso`{$=#D^Ce$-eYfWZk1%hdLM_MpKU3e-Ex&PWO=j!9l*B1F z`Zbr$?`(ejk0~>nf%Dtj7oATE>H{VzFL*xdb6)VX@c;F5gA)@?Y*kq7&MUbtcbc>& zhM|vj-=W8xRyxA0wxN5h!cL!Z)l`?P^9!Bjk+$nVs{-36_D$?!jMEtVSk`@wTKAjN z{ZwrI|6`%ke!cgfS1&u$_|%&@D*nr-N;9g2Dj5oiNO&}GZ4Upia&q)dlRL-H&8j?6 zcu<C4!I($a*ylx~^FbN;v|Sx5FWUZ?a=Ul0&g1U-<D0Xb4bp#m9u9ePc)jakcg6iR zUDJO2y%jd^=lvaZGpiPTwTMrMOPzRlj+lZ9Cvyi+>?X6^*w4Q+GxIi{+Le)cujp#C zN$R^fasSgVmVLe4B+9WT>*fX*hxV81tnHHLB$M97eDYk;bW$?wjSr{vNtWHcU-!-5 z`RCitoSp+O@~4#L?b(rE|LMqks}m<8Hq{*dn0>VK^})*1aa*(E{GHEKo-nh1>En6x z_pJ{ftqYyLWnEeG`|j_J33p?fu71*=yS%x#SDN$D=l>oZlWxafZsd4%J^g@<>e;)K z{>o2V67=t|U_=y4$cHmKJj6dAzxqja-Bis#>epV(>Iq}9m1>bQopaXgu&&U<eJmUc zE0ZqnsWP&S%5XlX)bWDRW2wDCk1cyzS-OdaNY^qG%Z;Tw9S+X#**c&1I`7BDOx?@> z{I+a(=(hf>d-qwk*#aSbljmq!e*719&VKq-G1t|9KCyT#N@$BbC(QQDmF0<b`1Z+b zdbZ22?k(bA2@3t=<2@zZQ+t1N(=nknx{uh_Z`p9s$R+H_j!DPY%{}}5Yr<>ccTX<f z)bdqI&%b`GdydNW=e<#r56$VBJxyJ4-liuxs_rWbwKn~qAGA=VblWE3tZupVzm<z0 z#+mIk{J(qinYNVVI>&vxc23u}Fk5`i_ucK%?w5I|c7N_v`F^S>xi<5syujLo6*75T zR#z;(%RM_LZx~*weM6u+Q-XnK#T!AvPXgu1`bE#zcHPM3nfWH+zn<PF-e2WxvQ|1N z6D}{@_iz0orh^BnW`@e%nmKX89FtI;6ARcgg&#Sv^LPFTp7m<0ZRsse_C|+=J^t65 zay|cAmMm)cuwv4L3P!=+QboS{W(P)>za^qe%t{5kHY{!u_}x;H8^x2kKs9SaXVSr1 z=NEsxW;E@sKGd`?QH0&8^iY9V#mDBlzS!aw1#K@D)cGm0yqmmz-NA+ra<kSf?Ut|D zKQoP`WAd(;X3jI0X0N~IHT&mG&ULT4CzjprP2H!V{zaqU^2uy<%g|$!-z;%SY@fV2 z*KJ4XUS)4K$!n+n-Bn_bDW7(B+Fvs{(V8z_ytPTpjERj8zVRy+%qV!=@Sspw(epPC zYm~~4D--Jf_^^w;H{81V@v$ye2`*QU0HvB#<&6pl7jo+-M*H2~cKksy=gu0d)Mb%1 zO22phGdo)q^!n?@D&t(+yv9mynN2lnKh8IQ3OSco99dpxcHvWK)10Saz4E*=f7kEX zzU1KlyJv41ufO!BrYq|86hX#jPC=%3%yuzB9R~MLg(~>f-6*KOS-I|dl%1mOv!#w_ zBXeuAcP89=zGwHIOOA8*-m5E5QQdI&)y`#X!hC!t#m;lLiXJX1k2Sn$l6YXt&5X4S zyLYddx?#(^6zNB+z2}N7=AU=cv&iuGz5r$Qd-J~Co$>77hU~v9e^>szx$<S*?_V3< zt=XEnb*IOlJ?|c*{o9ayeaYjOdjf99@4hw7cjGpvEkX;YCK{~BSh49%_`<_HKWB!y zW+<`pq%|AorPi2U))C=6`Xv3w!y^vuJ9j3seAB+T{PYd>MN#u#yl%g3c!1X^A&8~r zuT)Bdwxgh`gYJSxeibFN0|ytc&Ims^|HHogc}erun7KIa+ofZscJJJetewGUttT9G z+_x*t)YhuPqS$W2&+z5e!fa~F+k~3*JsMq{M1z)Pt~#rKqB=U-a?XqyZH3dH>Ui9p zxXhvD!VR|>4m$$czs)=>b<$~B2ZMqKiwH}Q;-h#5FSC*)2?-Gm4hB0RCI-H;6n(on zBAiAp8|tsOKI7WK{=D#D?9P|MdDGXZXsulJEh@atcfpa6b&uTq#9xSsyz%(<xHvd( zQq5<(mayde3h%AjWZ(RA{xa`L)vPOSm;M!YZ@F<UtWTlp!t*W}`CI$Tr5Ct9eSckB z!ZznvTVG0$#NnlZzdirUsXN?cZhCLEJ6}=h(X|(yIeDitJa23>-Lr04h<?Xj!Oe2X zJD2eVia(M3^4p1jRq4s9j6-4zued1O&U7qZ#oS&V{I9xaS0F>8?8zIK4cuPcJr}I= z_|Ap!YN<CXCtcLM*pc-8<He{;MfY|Te>G}x{iWXNa?<<CDhKVflJ^hFHqZaYH>IWG z1EZ7NvM*xi>ZPT%4)9wi>EB$@Fu`J)+oPk-f)dFK=I=J1c{OulBp2^4Z;yO~Czqnm z_bp!$qowg7S?-NBXXR6w+<cR+??HQ8b)G!Z`w=0!Z(Uu<dztw?8Lv%+qw+Si9NYIk z<X}|j{^YCw_toC~#bD}L^~)sa&E52${r~3cKAf^4Xzz<@IhWI>8LRdh-PBlR8<6vG z#f-C6f7zGGrTecu(ony<)uV;|;Zf#qoF|n^TA$^YNl!@FvTymJN>;<#Qc;Di&cSX! zMCN|paOC*)U)ru8eygvUIB|Ddgd4BT_g`1f?su|K7P8uZ)n&>zeo@AWs;j^KC|xK0 zc+sWZyf+F2!aluODK@)c-X5+W=Whv2>bO1q)sj1(S6_X$YeC`W-_y?di+?VezJB8c zyNEf_Pv6Uxo@&$kxqHKkVBrAq=_|sR$|ig-&^&XI^(kwSgc|2jfkq)KpHnMOWu;1I zb{0LdJye#pH1p)+2g+GiwmA%QBDfb#?WsC6WA4(}U2-zJ-XB=iX|Gb9wBc9BZf(aC zBGPVqx1VB{l>NqeSjmp-gLjqLi7H2zjmnxbs#<eb2%q5H({|y4>z2(ze@|qXe3-H6 zhR<H}pv#-YbIzA!?0F{Pt;KbvG{wuR|L?A>ImR!vqGa}7KK>{+>~f07%dKLQSmX_S z3%)B`t$%j-W7+m+7w5Pwi4bsDdF1MZ;)(C2wD+G?P7BRfee%gsS=(H*C9uhICd+5R z%~nr!pS~4&TgI7RGPClm{mr{Z|4*z=i))?FamK>D-FwFAWsB4ob!OSxG)rwrn(J^X zrMOb_X^Z(D0kOi9(%Wiz7{4d%pSbz6WJ`^?Lk7p*8P{V~W3)??58c)|_3&8WKedlh zyPYO;ayYbgHt0(UFA6zWaaz;)(Ln*FXH13LuCLpYZ~5iTCJD{vnCa_1H*Y;Azlt;M zt4M~js;2jX$x?-P+PE+3TeMp#lwNrHFehsJ9QLSle)Fd`|FN1-a^|f`dY2{dKTWom z+t1#Y4V)RfK*Y!Gf|<~hZ&5a9o_e-?Tjm>G{r@S~Ip)SpJ!J`vAB_4lwNyA}R{L<M zpL9%_uvPw{pKV`{P~5lR{#mE^UTlipz3oL??WuTQXV#O4f2?`EY+<Q?%DKl&BL2jd zS8d+O<hfhA=FH=F^ZhS8)JtC?^iSm78;0lYRdZ+fu*NL9Ed9}JW4rsK<(e*ulMC1J zN1t<QVtB1O<>Z|Oi>}O7IXqL}PP(+{b)@yeBpr$6?SZ}e=Ii=o1avN+ld)LSBy#OY z)y#s*<caPlT{{{KUaqP>Wqtj_>~}FYdp|^GuhTW$BO(@h+-{?h&xBQ*6*!LDxfI@X z+tKu$X@hB#>fr-hUOv63AGPPG0b9*ysr0Lkie87+rXRVjQKGeZQ*rvW)E}MQ>VIzB zPx>_Pbb4*51Dn@{BYcM>nz!nQTg>?7{ys#CchmM}@vR(I8`hSE$*t{Dtd}@_`u?>O z-L*mt^QYR(<f|)tB~bIR{Nt7>+I!=kPWia-v5}{8h=f1$6BVud=97$)e;!(Kbz5td zcdN+;yEVQ_oD5b!y^>Fzxp;upg=6BR!;KXe(!QN33Kmkan|xD9@C9R}<NHH>4`0dJ zzO}x7ZQc7&3j-EzX_eV|UuVcN2(otj-mZ)6dZcu4RZiuznB`x$AB$}jS<{ld>bEqP zgIw}-=|gMx{`z!n-#pQM<tbNtA9^_o2PJqm?)h~5&ZM%vEBZNHCHu0wxjeZ|xuRq< za(?S^99_!bFBAGi(Ro`ox53i5C#h3KUe8;UVY$_ZSLt|GSt|F|CmP!uJ+Hqp`tBX? z_tVm}&+C|D#qX1Oy+=CsIlQcLVzv<4dN}T`k>smmx4uQ5I&dZ@%w=xV#P~_~at+Im zX<986e{v}?L8IcekN!d9ovh5_GShE!-12pA-f8Ht<i*Ob?KThG)_!;+v)o}(=p#wT zYi*0lS1p*D72Tc4^1tcpb!(BCMf><?D^9HQpH^`?uB?93l%26l<vJ!lE?}=b7HVv< zi=Ug<v~NqusU5L*ZI=eiZvQd4a^;Pf-xJ-h3ngevz1TKUd0nvG#ZOz)pC6ys{#fhH z9X>O)-X~X=#ZFUgvY4C0e*9(gdS$Ot2iwnH%a7i<adl#`O4_Ou&ysp7*&d3g|MO9J zW?axD88~l+q5%VED$kL1v&2*suKtY^77GzL$hPhL$>TwbGOy-%NWai^`5l&X>A8l? zQxkUH&qf!@uUOeuEy-CS8`s(1dUmnKhU=O?mTw#{ge*PYM0wphu2=hjx!?_HB0 zHoSP4#?$?h?-BE+$=ke6?KD_v@;;PruR?@kwZ=Aa#lNrKtl}y6&*6!@XIwPv)#sBo z>JOvjgy(L$e|%!yj?Y%2Hq&aC7JOkZsM+s3TR-t~Ad|3`gyxeb^M8p~V!gztybgVk zoVeRT!y%OG)b5Y-R$KbIetT@bd>2n|<Aqha7qvcouK7ImjN@q=mE=ux4rPa3EB&`k zb4gFQ8|(jX9>+HynOQEEyKm~&3N{x(%P{@_s|~hxu?gkcaeK|?+ih!5em{<>F2K|_ zzff&`-@(2k=MSuzGyT$a<_qa3^tkWUA5C!i_Qk`_t~a{FFUIy+ke>ggHA{HzcgKGJ z_9~P2oAt~dzLW>j+O`%_e7YgOwio!l+NZbej-`Fno@3Vz80G6VT<pKG;l{}xImzEI z44Vw5?U=WFMP~m^jX8T2Zw2<;SheEMl$!f$8=Q6O4_}(cownwr$t1mvMiI*UL~aIV zrn1(1W=uU5YF&^#BRgiAdE`W{61P7E7GIt;r*Hgo$$(w$ec#)Q@i%0aAA7SdQQ{I) ziK<I`qlDYM8E<sDyQ@#8R9>oR3VQ4P?q_umcfPO5`kv|SDIa}*_N?0C9G37p;ac>L zk`Fb;&sOUQuCDvobt~;~l=DXQBAMl1XBYVjzRiy+-ZU-K;hoE=w?BK$mVXR?8uFYo z;x)S+-^Ii=&wq8uG*7F}kL#CiFpYX7c)s<xuGFLF4|m%{6-YdIF6R^bUF?z6^vb#0 zpP4^v-_)^k`3sA6hF=43OuBF<G(Bl`u5@eX=1Q5PoL7?gO$zoOx)ZXw?B_Y1ldW!U zsoIR{u_ihb<8IArW_a`Sn}>y}jPPG;fo}avIfj=tJUHhsPjo-!7jY<6|GU82tT$=) z_4AkJg_V@0M}B+Q{BPZof)?r4BiV5WW+c2lB#?gRrNNiFr&_$KHcG{*%>jorTQ(f^ z5}WZm@7~MVj|%p?#{E%?JU-o-V}0eM&#QL?3#a@}5)&vteT0p_yG7^Y$Gc(v6aKGx z6ZYZpq<hya`@QrZa#)-1_}F2gDL7kMTOs$|p>_WcDPPo?`Q`BHq>Z_crOsD4pRoGy zGWgHy5|N_yZ?5S#KRpt=Q-N82@zvJ?-<n_PBs3XiKl!+M_Nw{w43__reP{LlM^owR zo+IxCZuC!HR{WytiA%Ogg8k9rJzKs`a}z$n>nRX&{MGImJ$oN8&rmty8I>L1(|c2< z)N*QQ;wqg7oXz#GG|peSy!?&gw&h;Wxx@ayU#(zS{pnPxnX0|yojQpp+(GHB`?mg8 zZd~yHmhtrW++o_8R;QlKKh_{@eKDfz?hT)9mYcZC6y_Q25h&n0pd8J6Gg?ag)$#q| zpFaLL`uuE84Rij{2NGOf8uOpLy|v?s^-OaSugY~VTNzt?=iX0B^K7fSn0CJ|-B`Bo z>-nYjw<^LyYfK(03$(Spxo)NUMOxs%feGAGj+R+1EX&HbKm0df?Zenuo%1`+9Qd{) zAcA>Kt#zpRKI^<kdP^=}__~VU!6Zd~>4E)=TbkF|F{GM%h<&=p9o8nCxaQOB=dqIW zx9w+r!dn)7xJS2P-NB$&$NqoO>Y3yjYJOw6nw#O`tpD$Q<xS75E}NasackTD4QGPn zIbsv`#WX9Vd|O}B_V9<U*T!V|Z31eor#EG2{{QB__ngA>8X-pRKTK1U75~kbSQ5Z{ z<DGhemz0oL^IGNopA8)k%l+{vox0KN@1(xT$5o;oWSM8JD*Ihht}OX#c1yj55|`S4 zrKNj3ayYKJ$ck;KJ@{GpfN`DIgS0gQt1p<?3eJqJsM+P#eeg<Q;l0Ast8BW8lCLdu z|9bOwMPtHC)9K|K7wtSA^P~Jm+HR4THCLuy_K>+-qM~%~!#|eu?sE>NM%0}Dv$4vq z`=j$`vG!LilJ{!ezRt+I^~dku<=02c-Ufa=IQgQgPUJb65WOvD&uf;hcje=*eW+O+ z{{4Yc?V{SrkMEjSD&#zqH97KruK-)LhNJh1Z*`lRRi6CuoqG0H#Z%9l;pxk`3sze_ z;H^tBD}MigTbMJuLu~$CMYZ5}`vj)ybD8uVF>;B&r(wauvM}+^@2{(#{G5K^cFWF_ zqH|*AFL!=>R4m_n27lboJ~nre8?E1;-`(4suI_oN`u{nv){Mjw{@eQn@>Kle823!< z+Mga=H&rk+haoIA;_;KOy@78J7{=DDj@l)Ae6_@L>%#tvp^f*=l_rSFu70j~?cQZ0 zg_7dCAGQSE=(Ti8U3Ktr^y()k^*tPaFgG|oSp4&id7`O;<pE)#+k5A5z0^5#b?1{= z9YJYTE_G>dHv|>`Ggx~^aj#3Pl7bSmsI=#?SVpIw^%rw49u$0iVA3A3yz)0|YU}n* zoffw^#%_<~G|dzDtNf$?uBl;-uStC-;a#o&?zP3;o~28+-duWXLS981ugE9gRYpy9 z-Y=gEa|SIn{8;*>qWyKm*GhM-sC4$-7Jhj-U%q{MypgY3ws+FgubC>gt3=Me^t$PP zY@@7k;kAU1Z*PfYwI`W|$8A2%bg5BXN8qQ)ej~Oyei64GoNeI<elfN2NrU=Kmgm;3 zk5oFQK3m{(Tp{@1s_sDbbD5kW&y2#Bnom1d{GhFPkBRV{tAEbUmFzve?pHM5-#xLr z->jU`ayN+~^FciCq!aN|G)^72^!p}lUVQqojQ*>Dvv2!PZ1v?oxP8{;h!5+3-qK5X znl7`yr=KM%^6~9c?9w$NX9S;dak0nT_3Pd6s!KBEhtt({Z~6-+6n0o%-!fl%{ozg1 zmF~UUvg=o<{xYwlsSjB%u74U@v+eOZvs$y4wYMd&l)UOrW9j5*bV=l2xJ_Qkg8A6* zi3z_J*k*^wTr2ji4k<a{VszG;|D-`oR@e%KYCFfZZBKjeYDy?pnX1iudhVg{+#5<e zANkJGzqsbImSBnT6Vb&AcbE-KTHaOtkO{mXcTrI2+k92eX}|NtO0wBP8#sLgbLTZ3 zTorNBTATmrly%hynVy~Xv2)Kj?crQ%b^C5PlYQR}EwK=9@hiFSKAuj``w*4)Kj!9E z^Us%dEj`uDe(_96p|AdY>&htYkh}SD{C`gV^9m5yZoe#k@{ObQT-FmdNZjCdbWfbx zd*RsDotL@(vk7&m<}RDR$RtwT$n)(=*Qb{}XH-pL>b-w{#m8HBJ(!|$CWll<?zVmI zv`Op5k(sR#%WmH<dwur76I<cczIxTKWowz;S44SkoxtmwslC6UqU`b(rLB8<o&H_p z=F8|(5sHaa=bH9?jfx?c>q7CLF8^lDUD;~!@owh#+nM^-KX<<<d-uIL^lj#YX&pi) zm74Aoo(e`ET`cb9$M|g3<D4I7>=#{gQNJF?d}U*ty50f1H}hjp#ym3do10|2?BUnt z>4Ao~zt?Wc+gQMy^fdR_?E_Ysw~J>N|1p~M`sfb%bFVeFrk1QOTlpu{k6mAVMcCr? z|McZ6=Do4{o0ld2)Mvkx*XFwmqPB;MtD5h6s#1EpTck8$*OH9)Gx}3a-Un7QiOb0w z$#I#_{VE#36vuHja*rO@;<<(&^MhZs@kZVM`rU8Gyrp7XzUk|u)^1qT{`xbAV5y|I zd+i(><?WL7(=)H|-^*H`6&63GY2Su*c9(1niq;svJJd0^DZV4=;8*db8zRmp?y&pm z_iR(kS0RP>%YQ8C>*D7s?RB!2JESckc4fc7Ji$&Lxr4#S7y0oAa2<Vr>ATzIhL4JW zqNTpDDf?|L>|ZYW+_Hb7tb1(7%Fo}<PCS||vN74ziM#hjSju}2zU$4+2OTPxu)C$0 z?KBl;+PL?KsIT$5d+8r76y;C%=DE&V_AL4to9hgTD;k})J*>=a8xkh6T)N%-_wmiE zcdCV+E^4To9%-KYHzf00p0@mQZ&%*!f;aEyn8&TYoO)8N>z48S$xp60uk`IY8!8*@ z^KE)p^yZC>+pXSj53UNDzqDrGzU41mC#*bB{%7vaRjoDJj<ZUQRk{BvPn=Wyr~7WY zj&EoB@y=t4ktP>*tt@^mxWc^hzplS_69>axgZZ=X-Z5%kr7~AEq9$d_R%_jbJ{z7) zPD!izDJlIfjX#~8MJrr(!s4|pr{0M0Ens&)_&JrKQ9n)l`TP&6(|hL0s9(RVy4ZEg zY=un;9M|UYFMi=4!ZewQZQ2}1bH;$8Ms7JbdAsHh(wvPFCf7|)&O9RbY|6w)skwdn zv!ql6rM@h1Hubr-?dtCMzR$Oqt}oO1R#YF&UTm{X`CgyW{ACY+ip8mxCGF?GIA_-i z{e)|sTzm`s_d1+aJbwQ1(%Drv#kIdUtJ+RGRKdIC=i8vrn_hOSWU~Xet8)K4aoY1d zTjtB(PaoH)^?dyOa_1+nANe<C92ZG>(bs!omHt=fS=U4oI#!#WnI$s6@JiRC4VDv2 z3Un>@O!K^EZgbvqzt0tC!?PzeBz7*)<={08&`OXnFgLEOSI9UZvc_x?*U}4JYHlAN zzWeW`BF?GzKCEHqUANy(6Dxa@A2@w1X*sgYV=M2P7jm0T1sx8Zm5hpFp1=Ek+U8Wj zsi9RjWR9?TrcQEYxAhlNUBk-XIMXIWZPNS4+Vc4;Rt7z~=-{uKGOh5JZG0)?xA3#t z3-6ozd8<tMctgm<;9mWc2fhqmR$R9>>MF4bzbwACC4WQwwf-nZ2CwOUM<-|2HT<2z z*V(_kFe62H*@^2{?(J87%l4wZw6ImdZhqce@ruq|BSAC1?8r^eHF6gIKYZbSf|r7D ztgS`E!xgDt1orH_^FlA>beEp}wqsLW6Ytm*_teBkzSmn)UFezp>z}zx$n;dsO|>bD zrT6OTTL1Cxk<iZl_C%AhWySG!ofERmQ@OdMtOTa8R!cbdr0xiCD!E+dGC%Fj$Ms@f zryXy6OJuw0Cq3!x!If>RSWj<AnE5KlF!0&4ox47NVJc?MF^><Q$`*0FAX7l~lme5@ zyorD0`0GCVOr34DAzaN_FMFC`7}ukgXMbmM+$&mFB&_wzd%xF#i;p7z9<OqgGM&qL zcxJ-h&AUG38*IDpA!zE*v9YI7PUdL%-Q(-dIsK~IzoNtFsD#S)P3L}nJYON&dcR9| zs}`$Ce$SPqlNh(8tF}+MvGbJkDy6>*mapHoa{jz<zN{5LjBF0rUA0X)mmaTvqwPT9 zbLQ}7TW@iFL;ruVr`Osu7vx^P_^Lp%#QjZT=-ai&cFiv2`PA%w-tFzK{emo$cUIo} zAynWmyd#irYn<h+4{<p!Id^&73FPlQ%W}p4kTlPt8Ilj?@0z&h)rD657YrM&empLt z6d{-J%JbR5Z7<$BmpQ*owq9-E*q8k+CwQ^K(~|sG3w0hduL`+ln`u8`svYak#8u7( zd(KSRSm|-(7?=AZN1l@jt|t$fT(k9Ii9bB$?$_OsT*|&V_BJ8U*VS&jG5Juvs`-V& zzenG>`zuUZWtPptW#IL&rhNIFa?klcXH5Ge{3zppl(Npg#tHVCwp;8nf9ieKxDjQv zxuWgtB+r#AcBR&D-kdxsEj?JLZo+l$onO8<9(x);|L<M@&w3hFh8vDL95^+>;Ci5H z)4E0T4jd8}U99#fV8XPl?miJlHnCW)u=6Fq1)eQXP+p`{u2{Tyci&F#!>YzkN78LN zl0VAqyve^I!YTUH@;T8F2D5!zyG|cYke?MD7Zzr>J)G@==VP`hbJ(<a?HU`G&3?Ll z;Tr+-+b4K7DaY)dk=$;mAFF?Uj{0$qWQOB%Ys?kq9c#)|uDpHg-j>t-Y=^G7uJ}=~ zI8jO1S+l=b_@U9s&O#2h;sila!;m|9lF>g!Z_b^<vn{L0^48%^YVZG522Qt%4g8uL zK0#*lztHYa#v$w6-~JA7d^R<k_dl1oXpW&#vfqW(mfIv8h1?$KGcnm-{-5+%da-uq zqO#=M&pLDcDv}-_*`|IhB}rQNwwYhf?U^@@wM_OgIBEWVZ~eR2*VVgL?FuXXURU)# z_V44B@2*}IukP}&36#1i&%|65sJOn$b)7=jV)K_~>vt)BDbfD;vSjy(IX_bPu3T<> zzdM(+@M%a!%(II7wxwCpe{>4giGDns=f0_S@!hRTHzdA4dB59wm$XW=-;Yn7?P>K+ z8m5g*Wr^{RnU?SF<zi3Sf2B`cOZK_s6N5LKc=ni;*5AH-HMQyef{3}c-!|l(s^b37 zxqW;6zr;pOxozqd`(1b@D!D#tch)~N{b%;1j;CwQ4!khP<N9SNzw_1N<duhIwuQ0R zYEP4x%U_Vbh}S<haKCrb;}1#~qD|bBOQ)!APAOTv)I3mK_R|x^DKBfwyIMtA{O_&R z+hdcrSSxM%$|qV+rKV=-ZTalvcXIPR*_xZu8<%tXo#BjMXviqVcAI<JoxA&w&zNzv zRK$aAHQUFXTRYU3{doNBz4BU@yU{XY<u$w-HOc=LL@)2V_oL<awcTQyH<pDb#?>4$ zDF1r4)oSUXNOfheJsB%4TF=Gj&0D*_<%pw;(EDc%DmNGJ-B{$bb(8NQ$MeZKAzRD$ zO*zmqW2V8P^4hOgf0TaRQ6Znd>26olmA-IqCZ#r)r2=<dHXT{N<b1+oA+d=<n;y*Q zpS7f5N>Ojulw7A{Hv<x;?~4ETz~xJg?k>N?tv`Q+Z|C1zm)(|}{QW_!&)1tA_qy2A zetp<l8`<)O_u~BAqZe+>mz;Ci-r2f<d4*hA++PWyIn$d9EKeRhDE{^Es}NJp6xD4P zl&+~3dlkDrXO#7{&-$`0c}~Z^TYj0FRhb?h_lf(YdF!FU38M=0UE1!8nwFWFsg|;e zMjU(-=-K}>VpaJ{rn@~>&tEPUZ{9UAFm3YLIS;h-rG(N>?dW~J_fD|MR(FHjci)se z&Rwo@H{tqcZh8OtCTkQYdoa4@TFjbgvTllZ9$UA2)l;^^7x=%Wm{qavX3X!L*7w(2 zqdk$$>W^sY6Xj)hr#(7RB3rL&b!GP6<)^F`*xY{BBD3O|jlraMrZ)RB4NfePJLh^* z-tEMUIIl{__{mDvw`8`|o!gOac`3YliT3(bhRH&DZ{(x)buLeneKq~^v$GzRd)k&J z%2x6Im-3jq;nw9KmAE^XuO}K;`&xZHy6g3<le^ADYtLe2nzz)j!S6v{=9{<u7j`jz zD!!W@onhO$!>-IlPo;$;cIHpb%@xu;VaGd{mq+j{`_SZV^K6f8vww3_=*8P9EQ+7k z#^gjUJHq|(={xP}tn$!#zjT^}d;=X;tWq^Q(cbd@7W*w7E6M!F>{s;_V`nqBWIdj( zp|$qt>Hhnzq5GvJFTBzCKf~n2wTB^UOYVd<w+A|Z7oFiG_-03PK_$=kq$TIKJvvf9 zYo_6>{EAW+*Ou>-J=pcvPslhtt@O|Xv6CORe+)TlEOGQ_sNJl^2@icQGS1qm<=x#~ zUr-vfZu7E-3#KeNo^trYe%HIQONzZsGIyL`%^qvs-ft!N{fUZ^`LQn&Hj{RSEu669 zxcH*9nestyzq=jUIbKiQ%<bl&?QEvcyEK+J=H-bumyK4QP@3^9BPIC!;f?*Vj$vHs zs!P|_H(s(fY!>tQJ^Q;&^t!kzgBilnJ2L*{bTeezxSW#j-g7;!^_0`8%@RHD|IC>b zJM)Iu?#<TLAD4J(T?=bn;r)_5zh&m_@aKolEUJ*_JI<O~=q7smlKu7#qPK)^rFJ<l z2r2pL+;PuiOGNLHS9M!I_nA7Fe=jf+z9DNoldm`0%Ru({iZ*TLzh=8nCUbEwwpbI8 z>frJ4VT0tWc}J#JsJ}RnA@$N}(u&=Bg~GAdWxA&vbE(`?9Qu*{)eq+t;Z|Gx^IWQ} zra3Zj797r<lzuctjBnri_b-00JXyQG?bF`vAD0Vj-nBa=?sE5~ui7TLn9j_i@(otb z`R99A*L>XdaQRikj#YfRAEb{}9=T^1B-vapB^Q^ju3wk>N_?9BX|A3lJ+ti#gVR}D z?33<K4*C!y{q5Y0M;6D@t_LrjVBY;@@pZ}XkNxI1G0aw+zHGBdI9IIKgiTF$XaDja z)Z1`yMtO*_d$%jE_S%p_IZxMz_DAmK8OH9+c(<nS;z66$5{<guJEkbOZi(dSun^&N za<#NJEo|Gk=wpVp%8V_cI#J7%c&fNU=Q(|tyk9(lP0&ziQ>m~Wm-M^(-#HzJyXH@S zBKt_mLV$UJ*7Ub>zh+KOl*%}M#>+QHs#5o{-pX^AKF>K8&~^Ewv6=PU#*b``44qt_ zIk$^+m8$BmJ&dp{syDgzB5bCZqAXLV*3zw?cK$B?d);(L>U6`bZ0qdJIseX2yT+o% zY|h9h$R)IDm#_ELqtDZ%7Q6`M|0218d)xh~MK<wFiKRK$*UB}?zFwZO$4A1;)Uhs+ zUo@zDPtUARy|Zlp?JoT_FTUW$R)_lEGPfh1oLHd1l-l_5_8#_4-&+MD8m**v6veP? zlwr}h<SKaJfvLZPJBJC2)V*zqk?z4UO9F3){JA!(O2ywmCPsGkoMTBQzMFD3r5@h@ zcumyp;}eyAuPdvocGzbG6}*ewW|4OFt<^WS)~(ZH8XB5!`iRX;w7EGebFxWFij9rZ ziJ%ut%3@k#xx}q{E^7;&6bj|yIenv3`H{=!45_sleIC5tPj{`J@WZ)IMksxP(~61$ z2^}BaA5lF_?Jcn@7b+SU*ocUEKmV?Fde`gb*}`2-Z{t6tU2C}%dyz}+#f@c0lbF1d zlzA1V^EfP-GI_$^d}}4c>FbwR8JQi9^SNg39R2Vr+uy$>cS^4K+cA|(@Hie+za|tU zxUpMQO>{}fTPxoeFS!<6d^BOknVE(mmG4b_>MxXDJh4Tf=#$F|MhynmNN4}XRcTH= z!t=s6eQaRRxw7EgnYV`?-L?AdXIuLB$E+*9(n3zFOfskK{Nla!Zq&1sS1NOFN;!+Z z>MQAfll5WiIpMS6AH+obul6igTXBsqM3y&e?XNZOtL<0*e_zi~+c!I5zwd^}%a%9& zJtlPH<^DXQ?f#c5KGplZ+-@zj{jc@mi|0#HgH8(ioDJZZaGLSN&ZGk!|Ft`xeOmD- zLnLJt&$I>i=j+~1IB-NNBG#L`Ka#sedV+XEX5sdiJAa;kAF}X=*C#{qN&mZMP3L#r zv-8il2(RZMS?NqOH$1m-w|9T3fBiq3hPQBH!YZrfR_hWze7GU~Ec9rKFBjXcWbP)e zOed};pT*}yrSz+1FK*AsHIuzic&2!60!P7(g#i<;MQAYEo|`pU^j_1acPw8ll6R=Q zG5MAx)+r+F?AhV4%IRN6!A+U%k#mZY`Y+88aEtBVv;B4G^3E;VH@`$S8b0HWIvg+g zDo%D@mM>qRh~d21|JgXtJeBnNf42U(p1Wmu){cWpwgpoIZ<lF*&Xf`GSQ55FV8iX$ ze<yDjPTg~I_fPL-agDCib0)U*dkJMMzmTf=J-7JE#FC`h*YiJR-n<*Qj`Kp>%OBq! z-4~79$Ft93x02!ibh*aR-MhYj%MP#9bZ-w{-FfSOZNi){hJP|7CrcRI-?X%H_kry0 z<J%Tk@+ms?8gIMQH7mUJ=trxiYlK>lo|v>JUghj+$+bF5H%0Ayyn9Pu!mJ%1clh3X zw9Dm0#ec5ysdqCuI1D*D6qz`fGK}A?bggSUqiG_;;SypYA;Tn6<Dz1e5~5OJ!NS7A zBqCCw`AVUxYRiU$PNBj#Kk$4{eK94t^j?KybzK_!+@)pzXP;W@^kb`(l~lxfNfpjB zch2$JPk#G%_tp>F|NTtPvy?cp;LMsVQ^u;)hjWi>pJ-cn@#rGo-y7#iNbo9MSei9Y zDrD01e=FS{A9piqPjf%>Zquzz{J%W;C;gvw`uwNICp-m%cF((eEyY!6>SslTEsVlK zM{k$yOnF<p`C7Na$K{iMUXv5vKXF-R-TR4CHXJTpwTl0pdCj)J-J-9Y&Lm#CcTGw% zNi(loi8pq2*Q_UMva-pGmxr90RPghK;Qs@a=YJkZ*t}2Tp>3hhq#ZRy$!|mpLxi(b z`(6H>uyE@9=*HsH`r)?I@wn8CyIBoh20TqhX)DfmI-Q?WCb+dBtaRIAt=QW#`DdqV zYA&_uZZno}sXS!BxMreKjzg=+xxGp<Mo|fja;XbUr}i1Mcv<<{nXLM%cS-0u`{Wr{ z^%Jk@DyUWIFkYO;?<~SNZ)RroqS(Y0ybP1hAC1+rv}>(3Z~P(p{@S^0l{cm5n`IuB z%v$fV=}y0vEN{b^3n{)QvS;|$*16b59M3qEr+jIl*|LzH*N=DX{XG3nC!>r=sln!# zVtRaUr`&m%7^}WsxjZIwr(2GFVVmsludCZwdY&=aeNFfN+~_+`JbZ3Zj{ZT3+W*t@ zgzsz2{u+97eSrAecUzYHT=JeT%+hUtRP2%Ln-4-SG`tMi^dmJYH))sJnd<J_UsIIo z1KumV$kaaQaVcrr%x$L?o)}M32>$taPS_NY9aj(Bb9c*kojG~sX_hKZw~*fo-8W6R z_&Hg%x2(Hg<7qe5%vwb;Re9aN0zHGRkNQ5zRhVp=r1xg!{r%A`#@E7>{#|Ji{P4?i zJJVOU)j66XIv*KRqz{-BUfX)_vWT8xa>euM<~lo1@6G+3E%nfYE&ZwBNw<?y#Y$#M z3QvNo&t6$3-Dz+o=|->i9<>=QUQYS1oliGUDN6msSteFL@q(C(cG2m)r!zNc#<0&! zvEM4RQR49JFE2k#{=DtlHmwD(%*&&i`n0|p?oSeL_u9q2ZjO(!REv#%TTF6hD}zm1 z=T@W3D-4Sk*&h-)HrxMAzRjmeT<PkpFF2(WS52^xad+2=_gH@P<|pI7Zu)kP58oNT zmhQ-O7y5F}L*V3^9U^6pT?-$+iTt!AtS#w)@x?i|E+Vz3Z^eF*4B!2(x_K@Wk2^o( z?uCwi7rA7Yp8UCY*%@1AiPqX>ZTqEHy3giGu9*Gl`P#V^aqq&t;$36BWnI%>B|m?l z-($8+Ehe*O7I)0Tr+XdDT0J-`jz}7DPhXaKs_M=Dl6zea$C<1CZui;s_?}Pd<5c-i z-{K5AwlCzqbyi6G-_AoPPMx2`RithD#Af!S87&`ri<Up_lf9#EC2?Vn&#{Q+ualHE zE_t+9%FM~6^ls^;Bgd|DUWztVcDCD_cl`W%FPke8N|z&2MN13kiM{`tduc_hxC7_h zu5XDaC#WhN_~asCX7KrI*S>8!*8+q$cHIzt&T+AsNh-a<(&oj1^*@@!ZruzL@8zAk z^>nY_Bgd~LnbtY-^DN>w1s8T6_VfHVW!FMG=N(5)*j5L4?|s8MwJ>D$vMr9^)GsdI zVYzeZs%O=&-pOA`W0y?Zx#^|Yy{Fc_KR!>LA#L8DSyFUtqS0H$T|yV9_N?i@Y&*$F zY`((6Y>$Jto$CHwF#Nsij{N;)6RmfaGffF9boqIc<D4gt6!$E9SMDf*-PQ`Gl5_0! zwp?2~%W-PFPSwYq3Xcq5o#UDC;?ljxUzt1CS1dVrW)9bZ{3B*&yE9i+m!5R~)SrCO za{u-vGwWvd@0$LXVTDHjT)!WTZ5kJ^CA_%2=IoLaS(7+iz3S(%Pjgi(efF^b0q;LM z+lICW5feT>xsaiCjFUV36z2-|CTV6v9_gnAUFsGe&wu+G@=>JEY-OOXP({LrxHs~1 ze<X75KNP#Yc>jxtr$Gk|MJJgvs{J~;q9!qa&Q66EPIi`h_7$xw9&kuT1uVIhq|JVA z<Hp6=LRVxzc|6x;o2<=a@Mr1UvQvCk{v7I4)RbS<9$w7Fr=fe;{nV5DLFa2Hm2&s& z=kaa$B>wW@_niA#MvwTcCVB`xi20x?v(h!OWwo2(dGEio=9o<R&Kk$o?bfLs%=*h> z)r3P)%Q-|}FP~D;c~aBv(`H>WRvxQ$UiPhz&nMY#y*~SW<KYlDFKO+DH_Nxa>e*T3 zeeWczbknyFp3yb$+`MeNI`eMoYqFa*x=!+#+FKsj+*cCuD|%y2$?20(sV!S`_I*6G z(qIk$&GzC-i4%DyE)A6|K6S^BcH~?S-n{Get_#jVy({z=Rvh3tYkFzPvRztEf<o+~ zVhTH%dAEq1>-U{{KarVJs8ZZ~Q|_L7w@ZXnwj5zMe;PM^wYmH2buVkaTFK13S^v}A z%bSVMnWt((#QVF3OWa~EKL{)JNN1TIv6g>HM)1z<$@U%98F#<Od90kD7Vj?kw`XDJ z+SI>N-<Ym9Eq?CHlC<)D@d6{pBWiQ&|HK%`=yL4RyPtG$&E#cCM=N{k_g$WK?~}qI zX~x#wWo=j0-E3z5-4}j1iT7ucT#mzG+lST7@1K2DQ8)4MS>Ty?qHleH`I6pS`~MpV zYHvFdz0$ZrY1w;|#I=*fg%*_51V3Dv&mX?F?Cc+7ldkHxDZP(R`ujSk+-f%7;?{OS zwNEzPSAt=dlzZM@LD|9|do8aU$<8^t<yOC1zkTeg!y<papFWaY^0)O|RhIPahV-ok z_HtG}FF#w@>HA-9x2a_m%8zHcW+;8VqOxes>HA-fSsEvpW$U+mY58Epx#-aR7y0LG zpZ!|=DPDSU?Cbfr-(T%$4lnq(t$JHh?PgZJ=?i3qUF^<<Pip7+9K|>N*_76=;X7Gp z`)|0TIDJhT@ArxK7F=FxA)U6PV#||lS{cqAtKH)27byC?Nqe{~#q8u#g~O%Xd*=&X z>y7?yq`3a8z}bn7`}waOIprs<v}pAW>!_C;Dn$k<X<4%>97?{`8(IqAZ9DQ?EjLM^ z=fj7Ei>}NmeOdPEc;dbXiwt_wW)w^*c*H*4DB$m>x%+n3ZDiBA<{(~gcH>y#lFJRV zrrmpURfo}P(!U0)j-u(ykH7Bx;m!VLnzPTmbeoOxcV|o0EnIOtU3tUzEYp3KYMX`b z&YLyq=em%8mPWiCYtPg#4S&KlIsM-mqtv`}*Zo<4HXfcKlhk{iNqX7m&Wi;rd1ly6 zEj{VCQR#&0+>!%}9{7A?m%h<?;NKCZIX4eJx+$p<|Fw3;JKH&jQ=|8&95@+V)u*wL z`K9)o08aj@OJ`^QuDG>+oz09_+mwq!r!U`k^3l7k-Zn2E$=fWszuan{jk3BIZ`YON z&+k~WC#Ecst_*zfd%c9b;7kG0Tl4-Ow9UT4dcEjGMBbzsOCxf;j`@Fk)#i~@FK)cx zx0}v%|7Xe34c3e2U;7eOU$^MTzpu(qzFyJIed^4)v6lCmgI%XqVS}~zx3hU0+sj#) zg+lYYWUHozJiPcMTg8TL+F911RT(GWXf$=mZj0Yq=&Qh$w*1@iX^{eR6Hcd|V7<HJ z(fRh<)5P-Muep8u_RCo(q83!Ch{YUa?oB>#lYQ2jqy0g^!sf}1<(ohG^TgQ12qgVJ z_#k~lfLGZ0-Qh1j@ccUdCbltbeZdMz{i>gDnwB_Sauu@v;Tih$vG)#7n_n;AxVT7N zO*Le%T{L6Y?hoQ(&;Bi7WmXl7T<D#kSl4pXUF>Ip<BU%e>hoVrV;0-!QpmkS%zah! z+Jh7G=eq22@`%Ykc>2H%X-${oljIt9?RHf3SBke~IVZo+ZrRo&uEh!R0vG<yxSxI^ z>~i8^-|mj<;%?mGW-r{hU5x)+KO<G9J)5P)O!4nQt+19|;^_)JYkpZ}YQ1@*r2aHq zQYz-5L;M*9If<)(q%J0}uW3GeK_ubuJe8?8H%xV_UE2O@_s6S;mwZ?pHX$iJ(wE77 zdqV7|u(U-}P6d98;pCB>w)W=D;tRq%WKK!B?htZrb6>Wgq34fsxq^im|0%(1yG|F( z6OWuKth9rby?%D?tj(4|?5E9BmtNWztrKx{`8B@ti|V6_y2IZzm-l{k*w?_aVwcy~ z-3~f(L9u3<(N}i;xT$-%YB5h#ux?w)&MLuKvzcab98G1uXS;H0n87W1vDL5AcduQr z@vL6PGF7eRI`;qiPIgBdPTZ32npL>G?fhA1=kGID_$FMjF*5SEHr}%T-HTgC4{Tsu zT-)mLdzJHwiT<qD?tC`S%hTU8H^Z#|&5pauOWy{aV@c{-QyF4B{mlBjlN(h!W}Dwz zu=S?%{=8ek{S%A&mA(nip0GakXW^mhzpb5?KguMUW-r=P^pj_X@ce1tUj-ElpP9+~ zbB5t&rQF|)y`S0c%hZ=kv;1c2+a1SN&%JAh1?RQ1>b`qJ?6%bZ;L#ABw{PCt>AT`L ztgrAXt-WEy&DtGz;KdD9msBm~>Nm-!O^$@9^zJ@=@X7}vi&DqxC2OQ>rPF>T?_?D) zInp%gTv)(MUNf0>oU!V8$+2&;@6TAjG5pDsUujoDccjg{e`l-j!dtUA^vg1*eqC|p zt;xfy@BU4eS-eK3T`yhEaQzA1W3l?TmHfXotTRuvRa`!Mz1-jHO|0vjrs=KSd*GO{ zRNUWqspEpLjas6vS_^8rZhdxQzxTWV&Ur6o1JW1Hx|QTC-Nxa3-_-Te+Xn#xe0@1a zGcSd<KXJaHY^iB1&2?2l`A69f&HHNMp?tPqYNj7PJ(E9^_r(Oi?H87Con;OAdxtOJ z^vtLlc3&a&Cs}?+PsY{U+j;d%TEXvn#dEt2{yDz)vkUw;_eJsMm-i|eW&S-|GxyQq zxkXa{?rH>NPddJUSKNJJ6l-Q!wbt?P+Nbj_tleq3^O>~ggI!C%?zFg@^3UyenD@`E zrTH&T&Raawf0xx{ySsMt-q(0r6(0I6d8YPOxa9Qxhp%mUKjWe6*Q+TTu4iv4z2)zA zT|&~Xwd}e5B%jS*C!@XRE>?Z@p=my6Lh!}(kDrs)SxhRftH_yl<;(deALU&aR_@Px z`g8l-XV;_G_)SsaTP(c8GHd&S&{aBIGOubThV3b9_jD~?o*`Qxv_+==@T4bA)vgcL z+$oAZEnjT*p}lZnrJ>f#tG_JFB6rAUDLG|T$T6g)&##}-(71Pht8LWubiXTE|DW-N zg?vmpcFkMcv?n0P*E})&QDlAj-&~E);f+%L&ywE=_BbzG+gSX$`Az1jDI3q8%nX~U ztg7Ks%eFGJiSbs?q#L!N+{Vlgt$w5{tr8a#KW6p$sy18G4u`8TIVV>97R~KxzJK}N z@kNRc8uI1)m3yqD!}G7}Y?<(#Kg!bbbiZ)s<C_5&CmrtH#v1%C{c_oz^>1&aeThk9 zyI7;lBlD3-COUMH^&=V2T{7G|tGZO%x^l&}-l<(q6T7_bsmocjBi=K+^PZ-&@woh3 zpYqfCnRjmev`Uvh$4qo{_bfhDy|O~+9Yds$#;2l>Azfu1=caTfoG;|H=)AR5^^p6! z32RO^75Pc4G0vH1tZ~KVef%d4xkXLK4@%Cf@z^KwCqJSs`h4ojom);#KfCMgE#WD9 zy_Vm<P%~}Q%ws?QoB7?b`29OWLXL0qeU~iT)g}Av+>W1%ShF%y{2kx=Jy}B2{Y7`! z?$lha!MXItT!Rnd&+bpjUQ-hpV0mC(jL{yk%Ei@J|LQH=yY|!Rw~>J*NAi9?d_S?{ z_?)!Yx&bBOPhBE%?_@lUa9x$GytDfJr#s>PM>*d7RI_=NeZ{l**p0Sn2VzPs)t#Q| z-E|i}dt(akgn66Zd25x<`YTnI^Jp{M)jHWd^7q6KY>;YFUG#Cnn@ES4qE}zL+b^_* zT?%`;#_CMpx<iL<o;{qqaohJbcTZlNQ8;^#_x`oJ=D1GR*q|FJa92v}(u1_~i_2pF zUZ3GJtNgm*mWOc?$(8BuHQSU5><e=;=Q3ZjcemtN?^P-K`&`|Y*ySqB++R2C@OUSs z5P0%{?wbc4p*z3b(+p=lcy$)1#J=@+pB9~O;M%9)d2{a4+ZQ!A%Kpj?iJ!3S_PM7& z-xQxd=8<pR6|S5<sb$h7rTCS(3s=jZt9<)YZ0`Q7tCz#C^h+u}ncCWLp#9@#v(u}D zvfj^bS4@-2>tA~DM)$;Rh9yEyL22L2`HQ6;V=`{3T|YTf``$h^w#$NksjZBHRW-XL znpRe9T7Ny~mNL)sWk%^b%EA{jwilh}h?=wCF_&SLoY@nj%xNdR_}`rgX~|@`Idyja zb^*usOaCriQ7m7-HTv-{|G3(pne{@p-&S<H=ij`XyvBrc#rbL4oYu(?G)ooF2`cta z{_L=ST1ua};(o#P)hAZ|s`|mne2n`!+oQ@AW>2$U{_5N08~!2c>c5@aCbx=(#UJwx z|N4Bg)SOER0b8nE?!|Sr6`q{a^Yk!V%$bXq*0l(0Dt&5ot9+U==T~R0Y;bgZ$0^&J zX*DNv_ubj|%rN}rL<y^xlh;)ny!c%C*L3H%^--^Qx?h)Ej?Z*ezwfebu7jdYM>(s= z&AI8*jAh$RBA3>l&#*t2zb%P-(NDd$>vQ85x4X~%D5L*bE@SZvX~9pzPIihf!h=&! ze&qB26Fuc>&ql9GUq;87Z(Mwj%_>#>(8~W~^;+#0`^>HC7M&9fI`-z;3cjsV&XyZR zF4rx$l~asRe4dmY@MMYTD(QKWzcajI?K!Pn1SVbB*#BCGOX0@v=9^z8ev8yvSsyHY zKAqcex@=hp+vm__GJSS?IXCzmj<1&7=<uj2aDiUQ+qWBL3s>mKwsKqST`zf{>R+I< zOt2Bt!x?Wi<ccOmWPCm?on3SJj!Hrv|CZ$ZJ1c~H1htxeukB1YIQ?0~@&i6a&a2M6 z+&pvQ67v~rVk?fWNh`U&V#QB`_bo3R`QH5h%V`;)F3#w0U9D)iVbSNNDVfP{AIZ;I z8M6HB)1~g$mh8NK!ESzBMdOMv&w8u3O!gn#JL*44zBqF1$Gby6*WO;A|NHy9(=Q&0 zpQv{Ew_4PQuPwo9!cD8=tT(Tl?5v&;=X^7sZ~Y{L{p+_hDqs5Za8YLhn;TQZ&utC= zMUVFeu<rcmrYyMDxsFHTj<9syrPK=n%1@Hd7kTaf_j2l$*maI30!CGrEv9nazOm(| zsJCTm5l8FA7A=E`s^vGlN~G^Ewm1`M$*^R_jEU~X+G=VSG*6e`)}3`?%lazyxV*P& z`!3z?xSh4v?&2!D)^mSlzlQ$3v}k!}$t;J{-@7;OUfSuSxBfu0^~*Ka?w^ddbhS2> zj@osw+T7ep{o#bV`69K9PUoI(@VfeALgGUm|45bh&yOek`DgE<bNHSA^47h)8EdC_ z-+TL3dG*d|Q@5nNdEa#A(mpO@m&t$r_JzybzwWdBgnH<$O_yewZg*V4B++!~>edUD z?B0oqcKdG673rxu{%ylw$uzZ-c9}W<=EUl5y&Wtxd+pbAw<HX{7jWun>(26gwf{y> z{i^maf9xJMY>)8Zp7HP?gVU>xRWrUkp1c3!qZZFjPqx{uT5TC=i3@(-bJDMi<4K*= zr5Cto`aA#b;|J#|2F`O%39PK$`P53A%ZTBG(CZabB?`J$tUkN**3lrjxoLAexDRB{ z-E?HH{vX%bYc-#%FgfPOI!=n3z2N?5uIm#rXC1972s*w<{L0z?EE&0qRk|0Z1avQ4 zbkA5KCNpf>y8xA~R&$!qrfn5f-cTrVbH?53=;DB9UzWu9y;s|}d*!n$XSQtGw9Ld( zTKY=cE&ejM>e|hFcFg-E%N!J06F%kk;n{1eIzF%1CbOh)<quD9qnQ4Nu-eu3B7FYq zZEqZ6dmlED_xzXbZ=b4fDU8#5_Cp|fOS6?CgHh0K9)^zw91ic!KV(rz3(!_8>OL>1 z*j;?lN1ijA>r~S35{{|MP9LhYnk}}wJotgj-pTGZpWjc*P?;HKkRPJV{p@{@@DbTR z6%pJ@$!dEWO5{FH@#FX@J;U(B2ev0_>HF{hY?&4McWd<@RqkV{SG#x@+O%$Jzdrwu ze;}K|G~ch^Jv!eV=dP5Eo5s2GQ=s;p+?W;ls=w8?bye;?WtZ4}a_{{A>-lr{eQ{_0 zb4e>?wNb#P;~bGPwE?oqb<r#umo%32@~bXRJ~RL0!DHt7WyQA7!xk<rd42OY%Ue-d z{hiNwwpTB&xVOoA?$qCwT%xm%)$j4NeIA$kUNc8ljNhW-r@`07%U-|p>C@bxW3fc; zMAL+IZK-SiZO`?0KU>bewDTI5!!?m-HA`=Qt^D(=^~frzpB-wE`|Kru9iA&Yv%$>H z`tRP;Hzm@d4Nk17Q{Pha<hyHKZ1c4F&cEBtllr#Yj>(^R`0Ua6ch6_L&5=*6;MvZb zy2*yI{bFwa;WcK)HT<XJ-IS)bJSlzty>4>x;$=RXw?4#i|7ZJ{Z?cL<vi9`GD=wz( zlRN#x+tOX$9ZxYyE3(V}`803${FvS52e)kd)Y9^2@du$j22&+-zRcblGS#B}_@4OI zFGbhRJy=wF{u=kG?$aE(HqZKx$v<cK$HSg1-N?JC?nKz5nD}izqPq@FV0TzMlgmC? zc&X~q_cynmzI3&6x@(vDLh<z#>MR}i4&Og`J=%NPUOyq}>Z`R53#OPquK96c+sfeb zd7?$r9M=^sa{j2(XZ2%R>k5<EM|bV6a#oKr<@#DAd(eMbj=`>&z0o%lb};GmYHP}V zmJMHBU%YXWaS3BWd~$s4nGd1cEoMEJ_S!K|HSoztrVooB6=|LRE4o0O^Jgi`qq&A0 zc?Yjl?a~lZ+1YW0H>qWQM^OBx?thP!FWdgq==!_-GI#6y<3~h4A9&Fpl<oebDzVzn zX;b^|`K{h>`dSqyY5h>jpK(3>RPznBfGPXx^-g9zSi#JsWpMh?v<u6+n_8_tTzu8B z?#^Qde=fEhv5PzOw3j-sdys4Y_kKlAW>M+S>F#swa%Rt7*wpw_E9@tG6vvDE)pDCR zoZ7Kq<JZ9QlBOAbQw;)HE?&9v#pR~ZnMvGJUT>K6AV#l1V|^Xdd!Gcwe>J;Kr6hf@ zn(r6#(Y|ew*Yj07-%fS7`NW9%{*%&5!Ts{HznX1cK54eb{LAm<P4}im$e8o${<*T+ zb@lnJw#k<_8#x;q?r6MOW<T4Dt9z+Oa7X9k4y`|O(Y(BK{Ga?km(tq4itSxQ^vB*g z>{nUDPH-IYo8<nE_gBDF(d6_Uv&1JH=`_z=aBuldj?kKIru^Gl7%x-?<({dFjgOV# z?abf7Zy-LIXU<D0YyL(5m-_N_`9zx?o^<P=@UN5tvlF)Q{}*R1(X-BIKD^^7leg#m zo*(KiX18p<EZ>&MZ?1F1!e~nGo>sR6yY9WFw`;w_R{d37+GO~y^SQ;Rn57j*PAAIB z+}~@gwsZHa7<+cDvh8f{MOsJBJ8AT&n~2UTJF}B9G(POd)QG$lZ=2=ZJ+yb19qJKT zTlz8TrG(Cl)RRASj9zSKaFeL>JT`slvyXA*MT@!@m@M`zF7S8u`=hwf-dKy*W$OOJ zhKpH6Ui1X4YrWjGBzNb(z3=@#&X5XX`&?gZeO^0Z;~l0#!NYyN-GR{?4fX3!$V;qS zBy*W#2X~^1<RXV$p}5=cF3!m5H~qlW=+O4#*Mub#cqSBfs#VXJQhqdTgQ9+n*#6tf zH#HR(3$EZ1G3Dm+(fGT(s`5#~6l)Qm?OqEXs3<D$j@ssM?&bN}wVLyDuP4kpANwIq z>cKNPV~v0o9-$^Cr6n8wXWv%%oyyH3q{Qdyb~sm|`a&c3vXw3dPXt3Ec#Pysm~@Pc zl9*CFKb+v;5i;V_v;AM)kR1NufY=N-uR><=qpPy5h0MgdI7Hc#)-PaqB(G_s#Wm4j zzj<}ag3UVHeV1&Pkg-yelH{@$<g?#w{`t?mmj?u<{QCF6LqbMUEsUv%C&)xe&Ppci zkH(fr1Cz++Hory17alycQ$H}b=0x68nIGA|Hu~?+<$1FulP!snY3~|4ySHZBKRk)y zKeIq3=YO_@&*oi8dyV}fviq+dx9q=u+$pu~k-$aisV8O?Zu*-uSyxK7_q5qT)u4sl zJl}Ul6h$5O@3WrgQ#w20`0TrP^zJ3jk#yGJX;5ANEPoBFs?s7M?=q&4kPTnUgY%SP zM65QuMV{NG_3{y;ali4kjW-^#re8hQtv6x%a-jxEU8gPSTP{DgdZV;?!XG2ePR9kG zxp&R)`L;+@wEMJ|gk0IPAK%LV@2imxFF5^UpYPMG)-OG3zdv1Q{%P3A!_PTAn?1Pp zI&0=Lo*9>V<?@$ZV0-HlI@$EEc)%?GO-3jA-^_R`^EUCumM8O$bY1^*@2cs;8?#Io zM}7^pDF3?aJDcLE@B4YKl!{i%Eu8A$pLufQ(qs9@ue^IEHOI#~MPDpz>O+CVRcrl~ zIcl?}*)@8}Twl%fuCG-rk7M#3lSI}14U-o=TJ57zr5nogPjc6K)dZ$A5sTd&{BmNB zO3I5TF-m=7I=<kcbEDiACNbYVMR5ubHoVU`)&3>edYz5ZiUoTalNpSxHfdfI*#E&( z{aa)6#PiObqW|8$u<O0%Wqq!U!HY|bvAM%3Fm$r*+y%dqY>K|ET&;CEf+^!5>z_OC z%4Wnqd-5gAIPBl6@9RX1kIb32s`|~OT{<uGJgu_My;m#F&GFq<r1N&w_b-|2VvjlJ zdMl~<G#-EVR_Bbbqk_*d`HaJUZLNKlH`ducpBfb#eR$RSmaH8H_Iqt?=YIaZ^zN}! zdfi3pg;Ao{4{Ox@ULQKkOzm0fvU{Sj|875%?c%oP>{@GFUT*oc{i)$gzMs9v8fI)* zXrvz+?ZIL4a6xhNn~f75UZ`vRA|PYUIctJehLg1T(<NRF+AUrAX}m}8CCz>!vQ9$$ z>Gx}_XA4+A*3b2ccp0~D-P$w9R=>QE>91{^{jynW-MW*fUryTff?M<LMAd+IHXgZb zPr}9DvQ1iJ7FRi)(TI&<tLuuo616$DNqRNR_Y#tRF;#vJc-F@fo654`y0QOyr~L(m zqUl99&dd1T@_lFWP}QgXdc+sAe>1Ls>^S=}YRA8}*gJpT?=!cltXp;NgNmT8g<z}a z?2E^ac>aC-*KhIJpt(2qGzo2O`V=-*HQ|iw>BFi=zh-FbYIDu{`D<s+nm^yaXCFD9 z?eNh1cG}G3t6UasPjk0u9-0&(u5<mCQgG;M%cD1*R&Gjsl6_R@dernQzM*z~+x^zc zX|9%?x_P$7+|bg_jk$mQk6+&CIwvH-zIj#Y(<76;7wqK7(a_*{w6vr!VX?UN!;Qa> zHv5LAa$XQ{Yw~&F8Q{dmbW=0n!i9xLLSG6taXx5U5V?r4f5N_K=jAVFZk9?eI3X|m zPHCw|OY%&~%~FzwymgJ|$$2KrD4YD4l)BF#?D4Fh=jN`PJ6)2!({PG+$}+hrFPC@m zOI-S3|LJwkMK(RdzP3&N8`j!{#q7?FWS3M^eZ9j?rSrilo_5E^rH<DkC#`wdb-v@N zVSHiQPT{;j5!(%G6{mN7%DMP1=zH7xsqYNDX6{;?zVl*i!7tA9#{LF9g7fp<o!WiH zqFl+lRJho)*1SXa{Uf>6?oLm&mAI#s2}aB*oWvRbm&+ya@%xRB1*Zu7n#Vl-+e=^m zW8#Nj?w-OL`N*;}^+metspD6s^egQy5pVbL-@)3o$|G*Wl~0kWcXp&`1i2Mg*+;Q- zy}H}rk>*tQamys5bStAJm+GgTJ7#=m`sQ_7ecbz>9J{{qRL!9y(NDKbTdy)PRw+lf z>+$ohZ%6L)AMNkAoVtE>WUTU+h6P?7d#yY({T`QYT2iIBX3FjrtIH;xQ0=<1!C$U* z)`XLXIPSOv2rpAk<qBr&J61OBT?+F%W#{!aUr*>%3P1bG8~i!;l>b!=dFAL*)dMMc zktx#_w7d`8s%783`}#`5Gs4s6y~wUjoO4c^Mat!`&^ad|rPSAtrZ!Le=MuE1r=EeC z<z(R06R{d!zt@{<#s?YOsV)ruG+DvMrpx5$g!arQnx8AC@7MXUcU7U!o6n57ESV=8 zG)fykK26W_p2Hm|Q@uDY@bB)Km4Vi3A%V4Zsa@W8JWW~5CbpPOe$#eC_|1hx-^4Ht z?>#bwF#<)Mfwv57>TYlcUFe+PEm_sM&2pmABafBmM7KYa`jI~2+*!eyN~|voLhAU$ z1H4^QzpdG1Fng2tp+3PcX5Y7$PrWfGi6fl*dF*PnWk<F}KIQA2P#7BT^5{;7!HcDv zPfeTk_U89D-Z`5OObK9^($W*>HRn~&`oniNn^kY0(|yN_+hv6ZpDNEaq4+Kdjc!H3 z3i0WoI_JHZC9l}Nx#Ru&LqWAkS=e{J9pXEuF0Oj;fHRl<>5Xl^ol{yWd19xV>c+KP zJ`inTocQ%d$@bJL&kbTx5i)X9ZunfBaQM!XT^A0XJ-|NsWWt`d<3&8Y{M;c*PZtPJ z_f+ZMZ2yj3s^sy6mD)y6Pj!AsdwTIy8!Ly}(StjiL^dQV?O0SdX~)UFo^|VmHeAVe zYN}fpabL~muKog#nUX*M-FAFalN-~tUE@n?uio~Iv_F5v{<>yP=TN_M^R1<Hdbz-n zsUMb3;te+WbtA~^>tpdlQI@J_IX;#*mi>Iso911h*i^t8ae<Nf&En)EWh?>(1*X%4 z3pkFQ&JmEw;ay#^+i;U;o4~rG8`&Ltb~mo-ljU`^mcDx8vefr`38mb2UR(VfZrzoa znL9~bL5!)=uzCJ`6N91+L6<qFb$#Ui{Bu%8(dSzQuO5GKvJ?Jrv~8C4g?^(gVOe%B zxmJ9UH2p4Eb3bW!R*6|&O<&kC8ToZ~#=6_epB=fLyzlmh9$As!?_5=^HZim6{BheL zX)pV#`atZ1>g)f+*;{wL6nS)MnH5`JUdK7jcwM1czb;kUKKse}?pllF55?fJ{gb0i z^y^EkZ}y&;Xt?`?_}+&{>uk^4E)bf!psV&n(1K#^;}ITdZ)>CSo*rO&GutNn$l^s) zd8a(vTI0Or`9gL3btfnBFWnNh{A{|qM(f*cy*H9?9-km1E4c2FNp#%>*@(~HKSjT+ zN@kSY_G`nMN%K|jF6@z*^od(2?D~`L1BXPPm8e|u$WU(glJdLUq4LXjvdG?9UQ#j~ z7X;0}trO&Q<@l?-LEUDG;_ue9mTTR&O8WHkJskog@@9YT`L3py-t5Y>@Y-tKCwi+& z&wO%b<JZ5(C3@;&n_?n=Z{v*n%Pz{Dn_EAfyW_-pSvBRLfR?G+69t2g<!6Q-%u{Yq zJufJ0aq^%egOcUXnvZw(+*@`wwmwUlku$_`&Tf|U-%&Rvta_0e?er<X;O$z=nXCPE zT;8v}Tl%na0rwKV{$#V~%C>j^9w;cQ>ofnuGEe%^JtMnqtTo@2s&=fuetdTjm&>dh z&YM*oj!IA4cp@j$%=)GN;f%|n;pZLva*UGnQXRT4959{eFM9aM3EPA(CtP-|v=_d1 zJ1R8b)%z<dI*SaXp70;eS9e+|w>BWsb%Xf-kGiS3>!;T&Ra)uu$w`0bhKS&gB@cIG z+>t+WJ0`sR=<%EOpOilCGJUnZ?WwMYPl9e&|5<YfuUZp>KQoR_ch`Mx;^6&o9?#(u z@_Ca_XL!Guy8FtkS3Bfx%B-L4=Xlt6<Bjg@|80&ZH$JYj>Ny-US<R#HWy6vvL!Oh> zlR}s(W|jX@dcR`2OM>QWuUenKMiaKn#+#d~<-A>ZP<-~jE!B<NrmlMBv-th&^t*Lh zi~Rq@p3J_sT=b4#$;xe;SK4=<`ucI{(zn)Uw}olx9yjsxI<@A_g1kv_GHmIW0%}<o zw6ae!Qi{n_^ZjyaTi?lM$vKbbh+A@;4_0H_+JE`k{G4ew=V%mdU7!E=Msla(lZL_{ z9rMM))dimBhHjF7Q7~D%J+oKJrfKi~D@PmKo(CK`tSud}My;r>tTN-ovx4mhe;t?@ zyNRcK_wgH*L2YS!Ell3&Y}s4C?C+*yGy8&D?Owk8ciHFa!8u<a=JQA`&1dtpoFn_? z-7nLYI*mIYPVU;Z(&5M&jn4H;%-#9#IQykfJ)zeX=l8IG_2%stF3nVIZJV!~`O00b zLF2>L8G#>I)Vk;G2;89a?CbrkgPYZiPRTVJ`z6fQ5!yL5q(oviFUuG6t&K7_JU93+ zN(zbWKihpp=1a97Q~&jnq`nuOw-1&7kp8>%nygQ|(d)K5dS}>7=iFH(ZND{j^?Zj- z(>ZOL{(KQR!71{)Tj|NvXL9Eaj~g2QTyrCMb9K-6+>?8c{S%c}dflyT&L#gP#Ogz? zec`9`T~BUp*&uOahi=c*^%u0Z9Sv*B`1V`fX+loSOKpj>b5xDXQf|x%Qju}}$UEbb zg-lJP0~>4a1nr&P=Qmfy+&pS7(l$w}dIRf<Ds9(j6PM8APEAK#<{da_7F0j={-br# z6BbX+Holt5`bW6PuVS_tgP+(nuhmcI?iY3a>8g@GspjL;-xkrSR~7m8JbgB2&&5ug zrkmd-dl_Fk{aO{n_~=fsr_C}8nflyY+H+W6>+|mkUsrUi=)(4xPd#s59Tzyvae7b0 z((e_I7RaCcX}?M)>zLWLY{3|*&-*%#3G}jyG4@Nxp0zc)Y8<wQbFQg+%Yw>RPdL9e z?wA%@msBYg(<VP%ENB*6?a}m~T#XjbpPb$z9rQ6uaDAURPhY?r_KGjd!<z&8OTIgI z&ar1;{un-2N-65u>qjjsR`#x|U3oa}RO1!1i|IK}zVxRERQ);GHGjijJE<7^l=y~M z*H%Se@3KyC4rSV!^@h3WS7iA?%@;ii@2#x=yWU)%@0fjZ+LQTwD~q4k#=9ESu!hc1 zToq&Ezizj}y5q4+AFj@uw|?=ei|+H4Brh!2_x_R4-I8!$Gqc|5uW<D|VQFjO4V<_C zbqk6)Rr1a1n($(gMfvF+!Rl)kAG_0XWsb|&BUW{G7Bil8O17n~*Wmfs_bjpLk&fQO zHq}!>{fu|6MaUGC>|gTth*IH%{4E<4{M*;~&GnMW_TzYZ=dZ$)SB)j^;wyRE<C|L5 zCzQ9nz41)px>8uCBL7TXrSe1JYa@d%UM{ts>&-vmk#bLLw$r2UH(xJRc32m7blXJh zyxNC_kq+}VHp<Vt{V_z;>R80xT))O|?e@%Sx6T`^oW&=*)Fb}I(``YE1R{m(-r8oG zZCd2`RAZ6RAIBvx1p<OUFz&DGoXWOc`mD)RUG4AM*P3SD&FHw?{^sC8sYzeCLVw=6 zdS+IDre?M3x=-_X{g1xtpOiLljj>(+pL$W58&@=0M5n#@t9enSgyD~>q`&PGk>}Id zt63W3O_iBHgc@oHJro!FR(~l=-Fo9nZLMF0s}38zJ+m(Pv2@J8r*~9p#dTWl-rdXO zp=n-eywX3;)LwVRb=i~)jyq-?esxRrQu<E~7d`oXWs~C5xtmNsR(@pM^F6FkOCal3 zZF-?{NywaqCDwMXYdctOtorc1Lw^1K)YOKG=3Tv6sZ0G?kH1>@iD`ovGwXYub%%d3 zHyn-@{35od#a25}%&^RH>VBi*DEo*-eOA&t_v8kh)%xEy&GS=N=%>S7i#IKEa$Mzh zWXe?s9sU<b#VZmcL~H&Zs*<?qzT|4utC!k4&Nq9g6q@hN>F6$A_a-Lk_;JYz55jId zdZqn_?`qTi<_41++P@?Z8vd{Re4T~$kLFSB?4?^IIc{hidAmokVB?F;aWNJ=n~q+) z9H4R|^KJI3S?0N4H)W?BUurHJ#&*x}>ZXm3{u4gTe|t7oTEwnP(KzBk=EauMcHNR7 zzQ`}jc3-_?8TH;`(V28dbphq{+wLhpet#`0F?6e5e1T&f+vcg}kIx(rs?2z^L#npa zTP)+$oNL}+W9EgNsp!35EB-PeNNiKS(4UkUYF`ChGRhi`G^RzXKUVnvbm5X$50=%Q zK6_Gf+J&u4O=F)wU*z`NL~GB2zZ<+JPVW|yh%x_haqq>gf80M!tKje~4!St4V6{p_ z$e)&{Tz}QSO}fzW`4qeB_N#WUHY>eaawNmjvM0}N_pSvNHNICn&bOcXJGY>7?e4EX zz7{ALoaU4K5v*Gs?A=?S{z|q=W%CsCmwS?y-(dU~!1cj=k;aA9UKegx`N?ekceQhK z@6~j*z51a$Y#F+Y6aJaz=U-b9H8nMP<%NIOWG<*mAH1{eVEX;@GfE_{mVNMkapQo+ zd)w~MD@-QM_HI5}v}@<qUiLym)!#9;7lP(ISw8ds9N%9BPr9DoeGpcCINN=l;(h%b z6%mcA`Fjq{JJ7we;>U??0#{6TFI)J`$e*huGyL+0`xj1&9j}*M$!iv&S8&RjUtniw z`+p9%xB{+%(9cowzgKUIe)!pFZk9#B>a`YYIv7KFU%!6o%kp!Zw%g)U+os5fs9sJE zbG@m~q*;0Qdc*?V?Jd(}A_EtHR1LlQ=d41O+SHRvXYd{4?B%n`(M|I?T`K-M?6aZE zT7%Ztvg&qEHGVwvzwH;0Fmw9#w32A;^av@5Np*7<aG7#^v)O#+eEj`SY;!{bVkK9I zH=TIjRmT{;eO7;;;6paP#EO`dJ*f%|qJ2UazD*U&;);&{@*r10bK}Nq<~${tS6Kdp z2#9blId)9zaa`!`C(~Cv&WnuUxc>jroY##O{nE4U^*fvp|2yl6>0SPZA2+hA=L=kv zYx<o1rFKT}I%TuQz9;r(uU*;ewQuIeI%vDNEqmq9ee#y}*%vpa%~w#Hbgr=N&n}Be znp0czA7_L+^SHfuy-A>5y#Jo|v&voj=h<=x?smK%5pI*eb1mP^X_iu5o5eXoZM39x zT$BF?a2oH_D7bDL|M8tBH~S9eh**o`J7=z!dgRO;eAuFzq0RUArh0d4@5}N*^O9<F zU*-lp3;%T~Hpu%uTVPH??W4fEH-7*0Ig?xR^c0Uhx5kIHtIZy^2VHwT<6DI8(aGDq z9RAF1(K>Zp^qvj>jYTU&Yqf4Y&yM}K?M#1D;&qk!=F|GG9-Mk|v0mt2?Sit5s>RXu zPn8zT5V*6T?^s?!c+T6Fvj&c~$<M5U4;@L1VlGJwuZg!yy!mY2@pb0g_HWDoU3|&K z*UyksLn+UhU!ds5t#6{=iq18z`ebJP+#x;OWy9Q;27eZAX|c1ttXn<PS#e!u^`u`6 zOT=Z~O$*OoTk!APbGwgBFV`ILcVGR&V9!nMoA>k;Vl}sKG<Y;=%dtP4yBS~4Xex8& zeW)0r_}~9^YN6}VyGqOY%oBTk4bOg#k1Dq^=u~#mZ#BzqG?FgM_fZlK*V^y(No>Zd zr#$|rTwjDQ{n8)Q@RG+#d-7j<--UmS`S!)Xk4#dU!1K0E;Ap#H`+Cm1d;UIbH@4jH zqw>z@L-8A;uEhOPohQ^TareDcep_<u*P@v4f6;cl=Bx7(7d-xTdHut)pHIr0F1vNt zKt8Vc@i`$`=hxLO^Gv^row|75D32{acaxe;jkw9{i$z!6%`YCCU)wxC?p{9IzlD4& zzG=@Cp0_;m=Ie_aN=&caid#PUWAf6?dcXPGWs*!{^uvyB`2Tv*)|sOD=k$(br!cbZ zT;(olQu<S%#NvtWTI0tbqWEv;bS|oj>|(j@nWm<s%lpLgh$_p6;3>tP-Qq6JMOl*1 zd|tP;`LSyCulf}|uX!c!1<j-Yeo3iGhi5TNbZxz*dZpm%3BT#7j42=2t}L0fMK<>9 z$8-7IO>IuAc19hT6;`L7_g&$^MQ+3ELTbx)HEVwqUTei+Y`Lp-S(M$SH+S!86%}8( zoX8zM>s3Msci7au^}Eb=nW|cO*1Px@xV*oe%P4BZ)n#C}LB%jwp@o4xY|Wy#Vw*P| ze;8VrHj6vhDaufcle5F*2p_lhtcMZ)nGq5^OT(6m@9*nr+0x5+t7-Y<6DP`dFXC}j zZ9Le};IK@iq2bVyNmn;s<eVceE!E=aaMWS*#)BL(94;<SY2iyeo;}!<mL4r5yKHZ= z{N3O7bq6A^h1`sM7<xO_ecPnf<wXIRjV4P9675_+KezjDmagLZG5%`gp|TZM-7dR* zV`EsOv%=;&kN$rT>43stDO*pR+L>1u_IGmbe7;LUs(r=g`ST-Y%#T0)VX_9ZfaIUq zl9C&%kJ%U2EYa3D`(@q6j>wQ{r~LEJ&t1K^QFngKl^rtIORwMA^q^HsWmR`(=z`Gj ziI45OS>7pZU2&5kE^E~_NrSC=`|f`zylU_A@9^>G%ePLQD*8cQ#_Zti1oxdO4znLG zJJG1U)BE^-tqp~3lKl@@VpwW6Ol@AHwfL~@HQzPaky~9@Qzg3Q?Yez=OMgzrT%TyO zdXtrwc_GG|?2?!LFMnxgy87E{2Ga-g6W`S<X@0)){K)d}zwX_d^{u^MeQw;IM(0wK z#jzV7|Gs9jH*(v;OONhfWzde%`e>fD>c4#Cw_>q5c6-hDRklo>xGhfZUD%hixBZ?i z*kPoXl_q&-$L1aNeG3!L{nfH!^SU9~Xd<pO^}UeH)~^vBvbv8iF%<dg6n&g+)j#9q zw*^a1EN569u&UkY^h&j9^G`<4o3F|naJ28Lg6WOcdPaG(2lon!W(d{&a5(n--{+$- zF;n<sIes{P`1*O{`MmGbYiHH1(0SRuwd4}dkF>ACb_uuNhVdlJ2^!=vZTNd}>HoF4 z;*)mCDoL<j&^O~~S3hI_CZXnoUeR<PucL~8HFSeyb$9QnpD<yb?~TUG8TZ}ZW-BgV zdE&iqW5MY+w)$c{9&3tcYnX}fl(x_G>y6($FK?eh@`8N|$~6tr;mp6<|4;hz^XJL0 z{Qp_m-t2g}gOlxq>VNKXr{B|h4(h-9-}GP1a_WV=5Bq-W|1F!{m*{RPP>`~T<3q-s zP1)c0RUXVPeEH${v8X?KtIt|aIPANr^2+x78O)CsemK?mV}4DHg{SnqUsIS2T{+)w z{J)IjvHiS7^>b#<oY^wt{|3%|Y}T*TnbrQqJKel-sOxxCBBzp}hKr{Y2a|^Bum72k z=Qe34HmtoUd;8g&8#7amZ_B;CE%&yW`ivXfa@B>Sg?$S4SIfQo`+rsN>r~s4wNbHq z<96*@wVW+-VYicx0b{|33j#A%bVO_@@HlZH!$4xfh7TVKE?oGqVM9QG#|D9gO*@`E zvhY`0YjFIh$O^qPCkyItuDL9h%%f{F^`?q^$0Oc*X^R+dJ?9dR@G|EqOR4!$n|Wwm zzK>jaYsnek7fmhNHHALi+D+aLCug|wt)1cZc1`@fqBGrpkKa*9vNk`?SaZ4Vh@9Qw z)e96(MqInO-(+LR+PGlz{_}xfPnYi9E_Tc--|O1GoldL;%ht}>v^DSh2~Wl42BLoi z!mB2|moj|RHTf`$^81Q&r);&)cNG;~@|CDQ_l)gZh>D1_{dKQn>X-UbMOxThJNDi) zuKrbZ=J?BL=N9s5dE{SzeLTazQNZGsR{7hX{!&i_Qa$GOsV+aV*kw}MlHB?0te)gM z8gm@k!{@mC=aaJSXMfscZ+LKP^SnkzwJ8<u@^gJ>Esn|POq8CR|9fh4qtVZv-+E4^ zGt+0knEQU}(cPCF8Ncs&pdUX+-STEw(YnChYDTwr{#^azkDJ=jMSd$pS0}P_S(KQ3 z_Iv$?H!D~+y0tuMd*%LH9Pcc|L?5VaGvt|DtC_p>i1zlox3u@CXKU&3eiGif%vzV( zrn>JV_mt>K6NULSwy$H~nf-RwdcoN}+%+%no;@I=@nJ_W$KS6_n;y9_^-cLO*-dOZ z6XX8xX#o?St`<y+D~}MFpSJv*c35;a)4__UMA;h(tR5HZcE0${lv41seMP`0zC#Mp zE*Zw@=Ng*oEjb?~1hbf*IPShePow0JRh_+d>i0iBx)Bbi&px-jecNxUTdep3?$VZk zX>VGO?$cf`wBAwEWKGwiZ|?+*ERR$<UI|;=yYfVV*wx?Lx8CbJY0G|H;p8o`xwfK9 z=M-p8>TpTBY#VX+f`?kcckKf)v%VC1q@^xnTf-grY<ZL2`Xg^NGK$_m+nt{s8~mu> zar3F&kIz5!bK0C1S<7D_$SmMux6JwQ)#aPd->i5pI7@8m@dGM_)}9sbMZUjLWSp}< z=lhY;c`v-)E}6K-a8+vXBWZ2>;~|qKFV`<}n#3|oUH#$tDKmGrmYw~vXJs(=>4<x8 zI#)7J*NFc2$8vMEx6eO$XR+VB8-6_O`&&DK|7?R{&H40$`j={@j|6YMfB5_@Q7NfN z&qLZ}u2!?mZ{E>6wR&C2)Ekp+)i>{(F~j;s<-v2=rz16w{<LboI?FsqZn5ghhq<3k zR?c0!Yl`{99Z#<~Cb2wZJ(Tj@Z_R_s6SsWl9h!XVh3-1JrLUwD{6BRpJa_k<QQ+@p z?{}q4^*gt2syT5iU!CEQ+sS^Ok5$ha+u}^a>Rx?)8Y)&UaANA*y@#GIX}JGNQpi7l zmba9hzh~alwz+5LO*?hw@t*x_ejNDo%e&A1@ii;Cbvq_|rzBLm3OPUDdf(yX*FT}_ ze`M=7&DIWZaWZi96IsGk_o(=)xFyp$^^Mg#WRhgvx!&<_J#dLxIYw_vbkvUiEB2E$ z4=-^I6#8tkev-@VtBbCc-d9~{S9x;(-V4)S>MU9x_}b5O_l+IC|K}`9ZN6?_<MRKk zsOQ3ySH54ZO<VOWXIiSi&x-9=H$3~lbDl*~#vaQ`A>RjQbh53tUVLkE!b3D^`c;ii zpCy{xRHRturO&tC!1Z44eba=vCrbn_UrFBfz<kAFru}ygyo#_}{(ZJe^D-Cz)QTg& z(goPP!_GbWdHnuj*-75<hgZG`e5sk1A!n1cI_F{bByDBg%dd|wl|3HzC-d04J&)h+ zeSPh6ukZJ@Yqj5OV@>bxjXoA{y)C!q`f8b2>t(t7UmNc#dUIy$y7+&w%eVgX_%9K+ zUzhvApLq_ipEp=cW>7YC+`LF_OLM~A)xE}XZ#Eol|K0n4k)3l@`^gji54!&E)jIyh z^TZ7P)H%GH)lStcJ1xh)QaAknZIQ#1rzLmtI;}Na!?^JLdEUn3_l&y_{tb_cc>mJ= z{=VJMGt9m!HiYxm<}s(FbFB)$>pkc4ti8(&8SaS4-MTpY_ln9ZIX@hE)@h2CFWofl zm~FeJ#|Fv2@h`JyRh$T`>QH#i;P$2_h<)wMwj0kUNHXnv!*p@kx8VH-)6Gr0zOOxz zrg7wuUc>B_qLY`!Dt&(N-l$<F`=YrVlLVJ-%{yr-eQWU@&-%47?PBuIPj-he2rEq3 zW;Ac@-VM<*?siMJ`x$v0d-U+%g}*uPtgoFe%@<}`zo%oW!=`H|R#{J&w@t8}A$W(Z z`zanh^LN#ehC8L@OYBM~3Cs5Xy8JP!^!_9cEv+wnU5m=uSG`d=el1{+>Gccj3j?RV zI~XQv8&=YNQ)xzK=8_bJ4}BV{T+g__zb(-))+kVlimErew_?NPw1|l;&K@!GdsE_m zXU(_es;=S=vYzp5ZcTcmrc}N@yG)CRhlTCipO5tpRz$v&-TCI)Qs!%N&wlTe;JK_m zA*Vr7F(Pxh0pITf?n38T%M|)d6ncDJ%j&Ps?K)A*Zp&k=7?L8e@I~9DdAr{91Szje zxWDe8?j%{W@Xt0`iae9A%@j~tu|i;pYIS>G@Vm9bAI-x;1Yb^Cq<i17v$arBzv^}I z*XTL#<2EXHMU>1DxcFoKvA%cHvmSivN_b}=R_8nS-B$B0smj~7U0NvQTeZT@CcH`D z#5xU~Y`u9qWp>rM)^p0$9@@qv_-+js%O&H}EH?_T+ntHXKNB={Mw<4D=P{xNF_XEg z+8o1!l`b6iWcU(n)PBRMt?^21RoSdsw{^V?Uv<T7Bt9LQu;E1N(#MgJ_om)Ckt(|P z?Wz@aZTdHZb=_IMA3ENlykB*0O2o#0xfP2h&)^G@nDX+|LqYDX^UbQaGPFlcXg=b# zaYAnu$H5~Fe(DP+9TyV3XfjEsu4EU_-`@%KvJ)mJy|k5FH*w;&^cf=E8Uji)_C~L+ zG10yvlJmf0<(cWvzd!Z-XuET{aF0*xteyk!Z}hI|{G~o6Ykj|6X8z`W8DEwKa(=4Z zFBh)t&?`1<JXI-PsdqBO?Wp?8VpYxD^cM?Ux;F3P+r0kD{S!|n+1W5OeA^o%y6vCX ziA$HgXU?=qO_$u6ao}q83{TaycBUN~&-DbF?ZcaQ=BG^VPED!Qbe>*czU)qK_+h>a z7Z2q7y31HBK6E%D%4tU1g3e#6t&f-TiXZ*Pf5H8CB}*F9`AMHD=Wr~t%M+OUTq>pD zmu9)GJFD|7!-V=ZHP=tv5G&i`JEtiql~s#<(z{|;*Zr2>NnKsjQVyA^UXEM$VE@9c zM^X-*O0aHV-1#woeZ{Bp7aMsFo;AGqq+s7sE)$pONjA+ZC&%3g`_mFMLqyv;qT`PH zrsSuSlV1LD+sPC<C+_{DBW1e^l0;r~R%T9|xkRKvSs+5rIbS67fk^!xfxT&Sa)Ks# z7;N{wVE1*WTvA1okLNY{xSys8ws9PO+Rsn9Ppj#ibpOeD712J+9G-|DXHQ<OyfN3( zd4K=AOM+i#r_Rf3z3ag%^*dkY7Tf!*4$)wb`*)ocrq(Yuir@L(z@JTNiEa3W9lj0C zi@RDDAE;Wn_}7Bn=jU&^_VeW4{7>zfuddkJ@P5^4UZN8r_ISCS_uBmq`r=dDvzt`= zE>3N&?#WwtGF|`cyR{SdA9~YPGS6kI^wRTRS~Z<-8Xt6N5ZkMk{QvEl_uN{CC6ZH4 zURV^dbY^mg<M-`uPp8e1Ik@kMqr>($z1{M5uAa~PY96IDRm;~IddD>H>$K_C_^5Nk zNZaPVd+kR~g_C{HvoqFvImX8ae%`ZY#hdc4%bqAV?q?94AA8c_#M~8uHD_m9h`&4W zwng;rIi@SITE84O^UG<P**CQx{OcR~e8I%!^^?}7^((Gg#lGy;G#8)4Kk9k7cP6pd zGzY%FJ(cVK(dQ0lCp*l`V|F?`v-h`mcI^kJpE_;R)|p-5-aj$?qF7J4+iC3w8<c;2 zH!1VUQ?z3}xxp!}Vaxj)T(K7I&I@mr?y0%{Z>D6?%jrg)tW8e#QH$a_dlxJcG_2ki z<m305f1}N8r|1a@tIO6r%6b&3kXcw5x`v1MWnD|93{y>zI&TGot9z7jzUcPY<?<~* zW|)~gPyH>zyXlFGzd>i?u1y}y>Iy0E=PsC8|CO`cyXE@F2alON+LE51kSMxkxg$CE z``j6V`VPX=+H2o3OrCi|Li(jc>#kXT58bZUKWly^+^e;}tW6@LrOADXo(=2K(hn-_ z!i*V9&U{$^<ksRzG4oy8g1$YATg+u4m(5q?6duLDW%HwD9@{3Jn}6fY+mr7+WXuCT zotYgbeXC)o#6uyu$q!YRmZ)|d?|d%Ew?;&YgMCXT<MpL8PQMUr4Ae}#*jQrvC97lY z=9B~LZcgX^)SW2H$1J$)&Vfh8o1#Vj1!{Eb?y;COZ_Oc>4#i3h=MvtXw`R?|F!gIx zF|XwEkEP4bIa;N~D9+x_C{QtRxms+=uY1b{Rw!SQ@DfbVE0AZt7ny!@Y3Os0KR&&$ z>!+03&e+Mjc)^rb?WD^eb{lUtPpEtlnSY+GKI))lx5qZt*Q>rVN!|A3$l33F_}{_L z7VJ*<k8IuOEAV3Z^z;R@XBbCKe(o`OUToHVF}L%VZiiSX{D@Rl{<L`S1g-opuF=`n zT2q>4%g=EZx^=FJ*D2+Fe*K)U0?WkYFR__%>Q;Q69zXR$r!80SJ2g$4!X0xBjw|eO zsGqP?%1Gyuu+`oDFJ>KR(_lY%b;>^<-#cpjQ%xD4n6ayUSyp=^`|$gVp#~*yRSX3m zue1H$G4<|=KPSHaFu8KJBGc;Fx+!uwTQc8R9h#Bz#apOeUpJg}-RqN<&2}CKwr>l6 zcxu-1W7E%R?$H)|_HKo%;AXp|LZRT>Go|Lp-+g{BMMkb`%WIo7yT&hTdHO6^r`_TX zy;M6zf8KtDmd;N*y4T!x4`u$AGq=ljqH&LCq-*Gl_$~SjyJEEBI8N_x-Ck-jP4Ln_ zp8}>m$=}<57>X2rPO-Xi=Ix`uC$$*oNX*yKn>YFUy3#poXL2b^X*Fq_5!w|NwqeHF z$usS9eKv2NzG{c#qwuF3E{%!8Yiq6>FSTyAGW_GLy1~%MYT66#dm2hNf_g6+9BX`$ zxqL-?%WYe2tFxx14ZKlYmd0wlNpo5bUa$L7BW1$PcQ?Dd|Bim*IT02{=F3aOS8&{& zYoFqJBJq=AUrB?Y*?dR06%J16Ga_qFFY=S)pUX1;jTOhiG~=qN&y0>GE_4-~dQE+6 zeVgCEz3t51Zl0GH3#y(u5%<_g^RZ5|&%wP8YME>9Tn%@<Z?1kWRA<}!4E}GmA$yNz z+OhjG+1<~bT);Is(%PqF>Yj^pr5@!r#AwN1JXpEe?2(Uy`LwL;edqKujIy@<IDPho zVZ6oc#6>6H?EmdIea#yMmVir3nc`=AO=CO!`W8>>VhbM0(;}WL*3||kv^nM2%~EWe zA*7doT)xCjKf?b>N6p@zb@!F_UhNiL_*eVR@j9cvtjn8^o)X_uoV>%@c21pow%gQ| zcYWo#y?4m?3oqh$C#9mxBda;h>YPZ$j<-wPep<h~Wc6t3leHV)R+X(3l{I!$xABZp zx+Zy6Y;U|3o43dA3E`6;%0(@{;C}PE&jqW}Nq*MaJ1-vH+xGm<uG4b7#k2X;|JdKy zE!nd9>3r!e1?#R%j+$WQlCyP==ALz$#*!BGVw0Uky4sd(Rmq=VxMS<Sw(pM()UGe6 zmRL6@%)B|wdBIK(0lgZ_E#?-7mb$vdWxkT(IJ#bA$<p5n4ln99yiPgjA31r2c>2=G z4{p3GkWQP_&5=BF)4eyv@_O=1-DW4r`Ti<9Z&g=U8>{_Q>iyoQ*`N6$bSr;}azFVx zsrt(u_Z!CHOQzLM3-)P_d1M^*D5LoC<~7}Vg`c*m##C?kJ7>1u$F9|0igGi8_w@R4 zuB#XSuuqq_(J^My{}(5Vm8YHcJZhy9o_q4MP|R}G&6Z1Nyjb(=%|#!HXzQc{|HPhu z4V`5ySbS{L67~I`I?caE+BChn^ZNU@cRTNGKb?I%@2-`-eN}kh>^*jWI=?Ga@?Sap z*zL{k9~H5)d6PddY5y)WjoMYDIREK`r=5>g4Ij9s$r&&CtTOHQg~i+6`oAtTo3Q%7 zw`cOxqZj9<YrMO*k)`;0!F&y+&FV}a&NsA*JUsCsuq$=8uj_(&TPJn3mA)x3NWG%m z)p4>c`I5YeOYi(g&vqaCB`VlIS#QH5p^r;T9_%uSJM7%Di9?WA-5}uJGPewm>zq$! z3GF{qxAVdM&NX*+9~Wv{upJiJ^XRW*|Eg$*pxV6KHx!LlG)Dfv_q6v^x$nuC_3sXx zIQ}`+<IAqibzderX{B~pNniKgI4@;k|F4@8#haG&<rQ9XxKyv-)-ze_jzD&ufz>tr zPKSzKj)z>=UoFmf&?L_IDSWD|%*4*X*SEO3IzLX>|8QA}|M7RcuQS?A3+iV%oH?)~ zE&KG^{DqgFmT~HI2F`O?P#BWCmg}bJJs#cP=FdO8eO{q%-^lc^t%vPNLGaFhUuDJT zPEEDU*fm*B+&lQp4mY)xQCC}Tt7cZcu}O>$omv0v*J14wGxzs|_{Cm(UHLXcEc4Ls z#_YpCXK;q@J?tt!!%D|DK=DR@n`$3}mi~ONj{3zf-}01fUcT}2_Y=AeHHwFgDts54 ze4OFq5+gfpVS$&H{N$IMM!89Men+}Cn9OXME07;D>!PKe>2W0`!M@^#DTXfE70aeP zxb~Q#Q*1(@-!vO8<83F7Z{@#y;)>7Zjp2;B_b>e2T-(+oJg2qhJBy}`2j{ugRm)yF z^JuOL-xuy0RCiFKG~)8Hbs10GH9wx%tMQ!wX4D6pz~;Z}Olv|E4{CS*m0~)r{v+Xw zTiM~{ILEoqt}7f8@JnJix9C~1>T4@2Uxo=>=BE@2W+#7@4~=?PyRJ3#L&u{3zq1eJ zpPHe3^QQ-2qnqxMzx7)+47~(av`ytZJ4ND1wB7;EtR?jqCtXq9rT<;w^R7L&O9Ff@ z{$TA{Fm<j}xZ7W0Pmc82f-V~-2l{9}D-PZ9Z<3GDe5-c{XBDrs`tf&rqk;kF@&}Kj zwd*&0>il~4+eV)U2e^a%w&$?Vs%)3C{Vm}$Z)b^KchpmDahX<uQ_?|_`z|@EW;~zI zle^$t?D{hP{i=U`jy+_#dZFO+DYoO)2W+S5?0Itk@$DD?1ll&WOmY7d9l9!0XsTyO zFpE-Or=`-3goj=nUBB#h-L-tR>1XSF`9BevlhOrwKb_^UnZ&Z_@r+wc=cWY53V3Ax zWo?)*5wE<_f0C@A=F-56OxttU{q);*?6oN;)AqId7X7|BJ$~Q8E|&T1y+*AWdV5OL z)`(VF_xzmd^t-)oy%1L+f8S5>*RNIO_iektDtP;-|INecg8eO1s@cyhH&wDY&b3J? zLMh|ftNEQZ_sWAA|CK$9d%OK!X_Wk`?Z0}a{_|j3Ud(-I_AcqP!oyAJ((fyLzBc*@ zyKHCR`joV9+xuAxjg!xbv8}on-+tY~YRRLBPmA6@zRp_qyF8|P_e&Gw=KmI1>tCjt z&A$A&{+i9+s}b!V%5~4RDn34u{l+KPI&yt5=ajZ(buJvMZ3O1Fz1<(HIQfaq5B8k@ ze^|frq=l#R+*=cua^pZkKlitOjZf#p7t9W=Uv*;d+Nt+uy!W~>EkD>`^)s7itIkbY z+;V^Y?N8!PN*PT@Dy)|-QE*7t+sS9pHKFyLo~e6$iSNH}!4I@KW_^4pv#w+7$NslF zwiSr}K2|STRvot1U&Dy?xkskz*VVJH@_v3CQ<e2_;~kfq6VFMkm+R53wz?ppz}CF$ z>!V#y7aW?97kZ>?&b8#vp?ph=e+U#OE!5XN`S^m>0=b7jBiVM}kI<FfU8qr*e(KK3 zvtF9sKayH@aP%I%Q_+6ZD9Y~9hI={bTg?}Q^x9gl4P0X?`eI^9%gh5yYS#Pfy{_PV z&$+kHRC&&KlbQY#WH!~z(Oj|U*@L+*Uw1E7{&Is|^=a<B*y-=3R5Ok)`Esl7?o5fj zk8As!Cx6aQ3>SE}`Svcm$I5>SD{RBhFDYItQ}@O4)W@Xg*YCc$tuie9uCcN4ySCiF z<m%$c#RqoCE#uKUTNR&XeN}vl2gfvamOIM#lM?w<c5XWG<Wq2;u*{K~@>MfJjn?dV zctvyVS;KOT>8z7BEsxR8d|c%BBC5z$abnK8RNd?T-O-zmHF0g3l=3Hezg5lo;H_tG ztZC%5<;k18|L3Rl&<@TyPHv8pyV7GHex9xxKH2x6RcV;=<x^ok7mM83cqSdJl-D?u z6g!V)>8oWoCJOtX=Y9~y?tXuT*1ET|4d&gCG}2crvTxfTQS|RnPOV}6rYA9P-nPFv z`N~MTu}nZ=Z^|;BU73%zl(qcdo~>}<ObhR2*#gCA&hFVamYuMaKYXq7j9`B5>f3J? zE&6jb$ETS`nBfE44`z)W*L;8Y=x@B(wIurGMX4z{VNu!YbBo_hIxVIlwestv)=nRf z<!cHio;YIq-*diHe9HP+YXhqlKl@oOpAfD)>HLDs+hN73lM6#UqSBA~M5dm4b0ha= zp5S~Lt^Wx&?~bHSj?ytrN_mp8PtG#!(8(S1ZW!OrFqxfH*L&Z}(@?!pRd@UC-^Gij z+FkOxK5d(6X8E00Yd+h%sLhR0o0+6^Gi24o810#HS>KkFCPd#!%|7;SiD`Cr$?Q){ zrnY_hf9&UT|4WB^zl1q?{+20PdsLkHV&dZe-=E(X*d0>n63#I9C%;#+A)8!W<}-`h zP>*TsYSYBkrtSC9>7RN3%zd@^WO>efdpTA=re*t+B|NQ_&wF$yEB&{hlsV^fed6kz z=j(T$YnvM@9T@($?^kH{>9AcvpZ;^EEuT}iGuNxSXWNRkiPj~nR!m7;>0Got#c!qk ze4|~5?HA|VG>`JGUh=PAt;M3HvoH3ZKxV_f#M>F_Pn!k5dQCKO-Z}N&nS-L7+%AVp zbwqf$np#*FY*JDxd{ofd>ZJL#{<zD!|L3dSR=O_q_SV*$m4B*DeR09!4{Id;n+M+2 zn>h7}{nO|*G3yyKzHc+KI`Kqi+m46KEf3vh-kTZu{r}eUM~X61_<EZJ0|QT9`en?w zhBIE&Oozi_U8&*z1Ko-oY-v+8JUaL^WsV%_<m9(w(BL}4>clr;(t$PxLFf0Wi+4*$ zF8H3Y=I)!L6E25$g|Dh&-)4||_f?D28K>>*+jp9pgas|MQ}n&|N@SCq@}al?y8bmN zv`t!?{lj8;r;@%v?Ec9NyLqb^XCM2qAZvNm-$M5q^$q85%L}}4*4M~iaz=S+!ofP5 z_{Md*+iFg-IUC+@^4WO5IcD2?Vg0@Dn|1EKH?E3n{V*fxqElE~*!i_r&!lYM!<{*o zC3~55V$JuQfbt3K$+~AlgjIarcbsOM`?WIg<GZTA)oh#j)=fDp8qpS);PAP`->Sr9 zs%wAX+%t=B#;*LFae2+Ab+z?7jw;@$7P}V{`g-&2xtw?Hy`L@c`fR>H%kp^nR8zn8 zUyg)-`nm3xjrsRT2QjBvHp;&pJ%4XVefe^S(8(26exZW<w@#Y7Bh7YGZSbnwQ??oh zNWBqh5?v#kYFBbUe1g^oK|SdWzM-0_*9E_Ql`{1%3bs@J`16hH>pr730l!#QmVb8S zF58^RTDp5D)4uH+nf6`Yz_M@k()C{7b}QGgGJa;WTKa9ab>*J#m(IqoK9g8e^FgWB zD`D>D5;?mnvw-%JjapW!a*N{k?kxKGK7wV-H}lgb3rh@t8LO=Ae?D=A<gv1}C23Aa z&NL^uw_iVR`)AdbZJSOzi!WW8+|8Z;j@ft(=ZA$SqE#wlkCm-0ZawvP#@1LZzM=x@ znov%)ZTjzpOnaoJmR8Cvs%^TG9P{u4<Km^t>K(laX<ZsWA}8@`ygL+ma8`S<>;G@L zR*RV?tNlCvr+vqPW7pcATC8<VNES(+SCL{Lb}nDmJ$!GU;Mz61GcOc0h<;phd&8BF z&%$c-^(&QrZhsxtuDZTt+HTQ4?&GYLhBqW49oC2N&I@^Yqh5G}TYu6T#U<4uH|6xM zoA@XEVc#kmu-Sc4%iRU1Y=SM~*e-~jy>LBP=G{R_zMA4fW9|2UzrKhwIaly`am3Nk z7#D^Wm1c)~mf0%Ixzg43!tTAL{8e7__dOh3HpfI+ml&%vy*S?RVAe;EnUW>aOhNk3 zIf}ImcYX<-rudfoFmKb=ZLNxKVYby8?6=!yzpoIvacL`0*xJ6czu1>N+wbu9+lNWJ ziu4xlaKGyq{OiWKid7rA557CLu<#dWs7u)2bsS%J{^jP7*l}X+nVm}mM5|{0{SYAk zEcfQUf5|MTHmGg5#ClC^hsCOI(`T!GtBnyfjrq>$c-D%2LFEyxxweHCO@EdaoncYr zQ+#KjxnsY;S*tivuiUt+%&jXp`GhpXE_CoR#kWjdkrZ_K+{OjnhtgJ@o-{Laf~tgv zjR(UqZq1i0U;p{Ce_1a6?|rSK^&Y0;;$K!S{k+ppIWH9z*H^N=e>z*S=;n%Fd*)^) zemt6}yVOXu%3E$l@Z3=Q2#qZtz9#PveL8>o`_&V4w4SG}QIDRKT#=lz+eXyVQeakY z*PGal-{KT|^SmBQi|%hd!<YQ=hGB7*LPb#C)|-4&xu#4CvGJAMZtgeL^6C2@2UJP| z??ead*sqz{|Nc=|v{QBVoQ9>ZrE8|G<mp><YR~8Ci%bip4b}@-UEJpxt8i6leOs4h zKu6q+^?nLHo6GXU>)uY7==|QGIiw_XyF|^|=!A18#U|`azw_a#_3r&|RzH<9{`BjF z?Bb6ZANyJgP0IUL*iSAzw=i1kT34cjg1wcExZKsE{a<d+`pbP~uB|b<@6n<wW(GxH zZ%tZx_Oko``A<xe<hOL43_NzAYuoy{lf~Q^7@xjab0{G7^y4FQO{?Xrzkm56XX56( z<nDv03a@LguPrqEJ$+k_%p}GwZ6}j2H{3ka9^0(u&k%I-h0fK0P~#1m@214nFMBY< z*(^+<`RL@c3bp4qK3rY>?ZT{mmd_@u?&Lf3YgTveidSnt%33X}yz}>hQkvH9(!&#G z-u-WX)1tY6clPmV%*LPjZ=dm5^gre7%6%HaJ`)t5*I6z#wbq^2w~uk|w$cx#B^IHF zSOv9izFW@MD_M0jIe9aefMJfGcTd<d`!hB(r~ivE75JhUxIr<`B1=Ks<i)C`GLw%l znZBd@+06WNd?J>n8}DtHd-WKX<Mdhbro2AB`)`@9$)8uSX8(n-<U7t125O7UttSL- z7cMT4Q~&<w=j9HTr`z=xys-S>o_nsd#qDOBpYhDPzp0ut77HD;6^(8vHvSiQeunWj zi``|a(FcC#RbAzmblOrdfq#m-(!Af3II`L!xA(mi;qcvEJY72EvF^q#W&c{Gzis;J zvhLqEwS6~CN_1uNf|MnKbP68v20x9NyuNSWVXNsJvu<xywx4P#6mGZdy<%Hr;EP!6 z)%}(N@^(qbY&kuaeK%GT`v2ltmiOtiGt>mSv#O3wuL^$05%sogz4qxxGZH-e%swXE z)#l!F?(-V+Q=AFsj@tHQR;4|Ev(I;KRL{2W)9$Mptuog7nUZzfmPe-QfdA9KjNOS3 z1U#*_pK`0xD%M!{H0btXzn}9(6<iPXO?~QlDsj)Nm1X?Rk?LtbjZ8Mba4KJ65#qG? zaZks2XOq2GgP5loZT^{%7&FbZHS}Y=^vTB_JAGQugswR3dGm4ik2gX_4<fDCxpch_ z(k>Ujal~?uxoxrTjmtBw56|h{`Rl;Tzw=qFBJ<?g(gXwAf-Q4vgLPKb#<Q-l<~b5o z^k}i=^+x%FI$8qi+I45{r%ZhR$zjDFsm|aX=e3iY>K|==ednNr%aIE!Os4l<Q&~ED zHe1=RYYxhN3TL)#oc8=e)3djKq>iusKgWIl;?PAtMY$da{s@$5ugueV>uXbaT61Yk zeNRKrz4ek|D|a33W>dEeyI9bj7}UDgIXrr1&dRR2ajS%q*6jAUH{pSrk)HtDqoM@1 zgeB_FZ~7NZxu2DKYMZ17_nmWro;-V(h1D+k{orZ}$0MJ#oSmyrb>CmR=KW+nU018C zjk%lW#!vdCCt_<kJ;ytCx{S@@e}2D;&egq_6L&ndh~d=Db00L{oS2-xYw9kg)<r)J z0?T(Ey)s4Zz`ur{;=Gej_hnt_`Kh(6?bWZe?DKtHDU(A_n9Q72(rY$1QX*rQlFHeM zZ_DHB4tKuz?i{u2>Vf$0F(<^Vf&`CD$?3ckvNO5y)Z*1~za$pUkX{|+cOYc4+$twM z2fyo~N5bAqRFtokb`RLxd*hXd^(7zwmYyT>+KcRqfA3??4^~&3|Kd^i)oZt<n)&y> zn0j`Z^`p`k#k<Zdo2{^D%3gb)?!r~KdDJFu@sG-R_Vd}SmB)gF99Q`5Kb|~$$%=&T zyw9_hetx`}JK37iTlPc}XUBrK#!_;-GZ}6Ny1f#PJ@a$9Q?c&(292{1jytpS_LOil z{jpyB+T?DIWqB_DnJG)1H~!onc<KEY<03Z6z@;(S@y}K7eg66S-<Pu|q_@t!E&S0k zVv*%Kp-UN|?x&s|f2PkdW3%Oy``i9BJSYo_5S`9aQ(5!)@3WGl$=x4k{oP@=<l>C| z+`W~D1!{vM6CPVmc;9og^Hb@6B?}M#DT41ckNn7bzc6rW+sW0<b(4J`r7nNdY%kaS zpo+bHlY?#i#XS>wc~chc`_HJ%U$U=>dEp`Zx+v*$&sL;8;oBIz@2{lps(b!gQ~$jQ z>rR$p5<kv-Wpm4h=-$Y{`P=`Ril3Fzblki#uTZi28;{!kt-G5fHa8gX@Yn4uykd5A zg7RtyJKIxJIX~B4t=9{h7CT8n%1rj4o75xw`fdGdZ|+x9cw3ppl0NH_{m-v_-4lgR zGETUdoggNs&i}4H_Waz}=OUIoNKkJQUD~PoEO$b_XG5%&W9U~uw@*r$mr|F9A8uHk zASLT<a@WBqZ<eh}TZ8Ko<s+;$X9RAnea!HOTRC>$-CZ3?ivk^b&rjcY&rP@W(C&cr z!q=Cco)Tf|KfiZfzXq>uYKP9_VBJ+Kvc*@Po2+o?*n_J|DPGSx|Gg@lCG3%?r)$pt z+xV=D(ht5@_Dj!9`XSK1?ahjF%z^v2OnBkTU$*VS-U|hnZ#}HO;8fk>y53>y`TwV$ z>!`j=X^J+nX4u+hA8%FUxy^0!R6eogw!u3e&gz(P(Qrd$$nEETCVMyN9u>|AFMR!D z&-bEL6IadFcrY{7Z%h7*UmTB<Ju6noupWK#_s89pPi}7i=gU%>=I8qV%UVm0!n@zz z&hb{4NG(%lo1btdu+YAFmS@UOr9C%K>J^>!_@@<m^54{!9di%W9`<FZ5-Bf=Zfw)J zn$FW%9qqF5t?EyaZ46t~?5-T0oRw<Tqy9=ed(xDTHL_f9=Un@7Mr7Xe{=cklrzX@2 z`NsS>Abs`0{JdVx=QC{eu1)&GuuJyh<>OzTgkM$Ix&K1D#J_LyUvqD}o$wZ_{j=z% z_r*z%Rn(XNh@T#<aKS~4p<yZ$b4^Y0!lwW4O=Hq-PheQEcjr`N)(Mi6SdFC4mVJ<M z3)-2*;ktgB(^Rgy3ejItpBJ&+`{ma-fs;4Ff_d>QO_pU(q+&g?<?L@XthlxPbmnF` zEe<8YlTA#_iR(oKpHFJ~IZ;<`hSQIghYoBOo#x!u_koM+oI-8jS`FEp^n(SfHaE1j zN{BMtxL_bM?M3ICJ4@bf2|1K-dPhZ5$Wcd0@dM27RHht^<WGs+@c*#sgvmEsKG*w5 zZ{Dyplkw8(zb6=t#W{1dSi^<dqKm>d1x}ZlYVqL*|Gkq>qC6LT`S|pGezRC$eS_`+ zk%F85RC)4QHhtW9Bi~tqQ|D!!<G%&T<+B#l)>>&eo3-x!A~x5=M@`kf!|7c6*GVN} zUSU2>Ta{D8{3mORn!nq7ck7u)Ym!>7UI@N;ZNZ9G|BHt<2Sq0)Z+uzHX?)o8{l^C{ zZcT80^q_-7^7F}i-&NcbMGw30*q{DLXS&JDpq$$CXHH#Mq2>M6@6)FX0o7?Y_<sNT z_wU}#n=fC!dZok}8uR1ozi2bx#STq#H?0g_?-OIiy-`HNE%|&DubWqZPLqD;e3_i( zK~?&*S#rIK?<F6(IMJ!@*{zhIrMFj^rEOXL%=|qIf6~sU^X9%|+Wqp@OgB-v`|ozH zQSP|C$>shQmo55*N;}?h22|hNXx^@Bxc6r1<qy(df3EwtUPSbY>Ze{V%`dgpn>Q<m zmwLY3cqlE&?r@~j>0NtcyO!i+<h`@E{knSf>Se2ocU66w-aLJlo0xP>g~2Qlk)TTk zA0(Q&`nl!3TKA-cuq<g26Oy(UyQH<G!>`Yct5vKcM%nx4rq%Bh)S@`$GGjuPdcWjj zU69{@Y0+7Z#zhG^E?*9wTaqzH-E~HsiHd;yf;OvLGN1LAI52$FZ|Q2aC_VXS-`&r~ z4;FBjo;meVVbZ#;+Mhwq9F{9}zV!<DA8U~>if?gmwN3DnXO!}9>pQ%FTZ<_%QE}-5 z{*}tD0SlWO9<Dgpp|W^~+D4~`F-vy|G`{AW^moBZvrF*?g^xO9AN$;LZT$T2i)dCU zw?pK7iPYpg-l_eqKB64bU-wK}!DXjB!?(6NTYb&3uc=RW@;F>v{&9t-m4uG-Y{vsN z>PNLTHYn%BGi#=^6#wc`U-wu!(<}T`*`D{MI!qs=*~&WR<)87N)4cAhw)p>?Y@7Eh zI!~X;OMiEHS=H9087m5J%$U1Atmn}G`);Pmrkhst9Vq!Dvs-n6%spXU^{}KGIdidB zp_2A8PkUs{WmayudZlMs&WCjQ{)Ic|I4w3ay)2yl;9=5~ro5}|kFEcxW!ygP(8+f0 zcfaj3OH<jExk*8vSa@B_jV)KNG<v#5BX66g(4Q?iVP|R<MT!Po643AR)t%ni6%;XX zzUZmKiL=ft9$QmTEad&pv$}GVx?5h#@=N#Y{zR|Z=Ucx0iLE``>?fVxK2rZbZ3~*R zBqxj`V$Bipb-ewjraO2U)jVv9<XJFb<IBe<87HT#vu#=O@0wJhF{i)H?$7HtEVK^& zed@4p&3djI<tMZK66DL4^&StbS$y7cW#u#`PK~+$K7QqwnR8pB|6}AFxrgf$I%OHA z&15qD@Fz@ZpN8;Pzx5kyS=P-sE<EYW90~r{e1+Xd+#fD^yvZPF<}>Y;d&`bybMLXZ zRKC!ErJC1k?M>Dz^=2{4#`&yRvF>lll&O>NPO)0}X3?eJB428bOD`;%YvDfm@!G$= zDY-#QmT%qazgFyHBsVAfi?dCVV#m);YdoHQi>tSL;>)>{=g%s1*IK-A`Iqgy34SxY z)3&IoyNmoNDR{kjQSbflG3@Gf`&(v9yVj`m?YX%2U<||D)zf9)d$!h9roWnd=tEV> zsyFO~k5^fX3ps8%`PbyQ#Fksta~4R49`bIU%lGH$5%0$aQ%?3)OP<?sqcbpPc7*1y zy71@kU$|a0G7#iAE81yht1rtpOON@+>^4T>%r_29*2Y5a9Na9omfGj@F~6@~cGBf+ z2dl;8hn0^v{az`}zBs*pTlW7`i`0(^HKdwHUE1`QZ~6+E{a02tZM)&@a<ReKPg=}p zqoSuu@7~gtl}{q3?K1VTVcVT&$bY3)!S1bn!VHmh7pETR5U*}EYWlH5aq8*2td+aA zxUgN{ARc|~qE5k=qgB;9mls@^%jXcHGWYXS<~b{me`x;Yx}&GHFuF4I)&%j*UlI=| z=j7OI?c%M>j9tgAq^xzL^>EVX?tmau*@bUf*cnP+PB<1Im@J)HEw#`m_Ei6hTkBRb ze?NCU`?|f%oRj=TmtUNUuw5*AT8okWm3+v%&Q(oznUnOstD5eNauSjg%i>sZ=y*@= zQy#^0=Y%<rmzcl5w%MXxr}Z-@kCBg+RK9cf_1V1Arp-n1`)}6nxO+Bqu2|i*GYa=p z)*gN@bNIsRBXRtFHNkB2Qo0|A_e6cXAKOx9QS4!0n;Ex$mi&bi&kpr|NZG&jAbZ{2 z&&37z6C|pS3C<0#$xOd1G~@n1mY0d2tEX4}t31}Eovv}<r;3!nYF`fTKFifdUOY^= z*naNOOXi#INm}AJ%-FXFuYcBc>zVw<O-?t2l?10J>-^aj<oVOpckaC9tR*+4<)S@A z0vir8N``MM>is2rM`F3utaIf>juS0ix12Q#zh4s5u23Acr*JYyVzSpJzMT0zfj4`t zzs{|9(U$aE*7;$E*NxoQD-S%Lc6UkR^*tfm11j2sPaZjOA~yeRr}fI5v}tlhQb8OX zVMc4;>{S)>le+uw!<DxYtNf<6HwFm#`2O4UV=41f-=7;<iZvP%yGwr>ObPeB!g2QW z_rR%qu}f849L2@^jxSEkU!Cyin4<n2QJWR!e#$pl_12#@;p}%@qOamtDAM}8DP==C z|DT0F7JIBvH<`hdzV`E;PNy4;xgX}e5<Tf9#CJQlE86_FnAwV@uZ2HtTh?_l)?eu6 zH?PQVOw;f2rStvdlDC@|va`+U{P}p6y}`@H-#aqh|MGJ0o{xbac8A?c`Tz6Zrh5xk zYQ}Cgn#UAs`OZZ0m)nh<>dZBk(-<CYo^t8`Cn?Dqk@?T&B}sc49d?)zXd7!M-!^&D zw@1e-b{|oiT6$Ucj{VF#>)D0pid_6%y<dc{=VDk=NMGJnkG0HllX}v_UrrJXD#&=Y zHALg?`)}uY_xJ?gTw(uV?}s<e&r(Bt9}D#_TJ5C}7wVe7L_RonkG-MCzthoXh8NwX ze3tG0H@`zKT_vu1kJv(PPi>)13;urBzqFG1!JlOPXBjV7FFEztNQpT*uvd89u`Q>L z_}Po<n*0?MT$UO-wcVBFWr^mpZ{LMDCZ8_2+;*Dl;+%(D#lK5bZ}Zs5a=b<3W#aFI zY0CuocQ9C|AJMa5i|#I7`#&#a+Nz|q=?tGZ*S-rbneKOb!<VGbuEudYKgZ3SxbgBY zTRW+OFC2V9BCGbV^RherEWnDx=hp6Xg`pFlO{+BXU<uFKezbIZUaH`lt6C=WY!rms zb9bINkuD>sWB+?*#B`SzQa%0*=83<Vot8VjWa)6a^vb(oYe|EXR$Gsh+1~?`_pFG% ze}291*^GvoDVbXO>#7c>uMP5V`sZSFVx@nV@^(vMLuc9Iwy7`X2P8-@YT6Tj=90GQ zvz3uPGc|G=Gghs-v@-Nyl+X;JikY76yS^;YmSlUHJ~8Ovc7=(hQ^mzTDbCQ^H~&%F zR0{{oYv0`UKj>fQviP~ZjXhVw?ZMo$AJ?wmFKxA;W8#w?xqb5^H@Ndp+V#bp;Yazy zVz>ILLlf4qm)=sA4wt&~{P-I|D|hi94<_04Tu3;i@YCF)DwxqWeIH*w>+2_rUN8B4 zeATolm!k)BYZzzFmMhXoIk@STqmiq`gt_*a1uxxBIMwZ9wOOLO!&|>z-Qk|9qqSjq z+sg$)@8hSh+rTg{?PKE<maKqH6Fjf7xOf`}IfzviR&3$9_;zWqebihnt;wDJS)WCJ zPl%FVAK%HjO5|O8%a1AP{{)5qZb`Zr`N#St>*A0bci(SxH@QB&H*cZE9u8w3<|`Ym z&uDMIA<!eQ9QsdUx8_y-7QWrw2Y;R7>pR)_>KUi5Vf555Jd=4(%`SBAv{~w&A-ea| zc~+(M-2Y3C+t+=MO3m--nXspAj*0Sa6UVxr@4BaD=NU{qmb#JY(+|b<7d)z-S^Skx zi#eU&UZOGQpL~EfGm8iV2q?rxMZNNV@ZFMufdPd188{gdOH(rQ1B&vK^-|I*Qj$VL zSQ(i2zTcOwu~q-Cf>kRcLkJTe@0l}e?}@T8Ffd3kC@?T_FtTyn-M#%Q@4LJI|L^|3 z?JF-62O~2>LxRJOxKtjPbsP*J>m))KDho0&Fz|yhvUSNh*+|wseq8%K@2zd185<iL zCmSmp1I!o(urVC-;>^N~7#Kj955?6LNh!%Fj$UvllaV2G6635Zu4$nH8DXyc4Er<K zDnoe`f<h#oWQi%wQmqJCtjqO*ahg%H<BC&BQ!ln|S#_qPKz*y!GQqd^BdbMO>pWL$ zpLY1~U1rpwB=OcH`h@VSU3ytcy&gP_e}sh++1{~+u;p48NnNe|D5*R>_okxFn+4Bp zEVx;0=eM`q_`y-<xz2g&!xi$s*@RBrR-Lp<>S94ik8bmoM^ktCDoxzu8yaROWmUN# zZyW!VzST!6bmzr=obqClMBBac3uUwK-T{FI^Sig5mWwP&E;TYWHam4eR-$v7+WGFZ zX&O0Zn-AW3k+f@?-{d(8nUjvcI^g%LWq-%VLl+*kIu`qP>P_^xu>7u7lik}u?%G?9 zF3K-1?_0?6YfEE`%Z25ClR18EspW8-U)HblCG$Ru<Nh3Jg%_98I_)nk-}|Z2E_dn; z=Gt4DaxX569hH4?nXhz#|LyLG7nk3KzqrhHROZFybq5>kZkjye{gU~aBX@y+^)j|! zTgqEZE-cSIEL3~T%1Qf6=IJvI^UHV_@_fm>EWliQ%hE~W#pPoknf`7HT*&<;^L|F7 z-CN0s1^%<Yi@vzLO>vdO{IcG8OtrU`3$Xs$k~zm={x%-93(IAl^+D=XF6djQvHaSS z+TpN2XNJIw%YBSYe>cS+;eT<tY^BrwH~L>1>~bY!FX-=H8obbdb}RF*)b%+I`*S8Q zXZ*V<bkB6g+FMKXmuR!;O<1?-^mEONre-I(*J@3-%jY_qRD3sT^YrtZ8@6q<p4m71 z$d0_V;b*yXLvKF)yySwZ87I#Um0PK|A1u0HQpU;gw^H<i{%!X=i?V*z%CUaQoL)1d zq3@>o=8~xm^Ae}m6@07|yr8$cePS4^(^ZjU&mA2u-&kU>qDD@{Dy{wHoOMR4)@iO< zW-$LdgY99p#Kf;HX*cVhHfXPyb3teKYN-vbt2obYIw-=tM!{%dWLfVH2HDM?p&FCC z=WlOGyJ?a*G3S>J>x&t_i<~C<{My6vVyf?~ChOB1Pd?XN7;VO1KJD?;^Ue+PHhKo` zP<_a9i*Xi%@d05km6FqHj*U}pMybs&Z^^r9tUqPS%_!~p;SG9gdM@bB-m1hP9^(39 z+TI}E1a3{GlG9;J+b%R|wB+5?G~}>Np1et5-G0-bHVP%D#bUQ#<Kex2e_hQz{;Fes zD;om>_&Qv7pO(rDoqGPW<MB5lj2YAS9%X2;eUZPPp`q-imCK{b3-`+km&BTNnZI)V zbz9x#R_g30X@B2NS`%ybvfH#*`BrN6hO(Q6vEObrPSm)+I&sIWRA#}Xv`-IByt9{d z)ZMggyU{pNuJ+@`U#T7%9-H4t8%%k6`pNHEsaFkWb8ya|BRq9)z^q8=V!zAFU(J}i zXlI`8WPXqJORN`I37mY$&OTq!*2X-#SMAB1lSvz7=Z5q3o!0SuyD4y1K<iq=6TA*} zKVB3jAC6iXl=Q+n!ufD=T05^*O4b6!_OhGm;s%km&#HEQX?Nb8Bk$WVvqt0WCjS{0 z-qTN(J8#aBk2>J9PtxSHo#!DH@98Ixn|Aj~sY@9hF;I46^XU<v&hN&3y312FHG6uk zvzYAH6+e~y|L(n@x0_waU{>!J$;7mux8|2ME=qnQ?|$S&L{7cB)iJ$YjXlT1lPXRL zepTZs+xNrg%jtJ1HtT{e>CIMQmF&-+RCiM|Vn)rpw40jYCo1l!v}O1P&gnWlbD!Vw z9O+dbCQWeRnXKZe)U`;WElHv+P$f|5+Xi<**GU)NM+7~Ys-r1X`(pbKCy%3I@f-*D zE!O@RxW!7KO4Yl|MLuX_tN5XNQ!6f%&3=2pL;U_V-P&_|S1<>ydl1+2)+~ExS;CTk z+u8~rH_qd+dLFkxL3!=3-1nV%?Rg&M(-wQ~j=U^izbNF{w%eN3ch7v}mae^5d8cN2 zZY96RkJo#Cez@4vvfoj!d)3A@uO=)xuy=<4>-Wc)t!J)F@eJ%+_j;F1-SJ6_g1<kU zAk2JlAG30N4u6*|OSjRU$eTaT7fCta-@nP`)5MF@ub$X^df7*v-RocFhm{EzwnqG1 z|KiO*i*qaO_pskuy=1O>-|T+xyAozykL5+CFgq20ywGzfpXYOA+09vfQkhq0N4CX> zKKo?9t1z<UZ&Bax0~w1eh5wt@Rql_xDJ3;)#_H9AXQh_w3vey6+2FcjV%XZon^LCJ zD$nGdTDSA(XO2gV=S}VBMPCbjyzj}cWYfj#1A`arZ`-$aqWwGBRXcy`N-t4*XgvK* z>#Pmaxm7bw>r9Tz*Ck8L-QUyH+PjHWf7z9yqL#A2ODaL4!2(TJGhO(rM5OPTswPzi z<dsY)pZNV;=)CF)%WYo7CcW5o&b4RV>i{36o2Pb4DmC+Rn#Y*T@_cO>>3A@2&eGFM z<{BT^;W=%cl&<1E_vVR9_vT))xHv(vP0~8~d|&6ynLA^QCEun^HrzaO<K`(dO{WR_ z<gXN5%yuX#J$K8&V=@wEaVbWZS^xY<pR{G>>&FI3&-pByCt7b3=Q-|r>cquIM$D)A zEYIbr@$`urmmNEI=}G0xC7y>@9xaGja+*hNGLM$2G23A`t{t6U<S(1u$}-uUUUn%f z<;{`8iG~6Cc{@^;`F=A>R?l(Ud@^lGuG!*;J3MBbe}BMZR^;xNz0SX<Y?;)>eUqEj z{aod_9Mh>1>J~*lSz&H(WR}0kIr4PdUoEa9+cf6PxO7J`-C)Z3u*Fl&yuVK@&^TDJ zK>hLEjG*rmqkLawRd|(jF7dp5METHD%euspe@^y>Hp*7~9ewQl@7i|F(et=|v%*lW z`?=@CjY%)|O)Q`5>GpkL&d<_~u~lJ~7AyW5O^<xH$zaZ^ck)_Q>3&5aJ7ZTBPS(6v zx9EP+kGZka>J|3=yZP7R&gw4v7e_N6-Kjoe-&Yzu^?97UpYmpztG7}m_B(F=z4K3T zuGg1h?f;+THx)`%*53Sa_)f>KZCdk-H~fCIqHyxQW>e#Dn{K-09g5St-m^IE#)?@J zr!RYBddo-3Jo--9?xPlGO-_dMo$G#9e9j}T`ss~~iXHFIJi0NBd*>SI`bFU#r*r*Y z95>$2T9saRWtaZ^tMM!v>Yr!I-bvj*$NTM6UbhspXZveYe?BhSH0RTg$M+)7O`PL) zyf?7@)VUm=dk>7=->N6Ye_fG#`OYb!jj#L8I<r0BXXyQ;PHz97<MUsfb}wA#!JGSP zU(ugLJ7bgO^-tfQx&CE)O>Xh}Q_o8>mN|-fH6~sAyJPY8*8f`1C)itW)?K~K(Z;WS z^|Khe&2=*Md*foJU7q&D*y!E)PlA0vo_-3CT(tkw;-BnGi~bxc@O$>L`Np)<nST;P z0<^ey=A3!f_vgmnqQ2w#0RdX~Gj5u6)GO@URbO`V|KlmAH_wW`FEKAsC4R$a8Ot1% z_-*37Pc$T}*|s}=E?jvgGO{kQuPgEL3PY363#PA+PwTukW%qyPkEXI+_y0eY-|zTm z1*_NHaKHK*t*-VfbIvqJysKMuzEtLHA=hWqwDz<<uJW#9HY!u9lNXfa6@TilJoR+S zdi#Hlj+Qe|e|WffXYS2KUu#=`^w?~6%;)($v&1i4PUUc*o!JD5BKLi7_GQi9rn$N< z;+e+Pw6g_~bx)RU{*_!dw{znE3uoHXwsq{*w_bg}wlyX!?eUcJXEJ{$_eJGOOBUw& z-MyOH%d+<m_tG6DPd}bc{h51TVcp}5v}iGn)WoK^I+6YL8PDAFr1sZ5Tm4QvDSF}i zBE{*k#cN+}l2OeI?U`v=moB%zChYPLe$kU3?lUdTIc*<sdzrJ*^@phzTqpQGT{^tx ztlzD-Pqqk_DaV`Lswk4bc_PzTeEn6QnQxzNI(cSBr*iehm`&pDcXQ<RHqGog|Kmp7 zhVbkyCy&WYN;|eA`&hbJ+^lWkZ&)91o7r<aZ!N$3H?4ewpl^?i?Y7K(n<kxW>Nor2 z%u6)~&)w)ge<e%ptxVFVnX_`fZQAQ~v**duoR&c2c(a=xi+gQN=TGxAF8(2Kllz<0 zA@7?f(zDEN<dzgl<UFz57Vht@DgCqeR`>N|(sx?6y4}crBDpiN&oJ+jTU>Lm{;W*t z<U4C-TAHm}eEP%eMO#vT>ISUZq|3AX4@bxP`gxHte-iC>*PH#4nSOYqTVB_zjrD67 zKHjN5a)0J}d-c<APHoc^^xt{<)7SMn7o`vXIh`ZewKd<T=v4ZT)oyCbC1>4SB>!J= z-#<(CBgZzMSr?NRZ1%6X>v4U?v-fKrEvqTJ9PwiR>*;sbZ_@Su`Da&5TIHX@oLj4m zb}zed=0<kQ#I4~c1GTDC_fP1)G;MLr8}V7&GxNggZy&4AsQdY9nO1(V`M=Gli>91? z_b{n6*e`hT&W}IV=k=|x5BvY;n8EbOeY-L*oDQsQ*LZjOoTgq~bIdgPqc8v5tSAk> zx9rWEe^Ysj;-{Y0n|<!x>Y|ylo952Aux7r-zfb);&oDpa511%=UQlXP)Yk(RX8GK) zUoW+6tVoD{wyj|8M7}mvt$C~M`do9W^h514Cl;ne?wh`<P$uf{64xVFRy=;37vb^8 zv-^h-@9!N#k+Ju7F4)j|E=whA_gSxe=hT%2R~T!v|6~eooL+b3<v!DOi~GK>4NN~A zA}jab^sjSGZJ|2X)lK#bw%lf1zU$!yl@(f?QmtIIiA(eD?{jSDdQqTU8^>C*;D9fC zDE~xGfxxd5?DCmhR2IBaOj;7!7!#%`A??8@c;cYjuRR<urpPEHD8HQ|lT>rV!(jHG z#z)89e%WZ$$t5Vi6`3)4{#VtXr`PQiY&FUilc{O@5i<Rt^}9xYi6@QzGj8{o&%Ss3 z;xVIBOIKX%IiwxYeSh-hmN{!Sn4XK+oZq{t()4>_&)L=Yq!K@Het)xMSHEA6)LFgB z$C{hWLY8q=vTWEZAGcA9FW>St4}a@k(?<u?bBfRIx$hG>|7g(aJt^5=?%i*ynEssY zM@w}>$@R}07(X!ECCbaY9FQ0PQ+T-W&Bxwn#(&(3J$8GBM^0N;w0d*k)2R1rwSKcC zRNHL`s?d0&X*1>1zU{|EYYy3HUGeaqv}MNo6%(fwpUYMiueMRxX6~ZJ@;f|mu|#U& z>wqkoelwwo`;$`nxV|PWZ`qeUwUpg$lA-WgPR{F7JC?WWG8nKb%?egfx-kE}UC`pt z8=-vFo!44U<iwOst!3E2?65dADs&s;`Kc4brdIcu@oU&T5{X!}fOl%=r~cjh1#^|B zNN!x5pnoyXIaRyV_4@sNi6?e`xBaZ<^s-E5sjkjuRej$ZXV_%tp3&O2>S3o;($e$Q zi`dwYWCgrkkx|gpHuutVOGjtsvJ8)xONvY;IxqiH>H8$1!H=)-YO#xq&x44sb1pqM zY)occJ+HZ0^@!#q-`(t4oFaZNKTmVeWLUl>G{G;xZp*^uFB0X_PaJ!AtY2~Ku~1px z%93wRALU%C9=QDSpLoXd{k@~vzuPXI4NzHMvDqW+rnwE{9i7@ZrY)y>`u;1HrgdkT zWzD%0*%vI^{^VTIoo2UH+K$ZaUn;#`h)8i9P<$&QWq#eaO(msZs+~TkWJZ#HrlE`i z+s5fj&s#h27zmjiW-vZ+>A7TrTjVrDk0Vo-o_BUyeda}y$IP`)YrCi1j56w*@UV9E zmrC~&8V%pqUJ7fpocQrv@w%gdEB1yaB$eo|-tc=(OiuylZvU5`dkPdCZq&wv*sfaN zvA!dp!8U%CI%_kBgzeJv%1p-uYz>ZtJQs{^$?z<lcj-CjMIo0}pQmi&I^*fR<KH${ zpUTkvQxARJu6>)``&6|<hJ?<lI_+9HfvDD}?4kRoZsR(`*&V+2%M{PmUmRHeX!=S_ zk_(zwyz#b$klpGxr<hf5FZaqk6reF>ZSc0R%EZez6)t(0P1&+>O7FH?Z?@*2h*Qsc z)MNdmV%Z&yqb2iC^CcB6?&dsvOULcgfiLctbQ6#7mYC@F%cJW23mf?g@ylB~OfLB~ zT3$32YK^+7s~524ROg?<m8WlC5lwpfBlPN&*AG6<Zw|6EUb1Zd?lnAmrS&rJZ@R4B zp>yW?Ozxd;UhUiP=kbrwoquAtl@`xw-w~?1)@b$q6Viuk(&8n*buJ0D;Jvu~<M;JC z{LlCJK7L=PIIn1?>%=54-FaajFFrozww|MbeXq?9pT`$H=843e?pO8BNVs)Z{C{Oy z_X4foDW@YMt5g}37^*mqPArer;b7V2Co-|c-KOGp?l$H2X+psoom=;5PC2q`-Rq>e zKF7Tcmq_ThdHi#FZGW>^z*=Q-e8%7Eem57_yOZ~vKWUt?`)t`0f!hj+GiECryWD$g z+Th{Ko6}rgW_j<tQ0(<mIUzZLd4bnUW6w!{D*Mn@k$%1OF2Db>$C=+3YX4qc_-<<8 zx8qCKowQ?e>W`W+_qL2)>f?|yex-Yy<=OYH?U=c}G}<_brS<Tlf?oo@C%6@Bw<^rq z9{*=k&DD%j#U<;Xy%o>qwYJza@!71Kd7EcVxWuyf+6HD9(6~YgdkDL*ph5fFPt1=l z)K;B3EpT7>Q^)oxzM;_<Bb;*1O_kKRt!F53t-R_~cVB(0rIO#NrAFbZ-CHtO9-3<S zRJCKRS@*$X(lt5hXIPk;@5ZPtleeq7b7Mo;swon`CSCKsb&_kwr=ZKhaTz%k8`8q8 zL(G<xbQmS_&TE@@SZ()NkEruy2L<JX`aURksAMc`6+EIOkjUY5LW#q`+(E-z)Bb}a zzl+=-k4DxUYk|^+)(TfX7nwT_G3kG9EMPN<NOHAkmXDfo(1;_Mq4@)=9!Kp7#$~KJ z7QH`2S2+Cf3{_;+;Aj-t`@%1QN%{%Ds$Te%18km6f((+6nt3`DSS&giI63ST&Y9?k zJZzl6A(nEHQL7|#{tbmjk;*1MnHvtzD~;?cb|i61HSGMkF|SdrU0itaZx5R|wvx>G z6)rn?H?>}=)u^tvdlAH5Wu*Sc)u&hEgwd)^2E1JU9KTn|uifRJJ>5^>u;mt0$JtII zBF!%06YeG*`gvBhLGFuXv^(dmE1|Wnj_WslE}yufs`JoI{UD({heLu}KB#InZPvcr z6Tos|ay_@8;?Y-@&K{-u-((e6*zox_*ZE9&^>kPBCJv_?&f)@x<aX6D%>VF$S^n3D z<FeHZ^VRsPG|GzEKXA5NF*u7#{M!+6KwT#;G5PUHnFH!Rj~b39{ggM~!+2-YL9eY+ z*$neHbhByY>oxk{NOe86`cHrNEaL`$3svU8FKZ<ZsNZRFl=vlIUc*>(Xc_mO@2T7m zgv}xsOe+2Vc$#*D|Ba<g7n<))W%|()sj=au{ksaL_*o62pLI5|tP<l4dADl28^in$ zUM!lS$2l2otP>?Tg6_U4{3>7mocTlLA*;}ieKO%mee4f}@4a;3|J}dZnYHGS%!j|n zTXPxW<{J1g*S`6Cyfu}<&VR>bgA0d?Sbq2KE@u2;^H4_BOyM-!pTfdrFVyF3a_BwA zR39@{#NdU$#k3UGe>==-S-YL42A$MekhRd}=?bR$82cmNx)w0h%q!?IX^iW8(BFNi z?#4U;A%TY+9g5yPjtU`bog_sPPpv;7FFw_lA#HBKo6f21^)VvP7=E-ks^586Hka*y z`k5@HrHd41Y_sNR@SkzC<#uSEx;Vr94d;Yb9bdR$Vwh`aOh6yg7R_f)3;nin2Qhhg zO%V9dZKcyGV0$>OPqzK8n+JP`tL!AkXJ19jC%m|8v*`Pz5Qd*8UX^>eop29Z@7xfQ zFREBo%#bMG`QeGc-RUZdF%D%D6mn{~Il?j~)kQ2V@vvJ_<0lc6$R}}R-{;m1uPY8N zFWOb$a^_*v@taI}Z}oH^ToX{|F5u#RRJpk}=Am@p%NuXxqIayAv+AK=|KG!0aV?<> zSC_4rz|^`y{&$;Zhn8l`zn&)Xg%?u&UuZ^5xLefZyWF&KX_sN*)9DMiQoTIB8gnk< zYHQgbf3Q93%M`&ansL`fcpsO#K1w!!vynq_txl%_i(*U0L{n9v%`aBplWGn~@$J0u z^Ymj5mfTrSV<wh9iPxBWd-`*ByBCc7WeRmak6Urnc7C(Fx8Z}%hApv-)3(WU7zxIv z%E@$Ch{isZ{vj0GxVUTGrn78|y4Dr>^Io$Et&CjUXjbZdX{vhc+5-)uHcQSQZV(lJ zu+fRLU-Z_MRYu-7oU^V<3ri&Ozx=%Hf~K(*x5q{2Tbc&y#uFSRPB}&K?6FV0kh)&z z?IyqKgR9ND7b--2Q-1xjN$TaK&?&7?mA8p;Bp&1nx!`TWa@;aDQ2%t0z_g52{j2o8 zFIZ6Xbj|@5)sF74O*=Z=m~tnz-c4hf-&%cY`U+l)Q#n@Lr%eQZ2!%E-)(JmyYPG^P zcNdPHq>aBL*qTh)PMf}a&c5Yk?NyJ^`pQ)omc=cSlL<HCUs2P!=|JJqQiZLrRBxTX z;_0d%C?Jz5b3tvVTFOtMueChkf44EtJbJYvevxLu7fs%b$$uVY?K(AG<HqsJ?QdBp zGVWv(xh_5FE;E~p^4c!0y@qd!8FQ_&Q_r3*o2C(R%1m?SA*LNl5~Y_H%oe#N^4fCe z>|K*w0vk76bC&1&arryv7dg%|o8Q|B&Nx4lovAoW?6-xJ;JQQq81z4{Ro`_ZxVEGr zzW32I;aeunwPC$U8@`)3%nn&>AXau&<5{NC)2Ba<HGF=YpceP7=BDWKuJ3yoat}QW zspV8CDT^%0_-Ds;VcGj*^%-Wu3s{(T-3VN~^RtTMYt78`Rp)n#n|58a^eZ;2m1(_k zkAZK2ui32%V+JAj8wadd1#(YaJZq?wQrYj^FyZOmRUG`&l;;>T@J~y=QCPIQgX4!y z;#|conpXoO_1(9qE#V1P-uRqjp|9l}o#Tp*3=4hjGbVGa3)7X~;>j8snk~|>YE=ef zK<L!9>{qL&n)WdS?ESvxS_g|=WNhfi1)FxQk~*$@X+;NzUHF<g+T5Yq^Cj(qZ8vEP z@6C8D%q+^8`y%r)bMLklE*bZa345LpadJ{NFy?S%D3)YkI9%w#`+36@bCCvSi!d7v zJp&CTBO5arjuytE01*zBrUU~C4hIE34^OF1#xE<_)!&^^ua9_NGjp;*#)p0{hh5gM zxW1oiKW{g^aF6ok%f0_<>mL4)ou@rT=w!7dOZ+GECh<2HrdEC5xMpRA+nkdbZNA<| zwsTB13_gEmZr`z#%MFERAMn?n_tE*TzVu39nfj|!_Ok@EH?O;AU2*wx74Pah>ug^r z?`2edQY~|J#^(PQF1*PySflZKJNKyz`+9f1;=k+gT{$%F;gc^b-7h~lzIkEEoZy;@ zpiRa~-{U3zpIuk0q^Df3<gxa3z3_6m7!gK>HfetYu6ZfObLaD_Wwh@;@TBDaT33;H zYc;vno~m!=)dx&Z&(L6b*xx;S=B_zsqBV|IshXQ!zO`*J508gh?1o!MUn_Me{1RLl zdf+GD<(KDJB$oc(ChvB7#Wbfn?;Fu=Ue~f*l6<On^Yz?tPxxKy(fY#RK+|r+jA{H5 zLD3U0JvfoMW$7k^1-cJ2|4a(Fp7Q>2+*%RZO<x+roeE6JqRtdzeZ=4hc{_1Dd} zE?WrCU%~gy=c!lIt<b1CRg<7e@%E-m8MZRTY}j&4YtoUV&fa^kufF(XmMG!l>zd@} zZc)Nf8^oMqa;sTU`oIhgOGn2Ny}Z9$JC6BH`I(d@K7E?o_w}DNQr;W)`8|90^6}}s z6D}8K90+$!H{Pi3dOg%M-%E7e&ueQ=+6W1B3haExd$v4I$wt7Q|B+%+m`~|@Ui(=a z@7bj+@95f_ev0A#%I`Xi8=4os*4w@=%e0$E{M(-Ik1XbGS#WJl=;h<#R+nYnJ}&p3 zcsE>uuVvL*o2gqu7Psq(tjg0a;a?%HnCrRWfP%Dz$|tTFD!VLa%rW|TtasC#peZUx zZS9+H>E-r2Gfdbd8hm0cTlsajS4NI~b8=PYUzzVHv*%dmwyz<1*~_AT>Dhi-AhD`s z8?VmQ@=KAg+LF)i?f$WDyW{R1ciuEHRdhU67Sk%Ze0}LJZ;N_XyTH(c#sSY>vt7Or z7%FNY^tYg!vstlg&eis(kssz2IBpGM$Tey{rtLMkVb9j2S6tj)XLc6%r=P#xJX4CT z>C?<3kNpH?+NGZau9_!vs(0SQ=FqnST?VFi&(AY5y;2-Iqg%;6tLeX=<ckZvyJtV@ zJiKDtp@pkf>=TgY$oyM#ly?*7`ZEEZTM~r)zSW;f_}sxL`0Ff_>G!G4{}tGa*b}2Y z#b+<&k`7z`u=u3<V$Ua=*163pnjLF!+G>7E+5h`z>y66dmu+deCf>4v&rzb!DkHt$ zQ+oA#k5!u`Gipvfdt}}Dbb$oNiXYN@rDWQkELa=!<dDL%r7eMFpUYn#VVZr-;B+@r z;tr;*k4xJV<Rmq8oZl^a%j`V+^N)Ah*{M?5%ZqR1b=0Tr6qs~vec_y1@w-+Z@^atJ z4d1ZoArC|HnRVA){k=7t%|wI`Nnbj9I41tluSL!unx{WlFX;JeN&CZqj63@F>yFR8 z*~ho<UGvn({#SYDes=Kr-592%FV&^H<H(P#$GJ=v@9U9ZI6g(c#d%7?Cd-pcZ}z+s zxxbF@B>Qt_TeF#b#m6F~mYe(#o7=~iw1+R@9*0)F^7mWSGKKr33e;~MS<aVKrLwYz zOY)O)vz+&q7v=w=pV!U0XYP3Hw9Szvbvg6(_u5$Ge@OSYtGY37`T0AW^N+uZeIUs# z-y_%`P}n7RQ~G4-+65D)F$qM>`8&T%P3iRFVBz-yPZ&=K2Do4SdZ2ycenEw5<H#nL z>M-fL1K}$+n5x`6&FrWVo2|C4u&L4EnCYcxm&=te8h?DzP(025nU&A)>Jg^OWs|;t zUtk>ZbA8s6-=STqS7tcuUc2>(YiP2P+ts2K|0f#X+QjqqvEQx*@}+5tdVlgo?)#nD zm>DK!p(s1`*b<vHZ*H3YNn5l3<O|dAxX^3Mk9s9VF0heYXZ2w!f8G0^$9H*s2<5&u zJ18bNxVFOR<Es<%duK2OuIAhJY>CXA>iznU4=+-mBVD}5#=82GLfhw6{S#^p>mK!e z3C!5~T6(ASyO5e6xlT*BX>@Ot{Uc~OUGMDNFPB*P4ob!9?)g#LcyzV6+XCxXZ0!u9 zOJ{DIR#krWG4Cq5TX)tgN#=fRm99?TtFbXcPI1cNXWcJ+-XC1|*0E@b?>86jxI@<* zbDq55-D7zF_5bhfmzPL)+&t+ccg`<5J5`Wv`U2kUXUZa9kN#SACG3r61fSvhIkzTn zXzh4DKYnSZpoFPv-j=R~8zwY0@7j1mTGcM?+B=!-1F4-!$`R>IRr1RcWTu65C_X>_ zeDTVsT$f8~ermAUzrA$pS5@V;jT(Ms2gDB;Tw0#Rxlf>1_P3n-ESttomF3EB3Y|~9 z4Op7z=+~blkisr|U1QI-te3AoKRmqf`L~sMGWV0WEftxj-MrDO$t8FC(SItktaf+L z8RVr-Q#>kh*ssR*%IZIxyA?J&T;E#!`Thk@rbfL|$Jt#`OE&I_i}F&LcrEsj<_QK> zW7~DjV%uZW?q2<J>DGNMjXO3MT36my{L<|>_1p?h56cth)-BYWzU||i-<1yg4!SGH zB+cUYQ#akwyt=g@lwH^9hxPm8-xox4vre=+E+VYHBA>s@^>VS#nW$%L=SR-lCYDmq ztN+h|^N{o334axXxSW#N7e|CI^w~eZvsmuvwv%-md3y9GxlgS0daPWf9vB(0_u%A1 zZ^}*|w%*2ZY3E!6iPi~x?JE;DM9s8);=jOl=kIsCy?r*{8{YI)9LV3k=)Ah{U;l!? z$5Q!rGFz>=*jZx2qNb62^Q?+U*$##HjyL<Su3h0(I4`HWY_ZAuV6T9NuCQ;XAG9q0 z5mH*cT0~}3!0nlv&b<2OQ5Nd{m$@=kVGo0nJoh^`bI#5q^EECldRp3W`e8uL$6L{l zuD@avJ2FRwt#OTZ;Ddx~ao_I?L`o)H*!9-COmUupL+G)<RdRb4xSd?=yyjoV3fsrM zl3Mo0^R_V>YkFjVIF)C0D(FXfn7DZQ95eY~vqz5Ccs8zzIC4$!>SV!vC*1;O8FAPB z-LKN9_G){7>7HKqxOZubB2E7GoQe<PG%~zeI3;6o_N+e|>Q0+KdDRFPU-0aS36=8N zX=U%j;gzt@`}49*CVN69ijL*(6bQbVaN0n|y;JDK(r-#BYrEdW>Kxs8%Ed5{HR$i| zu-Zn((6f>S-G_97f-eWkgnd~o`R&gA{XSj?xPO}cx!|89%Cu&?=C}SEM`Em}S416t z@kBshV(p4~!f6w7RZcA7KNfuUw1-Fw*ZXBx_Xc$Y&NK+nTe|Y%gPq6rIVjdP#=PAu znz;X?p2DN>j&JdzMzcZ`rcZXynE%Xh@x#sC^ZYYj-4tcws-5c1%r78S)uo$k?P1|0 z)9S00x%r@Ku8UZuQu<Yv4rdVo)>Rp<@!Hzf4X1@;8IK(jJ1n|$V|%mz)#NJ@oOTw= zc0LhM{j*z8?Ny}(_l(ew2joR1CeD-c`H`ou<o=@}`Gd27`(MEw?U$qdZn>QiWn+yw z$9^OE3)jrhZ6c`^3_F?BkDJTwP5rrWiztW4HQ%ev-!+p{6#TftoiA6<w!Wly)Z0<# z4TtjzvulZbr}vy-H2D3Fw`aNFl&)|tNe0!pmn}=T-RF3CU}Iy0{iVL34;t^deoURJ zl)L<ZP5JU01$+lLr{|Z|K9y<ji>}Yhs>qRCcHT_$g0I!&73nUsgkL{4d;TWRVyn}^ z{BCEaWSf$6#rI8bYF?a|9;Ys3)+?J=vC@5R_&)vx##4@}OBmEji$$<EFRS@7*W}gv zSr#+73ky`2xg7YwvDkXzI_-~vueUR$HUtG&&Dt*I7i9F%D?#h#?THniZtKc_k(HcP zvExm0)iE>XLv~$lWlUaj_s;bP`}1pTn7DjJar=`u3k24ztH?`oZ}2h<@bKd@yV-T) z)nndC-wMvI^Lgj^^-1B|#GRFi=AZKKCz^6yOY3v++8}c7Cu`Y~IbsKkzpM>w**$;N zH`Zm$<#8|LVy8FGKO_1x<)L?sYmLt&QA_J<>Mlpr)-jl#?pE_Tb?LU&rx&-^nP`ef zHnGp$aI@@FBTtmTaU&l87M+`1dC&Fl9eZgN^4NXJPVehVJ>6Ho?=A6I_;SGl>7-(Y zD8{e$+jMfjFWhL&>#&RWSjog$yOXB&)*Ur46O|A#s`#)t(fP(JE}rIVW(#CiS6#X& z(m0)CyVKnVw%2nm?dE?S`cEldu(D|W)jOAb8JBmRdFA)!lUteHg2}1|Z|_WU<`rpH zc_{Nwk|p8i)?nw4`<HXx*)#Ltp61Zq%NLmj*3~jk&0Q+=<u}u_n5NZ{jDMrErfQTO z+4@{xKG?qXER#g@^!=6wG8L5@AD>?TWR*=oz^qF#Qwrse|1|dCGcL()UHof)yf5=b z&8g2dLjNt4`>pq&>WODB)7qbheW$ElrXSLCVhzvPdX<+g857PNaDDUk_oJELKSZy3 zvfveK#7?=1vs0sXIV|fBJrnvtjeS8~=ELhEVchGD6eYsE=gls4WZApyQgqs)7VG$J z7ld+`*~_K=TpI9|oh!<yP*gErF-}@N%rN?S;Hy2hw_lyPUst)nNW^&k%z}>(s%J{n z6n{-m3ss7p@ro@ob%pNkV$BmGv0E3##4ivj-^zVZu)CO3YnH{$<&*NX&ZHEtS$U-D zgzi11)GH0ei+8<ls1Lr-ck8|Pm4lBxE4}wK_4#VOy*MS#w=5%^ebtWD4D+`fyz`|^ zVu}0zmG(SmqQxJX>aqA=)d@K6&wjnEr?LNVckYn@KKT=?uAPdV7nQ^Eo-<&>6<x8A zw<3=dI#2Cw*}RJD>5sVZKh{>|_9+*az2Rt9>J;}E=v}3{A^h>j7hG93GE=VaeW&r= z#XhTO_USxRC6Qy2wt@nOO**a#PU>ho9k;p2qgrMq&xG0ojr;9P=eqAXw%ez*a-Y1+ zL#M;{c7C;a{Cl0T;IqeNMeLsZwI{EBRw?c0ez2v+{({5OWn#vs0uw&1ofz=u)0*a& z{@oJWWMw|`-&<whyY@+LhtUP0TeH3`S$mm%Z>HOGCyBi--xsdyneb2lR;AHy0RyRo zn@-6N+_P7w?CmmMkUDQ$_4Tv+9vOb0$Flp`*{SL60{oF`I)5b2ORRjgFOak6`+?#Y zTMke7v6E@e?Kz?^uNqDan0Vy)#3<SM1&0gz--g=8{mVR~{ptUw%0kmJwlev7r?#oJ z1c$6$dhGJ-g#OU&?pKwC___P+ZId&3wckGd&T=j7XuSAOi<eiKf&x1e8K&=3Uv>NN zE5S(isYaR~HZ?c*8+xBJ@>58h(y$;X<le2;b&n3V{5U#OL?krhE@RHD&2H)3%NrLT z+*DO;=xDbvcXCMhf8R-ELJ{Jjf?nRmYR|Z3WOMUZru3!tJ?q?YE~`IGuhYY9@zxkY z?_Foxtky>;teLxWK}f>0C=Ry?JwmRA?~WWw>7J%xuDww5K-o!y-8bslKDwM<-Y0jO zMQXLxuOz3OpJ`Eb6Z1sWPC8h4_HwdWUKA~eeW%)(T;sLd%;xOjZz~qZ1(gKtRlZyD z{oQP)L%mj)pQp&5)eq{>(p`1`U9wRf-&1qP9j7-)7Sy`T^Zx2L+inrZH$7i>aa-;s zf=A!l=I6MUHMe|PvV05Q;u*Im<jHC7R@n05^r5DgcIW>%_cip{7)f5*aAeIR&v_9` zY$u6#?pr(CxQzMUiTNHOs(u&iZj}}V$XQo*2OV@=@@=`2{{AinhZEd7o}V}FSanWt zmYa*I<{RdeG83o6``>N%6kFZXx3&JR#Qg%zA2aTKS>bVb`MO}!W&0aNF8$BW%vref zqj+X($iDEp?f&BYCNW1oKGT;un7VNPCDAu(Hm_%Y&71UT!ghIY*@8>klD|qUd+TSW zaB3IV>l;hwEt(Um%c*{Cfx_mVT@P<e`em+uH^JSy`qt&zA0GEIT=u$rNZhj0gY%}q zRPS8@l`h_KUWp2+snQuvr;7_ON2IK|<1zd0?!#v1mb@%<?f9`@IO9P=pWy2np7W7n zYtlj#mFDj{@tZ^W@RRUQ&;Deem&}-XMRAhzET@Sw%&AI2FH<r*R2D9E>T5I-Tv@Wo z#AVWjAjRVjXMOSomupscx6aplbnwy5gnOAXKQ6u9n9t_>Zs)n?Wk1fvq**_zSbXCi z-}Z>dd=oPM^q+obf6PdQ>A{pYA~shp=wIHvD%EmUjPaUn)6Q;ZS6sTf@ZPrC#3JSV z)GubYr*4~X^|t&)&guD6$}}(CzNhQ*O0_h~F!9OKpL%~CyVB-9kW2Et$1zQqS!TQL zBa6#9>3rK|4P_SpxW~6y=aI$bH@p2rj-5I2WS#ec8=`K<x2tmJA5CWQklWT=%lVVj z{+G?7W}oBCJcs-@`u^^meb77qu}=DB)*z;fPT`9r-{0wXvfk!(mA%+3a`6QFlj`S$ zg=7}@q)L1=oAYSfo|tK&o94_s_3_}5Dg6r@dN=*{JeV7rlj6E?@3ZMSg4t?&^?cvD zxNeP|Ytys9e&&AXQ~6raEH2#fUy5Utg^z9v;Z`W!n`}05k^U#{=1lDeo@$ZJx7^mL zYB^1L(!x{XT=VeG9G!!0|9icB&O7E$3iowO6HjQHGG|LeQ5UbNE8C1cCT@8e>zeMj zwR>!2UG-jd{kkx>TUBhC&-dQXe!Qst?=FWEX=T^XdJ0J;S<iO-;*++sB)YY)J!Zw9 zr4fPFEV4~^qkL<xys9!dC3A!MQOXC7()kus{->6di`4NeZ;lF&V)T@#GuhEC?iOgn z`1S|a8ZpN#7gpY>N4gVzJZw0F{t8|TTWV$8v9EIF7KVl!Yt>RBB-*xiWax?s*v1}l zoW-~)AnbbJ)=z1fZ&#c8#k#8N=ZYNmPG^eBi|Fc4=X&a7I_F+(%f~r<+vn~p_SRY9 zmEWHsdTQgHqHT=xgV_bLnN0bWUl%n0>~THtW)G*+Q-|3Zmv)@{kbGy}p@%kGkJlO6 zehc-FTW7Gq)Zk96%nqIS)Km%4`HKIR1!)=EZx!O`%VRt(|4+|lb9>Gi)n867dzmF~ z+;uTrqF~&Ad}~nc945ZwzH{b>Cs?ZM<(L<-EH^$SWqPw_g84naJxrX3+VcF|CpA@g zZ~0ZZ(BSGZj^Y)bDj5v3U5|CY(uvtSOLUU(nRmWT>=mmySMbdIVB+=j<FN{f&)<WZ z1pY<cbeztZ@<e2f^3nTMqAII;7)`6TUfJ&c>p+)>diEU#=DFsE$_tc?eCxR7mNS$b zIHerytHrYD(7y6>ZL$*_y*|Wgm`KD%uIj%2ru(W+OnPDdsp7zEZ(3&Q*!JJ@NOqZf z{QuL8-&)UI4|qP~{>`PgE_$7~<89%q*{QkeLEQ77C7LY#+b-(yIYMe{!Kpu130FTW zEZr!Z-Fm=wL5SR?1@@6g_Xu8@CBBqzs@Y+SCu$qk*vKkrpNYIO(Y(0!W^>q!HMZMs z99zl8b=)$2!r?S#wWdI6#fiFBi85;0hE{ARcKS}PIe71tf(GC5g%1xgJ*}V4d!tE4 zb9d0U+3Dxrx!)~_U8N^fx$2L;-JI<WQ_4MhE2Q4cljCN}kGUzr)lwmNF#ioVpS-~K zX>D6I|J=N1{AG!z;>5*wDkeOY_nO_5(0JiMpyi{Stj446h1VAA<_6@RYI{`q#in$X zvRsksi4!L#E^$nK_ag3x!#-&dM&Bo^U1C}G*6lNxn|`M`Aj5Wt*72+VJsvmz^o&}< z_|Z=F^!ACd4DKasB3az)a(LO?uAX<1u@_>_KP)O;;(kS8&jP>hWKE9to9^{5%s;hr znASc^jC{UlLs7i;PJNjzGbaCiy!+X`d*7yH1h}*CO|yUR@#p5k9?k#F|2BqQGBBJi zD%UhwLVJIG!v3<;CUdqPtV|bD`~Tf##=m^w)T;ewJy?|XJ)6=b8Y#JJ<CTv_pLZu5 z4P`F#%cwR^c=WAzFW+o#nNtqFsea;O0(L4|z1>9{71=#9^xCA8I~r|#RSd76ue5Kv zpZ;)%?{xd!?#@gu{K94LgBBNP)-F%lefz@F@X|nyAD@cO+WuP9@4$3@`t3KDu0@#~ zUH$tOhe<)LMXz1%T36)*-!CV=TdAEZ!ddYCUTdnC*0=vv4CZPpY6SXkmt<(`a<T4- z=Sy~v&Q#V|VExNMXPL`qE+^;R|G%zM_!8vtLSnYJ_|#gC3f(W4|6lDEW^jDYrn##l zXNpNm+mU-yub%dve1To}#mnbU)n7QWH|^sN=l#1PB63&nRL!=Rb2E1(t~wQDSbo3Y z)8A0NFy^bJPW`;vRrBB6G5hoP%V7rD&;^cb_I^7SGPlwpAh1d_@L||#yI+@=CU0^w z4><R_A#*2diXP*UF3YVVuBFLOS1?^qI{U9xxOT3!#UabPlXa$k?8~0yraEoGy)%dT zoA|0{PR)7a(evW8pSDwm(`03X4U<m&4u14nUbP@c@YB-h((aGFr_BFPU){$yJ-EuO zN<@6~Lia7!bId!cr2XUjxLsE`P38T*NK-Im($%dUoB0yv*ZJS?=$)uooX&31@ki)a z44+l)#?>n3Mep>E3q?P<ezzpV^Wt&Qf1WSa-O>_Bj{ULV`_UWqO)?I~5$50S#Ba^+ zk6gJvTp?6C@YSXGdep5b*Mqp+cuzAhfHBHelp>`4ETHW(3;up%WZ;cpn^(}srKckx zaG{*J|82e1>wrJDS4<z*ht)Omw||z5lT&l~U=#Cro?+#8K}U_*u_qZA9d%Xp)b)zu zvT8fOF1<QEB);pj(46T(@l~INUU@Iq-&uL`%hF5JJ>s)I3(cN>ZI8*XNiV#!;<#!# zzbrM;uif|LXV7!+Ir_Q#mi!c&HT~S4DL*I8oNf@mtLEgVrAGRp`&@ordg47v-*unM zGu0E*kL^);w$wo1cHfg9L65zA^mX?w`4RNUyF*`gU&@c5hu$svy!%vs2+f$jZ_ksb zOZD^%D>F}fH|jI)`|^F#eeYWR%*xL9OZQISw5R3iQf>X0d#8LCy5}7hx9XG7)amcy zW__A;*Sl2Tv$FHuQVo5_%FK7FJEzavBl3OH<mnIMs;W=sF1<gsCj3=w=6SC@+AkwB z%T@1A{j{bfed(R4@7AQGtKONK7rrTWWtr-&scGSpVppE?+NgbSolE-C>r<btd9rKL zoT*XaNwJxws#m8zTEmjQ^vcwGYg%?)dg+xHW)!=!MD^0tTWgM_Ej88dU8k~Z(yXaY z;Y_ibXT9cYSFXFVQ|P(ZZ0*c-Eon=QwNuxnq^X{nsu#W~X5|^LsoH_-Om<Fs;x$ow zVkBqLQbX;|NX;`|{o0o6uIvzc?A5KUxo*l1p+{cr+LG%+b_hN6YS!jl$C9>GU%N7L z<!P^&uv?pg9(Xlq|6TiJd(eHasIW)TnfXg~v=bv=p7N^Fe!8}0`=qH;SFLeLUAlYf zvNb8&gYJ3-g)NHKJmnP-HY?gQZ>hTW(X~%fRCi3h7gqH9;Cud>`U}6CZS5cYZJa0n z=AXlN_R6}3=j=P}cYJ2Hwm<Oq;9LHj`hefex8%?KbNI$yS|{+E`G)+3p9f#_Kl^jw zD|=Dhg<s6q<k$Rj_{v^b$MBqerG3R`<}31Z{v9~W?^7S}i}{lLmY<BX<=6Z?_=4Z1 ze!(;Lh4u`89cRhc{5$ZOeXjk3KL?-jo76WvV^6P}@RQlt{=lDuPx*D~1D>%@wO{b( z;1hn8dV!zJM)nPV4$hG8_~|%9-sazdkL<nn34abg;uoo(@Pqk?yv)A?AJ|*%75*H2 zz|T_8@Qgja?!i;`*t!Ex*`w<OelQ=9|MU02d-huU3!j+x$-nulaGHO^p9iP-ztm0m z&b&uH;-}+O`GB7X@A5DEbKo6&sr`gc%vR#@iJD#aQZh4h7p{GANJ>kqO5iDbK%K#N z=AH63KOOJz&-ugfjy>PL;P%1yd^P?}cOBpJeS6bT&R!++=AA=1du3TeI{Qxbw;yd^ zma~_Kgy%m<WiOL?@a{nw`!?$pcNyRErIjn}ZniRCk+R2e*4<MbhwdIY$@}PuPJTgU z&|A-KJJfGlzC6dv<$tI+Xx`)!|1Mk4^PYRmGxj)6m)4m#W!9A4%{L}{v6}lw<<y8I zR|!danopjqUODdzXI0P(&n!1i_MdxBoKq-cTQ_xD-%Osg^(vNvzKbS#rL*OvIaoK} zx;^<x+K2K>F;gUeT=Si2vyy9GT)e_`$&!i1m+tZ$_#rB%re}68LEv+6(dE-T2^Y^S zC}x`%v?^fb?!}vDZ(2~!R;>S_X7jQ#w!+mr)~(;S*0^I6^9|VxHxIt%d-mo)DSJ`b zg<nj!WjDM_C}m$|-LaY3!rJ5R!P&A4_BoWXFW29(_wZ?ku37gbcbt9jmbLmxT*Gq% zlMU+)+4IU0b~Rs;o$zkKS-u-@63+6ul`HIGHnrxs%lLxt(whgx?DMQ&++lpqXI8#o zC$ovQ#pdQSvNLWnKI79XPuSUPWWC}J<5NDha)q7ECuAqwWPHMR?2W@2zC&*w6teeO zXWU_Y%qLd9U`O*2S%r5G3fSAMHSRDz<YOyW*uiXI{bLiezV(Yu%zD;0HZ>oRWq9`> zpS{ld#qGvvvLD_i<g-VXHKekKmo3=dyhrxI+XJWgR=sIB#rLkvAeFt$dd2O=DY6&d zDxBh5@FpRTy~w&^Q}Yg4i<^vh`0kZGC~Lki{o~$(?`+k12dbHE&2Q{&e8>Cg4#Rog zqWpsAY-M>3)y=o1Z`@n(jctqhjJ=I-cwgO7_{O%ud_i&Zb?GxZ8(;H2xwGIa+ZywT zy^XJUAKhU%#~YOY;ThWsbBo&UH!Wcj0#n)Y@)D|=FG)|hx8N-AjXMcvdEN3As+dj9 zIrcKX;JtL`!DqI4<}dazKIb*dUr@<xVs25~d`5c4PR3`vdie>J%|_-c_Aox>Rm)eX zY(619VJG7g-eY$h&hQ?(^WY;}pLxa}#>c#3`3owVk4P)rd+>p+&0J#-<3nDye1!^T z1M?q6%=+dpikS7xZxl5jkY>2|;5}QN`HS6+)1*J#O?b~1nb+`?Ej({QdGj9W2X_yg z;$3y8;S}$?JcFlfW#%h(H%^hha980J?}9rC@7RjWD~g(TNL%b=yu*7h??Kt6_n!OI zzs}q8T;<;6FLSn3PkQHB>366&=$&V|-=<=rcb?nTZ_W#;7JBQsS^ea^kmpNoOiu7$ zRD9{RXR%+At>-z<wdxz^ZK;~{%Cpd~sW|ABXTG14?aMDJ=IS%&g;WW>^vv~3D!w#p zvYWq<t>;<Kh3bv-raW76ezJ}KE1S;GOU_O<@pme|G;^|%zfrN!GtcSjk@G^HEjcw= z!+(~I=cgqnCZCwYQh8~{<Rf!Lo-H{(S;jxhrt{;HqmxDay=*u?E;&4z$6w2)^TU#Z zlUe+kiZ4x{yl2jprz(2txt5wAR1Qr3=l98a<$D!f^^bG6lm|_lykSnsQ<c4wKlvT9 zK6%PB)NfJIrMsTNew(aUzEjauzc^RqsfvdB*|{p^mnKhs<X2QAbjLGaedpN+<!t+m zU(7u?Px8Y#hx0sT=?kozZHx~XH{X%GGqZ87<b!h$%GkCUub9jDmM1M;!MfSXxMMEk z8=e<u9+a{br#-M@wlvO|%lMinEPa7hvxRYkaq|_)Ju?|!@%W`bNMl=OtTC7ICC{xh z4QF|-ok=KRTVxzy+<ZZ@;he%*9=r4hmd)oR3(h4Jv&}MgFm5(BJ~5|prewmo2Sset zj5Um#Pe}%xODJNSWZW@_ai*lhxr8%3N6sjm;gL&!V9|U`(%@V|AzPQR#~j8-JbdX1 z7R`qw1<oZDur(Po7&q%1e=us+Gk##ytZU3Mhw%Z=zqAMD&HE%XW-{LA`Ia^zl`SHz zAeF7k_{40+dpv8-EI7rp;>?3Qw&1h`^X6TW9y1y5@+>;TaEj+ynu2+=x^c(s#>tWg z&OXRx%hUf++xVWlDt^Or);;<cJ~!PJf3Z*DJokq^3g21F;}V{;?$F;++xV6{E8gLE z(@pUc`xczzPKsyv&19utQG0NX_>O%J=eVQd5BzGnD!yW$!a42-dlbI1=EpVsYPu}G zqq6ZOw^zKwuO>77gwIW;`VzH`FSxJlQTW2TK;PqY(|PfVeG5JtY}vS8I74IG3BLuP zswTiCMRHriXV%&J7it)1iO;EYe8zod55s5H>G~UL9A}D$>}&YMI$3{0jpGyU6MGmw zu_nhUJY!9a`|yM5xVXu_hL5b>`T;eLkGKWm3w|&i78lvq@PV~iU!aC@hImb7<8<+o zO2+BpIhBqNxEbO<d}rD({$;PjY3?6!8@@N`=pXphq^*CU+VLLuhq#2Ntf6rZPg%?L zXMAGXEq-OM!zu0;aRN_S1L6$6Gwl?&sdT)<J!cQYJJx*tg6M<qxoW~M>~6Bveh}L@ zPxQ??hjP}+u!eNjo!UD#Gg)gNh&}k0D<?c)H`6WAGwU46SWCkMb~D`&y%2fuHP^E> z2TECs!Y=G$x+c12okJ;WVHiU?>q_m4%}iHB=d3$$mdhtRU>DOR(JhgTvqjfL9(=*& z622gfb)hyxtm7=vnso<?S?6j$h&lL-%Ot!ZjWs=N!cHb*?E^6fpK|Gh2c)r1)m{*D z@ClbnxWG;(BkhKmgEK@sA{}Rl+N?WJ$l9x&5OeSmmq_@89ZW|=W!4=iU~Sb_h&lLx zizS>PjWs^(K`Lu(*nw2m=rDmDOb0~&tUZv=TC06w6VpD?H)|D6b8T4j;1t)FunF6l z_J~GAI!+Z0h&*_gYuTOFXReaV4&<?xYERh2v`f?_l5vXYnY9jito~sF+nLn08=?>1 z=DOqep_=Kw@RxZB=Q%6<7d&U#t$xAQah~u4OUHMd@8%ph$64TCP|b8(_{zM7Z!DYD zC)hg96+SYL;Tubd--oIuOZ5WV#yP@U<~4j}S*;#m>o`aFfTiOr&U<qhzOpP=FDPcZ zEIegi!xxrZzXQ)$vi%IIm@W#huylOE>EJ)%8Owb24>pd^IW7D*R5G0vUSN4}rf|x< z17|o5{0l0XP76=5bbQLG;crmMbW+%5Uc(tq1%HEQEJ=O>&sh4^3v3)8b4vJcs9-uO ztTK<`BTKuwfsNxsP7Z&A3Z{d?4VDL|3s+b=P8Tk)JUC65Wgf!^mU{IM){gf%x6EmH z&r+>^qKHXHeMb?~Ug0Nm8Q!ym`2{>>3Gpi^Z_-rXVC{I9bIF{BcPxQ^3{P2#)hAdV zoGg4~?tzn>5BxrqF>M$8(|4epWv}uLW2Sq8FC-7X<0x^Tkj_%!Ho>~dT6u#p(;dM( zeFw@|wkj`>J@|$r#XTUMWutO~EaP0kJ$(u1IAYut(plCjC&(Ur#S!8zkj_%zHo>aN zynJD4>D(8GgtWLAIv7e>mMa$+GhG(+k#u~?;o*KEjU~rzLmJCsWdYg7S%NKn3?(d? zb`91|=LIYJ78JA0QNAJ5_>AL1&x11@M(zn|EHjjM$TU9XIMuVDh-HfM44K9!97^sE zX)F_zC5)L2l{t)?jtg4!EhuE^QI3#le8eH--eA#mSWu#GK><sPvV=_I1C9ed4^DIJ z=~-}^V@FTIX$~fLhK>jCpQ<&av(#m|-Sjz7&a`TC@QMn@3+7C^!Y5n~m^0oLe4}{6 zh|yr3@&O@jYsP~I_Z_P%5R8#*yvOm$t-+j0TX}^Mla}%h>4Q@QZ}cw6V+nF|FmKXO zZZK-vDR`py!AXusZVyse3Y06P8*j7M*+2N*c#r?hPlosGUuqJ*Gw+f=@tM&^{>0w{ zbNO%lWO&D(XP@x9@fQDux`c1co8(t~ZoI*NpswK@dz`(&bLMsO6FxUy<KIx1@RfO$ ze8*=-3we*f2WImxsC)2*InOTPSK}rA33Ut3vfrplILq#4ukee}RG#B6!wdFHH4i>B z&y#=ghv7N9nf-#Fj3)9HpBvBc&-lskj9t$@;b)_f{E9yePubP%6@E6J;Ggi5;R*Y( z8izCNhiV>tWbTvC_`~p+UCe&LkH#bX3Uv=YFt^ET{9$;=&StOhgV8|#$0tU8`4^uU z_2h4SYCOQtQ1{?HbDjK)-wo6FKh!3?XO6UMc*-1Zx8Qr@9{vZl2TrlCs%bdI{?5+e zDRY_pir)=W_%GBdoMK;4lkkqYNWS7z;|_j{pA2`{@7X=r)_9-yN8W;R=4!J8yBTez zZ`^Ho$Mz|Q;XGTBc|kgJnOVc`#@oC%@)ndaZ;_sHx8V)js~m+g<_*#dHaA}9J#(|+ zHQSS%1*Obuq$BP&ykdKl!*Gr*$oxYZ^9pH;yA88>XXGs?VO}Dgv6<0KI%0F<Mc$4) zhqG)><_x<UO{9O^X?V_NWqx31<5}K}JchGu=W-N^nbXV~b~c{ojmTSYhE2=dVQ1q> zUXMJ5BIXn`hMkRu(gK?s4WujXG(2XLGC#1R@hGoGo<bpWhqT3=hKFoi<_<d=5At&4 zF`QxBmvi7W+pe63(`?&v6bhIdq<`FQxX<>>Y(Xk>jr5J%4%2uOZXTG*`yy8%pSePM z!=^?p=>?k_ck}w(Y`Dwz%1j}ZxkS2S6QhRojN1)&*yiOZ<T3l1F>G(#&buS_!FQ&8 z;xG0dn8*Dg&fz?3nf`+6MjP=1#f^8k@9b=t%l#nk!8fLD;w$ztyk$+(SEz2Z67Sf{ z@P_q8%!98?#d;5_7%jy!_A<O?4bxvx)o3B!P~3Qhd(TdWSFC>e51uhC6W7?w@RIdb zOv72$YcUC5m==i#6gOVrZirJj%W9|pptA8CcR^gjXQo-=4#kbe;wSbr%;Zjpd+>>A znz%-B<0<ZdxP(tklf*mrFwEq3h)X!bdL%~S46B^}gNnvu+y-$8ADOzuJ@zm>V&&6M zsAxRIEfAORfvHKHp}0|B{6kTrp7?{JMqP1^Jq!<6|LHv_Z`{Y7v6JCG>o>g#Pnjb0 z3Z61miJ#cbaF2CO%z{&_D`FnJV+z(wC~w@w?Xi>LF6*KghEuH1^c2b))x|q@H%#U} z5c}XQQ{MmI`|kg)p7;IhpLeh8<{kgMv;O&8n|Js3y}fSt_WZs#*MHt<ueJLBtN7m6 zr!}uP|9iE%Zch8>mH(dw{{M1!&&%m{FSl2k?SJMT|K)1Ui{`pn|7*^_uQ_+W=B)eY zbi2=IZJx#NdpiB+spWr8PXBX4{qqF-XGd#h)Ylx(uQ?W9bJV})h<(jr{hCAaH3!*0 z$KN|$Ua7bLDYN{C|M%YijywJN@4fU&o%*L=?cRU9cPhM6tNwfNy?3wUPF=2@(*CJf z|GU54ySX-Z_V2yTQm6jFy73<8o0$ynS-$urlr!xTK4HvgBYa}+fw`PFW-`2E$x~0T zZoI{L!7rhVX_N2@<Hj4D2mBh&vBaqxq%*A(o?zT~jdO!vLMhWK;SOU)3t^AB2WE3F z@Ox0gl&6+p)p&_>g5QF(EH``-&a$|vD_Aj_3Ukb5c)@bX=Rq;kJmD8}7@o73sV}f( zG!eEiZal*|V<y8h7CrR@%SI#N6>}J#vZ$#mST>&EoG_E&3Cl4bhchgPd>#}s^$BOp zVR+0UroO<U@d&4a--80CHero93=dh@)D<ik4TOIfG3pDyFk;jbzG2jOfRn-RK|WKR z@Qc|E(>Oo)Cgd|ksx_oCg{v(vZ`{NA!1ur@mQ_9tr&!*p8Kg3m39p#lFopAiufi#o z1wILROhv*KMvXf-EoL&@VY#RF;9J9e_8)c&zB5+K9jF$YF3xDl{KY2WJL4|?6Q3Du z_)pXxn9F{nlHnb5o_xaZhFk0x>=M2)ZsK3@x#0%;0lS8C%yIGt&l%V8Px#z$jeUb% z!dJ#s{2iZfT<6?ku+~s4Dk4K;+Xgm~yBb<nPFhL^4Ck1G<Uc%PT)}Tq+c2AbhTVcM zj7#`4J~No{M|^I$$lhV+aF*Fgp5a%63IC6phUd&y@&|r4oMq3jV>ruv&PL%gW13v@ zLDOW0XUux?2|pV^OY9k*GONie{A@VEKB1D~3G=ZWv$jQAYq)uRbXKo;k#Q#bXs6zq zg^Ly$tTi-Ya%J7)(=yk=d!y1DmcWUElV(hGat|`Ly7b7SSGiS^vq<Hrsd8vvil@b- z#~w44kM>Qm5}G^F!rjVPXx2oN;~jk>=_*$zhPfBXdY<!Go8p#bEcDW2sq)OeE2&G& zl^4qOO-Wa|JMln|iS?v69(iu7j4wU#C~&iqU0I-VYvQGzBdJSHPqcHNB=fRR#mz>U zvoFQs()5Y%dbXr4xivAt{guqga~?aCZ}vU0y!6&%jq=kz7t5fT6NQxByNoVP?N73Q z_1ELP`x^O&eNVnmeCNJN{%&2$^TjsuQ-7}bw)pPEe|DSxc9bvK+pl5o^f~agdy(5D zBcZz<waQ0(Su8Hy@hDIZmF(m{<q>69b@r?@=V^~d`MZ*y@0D*&+*GsW`C?1?i9cUl z`J#NKKg8bX^TlWG{k`&?KRZ4spFG?zVDI%O<J;n+{Sx*?e>BdxH_QL6HF>6dqF>2g z>(7Z&m7V=g_Dp|Yd{#c+Z)N}JkH_aT?la{t{yg!1@#%gY`&EB5&bhBtww3HW<x#He zD|zzW;sgD=YQ8*GHjq!OGx>FKR{yOUmtTP|-Sg~%K3|;O?_wYIm!nKYUH+=%%eRZ~ z_kXB)a{Bwk2ky1<l|MU9yGJwF2mSHLQ@&y@pZN2|N%wO3hqWTxC%$njFzfmpIITCx z{L<YO<%{q3uc>iKRW_5}S;vy9Y%Be-t|WD_t@O$|lkXR&^!k|}`aJQrTajJYri*j? zKiOTn{o=c_y7bjNlk~+mdUMRH?slAWua-WT_atrco!+#EX1ng5_`X<6dTm|EcEQ)~ zWzrAxQqmV+>%V8V=&nbJvZ?gcn<t7FpX<GlQ<Ap$Oz)YTmYoxyx=okP%rn^~_}nei zjOngM$>K}BKIXsfcoZ!@*{fu}=nhAbvY~Wt-j%e)hSF<qcARmWD*g0kN6Dhwofm9G zDkje9eiq}Bu5`B3O#RWE6`z%^cfX7IQWf~xb&YuC&K2*K48%F(N<1n8AG)>)SI*kW z@p;kd&RaPpsf%~@eo{+ft_qyj{l;fY+M<hhx?jaisk->aWxnvznI0vJF5K$Q(ciU~ zqg;7U=M|rlXNz`rKQSv(xuyH6DDbXpS-E)N&K0Lz!}OYVdz^Bu5|7=<aoROf@7C@P z{`ZS~Y{Xy2ZK<9(ult|gr`<13yG{}JjZ>)<oYK80#$|ipjP6f*UVA(~E;`z+q@T6t z#K%R)yAQ>*R9u|Vt)Q<|Ecn=ExwK;5lpPZvxwT0f=82e3oZac8u61`s;o{@HV&+<N zG|sv7OW*XJay;XdTb$Xe*&O9ccRQc?Jh7TMr}vIo)oqSEWp&}bc_rl+^9A3#`KvkI z&B#;M5MKE!?n?E=dA*BrT((cV=T;{z>&KG5=t}3k92N74PhC>gR_*EdvdBz)<Iaq; zu8V~O{hsWYIJMhFKd3nHh3iz|i-w1cDlg9Lz9dn4v*WZ|v>DeNj%}yhLiDuup7^3< zE<QI-Wq07TP6zcvb55LbnJK<7?n|1oru5%j7K@8dog4W7>e@Z|KH;%*Zx=tW-xc$T z)7rV@jcQ(eSh%PChg_1(ui6!#7M^Igk-zon!tC}tQ~ahpRXo&w&Bo+c!1Q)I`AIby zpBA0(wvpdd^WxLObL|%Lx8`)bUwF6kk=(9N0<+t1*{J-U@XUDz|H4X-^DYg3r)-w| z4w%=;A%Cgq`-JK3KJuq(JiaR4Yd>Nm@@%2|#f9P9J0Ga+n(a}z=tz5x{G!?y-xgkN zkCK1%Dd2^3vf3^q!D&a@J>;!wIZBm+O!?2+g;-CNd*sqCEa~^fY~pk){-bs*zXj%Y za>*b195AarNPg0%3v)WZsV%DR_`1-Te`2M^Ip-<-2McRoc)xVY6wBHyFuVDgjmy&o z7VI14LaHacbu8msRD9vJQ?^`F^@)?th5WXa9`6?J)Zo9UTavbLXY&`CBe!=?nB4k= zuglis>w>H8OLRnbO_<f{A}&>%amu-z`=j2L^o95Cx8D=%+Pq8PwbNSelaVJ%7g}+j z)HB&F@YZQPcc@-Sn&RnJJ#nMW0neN!b1#haC{{ezY9-DT`{Hw%;+@tvPxPjwFTB-S zc313E&53slt#rA0^;C8U%xHa(!9UB^qfGHo>kl!b*b`@+rf?_fZP_{Dty6{ArOg5N zoXQ+m@Q2P6Zb@Ibz4Z>?FWVL8oZ=J2tBNMPbFAdM6|3=1;ePW2mYs4c=?gWv9W7Us zt`F+y7Lf7xyVP28*dj`f<=Fy#_EgIi?-k71|H^K8s-VZNDyJgR()w}1Ila1YzE4F0 z4;@?CC6(k%I4UMQVk+Z5WUZ0UxR3jX?TcK-T}A9?Wm%ps(D3JLvOe)vL7n>r@22Pj z^O~2*l#~lhZC>?4*5x~cAyW!_i)F)1b{o3`9~pc36KW1TViu8~@Ppw9yNum|4~(t+ z3N;5FFtf-rJY$TPd+?MoR_?%4#%MW#9}EZB|JWYLceu}>%lt!b!}kUq{sW&HwD~Vo zJKSUbAeZozF;vbW^}t=`Wi|)iF_!XA_{6Y_-KCOY3i}ybhj)zrasuWDPBI$s7yM+{ z!M?}#K<<+J6KmXG$!4DS*rWVXGV?n_(vyZ$5gVmX6fHR2Xuxh{95Ac#0b7w#z#WIJ z%zJrNQWbVH)H0v^JNdW&yx4p9>TCZ0ls%EVU>CE9+=2WBme&|MwlH1cdGecmN&12- zW}BHA`CK~E6wWr9u|JYoQLJ#j(T@F;3`fa=3yoKJLM$)HKXFK5>ymj<sBo%LihWXz z(S;?C9b(vC8BLhpxQ~Y=P2pf88#|ZGiUNhh^&fazECL=mbTRAl9jUOmFlBe+CLWhm z1#RX;$rY#UbN?l(to^(Et9<Z<;44?^y%@Kb{8aMz;lE&Jz21Mz#V3FIr_ZUs6!6jH z5Bo=X^Zz^c9lADi@89Emd2bRQ&3|m4^5WI9JxA|;d$nj&o8n7QW57oFX<tdYina2? zz7p$6Z#}juU+e=n4ocm+WI4-Jtd#fmnWU>+pZKKbij~l7kJZX6L2V2R<)wXJ(p0WY z^m8vVo&;)QOzgXoredbNRx-0h<>JIEJt9^@FFZ2ce#x9HUUF`th5IcT&ter5<++lY z#VW?iiG3xOlb(8{x~-DwELw7MqKbQ$Oy?Po3Cg{ao@YD~-Ku0x7A`q9QNle+#<OtA zk%<ECRx&3GmK>VM;VvcPS)gK|Tq}9<v`4JlBcn^xCNjA*$-K;0*+21@+a)8R`ySQG zsgj(hJ;L2CNo(e-?3uWxCnQxxOZjfENvg{3iLW5djzG62qf2)@ij-|7HS<)|l@IoQ zF`IPTeZTzAx+}jg&g-wRzx4UyJNI4kPk(Zpci$<0_veXo?mIwDn%{wM-M7e}tyB3O zIJZB^zUgnrIrsJQXMdjfs%$C0weHBTi?7^Q$shg6an60E{NA4&Ul(8Qzf+R}YCkNI z-}<xTtb4Yd)L)M;%BJ$Ibu7OEXZ73JfBLiHv+}uqGy6+_IL^AK+nxGz;*9$Y`PjOY zpMf*`wd@!D$@rvvvR}zw>9gPy_hdVv&w(@g<?M_8toW#WtY6GN=#R!n<s<!k_C|kJ zd{92r&t@<5hvSTUyxpfyfz$hU)NFaW_&`5{{jc9A-Y?$Qzoq8L_lx)3Bkc}-x;VA} zi`}W;9PgF2<wJjVoN_Oh_x*X|-Qr#SOKM!c2Tti<P-F6a;vIK?yRP3SPP!M!@2!2Z z?c#g4ebQg^wxlcH>;00mW%tB)Zk1+-HV3|QD>vJ;S@50PcIlgWA-e_Nx^0#|nHK_T zuq2o-+I;b~Td`TuU5|5aYo#~lZP_*Pm0O`%)8@ceZuw?TcVCn!n@i8k3)v<3(k<64 zY4gQdy>8}0cRkL!EtGD|o07Kpe6Nl9t2-UVi_i9&m^*F0IJ4Kt+-S4lGq>r|k$E9$ zi%<1xn9l;WT~73#$YI%eaYpZv9Fere$9rYWv+i^hE<V~TV(xW^qj2%zULJF;I~@g! z5B9Q{Gi|;&y?0N}l~iRt>D-$d1<D6{|CxQdy&_*(SNdb_mhFMldN<^hq$=<2{bY9N z_K8z&p=OIVUA*fSY_{q4iacda>5I7{smdDCXLD7yU!2_g$gF6S;2pPo>7B7(swcj8 z-7EffXUBIXTk*GXOR59sb$^JNQhjl5_nVlO>Wgn(w~1ekbE&@g#&whU)twsOlx}pt zi1|`=aZY!P{-k1XJ8Es*lB&Qt-48&`quJd7`c`{SoON9$J~hszDsXo9jhHRZ7F~q3 z5*COr-TC6P()n&H{YQH;J}aH=z7SLLY|)wSGchfd7oWOL6Q8<s#V4gx-D>)i_Go-k zG7@);GkK<DDBimB#Yd&%-BS8Vdon&M9qks<ciQvfgVNz{E`6mv86T7mb{~lO@>EG* zymV*BY1e2yu00$d7VYo;qxWjJ$7$CZ@wc&4o+{~x$L{oazi3bQ2fa;2fm6Fz#FUg@ zoYMV9ZxyJKRwC}YljD?YvG~c@FHaWj=$;e9QhxEaYo735zbDp#?_H|Z9?kVQ@3LF? zrQeivB^%+VekJKj*1`|{N~|Zob=fL>(a*$s;v1JzwXV4wWlC1Ud;Lt(m9BR_@ws9p z_}XQ)@Jc_CbR`SnrG8)1l&*C8sTUbfeCe`Oc%t8xG$k|PwKFqHlrDB&@e#2SeBqL* z_G`|G;zj2=E!1z#@hDa@5uQ6!qgcsUIMJ`f64Dl%(^0hOWT%RH*PM<sE)#@%XL_7* zNmQ$vbE0t3u}%r~s5zjfOQ(Rk)tnOriw<>is7uZ9C{QvGuAO<}v`ehoBcqGcI+@g& z=Df&P+TZz0?UIq;eV1zC)R`QoUBcBa&DO|Q+S9qlCnQx#OZcv@NvhKB&R1%aj0Eqx z1gbR|UA*H`By2lVBTq?P_@M6>vx&Ez_w)a>yYl<Oy!HzDOP?>ibKb@Ow36ez^G^P| zl_$<Q@8G{{m-0K{t@9TCvvw-K1Ln3T$v4$@oO52!f41_(S4B(yt#(I#U3lfZivMUO z$2sSf{Cg`ozAn7ne#a)|SHMf>CHz|}JI*?1%SqLGd{H#zZ?$9j6)>yaPX1HPiqDGY z+RfxI)o`43PM14XbK;Ei4E|WVl%D}J+qL8u)nt59JlU=!uk=~qiF2}?(C2^|?Q-%( zH7h<U9%~nq5314lsCcBEPu{3z#RtVh?QHTwH5_N0<K;em3Ygx$!)D9Vg$LRh<bPG4 zc)xI8`xcuc-!I&Aj+8s}>B7|ZFLI}<Io>O3^M_V;oN_Mb_pLnfZsD%>B{nYK1E#bu zurc{Q;f}MvTo<STSHQp5_Q|#j@16E>f7RQPu6VEYi_Vta6W%#hiXGY<@Xo1RY}00e zcTU^6Z|a4BnrEB2PwIuFFTBy3Aiikxh1X8SVnwkY=bYAZZ`9keYr-q1Lb0aJ0k53$ z#hhYalqi~W&(sUqCGgTIS1f7sg;}j`;zF?=XPp*uH|kAETX??JM*LMwNAbe5ttR45 zn=j04H4-=4Ebz=}I(MXANZP_vts3I9Vmyi#o@hOx!?N?jjMgJMB54bcx5|iT#dH)d zJlZNE?iIsPxbSc*kGNJ$N5R5_tt{e9n=edn-J^3QRZ)*SH&UZO@j&Z8u}{$}@)dQt zKk9DT9x$zSgHB1R;@;LzVuzwnoN@{kTeRuIU8i8NP0=gz6g9ao>WZW)YH**`RoQ-F za_b|pqD=yKobtJM%6_Sy@ZNDR`&-M7?+Ui;Z{?O$2h3~!ATy=<!rbOJGA-2?-a2k$ zzbfZaec_GcCibhA8s8LdG{2DfQgvZYa}58a;(*tVYuMMyEvX8a)BHeYO4Wtg%>n#Y zwkOUyE@Pi6=Ta3gyZMI9mS+ntHoNc#6$iX<T)@86^2KL`^UYTLk8CnNE1Ye<AXD;e z!I|bWGA)%Co;prrpK7_{lftQHHU3F98lM!5*d66eo+%izw_3jVsBpYlia*IF<D<gS zW+8qjn-?Dx4mWf0E7@dxP&n9pK<3L+1%38X%Z}5I(R^Gs93K|!Z~nvg%G%?!V-5RT z*(pyIbl77pJ>D<a)BJ&NQ&GUw<`ps}<rk(jzu{YD?QzPngx%GW<CJ4D`$^d^PZsQG zo+HCje&M!b9`}#fhWAWW;v3Q#_i$g>+;Ermg`UEBrVly_<&5QG3F(YGxOc=hyk*J~ zci7!<ll6q&f^$qs;tabPthg&;56ofRq33XpDN6jnu7<0uEA$l3F+I>xC}qqSYuMFr znRQ2G!%HSFafe+EX50yz8%((+VjEsCUC~h}VO+rNvAN+qYlYr|V#Yb#H)0x|F<sDk zaE8f9JRyy72KSDbhNn!YbQTmbPT`&r)9{2zN!%fgaRRr*W(GrUj?E3nSuOMy6f*X3 zN5nKdViFQ>*wJv9RYGq;0b>idL`=g2rUN<;PBZP%S#X+Zhfcz2CMI!)9S!?gf9NjA zXN(d%u)Sd~Yf2=;G}f5NhI>q(#2U6UXmhXF#Gu8!Bl^G;)*HGD@)(1}9JV)Ta5rpf z*vWcA_rXb~M`90B7z?;7q8n~A*6}~6Zn($%#**PZ;}@BP?+m-xPZTrQu%EC!Fqip; zCBr+$JpP30hFi=R<PyFyY+_$g+;D^WfLy~l#yEb1=M3xEClohaW8NT_@ReZ|dq**Y z1-pmsf!WLp<Q{xs$m2_>YPiHaL2kiW#v3vTXBpl26{;9a**R<(UNBygdGMKG9{UR$ zhUbiC{0k}>OxP`o8_qD#uw;0~sK=jB*<i%J!iM1~qZ+?LWy1;P36=~`7>~&~oMAj9 z^WY;xAA5!k!(&D<{sk2cN0=4l9(-VEW7n`@c*w}cuTa5Y!2Y9%L7)9a5rZE4jiQDF z%nWi5-ZRv(zp!qY#{5Ax;XOknU&B*|aJ~iQ4SSd$$R0SwxJsts6yrNSgQpB->?^Dr zrZ8WSRXD}CKqlcGLlJvLQNs>q3rmJOjQ98+m|b}9u#fpG-<EWRdyQXswpdSi=TOOZ z$T;AgLpj?fV}W-L+nI0jg;)!`b=b^&k}o8E!HvcQ_C>}QUON=C70G&>b6Cr~k#CFD zgjWuQY)!@iuN?B(oMc~=D3~+P<O{J9c<GSKmSlWkR-+rckgUg9hlR|Id{fdEoNu&Y ze<jmVyx?r33A>Z=g_(^;>_)}{&m5*RNAiWFEjZPv!9GjIqiDg2#uGd&mKSC;9^ny5 zTX4KlhCNHBqj15|MiF)|8IHmQhZ}j=wPZR9794D3VP`VFFuidP&y`dKJ?30VjRJ)O zjsMs_Nw3IP&}II}yTv?UTH^+ul2nDgji1;KNuN075X!d5=)zrxV75)tEAkXHnJ@B+ zq$+4IpXF6Czc9J+5nGXwz#WHt=AC~(|L%WpzxV%}pY7k}ZU4XdxA?dJy!sD+CjUM^ zxBkta=HKVv+Hd=R<)8EK^Ka}o{lD^4{hR!a`WJsb|2jXXKIZ?#&;GCN*Zg1eZ}Bhx zIrR_zO#XF#c74Eq%fH9Z+AsS*<)8B}|Jn67{%n3W|6;w%|G>}wFYFimU-I+$XZiE> zR{tOVN&hT=w*JDO;%D>E)Svm&{PX-%`)U8D{9OJ?{#3o%|A~LpKgk>Yclc-gOy2N+ z%g^T@<&W1({ZIUp{!#vDz0iNhKhHnNAFk*6ulOhZgZ#nz1Ajh0mDm4Y^0WQ4ee^%h zKkOgo@2~&!@8xgz)Alw0-~65YR9@$Q%uo0C^Y_$$__y(s|J3>we~Q1KpHlzk-^$<a zr|e7qyZmH7WncXN#NW?P=I^MV^N0ES`P=q+zyG{@yxaf1UG=wzciqq1?f(7Z-Q;w6 zo8M2~6{pKv|9<eUcz6F>yRE-3yffb2|HiKLTjyQ&GI^`td)^tR%U`d3^5*g`{?~S^ zf3J8aoGx$id&#@cY4TTU{k|7&?tf{w^!J2!m(%3Uey_Qi{$<^QMO=IB7X4mvQ@up~ zLhYqDpLg~@x10OB;N9k({m<+&zFBVee`c5V?ckl~Me?U>PrV7=$^X=D@^6oK!aMnA z)+&6L+}uB-_RyQpKd#&LRlmKwga5Hz_iv4NlXvhxvTOe>@h;fnnqA9po_ESS_-EAa zd-FJTzTWSGo9U<R8h<mq`@FsXzFqC_jGOKG^Y_+ndefXbU;Foqx0AQ?-?Iz*wsI5y z)Y^C7W<E;Z?tj-V=-a~T%=sF>9d4%Q$?vS4_eOYo|K!>S-zsk(f2(F(dD6?zXi|U9 zlWjNScAv-+t~}wyXEfn(oeuMl<96>1`^(onmU;Z+nAtkR-pg*)$Bg<6d*W-h-PF^Y z{bu7)zTHO?>dz*v-yOHHYp0)K*Y;~x*=ziB9tlmZIHJU7*kS+XAzyLDVX1QlZTdM6 zSFc?+>($DoeNwNM&&`|lk}J64kWimN%k;2c2l?^}F7d=|XcF#uz%0Gvfd2zV<=QP5 zU4?D8TyW&JIN<RAPV2JP)Ff@6>>gI}TN2!QCi=pO>H;D^FZ{BQWO6zsyZO7Nh)RRw zRbH7Kmx2`!6@(mh5B1BdDMjokC{=WtC~H+AV5y|S5!Rw9lVy^1LBmN|n@Lc{^;%Pl zs{vEXaelcp1s;W&yJ{U}FL8Bi#IQV6ViQ-~!C}z-V-tsd_lr#&dfhiRbsTVI(0i0G zSl9g`x@DT{2i>H6!AP;jRKakuh1)y!xIWN5bV_NJPSYu+cVdRAf@R$+qFbi8UeHxK zrL;gNDNnGdyJAzv4p)mvmOD!K#2%J)+;{#Vx9Gb-HQ&K%4%_w{wk_`zKglqiS1jT$ zd@fMN*I3<g+xdpvqHh9Q+Gp6dyit55qx4N+L;Hf_j_b~6EL&bHK9O1URbWkfgl)?! z#YZws=M;nZKRy#!(QaYeGTV8E+@dc6OWHGvIn3H4iaRbkcgQ)NRdnKKtm-gn|6$Ye zT+xdEAgJA&A;)x9@tlm(XMr@n#>$S<&Jl8p&M0c}J63j_boP)_`XrFT$5`26*e+1q zVbES-)ACqRivM6m$5CeuIi-&R9qkr2Ee{pB_#G=c4mxwlF`ZG|Cv)hu;x3t{(~8?< zls*VFwEwVfxv%((Z{bscn)VykF4LS7ERRfeej%&$UZA3VLs5rT`+}m5-OfIiEq4`P z@hLtPC~5B~;?QWHVcl{^ah{CQI{`mF#`2Es&O3M?l?&`^ej$5gp5q5Tr}GMB><g_s zY?==kcieHjBiS<7@d4kXGJ$Q)D`Z*TDx|S1T6b7AcgV85QFy`gs8pbs?V%NiWpjos z%WH)&_Jvj*7R?RD9akLpNV2?A@MC|NCa|noLzd;G!Y!VrvkKREl1c;?H3t}XTySjQ zQ#z|)$Ntc=<D6pwUsAEatY!z}4&&w%GA%P56Zjq#2~2C&Fzz_z7{HfQBrvJDLxyFh zqXS>k8HFP}N@o=0*dJPS9CI|_ODYuTYW9#}d8EL{o@mi=$WeeVsX(BqnZdY2zxjhv zhhFmoqYm9>4jGmQ3jf$1ns@AT%#dWcukej+VyZv{TVbj|Rr3jHmU{|ocov;fSi$os zPav2r(Y#}qqlYBRU4=zFOs5o{u_>B&s5f^=w@h|C!22jyAg}(%-{$x7RsT0U=igI* z;dA?4`xpNd&&z-KqxhY_{9nRz{vGu@{x-jr&-(B1yZxs9iGK^v$tV41_|0xrU-9?w z9Qz&r9M8!|{Xg)l{i^+ne~RbiAN*1L%AfzQ;aB@*`yD@<U&?#^clgzAR-f>>-Lzif zZ}SWJD}NNf@Gq$M_}qTpzT)4)&-`=hZ~SR~CV%12!!z<m{}Z0^$=r}?S;sXq%p z@lUCr@u&HTywZP%XZ#cDB|fto)^mJrKW=aFZ{bJ&p8AMC&5z`T{x|$+KWs1YZ{Y|2 zmU@Xl%@5=c{CRj<e$StUr{#D2Njxpj^q=8J`+oZ$e;2;zkNS7ud;4Ddl%LGg>|=g5 z-;@9Jui-npcKwP^>{|6ZejlD<f8+1Mcl<&B9KN?})Hi%;-)Vp1@57VwkN!P)!e3Bd z@w@r9T;2BvyW8*CzPZW#Uhd1A#B%;!)h9Ny+f<*pdw8zxjhoEx<nq2J>~6nhd*NMT z8ULp06`R{{*dBP-cup?vyFohty6Op=+ppPfc$ZkpzpA=pGrL8#$KAuTZ5O<ISi+z8 zEn!#tCEE$_7M_*6@h0)CoZEMWUF@dS9Cw*t$X$B#u$X^d^@}^q&*jX%FWAX$Qf;xh z{fzC5o6OJT^u8zTY&WW2afkV-oZ5GVo$V)VC){LyB6sYK;~BX_Zypx%_f==yVSX$p z_I<&Q_9M0m?;aNLw^eK0VSXsb_FZ8IyFvAjP3-#BFE+93Ro~due!!OD-NStTy6P9V zo2S`+c$=8dANj2zl|TI3g6-{lY#+QmcuH>7o5oXe@4gwN@|RVwxZOO(_QG4mQ*sO5 zB<ArKRab0k-(hQUllhL^y>Ac7+V5NcxVP{-U-i2K)$F$AH}*Eall^pu@w{x&`-10u zW$zlQ+izRnxVP{d-<I+jdz;_LzPh9Mjc-Hwg5vh;)@OD$zm|P+XW>`AHRTa|n_tO3 zy2E%*Ht79_XM8KlE%r9gww`fs;TOIo<r&57X5|sZ?H8>(?m3>7b$ZWG)oxP$V^8yQ zS*!O4D%;OmXWU~vD|_ya;%C0JcMX;8r>!IIEj%Nu_1>Yf{iL<WJ;hIaDeo96+YQSF zirWp!EA})$mX&&cprZY#wZ=Wgk9-~F7JHf>%5uGTsAxZE&2f+MjO@NU2T#lHy3=@C zcH14r4}1;fKXy0Ym;Lo_!Bf7P@*BIIr&%ZLJUrF<#a+esd==#zirTfx7ZkPcw)WZC zd{_3>JB6owCFLDO>>A}Wb~oRVop(p^9iQJjhVu69);rEVEa%%-{9^9md6pl}Ii8m( zd%nQB-KO|}ar+(1J2RW-T0S`Uu#9h8@rt?3Z)MV+D_FN%6?e>Kek1eZ%)?T?;%5)6 z*e#1Q<}$yQ346Z4s@<Zv!MOd3<(`?$uVnn5KS<+SR;)3X`K8RQGmU3uuANCN;agN3 zVBCJevf-TKSsA<M4=mfySr(j2Easb4>|oq(Tzq0q^GwTxa}SI7rWI=#x1X{MIG0$& zH>tQ|4)aV)hjWQ%WR9FsJR>9b{DDRLF-wDUiG_S!#U68*AIb1NPq1h|WGQeiv4F3s zn8CPRzxabuyI%1Fqjue5jycQ^Wd1#SVBWsZGGiw5eVK31CZzI3JS#}$t13P*oB5v1 znllSe$*ef@Fpn?zS%P`{E=!M@%y(rLonbsB^X!>|dAoXX$L!|GmIuy0%;n3=|54lg zUb^c3hUdI{@-KXDyKDYppW=Dx4|^29^OoOBc+R^ce@AWeTj{L(4!_%OnxELW@SJqg zeTLs`R{0gRhv%5@*yngoI_my`Uu{>-SL{<fC;ecL;#c1Mdkw$ZE}QSDY<?;2b>HDv zn^}Is=Qh)PiQ48D(pUB<e&Jn^@A0|qym`gGg`aun<lm@iekOfk&%-m)M)wn*@y^KK zQPccX`qZ9<pLnO_&!}mBBCT}a;Ti9Qe2LF&hWQ+y+m4%C>|6Mew<kZMrumVy(EWxV zZHLVz_AUIt+mbI))BHgCz@CSvrT6Svcv^bLp2XAAO!panwCy+lv3KEn-l%&AzPIf) zPpM>{W*$@7d{6q*y@u~>+W9L!v1#S+s6IT!{Knpe?|6gmIec%^$Zz=6w$uE?-iIfp zAKiQKgts8SqPqFERNeIlyW8%WzKLXhFZE?jVma@w>=T>WY_d<p9-eD@Ba-=@RNnQ3 z-EFr_FRV)}<K2|KVsqOK(*x@o&q>8yH%RAQmpx%~+cnb->k><OS7mo>X0yolh&?>p zbiulZCA@jp5_Yv+GM%t);aRC0YZA{&xm{P-#b%n#5zG8S>e8Br#k}*fU&Js!momG) zU?-bNw#DYQGo~{lnV(7NT~FBAW|X}mhWV+K+I5AUZ6{18L^3~-I=05~jMSku4-0ww zvNK|sA4`c{U$CR?h^fN5hXuTC*%~p-52e_yE9_u1$o{d3O+Wj^CN{n78=Kk=m@=$; zn9p06{UW+~n(2qNiTS*d*BVlJ!>=va-nPf|!P<kTq*kqIJSFw+nn5aWS@w$P<|(EZ z)+(NoTCgTDkGCkhVpH1=Q;SIEJ5u+qJt%9tZ~SB4!tXrQ=MGe}*{0vHZGI>DX%6Fg z$)fWG&w0wuHB`6VHoh@$;WwTw=`(Db-$=fiqxg+yL;8Z^w(G`cESq0TKAE%dE6<wr z2;1gYl8@#vo|6nZ|KS<WigXLx=Gn$G<}Lifvm`yEn9VFbqPXp%amPHzvyx8d8LHY$ z(tp@AKbN#Rf1tAMtZ~LX#<P;=<|uyVNjuk2*>>7EV&1|tl3M2-D%(yPd(2b(#FKK4 zp|Z^|U7)zlAict-`LU$b`2!VgM~yY+DSqVXNVl+QekjRx-l3xHpfSfh#xs)p<{UgN zxob}2X~}JK6hH7Zr2nvPzAyRf+=8b(HR(63ou?TmSRS5g{9>-+d!CB)4MlBQ=?jY5 zb{qRxHs6(ebxz?aPf2=55t~N(4D04QlJn*$zT@#b$57t3-FQdu!*ZT|$uDFN&olhc z=XhSC?DzufHk;%F#%*^D??^V!HGI(bu#9J0@(Nkzw-RZ`6|CE=k~?IX-$=aZd05I* zeC&Z0n`Lr_Ec0uLu;UA?+ANYAjN7gl?vZ4ECE<7cK^o7pWDQy7mlC&n8qZ2x>q#u( zS(F@L+;+jRp-=Iwgx&E6mTl(@3;Gg^d1fU$7`GWGpO9&uX_(OWu!v_`vW9WnDZ_xi z#3G(a$sIDxGYuX363<8+=}|l*A$R<NMcXk$gTBN<o~~pM8Rkb4e8&?k+71~C^d%PX zG$k__x9KN;Fly6Feqhw5o6I4@{6OO0u?OaD`wTNAneR({J2oMeC*oK^Do<7N32Ekg z5^H)Eo|0J6^DvJm_*jB@+b%;7N#?r}i+UJONjy8IVBV&l+#%gO+3-N`!(5)c_#c0p z-iuf5-|(D!PyB_?t#|cb)G3}9|4^g&ox6Nr!gKB&@jL!Dy%o>e@9?|zrv8b#h3CYR z_A~ruwTiF!duWdSjylJ4;!*n#{A#_bzoJg@ocMzp#jo7?`x<_=Ue@0c=@7_rkh{K? z`CrPjSEqvKhwHr1+$)f}`UZD#{EFMFzH$eN?HBkySz(IqkGg|zxeMYejQ2c9S$&Fo zS-i$yrk7&xa;9psZ<`oc#=R=KAlG>p>jm8-3-3D3y`g*NrqheZVmjLkI^8CpozrT) zPa}MD{LPz8(+_H2Hd_-vG0*W-qRfNvb@4~@61k^@SVeF6%~i%-vPo=N#>CmhyIS|H zzjx%~-Yi$%y-s_y{61<Gt6kJSqir0}5ufpy)hs^ZbL&O@jylJ);!gV+ezlqy_tri9 z%so%s>S)Y^JsW<qp4DG4Q`7HpKuLE`X?t~3!j6!;s!jK%{FwUS>h$$&hgaw=*ZMs5 z_U-V3=)FHRzo?t~FZ`$S>vG1Mu+n)F^}mvyt-QQ8V7phX*VmO-=d;x-nbdTZt`56) zV*R=|zI98Fo(`EDpZk;R!|HwWjplE<({(Ovc66ZK)gM=q9;VJ(|6$%Om*$_BpM_V& zfApPr&o=be)VpDoA@c>+-U(=(>BaM8>g3Dwh3bRm+*vj0)CbM;^Y>W%`|{(;^RV4z z+jb>~R=aMW`ZnCZV7t`atlnq-4gNPT<y}qFzO?euwqJL;E`Qd%v0TG-{W6=It4da# zSQ{3(a+y!)bE~T{k3u@)mOZ+C>P%RA!qa7ol0<)9y%Q3-&&D-v^_`W5{!<^;z6$)j z^2pk%dadHwmZC9J@2`I{@6%t-)Azzl{XhD>EZaD1>!Q7rCjH(nI&b}-V=hi+Mp2q~ zvI?}FU5;v6t#;k+W4th|A~1E;o0!(!q4A;b#kDnxwg*mk_mo>2ZK`c0xz&4TNa~6w zGZ#O)FmX||SMf@7@1=UI(m!^IWUZe1Aap~?lbl56sw}RlRad4hwCZ;<vDJFFLcQ4C z@)B?Ba<AD3G{X;CuKKX*%3`+mEZ5dod%dPkE+}6Se#2_B^TkbkPpziRTg<XLZhcYl z)R&>l3uB)0l<rzN^Vur@^Q%vVG{%{_33;6hO*<#kS{`yQG-K7ZkVv=KPNt{arRx2& z7mLaNeb={X^(>oHZ?%r=&$(H2RZjfi>OD1zo4Mna-?AFVAJ|jyll3%r>b?L?cTscx zc|pM!H*DaZ5<lZl(-Z%-_D6qmp7UR6Kk;A4ugkCeR~6MI?LCzFOL)HYp84PEE-m^V zEI)Vtn|V*lc5R+48*}gc+$V32q^V!OKG!F(+^cu<<X3*Htv9~=lBRCH)VlKBm1U*N zP4@1$-pTt;ByG8IzjdIMS=Pzdm+xd2tNR)FmXsaZ-8}m?bM%be!ZYU@<v+T6ay{RB z-?hd&D~p8{WUkI;N_Pr9+@hXuG?7PXhH-S>ugi;-=e*pWx9o<#OI_m`afST`&$yHJ z2|VNOk1v?xc}84n|A8N^NA)%86hCrzq#Ubxc;S1~!`4Ik0(FTWxSNc>)-j&(sZKk@ zyO}dGGOb|Q@ryCe=T7R^{A`-8U-FY_`UdXkeF8sN59t4?J@{VTIR3@&rfKu#_8<5j z@+5eE{EgpE)ASR59-6BEqE_)ecZL1Ne=5(p!}eAEcABam@bl2!@bERaY7$QcZ?Vt3 zxoXO*0<9zZH)<EY)84!O$F@~}nx?Fc+VAjP<h6fWe8(qNjrbYAo9>9ut5JN%?YEEN zd+T=n9l5Q$TJJCSs~5V{^ge8(y>8yrovrtD-`r$+AGS66!sgbyx-aq+&x?J?Q7q>! z-<I%v<vHC4c@N9Dw?(hG%k)+(ZM(wmR;%cayG(DyUgSJ1<u2a#U>B=pbjDq#*J5GY z7wl@ah;G>2dPR57O{Q03e%l|UaW9M3xXbiX>{d?WS+Q$5i6z{Nq60R!UeImGQ#>nX zxBbD+)^oZAd5Oi`v!Wd~w;D&ExYIOKHzDs~5%;ucjm@p6bOZ7di?}C6cidr`sq2uJ zct-3<j^Y_Hx$O^jv>wwn$V)8b?uz!f!}LguZ+pUy)<e1id5HzwP0<XSTlJ$qY--hu zez2)kH=5%P(*v=8+a7Fh-KU#zlj*+Lw`~(rxg)j}q;gk9pSaC*Pi#%j!c$@^avtV! z2X9N*-nvWI<0jKxu|+wIr^KFZQ`p|B9^G-fX|nEt+=sc`dGUYip8gJfA6~uh(O<9g z;k)Bs)=hn`Z4>{ruJpOKb^OD+(%)0xhHs6(SZDfs>YMP=eO-UKzG+*<@2xX^u6=#| zlbWl)L|=!mj$c_P`dr&0eres;XWCcR`|U6KJoRPx()fvWSD$H{#jpLD^+o&Q`YSb} zR#)!&w1h9%AHVeHtIyi!*IVs>^e5}H_Sy9pYD&}8j;=Rqe<hsyX-(IssWVqE;A#CX z`pjpe&#v(4;nU)${#^A*`_y{1{geJ^ebP2Eo><5F^XiQCM`}c$tv<e9hFy8R)bgUw zqK^Yg;&tzZlrQ};`K@p0KCeG7ziA)Yr`;Lep1jZK&#DjFht$rjez%ToztA40V&NCz z@h+Eb+*+!hKGi(6{EbcN^Obkj@2WZaRP*lqBQ{r`t=5fatz-QWGH?CAeV=}>dateP z?`x;}Q}lj#b$seiuG8V+`z}>$ebRcby=VQJn$V}(TJd*%S-*$8^q(1QTY2j1O3QfP zottKBy<2{IdByxqe^%wa`lNYj`5BwmXPWodFR^L;apisR?BKn&tvk&_r)lq6zo>@w z>FS;9pX{spG-cNMM^5{TK8fB5&kqi*dukRsbEV$$MV~@utz6}knyR&D<)>wrs<}#5 z-(Op^eA4Yz-&fvT`^{$Rk11+1*H&!5wE610;M93io2R}DtE}5}hwFTBfAmwkqdTt7 zUHis|HBI}@{8K(xQ&$~W?zVl`-BV?&Z_U@*zUXe&H_fYSQ|5csa-9n+-PZNDvrzlS z$|X6j>8p0lKj35fbh(3`eeKOxrK>H2W$je0Lm!2d2cNawx@+p|u%c~QwOv=cO0^85 z*ZPTCiarfVUN&nESCRJBwU2UGpD(|#HfVd*X3<yvP5yKLElFEt5?t%Ib;s40Aw9vS zlWv|m>%Z8()2?;b)R!Us_8a{~pDj0yo|@;nD^&W{a<0GgZhfBe*1sWGG4E*FYSZXm zyRAP&-UWC0@3nJH*S@&+N{;9+kvCxrqL<!$b@|k1b?souysJMaKMR{<pDSl~^_TD? z|IX;#yrnxuXRST=XUmU}=V3FWXU^=(*V2zp^jn&`YTwE~4D++@yeiT@z4la2=uXk6 zVUvRkZ+d-FKe|?FyV7RSCt=Ckgf@rHSSz=^=+3G_?PF`jwg=tOD%3u*mT$Y!omB<e zht{(7TNQ^q3o4w~RkT~=T~OJyOLx5rH193HGp}lLQp~B-!6ojV%NzO3A%?Vnni z#eX_DVA`t9Q{Ds@c-Ka9oe664=GAlE5%Mso&0AKlbw|jfpv_g&y6(nmeb?NxYx$db zvp!vkow|IDPHDQfL3HiSQ>VjXw>{c)b=q2{?M!!Gy<c&9?VoM0ZhM^$tBHP_J2h2X zCpz|~SN`ffYd>t;v?+Az+7&sa+pkVp`)1p!+g_)_N}^qFa-9k*jy{?DHD&dVwR3V< zw_m*-mKXjv?rC-C`_SrjkM??<58WO9GH&W~Et~MCai!@ikFS2CbM;5{l&3*`;Sb|V ztEavV-5P%JTIkt0)!9#1S$V(IHQjY(@~OpNWR6x}nYXyA|C8;jGR^Hv@Ach^U3KPZ z&<yXHmYYRpEp@S+{wn5_@!6mS-mQ8|cT9N_xXOL4+|jC#)vp7K`>JBO-f7%eoYcRn zc*>i=&F&ZFLaV2|4J?^fv?=6H;0*7Rx~AJjrYv2g<60gvXYmu6(5fjf1M~W<Y`MO! zFn3=n$C|FG32JJjuQXlly;1M#sqG>ULN|sV+@)ulzViCgEjpq<wu?+(dZi@tmGA7O z9@Di-H(z-fw8C3A&NW^0#!?f_mwfG;ibbZbj%#-+Z83VA+x&cmmOJYwxv3Uc<}DWK zS2D3VbuwUm_}QJOzG_*9Z;d-zb@f$XkGpBy(yGuomlq%EQ7jI55?Zj%YwxSGp({Gv zdHJ4dsefH{d11u*qT(qJ0$ZJ5?mShZaqj%p)n4nB_O3b`nzJsd`09($Md2%VYJJhV zu+YhMy;t$o=K*=^KH0p=TXAu<RXfw(uFtE^t~OckRD5;jYNPc=#iGwbr-w(rO1x>^ z^?sF6cxaq!rN}**yNlOci8Jl2zLI=JY32I2p_9U0<6NI<omhP=M)lb$1NY3%qAB-7 z`@=2eRG+S}I<WZ7x~x52A6Fe+EwbKg57)<4hgb8g*V@zdVb#IaEbEzyuTEdRC+6x? zExqvEomwBX4y^vS?$hp7@3nNpKgMotU-o&~rPZ5qoN{+^oem9eQ`+P8e$}4UAJRVU z@jM$EwrZ6{o8+qZ%M7Qa=55;3bt>iR-6wAnu1=X*Gt=jDOlo=P-O!+Qi)OF<zDgt9 z@nVpvd9ccrxer5&=7ihM^!&JLhn9Ny!Pu{5Q*Vdt5B}+Q)%xnZl@(i6?tOV$pk*8U z)^DkG=)9F5e5P7ooxAdlPpkFSw;|htull)KUwsp@DfsG4tun0}D_{70wYoZIWz6zP z#-Xo6)&#HhTUsT2FQl+;*Iv)}YI+%YxAt^?US@NC<%2&hw^^)0Uxnm<+46IV)83b7 zd;{x#$#&%}yFdHYGON8OyWWQ673FXGo%wy4wN2SFqq(a}v@Wf@<#RM`m1%IVpQ_c= zSu35EGqEx&M{n?$nzrivN}J`c=5!UWI=j+jxs&nLnJbN!8ySl}3z;4q=@*)|>eNb& z<+J8^6|Fk4^28sNbgdIBkNK#ktuhF<^?Pa&`Z%N~Sl4f<Md+iDj$m28REyAuAuYkY zeySFtGgj{Nd78RPFSu}K*6EPOU`D^M=2P#7)COnH?8;xYcjYFZ*3?zn!7qKMnv32G z30t<xNObDTcXhWu1wRN0UbboWsyr>t;ETSZsahJrXMI)8uTEb1Xjzew=$(-K;GMQ# ze@}TIyx0Hj&&>Cl_m+RL+4_6RyWq-shdzhA3of6x>2vV3<puM1{pI|id3*l9x=+7X zzF&E3dB*%%wO-#=TG>DSyX3p@z2J@h2kl&+ue`qena$H*Q|8PUnxFOe<p<4c^LgsE z{&ap=esFo%{GeK`bN)^CjXz(W_V4jOSgG}OrMdmapP6TaSJ<zteDy`s+<&HB=r56% z!MXF2K3|!&+-<(lU(GYYS#?1*T3<9TEWc#)_2=cs{(bhQ|DHV6JipwkKB}hc^UAaH zb>?5H;W`_fKJQe`sWZVd{A2A>e}>Flt~GyAP1YyPlgpLrjXn!M3r?BW^k?O#<)@b) zvr&Dv+{nMR^3_Mp<IAPyC)H$q)I7ReXuea;s}Guo=bOye`jh!t^U!j(`9d{ZXM*GB zefktKefbWXtxs1TSk5s2SM{m)EB7tmVsrHSm3zUF^A3HwGIjZvd8ewm-fL?6hgNo- z3NH8etvvN^<*wyRY+S#GOj*9b#`OD?JHh_*x~fl|3@-5BYx{KDmG?pWyua#gP1n4) z^o!2c-BaELRZcs!IpkeX`Ls=&McxH%_r9qYx?ALJ&}Q$GdZFnnZ!ArizG(B6*FnY8 ziekOa1+DeosJC_3lvhE8)0#Giyb8*n<`ny?MAO`Rre5eSk(WWa(~>q{nYGkyx=^gw z*`S5qje1klR-RvKGyPReSMkcTOHHObZN4&dsnK+!%_7f&rh7-~g{G}MwNzvJtQfDN zl_!>-&|%$qWyaDYI-+SSk1v&(o)yzoxbo;yk?CGBT!kwSFXfr871LF)^59aI=}eoi zOkcW3=W42^o_B7fR)OY$rT?aVie8nksq6hwckA|$X-hZgl%{I#UHWO-q3Bbmf<mV) z+H~b^Q1G-((W~+_HN7wDil%C6c%Ri(-F{{A(nr&ZHi_H`%J<$W`?Y$?`@p^KZ!NpN zYuLKKm0MaJGH>w*nW@!R<}Q9C(^`GyZQwTdt8%W@SKb6}a=&V+^-bf(;ukVstFFvh z9MeCkIOKKU8uztwORGZWEPfy}wd%_3#R2_Rwx`YpE_0tM=UNpqd+`mKt<P3mT<p>x zR2=dmaDn?$%U7Q@&M&s=e`J&OS>x>D3o@n8R-9RUMy9p$%G1DU?o%ySebP9!Sgn7O zjn*d(BX>tR(`Oon?yZ)uK586aEY+W6ll4*K=whLMC!1FvG!8H3>Q}PK`k--e@d25y zPc`)2OD(%j2S)dC*>HVWv48QOzE{>>rvq!;-^xyXs-fc^Yw7iV#h%3<`Zg7XOkKP} zrnLOZl*MoQR#|(U3M_GVwd6V#SnPgM_Un@sI~LE8VJ*LMJ221rFW*z^koN)AZI5KV z&Ijyve#tjAUBkxtDPL*2hPCrUzEbNcZv(bEU*t2jp7JK3w5>~)t4zbnc`u)7y2kZ| zPk63ciM$S2?YxptG+o2Oc`4u5G>t0@{o0F+r@RbU>O7I}YMO?b^IFNQ5{-)sukeUk ziM$BNZ2Kj1s(8h@g%<6%WW0(sOq}ORY87i3J16p$T26TyklMCNrmJYh$%QKIT{2x~ z0wy^3N_w3MNNlT;IaRpg*g}c+C>gIpwX+L_+MWK$yeiN*ypStDOQx$}#leLv?M%j3 zrZ3#Xb2U{%&pCJJ$&bs9FJx+Gnj-V+{W7CX&Odptnom|ud*(ZR=i-ffoik@@^1bv~ z>im&+t9i(@g&WR1vA!~O;g_~k(p>p!7fqcbXJ&pXSfS+{SU5%I)w^YmD>ThhB~Rt8 z*fo0*k7}yMuGw$CwRIVZOj-D>ZIbk>lL3X!mXciW)DA7YmlrfQ^Q+qS*-IRD-u?3P z@_YY%_Fw;PQU9!dZ~m7%Pbx2KNSk-{n0Nl$@_X_-|H`^UpM&4|m)C9jEd0(lv~HK} z;>zIX{@d)Y{&V?#`HlZ3`>Q`Szp3At|H39L;p5A%%PsA9{!@9r{M!78`l!F2Uzc0h zAN;BLb-B6y!ha>dCeNPlQ?K>6^UHEGa4`vLGXGohZ25)x4)tE2FF*I6XJ7j7$j{5q z{AbxO{Q2^X|4jRtKRG`yKRsWkUh8x4Q~xRUzW-Ey20!snscZU^dB#7fj_WhD{5<mG z@+1E)d)0p}KQ2G?Z?hNu=knw716b)CSNH0Z@bvlH{)qe#e&Ank|MTya?~|v^|511A zx8`a8h`L>$Cg1a~w14<F<>_)Q`@o+s-!0d)zxg-h`{XI}U(|Jd5}q>uS>2@HFHiax z+FSnQe7Ai2{5xg8?w%}PesAu#H%E3)o;SCw{L)>`^L`a&r|!Ny=T}yC=<drh_1kl^ z$`{?uEK|QZ_thJb-NJADO3JG4W}fp~XMOVK%2M@fbHmDm?rNU%D=722`|_;c3hTM= zo}{T?p6gYvba&-hznrqD&6i*JEwWyDQ?o?<!rV)5zU-X*+;6UR;kzw6CqMJcD6`re z{LC+{?9iQ;Me3*Lo_Z6qQ~0UhWNXiNB0Gg=&Q&Ov+B|v2+(U1^?3n!6uh-h}-Ig7b zANh4!E50k)G5MiitF_=elO2;E_$8G6+BA9k++A;uq%POBW_`!9<MMsKI_s}*m!zui zoBOTo)F$C+bJx9DvOV~oUxjt#&6TJ8LdtgC&OGH;W_|UoN~-#<xr^Sgq%Pk%_eojR zrr<k%eq~L!U*@WBpIw*#Y46JK%WTa*-dpl~+1=SC`KOA7=glt4-&H($?(Bm6U3*V{ zTXt)9M*gh5p5K;PnIFB!QY}1pc3l3f;>oXli}JGec79!Ub#_R8SF!M%*+KbL#lo+A zmz(e0dGgD$OS3)lvx<dh&%SoYq-ydD->f{Yy_{c`nV8q!dr}$v+;@(7?!6_I!n0<d zyECP7^32%=`MdU<{Itx-JoKJR<>e>7lgwT3xja)lG5gpZm1oNg%x&*IsR(}T+heYK zZ%IY)Bi{~l*?TD!!4G{~%z5vrR0z+Qz3<MGr_1!r3wLIo_H8t0y!WMi@_pZ0^UR%{ z@0abJz3EQN(`DM`FYit%7ry5kmba=%c<StTd9#Wp-}Nmu_uSd}ZkdL;<Ic=?YCC7o zyCYIQdGhQBc~!el<}SNGvnKu3+|2VndyHSs%q&;CJM+_-mh@$JX1+U<lCE}VW?uTH zxhu=mZp}<fpEP&nIiHQj2hX{rFS|bT*_kI+ljqEgN>7@bS*mt*=A$z#>C3LnymzL> z>hepUyfmY^D@)Wa&AfHyNZK+}<KA;BR+DGVbV_HMt9jOEzH#NbE0)5~eP$bHo@+^4 zW^9~#E+tLv%uK!XO><VB@tJBIc+SLf@)Mtl#uI097A-S0?wqN4#;4!d^4t{*;m1DR z#+v7*SO`DzX*ZTU7h)m&(5Km$^BhasGJWI9pDa-`rgg8^+p^A3e+`qXYvHa79bG-A z_8hoyY|n<4u8u=9cAYtrx=h!Y^&E@E<@-K$#$V4aNmbi7^IO^}BjIT?*PU5n9(>QI z!Z>o~%2PfeX}e};p7JR(zIs+ARc+VIMQ2!2m+hSSB(2IQ_>PZXTGQ;8xoX?B|HK{q z&b2rEMltI>?H4-_y%Q~2KjAr7#kvXAt=8ciidpYy--$c;jcaT8g1v{{h^DL$c+Ryk zykRfXT<tw^iRVOP)+;>cS{t6Q_s}cRko5x3xeC@zsA@G2ci4OArRbfQgI~CohAZrK znytNI=b>5JJ#mg-xU$v>RIy&rUb6Ghb5Wc17b;oLY3IZ_e&)(pSMZE$X1GBy>ly8s zxPza#Qr87kvYyiRiF5qKH8EVFnDvBq&(5Y9+ATW|Jr<Q&f1!f)n6^%w<43N}aECpI z9*Xj;52#>0q|FoO_<<{7-G`@KaqBKT<%(Ii;VD;RIK!Sp_eKA#yHL)m8~$MTp?jj6 zVi-@0u8VPe&s7<IV0Y70?U0>JQ?>8JCY};~vo4^VRWp3SZl@{Q4m%Is5q+}m!xOH; z@PZ=N9ol<h59V_15B@OM>AlD|pT=^os%0CjS?_8-naMOy^NHWXb0Tki7|Xe~2XC0` z^j0Kexq&t7P0cGao!*EfEO$ufS|2>anDx5miJ4BXMIx4OuwuQcd1NNj9L*(u56_AO zEHAKPH4iqJ>-18@WBG+NuAF5X(zq4}3(RerrP<=gSi+UL?1LridCd~P#$vA7!58K* z&C;AR)9IPW86U=CuIa%W<~YsN4Do9$;+h;hVUE)ikrO_QMO?|t6w<g7mwm8cJ+5iu z*I3Ba9UL&n>5+)Q@&XIi!<r&~jRjoI!2)xbW@y&TY?`iFGLvb#X3k8f2O<p1KbW)b z*Zkt^cv|GgvJK{~I>85wTD5~O%yzmb@?lv*Dp%+-hg7ce;2B1&yEU))I-U}Fu}mP9 zD`1&{IqObMo0(2`MCSM~=5gf*7gQg5FI+SK!tWMa{|B{A^VHwiIezD?oY(N2bEp4~ z&n(vd2Wk(!70#I-@SEk9`WZXNZ=9v`1b(yJP`^-l=(X@On}c6Di{@SU#d1x3jh*9H z&cb;N&pB86SA1r<qCUs&;8|gx`2oLJE~#&+WSXtMrt;7WVVC&}o^dYpXQ*|WrCwuq z@H6LJ{|7aPo(Y@GZ+OO;K5xQL7GwVdHHV%G>&y>$#yQo0LCv8j!YcCxezF+(H`E-O zq25vHG(+9S?%+qxUjKxeLyv?-=1=&+aztIm?%)T`R)2+>Ll1;m<}*CwjGy=5DQE1w z15Y`l=L!5^IiUW>_TYQYTK@~5SoW#Eu~j@Ryus$-Dd8{kCVXevqaIP|G*vyI^3Yx3 zWi|)jahCc|_{6eH-KCOgiuxH_$9J6m^8~)LsQWimAG$4kXWEC|EcaEv=p~*Ps+hhY zopZPMg;=L~st+Qa-U+?aIe1Q}V0yuBmfNaV^cu@JH+xTrb(*VsM31qIvt-(bT`iX0 z1+h(YRJZ6gmU6E44v2M{qk16H>6Oqu9mZ15<=zFGSuU$i(Q7Q>%$;^1jWc_i!7i4I zsw*O$UI;l%pOD5m-}^(1({mw<=^J*koK;;Ad1$6;ir&F9LI%?dcCwsSof7HvR7hjG z!A_QwsxEqsXM_}{8>De2O%q7t?DsB+ae6EyF@3`hmZPdFdW?mf?cN44P7j4RrW@>F zIjGtYd1$(7MWoYo)q==F(^OgX7z;S-y+1@d-51)T)0od$?R{bsi;nk>O)Ps=pXf5? zbB0X|NaYNfR<ONA(|bd-(_Nt@I*oapfzudLIg7m~L?4>0dPMi&NudYRK5S#zuKY*t z;CGI_?l+2A?kT^pJoHYmq<_M5j*7ks)h*WU8;V)(DBqDg_>E($`vTiTZv<2N1D<ni zbZ@X_nyb7=F7cdTOuxc&j<xOywufE`hV%<O=P2l#P}O4Y?qGZ9rQjWzgI_q7x+~Z^ z%~sxEd1#h$kDTKdj;ua`DwYe%ODqpPkIjgWj)~4lj*D=0W&X71(3WFL6><wdbIftS zVbk<X@Pf?4GlE9_3C}oYxbLuOx~INxM_1RnW2cT>(324@eUMbyV&uNUhUuxGTE9YN zi-&e<T!N(11WTqTg2!YW&j=opdH9i|&ppG2>9L?#|ALB^BgzVL4?l3Uxog-kJrrc? zSEyhym|ockI>b~+>r&T;ryOy87oKv&^lf;`(df=#bLhU{pS}y_EV}LwtPkB2+$6(z zT5z3=<9m)u_XE~VQ<Xz3nWie=kxe`$zRj<v5@d{$mQb@pCChH*E3%HK1Yh(CJmm;b zIwR}&j>Eq#pt5C$vV|qn9l?8j56oK5DgNP8%;%U>;p`#P^j@H<eM36O9_Jl0P4g5z z_!<j2b~|5?b(*L6K+@@*z&oCU=L8Dc3#?ggEB@g<=zm5)W;f5lQv%=GCZuxAa9**r zHTsb3q1ys?-tC$4TWH?&PjB`ud9HeI`nMnZzF4zpIZudQ^?A}8@6B8FZ|)QMed(?D zqU^Y&&zD|%uh!qV@5-xRm*$x1udF=DoAuH=KhCID)AXG8D*e`dDbG|7PFFIGzg5%u zd8zgJ>6i9w0Ub<i6u+q2v+K6kKK+|l_m(_UJvp^1ev!`SNl(3}>nCdcUAN`=(v#B_ z;*~yMdhT7QpDFoSXzIS{Z1F;$RnK_M)-GIkW#^>lUh}mx*G)-NHP=45&SbaHTd%F! zH`kTy7JBA2Q+sYC=UK0`Fs4||5>->}&UGfcE=k>)8WJ8A`?5sUa*g)gNX>Fp8||l& zp5;sLPK^q80-aJ^6}D-!(0l%(&wmztW8b~U{>9(elW*T1lvCZkTT46g=RsMEvbqVo zgdTepYm5GKxNcxLspnp^DSuw#>oe>2{Zsf-tZJq1A2#VX;|s5fh8z!H|8S={hr4!I zs2N`7S++#2<)-2ZzJ=!mlG+)pS*)BZWDm_z+`;E~P9UoNfK|&?#T9&t=L8<`D3)^M zw>4O`Tvps6+4NGttKGq>#mqUuxW&|2LbmCJz!e_F5{?A|mv|l)bIfyoA;a`sz^r|N zC5wr(g>lOn#Tk-J&jj?^6D(VdoL9&&Jrz)ESFmh3p*TU3>50HG9>+5Rhj<<qa`ZW8 z$S^$?5Nlsx(Q-slf$w1fN1L;T4AVmawsr*z76a!WMlAZyFN|39oNpMl98hH7dzjBr z=lnvtX`12(-o$*4$hL-5j_|ex<}G^^AMhSLC9sO8@sz;3HiJ}-GUpZ2O;Z#v@G71X zSiqB*$5G^5Vbroi(L$2xj=;UP2j4Ee_ui-fb>9|H`uehG%kN3=yes1leGYo(T^_gT zv(P*5?fN(Oh5QzJ>%Ce3<i3#SOK(h1h+hOsY{hX!wVIWEjn5@^9^X;SV}1O9?XkCp zIsJjvJhzh1$T@$LDD4xh=DCr4!SdK^!)G#wze*JKU994{mb^yJ`Kv@>ALDb0mB%ZJ zd9EbSkvn|W(5FAJisw@D7E89-$!jc+y)bm?U-(R7;c*6Aw^_+Ga)&=l%su|V=GZes zlm5nM66t*tD|w8MAFw(0)KI5C@R`Ka;|pw#Juy`27p&wlI^JM&Y({d2rQ3{T8@a<D zC3=r1*c^LgDAGT%g6BxGjNIW560OG-Y>quJWa(#oCK2EF@To*>-@&I6(S3pyJO`5h z$R2(#QG5JC5zoHlH?qp74L8U<K4ti&Z(=#mp5zEix2eeimdEZIE|WR@PNMYqgd(0@ z$u5>`Q<BffI=_?f?-MNNQ9s^beeAa3owkqGJogj7@Fkx&sAyl9F0uRY1zERwi4P>* z-Wj~(IegBbpuNzV=XT;1zUDHC&4(w*y3I{I!pB@DQPTF&s>kwhfo$8H#4UWyr4p+T z2gth3NjxCw_R8QM4|A!+^1}tjJeL!v@HLl6<hC75lgMr}wBos#xI)tHg@Hr+#59Td zhd;=;JvXpu-)PBmHgSREv6+b}e232%7_=8!@|;ebBI)+jK%?EzlILWi3t#gY1BG_O zG>N1(!8D2f!v!*Kj}0W+H(Kx<O;q7yE|h3LY#`(I(14@e(1Pb+VuR$d>4_DRZqpMB zB#%u?WZ`2jkf=ZWLE7!U!4{t8e2MDACyaP>4(~AH*_-%;mpNY|tSvBABBZU*yhro! z25Gmu21|IF^CSY>7*i#R4^NOjHaYPK@8OdM586JO@obO(^Y74i@xA+ReCECv|KjJ- zclssu6Q7G$)J^=|ZM}cPXYM=kcm5svCcbt5g1<-K=%>^NJ{RA(zu_<I-1t5JlFsSJ z)GIz0U%Nly@6lKKA@zdK#S7{t{^~a0@9_8NOZ_{44t)_{x?kb1>+JXqKab9e@A>ES zMLerc@E7-m_$5D&KG(OYzxb2;Tzt+yr_bUUb%oEwXYM!n%zY+4=HH=D;;D6kKe<oE z`}}kIBtCJ!!e{Oi@jX9VXT-PsJo;E)rvBm&?ql&f|C~OGckXxibM&D;PkrDI?nCiB z|C~OEC)9m>DjrvN@u_%B-NvWljr$q?9KEmqr|#l+Zr%M4ejmN3zv&OtY5jG7oZgF9 z?mzImb!vRbPu8jNcm5`w(tlGI_?=sG|AODHQ{o+d9=)Ugr0(Mr@xuPvxek{f9b%H! zaw@)b)%BQ?L*RwT_v!l!s@^r!_ImCu_{6;<e$U@SZ#UmRUt|CJZ_fGjJ@a4w%=vD7 z_xz`tw&$DgoPWpj%~b8tnloF|&%B7a#w8uC-hG<;^_g?&JLcc5OZy%9Hhs(dvvq2} zBj=t^vTy#|b1rS;mf1J++E(wrIq&S3oUrFQdit9-UqAn>X4%VMGv}Q5u`g!+dGl5J z)cH#lKS#b!@1Ng#^UYV|Yv+yZyZ`unG7dGIpZQbg!)D$2g&#jj&N$CwZ++*^nsVd# zOXqLZO#3nOL3-o^yWl@OUp8xo*scCFbL#mIc9(y@Ih`J1$NksmZ2F@4y>;838Jo?Y zT37be*zVAIF8k;|Jr~~^?>>LeuJ}{roIB~o^RL!E+adWTZR6~#8~5@|JD0X|_S?K= z&o^B=TW7v|b7a=@^m+4Z>$YvbIoo1>Vcxb~lCRTN%|3WDr%PVq@Xo8|1r8r$PTb5X zHa>UmtU<J|dGn_3%e!J<yt?@~ZSw`Q*&BaaZ@xM0teCm?=9#l%ALOa+y1C+MT8bI> z9+^KbOE-1jK6_`)hP<{k@pbDr%=WzLI``&Tlk$nPW~Y}M@35GC^Q}_ZW{tx7-8(jM zpEWKkF3Kolw3?MF%y%Z?p&rlcB{AQsXTE=^r&d032lsJTjol6+%#t^Ebnl4`2#b36 z$mm0%c(mT_-aBu;8QneoAx5pb{ao6ftz|{(j^?K~OU^qTWBa*BYFgkur(>3fRloF~ z5#JFqA$OsuhSnsT=)XQ+H(Sg<_*3WWX7l+A>&kx3oPFNMUi)v)m(6DLH~!2yo4$B{ zXWg=An=hPqu=oCa6BJCPb;o|*e3m|I{=%Pc&ZN(rKl3Ng=gp_j>)305j(nOvWxj8n z+Rw-*=_z*2e{#;GC)sg-zWF%4Z@y{Wu^%@drFYF&t!w*n^I>}1e9=0$A2%PQH_dPS z`Q~(boZahBlGD#`s}cJl`5?W1{?FQL-)Bxc|HJO~Zyiun@BTFNUV7#HhqY->H*3ug z{Q2hHX3hCGYs0?JoO1q!UH2!+Dd(TrP5%AnWP0Iz%bz^&Hg7+F$L#mrGv%A_o&A<` zZ1>E0XUohl-_<#vR$+Gf?wfOIWoC!(z9}=leKyN{@!gy<<C|w+<%sQ;e3MpUR(&_; zT-v(XCvUDPHNJK>%slw6&bhP#Gw-`^&Ze!HJvZ-Jn(^hcUgpYo*PKnuF^k@O^F`XC z*(-1Alo($)dnxDJ&Y92C=FTq6+qQG&v$PB|>&=nR($dTh-+5DHeERIEoUomePtzvP z_RJI8DLM13g1PkOnKRBF%K5fq=Hs;9*@k)BcFcT~);U`-uWZN6hiR>|1@p{y%zTiR zVD@{{%;{%$<s3`htUH@Ek8Q`z`)PHvzveDWHQsmjo7w42lGDzv%UQNP@?KiS?8uvI zPNjvI?Y^CJDy?kx)m*hy<6UPL<*=o0-g)+kS@ovKJ86Dq&9~p=8gGyN^X^c&_}<+& zHgn&LeR1>XJH3+fiRt1MWfOOITkqbmnfp%cop*=I#JBEVaQEmNy_E96bn%V58}72s zjotGu>6~6nxnjEb+T96vkG|3iDHlu^FDRS1tJ{3H!`-7V_3pemR3g4~x58c5*|8gL z9-S52^UkS6JgZD_7x#tOB{z>g*Rv_VxRd)_Y|cBUV)2Z!!Zh)jyA3vTpNWlmcc@4_ zwJdNa_o-N)cTPp(6L%|Y<~|YIbF+0uY|G7~kM(5AFYe$z7OV5lsZhLgx5J&I5A}G; z19xyAisgCdR3M&E_AymFuIyr}cud*GRPn~$40n#+*ZWg;aXYu}?gzJz-qYLkhUv84 zx;IYw;+4A(+-{v38*-C%YV4i2NvHJQlm%|**4(||w(FEwhnq+5=shX>m?B=dyI>Rd zj@UhK553)V|8$N1>%BSWQ};}Nxijax(cROZV%nZ>x^wznOxkm!JE!yXH}75Z&FI$Y zH2ukY*PKh;IQ?Lp+w)D=Pd|%!RyA|Z=_vi=y*XcvuAY7r!}fgBmDBfP+Ny58OwH3X z-n-_D(WTS3VvarAWIDY!POWO@tkX{V%zJgtrp}*U8F#Hx@_Fj)>6vkD&o&uPPmN1^ zW_0GXp8n=NYtE!jogNrxRyp%Y>cr_2ck+DNWH`NZr_Pzw{^^!+*D53*r*==*jGI;= z`6#u0x@25fh2+E3=INYqY|l37Pp{m$=5%U|-tD5u2dNFy|Nb>ScJ$Hd(<@e-@C^^V zcyZRr8!=|)l9?-|H<|64{Fd?VnqW6^_D^5Gf8mume!|VBy(Q)k*W0?2&n|uNQ@j3a z#+>7Ce(A|;oA9{Q_;_p0pQllrpR|8pa$!;TS-mv(ZzWs%(iKylq%2iUOPk?mW53>D zts#@E^S0E|={IB5%5P3Sc_KzF)yOPYuXy*Flc|N%&&Il$-<)MT`DN3kiF-d=|EiL_ zld^BR<3?l2%$F~vO(xIHOqt^oSoBfA@XQP+gUO{gjc$tTg{vLj#C|kylg8wezGmgW zPkXQaA(4{tCV4};(OH{kKWDs7&bN9!VP@^0PcvR6ukPPym-c;z%)zk3#}90&d?PTC z`(^TqenGoq&o){_%Uup)eZO&!m2Jc~xy#i(`R8vZmvsMp_sLEzHE*MN|IWLGn{K>M z_7{6ytCMFKZoPa<QIU9aERX5AS4r!)&YiyibYiiZ$EnPvUFnG$_vFK7%(!;rW~bp6 zMpx$@DH&?7=kRR)^m&uU<ez@uq|GDWr_7q%828QW=90T98zx_!nNzkY%4+h&nLQ;& z=93rtnOQ}?OQ}@r-fR{5I%VDN$*z8B7Be5GB&m7N>G`;6-^oKh+gJ;X4xQZP^X#dS z?&QRsJ?}T|J-x|i+EXK)>9IR~-f!A-`h(u)qR6SISHzT+-<)#XNj~`Vj2Fp^``1>! z`L@xv|Erzb?-_5Ci{%d2@_aG0?!Q~9^L^v3<394%wLIsNH}#*jEBh`n^Y{mwV=sQ( zc$_@9Khf^n&j=~Q{@zNSPlm3#{fcgKes*p@Bc3Lw%5AQBv*~p5q+R`Q?Up^?Xw$#c zPAsk5@ZQl7`RY$IrW}8^$i_|l`|NbXTi1?e$yZ08*<#eAKL37P+@l50l7hunOS5w8 z8Jh6K#K*+Cx-uS{ax*rpeCCwXFZ8;LB&VEyrZ;)_8&C?d+{yE9)ArMM)PB!BQ@-im z$!|W#tY^+US*Ct@uFm<C3boU7-<(S+Q#(BOO_|Z{lUeGE=jN0d-8}iqN6cFCO-hMc z_1v6uDeESmoVljd=-SCJ_29WW=TZvPyyw0-o3di^T)$^&Mwd@|sVmQ2b2cSME!z0z zi<Cu^SI*QaF}iT_lFv8Gna@+^PA>G@W;yd&N`{)Xapbd<G_}KX-V_;~K6%O~%u@1c z%H+wOeqxrAGfyh0OB>Ieaq^JQH&DFwPB!%0W-;?oO6O!nzcP!N4^vtv3;LN^%zTiN zp!VBn=Jb=he2%4V(w)re$7XT!eoEcsufEGtjrN`Vrgqv$a@xstKFiD_@1;~sj-0vX zR7!~2?%6q~QpzS@^;JtX+I4b~4_oS{ohP5DRU1X#N%2!_p8Y1*X#4Rx`Omd$zHhYc z{|JhdyT?o9Pk)w}cf3e`_vaaNj~B@Au08W@<E`Tv^0RAwzHPMXKWfMJTVn3<IQiM1 zXS_}>lFP2``MUAy@euj$&k}Qv2gz4|mUxxCynkoqnJ*hJ9ruvW{wy*3_%$1|Uo&1L zXUTEb@_gB7(qC)$>}SOD<T?GhcFTTB%sPI~X4=mgGmjg{@2)xXX`@kpsGZx-8&8ra z^}E`+Ju^IU{Fsf}vyBG*wsy~cL_ALJ>DRSe_9Nm^a!0?cUD}U`hsiDdymo3oBxW4n zXY=goM!o*R%AC{5js1*v-@ebdpIqCYS=sY`<KE+&Y}%e~)b4+2JMFu~z2q>t)t@A$ z9)Bk{`_qiO$))|Cl|An^YV<o+=Dai9d3>IY*!LNek3W#Bu0E5y@&3^o@z=3A=acqy zzl_W&H@tiFlTKUu#ydyf>7=C_-Z`2lzBzVHnc=OYY2uS(*PKh**nLpXEq&wlqtA4n z?V2&?Xq0$zY)+}+)uWGe*wQy%IeJg0ZP$&LNqJ(%v1>{UFCD$5b1ZG6X?L%l+O8S1 zjyj1m$LgF-n%`ZicWtM{^Q76`nR;z$8;!eD_0rM|&m7eg-yE~%Ow!cuKs~daGoB<( z?4B6OQ?${ryE9VfOj3WhrQWq25|5L*yEXNu?T~ns)ZQ(r7q&y<VN!E9ryg6{M*Z%} z$Tg>vV#IE5ig=LJ(EV5U+4hM0Nl{{tqjT~%>U1YYzB!dt)%{erZTpO=M_1{%rEc7P zbeT@t_K3R@JNE6^#Udhjv-PQ0;p3%ykII5`<>Ey`NkL+ZqjgRt1&Ga#_Q~6*-hEW} zS&HF~qxZy$t>1h%xPQ2c|8}v&`@|}~+qOR6H`ug4wAA^&!MgpXCC~Q_w-4v=ueR;^ zw&CXC6#mu45pNPVv|p5DZWE4=iFI^!)w;NE2am|#FIyMbzWKVrvVEtV+H<ju?OWxJ zRdHXB-g2+$>xQd`L-Hq9Ma((;KxSIijoHz2?marI8*n&azs)_RGrDZ~jw>p-^<(o6 z?wC=|y?1YP#!lAwsnKUV53b(5yY;T_iaSbrZ@w6qx6hOdtCDz`n9G-3d}G#OH-2GT zpR<Vz+Z*MkJ=<{punqrfo1V`b&K@@5cP_p$^RN;7Y2z9161F$*l}k(8VBS2JPb}Ra z<ody92PAz;4T7&7jAECT?Kzu}eT7G@O5$1K^!7-(uxA@i9oFEV%`@3X=aYd^yQ7@h zGXul+R?9bq8%&y8<(^qYJWK3tR+KwtG2>}sce|$Cv<itw2@9I%TE6*UaQHA6zp{)@ zk-@3MZ2ZD9JZBRV_<jpLd%8idy-@N@{)YXBx5<cANIXa=umAdQsr3vI1Fe$Xd-he< zKjr(DmYTOgtD@Ob^3BPFz<<A%)-reg3V)JN+WuGeS$V|$#3;VU);aGt=(HzVzB!dx z)&5krt$fDR!>eT6o^IHEco~OWT6x6X#2~)K);gyW1NdfJ`@Gwr-hNc}*%N~uhwt$f z?>_oow<iB$b+_&I2YXxRMZY=cw7vD6?x#CU=XHzn3!jUX<uz7!-;Ta<Z_&36Vq3P) z*xUL>_thPxZ(<v^FDUN59(`tK>ucR7cNTpWTeCf4Z|f`FM|YUc=?3M0d?vPHyT#tt z+0irZE&3w1WP3(2x7qfH;_i#l9rv8h>N@2!R&|?f|FNg_xvo|I!OHHl(HZxc&g!1K zqx4xUEw8b%`*d`~y+vnqwelS+yH7@Y+*A4_mXgO<*=@L8pt##$d&QpC$GTGa2P?Xd zMr+(t`Y6`1-C|GcLtU<X$BOQQ(H!@f&gkyDbLh10t~*Vqb+_G7`XJV@{m1Us`?|mK z7CsfL*?wcU>$K>EokyoezqqUPUaVsKhN5n*?F)*!cSrl|Y`v@dDo^pLSjqN|B5sZC zGj_M$(Vcfk>7AHg9%Fg;_UIkF->hf6PuSc1R<ftuz_$4<-!kinc?Uo6OtZc*_uw0z zHtQR26Sg&9<#V&X@g`wY^HoWmGJ_ijU+{dhx-sWq4Eto`h}Q{gn%D9zvx=B=@Bz;> zs~fWq2C!Spo;jPata&P*n^nZ@gEx4#rER!)(1ktNIO0XZg65@?Z;B1hAGBhBER$1g zaQ5H@p0czJXAYj>X|ufXG+|ovRLM0(2B!|Hu}=o2Hlt=oKC?6f!{%1WH-!er4@$8o z%j6Uq96cz+?kw}Bz~JyfE_P*^oC1S`2M_RkOEu7ME|u&#oe<5&EyGi=VgJEDY_Fw# zPAAkfzvZ2lYM|2`E9sNJVb8%2Y@3ZDrXF0uQ)Yf+%E32mtEGKTC6qL~O7fgaC~iK< z`z>X|j)QY}*vxO-PROhO`|s)R@b~f6{~rDIJ|Dlk{^h@^pi!Bp|4N_hTh~AQSNePU z+xV^Z7yp_5p8h7j^k3Is?r-{5^?U!BKG(m#|H+@Lzr<h1udZMDPxQIIMg7u$U!Un; z+3)wi==1cK@k{F`{=522->iP^&+ISy7x!QJBl=7HMSSMJUw=-2UVm=C#s6D>yg%!k z)X)8?{aN3*KJj1a&*@L&Q~#~{)BS1v$^9z-yZ&^aiJws4`_ubOeB!^VKc_#gKek`u zf7BoEkL!=@7x-`W=k$m5hxT*)m-^%VLEoUh_UGx-@v;9NeY!qvKhuAvKd;~G@8AFH z-=$CD_v5SUQ-5-wjt~EL>9_WK{XP5F{0V)kuT_8dujy0$-TPntoAgQiZhYXsrcc-J z#23}u{?vY_uU>!f@7HhBZ|l^hKeX<?7x`u;>wBFqXOharc9nB#NKN{COZ|-a!N@gy zzZ`zq+*DupR?V1ma>~SXv5K^b*4@^dHyCr@iM(^}P?^})%?supeWR0-9+)n+adX35 z*13^;&Ly4GiAh&XUw@SE_iM4>9ZcQVA~*ESIHH=i@mHtmn=RL(7h4>SSzU27cJqxR zIw9$T>0$+G6Ro<<H#^Kd`cmi4nL{OFOE)Xbb)6l#Vdl|Ukv->}O2o3#1g*F)L@t?m z^tq0W{A}0fIu_|0Gfi3^uxS5yF~5;-LqoIJF7953i|6mP8j8g*cU}8rB)Bbfo6;MX znKvc4OqM7y#U(~1yUk2dQ9Np(XSc~Agy-@c)>)BrX1YGpIdg`oSZw;{4Rc&)Muwbg zDiWK#dBPmmCpst2Fcpa<rzxh1C8m9};65H{a;~XRtb2379M?xW0_lYo+=nAY&NUT? zHE$M}!#X3fW@hX3!x29i<!&rj?O)F|Z?25UE`v>mM&L_}#Q!E-Ocjes+n6fWxS3(j z(fc}o(k_~F>u!E9`{+HLO=p-+>#RHDlrL7f`M~VfsgWTwS*J$cIh%A!=S^CmIk)EK z1+!hJL^{kodPnC;+Q$^J!p#Ln+&d!ooIUh*-GW6*ar>)(zPq~n`n<gr-!E;x{w{7; z_0yZ&=i_!(-@SSIT-=W8yYEtWhrf;6QhoNF>hAEldy~F5-R(XXx4!!9&C{j&mepI| z9o=>PRotrTqc^$F#jUK~dy~6#{pG!P-lXmde;K!=dh5;ZvvJwqr0#l`=$lrzzGK}L zK5MVt_fL0L7wezfYxe!p9qzMn>EBM>IejK>Ms@7F)Sclo_iBA#bSJw=|Kwh!?@F7+ zpTs496WSa;W3SxzqC2Y#^^ffp`yO;hyHNkgUcT=}cUBkZAKJ_IUFZ(?nYj3GpEiY0 z-@D_@*3|U}_A-3`b^CPw`h9!1yg9o4`n|ZwZ-+KrpSt(Uw^O&d^Yyi>LnWE7i(l`5 z*{QF`?8<TGSb&76V(f+nH?e6+I^N#d-420`8<f{;RA02+E-s>8Q2zDV&eNga`D7y^ zuN~OC`^T><J)1aPH+M!pGvY~EoUl+_^paWi-M6Ms^(<CZ-@Mxz7V^dU`06};&FYJ9 zMN{=Ps?WYv-F|)Y-bdexHi_Sf%dg&f_iOd^_py7+-|p=Gu4h~R_TJL!@OisG+?iT^ zeeUizcUr5jzm45ie)XPf_4PNgo64{5)c&S-WA}?YU#qUq*&XwKQgQg}*fr&A?=7tg zpR@bHovBsVXYUSpZ?*UI+1O>}Q}4M}h0or7<IdJ+>n`qgc^_09{vvik`O=-QKkJ>} zZT0@qp6t(hXLnz?Q~GS(ncZjZv{qh!8au6g>dw`l^iJ(odp~K9_9s1~a>sk7&-4t- zTX(+xsCRs~)cd48*&p?e?iPCQwCD8)y~De?-Yf0N{-Aeo_klZKpX%wCm+tI79UJ|Q zYY+E_b^CY!dG~6!_vzT0^0&6nz8XJw5x*7?7#Kcn_l7&APxbch{`Bt9?$f8@)DD5~ z<??b25m7wIxyf*&j-EbiB3qY0N$Ae*Q?cdczB^C9TeoZXk~^;D;Zt@mxMNyA{Z6d^ zyRO}*PsSFM@4fr<Ti5;YANv-47p;yv_?yf2cTeR}y(iiw@e`kmR>V#G-DSOg!)LBL z;dk~O`X;({{es$~Z?se51D}g-T;EX3IyZdJzNB;7G4YDeMc1xRs6F~hJ0xE4xoAP$ z#9v+J>m6#3zSO?6=g=3?rRx=HU1x`Hs609=yl0=&7tyRZ!Czb#!k1JYeXea2fAJ^R zx$vBQPM<|H;tHRM&RlQsnak(kzWok*u`;TbhUy0^3~P=))z*m*d?q?|{eqgKPqbCy z1%GlGt#7C~IwQQJ(sf3-&Avk)MSIsL)Es@JEfPQR2iK8snSF;oh_<d*s5$yTn<bv{ znP`06!>6LLaR;A@M#l;M;5rcgXYZl+qP6QUeB#;{{${VzY3&Vr9-Y$u5;yTX*Pif* zO4q630hLGZYA@S!=$&Zk`U#)7c7?lCvQ7y<v)AdJsDGT`cP{ny4b?|)Yu^d`xSQ*K z=$Ca#=d~)r7e3z*E4q92g;>{lp${Tm-)X&DbLgB_fq3;BvG%ivGI^z+6|~#_Nfc=R zaYQMsa5vZO&@1bj%0xG>o)GIgH}uFlrZUlzu#daCELRuAw$2INvaYFAboJ_hSl2nB z2O?cxY291HR4TfBb-`w?%b`=&HI<0wh8;{3%?>l%#dR@sMWpKsEr;-lX`=I2e~58? zu4NIvaVOW=&;^l4XNIP%J9I|N;CYn@v!rFD<@Cj>X==01?)+iFDji-3+9fe1()Fp9 zM!4Zlu9KlI>zdAJDTEuQi6(^!riu2iE{Jh`tR)e?aR=AYP?dE|g`(}N4Psm$YH@@c z?%+BY+7NkkdT2$Y>-5lq$fMIjS=KQXh}N(E5bb(jYs;FZe9`LFCpK~EtlqJSYj5b2 zwM_Y<VPS!(q9I|0+q*PZZ-{oitF>fJQ=VvG7-Onv@#+cDM<<6KS$pWD)`PH*+qkyx ztb6`x?&|V&w#6UMEq$)Hg<+*#^KXH7m$#pva=2DNR8sc4AhYE)@22X%qQ~;(4SqBH zJG<3-`n#CQXNQc#-^G+a+hi>ME@pf2&2ypF;%{R%7oR*An!fJF&V=WSjIY0rDSlQo z*ZW+|+TxApwpvYp6;t@E$vFH~O#U;cxvxv~%!_B93$+q|8I$`g$@u!Loo>&C=6auv zSy<e7Zfe@P^E+*xznarsyzcByljlyx*JtiDdTwMa{w!vCapbwsv~{O;YCNAc$Gd3V ziJd3Tuv%W9vGd3o(X@5Pcgj4^n$uml?&waD=U#KT3)daq$@5%mPItk&gF9KCGZ|l> zzH`r+tEqZ=#kn)J3-k``{P*nB?A7^ty2T&QZZ!{|wsXUo(p0^@J3l=;H2d_an9yg7 zjIQ5}34XR|_Ub%6&Ekt^MN{=OiqD=^HNQT2=c8vuM&fs3@{4!Qee_*q-|`o>N9P59 znCEm}v&?^Cb(hWZ1I1l;g6~+i&JBJr@6k7rZOd2KvcA<!^H;3yvRd9T_oQ#$?c*oy z3V+*Z8r{zRz2oiYZTxrK*or2!+N3D0j$NSPp!G)c#Tw7e9X>Z)7wj}KuIw_NHHV|C zV_n9=U6rc~bPYBxFGz8Iw)SLjPi9Tb>klG{*NkGgg+A&W-n=d4?8Q}!rj~bI4?bgg z<%!MF`<lP}7}IpT*7)mb?%MP8N7&t{z4^BDRG;hI++4Id#{Z%DwRxMF&VQ2b{=P<M z`Gq2`1DhAjIrLSe$nRnm*R|j^^PIkl6#6kf7g@QyqL}MS@SJ&v&T9I&FI=52QeETz zv6^dp@SdsjnnYNiX@2r^Ea%eh?oU!sc2}(I(pbE}hV`E2ss%G@l#gE(V|}Y3;prZ( zD{Fi)q^z##Q{JmZ7n~JYua}7w=HKo7G;Q84?cIwvI6Y_C@S*d)bmIGY?hbtlmuD?H ztCX`nE!6+uGr{mjdyf9PHrsv1O|M$+62W4*k5ATKb3d_ntJQOnY(K*)u8YAdDzi%j zcXi*WZF#2Y<j+{uWwQK7$x>ylpVQtbscGN;Rin-O=GrXRlzE3fi$u>|{=nwwGffl! z#%ChweiJLXjF%s<Ir>yn$3O6y$kgQvY>qx@R8q3lHD5j<=5>kgm90Vkf;+`#2T!nM zeG;`XyET&gOjP!@pyDpWe9?JMXEYDZdGt}FZ+V6d>tjtZ|AjxUJ&BC-n^?hhBv{66 z-PG-3_oBkiO^WS46%~2yR&;m1uI_S!E31mGy^GwH{?xMjoF>=#LpG~F>zvzccK%k8 z*z90|c~_t5T-xk)-phvj<C?>pdCp&w>Hf5K*Y-E}sy>NL4dz*QwVLbT);fR2XCm=_ z51;BD+b(mzDrR+o?%}O`{uiH$#9VWd;XWHNb@>gWuxFY~=Vw{7K8TDw*HjetPV?Wf zUozd_Me3Hnus;1>B<dWOO!j%rAATFlyL6TxDC*K)e!<%Hp5_O?#HS*mevVH?%9qb5 z;@Ta2Wv<gH%@=-xPelU!49mH82HRM=-qD;hhv}V2{_+Cpqwh6p+%H;p*)Dz{+d41s zO`lV_NTpk2y2#GOJB+!k7ax#4`c@;yJ<yu#R^XXFr!tXJH$iKz8-W)jkG|G;)^n&- zq{!`}71y=EHGNK{B86^@=^`r^R~U0$37pe+=&Xj1d!QB9rNAwctg{2xNFIHm;o`n9 zO=RI>23glxfi-=Hibduwejs!7nTCmbW12|1+eAw)<HZMLj)JyV1g42hUA#c%=o1YU zcR@=oqs0v}M`r|fNV?7lwCOukDAKz)LFVWq4H5T=7F<UHW%>>kh_o(NkU9E5gT<XO zO(fp!VX8>1+rd<kXg5I%t^<L8dJpA`)GoeY#I-N*O|Q~vjSW4IPHB8`n`q9pCon?N zb!uRM<k7nt%X$vwiIgs$V8pd6&_$AUO5mAZr#umVH$ihQ^~DX+M{jG~x%aE~^!K&* zwtw4m^!K!R+sp1>s?|OpU2*SJ?dx;VW%mx%zW%0rdwbUXMYY-AbZ>5dW#g15xGVpt zol}uu<-Mlb?sL)W^UqcunYumZ{-n=gucOzb-HYn2@&3BjBL85ecB$Zw{JoJ!-bJtI z-cbAci>`TpLr%?+B}?bHpNTy3GJ0A5)P1hM!e(#3v1jYEwHLR$+z<L3_Cop5J*K-} zpRYYv(o)}Uqj~>TO?R<ad|X_-tE+Rngqi8ZoVk%L`fc1Z)3zD7AIOc>TlBrDRrmB- zqv)y8Q@U?_<}l~h3@B*T)hJ@H*tYa)>$Ia!TbC_3aq#}NC()DgUH7>@(><~M*dEnq zYYp;k_dWd)_BgsHUw7ZqA7PK8JMv}srTz$e7~PW3yHE9p*o^J__B?&MRxiJ>GW&FN zV?N`)uivNLkFL$ntn7ZjcJKC0ds?5a)y{vpcj|Ysd(mO{R(%qiy8Yd~S)ZoejV{gi ztn7ZbRwLiBGW(tG&h7K|h<=|odHaKVRn@0+*WTY+bNy9p_W7ti*)Jor%XRN={j{bv zeeIpC@7AQI>)zR#cYRar>N4G1Thp#jid}s!YGd}nb*|}auWx;}=IO3!bGAlZPm0Yh z)xEm)(HhqDwO6*@ThqGh+RLcCYeuoFOLQ-7y|w0O+FH}>-gT<Grp?;wbe$<y`)t(w z?8<dlcZxlanw_1wt~G6~adzsu)HK~QTlKDQidlUoYHD`iI@6ugo<vQ|o*2npwAL`Y zGgA9ZRDZVRx~n_H9!GU&Yp$ERL+nvhd$#1d&>dnAqnfih*RiIp)z7YsTzxt!=Gv`I zVGp7jvj47qx;^ZERMfRc(b@THb+Qv9U!RJq%6_`Gb^ElbTUV`dO<lWt>#{Ye+r#cg z1zlSdt$ivg;M%Nc@4U6@*+<ttP0`)4_1?82>(}3P?r*L-f2&yRePq?STejZc*Vv># zwAB8-#yb6`CHMC=w>RgUUuE0<ZOzTiDd$%ehrNm1kbcqf_186)={x7CK3{WfbHw>5 zTko%HEYc5JYJXj0p1yEiY1OpZn|;n}*>-<fW0t<rGW%@g;`GjWOP{T|u-W0fSMjyy zk@M0^=N+xQ_AGK%`a;XsXCh~&&$Q(Jyyo;~o%347VNWBcr2EcOtqgk-nR2elCi_fe z(mAf;YmX!Q(oN?bt+@6mvMXJ6UTej*hmmdRqVrrUu04osN^i7$eL6Dk+^Zt7>6^FB z5v>q=5Luu8bMDphY120UICslh`*dW)xm`um?nPFnKb)KTbd6Sepylg#Yc$hu&J8V} zHf8gRb6rJZQ#L<4H_7_-$;iTVOH1x|YqoE`bL^Mw>GC!AHh$|lYCUb<#<Js=WVO#n zR2(}c`}$l&*|9^iugi39Z_GNrNH)7n=jO&&J)+iPZz4*LRmo<bi&&R@QgU^v&b5tU z$Ae_G&qWj*^OAjiHeyBc+`gx2I+r(k9aoZFeKsQJSd{U#7ZHn+S4wJ^=v>%%spqTZ zwC53XlMDN{T26Zwk#Wq*IP6(O+Ob12uZwg}Z#>l#YAN<KVsf%)pQxqS%#8}irHrS| z*m$VttHrd(5xvQVeOoQ2J&Nc|R_rUanD#KDHCeFF)MDC$h=gOmjHXTBxU1)A>Kff- z);?B?Yxg7SlE3yYP1V`A@!PReMq<-8uIpK99(FIHA~{lW^{I%EW4olYPeqg^U+q;* z)!DUiQ4eeCnw=Y;9IG-4yA$DetV#NHuFiJ<KXr$`3-6tO<1^<y{}(@xyi+f+pZHw3 z!fxX4PV4y_K6Bpjzf*VUoAB283;rH?qn=_P_*{77{D!|QbN%<!C7n}`u~&R9ymo%V z-y^ToL+k~g3m4c;{MBhb-{J3(m+E(F4t)_`I$z<h%WVG*Kab4v@2PY8BAjI>_>1#` z|B|0ao~zr~Uj!ZJl2hmOSvbS4@R{(;`39dk&-lmG9r`4kY8UvE^OV0&ozo}biSrdc zbDr?;`PnkVzvbtV$Lccn7k_Xb^Vg|!`Y7Bv-{H@Zhw41`fj>A8`Sa8{eGpEt`}kBi z&hFw<;TXG(PlX%jGyFMnU;U5W#qXTD^B?>^a!-9z4by4$bu~`!g)8SD_}wzqKjbIN zRR24*NvG7`*ad#))SSQIx62fNho498s6Vm$_(ZsHe!(Zs9sYZ259JE)pZ(#k%X_tN zIZfrlRc0G^bKdoRa+77A?~}Yo=hWWhFqI2$pS|I(%UiV!bHm-7H+`?%ba|teVD6YM zyngnK&79YLPuz5QtrlUvaTn)R-y=6!=J+njdvsPUz`Sr5r}=DyyDl%)Jj^eq3Fnw? zOcP!_Ti|ZXEZ>$qrV`;yvyVGD&-<3-H5Cibo_*mC%PikHH(j2ooylP;7M?zP!yT8I zz9D%{MZ%M3Pq^dqMD0WlQ;~48nPQr7qS?nCoX34l@|p^TyJrX7ae1UBU|zU`^RTZ- zUQ>Z^^K5}TEHiv-ZnjMKExE}u-8bi^%L6qA^N-s(_xpaybvmu~!))XBPMz5YHg#&x zzHr;+p4tbq#8lx>Gsjfn^4T*saqjlLlIwIz?S+|Os&Igr;daiQzBV^q?x@YlVagNE zpIxx~$a~cq{fpI|w$mT%ZJFo&CeG=*P^DhubD^EncNBA4Pd~8t$XnGM{lIF@Ti$2l zoW2Q_>IqhJ-tfM#^T=z}XEBGq3Ki*Htm3@py(Z4-t5BgH<8z^v(<_QOuXxXiJ9Jjn zM?bKN^OE<Loh-Ax*X%s<Le)io;WMFy(;4=<%<`^@JM>v-?(_$HjyzK}(QkYvl&&|i zlGAwlfjvi_s_N(mJ`<WceZih1PgGU(1uHp?rZ?<4GQ+!Lr^^g)o47+Cg?gta>^bsC zRYZSc1?LfOnYcqAgj%O7>^bs4l|`TNnNYmm!>2;AdIz5hMe7Mxa31jf6MN{rQ0?>! zMV$M*-^41NR^1Tu=#=Ugy@}<Vd%Podx=i&B*m>lx>av(a?}SRHPblKt<?XVQWs3Ki zSf_VF{(6Gtoa)mXb|1N|dPnV}HRpZLFMdhqRVvgMrVH(!d||H3JkJL+UEZm@^Eq@* zr9i#Vn)9~j6~Cr3q0N&g%ypUTdBl&YOsGWdqgAKn<bt^^b3C{BHI)jjo*XdOWsc{8 znJ%wX?)fm43N4>pV9a^hbBbS6iBPWE!8D<4HA5@Ti=HcHy1Y<vP@kA4G=K7kIWEst zEYvqza-Q{EF!RVv&lJByXH*Q-3oSWMdrq0@@>E4b-O!Trq^FBt(-{>7b;C5FBsIY_ zq5jDQb6g&)NT_eL;5_Q7;>T1d)IQl@j>|(84s}Bd&V!x}GmlL7teELC-Lqikk!hYR zeoO^I^^-r$cDb*z#iuD>sCx1VBTk*kJB&E@dOq=G$`=Y#3rrOXQ7bg>)SSFww#!|W zB|c4gLV;?GsY1n*C(J%F+4G3+p_3{P)IOSVZg>A<cj&v|-u@e(Iqtc?s66scxkP^A zbHNI^iN8Cn`!{^%xZ{4u?$9^Et^EsXkGxS%kq>+>xUs*XmSwK{9=oJ-$}#ea&jr`^ zC)6H!r5qwJ_*}3+ZsM;F^L~fgBQKTj*c|#IxU^rP)@8Q)hRP$e+<WYtz6fT?3I5`^ z;J&2t$a7^I`HP^7mU8TzJ_}~Z6+RQ3*>CWf<BWTZ-Jwr{sd9loIZnCz*g1U?oY=4M znd5|ePi4yt_m;{dkCkQQFaF>-=B{Js^ii<0-=XHnLuDTMz#klk+<EMrJ_shreS9hy zCwK9wV2s?xr-F_B3^hmYEB}$Z_?<(y|3USUd&-+^m`*FNvvGPaSlNG|x@D?+NF~cu z_dB*pr<C8w1%Bty>|aprGR57Y^2i<KCvqR32p0AieB#*QzQ^`ZuHgRe53w%qmA>gT zl?zshZQRXq*Y!yx%RJX7dXLU2z0qMR7u?>xA=c%sQiizUZjPI-S0Y{BC?$wHrVFm` zp0SzZy6cHZm)A-W;v08yTy;GX$uh@viQc2LN&(`9yEx3d4PsqhDtU-sOcTrz+n6S} zxLY8$WtMA;9#e^6rr5`w9Oqq2^qPtVXLnzSVVUJRC(`Aa(it75V!`R%8)95$x`yaA z6$wu6p74ijt#>yox3K1h3kQw`D2Q5K5Ej&I@{y355izY{5l54fg1BLtV3L?%nqYr- zL5#~|B?<A3J2;NIs^~El3buC}@ObP@uB&I1_~p4&mWwIj1-oW>Ps*!+fPjk^X3P}3 z)wMx5)!Efm`;gxhRt+vGX)P@yY3D|>1uqQb&7JJ_@7JwpjM(yHN9xDI&BCwm9?yMu zGD0R+_`cfy?xSM&RLZtZJLg;Q{=vmo)c}_x?qTWj=1%h49p%j(<;@Kuf7IOh^X=A~ z$80Oi-EK`c|9<2+ub$r<-g&im?ku`s`ZIUY^D;xfI~y2XU25&}TI}*-Syunp!P@8g z?s3`k=Z}|}tL^N+J+EJS&Wu%4YVRz$Vfu0J$$3Y!j`|d)er*vIW&QD`Q2EaB+zZEj zKWw~Gd*^^n+phlG(`)bSdGq*Ms=T?LU0(FJLhd`qc?;yX@2|b{=Ud_H+sA!R+vU~# z-tqSB<7uh#<~qN3#NIsan=ik8Pwkx#-wHQx>W`jUduPR)$7S35Z;QyA@2<V`>RaLD zPc!b=<(2dwefwA}Ro-0V_l~n~AFFM@@!l@azvj-PZ-vF1`lavK<>lMu<@wg-Kf1l- zyIS?E5BFUBC*Pg@;-1QR-w$_GzN?kzB|TT$VZL*3=Ud;be5dNkH)o%?x8$5}Qa)3) zuvH>cSI4mzZ7<C#_nw@yVZkCLcNX)e9fBuk@3`l3&NnLm(5{K<=8<<h?z{amTl7?I zm3il9!K3{u_gK#P2IYTxrnbV|a&PDC*)#4f`J%Q&I&qKZ?AaT3o}AUYA}{5u+G2CT zy`8gee>fvnKKa5;t@Oona*NDP&TcptRx#ORGw(UIirLR|=WZ{&x2;n8N$!r#g7=<$ zR+}eZ`Dx;gn?dQ-#got7Ja=ZA`Rtjq3(m1wOV7O-mp;3A^4Xi~&MY&Je&%bE-}Fo^ zJ#SK_u(A2UJtv>~>g4a<>p63F$i0?NYLm?;?(ux$d*TkuC$;1}rDtl1d7mnTkIy!_ z*YZ)V+dOcO=ObT%{Gtls!?Q*1wR})(HW%E(Ib(Ls&d%wxOLlTjpPjSQ^MN~qc~QFZ zdg;c!oDbaf?3;cHn#f=LbK;rrzr07^Cm!rQa&O6dwWz#9<&*c$PT9#hZFbDg&U?O} z@|wzpwar%+32T|}+<kJ&>>GEN<e6QZ?Xi>duJ58dET?>*<tdd<RyXh5-8p&ofxA!M zs^uB~oZI={rz(9@y4oJ&i^h}h&U|rB<-E^_Gb-h3<!MRjYCDW~&h32bla=mdJ^AL$ z6X%wk^GQl)vKF>7uAF;v&deR>T+aDKr601Ie0AoEb1LV29-L7rRm)FnvYLE(=8l=2 zFMYhyovbFC87CS~HZ_)<+xf!h${CdswFSnW#*@#_tT?x%SZ$8+%{iUVd@h`Ma>mCf zJt<9X#`fHux*yCA-2RtVWGQ@l=9HP9Pkl7fjVy&v&U87~a>hp?-6%~hDNQI%t$+K? zdtuemZ*vRsy!XC6o4aEB+<V8Kn(e;*D(!Z$^xfQ~JnrJ#k8}IBo8B`^RqHd(oWuFp zM=X7j#pEM170x{=P-`>RoWuFhhYfU@mx1w5BVm2xmqx;R#y5>7ADGE-?n%B{o$<@r zozrH1IGd8M7Ma$RsurHM$b9minGen$IpwqJOv@>scVWAYCTkjRob7qnXUUnCJhi|y zrc||J<B79RPM&$>?2(f`57IuF32(p36n=Y?)by*n)=W#?dhP0yHP3!cx<CELz9rvP ztK$y+7P8g9S=;%}`_mql^WH`AMbA~s!VZ5Dx-<RGz9ZjMx31<~XSPG?VOHyE$#rTw zr5<O6#X0?!ntMGVzPonqx$I5i8~2`g>sp|n^jxW2?@;B$9ao>lEcv3eY<*&-?&<96 z^&j^xd#Y5ecd1(Ne)pe!&&mWZU5yK$9n)L9_1smP@ZUAQpSPa9ZV~^wX6>2m_VqLO zP5UwHQFizGi~GWU&w87^ef`68Z055kUyq2_uH`M<cJAh-Gi+ATFLRdZ&#diy<Na!n z$~V;w`U^i#x<36(W#?<}CwrEBRb8VWS=;%_`_UejbKXJmpPs3%kdCZ5`O^E&o+DpW zm+C9ldd{A{q4MOc={@^gzNlu!3H=hfFnvko$>-iS@t1xIo$K9`dn8x6ZF^?r$!EE> z`WI_BXT_(S^gdlY>z((xJu077)8d+bPC6a`MwVH;P_o@yr~2leC1<>~;+=j@Iyv2A zpUNlIlsKlJlMM9*KTk5yubkud*jvW`_FT6qmmkbo_RQqsWjFt1+qGX!ESK+`XO=G2 zqn}yB`Pf@5e$kIfN2V+6d-6fGO<%Ky^Px9eywVRL1O1<$g!J`aeiG8tzxip>f$0qU zp1fDB(|=jrIc@rfy(#ZiBjcK$s)oBI%dGt<Sspqs@u_6R`4^vf^w0m;)N?oWN+jER z!#6sM%O&@n{!!cZ+}LjZ#AlKV3g<2S)njm8pyt>Eqi;QJmbacRnrXavtL9&6UBOd^ zQvC;4{NOo}dO)xFoKc+Sd`GuWl9QJ|o!9pKqr}YP8*JMi8)ozyayx&QC_cWTw)39% zr?{r?LfZN(KM85+@2oyKW%`Z1OWvsl#W{VSq@myVY0}Q=C-y!$>HR3~(G%4I{mSaj z+g^3yk9JSGH}y><=X<X&C;H5yt!KT>oV+}6URY(+%*#6dm#yEP@+$K`U3BYSX3KKX zd1)259%gnNmeBbU-T5vvB5YE+YDL(j-IJ`fH*OZXGxg58BW0>vwHL;oeB+f89+a-S zQM)mgbMDkV>r&2n#e^%RtFF~fj6M0vD<oVfU9})=(ymG7+K#a&UwYkHbEHId>HZ(G zZPIrP<~-qb&R1QscHR2P+L@b$%(NpnPr5j@gYWSvuPbX*N>mqUdv2a|erm<KCB>?9 zv~R|AKJ&V;=E)f^BX{T6&Y4pa);%dwou;k1dD5w=0qatVR3~Y7#&FJ@>aZ^5jMtGh zDrdap!XNFJbZn}@x|BlIE^W^k&PQH+;Ym9t9hxezE~P-VNt<!=Bz^6Vn<nXLKio7) zSDQ12^MTjDut(b`?VFkr$$8)FTiB#j)rhd7RMjf&lhK^_yw<E)a>{GPnkRXx!C^_; zC+*7o<I7wi)p+@a5wG6zopZmHN!`ix^Xry=`?W+WI7}&3s!w}iwC9wm4v{BgW@Nru z>yoGHA11V2NL{;ecH5N9E53*GRP(h9txvx9tnt58J;_%6p>5~9$#3Sld{?Pt`z_@y zaQ&+5v8EL#PN+{fZ5R9P<D<nLTl<wC*wqGqYqa5<H~GoDC+9rh%whSivR!?nt>;_M z41c3)p_`MhSbDzkOz?MluCiWzX0g!q$tNs5UwcOQZ>kcyI{Ant=bXt)<~=#<8Q@=3 zC1kE{XzTgX)5HJLGnE{_P0v&os|(t8&YIjZkL8O>rr)PZq4SeV=CyoQnXP`&hI7{B zIhLN!JkQKw`K&TseWQ)%%*i41T0W^vR-b6&`NZ?Y9F|Wi$$m=DR1*C@RR|rQY%;Iq zqe{1WppEAvPXYg;3ZcW3Mdr19P-#{dwBejFxyG_{`s5Nz&gqkLEIl81GWdTg7urAh z%UqY!o<ICHl~2-9KUg$LTm7Q7=RMC4eo0SNLj9bcs+6nGEE3v1`N~|EQ=TvUgr2Gd z_!*T8?VN05>3PR<&K#C^D*5V#(kI`0)VN==o@A^1P_}d4#5a8|<tmkKP3bB-m3JBo zSt}ouJ^9un$34he=+?wDeJ*7xrEWsjLN_K}kUaU?!*A21=?WTL3$&8f?|*ja)rK~I z3tO{Emdb^)opUB`>1!!fS*;u>>p5rQ0ZGqS9`|}!N>!FC7a9v)o;anir9>sy?NFLZ zwwsZa(8Y->Bt2hvIJi$rQ<<;)QO5JRhlTqlOQEw97f7C*IWeX0$Qcg<_aaN7(-Wsi zdOr2ga5u6PIyup$ujPz~g1b?gN|KvUno7TNp^WEa4+-~87D7iSs`RlGs<bN`%6LBX z;BYsx5IQ)qLGtAEi4~Hb(<c^4o}4z3rH`dRrC#}?wC8<~Ej=yyD%Hv-jf8ZRcNz)p zo%p1eC0`}XEhtqb#I4ADlBV)TY0tYJOL|)JR07?YQdNqTCrY23Jn=~Hk&_+|+&-BJ zZSVh6cjUYBUiq7!1@HC0_<7=;dx`y|=gJj!lYURMmf!eU@J|1ox+C9|x5_X4d*Y3I ziha;?<&E-<e>vv%@2N{U=N@CP^jvwZeB$2|uiQiIg`O)H*iHI1(Oll~?}?Z0cWRD& zQC`YF<3M}@v;2)0ti~3czTMp1JdS}4r<9k-XMPqmlaKs7@nU~Roy%EwCwrz}6HVlQ z{^@w`Ze@Sy=ft!98FegY-Otsid{$1gYx+6ybbmzMk~8jF_D(-1p6vIiQ~9KvV#oAz zqM^Lt=ZOaLm47-OyGz+0`Z4inzeb(PN97KA%Re0t-MQ?YeoQ>r&r!#6#(iJSk<;$G zYFbXaZ>v%Hpxhw;^LNL6_g{94o+{VK-~8<{tv})CiK+cBYE|AVSIBStG*L@_;irka z`+a_P+;x9tr}R|0M85NrpoaX+-yL_{=hdjZQ}(lC`aW@c|Bl=z<;we{U*0`2ulGZq z%Xzml^F_NS+DISVJn>HNotqtVdmrRIDO27iz49){TemcGrQH*)q&x3&ym5Px^Q2U{ z*zD0RK}+e(yBx3G!ps-#nrI>2xOw80-aR)tUb*?1KT1<xCarmw<E7iJoR+h0*K$%y zlov?{Zk~9dw;@mEtec(rqn#7a^%mr%6f4h?cHBJCSo-9hj+wm)c~6Rzr%7vWo_MM^ zATOmzd6IPJ9gdm34tXhO+>Yd^oN<#gf3#!bv0j6`ltSe$Y0o<xkKFjolXgrz)GLse zQlQ)<&A54@zVydU6ZNDYZknho&3T98f!jZ`N82av>&>{yao_Em*`!qE2(zM8<tpiu zw>j>)t;tz(%56o?lRV{Mv!v}4clCPQ<hbj$D2L^g+cPtz?Gx3dJ8ySP?mdwEBv(0a z``>%ds-xfMR_8t5>w7+T_x6|frad>a+5Yri*>f}N?GNvjRnLB#yLJ1;duG+M-{h9& zb?@c<W@fd0?>)2UX4h{&xpS>b`gQK=?JMtzJvX!1zVzO=XJ%J!`{frG&wiP^bo<16 z*PfY~ZC|@H_lw!Z+gI+0RY||d&CL6~=j`Wg=Wbi%-`?Z<+0117+?~3g&5XAv-Yct| z{WLc<Z}pzuPuou3R>|+)(|aa&!uH;szGre1^Q!lp{kZMeZHfHoJ-#2e9l0%#Z@uU2 zhi!*$bL30!@%><Cu)TKY+0(hPd5?>3PrJ>O&%EdDd$awwf8|{+lD?l?y*+g&@9Es| zyvw_F-<$2Zz2;8XQ!}mYckh~kTfTXdi=^-72Ie&v-M*7swB2^6?mIK}?Fa9EE1P{g zXaDA(=dM}bo_Dh%{j%}xcR9N@Kb^^YK4<6VyED(8%h|E{?zuGU=(jmrHlIDGW*t5E zW>R|d+}?9J>o=dBdA8Kda`V=6$E<F@%2~Df=uF;oIV(5soyl9e?efh#XVO58!6low z&g?y#lbt3#*SEyXbaU%DHmm4aH|^3t&ske+cJ8KG`sF#iXLHiiPR}`eCTGUx*mG%? z(KF#)w3|xl%Er=9a+1@8jiYDWluIw3v$oLe*iEtY;5oX5W=C%Fr5n##TVQtRCR@7j z9NsfI@oArpqNm^7ab{cUwgWdA(tpoBo4;+}%`In+ncu#b6Pb3{==Ri`U(!y`=FK<L z-W)o!_f$^#X5X1-^S14}x#WzSdGwT<3(lCC&%Tr6pVmG5?8%&h&3n&2`*!Pn_P+IB z_icM_dhhy|J==cIdY4@pcldMEyX^9~&7Y;-Wp7`9b6?nRskhmi*Pq-M_I&G&>k09T zKi_(tT^v_j>w7MH?fQ-Tw*8v*D!VYQ`E%5(?EE<A+P7a!&DYP|7xqi)Wp-{{^5<K# zuDitx*ZQ8#Ubwz--?V32&tJERe_hiHYKEJ{JAb}4^SV*I@n@-L+0)lY?hAXi_0)BZ z_}MkSpSGU3eqs;X&s#IDAK4@JZ0qssGV$3py&tz8y)F{(UBmlv>*4D>@!B=LAGRL6 z&Jxf3`PTI7d-hy=YO1$Bw^H|m>4EG2;yzceeQ&C}{^Q<l-=n5o->|3bsp;P9pW+Tz zpFNcw8n^h<t-IO5aht2xzBAQae{rwaQ&WxgXZNaozcu;#qqyQvQg^cR*YAvd@?B}4 z_{+T~=5>FFb2;x?roX6qqK){$;)!><@9gZD+x;N!$v35K;w$%Zymd{}SE`<9CEmH0 z<BjW!m?vMAiuE2<30jJ0?&Wyx8m7OfYNCaBWAVf*-FtR&ymIx^fAmagnYiX&j+d^t zVp`6+UW-ZjqO?dnuz2Ey?uIy(v#xgfk18jg>n?~(`K&Zc+_89~vG~b79W%QV;+}j` znkKGUJn>X_KwQcvrAgwQdpKrxJH(}&aXk{Fa>i9o|53%nW8DUEDIb-(#69<LJaXmJ zPpX)Bs9PW|<%3d_IAifdeesV)6ZOO&7ERO@=iI~b!1bTrqw<OSx-)ii+;{z^H|eQT zgkI58r7H20yE*Q;u8CQ4%5_D|lXptNdP(IIcXfO0<hbj)D2C;f>oYy2@`>u=ox3|G zcOQs-@>VHt_1|^Rc1OL>st$V`>w7+H_v)AHrlp(OtbV$#EZx+4^}}^#yJx-4+PeDU zI<wug-ei@Ab;t6SnOd#hyUr}#^!n8&Yp(5*dY!d;^~!Z(>82K|m#+JkW_snSUwHB6 zSueAeuAaE=TAHcZ>a~%%C8igzURfiyOX@{dX4vnTv!HICMfmL)-(pjf)pH|ti%pGJ zC$1~oIqPXwYS`+S-lDB1ud0N1$Ml}bny|Vz()UbOVpw&|*}|>Iu1bVQ$M_a*J#tkb z+&boL!PY}pIl`r5d<#qsR@X+JJ)IRB_IT5+X;+!TnPcAOo9@5*E9~+nsry;gt5YL+ zPiKXPU5?hxH{Ek}&6==OQ?1o^*P5l8?!NjeZ1N_lyIFx@&6{rB$tqfH8>yRTs=oT* z+Hc!t-FB%{e`G!JUgw*c9PeGe_@tC8?Gip|ENCNqa_)(_oi}E3ymQG@PqLnPtMh_i zN}19o;g!Y{Z*(5;YdPl<r*4$4v`%=U@x*JL8~jpAl~xIN8Vgzod(J&EyK{lxlM<yo zwIr*FmpUi-EjjCQ!zbmei<`QVm7u9G=Uk2#E|+|s6f4aWemRHZxr>?lB1=INVN2tQ zXF6xh<ap+yr=Dav(MWjZ9FC_hYU)as6Hjzbn91?P<(QAl8J9yoPYRX#gfr)GJa!RN zUt}@yNT-6|lLDnSVa+)l4_(;Ql`I4egnt?d>I=U#64VpEX*BUbCxhRUe5E?!m$N&j zb$;+o$ybV0Yf4oLS6gI0aZl$1-y^47R{6A?a(Sm_l&VxFymEHOl+FvjDyLi)_@v}1 z6$w`wP2ACGF_Ytt%RRM6-zMB||6#Y}yJEH6q2B_w{5NYm-Z_7=VL9(yBwzGgu}rS% z_k`Q+H|&;tQ{2Kov$o@n^D7&bZ;BiE7k-{_z5PsO$7|;&HcP%LuHlcY?Re$<$cE*d zbCCR}XNoKMEo(bwx6iO!@<nk8f97WaGycfW6E3!Q*twi_c9LiMHNk}cXHCa*XDj(b zKPQ}R&#+@T>wL~e<+Ea%T+`19r`sd!mYi|cl6U$!;bgmqoysT06gj4!6AbwUKTj~= zudL~K>?|dJ=*NVk?HYC}9~C?JEo(X+I&;Z8{g`mDox_ghjPpL5Bd48r*|eN?-e#ln zL9v1VXLZMY=U;M*o+{Sx->mkS)}By#Vru&fTb1{U75p1NP0-?B_-VrKcAv_QyUwrV zl%6V<@OOR^(BPk0-Eqfxo{h>oML#*F?-RDS@6dfxuDFl;W$cN0tsnGU&O4QfFWNo9 zhWp^=33poWM0U(=eW3TGOmQ3c%2<xKPHEywyC+z2cgAwOaeATiq*Sq3?9na(OYY2A zj@M3M;)`}ou;6aoJmE^~o=A>YPJZH#(iE3*YsPZCbh@R}a@OgZPD+X5BJRM=6E3ti z=&77_vJ-!_bHcgS0=<-C#aY~rn<p4^pN#34*_xpDq)2fZx8~*vr&<H_Qi>EOad*aW z%xrbgOF83oL`UU}lbraY9TSeV8tA1IDt2*u#&A4x;uBBWG2u|FfL=<0ViPyx<_Y@T zA2&_V<9@hlf-W~_495efe`1fePuSO*5y^4i>6_T3RK*CfqEy8y?vv3R_ng+~EIH-0 zLgz`IVz5}!_6fUMJt8^oIxW&+Ipy?BOlkWBb?(mSj>)YDbf4rZ=CS{@?Rf84#lPvf z!XEaE#S`u}zmQWo@AyGR<-0;TU($1h9qc=8JKj2G@jF#dxY>L{Zpk^vBz~r90W0=O z+Y@t|cgVS%bBy9YR5jsh^9nhYbB+&WRK6<Y^EFjXxZJ$Mvg4(r7r#^01T*%;;t8hg zlC~W$9Iwczd{J1y?pZwHd~=1|lFtfr*l*f&JafDt^W==95r5J%g&FKSZ91Mho|0Me zNnr~6Oq-4;j!OJa&lD!GOBM?lvU3(sINod_x8$Qj4|}9d$0J7}{-%lvhnpqjmV8iX zVVAV&c;I+I=E-TtJu*v9JMNH4Iqk^A&r~sCfAbI7CGQoY_zsm%*xQ_9$uX@t#<Js{ z<0rnRash4jl|=$t>^rSbOliI$yX2ih5T8@|1P%7aq6s^jPslzw>G+86(G!IN_DbuH z+YWW?kE|!$YkVWg@!sJJPfEGMF6NWQ0yfMiWlzj)ydlZ)&LNLI$$G-A#tVEYWeS^^ zR~k>a(RhHb<(xwtyHUErI_8PS6RtIG;7ci0SjF6FEMURxDSKje;{v`XB?@_LNmdgs zHBR7La@OGnPs&*bH+Cf}0aIp9S&kPDmw28OE6ihlDZ}yH!Hj*8rGN>urSXI_jWZ-U zo;m2TCs|G~VqPi3@zg<$UCDC7iN*<%98VmM@wl9EIK=a$P@#`GQ-<TQgBbfFiwQ>> z75JVMD6}za%5Xe%U}IOZ5HMi=X(XV}{L)B3kNKw2gaeHXd{6Qf>X=_jcT8*iz?+h< z5Xsh*su0e$$b7<{#s|DdPC2aNX*uQaj?E}lp^SN@bjOs&3%n|)92W4T<S7&}R~k*& z(P$ybamV2v+oLju{p=5_8TPVoc+OD4?{J>+ja<V!#wV5xHtZ9s8Or$%oMU_-ci=7K z4LOImj2Gk%ykR^c=P;MK!<OL&^MYcA_3Q@E8DjVy&M|J0V|dNDMy}x%;}J^+3-$?B z4EcNvUm5)P51eJ3A?NUtae|z~Z03YwhHSosFAOgH4rdu#<QQHsF0pJl&-}rr;T-b= zn})N@7i<_z*bAO981WxC!<Zm<;3;E-oWo4!6*df~m}l59oMi5>VK8E6sANdyb2!7; zV%cz<xxl937;}P6!%^k{n}#FI4mJ&knGI|j4lyg(G#q3WuwgJ@|L~MykBq}~<_AR# z(R>OY7#R2;yl42sx8Oa)78!>7jBjKerZFEVVhHDRc+c>OkKsMT8X1SF%nOPb%GnK` zGQ8ni@Qz`L48vVU7fS{Wc7t+;BK8kY7#{H*ILUZG_P}k%9e*Exm*4mQ#oy!e>OcH* zK5t+4f8p<boBszs_ur|%^Rs<!{eypxzsYa=zv3_ZTl=*Ciog4<{&)Oke`EjR&*QK1 z#s41u;<x;t@t6Izec1nnzxplyH+=5DQorXX`zw3D{|}$ZFZ-|Ym;I&vtv}6Y?XUew z{vyBVf57Md3-t~El+W7R{eSqg|6F~+zvR#Iv;I4L?l=B_;!pd``h<UvKgmz~ukpG6 zRDHm|<WKUG{&)OgpIPtlFZqo9kw403?B)JH{Lz1`-r!&INBOS*9)H*$+4KER{Lz1? zUf^Hy2l=M|44?b;|9|+@ulN7Kr+(f49Dmp!*#G<Y@O%Hh`i!6K_wB#^oA^{d;$PuY z`KtdXezV`RU-M`2Df<<F9>0?h{+IZ@e^<T7Pxia^i~ca5vVZnZ@q54e|Bm17lj{%s zef(BF@Ar?p?eFcXzHdyI-}C#z=Kj02FWxDixBKu$xm>>dTVlHWj^8`(w!gK@`tG>9 z|7PupcZ<*2C4Fby&2RO);_mS|wL9K9pR<elesEX+)!G&Bl+W2cc%xh@pZ~3KSO4YO z9XH!w+If9<+|_UPJ7IIb>2Ha<?Jw-EyiqQZU+~*wbN~6;ig$~P<>&mqai{&6-Gw)g z&)6A#PfU}a@q5Re_NR8I-YhPXpYnUgo%SboO5YvR<R|==*vxPEn`3kT@mh;_iwos@ zen;GCe`F{0y>UnX;aZ7ziwopieoNeGe_(gu&EwN{d)_QQZMWl1@@YG!?~FV8_t*Y- zyEtDy>f6EX{d;RuZn96Sjk(!=&+gN=#_jytzgKMH*ZRHV_VFpTH{LGJlMnjlxV>NF zcf+RsowX<4K0ayp=-a~-`GVgSx7%;q*1dmN-G8t8%})0BwqNcff0x_!{X{Xp&G!>~ zkI${Xv6KCsZQlFD>i%2R7w#p0liT!tMREU)>I3(h&)LSkH+(L)?)!w|{%h46?j?Vf zTlKx8nBU^N$KK<!s~6mR{6#MBU1C-LrRoXy7N51faVPn#t=oIWDt^=N9DCVc*j~Ex z__N%+?=SYSKesh|zp#?u<hw<2|C#C;JK3Mv>b*~_>^J(pVh{UMTebI!mHj8GC+uW@ zVtee4^BLPicOHL~>-(OuhyAgw*!zVQ{YR=5?mhk>*Y;gw5Boz~Hc-35;QNmve*Nz+ zium=u-ze%oP|a}f@q4+t?=N<@PpkfLH~GC><h#bFa^de5miO<eesK5jDce<dnorrj zduRAmuI&4Y-R)DVFWgl=WxL=`@;kYr?-fP;JE|>qvfr`2_wJ!t|NY7z=N6aCRX;mu z&2Rhp#@zOIHlNNgpSLM`UYIUd_N>vm|90h#bBoL5wtSv3xBZRHt24@FavMG`Fz&xz zd1hw&Ynvx$7MIGc`5ZB~{gut5GtB2~f}Vd&lUwoGVs88F${FVtm&h&ooMFsw_Bq11 z|6*mwIp?!BPR|*w`b|FnnA85;#_IV&%l@;K8RwYK+MGM1Tr8LNtkJUnbY;Z3#b<1^ zo;zChpRDvar(7hL@{G~4-|(}5algUmiaG6%ZKR$bwCF!tsc}xZP_E;%#hmtsHeAmg zE&2~ua-3s6W3%tf;nOy|&NQF4*>*;`K(68QkJ;__ZGJslm?~HE`NnMbX_W~xk58?9 zaaK8BuHy3sqkgT=3yk`ASNhCsziadAnPRG3$>$CuevQvFX1Cw5nRiAxPtNZdqj~@K z${l+jf0y0&{zdKadF3DWIiI&KyT9;vpUwLNpZo5V->Gb$TmE3*<8QLt-mj=-e`}p~ zU-5UJ)%%WG_BYlq_B{S7TYT@~FFwon8MW-Mt;6mw{MBdizTtD<mGV86?60i-?mv7c zyX?J2E&EIBTYH+%T3_3f{6%)r`+(1V7s?y<DWA2ryZ`WK-?{RFeaW9?XT5j$+-Lm$ zL{0n5@`QblKgmveukpF>RC&O@<WI7b-gnfn&n$P?mwd+h$R6c0)^hhB{^&baZm=); zqiolEj~ezz)_nI9fAk$H7uc8lLAL2V!{<Kz_a8p>>AipOsZaMkM-BS}>wotie(&2? zo>9qu-}>9ViBDxC?iD_jt$Kf=n*E;jnmvn8S+Cgh_?>L<y~OW*yUIN(+3#8}+QWRx z`q@3j?|tg;JF43!mmk>s_^oW->mRZ0@2#q?Z%min^ZLT(zPqI_)+wL2`mjd1T(<mL zV!G^(*E?d{-&$o|cii1~v-HHe#pkS&t~2iDvwB?-dwfpmj&;uGtfH<T+|_rrbj3R5 zb5;-5D3{9SUu)dece!*&Wcy1iuj`Jx`pjM@Z0<9CEfL%P!s^Nz<r3KiuRS*RoiD9e zx42k#&g&a7?a!<(ta*IK%IJDxn(U0%J7U_OTAf<6xJY)&>lrcaPpp)#JEqA_crCG+ z&+s+J=Dy>l7V8!l%J#gDh-rUhC3L-UN8jO6iFJz$WLsWK#I!%KI<V&PX{$YJ7N54- zu_pPn71MRb9ew*tf2>`cFB^63;P$?~r74l@(@J9^+wWO@y4JXzPy6+XO?+CfcSIkb zQhH<U;yl@)YmVFdG+sAs>f2d*V(sITR*$YdOpz^kT@l@W+p_Nb!|J|!#cwRx-&=l} zll)y~*Ygv_d^XQd*dCu-e8ZCcon_wn#Ol6V#TVu!f0Nntd_{5Jjp76In$KCroi}_g zv+ntX;=XIe8|Ec{m09(?qnOX)xrgoX*~JUyJ^mt-cP_E2?^5xEd5h0l-k6ho*3#{~ zVilk1a}Hbf7nYaiJpL>*@A(TG_UD#n=NDG;nLM{B?mJUF!;<}(rQZ3(%08p#D{R=G zTB@B_tn52cJi(IviRH05&SxwS&3XJ$rtf)%4f|tDvGWTn`i>MU%zOMnrtP_g4f{h& zw)2V=d<M^d6!Gale^JDz_xwgt-+^L=d5_=A)IEP;-9D}O!`$TeGLh#RpUQ-vTUg$= zr})9#!>24)&1pVm`R<(IQ<<{oE3Dh66knLDe9CgcoaA>hMb9gW`gRmsShC--ym#)Q zS>OG_AAO6<WvY)IwC1yYd_%VVoyDgf=JOUs#|zVC%8oT!_uVeM(YLrvX3OIlvh8my zUiB!K$!vJMz_{;v;Tg&H*A`ED7MIGbc^o0z{>tJ}5A!*TpyMCYWL7-3kZqq`IHPZI ziOiD68OD5Ok0XrxE*5t5IiIy~I?ia-XY%-mO#5>StK$bP`_2|-^f8~cIM<_GER%Mu z(X#J!VMO2JGZtFM9WDD#7JBq47s;d?W3=oud@NwxXYjZ}rv0&n)bWEBeMbv5`jiW0 zIv!idv_G`qI__xEcd(G7kNJ$nzMjLUEq3)ZpSIZ6qg)`<@c4&x`+bXF#}=l_)I7c+ z?LMtALGt+2!WX^D`7#xcHyHJ4Jzikcx4Y0svi+{bt7D3(G9`~YjQBJj&ya4vV==Es zIZwv#7^8XL_QD;tkH1UryZ_?vv3dC)>YUG;m+fEpyVvIafzQ2n^6&g?o16cj?(sM2 zZTDCFWqWI$wqNmguhspIzie;JU(`JQDqXzq;V)jx`x$@PUYm#QU-+xn;(o*D-YfZg zezLtX_uK#Qne?*z8h_bdn%}BvK5KrhCi#o>qWb}#doScS)G41ex7+{lXYaZEg1Y3- z(zEV6eC{>Af8tNu%>0D9$DgF9-Picsdn!MmF8P!6r28Fz*k<NC)Fq!WKT@N7#$0ay z!ymoJ@(t>eKT3Dq_xQv1$eeF~;*Z`#`2uyxAEcY^GkosVzyIM=uipI!pL%ugbNpd@ zVE%94!|%QO@-u$2-8cWXZ{kzwh<$}mrK|3r_|10Dd`->bQ|2pb9>0?g-k12jcUQj0 zPqw?}i)xrpnLpd7_`O&Ce#h^&$@vFrAHS8(yZz&C+k3OB?Hkjj_uRg)x%Y1Fi#+A? zW*>5t%caY=C8kU7xV__U+gr1&?T)*9Z|0uJTYSzeX*=U?UaQ*`caP1<-I3>f&Ma#C z!Ck#qb64akpEG-qqg*PTzpZgs@8#SbH``vCd2M&x)oXS;VRNtPZHc>WFU+pwD3?et zxb3mI_k3<e-r{2EIk#`zX?tdNA?NWKGo$T^Y0@)p@3_<U)a+Ew;v(rOw`bgGdt#=v z-7!si!flDoyoR?qHuoOSwa8msDBW{A;!fKmGokH`J9-c2O5`mrkZ!pxai{Hp*@2wL zr_J`{EIw_vBPaQ^8Pj&g9liT=f8;LCmyX(YaC`6G+?1Pa({f{Ow%s%Pw5@SFulDT~ zn|QTu@3?(zO74x^#d*>}+Z?y|YTRzv)VnkHMDF90W{<W#Opz|QU2(hZwrSn^ht<9J zvfu1vdvE$BCi%P6uInd?d2Ozr*n4bl_Klrv?@aU7Csy~~%Dxbn{7q`p^%cdvH?j}J zHJ>w$TW|PWYTfk-#l6?EH^e1>m0ESZqnOv?y2svQv$Ge(J^mt<w=S`&_fqzRxW#8p zZ^R^@HFaCBSjB64ontTC3)4$6k3UPzyZ&Mi+jCR1^$RO`O|DxM_nyg~v6JnYsowg; z%3h=EEB3HGHC0=$SlN3bd%{k(C#J_@oX?mZih2A|s_%Nn9=6A(V(S-H^d8Aph<p4& zs_nYQ9=3<3Z0i*(cnz-qDB{(>{-TIi@A{3R-UHbTagX0i)m?wFyKP$bhuGxzQjzN# zpGt+VTUg$^C;LI{;ZvroVwz8xzFTMbRI2RyirsBfvM<CcpE6w#ll)Gq=z2v_?~ZJX zooshZ@2z`i)_Xtmhu`9Isp@41t$A%P-<aF>&g7F1^Ldk^<%Q`|Wy>0^dv9mn@LOCa zwdL}RxovMuUim1ONo}~iz_|B%=9!souT7r#EH0H=b2(ye+bfetKFsG#f|h?wlUi}v zVs6{)%o%=*OQe=u&M@XRyBuNMdoi=a&-tv0({e_uUX#l|=CnOGv08r6viEFeh9C1; zlXE`G#Zqa@8ZCQIXGZufK4YS_+|jc4WTuCoa*<TZGDgc@!^;B3y#|*n=CnOFky?Jx zqW5T~hM#hwRL5nDIc*P3xRyIw^d8LQ@MAt>vd`!6X_H+(&8JPa`6w4iHC+BNyY0To zuVo8UrD`tUnC&(#GhybjshKZ)mGh-4E^jdE)w;aEsCRd!&&;;FCa;z$rb?Au?l9uj zxIANa+Z~g6KFWDge#;omd$(uqnES1I*89x8%imh|emAjQ{&wE7>Zo~_Kg^j{eQWOJ zH*?ymZ@tajw*2Zmx9VGOGB+*1YN`9p<i_O}bG}vGnsYhEe{ylu>&!LF*Unp36*cGb zgE`ZxZq2?N;BRev_H5>|<x}UmRYlFdd}GeGXIm~_cJU7`j(U-~VEIzZx1UYUU$*jp zY?J%h<m}}ObIP7=Idl2UoVLnaPcx@2pK7`GlgX*eYW|aLbU&FGEq9z}_RPd^d8_5y zk0!@2OZg|;<bE_cdRfTd+2-vBlf##}{FQBTKbRc6d|=MErzZN#OF@Ai?Z<7y`(exe z%YXb{Tl=2QtXckc?zE>SI?H1%ecx}{bNPec=Ax*nmsiXwE59}6@*BU^*1o4QOP0G@ z@}9~pUVd`!w<lY6T%I$Bt^C&Q%)G^a`<_`xz0at2do1gFK4bUdmwnUHO>7oF?JG++ zv0nVJugrSZ+l;M?FZP*P&w7(l>eemGTV`UlcyFIsy2<s6PkOFdNxjZky?AAxSh|VD z;-!7x(oC*g^m8vZp7k<g>Eelf*V0VP7O$1eEit)x@k)=FmDG!jOt;@MXN$L-yJ+El zTgJE8#ANYYN!?--<Hd=6WtOv^W~92Umgz0pa`K{zd$&yQnT!dGdnJ9(WF)#(%bYFT za_pjnd$f#i;g%y81>CJ=&K7JrbdkedTE@4)#9(o)<k{01v2KrzZcV$$<jySfHs56b z#b0ihjil~pR4-1I<UO4c?si#PH{WE>#Wg))sU}*B@AjIdn(V&#%5Acd)ZL6gw`QYT zcQT3=+e+%@nW!&5*!#_F)@|du`44~h+)IB`$@bp(i%s%($zA79eCDw^f1>u--1Hlj zZ10To<|qE{xs`swF8Q0}rt>R4_uNQ7VAp)kIBve-bIEn*Cw%U?mcGF*`K#or^Btdg zEY5q>9-E!M!0z!E$-H@qzj`jEPq15j*7$}^@>yfI`HH`IOwV)Fvb`|AWb^p5<h=7Q zYS^9|o6TSNlgH$|#pj+g=`$+Xo*C=SPyE?qbbdt*+f!q;`HDY#PNYw$WP4(K%*OeQ z@gbYXA0_+FXVkDgHWr(|@JG*)bOpP|A0*q(Yt*nkG-jKx_=Csb{14E2u@|3s^v>V- z)N>%6!S3;U$-46|s@tZef3Qt{FBv(n@u_6^yoKL;_M|_sJ$%Y|l}+<0<9G86pGuaU zUs2sQCH;b}@+sp5Hp%ZKi_TYk>e-QQQOS14_};vS+j{P&{?J=oE?GV8;BFq<(>G$< z-Wh$;VLoqEG`%ogvTR!8?w;GJH}n>lNp3kkBew00(JLM0GRY067i{jio_Z#-?X}Sp zoyDb+YfeYRw!JcXq{Dp9C}{e}G|3gGEn?edr_Rt@Tq3#TbjD^Lv(piqdoHGS=sBM? za+=P#tH<Q@kC?XSMpn}g?(8|6nxV&h*65s$a<OFEw8ou1r&A;J7N0TFn(nx>=VYpf zo^p|7$~4BEJ%*<RHuo5uu83)SY$P@P;EtZ7sTz99g_0eoEn?ap8gWf`+|hF|l|zsD zjL|-w!>5gQ=`^1<+NPsiAlY#GM|9hLqhHe&rb^bFz7g#<Ej1zX*woY)y2|;I6{k0B z>d`vAU{lZTRG-MUyGF03DW*!6obK4fqj7phblV-Hc{<8@l77<|xA$yM-68w<yTrcZ zFKmy^Oa36|eBQ9Ee_?e`d+&<HLEkj=Tq-&|oJ1761X9}4awe5H3wam_NU*iFhpIg< zVi$IBj9y~sDR5Lnpm~bnDGBEuMI|WcoW%TDBE+|Pwxzi4`MURWOG9sbVG{a&;KB{F zba8#L`5SaECOp1zYl4Q~rGx|xwQJWbpWNMeYn}5l&ZArYDe`zdTpk-!sNL&u%V=l+ zw)*{g-yW6fwY4NSsycqy+`7<a@75z*Zm0D0Oxe6}@4jEEKR<Tun6tKZGXJB<J8w6= zyL3mnk}Gd(w8gg5H>XLRs-D!bc2c179Nz`qPt$%YTYBisGMoK?<=jHaZP(P&ob@Z# z8gV3^zP9tT`?Orar}9t3w*6<ePT!qVRIj;jW3*t<-f&&HT~`)d>g~&Q`>;1|p@Ds) zPkR^d+>Upf#clM;^>#;|{}Xp)-3GnRvzGkQncI2P)QsxcSGSsmZh3Gx?BDh?iNgQi zh)RfUJC&4PR_7A>i)#^gn(_?^tt(b8>^Yq5f8KoLoUNREa^E+>n=Ym=%vxl91nM<9 z>L*^mKFxa-OAT)?pS<?^sY-f}*LL3dRQT7q`<mAZ*GP%pB^|3Q)3<h8%)M5UGVQ^J zOkdTOtA`dY*qYP&G^wQb`sy9L7LO0F)n2+fwUe!1u;AkL2TK{)c~@`W^Zjebb)`?q z2F4wswQ@1PKk3i-#hCb{s_gjf<)2#3e`Gmw#Cj%7OjMFxy)JTRl_HP#0h8<_yJPwf z`kvqLeQg8hcHOxd6An6UIVE)WtV8pc&A$@f{WkJ3_Ly~5^kA5!hIeCEPR7!djKIa~ zIG_FAx5IS9jbCxPX10CZcMW$Reb@RWgyBKxojRXSTPn^y7G$)!P=8`$=Dky;lfSyy z-rp+V`*W&L>fOHJQ$<e~{hW2urT&sv>FPT&47{Qo4@*Ay3j9butF~5^BfjL}vG3t- zDZT;TPgVJMM*D7^8YsMAVyfOM0rTV()=i7H8swPp7H~gv3uw*WHA(Zb-i$+&Z2W4U zhH)y#><io=d57yU%UfaXER(3Npw5;hn)i1`PpY^j5a!JqyDr`*y+1jo?M2X$DN7bs z^c;wCoVRqV+N>=PrJ8;}h}Jx}>eeYkk@_c{mz1XFp7<#gw24F8!|WE<@3!0pD%DJ0 zUNWg2O$yf?oojZieGtEPfnn&!3SWy?3afrUkTvRiI_p{hXY?OFoezn-*IMmZ5bhBX zptbJ0<QlIFMK!li-Q4TG^3<ju(dAYzS56K0-WIiR$~AUvA<K}sR<qx%2gBAe9$~h; zx#h#LyH;t-ndDc6xn4>1Je*V1;@)%Qe_irxg*%NmODn{eo!BJ*rRd68h6jyz4*zQM z?^9;8uh??)#Kc<@oGoYB9!s8SwW(pf_WYFm7b}(hb&mh6Skm@j(~|{kPo=urTORXS zM!nWhDQjVuvRu}>-KxX%)|($}`}?l_JtQ5oLfLYKh`OEHibY#WAFwigotSomp?u0t z#p4FA1&$qdZ>_Z4k-OIU{)4H9C-E-JQp>cyc5cSnqV!|e+ERU{U0=w)C%q|A$R=R# z<FKQTBBY$WBD@oW6-<jlRYfY2WD4$xZ`q`2GGkKW^G{4|s~IvU-I{-2hk?6+;YIl8 zS4*Eb9=-6`>EQPXvD0#wyk8lir{lXZr?qsQqQ*3to9^fLeb@dTxyh>FDu3d~_jA`i zobiEs-%?KP1)HVsMg3v<U#Dc;E~#p4?-V*EnKM*Y)W2xu(X7>s+P&Jbvx|%Nxc$~$ z`Rk9)svCahZ>BA6amsaGHLptl+#}|@T+&}|AGmpa{guEaNrJogiJh6c|I_A6DHC_T zNH)l;SKb}wedd5tH`n!>?!3uQw=hILO=YgT5|?_@DOp93X^-$y{)=;U7yfX#)vW$Y z@V0}<n_JG?d*;;W{FQa@Z}eQ}Q2So;;O?)nrJDbq6$wu&=3M%o=}23{F&B@8SLbt{ zQ0igHTEyMe_~44da}mu=Ml~)u{QtKc`kI?8zx?o2i+zt4eDUdMTk%Inqhwh|+liwO z>zgm!X@8?Ot?%Ly!E4G~QhSbcG+3K;uhgIPX18-{Ly)kl$sDtdJ#`x`K4`X;wA%!K z5WK-6m$_H@)PhY9R<?L=+0ESX)AU-h)wIjjo8B^T{Jqmz@-OYkLWe6FOieSaeq|)v zZazDIU->l8JAd1{7*sFVdp@ydtf^esD$4K681z#xzSpiw<oRocXEn0yR`KrsskXYm z9ISrxv+jPK{{NFt^O>%W`)Y4q^Spk0>`i^!=JJsLmN9oLHYwQfimZF{Jw}cD{hMRP zcWyh~OXn-jo47CXkm9$#SNi<<<&Q3?vp!x}ueHunZ~YCMD;k2gcB}R2C@WYme7K_j z*Z)TkH|n`voSS3(c1>xn!@-7IF*mN2DXIhrtJ@jmUaPzi?EC6a<YEB@TL!<7fQ8BD zeo3~p24|TEX1~r2sN{O1c5c=V?&WK8v#!UTTaY(<QuyqZYu}!#y!HOd4L_e3SEk;W zb!oTy$H^zO{LM`R6)#M@CEBl*{iVOaa1-AVwViD{3N6w%&Gu$>nv->=wPuk|r)P~` z&Z)O?Ka!(5rx^X8<|wm=m1o1+g$7%WEZ|$H>Tb^4>AmjpR5Px^mz!36@)wus>giEE z#ZsZ*I>APj=fLu>1sek_47n_d6!`YM>?>caS^he2TeSI`(${HwDqnXLZtv?Ydwqo| zcz5Y#(S&IB)U#_RGB#{170p^%`@A?{OK<~=jk%(fSI4bIx~@|nb=DkVYh)0XH?E$U zrS!^WwpT#X2X@z4D>N-Lls~nrh@DaSm%<_Narx_oOj5NWYKkGLf=i6PZ{0HW!2E() zPa=FpT~A5-PjX}bu9vg+nejs%Cd>a_vgSE*`N=%Wp$S48*}ECNFYrk59#Op%$TVL{ zPASZq>0{zl_OB0a@aV9wNu2T8`%`hZ(bJf{9t!+?8`y)`YYwMOo8`E0<{}>l*Ivh9 zp(6%~;g9bvcRF>AV-16IgYGARIEy3xGK+4Mz11w3XIOSOy=AhP^TdoWzc0TIb3bt5 zH45Bv)hY1H-R?yUor~5q3%f>V+|^ihN~0=#zlN8`Aq`8`Q{I=hX-kF`Yk0)<ZJV3+ z!b~}KWkprkZH?Sgmp_j<9!*~ox_#q~^PUs-%dEBXT6~+QB=+O0PCuzf*B`__4KVc7 zj%_TPP|Pk;yydFuy{)r0W_ucbpQxm}+j{$L4}L|R%&V!lR<T(}z1Et2^-ad{b+0To zbw_bU9Aa9!u8Q}_8-wM~cf8_QYUjN`jx8`&ly#|HQsDZ6mCc9lnQNGARlWRvO8@24 zuA7dFtgCt+)Guwl^W?tdyB2R;lhs{ccW;@za-DX#oZocg?d#sNyj-`Gokj1}+Mxe+ zm$|#Ho4>p{t?z64ucVg;q*h<)eC=%EFIxN5&3$&s{Es*8ee|w<#JKyzgnPbL@-DU3 z&5x(9UfM2Uz2?`Sm#>->B>q3OVSlwkZk2cKrfuoAwpZ3|msGvBCt$DJ>J|4cNoTHH zENODGW@pRpBL(SP5C86PS!>kx>dURw0kP+T&T}qvGIX|V+<H-U;?&c=drTcmuX(IA zzrJd6$tBZ8RVF{YQmj^Gi*Zb|;@7fYYs!%-->{3tvixF$17E<a#tr(cO>-tsiT7P~ z&a_D(hU<zEtNF_a=Grfhe4jit(o``2Cj3|M;@`*3=i85b=c&Hp$MnPhkx#?Fwc7j@ zH`w~jo8PlM4|%)BD{1}i_4gc?zxV4%($AkdnXB)zvi)-Hi+=r9ZaH&)p1S#ZZ&mI6 zj2|M*E{$ipy(=y~FZ^*u*k@Jgn>h@Z`Gl7}tG&D@_Vjdh+oZbLGW(8YZl3gh2J`>L z&&!^jI3>`sEAQpmEpe`Mi&uub+FCHwM=kfCuQu20-8FZ|(mbbCT!H4&S6>F{zb`y# zr0^={N8ZO56~#w#cvk)IobG<*WqhjBSFUxlr+mL`8X4GMtC&+@%2-%+`}}q-rD-p( z+S@itP1-EAoc(k0u1UqO3#E-~pKbNjw}^c%T$gkH=jG+L;iCN(a(w%qFM7dw>G1wc zj}Kl2MIy0$zCmi2mfzA0y=dGp_ry=3t=3N`ZCkqew?bv|x>(O7c}|bk-}Z-X7k!c` zo|W*K^VyCS(fK*;w!bT`J^uOfU-8$XvxmQ2OP{)HQ<~JO__V9Zb=|`DwU#%!pM81a zBfouq-lH#4EBL-#b8lW3q<_GFxkjnrs^g){C)+JuVLfqfkp8hsi*sKLb#zNB{*<g; z@n_1*aKokZ6z7&)wOnOv7$_U3y3^?{?}TYpiXjt2-&ZoPQWh#*_;&K{(!@mXy{q@; zP0Cs?qgQ(GaEWb}_r7)KU%uV0H*>}DDORa2wMpmw+P9p3>0a3X;p^^8FTTxYzj}^6 z<o-0))%W_pc6z@vw~^E>{U=$y*YNq|xWHFOOKpGLoc(3?XPvLQub4L1uaXmpU^HcH zEMM8I5_o^@l}6i;R~ZMEIIZMXeD(7C!i*!qLhmp94{OaXeqfTY^1IrrnyJ5d9x*U> z2w%5a`mg`_Q%~!zubONVZtf90@%oE>?SYq`cfY+fI`>le!g9`gp%cO~zTBB__s24J z%iMOay&9h?cTQ!t=&6{UH^HH6>AanDPZ;^%IHx#CVAd1C4B4%@mnRrp4OkY@up!bi zvBFin<H%L5${!nZuY@ainVUsDaohg9c)hQ{^OK2oi<0MFbY9CU+JBK}x@||+^+`fS zS$}msZXIcLayYQGH+Of_;-Zkp)7otAKi(-Jv#IO>&(HV8Ju9sv_PW;a?Uw1DvsJfq z%IS}{{&3Z^-t?RM=;-@bIs1e^&G25oV0j|*l+B${KNmTi=$c^2tucElQ}A&OEmt$U z`hq*pzNDwg9ZRl0o%4K+)6zq}ir>^bx^tc$u~zyPZ4ndJv!Sd1^Qr8ss{~$V-DudR z^g4TH<4W1@_RnohErfL@Y<$1#X8PCP*R~jI{bJEjmYZU>Bxartqfb)%N8a>m%cpj8 ze7Ch^MTeY<F*eQa$&cH(z@<~5Qtt4aqlZsF;5fkOq`mj)8%s~E>MO$fZ=Y-JJ|yG6 zY5s&ww*MPKZk|7HzwK(!slc7?{fSFvoUGWYBJ*(VsoKnI6Kdp7$2~Wj!gISVv9SDL zyLs}v?-x2|CtfhQW>xptBb?!xv5(}u!i_JFyuWlLNJqx@+wG_seygu}T{=3s^wZ@K z%iR^Gb8V!gjwpnrygikq+^EQ#a;EiG$iBiuZ*{IDR@cuK(lyPP#;o3Cbv}K%OZdc4 ztHNKMS%()SOrLQ~GXH{n!a>Oc1<#u<M(kVvRZg$P;hDjE{Y|VH`ff}oyu+7pEb4qS zmxp`RwgZMSa;HAsp4vb4iLqewhKSy<!&<BXw~{in%OmE#2wAMV+3RRpy}|vfUq!O# zoh$IX6P6%&X4<)<CC>W)md>2ILGs0ue})!@nhWP{yq{?0_50YAvpj2h>wbza`?TP7 zpoahId2+9l9e(Yz7uUJjdUaCxzr3C+4vNwBZ}>!f9%Q9_jd;1{$a>)=tEwX9C!g)V zRwF9QWzJRa>F*?Fr{g*+;+RWye8jPsCr9^%L@eLZXmj$^+FmQE>dPf_*JRpQx%=`5 zM!5PN`LN{B9nMP8%`cT@0zYldlC;q@D@pZJU7{w^sXjZ>zpqz)9ZOY*nZfSs`Hfy% z?+C=4_IsqE`S8?rJ5%|!<<q8o$$vCypTUyVA;~=H&sR-O5#n~X(J;T$ur*ESrG&W8 zeb=p1Pu@DaaYbHcn8{xgk4j^~`P=S`hgfZOEs<Yyy;gkb;{$4aFSo4G5cd2jn0rMm zJ89NR4WnsCFRv>Lyd1PpV`0`z+f0=s%lt(@K5TBWH`^RGC$wT|f#NcWXWfh;zuP99 zFi3K`uq97rilCj;3~sd*+GdBc7%g>myQJ2xSzmEG@%)Q|q$di+p<Q;Rj`RKsZ|ShR zT%dEQMQHkzSucLqd(32?m}9czh1HqGb7ZdfFDmx?r#_Q0d0l(Nqm2)&Po@a1TJ-(W zw9i7(m+WVm2=S;dzU^VL#VzsxzhZEpsc6BX<VL53xrqjPQJIQ@Dke`>&&yOb*LxbR zv^*?B`R4aZqYDq;JniY~y)mQbAhV&w?%dj!3Nw#yVQ}MD?mBdrRVbjRC+`%)lbbGl z?&VxgvfMLWOr`7iFTYb=c*N|^w3)xnBZ?9pA3U66d`XBqV@Z#{h~r`d>9XiJr9jCe z^V-gANa$>{o4>7_LHb9Ex7Udc?f(<D{4rteeV-B*mFn@y)8|!-K-xZ|O^e(u>ZFoX zC)u_s@4PPfA#tve*g}K6fQilrPqVOiRA}B{(wZE4DEE@!(FK>@+Ato~Pjz%(b9u>? zY1v90(UFI&`rbJ#4d78uVo7yb8zp$*QJ3a~BO<ICy#o6d@J1*kFI0_UEZz5VqOP}D zLeC1jmI=PE!~dwnXStiQmVPKc+tz2Y{Y~(;3_Vq))^J(brLim0cFp23P&LdnaEZTm z=jh9zSN;;kM(g!Is2?lvE}Y6xvF6Ich)EUAoYK3Eex$8n;5^>pktTAJCtmQKEk|IF ze^A+sna%e^W<9qF)(ADMy3x6yr1YxN(M5p=&Zw+1P%oLd#x9B_z?+#xgaHH;Vxyv- za^LUQtY=^VVSWZqhQ!j8%>00&{A9hnyqvt^&=6Jz=DqLtrE6@}|GS{Xhmqm!59T~A z_b_ok0gumGy^K6x1NcGcLS4$$XDiyj{kNa7`{k~6yV_k04wrmf%<$=dZmz-OYf2#d z7+N?KTYBU=85)=w8@L4oAG?^{jP~03{a&>ypQPkeu|6?3&nK!6nIBzFd8i!}C%jL7 znPXdZ>cV!p8i|Wd9Q@W39Lsz;_U<U;k#lJ&I`(>kFOTsHhdoPr{P`0y6g}DXUpTOD zj6T$UH2rOt|K=|LTj@_*W;4A!lCFDZdF0XMQ#DN0*4Y|Py)h*yI;c6x%y9jMWfwej z)b30U(b=tD6LiME`>f34((Q*$_&cV*sfakjF3_`~kzL@C>Rl!CxTi4|-<iUh*$-Q+ zxo!S<+SA$7&Wdfyy_vS|)2xEIR}wxj9J+VOFsAt+bI1FrkDbXM6r7eEO4-8}SaF0$ zc9L7m4~4j(*7w&qZZHejHDo+I%(;+v{*Hjw^U|F2&D7?b=AT@?^HHzAieUeFWu5su z3{F4io#-E}G~d+Rt~YD0()<9s?4&9FmwDd*STb{=?0mO0i^J-dxaPZ0Z9N|&H{Zg- zE?<#%zL{-d-yP4u*9uonJr>PZo_H>BJIC?X)x~F49b2}1${`cmG>hZU=FM8MXj*$% zdt19-2eW2R<CRAmM*~YIuGT7gBsV95J^bk%t=Z8Phs929=&a3JG&gE#@-?$(%Uixl zF8sJ~Zb7iX^F0O+?OYg}IV9r@9yxdLZ>nyRRX%1|A&_|T${X?PTC+aQ3OIgaOX9n! zow_xT_SD?lS98nGVE)z(ZT`ZY{<o&Pq&yT}e*AfEzkl@8$D7lx{xHdwpTEU)8_y-% zM3tz=9YsGi&P+Vq8h!5Jr3VFxJ%{J+e0b_d+OqE4N8M6>F%M^n&lZ1Owzg)mUXDp? zuDRRWTLry&GrzQ+HQu6q`OJg@^B57cLyZUj?!38^b<^FAq4rz5mZ#mGA=Vqocri<E zR_f#KCg07Cg7SNeWNnOO_vp#)F_*P5m)&C~yT?-2#!}W!N;WToT}yUZ$%jWJ8~m+$ z9IbjBta@T)-6Ujf^knzw%G&74?lF|LF_e8^^3&y)#}AuTCN<A|ejEw?xo7H!Oy`H2 zzs3GE;rzK~W}L$OWiKCo^fcaUZP$B7*e>&)!hDa88adfdGmW1JKNtN}dHeC@w&Uq% zZ+*-(`ng2+r^%wDd7Se(CpncEZoQ$h^T#5GG(~;RMIHQR8_dPpHgZV1bbMgASDnJI zn9}fY_t#4wI$gql*z7W>d6x0xNaD{u2R~$9{uG(~aB|pUof@gDE4b!ATl-M><*pBv zF3CU6%+#v6cV_mX<!9$S+?-bQ)5O>6u=?344=<m%@^G?S>JOJopDIf#f1J6fGk?eJ zL(hA+eaO81DKh%u<(h|+w;f(C$R*BTew@?1H9~B@M@Eg@(vLHP9}90k_T0DM|F+!x zt@d`Ara}|^7fZ>{SCc%UE;RYP^5mBDb8bEnPPh8GXQo!otuvx_*=pSLm)$(1es<5p z%O~DE7H;=nB4gKI^5ab9&m*-z_cZ?8qWCd#^P|gq9!(ZI-1N9hpKJT^y@H<~-;saJ z@Kfx>wj}~BITbm=A-f+(DPHb7dqaUQoMEHYGSymxhx25f$S6MMdU(RQwqS{(orTav zIhmKoEi|4l>Y4mW*DO_9^F`RwlEq5mcYP*w<*A-<*{*s&&Vv20h0gs=In|Gx5-;!C zbkrj8_NGlsH}tj_+xC2Zc$@!r%$24qD@re};G7xAth6}ou&L@fUBkZ4eBYegiFSrb zR+>ppib-v}hW$70JdzQAyz9raquW%r7=&cRFS~HWV_{>b)9R!s>F0MOz8^EU*rwV# zBiT4PdQOz&^%pZza!wg~c`+T(b;vo>Cf#sDQd(7YYJugEPdywTnZ%`gIX*V+U3yih znO)GV8&sO?F>+O(UDo|(Uc$zf9|!oO7#=dVeUzHhbnu>#&qJ|<2u3!E1J(QW>Oaoh z+~9wQO|b9$Z0Y%CW_H=xHM8PsF1Z+}#_*Y*O7}aZ{%*qg=((RVg#~}EQT>$ZB)Li> zW9O}uC_kITE`PJN89QF3NadXrVZWKOYvRtU+R?R}I=ix3vb>f>oLHltF_pzP#^umm z1+HHct{mzROX#>O<YcUVN5n<Xu2|$lr?I2W<Iio!mh1MOpCvUvZMtqoUta5v7e4Pw zyNe|cbcXjFK5+KrcEfE?Pwbd>I>|VkQCP1%z=!Y5L*w=q<3wh=!sI;h50#TUQ*sJx zZk@Vv@5h>%hPQv1Y`x%OXqWkTYlmx@KgZ=6VyiYzY!|eD(6Bdkt&y|rvLDCSggo1R zgeN6TSYYb;IT}xej~{=&w%<Q`$Kmv~E&i8V{AYQrR;_syVUU&R!mSX>Z6Lt(@er56 z73tS}l1I3;4}6IAp6lZ+^-<A<+u?*lk_(4qT*qA@H;wroizl5|cC{-OpXh&Q$CJ-x z;y<_a`r2iGpWt6y@@eLY<(76omq>ofbWwhox1-g=&~D<TA2vacjkh0D@9RB(TV}qQ zm0fme&8)JTx{sMnA3c>13rKn1V7O^!bakD=^*n{y^A+Mn_Su`cw^TIlQRxZPx#Rdm z_W1{i>Br4&x1D^)sUrFOltlV7p0nMVd2_0hWn}I@x?#4g<#eM<^5P{+KFxACWoYEy zQjxglU&jp@!AGs{qi!llFPwEoAeV*L=n2CS?#EkGE!d<lJUG;@64k+P$?%b>(sRPk zm&wdhF&<Km%{+QH6xbEwZWJ&pSf@x|c;GaNm*u8fg0v=g$YjwX-T=<&%(X@!vs9ik z9O3?YETukY3QG&WoyRH04)IADigsXel{>s{%Tz%s9&C*lnD3^ugTeU{k7A01VH2Bp z(sq^&t^TvRg!8x_aL(VdTF7j3hFV6H+MVXGP1Q_04!Id$c+j+0^~7=Yb-kb@yUpA# zyS8Rl-I0W)g}VJ`oh?kao0^%K+AdQN(PKDZyTw4|4zGgZ{2kVI`DHb?Uj10Z`zf>S z$ApxSLr)nNZM@haAp7BgBMV2`0>&<X<1YVMBHC%fpF8}Exz2K)J+1D$Ch5>#3ANqo z9r2186BaTn+wCxjyR6y2z_Cq0ep1R024-H}p6iL6#~(PlO#PW+Y~pz6u7KasJWiAC z28&qv7dWu+7;H(%_u1yb?qwCB^|0tgiA9;W!Q^6wN34Phlj1TK-kagMV<oG^oE4#b z4tpLn&7Z?8puc0n7bosVs=QBm%^Bi^CKs4noYL*dU~H3|6xXn@b%}VCf<9-8fO>7f zK_4%!_YqM)U7CvYPFZN)PYK>OwV`iL->Mq9yB}sQekiPd__^=tow|>A)O07_p3HmN zM0&daZNd3lmF&(c+Rd!FHKpbnlcoBJ9gg)r-I|{=SM4YY>b;Sc9n`(!SR>mqj+}X0 z1ZJqQNZ&Xi#-hSdVGwloh~Sj|RaxIp?vxUIoTacK&{yrqPQ_z_AJ=x|ZqkwHDVbX% za^%_c+`Kc^W_#yMPL`3q@1?gb^kxCG!skZ{;T}dpZkwzo>Hg$!T^IA@4rk2F6BetY zC+CNnNlwmH&2slzCp*)i-^<mkzd&KH!KB{BVs@t{MnAm68^iTD<xav6x5H`0iL-Ov z&K^5t8qIro*`Z}?ZCYL@&slg|?!LgyFE`v)JUhO1Q^3jNY7&$9l{GCNJyce)lszYT zP}lGf??yqsj>5R@vsgSkCeDyy{dm!rQ{cHz0^@ha$4LdB8}f}elpZjXykhZS7XKOX zoPQ0^nlc?S4!Ug@O0lo8PFl^F>CD>`a%ibl)~AUfeJ#bRdXo#ct=#=&_S*K`P3v#f zK9&}~oyB`S%j3=Tvr=MFYwZlzs@;A#Ywn{ta&u%Kf4KAN$F}2c$IT+=&C2P^F7K<n zc_Sq0ppUWd>r~~*{G8>@Z@7cRm0K+zJyJH@seA6^fd?s3J*(~WuD0br5}NIC#4LO1 zv?VR49j~P>{xs{u+l!36#xk9}K_Zu=m-KOYvU|)G4&s`Sqj1s4BX`0Dg^R8pA)G<% zEGxB}ryQDi{o)*DbN9QK13MNQxNBMLD!lUe#M!`Ei_f1F^eu8Z+&4>xRl!}wed^~) zzZX17IdM^Mr|6S{Csj{Y1o3&V^ICU$(&Eq}5r0319m*D7OvhQn4;EM-2-M!ew?oE4 z-eOnF<)+<%JJxYt*L}FEfO!Y&PQ$ws?r2St=Ff}0lG{3)Z~o46?fx<1$Dik($UV8X zYG<jBxv)9YjeR+DWu#}E?e=Y1+q`Mxfo+G~cIT8$=<Vor+jvpc%+*YN8`G7xD_&Rj zWrb%MUpaOqD&X-$WA-EJ>o`oAN?fzHeY?5xqUP2Ox6X(pIn9h)CQ;n7@@PpT=QCsR zqw0R0=cCh)Ebr|)FO~Y}@|Vv?7`B+2SZz@@>1uUj=H+?IYSPu>cJ_jXjFd!_dAr-( z3-cT%-rc3O-O7A*>E~TX3zUnc5AyQtE)B~2a`vp!uA3X8w?B1TddJGx{PvX_Pj2kH zu`kDbL!h3S(2YMgUPwl(A1gar_V|XKn%V6mZl7;>tq3$Rb=j)7b=|G@DDzNlN8W&3 zpWFanUtagMbt_A~wi<60_Az><xViV_;UkSdkD4?}o@hxHGP*v)@(lO!B>`vN_3dm- zYfbAo))rQNp~zuzWQO_z&QCL6FZ*%iqLW>ItlWGvS-b4mnprw?wp1Na?`@rYt^L@+ z^u8{CnYEuPUq8Ow)^M)xycEa$wD3dfyo?$O2W%PsJ~l2t{o{z>!RKok=E}|A*4KAl zD)-T4m4}nBJzU88;2hrr<LSrL`;L9A<bHg)tYKZ>`6&LHStZ^6w-^%)8nPSw+s@zF z`$#yQ^XHz@>Y7`JYOd|Gw)35JLVfM#Pnky!WwJCxGqC?S!`UGAvGet`AA16A?Q)p~ zDu1luJ-A$xLGX-vE`z6=PR9p>q&9xTiWdfl*yQsJm>FuW1$@Yy^k8zD)1~zcZ}{h% zMju(8nci=j6s2Ug-)^hF|5-W1w*s5_b@|`^^L!}u;L*%PHh!gqhD2tAEe{-Bg4#s` zb}+oivMBS`I6S91DJH06$zmCi`;(khWS^$^*0?lJOP!V5c68Ik+|Jxbyh|k9gmPs% zW9*`um6Kz9&&ocQ=HlHjb(`S>!|Mq*R%}aEF;+ijX8e3srIXIYvj*pS4bOFEdMZxJ zNzHlvNJirEkso1Z8+D(nxF2=fa_9Kb^moFKh28aj?z!o}l(a-+o9?#Sv>NZg+eK?n zw)`&YD=zK%{V4k7Q8VLBX6L4E@O;)X+ez}c%4Gj&@%dZxd;EnbC~A7B3M*Sa6|nr? z(k*Mm_iEeJ>W48rbGRR;+==>;wlim1YpzLF)Vh-fn{%!SZ1maPY3w3lw(BU*&$5em z4BR%w8jJVz=a`@U`)JPF9`VN>0S9uw@G@@8zRi?%Z7a(hhFe>n{#m)PYtCxbO_8?~ zqjE!@MESKn(@<A_ym-zVA3b&H$1&$V9eA3<`?%=J30?R4AJZmBo;<niNlHYKk)~zj zv^hFmy=UgU;R^C&JL+M1@pz!$k%x=t+?aa0({j$~PTK;@!1ltO1}AmJn|@B4-1;=d zr)ZP9<xQd4TVm$k$xW8h{a$;rHm;!CXZJ>nMV}^i-<eXZU$AsaVJi1cTSXVA-L3J< z?!Mt$JexIXakS*qXmOU4*GeT%y8V>7`9fCyW>){4wf73nmHoW&PWEw*tZ?-7Bj(a~ zlNXoeY>t#QyZv<5TH&PEbvL%$lrif*Y!bcVh*;Il6KdVTlQ$X$`F>lb7X5hfEFVAL zWyf~T;_>sHc5KJ3slmJRYF5YEZ(V(S+pMpFo6TZY-;^`0KAgtems!@6m7f!Gy7|d5 zkMh1-KR9@<r^ZaW&3}K&jhY*6ORgQ>YMLfCJ2NBPCpkGKY~#e0Y?)mXPswC{yf{-v z?D?4oYb4sAxgFguW2Sq%?8>H?$B9WdbxIEy^zKoa;I++QD}PU9hnY~9V^F*3v23lU zmpfYdv>U#6+1K29qcnf3_wnVKOMfhh6>byI?f9^vS)_--v6*j@)dnZd>CTmh)F*My zceki{mi6Pv*$<W755b+l4*$s={!yNXmamQZ6xpr&DRbQiPv?i1JKPT44dD8idE^j> zfXSH$3lDP$n9NaF$*lcj(FNX5nPp8imog^%D~pAFh}GsZ>}3#VR1&J@P;6#T;`o@@ zCTX)rp}9j=Whp}|cY}Z5`8)2%)%jY_-_AI?T(|H1E%W2cXP!{cee+mE<*0%t>*K9D z6C)X##0nHxAMA})T;6c+gY#qImp$hf8`%}>%y_W-oA=|($Bw1HjedMt#q-CKiH3|7 z@3$W|tPr?(n5X*27s1`CHCA2;O?)K=jeD1>pLq6j<6F1hRntOu2gm%*d2(W<%+D!@ zPaR$GbcxUDiP{B*n+l$A-Z|P-+{m=NwYqV)|D+k=W`$uHJ4BW}JfS7inR@5NvuVe+ z-#(kPyEiIlyVkbZQn&N21-x}Twc_pxU1?R-t1EVtDW~5lxT7~!dS$8d&b%g{-Ki_j z7UmvFl74xhGpTq=lJw&nI#X_af6`}JwDD$3*k(VU)ejB%3nUG;d3psMs6DXbm`KX! z)rXI%>_6tPC9tn|(j=Y&aR;TDiY$zJOdi5^#kw_*PDt40%gWE+rr&k`){kS$Z~p!0 zsoej=B<Ub?hwO_5t)gxhHZ%*!PjY+EeTe-9x5#eQnz}blf;Xa1iCD5KzgVZ?{3Z7H zOjdb^Ms~XmPTZ%Wo6=|XS{XD6EIGj9sdj^bJt(0`;D{dQT<-bX#JG0|ya@WSh4E7) z_mj(eK6wTkDz&sr+H~A#WPHbDC1#gvQFATthe_a%C8gRz1+osCHcWKLS;95nOv+Tz zRKhO%E>AE|QdxxES*0zCCi?SDE^#pDv3MwQ?BG@CT%*v`CTMa)VdY^iPvw*yjqL(P zA`jFSczujy7XN9oTtjHGLZ@3#$ZDCZI(A%Bi`wQiA8PbIR%(>@K=^*#jSn~2cCF}i z$hzva)@fy9bYn(>;$;_AQ-(WC9j-Ypt8;fSGOYGlJ$HrU&TT1esX1*b8EP|5m`v`< zY`(E3JY$R0Q4^`jl2IFPPC2B?(9yxluN);|=zNJkN<h&05@#3#BbPXXqSAafotg)` z&7M4xu<78~eZ@&<U8&ibcdcgyeBMkG$THMjd6@l;?%PTJON8zE*VH`QRwH$F+7B16 zN1r*)q_6AopCd7Udtkr6^v=WSxh;<_^E{cn?D+DDGmooJ+WYwPi;nYi1n2LFKJq-b z)&KV7^Rq%fW$JzMT-{Y8b#X<F($o)?Ay18$Kb@R*WO?A*4u2K>`R)ld&kp@K(py<` zui(d)iIz3jj_J>TCNtl}%C6TZ`nY<Kz<hV7nrCf4j>Ib2<zH*@zpXgm)Wz<sOIv_~ zqvA@7E~`zNYo@#mU7NJ?Tj9(t`lr=;7cIEBg7b2Q?t~pZCYvmzmey=hGS9ONKV-4# z2=A%w;=$XFuDH4>CRr%8xMWdl+3Fc-f0q<+CfvQj(aPNr*pcaGD!1ceTcK^9an0n! z*^{I)pWfo1+;;ZkNsA*UOSo0br&^dVJJ1_?taPX6$G3SK?`mw@xuQ2@ouZ+qgxTSa z8D(3Rn)wx;?eV;{p)>7EQdFN$@0y3VBsO=SHIDZ={Bh;g!rXq#6VG0xA4$Ho)}8l^ zsa)Fhv+`y>n<rXjr3hU=X8$bXxSHoZgSF2NuSqP<F%mO8`y_ea#!SXYi)9y6uEgyK zOP?XycGj-2$LBZu$y1KMtUeXCsC-v`-un7b?1tN{MY}iM)SMlh8?g4IN{Z1%u8TUI z_ZdSR-L?ptEP2o}yGi^=`nyj5Il}YJ)$DS;YOWosndMt^Y1$8yB*l{&nl6*V535h& zo$u~a^Q`N~5y_90){ibfd%F4BlgVMlpE5&!f6BD`>0-3HMsB8B&9gJw^DTs1&(F?$ zdO2<0he%_47dzk2-cKf{?fa0K()4plWrW?tO*L|vKhGTe(7F5J=eEPkb=%L+;+y}B zZ@!6~hu01nJJ+of+Oy>qXNSnFW7y}sZli(Hk@h75${wIW1UZ9;_dY)oe%XC~v6fwN zTFs+#KlUvAn3?=|^R#2je?I*%b9YS5qc@84w}0&NpWXXt^S7rTGrfK;DOInL+L$n9 z%R{yVlZ%O4UNJ0np29b87T@DuKIJ~X<UYQ|eSD8Q`Q}aKyEm0jZW>?09)s)}snQ8e zhgco%zM61CebVw1&jX)+npym*v-(qI^QX+kpCW%Rs+m<;bIr%U-~aNG$CJ~lN^7KM z2H9oalbi3+P$T#8<ILBOg`fZZSQ-83^06c7Z_PetR(020no=WG8@x{=M0d8%gaY%5 zvY-hmO)C61qT9M_t{qXGpSI$Jx^Kv*%p*<g`?6IZNZ52}<Zl1am2Vn7BX@_C`1QQB zt4YDrwDURnJsdBw2TWk%zZ2c&P;;y4$C_5Bnpw6rG9MF*C%k?9@N(FaZ;RcIr0bd_ zwZ*1c99b^evaR{r)5&a4T4pnyI4sWkp`Al`!gQ7k9iXnPss4PmA5SMgJG5Mo+pt1l zqRNqFyr)}!pP%5{>3@4dl+b)rB{iY>Y7s4LO+S~^>ZW{edEe@<EOsorsJmXl$%R{A zMYT5IpoS(V8^4lE#RkU?eovMi91GNQrZ5ZW7bs-9bVyEX<nT*KanWw%v`WZ-DJo~^ z+`;ep)bycnlE9V*W@V383|tWh7^}TE7qAB$VB)K}UZ*9~%r2;tGetz{q<E`-Yo@0> zXh`27PH3N#oZus8Ar1lE28ER@YA+brleV+G5`6buQqJ%&yMVGyi-5l6p`JP>gNhf8 z9Q?)=FAQcU9^p;;aUqe7=?GhzaE0O#jx^Jj9}JI}dD2WSpP19T!;wec<xc{;QHevV zkegx0v_n_8SVTJaOC-Ewo~(Ip&N73OR|PF?AFl6QQF1C($n(ZmzSGJQ1!s3l?Q`Uq z%epPXk7aT|%suAGVTO53{VuOeXSI6GW9qMXWjd?T&F^5&{VNwU*jDZ2scF2DZL+xD z@8%8dL(jf+*$Nl??Z0t&QLW#_8;>tq?%lnqT&?9}U(0c6m3vb+h_}7|GQob8mDJf? z!pke)d|bKs;v42__L!}&OlMWv^D(u@ew8|FWqZEypkhH@rA6C{muBs2xhK5*)~3tN ze|{}*chuZTx7F(7bmrbxogS<bcXh{=qUmv$cO2RDLbTUgyEE?mjwOqpU+wYMo&9`k z&*?R4>l6R9CVt{NCO^Y!lCl29Z1HaAR}!-)%I}p4TYX5U=X2KKlnGX=3?3hR&0DUy zZ)v#9JBC`H^_F*7Zyj9CR~}vxS3M&n&|Nf5DXx4+aNv5b&4TOg?i^eZ-a51VMQF!S zg@U-w6$XnRer>m2Qx!U+wK%SMhRh?yD%qsK4%RQXQZIR*(-xTQ^lHT+oi)V<t)5CU zSBy%%iZ=G8+UeYIwVL*EM{!}VwZN^ND~`$R*fryr{uN>Ii#J$Tcc<TR+G~1d&7|%$ zo!^1JF*=W>I(+mdeasb_9wYPE+bN_dutz_5Qg>p_be&1v2|BM8Z|(eX^m}i_^F`v( zYDw3Q>a8<%u@v8Vqpq`AFR!pRX}@09#+>#W&yvJ$Yfd}ssx$3oWbwzY)Y4ZGhu3h3 zAN0Acu=B@LKHu;si>AL+;wrt^v0EWVC8=uhJ*hOO9XAe(9KYl$_pWNkjva?XI^XSB zaadL?@c2=gZJ`x0M;_{RUpXqhEVQj)V_PQQvThTf+7&x24wtMv?kf3iOGmVL@(;H_ zdGVchOe=Tpc$&v~>ZneztcqUJ&d(R0DDjjRZqp0fS)5e5u|<<Vsx<Ia>_iFi#W^c) z=!?(ySzZuZQY2pXC~30hjh=3mJFc096Hm?+5*D9+M{;6e;K?anGhRGY3RatP+S&Ep zpCc7BPTZZmy1m0^;sp_Y{+Y8D>c~kMwL0&X6DwkOGx<_5VWqGnKl9FJrMgOv6A2Q% z?e%v&4}RRxw_DrvqO;Y$!g+G*3ja1eUno`X<u13Zu$=9UqSR#vAGvvj?CNh8N(MW5 z%S|iXp7v5v^14h2|M4G(1Yg>SBy+V!RZ1MYE35TXQ6kuJ%dx}y@0MLq=ifU+Y*D0K zS>g2dBhGs3tg<@Wqhoe=RLW%)E)ADUGkoj3+~!EqFL#^IAG-1-1J64f#9J#ZXpi4l zeMF0YZ%$`Nh@8|$S*2f<9!JFcXSUnboSag5<8XP;i>HeG)03vRTgxO@tvD>!=J6zv zuRB_4X1hhr(JOZRxfatr&K#DSHud1A32mt%M^?2P|0xuVwwdzKzh}pzM4rjszWh65 zm{zvSW$nD<^x5F_CbQ5B&N|aoU0nHnZ)_51w^_4kg(_2}MXI3N)z1N^44oIvXb;$z zaLA_S(_f}%3n#A^FFbu%t$)$9c9oi=I{Y(pTy^-T^X#i!k+M4D_(9igo%{H-HtlfE zv6^vW@gf6u!AQ4bO_miWHW@ye)7+Mm9b@TnVv}YlAJ-<GuBAl=6H)_QOxPJCj|je4 zAUi!o^uj?az2z^unse@`t_)(oKI4|)VwsTS+pcr@mKA<yex)E4Eo1uXfn<AJI^V2~ zJ-suVQ+1+)pD9S5zH-Bm{dmMQw+oJj`|`fY@H}3fe#+5co7oXl_Wd{Rc@$pg_O{UA zD=Yl@?3IG}^t?Bn&CxO2Hu|t{-SK9V7yITNe-^$_5SuRY<89)u#c@SyhjS&$!(!t8 zMjUIKw)6T?S<~Y4-Md{P>JI<7ZTuqqbnFeby3IZZm;6cE+0E4U_fWy|B^Tavmuvng zP4r@A*{|y}^T?0Xhv)bg-WPpm@nQ8L5zoC()*Q~~3O4>aX|wpBMFy?RPrsj%m6&ks zJ!iSnkF7?fLHd@;2iJ36R{xqeqcZ$|hlEYZSFID<vQK6!l-oN9uW!gH<f#1n_=5Lo zZTZtzYSRAI?reI=_t!Xi!l~=~b=$rD{zxZXxG=fiR3>TV<F=bT|JU8wtMMiJDWBJZ z`$BAot+e-tyo>o@o%+k|)cPs9N(IOAr{qS6KM_B5cbf1YyO~}eS^iq>G!AwzD*I<P z^ViDhbJl+FKJ?|xCH=|Ph6($<->qxtsGC~zxWp+>{AV&#(Os=gxk2tl#}4HxUAFl; zY4eh3mt_qFcjSu9kF`cSFKa%avAy-q%FH6~6Qal6pOsB`Jze?^SJCp4CuS3N8=LN6 zO_9<#{#L%cAa2LkWG{}Bx$@=NcIw+}Vu~Bu^S=L>_8_cZb4u08na@jSAHO?SEbrqC zu2(DW+$&^1yjJ$I)7MGH-Y>TG?Y2qWUJ_H<v2*U@TTf4|mAS55s*-NJqc{0hJKOdG zpZ^@YY9B|lF>TNDY0=uAd*|iPd3QDyx-aj0qQkO1+h_I_?{h^3tB=WcR-KH@cxu++ zxlHe*u0VaPliGHB8z!dDzmILQim$sH;r6`hXKwP%Iga~tYHl9*5u41-w(Cb|@@vzl z=Dn%0Oa3g{*yBCrzVWo&odI=cBbKQiS<hdt_F`sr{2k3tC3hA-ns99Uvw2gpB_|xW zcHI`6HL<!#M)~2zo#jWAy8X}F8id;=%&7L;m++p&vP|_!9QSpR#3|A8a>gG{AKtP$ zj&HO7>o~6NvU_)SKfJZ9PbMw)x~<H=o&!6UA9^Iy|2mGZUBf|veQD0o2IqH*8$&;T z&Ff88-?ZoV;~5jJCT(V`Ib*~V`>(O^ucMmxf~cZzfj@V+p5yrtcv|7XC&AO#PtGpv z?>?-Pe{Qe1Sa?t6_7mbq=T;lXeE#xt#nEYj?nU1k`i*m%v&_%fEUQmsyE@^=^CJ&t zEvxtC+2mPh(7#__gYVJD=dLz|ANr2Fem(DKrMGks-?_pcPoFK2iB?dW-<(_XK4MjK zXiS`8iNTqrVX`F?I=v+xT|KC(HZ^NuvtLZwM2i(i;w2`&Oc1J1&|28+8<P|%>+)#h zgwEy!InJM!5viL_I10ZDx}eX#_73Bs1ipC%;vH?46;I!3opBUZ3uUnCNS)Ya*>PxY zm%yu7v3Mu{_Y;2b-TLI$ZSOGk%%{Fidwa#JyH(`8TeR<Ke$-ez>B*a}pFa+5vYvj* zU3^-l;V0>%v6HPPZN8%~5-by3H-E=##m4&v!ArIF``t-A`0@6UZC*3u)!uEoGI7qz z2j!iaQ>#wS<f)rF<I&3Lb7cRj8J-Q)x7>X|Unp4q>!i(`f0}0MMgFbZdHK`R>!;0E z^*!M^@?Nmq_v@t1{QKSR=w9_cSMnkHNNnidCp<5wcdYEIIvE-Mw7kPw-nXuGM|bj5 z^N!t$k^3ETrq?9b?T*>|;KBEHYqjOkvfK3E-MO}5M(XovZLT`&&%Nd8Hdr$|TCz{_ zQ?c}6bD#OqdhrG|dE0CDzu9^9)7K#PBDX`i(~f7IoSDC|X0>Yirf7+6S0`=$_^s7Z zYr9^KXk&0*^bNI7Om{bJ*r7b7X!oJTi?p|E=_nVky|ZjX4v$4?_n~cWvL_|k^49y* zU-3SdcjGPR>17r>w{G(Bnj9@W&2^_w-fErZN6)vlxXRS$t<-tOP-2}L*zW!$?3Ch+ zNw+ml&rsRfx+#Zq;cfN%W_Kq=tJlXbyEEy-juq#0Cws5TKe1P8oz}iJ)!|~VYx3T$ zEl7R*a7X*0g*|%T|5>nw?Y+bNL}HTHvp9w0Ypxi5XR$0hdS<U<Un1k#Khd9#GCtdJ z{qQ%gh-Y)<?n@Wnds_IWZ%%oI%x2-|vhv4Yy{RZamLf0vo@?fl$&cqMPPVx>)9|JH ziQSHUnyi)6k3CtW`2Li|HkQZprP_nSPpp?et@R=L@D%ndxuVf)tR`(1%9}o8&9pf; zEw+2fc#D+Xewyzit2y&#=;y*y9Gl;r)lZn<x;MivY$H#g>TdJRv!5*%^-3$dUb7@A za*<b`!Qzy-${ogA9xdjY-W^oq^Uz4Jr(Z)SyXfP`Q$}iH^8OLamONTK)!WtFZq|l3 zN7sJbcxsba`LW|d)7I`Vv<r%y_UO?Mi&V*;?8l3zr0QkV^la*B?dcDgwsOU<A5S*% zUv*cXUcF`y^OG9_y6=xzl&PHEZPzz(WyZa$g*j)^pT|wpo)`7}uK4j!4c2^aH`6}e z*yEjYapmiIQr9&UzF*tn^>9b{u}2FPzTep4_jE`4F(oD0@8=?XzC4bT4qqYt{X&Gv zk;n5muP?JIno(==j&-BOioPc~jQbnjIBk_li<@0-tz#GX_jOXi`78UK#FpN$-0r2f zbdTctZkf79jX2SpOdE^hgm?BZP2HnZB;I`8d0F`@)pYsE;m+&KMfQq)+RH3fb@lOU zwV0~2k5?~0qAeFKopANF>}~15^<^=K8mrbm{&e=Tw(Ru0b(deuOwawd=Tza%r_W9M zPRrNEJ>2mn@#mg>g*TgDUhO@t6aI2*?`iF>xSKoHG`%S8J*^q~?qi`3`=a`Ep0JNI z{%AiI@S0qoA>;N^<nLu8j%C81&!4=nw4pvi#>nxL|EWY_WBKh7X&f<ytB;nQ2!Fbm z_qx*dqsK+3>DETpJ>OyXa?zc>kLAnzo}4+nR^qbD*Sr~}E&p64doG>)DSl)D&(iv8 zp03DW>_-!3iT|G`<2LbU`H{p0E9-rDUVWVN=kmh~UExpTIop%>tg5f%`Svm5&*euK zUT*&7e*Doi$N$TCoESs@d`{GU!u*-P<Mp)uSMt-2YPbE1+{oc_+Fm1E!)tqF&1aom zM;~>opWCamF5hllb(GE{#!q~`p02U)IcEA?nftT-#Dgge|8^R(M*V3{7ChTsU!=2Y z<uCTbNz<(IX0SfE&#fG~JMr7XAI}dxnvs8Wzo^*R8qI%OH+VcV{^;N8s;l~M>4uKh zzMttQWEZYGYj4lyUy}Kc(=cLD^ymJ5M`6`}R}D`bFaDK&JVBcOmAqVY{=Q}P4LW9( zztm48Nz5)!kg;z5y8hI|kSl9NZ?AcOWWSl%&KkXcM>o!R_UgV&cdU)}e;*4K=cn>h zoej1AZQKyCEcx^Len;*1FYn7X=h-c<Z`856S+u@CInFz8eZ)1FV|#V^Hrk2(zZYTC z{OrDBb4cF5zlIfGAIr&1ZhUnjF>LqslwXg|*}C?<Wo*9lc$4enXY&+~U%Ih#`UykZ z==VJ{T!KA|p1s^THEH5$u3bwX6`h=STT?9g%EUQy3w|F_T@bCYFZqDO_Q0Bx6_t6j zBknNG&zpPW&<bTs<A-KvHfEiSY=0tlMt-{bb`PD!MH}y^ZPa1hUmMe$b#f+WUGWUJ z!cV&omt9y8FZ-_Jiec+b+s}sGsqIVdoPK<Rcf--Q;<uINPQI;QpL9rTdz{+zH}h^Q z>21%uyjE~}^qV_;g^`EYcG*4>@|Yg2G;QljWy{?`+nr*LX_geUT1(XP9ois~a^ax3 z8oz8#;-&5jj^b*q3cn=MCV6~+d{?aAVVB(dX+M51{L0tmX&Cc<(F`Bq$8$v{XQk|! zom6zTr#!@G)5?$Qx=vb}-HSBze12@Vw%Y3uq2ty%YHtrzJU{Yex>wPRTDy0gg|({+ z`8!;lY~MNVw7R+Ddg{gtebu4sR9>A({C0N7_rr@6H2z<*IAip5p4{<*lD2=Hh8C_T z_Z#vZ-lO{eghh?evv{HQx_v9^n{}KzKfP~p*0qY;854E4;_jw1na5X8JFTU(K2k4V zr1EHy=iw9DJkyQZ_Uzlh^ZLYU?&&dpanU<$gub0RlsZv;y><-W!K%=QlQdtOwtA~d ztk;P7cd=@3k&j^Cbz!~G8#3#|^wt;cnN?ug^myy3tsL{O3yC@IH9d34c6|t6W7l=f z+X_clPqDSAZ2eTb>*KE{UQ7DyXI%XtbvSXW=@}>6^?qz1)mmNG)ec{HGPPxW@Z@l{ z(@k3{V>i9=n;x!udXK}-9Vb#JNQsL^x+NVu>M~9D=#GvPPZzE2ZjVvhRCsYhs;`Nj z*rp!OW3EoqGz(*8o&*I>=x)-fzF2BFAyu~~h9%`Dmz?<jh-pqIT<xbFWGLF$pQ=|E z^CVfaTTc9Y#Ii$2U2XM#M~I1k-f>6y+76E6p&cHNA4y+VFxJ~u$QpE9DlKtdckZ9Y zs_Yq&rs8WO+>S5X8F6%zrJ0_Rp>A{O#Wqbj5%HBijTgklm&dSZ@pJB+?Y!GYB<0tU zNtGv#oAvyw*m3->*vFI3w#$OLY|cDBcjmgY#j=Jro0`XYJ(Jt>eAok3`ETzCE`G95 zRJbv#GUDi5Ic@%lH^d%(`p|Z=Dtkt!liaioGen;#PIc7@Jay1%nf;on&CNQKl|EVA zIIcKjN^^})a?)a%JIObNHXe7h-Iu#+esf`r+r{P01$RonTK+iprEf*)h2Gb_T`wMp zPPfgu>Zq-^`O3z&nJ*qcn0{0-pD%4DOOU(L87F7<$Q_4QB|lFN+jy^aCQI<ibH~@D z?X6BS@=>)sqcyj@TITTQXJzfl?WgW=KMt5Mt2#u?^TLkhhZly)TAs1d|Gq)y+Qd)! zy@z$<uGyRMtz0G(Q*?s$w7uNA#L_zkpAY@JSWxkl`!j#5_H_OK>vm+VeB36<^?%Ku zhOCp48eeZ8m7QfZX|qJ#&KY{24!jo&R*l#nb%$}KvgPNlKWT;G%le-1eD6N`#cl7C zGfex#eX6f`pNsmCee??N)qK(N%)CEAAD=H_-XH8U`O4`;Hldx%kCge!TApz*{64K_ zeaD^d#}bp->N00ADHe7g-qyPJv(+)xo(ZQ`OHB3>JFhJ{Jt81(e}ztndy$x3<=00O zFU*ft+n4l;qva-lTxP_YX>;!CuCLXJzO4I8{Aj`q|5x&g-GL|m>`p9L*(aSgcR_t5 z+x#mN=QMh)Z@HtoQrWWk;A@3o>#vhG&wg6kpPKo~<6PK>-$%Fj?0wS1x<Ah6wnF9c zV}>H7D(T8UrY1>+>02`A{yDZ`X^?x-HOHS%Kcz44d&0xIKYCg4tEUF5W8VENe6zYw zChhAfYl}X?m5(_#3)(fgulRb&&~>3&*R(9#`me9=T<yQL`aZ|9ufOYT+YD9GR>yHf zw6F_!%GVey+M^nwW&Tt~bX`kfsJZaI$eVsQo~@q6pVo0#sOONW{Fw)LZ>9cRQW~Xx zN92&MU1mt2+5QyHwLUxem!^DY5ttCWU}10a2L+`b<GA+h7>SR^^?GI;+-=(Oc=NYo z%TFFs*UfP}bXVcjJI;j)^S3(M`8u^8StS*$8|bSN_&IoWLRO4jw%(SQ=ESz}XY$5v z{=suLb!y}ohpbMDv3}CsbR%W57}KKCXuHfrg-??h>~`Lh;5e+_*9TrVXJMCJSTn1r z=F+i-4-A_!7~9nP7cg^AvT|1TnDt0l{MqMkN0#fhJlgDbbUA0(M^Em>85<in@^)qK z(2dWj>n@0_d2~qC+}JKZQ8fC*k+5US*LwE$oR^X~dgRgNCr*d%GI%YF{piU(_edV+ z0@3+yIya)5;%Xi(`?04rsOH)MJ-f3LyefSe7CY=QXs+;FuCbo;ewTo9nm}THrYN6b z5}V-ttyh)o8WzL}tw~(GM8c+HM>yN10v<V+BOBhj`L<=7`RL8IN;}|i$go1-VT#sG zmZc}WnNF}gY87?4BK>=X1slK8rYQxMHNmH{4{bl{AmGT?mm`<P;MlC5Dt!3Inal&~ zdTOUWRwh5X{Om~j+D?Drm`9V{njc>7cy{tbr^w;w7pI+%neIP_f4;e*U9LmTwYncB z-appN47T$%KBa!P<x^(Lw-275BYwC9J^Xy4^?VHX{2iip`I<GiHvL$0@k3_O&n0I+ zWV&?U722cd`9$@g%=$OQkBz?{{McFisZx1%&8=HM*3{<L%xbT><W_w;zr(r4!|46g zMhlro&XWQ!@g1`6v-Xh=wa}>H-@0@9Ve^S|tF3J8TC?80{a{-1TyEM)YXhH0CyU>= zp0ufYx6@$d@>4sPA4-u{R6EIH7|GV*X<YcObf%5);U_bc{&m#+<X-aiecx(MgQJe3 zVvI8vDt$iR>$=jaXv5<P{<4-=3U53=Zg0U?K1Vaqov&DD)&{1H|2hkG9iQHpY%koW z!53Q-E^|oZbAIp9l~zR$9$yHMwcJv;;z^=-d)%r&w;u=aMLfN5O#SG>u5~9Jg}-@} zc=Ju$u>DJ5;f|zJ`<>R69$4M%C-%3*lkZr;c8;DRgHxq`%bzGrwpG<(-!Aj&WKlrd zVcYOe4JtB^7}Eb3Ke@%Uq)_10Ro0A0@(SJ6`yBZ4=e%I{vebC$b^LZ=!KwK|6HYzs zHh8sA{I=o3D+h(QrP#TMMc35-sjaR1(^y+8bM42KAI_g_KTcPf^K1FhZ9bFhV`I*K zc`#o*So~|z4916YqQPrr{~xMx|6`qWYEc}YvEcVrGgf{0_55k{;^X!*`}A5q=(lI` zDEu$^k@ob*_QT<<ef7FE!4f~8A1XgKp<cgcXU7NrwwFCh{}<GxHr(C(Xmv8j|3yBj zEI-wsuAchj{uKRw`8(1nvyMKNQ;e5-Cu)@Y@UfiieQ}R>S3lnUSnA)E-xqMwUVWc! z)g9r-GvwvJAF}xN{J5<_ALFZC-;Zu|FnO0-*wZ_qI!Z>F<w=~_aTea4?MF8{nVs0H z-S_e5^&?Lf*!`a|LxtsqywK$Ke}9;bGc_*k*Pq<M_Sg84gvi2rSD9|DFZ~_KL2dtd z3v;s0@7J5$l(nedUS@UBm-X$*YHKd-m+srU!TW!X1zYpWc;4e1JpL?x7;)|SGldzh z;+=fA3_FfGmwf0usC|D?yS7dnW2Z&TmtM<=BaehKjx1c{f99aF*wj85m&bam`=ryZ zcxRtHtjV{(z;?-_3tTP90s=RVFPP^4@*#I~^c$NiMj6iM4;wUF9a3YPJ4t5A<EW>H zt2&-eV42h&vo2(sP0z!s)}!ya;#a+T6yCZ%r1s<NL)%?)KXxA~e^vH(-i9};<97a9 z;T5+wXJUxvdi9u%G1~jXroG%5Qs*1d_B`(A+D&i5e(EMh&Xe39vak5n)ccyzs-~yc zPstTB*xvc)<4(`I#X7%>rvGt@6nkd$vviZsnb1$SQzU0}J(=F}y8m=aq373Mp_{fA zwcgR%q~m#amv2(`5v7mUmlxe_x+%9ZaeMr}+68y2e*RmT%xe_Wc5$ceqfef)8lq=( z1*4_Dp3W7#Eg9BvRJyFgRXTRNL(TO+J9r<hc02x--(2B%?sUlu&y}R7C;p4^d0f;p zr@J`D>SXc9-qU*1&L1_^JNRQ~$FZd|EX1Gg$SLZ)^KHYK&Z`eEwQVileK=Lbu*=@~ zTH9%nZ*8a5T#MITI_jeL`pwTp&lgEgk9!s2%y;@sgu`~zm`NMU^U`-rNlM;PvTE_w zqMsqhUmaHsnZ8zcyLL`;i2io<oYWA#?IGJ@zMWL!-JKHX=9;q6?aFI~ZsV#w?>@S2 zetflW{mfU7P5bg^oq9aA_kHhm<1mNba6>nTqaSY_<=*hI>nP`n?Y}-Of7Z6wZzIpy z(oesRY%-pDGJA$@zt-ccohv84{(9?(k+%4oshz12dXuiJPD|Yxzurq{cTne^rpPaW zt$XSs-$;FWmAcX0_L0F!-A`FZHf_j$vb7`CUrX@5)z)@zvCwvJ@vyl39W{aJJ8}ZU zEnI>Q#)aN^w;&@fcn5dT+&y74Kdn@asWz!x`su0j-#uPClY_R#E%y0-Wlgw7w5-Y5 z)sw9iub#-BoZA=mWNM4EYPi=N?x$B<Q}f?Vxh^*CWap<-hm0&lPiu3<TYf#cnls+s z^w{euYx{qF{grb2vEE+2om+}$>uD9ud~S4Ckas6b^<<WFt`2%rckH}zTy>IjHrG<e zl8t@dX4fvbTIpTA;UNBahfXqkY|G<MJqx;XV`d4S-*HCqnUdsmYmV5E$3ndl$5i<* zc6-YzP4D*8QCg`in3gn++v@u|8?(?&=k|)o&3$r5`q9Ldljiap)Hi*&WBkZ))w!MR zhnGlOeb1Xw6a4zl=0u^sxz(mV$5yJeOV0SJ-=7^S>!{ss74U~KQRYn1(oYpKB@gpE zyP9=$*j~-}GdrpO<>sOZrxoP{PT4!HD_ZlTCi72aZKupLhPi*7A6G4VeP29&&4d&C z4fYv=x{WQ>sXm!kPA7&Pwl!WB@wI5i&j<HJf+fBd&1iLcC;90vSLvPEk9R-1U@zYn zsQByn;f+F}7wq+p&ztuz*YeoaFaD<ub(hyi^yM}>@t9Sn@trc15Ph+bzuVJEf^Yha znwp~U!zbL;%k`$!m^|!rlMS5_s4Bnq&e;{oXCE<KiM~BU=wsa-p-=2b&#YCrtmnHu z^xcjr8z()#Ize;t<+pO59~{#b7hZ7ewPJbEi`miI<q08Y*UFX$l%3w_YT9;M)HG(% z3hS6z4;~4z^G#RZw_}asv8Oy6drnJ~u4z1}Drc|P+tC;!B*?i_gz1^+X|)bJJ+qJM z?kSJ@`(Bh5cDjrB>1ln;SbFlP%D+bnAI;raKF{#@^XbNNu8-4~F?~F~?B9p&ZdZ~f zwR9Ynm%P|zZ=xhxpYEdh?^Mj{c!Oz<cZK&S>U8f4-yf<od6!1r+6c4Kxpf<Nu#{}B z+a9s3bZg!Ih;60Y>-I(*E8SVQJ3{R7tRGL4ZeFhawfB+Hh1OqJ9|c|L{dM+H(S^B> zUZq^r7rm}lx+l=5S^H>u=;z-@oIE~rA9XzNtMpO!qC-D;Kd;?oSvNUC?a8Yjxk)!C z?0!7GGu1_}|Gw%p(VY?dy>w3Bdi?3N-Oi(lGiRH|m9B9-xpa<eiO#(4ikKqHqSJ>r zY3bKZj3~SMNc@bEw#5GUX$uQJzD~W_DYD;PXK~cW>?51BMEASuXdiquy(3jE?+@Qb z9{1jO#c4MWe3U-2Nye<MF``WQSpM`>qqIN&HuSXh#LG`RyWr#P!<(d3>neBHxP0Ax zc<I7b@v?e?o`0VecxJp3Z$ItiP?sOU7Is`JCt}$Xwx4SgB`4i}T;7)IrpJ3-wRFwG z*3`K0w(LpLho_!=S`=Ee{fyJz@Y9AnBIh~o6+dmH@tOOuoyF(hhwL3bzdq!!VtbqR z>Ao31Qy)sbdTOvaYMYz%%64^~V~tfAhaO2UH}gq~vWZEWaN(-6D%<-O51w0``+2T$ z_Djf!e4WsF(6~nK)`p5D=MPJX?R~N*Y9r&jJ*y03FF!Y(pecLmS=gz&6Z4MiN(bwN z<XxZ9rgvhkRIou)-sK;xCUM6jmVK!@9y?X<sP5#<xvQQ|Yh62S>TSz;jc3-%TsCaW zd%T0SIBs=C+H=$1l>)n-i%!rCnSEQMJT7U~oqZoWs<I1`SG8WqmEOJJo>W@w)whzz z1M;~x3!Xb|Kkcg|bK#7u8_FAHW(UsQll^!`kLvd~7TXq`w6*Emno#t<FInf)pWvc3 z{gbLoWr7Qz$jKg`({pF`<A~sy{joaAA?^%kf_`uu(+Zh==*^^`FOF_<ROpV@+vXDX z=XX-U#i_S-|9z=Q`d4ZB$M~823}=U!f0cz(7d?uXXy*Fy_p#V2)pWV}w@u!CxH55$ z<%7jM)hnjWxx2F8SMTeLA0CI6&KHuO8S{zrQ{hP?`R%V4PfE2}*MHx2+RGoy{%94+ z7Ipqn+r)E1{i*oG)f4njy;r=hAU`GEdEMswPX8RXK3T)CzvWG1)=5dmI`*B+S0`;| z{KI9$zDhNn=Lhc-@l{U^Rwv&3S(w8$qr6yVveGkI+2bo}D%Fo~)JVS9TDZn5_Vc^G z<g`_@%FAT5nP2UAepsw~R&{2~$tx4*C_YH$?OqU7RMyaMBGZ&*erC^#dSAAWHF5tu z3(qbp4LF(DDfYk0qNb=gztdG?{jt3geA{o`Nq)F1?X{d(clm=m+{LAfzpU?Yy=^PB zET;OMYT;Yu$8n<FRr^%;r^e(~bk&`VP%(NE-jf=;J>FoM*`+($i4#3vPwUygLFNB6 zi;_)G6{a|w$4#pa<GXof;^CabjzVn?Tcy)t7uLt{cr|{`Z*yE{`G1AP9K&bvV$EK$ zGwXwSj3)j#o-)yMR=r2e@tX91nuWZ~-+pXAdab*=K9+Cc9+Ce^5<XvYf3_bsJvFu7 zUS=9&_Mc-L=L$U&?@KMK`*Uhzj=Sv1o9uOkGxSzYKYZqPRr`sDqI0TEbQb-%aL4+g zR@&LUn%%w&`m1en_CMJ9{OKz7^LzEL+m`&(HS$nCXD`i`ToLqtp2V5QGk+{UzCeC; zy%CRC)R*%eN0r+1PVcyVS@qZP)DPV=>pOWSHT+tB;*s!%*YTpa4YK}CG>8a2&TDLN zbieF2$D}*Vj}4Cg{dMC|>V)5ql(|pme5gJg5;#9z`rVwIpHCm(Uh=fOU%yjle^t%Q z4S$|}j5YdFef&G`j<4Crr=48>WP4wBulW9woc$~A+<qL<Co2AN$Cb~I9?4Fxi;CIu zcvAn@T^7fUWX<$W70MoZk|cAwp`}7^(?<4nF-snuc2g-S?9z=`*d3I!S7&;6pw8>0 z?J+G$lH#kMJ`z5??S_u{VV%oLn|8i9a`jk$x37*+WKm&fYHZ?yZm&OYKOQ`)vdpX~ z#wKZ1%ZZ~(?@ry>VR2+j`z2S!WqBEsx*hK<ec2Pk^5}eLUw7;>&qaFw40xLhFShSi zixl5_Cp2(XxBea8hr3=JD)U^>tsAqqqd;#{!SN-fg{{`YfqJV9?mEuaTW0W9=%C)B z4YDrYF?)*Kmq-_VY{?Y)c)?ZsvTDhzMV!H|N{hM!{=ErM;Qu>g-%3CJ-#3&#RdpP{ z;y<Ci+~<6NBLCYR!olG-a~}71N<3dEb6f4oiNhAlDqk&X&xt9#pvAv($Kv4Ca(;%n zO7|)?j>>X&JxvrTuQ;U3zwpQ8#wQPj?`tPrKWq@~RC3x`{oS_`cR90<;$h3>j6RmP zS5@vfViI`c`9h)cFr_K&o;md`O>%M{m%IP@yrCms&id&?fzz|DENgel*?eTfb&dEH zUXKz5qIF(>5$HIr>Lynf*`p{{`QxzC^sQApo)&eVc=V8avd_as?RtMoH)_cpDv&(> zGLgGJ*~=#7q2PoSj~;UMx4pUSEUGv2L*<M^hA!1|iwfRcxO7<iws`2vhn&&k-EvVk zw@G|9IA!iLtGQn1nZQ+<FUf)JR~)tURy)Yfc~sQZ*&Gy;rCDgu<*gIUzHrADq0$eX z-dZa6YJW0u&Ar$7lZ$Kiy~>|#RUhAVc<RrcR_^=f@4}DkIy^0>-ZA(b@aJ@*K;ZJn zV!if~Re9%s#8nn$ADb31r94??bKxVgp11wx-)BVhFcq)wJZyb$dbOX-t&c@_svi}w zO{@-x39oT|=USNBSnA*AYGw9LwD82&jXTp1hx*Q`juHzl*lB*`p^WACo`?{}C*u97 zwg+6c$JM+wxLfw|?&7BpCLcD7c;{*vQ~d0nM0?AHJIaqY1oTt~={%cQoZqEA$MXA{ z9mg1p-goU+zWREu)bTZ0lgd+MyaN~RseT;MtN#9ug<JCL$AZ(tBIJ7`o*ntRvE#Is ztbFH=JsTfC7MN~QQ6usw$gQv6QRnchkAfZEddl*<W8^G9eQZCi6enN1L#F6aGXHd+ zaQVs|A)9{fIQ&#zM84Ew*^9K=GY^A~Uj5Z^`03U3r;mBVl^0C!H>_F6bN5@p$s=cM z4f)thce)=@lzo3}uWq}c*0l0M8EvL#a+BAG%37W|Q|+}(^TeI%Pg@<I%khL;$Iq?S zi+SJRbWe7ZZ}BrZ#p9c{><m9)Xp;X<w#bKfPIZS&^ySC%q$k(c+^J3$3XrutqcyL( zTjp`_agnt0GspF(ePnz-=hw&FOIt1eCg%rkFI{!w{*>#bQqKfB*q?mqJgg8mAy|X2 zwWPvtsl=CykCh5u9RB=Kz~WEfb8~|ohtJtdJh}Khq|;Hk-fyPFms7<u5+Y15wsam` zZ_A!I!NdLWl}>x{nsv<0t}!;uYTHj#upgP<Wi@T{4R;l>)xBp!Ds+=xg}mq3{3%)J zdY1c)&ItboPi>_u@(D$p^W<;pevwH}-e@@~wfoK-CbLel<+eUqj)k*Q&!3+ve(=fV z>6f)8YdGnzKlo(ogv*-!SqH3_JJqagG57PX=$Z87%c;k6-<{MHs4ty0=h_E}7r|fF zo!+Lk<m0>6<I0J%%VX4v8$9lv-LUktZq@X|*N&LKkmbMbVj9O>9~t<((OuJKx&OY< zxH(cEGZr6tDcBzxyxdD}<)t}MGuJSF6O?;>CEG-Jy5DvmHO|;Mb(L!#epR_`kzvPt zU%vhw({-nEzpFPkH$E%r+N-we*_N)o>gLO%-^^W5=(qPqwd2P*eH+W0-&vX_`yZcP zeBi#K%lkENLOECaiC3C9^6yRFB(A!piv8(Fme?<Or{u)MmN&i8Y$}X8abNgqrfhYI z)#}UE-@Y{z`ThQ3R9MJ$V*aJMmo?a`3x2Zy;r&#+>&OE^{re5}&Ubs-KU`NjmdRJI z`RLA;rR(Ng&ROOCT<b>I_H*h9@v+v^8|E^-Q5LQbd1Z0PXU;B0AFGAG7=79fS}^&9 zby_j|7*+0K_R$ht%Evg_H!g-zZDmDm%p-p<S@#)VA1oFsxBO(2zJEn|i=;qY_KwFJ zpPNUoz9*O_!{=wobhXdGxJvy<$*JSkdjEFay2JD>Xs_}ah7U7)vt$#?9_~qVzFW!i zM$~>CW3<6nsk2($#}kaW`^z2wynXOd(BjR;$0F_avWhb=i>hsYQ^R&&Q(f<n^0aCE z2bWyAswvnX8SCde!$xqCMee5+U!QF`ZO%F4xk=~xsfNoV)HXKF+4NyX+Hp;u{?IdX zRvGME`uNI8<*6IateoblJK^NZNoyCZzbq;DT*9*Up&(!1WktEAGM1$e4gF3$4mqVP z9&jvk%G$|_%Ux~O6@F5v5OH~8Qjs$G#h12|>o2?xX>+&ixc76z(qBS*e<wyS+Wc`{ ztNmKZ_c0YFL62p5`r{n#rB?E6elmC3ahsfbxigOl-l`Bk{8;>kwdA(qNqZJ2Mz^1} zmfq)dYtQb7)+{BT`P%g-I=nC0At&@DuU%Wo;eFK(sb5^RthZO3zhHgCt8y=E_?oKT zv@O*KuDEP<+Z=H8C4Ya6=04{B=!I8OKUjP%J$2Yr`*dr9(W(<G`J>IF)f?Rvb^Mwu z=3IPa|G}gAwdw~8mUEh%@v5bMdux_9l=#i8S=?dinkeMf7p&DEd|}Qu1JA?9{U2QP z?hn@JkFAqomD}GU%OS_EX|**`=*(G7-pR39>zF2+O_+1+Lq_`9@Ptop8jtlVbeQCR za&If>kzu<o?(SE<<IoQ)-khg%e!hIo>{BdOvzyPBZCh|HAM<s`x_?aJPG6<Y+S%nd zu2!&Kp84kLhvHqw<OCg-=f08TEO~Y8o}lCM{5O?LEte*p&%B^1Qyrh}xAw;Bs^YGr z_eAGh*7+82!EAZdo5)7Xr;qpp#h-2I-tW@l_xJ|$;u61uH%>1q^*emy@}eTYqc@rz zKhK%8vAy|~rEao5`_tm8WB2-;mKVKIT)Ft-ncIc@e3d0TdH)CoRfVUXKYhts_}e~{ zz1E56&s??^`F5cs_<hig<janGgA?_AGak*I<gT6d;_f6{jo2%@MZaB1*qi;SL-|bA z^CyPxE55!vxtMR(i`Xf)+94OMHLJs|mX(KmJK(W5IWeDiQn2j0Xl*~{o8DhkEoGma z`ub?f1bg*0!Os5{Z1NNQ*}ckYZnA!VXRy_`FH<bGCa>?imZ?-9q~-VV2J@BJxvw@} zU+!JF>-fF-7RzgDls{M>c3m-TPGfjo>JIIq?wXdw$O(G#J8R~@vN-hS+|G%yS8Mk& z{&NwJZ#b!0lec;2E7`=d`+JzSUG8N!J@e*zw)}LJH{2Quoy|5n>o!JyXR<tYbQ{Ov zUFs(`>c%f@PSXkcctM6IS%6jIn?c9wD~ILSF0QGNIJ!W=iGOp%oKKQfD~={jb>`m~ zv1L)|h6#sPiTs}<6aDGQLV@ch@srzQ`3_&GJb8SRgErs34J$HUFHme(d$l9+SVMEO z&*NY1$3;^L3tEr5dh)F+RA1budB)9B|82y#<$aoG#6E25R}aYL+H82v+hN+zz@iO( zt3|tymbQ1^)+|rlC9~c4&ehJM(?@I>igq6<;btvaeZ(N4YH1>SP32W1U8k>m(so8O zJrQkNDL3)_>uIiXj&VC}TB_b(sx7)SquZZ{!BBjmMC<bASh2f5Dm@NA62IYS_-@Cv zIVO+vd!Ij15v<RdHAm!8e(#LOi^V6a?6WKS=<asXUH9LG3QxPFkLAahe`g$xmz2>z z8NoL7ajN9$MJ0RAB+b0iH$6&c_NA(mM^zP+^!G<B3w`ob;`FvXM(j5wT7<rQXm?dD zy<_k@$!5>P4=%EnXLPRY*EybKQv2lbq|?)m@6uTvbez|?>xqJ7xuK2x_7b1<Au3|^ zCEn{xd~OD~t}pf3%XgRU6BpOrZ-wnuod&P>v6aU0^X?QjdAj=1grn(46TAKUj;_-0 z{A6>+XvSi_%HBub%hf8UCPnw}arziqRdwP}!c1fSxe`+jeJ(hyz_;u1(n-73#cZ~n zPz<wKcEaQgtDJX=Xo1Deql_6=lE<fb@cAhjE$#YzgR?xY$)@du{1h>pvJ*xzwrMA} zc(0id{$X;Q4#SGcMLzu%7Bf#wX_<3;-JL?lRvWhyqB>?LtY=jDOjtQJLZN)cv^h%) zK6SHiC@Jd86bPEAd3nz9fE~_Ee3d*Cv?qz%d^>LQ;^PeY^1zLDaf}S=2WKz@slS|2 zS6kqxSESy4E?M(VW1vX?N5ii|x03~J<Sswv)0%c%XT`KRtPfPg#2b!ynk{oa;kZoo zm5tlecilm@ZO6ZOz3EHO_&7uMvf)OnJjbn1d>GU>R~#$Z)Voq((ge+ry-#?ye2(BO zkDGEdxx^>+!ws2!gO4+0f^|1qlrgS6%67u)<*}09p2@8~FYi>YIdxYs>XD(vvWN+C zuaksBY?mE1@z&|~PdIea@Y#f?Ph`ujDtb<CIdwK!=gwEgVw<v~vF*oKNw7>fzHG<p z1Id9sOhw)N43D2kUp6Uuy+rY{g~Gh!K0COXg(`Wvc3W*!f7f_5IdNHZk{=KA^2tU% z-2qbS+kL*)l>43AaN_ZKZ}skkQk8VI3d^TUvQA2J%CD4Y%{m$RX0gcR1Erff-!3XR zb5d(x$sq~#l|Qs5HpWcK_*gj6+sZLcZ{r!S6W*%R7QXl>bY{~kdHwx5+7BNuot~;T zWsgeI&EAWzp9-8#=?RT{wt?p)$J3|0r+XUQ;~o{n3O!YwmKx_8cdNkn(br9F+QQ2E znLD&J(`U41os{H=J5|85N;O@%Lh`WjifMCNAE-`G4bZi;j?cTves$93CDWt+Ir7G( zNpb|ot=rJUrk}W@O-eud$25b_GlcHjDb6}R>BqmF-Ex~B8s&sk@pPV6e|#x9L2u!a zJvo_2_T{A4l*t(<eRP|9yf0!4<1<60ec6Zf`$a#72D=wcYdGGrgYoJlV-_2;BRms+ zaU4&TSk<2!)3>wB?q1=`BQGDzOpiUbqCY>TY38pJ$Cl1;k$<}*r|GGo!ZgdBrE;Gi zUOIN-%MJeNfi^1gtA7|bKQolo3qDe|BPXeFQp;&eJwLsjYmA+0?>zo=`p1{RK5u>H zna8Ul($aK3M(|Bf`DC*#b@$fi8^yO7CTZm)hi!|wKj-)|ol8F+**r@LJm&t~P_^6i z$sC)MLbn@FpUB6XJe)SUs^)A<oZq#NzQRS8oX5ZSF#4_A$mC({#vpl&d&RUlXZ?x_ znLuMXlP5d=St)sT&YmR6SsTxs)SNa~ap{du3;fj=&yf09B-E7}wqs6BlH@df^}{-+ zjmj)v9@(T_>8H2xMe=!1Rk7V4=J+ISJf8kUMX23#%N&-?Iyq;GKAqmAt~hgY+`iIV zb66ftIvQJisoh)r`q`7Rdhr`9D-Wl3uRn28oNr=7li#ilcOADCh_~Fbs7yV@{-p3z zOLo7J`r4YG3oIj__IdVBR`vNlA!M7CgM<3gJDiG(bBb%+{hTaA7Cl=sEw%8%oHLu= zoZazEWm;;{r8zB$l5-5sc#7>SIycAV;p^iUJcYN}ozT23r|)OAbIat?Nhee12_ExQ zonFpY8}dZxjo7b>6RDHBUnnb1_gc5GzcEJ1^W(<;)25HFy4&hqUh!kban;#^N8N4o zuJ(k=zuuu^996sI@u!~7{=%49JFD#070!J8=x4{Vr+vcmcXzlHf3MMbJn8t^&w)MO zvJG}_8#`1ktQ4s(e)S@ipKnpQ$s0kfFY8+OIw;IOzU9tV&yO?2FY8;_>3y7$@uuqJ zkxi>79!WNj@#?%<@KR**!%v+ePlQe-?TooqSk&RE9(eq?zS`_P>himPWGmnLG2`fC z#gE61Ys(29P1+ISReY&!=47jwxT_Q26h*o|OW~g$R%|z^(DU(GcT>HcdJFoSW5jmW z*+~^ywwyoiqSu@;_ek=oXJ?aj@9d3yXsCUyvG4eb9mT7ZEjJ%X4w}=nVsfR=UI&Z* zodwU?E{G<~mSF$Ou#M~d>+qiay19Ogll@(6k2jpumh0|65a0Xpf_y^yTg$U~N?-a~ z9M$eEDEDWZ9#Lt2RIXe5{hAxufyev0_e#c!R~zjz`c~yp8U9py8HY#s^Vk`-dUNLn z8-CkWQgf%I<=)E;?dlgZ6{=fbdAz=H>*E5y-9L;U2F`W+*xtPRK**}7#WN$G7#Q4i zno}e-!)DS`(+^LDjQ+CT)^P9(v`~5U*3vfdgL`bT*CCbZa_@6yEaBSZ^rou)aLUw- zuY8^Ex=B~9mE<;-xc#yHxX$nQkK0U(8*-She(ZZI8*uuic(f}2(UtP`F$?FH2gyZt z1T2q`o7>WQFSGKA;JeE2hm*SmF1+OF*E=Gz+}dW6VDg-QA5OUY6lEP*(&xOq-sabV z<lpRninxwl<9t)(b#$7`^=Gou)rpCIi~neTDqeLWVS@1TfPWioG}QZl6bnCnELNZY z%7dxsWXanap2we#^?v@?<1MKoxj(At+e1U4Wr1HO8H@N$E95dcmh8K%_}zm3x|pio zu()>{cyji93TjXFa+1=I{}I$`6u0ZcRHIM4KTZcdv8<11`NQ;YRfY3B#`>^(e@>)` zShyQ$9zCm@Ak?+vxs*X?t5YTWowppH=dj4F=dxwm)_w7UV#47MAu%lf(o$?$wza;J zO%!vp<fxh3(|M1<`q&Kj%qyQ~oi+KiGt+)<CFdJeNzuCu-+26w**@^+Sa?21tnHqu zWJ%DAxswm7&AV!?QE#wdPjgbf)|*(7>OjAHo*UU+pUR3>Yi-!GI_bRY)bj8*YbSix z>)xv~SFGA(%bwdw{j2-j;@%uxvG}5Rb@bdB<q2;}OH=Q4Zd6Y>v)k}nLrKb;zXqSr z_3Tx=*Hy0i=VF7g>&v@S-%fUYzs-hiWwQF=<Zz7_bA|g2UgW&|&{LS~&ok31$n@K( zlG=M)K2Eu}c0+r{MQi=)c9Ff-N#`$gU3S`5{prS|k2Cxp|43FUj5;RIDR4YfB3#OM zdFCHe+qr2U!=IK!9gXi?xq8KA{rWIXx#rBt98dHOG9SG*G>}{zC-%oxaHAb_fBvp@ z^WXiei1hz7UHMKG_qO&ULgfb^i|Vu=jGw2lz0rDYu;{;vn%L!PGJFq<vr;#BU(i%) z_Ofw!KZ8f<<G($@MjX#(R&0Or{-|Pg(A2Y;lTYgT-(xDb0`2;<;PzR&%5+v`j2+M3 z-HHAao<Ew)Rd2pW?0wLW&5EBY!Vf<Qv3N08px@9%`F+fc5=FVn?}wgr7o6Iyb6;Jl zr`*zJ*TzrpTJpsdwzavayg%S`?nB(3*Pq%CyNKypdB1PF;q-Ccp2wfs+3yrt9WC=b z^HTV>aKemWwQXTZmxU(23^|=F6nJzcZ-45MwZZaoUpu_~nrGZm^mAOPtQc*(@T~Fi z?;Np@qnGr3>3Pd#usk_u{-!y3g|RG2mU|zq;xH+`btKGnX0X9+ky4XcZy!uK^<`Du zVo82K?-`4Je9k+S+->)Mk<B`eFN=<?<i0Mk=yE1^zmJu6ysqrjwerrkPA<zM<?1_v zmdD7=52zGBBJL$?Ex#{z!=Bd<cQ5TTv{4fDpL6fS4%e8(Wk)sn`ZEINJo{kLc2twU zKiy^BWjVR;9X@`oGu|lbS+XWgYX9-1q~oM~Qg5)5-2aZm<?cSe1m^p(R^|vDvM^2I zK5^`&P`KpOPaEF~mw6rk-o5GLSFZVU7JX!uF7`V1?bK;a@!M(>PHT!qs~NpNcZ2)T zvskHWzbku!llwVmm1n=vjQqT=cduIJy`3AktDIUn#dek7g_l!ot)2bCEo_9In6w^N zikpAgm94SH$#1>Pse)H2Rg1b<XI$2*Z*`K^U-~2L=)D<iqWwD!SsynZzx9Ll@k!%p zl~I203d2*6CHpTcy=237IE?#ERZs8g@SNV{f{!z#g6||}+B9XIl&lo$jn%bW9HIVm z#;S)^Jf}kVG>=KIGM!c0eDC9i^7KDdnn#SJlqXGh)R}GAw3j6*vUlRK(|Xg}k4fp* z?=UgBRq^t$>Y~77r{(lG&sLl~oT@0)F6;0lg{R!xLrTtR>yw<r$2Hj+=1um|`KM5L zscY>Nt7AK2^ePSfZWX4t-mAztc_m=l@idEV9%oNV>4nd+oOxKa%TT?3he_dcWr^t) zr{+9)_=#n1e{#&V9YuDt3N0TW`Z?oRD$kn9{(2wpILNc^H2L&AS#i3{D-We2P3x1X z!YgW89{KmBKYc7*U*cpdf7oV`z)Cx-j~S__j;sB96f!gTxL}&x#P9?6rz$^hu-EN) z$56fceD50#&G++eXkYZLY(E;;rPpICU%2AT#Y?hP+YjGU$bY$|cfYFtE0fOs!ghMh z<ux^TnyptlEbrt|`?$?7^2ckzu*&wQ-K=l!PWP15ySMSf4Yxg3S04uYoOtr3cfH_* zGnyjNBKG&r8bmf7c{$BpHR*~bZ-1hR-)@^<D<3OF+bK>7w%F%!XODC8`;)WFecyO~ zTrx-J5x-wgTXNUB1^o>%axbfRx~;Xk9vf<2c21amJZwjDuzS(ArsLZz*so497LW7W zD6mR3U3brtM+za{=MG8hFa9x$;geBks`{ioHc66ws`|YVY0W23D@}X4;xWhOi{~X1 z{Qv%BdLz_0kKwn=Uga}xj_wV;i|z@g#dX#nxZhP3I-@dif9D<EPuu=z6{)Z2(>jy$ zVfTqGE8|4dl&18Dszti|tT>c1(Q1`}@Y0$+Mwu>4K3g4`KU?aE{G3~<mpIQK)m58) zMCaeFnot`#q4zO!9tj3MeLU&piQH-4s!H2q`ZT*fX&qk5C9St!i+_4(Y|OnA4=-`` zcuOV6oDw*@<H;&z`RVRQ?De`knqv3_xp(pe9sKe2n(MMa!@S@f)xpQ5R_s{3XxG#v z*)=;`ADugSG<QaDz*Ezn-~&agQ>@xwhqYRp$8PVqV_O=qy<O(fnYD_S4MZ;HDz1KT zN?S2_Ro<&@E!O(}+naTqr-z#_bJv)E-D#PMjzai`6}Rp0Iqv=1n<>Ec{922(%CvrM zq1DG@MPy56AJ@*QY)$_4ly&FP<X5Su-pY1!ltdrbS@7BGC~L>9hmSf_A0D|?Q2ba< z=J>q_JH8(?w5X5!KVw=!G56DVr^EiPE?RSX)sO2>B!qWAlIJ`=>xSg_4;E_24s~R1 zw0^g;FsJWqp?+_2p-z8whRovR<RYgP%^Ep7Tm0D9=WMNs(22WR`SQ4tW7vNm3$Y_d z?6r<JTq!*K=379kIm6?HJneiIJ5FrPGC!m8q2T0B*Z*r|7TdZ!<POQcxTC7JgO6$B z3)hPW<-`s)82x)$==yQ$#)?HJj;odHcGR>K9Y1vPxMsO|OU;s^cBMCqr&!B4+f6Ij zz9?%W!>hH?HVsvs2Ew~z=De!0_{lA_Y-jq@XpK3={T=Q?8gfO3Kc3J2l;7iQF@H*Z zip;)+UjH}ExW;kF-e6g@>c7H`w$7=`PVIMHSARhJKi^KaMMVWCul8R(sCL^djL&W- z6PNFw=A_flPS{%SGkJ9)(d_VE!)4laca$G<A3ncdRgJqflzqPpAJ^&{Z~lc6PlBF5 z5NI}iXLBU+gPW|j)Mdr!@Bb{G9gS1nX!P!7p-#`!UDJ<m)Hh?BasK53x#kqL4+0(i zmu&7N8njMs59B**W2tdMan^?BcA0rAAGbxmeK^@T;BDK?$_Wz;K{OXT^G>D!zJG-? zo^=-qOmH@poz$*!M>ebYL+?ScEWTZaE1b?bYqM>BX0s<LAp5Md99#I2$`ywduFY=F z;@P(%d4{c}*PEk(pCzX4)>oKt{KAg9qvw9GsmmEBpIX}YMCW^i<m3fWMPc)N9t*|I zd6V4L_N+qZl*q+d$2Z+6{rOQs^7=ZfNt;D%%#O*gQceGIJUQu(udJ=uF;#`DKU%U* z&TKEe)NgI{pzrvB9iKXC4f$57rZ4L0_p<pW*}F$1SyG(i+o!J8lSS2M$DER^+~blY z8EWxZmHYn6z*F9$(`vt3>^yYUebHpioQVxSeya+OK6;kQb^6PO%FaVZHu-UJk=xSG zcx#?M(o!jzDk*W{xVOgj{0pbmyS-m|oIA8^y6?8IX>v|Kn*)#YZf28lN%?%i(S~j9 zm5tTLpKt7`dAu$Fw5RIhId6+;ny(JTtt$-AIP!T?Z)%rT<l!~dA734nPTQkqWV)oZ z`f1<U8Mn31Id(;BFN>_Y6ZVlaXHT7ByX*SmJAo5Ft~#;h)p1>}^4eDshegWEj@)rG z<oJCgmQ!xKUr&?8`tX_ttM%DFmmgG$rbwy3O5p1*n8o*Q!-=++p?%iciF$1_TdIO* z9R2XA?`7~_>9qK3hb8%DXH0JQd6#2Q`QnLy&!l!=KKTy;C%0vppHV6Zm{@o<Z-(Q8 z1f~0{o*HZp`X6C&Ecw-auH#h!e}f;+=okL)WubPY`LjX0qlB8AnxV(?SBf(ZS_bp) z<vFyl%Hv4FoDCh0mJ0=&lO62Y_SVGn$6Cz!R4IGsaRArlgEnlt8*F7BP3T(wLP5TH zTf63(#w_zQ4y)S3#hx~V@~`DFVq7j4CRxHXt->R9L+2%D&1P>0{q~L*GC9cw+&#$# z0lrDQIgajEKR%_!pFQ|So@dF0_M`e=UMEPFM?a8g_Sc*8>O^8%$%l5`0Nr-U9beD) ztPZ_#Xn~|T`+13yjgPi<dnfGOF?&(r>y)Y!uR{B+jiR^f-`N^iIQyvDit9y%PXmuj zrJ0_2EB5$6mf_z^3wNj{YN=n;mJ2pj$vggo?Q4|Lx_H&R=pDbCzD6BWEz!w4JcA|1 z?~d6_rC00XKF?UBSp55_JjdOp!nd!M<i+l2{+M-4y(Dsd%A0jZb0zx^%raCpo>$q^ zo|?x|`{(he)oV8SDm_~|_5GYnEo+4g^}Qp$9ew<%=%oDo2;pdD<r&jMrnN5oH0k6f zmAL80XZ(0A9Gz61V)MzUC)LnFw!g6Ou3^#MFOPyQR6JIdI6d#!+Ue0TY)t#(k_vN; zj#b<|`gFm?linKBCO%jyI{)gV%^OdiR-48t`RP(;YNUT$TVz-YPxa3uMoP)Er>pCn z4h}QqUFDl-cB^vd@l8$%-Oai&Q(w(Elr!hEP=9K}0SWf4G3%4;<7BQpJoV&}!nD_y zCUpC}%PMi#)7qK+vB_1o^TU&OY!kZ!-UVEl$g%kxN1v6D;;Fk_isDOsHgObBJaxB6 zSKNDs&ZDPEQ$3aM^;En6;Z-c*KlQk8!uh@G{aSnei0&-zZ+F+Q_`b<TYv<18Pgi%G z-K!h#Quwa0GN!8dearhrrr(*SPgoJMh~Ja7`{a@{HcSi*!3-Qs&eA0sot7^of?qI* zH0bXz(~xjYZp=`b(-PUG<f*w;ig5xHgTv*?tC>9)U;b1(@x%w_|E`)E(?7bi|MbfJ z@-sp~Y{|dX%@#*&D_PjYg06cDJ2pL=mp<i4u&CsQHQ#o6@W@Dg>n-emnf9!7Zt`A@ zO}Y12CBq+>ZP>JNtN6r-hJ#<aGkz#9w#td@ntYL||HI+03)9Lke~Xa$=Ded=|Mtf3 zn@+iH|F8Z^^T2-9=MBY&-v}IWzV&p<hZzwX|DP~T*}7WLPk8#lY3rWdo!~Oja_=N> zn{S^d^_r}_si|{Up83=CcFxduEFbD~rX8H%a?<>+aJQ8BA-4}dzD@YzJaNw?Z=0A@ zCH8RNN{?yw|NVm4w(qVeZ55MWu;YTeWZ{F5{*Vo~gr5F5^`@6!o=0Gl&3Z=nz1zzy z*G;e5WPO#p+h3tlAu#u!eanhd1-tF?-gxb<-u2O@JaW<BdNHL%o4xW+-CxrhoL#c( z%-5FnU-eV{=INw`tm|hCZ@!joYdU$!S4*ikvk$uKMPIakQ59);XhHSojFJo0e4m+^ zDzCC9m3k~P5efNk&vW<upT=sBsYj+O3cj<yF!5=;Crke(9o@PE-@eb>)U{#R?A%bn zrGHOPX3z;+-4q`8t>N`6%|f$hXIOMUR$J(|?9z)_KlRVgFZplUHi{R1iGSeq(MkVK z%Fith8AV<yFJE6P%MrPvo_F)x>Z391GF&8#4Z<{n6}@b(he*Epe4@x?uJrZAZwg{- zdsqB0Vtp_}{dLbF=k0EhodI9=X*G*J=8>2xmhp4*dD-{n(@tLd#(KC;T=wt%j%j<0 zZ)yM7@TR!YUUciv_fB086vFmRj!=FPAzx&nnXt~=thPDNLDh@R@R$1cQz_@gZ21Ft z)#r2E))eClY!YVrwfpEiFNb{#)`<4~**WD@LrlrH-xei*E#B%x?-rTJcEw*P^Y_jJ z%B*HDxw|I2s$FU<Yk9Zrb9$fAg5n)3=1*qbe(<R{mt6-}_R8>_rOc-SlmFjXxAI>; zXUm(j8P8&m+O6^Syk(+)-#L@%Q|;Ua+r4)8m}dVC`{1jj@gaGW&2{BcY3uE;UCVAR z@=geUR&-_FN%8-ig+BH(|2m@A!CopYF1tcJrR_p7>%k3j#@1<^n&p3{xv3O<6_VFT z*kStb<#HPlo|{fD>!s@Jj;!kZ^K6;h;U?*INA%8UF@?-4Tj`{8XIc0LpXEPxdlbve z54`=8LAh8V{QfKNwVzj|@4Xav&`Cp+Kjq~NhL_*iE0fdDs%-w!DtkPrZJ+hbd(-TA zy5puk2oyfTxa8r=CH6h%B}8-GCTQKQ`?vmo;jPcVzCDj#;(C+q>BK1l+3)I)x^hq5 zGQ-_ah<(4X)onAGl>hlB|J%P{K6UM;{tb-@8@|@O?O8i1{P@?uH>WDTn7({Z@*k(S z?q@_^<QeAoy39GwJwK;lr+@S2`47I$x}(OuF}|%(>&atjpQqg0&-yUlUBAin&gS<8 z=l2Te^W8A}^6%36^oeUDS+~0+yt&}CW<lA{)Qq!lorCS!x3;D@?SI$emQigVI&FPZ zj;mRWl5cGI(kh?EU6PX=RJ7tc*4&AjwlVU8|4EBSMV7IPZghCq=x5J-{L!zHEqg-5 zqonegTfQbwYxv23@z-_F_c85xGsB&3e|5^AQ>Z2FU7EEyTRhIaa~A_=%ofJ0OGRvx z@@gC}cm*ot{BL-quJ7#Ev#rQ0YaP!ntBn`eES$2YIc-kv10R#tBhKLllgl}$*c6M; zTzriG$d4Hr&XzT$@Bb&bt#7&_y?@WsX?ru*#4`9^lHxQ``rZ5P<K_>uzeg*zaqURI z9e;+e@<L0_<i%?{KP8+vIPcrb#ZLdj=Pr)eXqMY>CTL|q``iUy-mM9Wm*PG>KkeP> znKW_dSLI70(wEfv4!rkT=6`;tctU4fk!DDoEUVM9a?3mU56Zl@mRR%Mjd6)wIqz6s zlun4GOXf4SGp(!loc+mf=o$B5b8Vo*ENQ7F)-~1{j+dQYa=)}SdZm9bJ6QVSgs7MW z9aU2|?7Fc<Ah7p&&g|#~2kZD0OXdo#-;wl9-H^>W`qDqkqqSzg?U!h2T$mMpeZ{|^ zwQr{fPMqMiN31;A>fKzQb0M=<?w;KsxLM)wA+3G0E<AqY%NXr7{bk)ZF6PI_%h=o2 zDai$&6P3!aIK$>?_<Pe|Pv;YxMKY)S@$_z8SX1}-Zr%P9-{am2ElrIP|C+P)pIdor znP1B8{qig;!~}IKZIiczJ&bhX-+e2ywf)<H#Am|l2C|}y+zw={*tpdGq2Vt!<yi~7 z+m^XrZMpN8`QoF7IWG%^%IzoV{;T2;t^L1f)6U{onG>B7cO6Ol+a$J{>3%_`W^{gk zWAan&FFI-MtQ>0>YX%z_JDnHbkjACt_|Znme_isrcs`j(ex7E}GZLG&Cv>IDpV*ht z=$5KjQfRm?L*VVJxTQ6puIHNm&u*|hBD3hxB;&sq)`s=|&VOo@A$B?;yzom_dGw+T zcdzF+|C?=}YV%~$;r8wG3p67Vj3Xa%{jghT{A8^mOG#GY7LLjHmnFPcU9|A@l&d#I z&*waRBPsZSH`u+@Z@Yi<i*0;)3qRduJMZ?G;gYb9e7lu-j77(+ALjqfuQ$GkNchF( zVL9>29?43Ro#H#&yiAXLpZufen0-!-!>Nyp9=QMC=yO=$=Y$&Pf=s=fKf$w@`5ES< zRjVW(7TU7(-|0g?qE}p<?ddzu!ejmlg&Nay-JMr>I`pcW;+Zm{BBcx7{{6AyP1l7! ze>ImH*$$WKIp<TPwh1l#79-?v^+cHE>cfw&9%Og1n=<VxyF*y=yl1=y7sEet9P;q1 zn8!NDX@jHP744|lV)wFK#;H+0F1K{|hY9^ZbLoMJ!p#eg#dWV<PILKw?EPfR*RBn} z=BF{NN|?5y*zZ^1gE&23;WBQ9U2k?>+L-byhDUw=YlWn;c~|Uqf9&<MiBjgtYq{st zDECuu`tnFg+v#uIIAl+?eSDKI?8aN3!c^cNd*sC>&X5a#as^~RRyn+TaB1c>Z-M?3 zjmx6l1-4hG94yGw6uar;D6q?~;Q9=|gFUaeGQ@x6{?MrOn{&5Tl2`dNWBWhd9jl@i zxPFMMe$X_hG3Ge`SN}s2-+wf!C~xr*_#8ZG^5?n@sgpT3$_73^&;DWdkqi9R{~rf> z|DLfur~c^{slL+x8|7NW{{4_U7ZRYJ;&_I6k*fa&W!(kBSED7v8n%Y4SbaKaj+XXy zmW&OWmA{LnZUq;|xhFo}X>hbF^+WG}*(%-dVplphJlhoXoUPESCG*{Lt5ZL^gFY_G zOK5r%$8b`nmGSS@LmW<1*W}$S;kxrZee?c;<BP7ZjFS1fpRXZxZq7Z$uO7P!{n_u| z<A|FdET3Z__sjmp?b3q9H4C1XMlGw`Vv{Jpzxai|O~<7@HS6yD|2^lsk$8cig|&&p zyd@E5ZoOO5BvW3r$9;7L^S;$bHlIJ3{ZVnv|4mCT&C9>3n-{|+Qh4xG4bQiFW9`6y zvo)T-3Mg{_ygu&nL*YeE|2Kc%B3=99aOaA&j!l=Hn^(#4pJB_+mDYRLzxrE>QEgey z8~4yZA&K$F^|l4|$62Q*wa#U=l|0H_m8+4}bxl$-=lhKvedqeNoYHu)esxmGbn~4z zDz6`%{(iMfHfx*n^$kI<-v3>7nP<bfc(d}{<FocLZQ5ymappw7k_T1ua&8nqXk^w| z$LIWFzxkd+@3X|}SuD9F@Bf~@`oy^zTKdKZ&dx~gFfhBeaLKJziW@Vl6BMVUerNML z8|rj%*)^>gflF#HE*^UNJ2z{az4*1Yw&s&=Z8N=pNYFI4>4ok55EEJ9WlEuzFW1f9 z!G3vh)coeIPhQ`JoSByF>eo@|+RR`!!|&zlLf6vb8?v0LuUqUi3T8JfEfcwsZTDpR zNsAcGcdPb&u|8($es$#qk00^tGJD%H{@;G{@3z|6=NX2J+`J?A&Wl=b*EH|kpSk~* z|MkA`eyaV;jt~1nf2>`e`q*}f(Y2kOBF0yuH%dJB-P+_9ROA1m@z}(VTRptzKD~65 z&AWffjb*-<L#ht5wmy_y8q@oXYgVdx^n`bAy*mzlxYmF4&z8+ex+YsUoBo|>wK`#n zcYa~<OpBc<JnP!H%ilQeU|iyA7an(D_K`0&%zu_?7gS1%e}3LtEYdEnw%Nn6?^$p8 z{zI=mJnA!I$)C7p3U98BB5$W__?@(SXGCw`6aR8y>l{V%yH7&|CF*9Cp3q!x?7>s8 z<(foFz^bP!l>TM@S!JPJQPI9rZB6{X&9_b@#op|9c8zt}&L{7(L3F|FIcw(y^tydx zyt?8+s>3N4xfY2h#ed_A60Wvuoeixk)O)(r^z!cLEy9A<Mj3A&NN;Ff;m+JWmCbv` z{;cd&=ZJij#WCObZj`Hf|A-CfDa)}|Z@p(SyFyaowEcEFA91;#M){1l1KA&(m2Oq# zw^_;k#nmFVi`Qg*`|;(q{BdhJd1H3H2&`1O|1gl@bm&r+Il_DEO_sT+&H6vdW>(yX z6GsnES~F=~t)NGg@2T#u54DeX>TY-@z2onNr7cFA)qgcO_=|Y1DVgRg&bqpP`{OU! zAFcn#JpFpK)c)~0Q{C{nX9|5?%;OT5x?Nbj<<k|;U6;$0PF`fblm6pa={A|md;dpX zUQ~WaTk>d`$i90QwdGHooyEY)^0>S|NTOC=zoM)5>Ie7FX+h8Om@YFgFE77)`96<~ zScT5SV&$eM0s+5u_TFaPX#L-H<H9f5*;)Tj)cy!?691%Ky=*({t5*{&qVJu$?J_fN z)A{p9y)xFxF+{xjx6(TP%N{nBm#=GDwwV?!&HB<2^G5bW|BBnIeoyK=`NWlDlf3Ga zc7vsMEbHGF-#hKe7kEp2RacQ^ue+a-V8PY2cy)vSuU9N)T-?aNvqE+H0(Ote^=E1w zi$eG{mm98oT`V%s`A(s#tE8c7)oI>~e>-PxykFEc%Qp7JoVVKD%fB?JSU-H;aC*v( zjS-JqB*H%iCTZm~D>w@?xdb-q&v84>&ed1np4lWA8LPy-z4!c@G*^}%o7YH)&xqFh zrg$-(hd(=Q+y0_^A5NIo*L+?hbG;+|QsZR?Zcn?2Fx#M&2e^D1uIw@h*ubb(oUqV- z{^?a`U;oU@6DWVbfT`jDr@|wN&{s+gx09BIUt4yvF0W2-@2lJU=A7C2t1XYuR{7l2 z3sSrjKb&&ONuN5+F01fY%IQ^|7s{6WW3gtCkUr7*O+ex}W0+c9%Benc#rDP9CM2$W zab@-M$aNO2e}CJlFgQr5^zAL`{ds=bV(A*LHwnvY_~$9EJh9SH)^A~zq*Qb4k8DS? z73$2a4Slbcm8wTrKXh7hw^Oh8;Hy_%+A=B9Cq8R;g`BwM$1OL@V&OXfTaDsvA@h}P zGaQ;!H|d+)j4Q|1@a>p3BYvyM&d@6p9Jtor<aICF<MmZ$>(e_r&#uJzhNd+(FV5Vw zm^tX%njLF9!Y*3XeHB`rvY=#rv{=Ijy-3TBAO8NSYgUWie_0r_<cy|YvE?<X4#}FA zM=k0EnH<<({G2Ffw(CeTbC>wZ{8y*#v!47on`JoN|Ivz!*nnfQdeWLVLqB(K+*cvH z`IuUHcwcBldX@a<h;}{pzRTZl&C)w-W6s6WAd<jW`{>T47iT5zZ&Z6w^Yi3n^Rt0@ z=Mwvh&ZeK6k-O^F(U0$fmN9SatiM?Gr^tHO-|lU~?R<O%DPjMOK72P~TEc6%|I?gS zyZ@%^f5<xLJUB0%a_Z0#u0~doN==uPUpEY<9*nuB$Cwc~l`U(Ij@ZmrsTI~gZ=a48 znxppN@3#XpwpjZ&eAt<B`1dJB=Z?>Y&*sf?@AuzOYk#3vD(Cv-sdEoR@MjAxT9dJh zC%)paLbz2di--BT52qBuui0)p%Q4gDZ6=?Kd_}eYZR3+u&Z^ITp;BX2JZlc?0_{ED z)V~%he3nX_!NU2>IoGN{iFM!2daH?=yzl*b%pXbhEwKLnE=-fHberT}@#(2=?P`)& zw5^bxG>hv+o2F!&u<&*srL+tO<qs}1J+0I>SM^xWYgqB}0dsbVpzX1X3KE|ae=z&# z{90ygf8yhYK-aYMA?-$qC+s5vPt<6hF}GHBnxFPgJG3Rpk^MC1T=g$5nKQq?={oOq zJV^8N{K$`yCo5*D?%VuIZff`Ph<DcBQ+#%EU0IYF7i(ivf6D3p2ceS^Z84h1LO(2? zG%+-M&D^BEhhdwV+ploEZS3^X(%C)ZxQ%$Xsd{#=-b(J*r&c>&+_vpR72gT1Pr@rd zwXvjK`R7}auvJ8O_L->)R;<07`X0VX6#VhxBE#ziaXXjB8@acgU0QNP_|}xW#`nLO zU;XWqr)TU~{p*1<&%w7x+Ky%M?<-)uEur>qTVQs<-=Yt5W%`W;OH;3WmN^nD8)_%? z{^#F~-=ab{y_;|T&ieb@$0eom8=jTkH(YY*+y4ifYx_dJXD4008F^1|&y#{_8vFn8 zNMw7rem*t%*}^1?g+8JSKJC15zhtx3#TgMNW{5biI&2np?U1&uvP9EW)41I{<_R~$ zW78_8{g1hvzx&w5^S5ueDZdX1X4m#^4}QL#`Bvn`NtgM!il_OmT`Io*RO<ahqObZZ zA3mBEab?BF*Ba%=x7iBKeRofTcZNj%v+Z2F1z$yK>&mgL_`tq%ak|k-hO5~|(KQQa z{dl@;+V;++V$a=9eZT7ZUw7}tGqa6@b{x62)O)%2x5es)CXOYi`SYVgw%@xf^L_o* z_JyJslDwI@Kg3?Te#$zsWdh^>l}!__eXyLhah_f1s^;JiEl+OV4>T23@)k`q{*jPm zFVWdO>1MX-bgAd*ViL{sZwQ&lEL+Xu+cmfF@a{XJO<RtBK3D&e$J_6D3lG=vtt&KF zhAu0WxYCfeYGqz`=#99h$LEizKbpbnYtrPYv9=`J;5tXz)QFS1)djm*4u^MgcPV?D zWu#Azh*~-=W1XxIS3PUg)5Idq`Su($yYsnKE*$Zh8_XD%;WK%rLY-(N(}B-I-|FoS z$#0(Hl&8s*lbCw?>CzATeoVLDd~u5bi)sb)neg&82QU0t*~qm+v*^rm5n~h1#|~BV zPsp`T+ZHPR`uN75A0{S>1-7Yrr_9w}xq-{C)!L|v)#q-Wn@C9-v)Y}`GjEv=@fp8; zWU%9cTTSGqZ{pmmUaM}}_w(xB8?VI=nI}F?%GSD+A$8?<$F``yTE+$oz0SX8dtSY3 zSzgN0hWYX;mdD?*2VUYnAAf7=BDYrY1Cb>=^iP!?o>_W(@4deof(ePmDpy;AovJ)O zEm_}I+~=odKk@hWs&Ea4&8Ks(Jd|)M+O|-+ROna0`xpGKM_oQPrwPe>eHU7DWy(39 zN%2QiuKH~gKk~@?=*`=l6ZrOVoE3~o{!-8+99<bW^UKbz-nwl^PKqr0m3n9O#EMsC zX95okIkipuKjqB?QEis?lkdFNZ9gLxVVLvrp@F2vw|)A?M)UN-rC)57c6?eCuRnc9 zPFAnl65DMjo*JqfuKdH-Zg=j^emQT>uS?H(?oFL_Gd0Rt@lZ08V89{4SAo0CZe70p zu2k>N!%x4?KM^a|P*hqF`ul?F!fBi<fA<A6&-T9K`o8m>#i^rFGvdt+lUK8}-u@fz zFg^6rf_3VfSk6r-VlDp@m{MX<?_iMIt#LGT;YG%pN9xlo=6I~%V!vtg{Hd$vKmKy+ zZvEU2*<(&~4kWS3Z{bS~k&M3j@crg2k?=(Y3!^4_g)?dIu}$9Gvut5rBVWJeF8jzp z+xT^tnI+8)zin~uyx25dftkDX*u?(=8P@B#tE+WYuYU7&SadGNsb0e-hMoOCtNCu` zRI%d;1`0xIJ&bxvWo$>IT(?~=e%{V@cv+9S=7W_%PG`GzJ8Nx{5e>18jZHXOyQO<s zesy8(m-dri&diT%f8lmPZTsHh{r5ICS<A@oEAM%wR650MyLtNVC3&w6cd)3m%dcC^ zX{=B`!RF@1&B_`5TX`2tPMmq*{-oP!Jq%3Cr7Dg+z1r%ll#;${Vv*W5pEoa0$qRRX zOLo2?ICZs7#Wc<^{?(3OR%$)7XzyC}YTw89E4L=@yU@dKuqI-D+XBmv3p-EE{xegC zU5zs;eC`%0jb%6Vo~6xP6nD<fozr^JIUQ@AzJrBLo%J0j-s&%Sw(%O%H^$W2VZ}4q zWa{!=CD~P{X7ll{%6qTmC)t_3r|YwF#lGr4RUw>{Gs52|GI7eTVoZOzRcKxMbD^ZD zv-%HK2)^HSt$R=9ZJ{l<*35~w(|n~dwe!)wZR>XJ@M&3fE6_{*7yrcl2RhY0Zriri zVExuFjpxOt%kAIDS{hw^)-!}X?8l5rp^LqA<}8X7%@*7KrjX;g&c?7qTZ02+_e>Kg z^{o<aJ$mS>ZCvJtW{=a7ZjvkHCM#`uVR-aMLff_zZw2litk8>^d?sq4n3HsU0DImN zwL4swkL{89l_wp(S;n=|{H;EZ*}f?{{Zc94Vu~9AnC-p8-&QyM(4E{g<8r}HuC#CS z`Cfkz-gv;_<#p5Mjl0UYU*CReDWbnY`9xN=zUt0zzs@`hNE8yBp}H&5Tyc%&8wWl6 zWlb@4K1&M<*5&(WPt()<eZ?^S=_hG!`@D?mop*jzO}raay0+5xT=%8o+U_5D>E-QS zN$<JMr}^ECTQz@EY;bLKjBQD9#T$!Y)*HS1H-A<7DmV4em)$S;F8&L<Y0lj>`L|^8 zI_~J6!sy@9Awt#4S7K65)vbNB<m{usjjjC)%{}@rZs;kp4{fw+mE~&P>h5{YHDz^} z|1GzLN^fqylwe9uTu~Lw{USI^!2JBKAJ5zKTdX#H?)6@I<6qMy#XNyT52gtx=KN=W zF|nY@_oRJc(!p;m1zAdEQ^RBr^Zz`SXJC7`XVLKr{m@YJ9ZoYsuSVJY|2C1~Hdj&4 z#P(3p&6+E&9FYp|zMyHmPE284`HFz>*?Z4TO$fK}m@Ay4QB~~y`$70QbG_()#t+}H z#dK^x8Gh_$Snl-4OiE%cN4s}U=)P9G#`}Ne23`3yu?KH;*XX(2YFhm|=Hl@up4-11 zjgLENpZ>ya!QwXFN)??`n-kp?ufIDSF@5d7PhPSA@9KYi8hy@OZ}+y3XSSYvzC2gU zW#@ag$D5wsuhU#@zjH$^W3Tu7v*993kM!OvFi-kB{ZEYal=WW{4v9pE-Eez<^PhE9 z=KrE!-A=!*zln25nOt^;SL&WY)vLBU3jgl6SQ@=?OjEt~e`fv6zwQ4S|ENFuTWnZ& zDMg5Nqt&#e>%14vFMD3`;ajtrs!RTH{gl85PkN6Yyu#pfw=(*Vs=v&CW=~$x1ur+- ztlKU%TZ~60HO~F!t#!qf3RUO7Sm}TAO0D~GDz+|R#v%Pf+@T#y9vEG{c{TZ^$ib^$ zrHoY97N#t#aZzhv+Vs@qsKKL24*W-yw0=FjsI;L?@!YJfv-AAAx_8h2SYGx0huf8m zx1L$<4>dkl`tQ2x5O!;tU*oQ>DiQ8^eWi_|`!laibZf{txROKE^k|M}m5h*Ui}P|3 z59RE2H*PGNevh;NrsUm~KfF&!2Y86Rm-Icd;^Z@dhThXIKAi3Q&Kycuq3EKbr`aj> zqkQ>`GbK*1XF42^Qk?mI*9@(2fxF*UC2aeWtX10(c{J>`$y3dV_Npxo`#p*m23=o& zVQTZ+TYr3%CwIyGUGeHj(bUG%DJ+^PAGA*Xn5r4KPH$UR<h0-;4z4f1g)Dq>aCwsD zZLXd_T}j)e?Dh&MB^{Gk)3lrAWzWUH<)2+l_M8#RcVT&W$^D`5f&_M>4O~+WO4drv zX)NaNJ)sx0^U|aSF;xMZh3hgC?e8#~eVP2Is8#MpJJ*+K<qz!Ax!UtLp1FBK{G#Z^ zHl-)rcc(MX+SFu{H_0;nkYe+pH`S^))84)O-e2-2ZmqM!y?=*nWt_8l9GCogwK2E+ zPt%sY=B=T(R~<Jrm{2HPGiy`b1FikevL#m^xwovHH(fj@)ONzm#x>CwUwZy%5L~@@ z4hM7bKaTXGXye{kwQbs;Zyxe7HwyiIro{f)<!AQw^IteC9Guf8vR~j$c*bYeuDX^b z|1_6O+cW=lHR~b2qRO{YS7#qC%vG7b`E_;28JlGu&%8^2d0n)$+4cL?!nemRTQb@n zOD<Vto4klAEqmD^*T2V#8cg;kD@X|6PwsGee{t8UOB}B4*>hy(yVlqXPnfye<^L1u zG#$3H8b?$i1Buk5qV^xxa|W!J^kTfEtSSi2le{32f`a5w9LGuLt6&MQAJ)ui}e zJMgLP%CFSPCv4ZSJmgNRyi-`h_b(^GZ^mN3^@X{7ruWyB2E}~U3eNAmuV!bvuF7=t z=PZZt>#lij-?CGVOWWq%b6>vUpSH+uhqoP0p5E$?)2{W;s1RY&S$$gj$Ia|7x=*KW znZc3p%0$#fPBAJYcUg`6tl7H!P7e8f%MLCwNE4g2L}OBs@0QM^_nOLggqN=ucoxH$ zba3U0clsfPrA?m?UWk$Q{FfTuwBg&Xm{rR+KZ)Gg{Kz*{<-<hTG_P6x27OamPjSe; zDG!}*ZoW>6<<r|L)8nh^55#}UpI9IA=sTzKn%e*Gj#uo@I>tA_v@Gw{-n8}$yWc%A z_b6WfveWsNY+U~D$u|{_t_uI*c)j#?OYSvCp)XQWtDSo1tQIkdcY3z@h}NblaVsZo zUHnSwdWCqfqx2Eh$UwE(O-wUVb*xLOGX<{BG^vW+|B+)^!u9v9`8L02#0qVdexYA3 zb<!Zt*KLW>EZLGPOX_zUm$b>Bt;#iJKK3;sy4^FL`+w`E9TU3_epBCms#VDJ+5A)N zX`4=d_bkX1P`oo`-^=ECFL!KNs^$92CH>`8t-TlahrDR-^WuEzld$yY1g~e?r*|ZY z*M4E|c-Z!3t)lP8xkr+^w2f{)n&=hu&^7RErk~a2IB6Nj<!o~#g%^HYb4q?*##~n+ zHGPhNyTRcpKM&rnH!5Sj6q(+bqp|XtQCH*rlb&yCeD?o;plZ0F;qW^x5w*rSzoRy< ze;I1*#yG2}bgSjvBi==PO=>+k#rfs89>sJvoITL_@zB(){!4iZr*ey{7IgodTl%g^ zdsphMwn!$0C)d)WL>ZcjnQl0+-3gNL=?-8H4C#4#q1008#B?qNpN2<CbG&bu#Z-8z z9?IG3V{%}%i-AHzUt)<0=Z2L6$67>nl@@$X$m5^)fIq}#rGUcUu-E)cRTfV>yHt9i z^O4fj*M$Kl6LWoz3rw?(y<KRc)EDZ|=WcDgLn^e#+J&3-CWow5iG*#!1C1<$8$ul4 zuW`3jyYBkdt#s&AN%qRU&YSFZZb~!W*xYZx@Kb8_*_u@=lC)NQSbOJE*S@elLRzb~ zaD}9#YKQLhIuPR%rnsht)y-3NzR0GN3Nw#*9qQZiNN$DP%Nr-#&3GqmI(pIU^uuNE zOxRj3KP+5oKlk?+hg&!AS~#1!YkpjMeMiM#?c=NWPdB~)>+pd*6?Lw}rhhB+_~v++ zeLus`>vsJ`DwET!Pis#7(A9d+QnuAv#NGRW_V1PiuJDb~k&BDZY}wZq`smpW#y`Pp zwk*-SDrI<d)oh`7-8nlPY^L#V4ZUmn?YctV=fij8V&W`M|NQ>cB~;g-=V*Jn3a_gf zmx=!LhY@p*Uv69CG57TOn^t#Z0)0a{6EfMKd7i78wC945YR<H8$0I&}aevsd)_T3g zJGM!ar=@ZH+_-9PWTfA>$Q_deWK-_NoiDsGQLQq6n~#rp?q&W2krQ{qin?xkd)Zu2 zlX%s1JFL;Z{=pgj!oTa>yQ0hMFC{Qt<qz;?W)WdvVBla-h>eQ6VQm+8Hkgs&UpE^A zKLaO2Vrfcden3%vvR+DRSzd8y2rC2g-uL^`d-k%=kaaJ+uia4TF`>R8;ojAt$ZeO_ zUZ{P)Rps>L2HC;}#xyn+jhk}X7cSje{b1_6oW0-w^vp4ySbWYheS%9Li-QW23){qw zIl`UcSItYm+J@TR-+ub`^Z(YdwX1fm{xxS`^FGnDv&`q0FLGV=_<Y^J&HMkyzYnkZ zq;LOwwf{Z+|K0n4-S)5h{`XV;kK^@!kDmYcEdT${+Ib0|{$85@|Ign8f0lo*|9H~A z_EUHL&nN5a{~!0Qe>uJG<<m*xTJ`@<-mm@i{eRE@A4w-SFO6FrRuR})wb-}4@5kHe zUh}lSuCl(uTy^?-^!m)>pZbLpZf#uDm3iF0a^aJiL0doHR#iPeJ?)!scFg64arw)? z+>?E!rTsxV>%CF!3~`Zb(o+AY9ZEfz7<V=LVB)My_u3gPWoP7`-Cuop%F4O-gW5Gu zznOi-qFN&U{pE$B*;_81WjX%4RCVg>$F}SLd};gkdpp;3_T$yA`K-sQBTXaYqN0Cq zboqSUEACv5*_5pB^6hW>Ot)4nPne$h@3dm{=W_0{ozLt!Z_e5uzCqih-aaM!pR(-c zcfaIc%-9_J|8bhC{?zbScA2lsKV55o`n1B0*L&xy$Fq0w&(y2hb@mnis$17W!@{@z z6<8{j`P=!=ygXCuq)$^PiGM9K2;0A!UHQf@)4P9;hvu$+6}^3}!{j|n`M<5{TIbaN zqIi|;-i5b+nrB__N^tT15@xVSKhyng@BQ$Hp(|wX1sH~;{9*NqTe%|Z>Z(->SLGHQ znYAWhvhcs;&y&(56~*J&Yxm^biIG<gs1G&0r6oCM`Lu&+1zvICdqTbPw^r<ZvQ?`z zFeK#a)M=sNW-s_c-Azp0R)i`CUJdKyotk^`SMQ`}p}DWMvv0SQX9q8NCHwly!c|QH zxAsK^U0UW_Ios=AK-5RYf6ZLWm#tdM_vri-f8Pg}<GN*ET`l}@`OLCs_3L&mt6t~t z?{0dl)vtcVv30STtp7r`uC*&J+FO(r9zD}i`-kY(>fO6eC44t3eRTV3=vLkJD|D`x z?X=>4YZVgyn0fDM_pPGxta+lZ9&9cBTD3W-aaYvcHSba`?^(+H+qYD#q+L48Zq1st zYgessnR;uZ-R0H&q3ewvZV9%&`aJocN9*UnOrsnB4kuWP=KQyQ`af{#z0dt|+L|Zz zY~I#=`(AP3$<9e?oO@RMJN@C(XH{Qs$yqP>`<DH3ejxc*@#C6*A3n^9%iZ(shiLAv zin#g^#ijOEk3#plY5Wl0E3x8a^Oj4eS3P)by3luL!jJN(7aiNbtO@M1zgDbZ{8uPr z;W5kX7Y_F|XB<2eRy{5JQTSJP(U!agt8`~&erEnN%WSp%f!9@aq4gJ5`7B(Owkmh; z+GA{cXYE;~7IaO^`Mug%?>NpgyME0odwYf9Yv!ccOL^*N-G1`Jfqll@(<{Q9ryEP1 z`VhNKFg0EJ<?Sa^AN+VF!TBY75~qW7>Wg>>9%inNsfh`Ug*;jcacu`#RScFixJcUR zDs(PnJ$!gW)5GKn;k}ze>K8o9UAet!^MoshLnk|AemEH*R#P;EeLj<DRfzQ?-@hLV z3zAR9y{%uNC%kpTYggB~d%u5K6ciO0INM?N9X03MchuZ{^MV(|<^{8THn{O6VD{}V zf#N!muVk*hzIN5=f}`x-MO;C%LplEhemMCd`qug`)u!FcZYBKipUK<zf6h&Tj1xH? zf2N;lYv0fD?b(mF4}u@`H~UMT`Pik!FV8yP&WdfmXN^qFvJdG8)1~Uywb^aYcr;D! za#w=NUUAWIWy?jL8x9%-ZA`!F#x!|TG|%MC(K5lCqM3p>M+;rv6fL(bUFxC4)SChl zb8k+Nn0)htf!XGV$uVilogZiRim0WSU78`WB_jHxVVcRskM$v)`>!<L6wF`IALjXI z(?5@gwNKYypZh!Okon3W?}OZ`zE?f&XEaTk?woCs_nOu5>+h{%s%Lv#CCaZT&R?5# zJAf<2?9_!nPbWQJoqfta;Bw-!Wd{ukOB<x_TzR|V;HoR~JZrzOyJ!DZ{1E*2;X~2C z4<ECNZlAYZfB%fg=J&4@^TU^~@82K9o)I1%czK)9<}Zw2UUl#1JK`mKK(zGd{u$A2 zA3JAlwsbzYx@uPXw4^`brGCb<xneHAPN@E~%xYcK?2qQEs^?ewv#xu+;I)v(MR}h8 zarFg%I{yj$;QzH)Z2!V(bANg5U84SB`_J6A`71p(-RAtWD8b-Q@WBT^o;N9es2Anw ztk;x~`<H(3!^izxZbJW~41#{_=XR6&?{6p7_kS9@P2>OV2?l@OHy!-=UzDfu|7D$g zkCIE=0oOiVuuS>+LTJ;Z7gC#oUWjeF^x~e1{Nw}m=Y=Oa^f%4ll~yJ8N2PAw{cj)2 zf9A^9&%f{YNdD7p%|FR?*1i8H=9)%KO@H1esB@(FORi$N>IRb>BOUJ9GN%tOJJ7X3 zbE8pCRfMQn?Haz>o@+Q~Yp&s)ow<hl=m|q}maCo7@@A4|Exvu{4=p?JJTdrD_lB2= z$v3`i)XY)aXqmHYgKEyQjiw@t59|>LQ7?+Vk#a-M%(AS-w{dP_a8me<FB`0Le%%l@ zoBYElXW0hxoMjvJMHV0VBQS;Y4gYMO_*UOO=EUF!2{)!#h?v>R@XfaCYdNd3k8if7 z4Dakr8SbMm5*<0JIBSH>B>UQZ`-BsNAEexvVj*f~JBM$!-MN;tD(BkHikxdbYqF2` z=!@h;j$fQ}_-FHoA5uGhbc1Gr=9M6!Zl^G=Zs#y5?-HTK!X>E>H|^Ve^?KODdHd$q zE(y9)FI-df=k|l(2jxxvo%ea|Z2!c4c**{?|5W=&_JiqMoLduC-&PDx3wXD3>$-R8 z|NnUY-T&{gdWB>9+FdQRVbeuTQ(xVx@w=<rwAQS`ZhK_A_?e`~lCG~-tv(gnw&K0s z!8B=;Q1gp1mvilZrmx9dm1nT@!+idrH_yMYpI>sGN&f|Zr})?Q_UR##6KlB^e6HFO z)|<6^q3x}e70vBTysyH3?!I-`y|YWL!sdd&ovi<1yIGd)>MhD$VP%l9+-v)6%}nOs z`(Mg(w_hwzoqFnjt5oZPCEi)PZEwBq@2iM(jTd|JGgW7Y=k$*XKlOwwLhC*DEVH+9 zkX8L%pqLRhJ?d82#n)E)71tIl{$Km{-Qt~GN)@jrbp8{5JE_}lVQ<Wr`c=1iUH=>J zO@3%#l^QzrSp2Kv6^r?``oFEXEWb)9^x|~(qwbc6U;k=ey!4-dqgRNY@y>Pa+e_Zc zb;XHKx)pZu`s$1(b#bd-_b<P*yLZjhOYztLRk1}ExkF#J$)&QKeR<02i^bxFZ2wn2 zSo)Z6&%Zqnmda;otp6YL;ZnaT(|UXDEACd){|DYk`B8Y|$&aTSG(Su}B={v+u(YM^ zkYLGINtuU_o2J)UEZXz0yG~|e;1$Js>y(w6lAEp^;fc(udewMx?n(YH67A=n)L&1I z>@5FsvB*D?=|j8KvOANfwauS)EzH1XwRMn%{nM)ZT7Rx`&n)^7`*&s0k?&5W5A0th zKYw-L|H8wkrZ?_)Si<#3y>^$e>jV4eW|b2rvCiJb@n`xptrdqZ&sFGR^)=!Z)jIfp z<zb$AKUw(dr*tlNOINTeKAkuHVf^O?*3Rg%-8vq3jM(^#w!SHo{8uj5p%4m^{NM8G zpx?u)4aGa;-Yg1!_Tb_T`PaO%bNn(--)8?hP3zaa>&^43|B3KaG5LOqdgx*!Jf&%A zmU`-o<&2^>8t1fG>U`@x{_Ohq;e+(6lJxnZtjQO>uGh@e{JOBqzM^F5@+<Ng<?{Pi zy6IJPOr052zc{uixNGkmeT&^@Cv%Ob#y{IuIU)90);YPKxsoZ9cdptTUU%F5{erNd z#m#oR@-Fb7ZPHj>s=Jh{d!KKKP3w!(B~wCwWcRKvnJAxQ5PJW0SxKyw!tSFXiSut> z3aw4^UeRT@SvAyh{>@Jzn(`)xLM7#MI6@DxO9xGTf3R)3u0d$4mC@#jXT&;Jxt$g1 zT;(CV`2B*PTBS~x&AkNbE{Gqo-<x^O-Tw2TOLP3+-Hd;*dC|&Una|}Jk`m9YRIHF$ zs^#!^PF_-QZ1ijHmA(OGYDG&mgO_HmW0RY|zES;2_5+{NRoM?>T6I2)Zd$JOWP#v1 zt|tl3D}Gtrn6UHHjo{GS4G-B_(*l@ZI~lBKOiwqvq_z3F`YKzyQ&SHWGcS7g_cPNa z!Tn49a-O|Rz2L2H@Hu-!2)FA85#tTF*jPXHESt$x*|TqZJNFY$-}}0i%Ab}PTbCX) zuigFR>j~!b3r^1TojrZer0Q3a8o{&0u3n!!``?NuYZonFcyrltR`*^z5#Cv|*3SO5 zVAH19)ZBM9zL`-PXP&=q+Rv6ed(|V0&ofMJWG}UQe{l1q19L<lJZQ{J@V|Ul??&!M zmDdw)*GC4M-Z)sg)vDmEO(^e$Ps>&<I2YsBU7|4a`N|oK`){mM5`S!Cs&@9%`7q}9 z_L?WJ{`LO4=B(Ji(_g*{iTpeL^>Ei4<{M|Ou2Og0_b2|Mi|+M>>6>P9lmy*c=lpKP z=jH~Z^DJRzfy~qEL!>M8uZj27scl%*`6}<(!CZ!EEdFd+7X#P!{cPWHvv{%fr1~k+ z71viNhL?9Ovs%0MO0P;t;0*H_TAZJcuAgO*?JTA((sJ-|(^p0B6-*lvJVXDB>iAEs zXFVN$HU6O2sz>V+mWI3y-*9Qwm(%RWLLW|dU-jqA_1c5Y&AE!*tF6{2aE3k;)mgb} zPt((tO6wDTt~#b2;Tif!bj_4iAGpGzL@RzCa9OyATTbo`f8?$?r>(t0&phSzpITIT zIJ`CHp2sR9z5FF1g44|}t!g>FEp~DK19xY!VAhz0hZ56H9y>Fscc!YevA0>;w45_K zH)lj{GTfY!43UsFon_VjP|A1ik%Hc3=N>KHpc(R3)JSz7_iVX!Exz*Mhtq_vA4=o8 zemG6a+{uvZ4v%B0Req~)+wH{3kNs|l?2tBFx=P4-S^MwA%g38H%sg^<!^}gAH_SZt zIG{(!-zh-tL+y=}ABs6eHoUW$=6(s9Zmeq6?#my0Xj%WdMB~o9MB~<XiN?KhzoyMI zwf(~5sNWcuIC)|DjVCXJ%~*f6`F7SNUUvS!;bpSJ4WFch8$O8vH++%{G~DE!oi6x4 zxV+({fk{pg5BF@Q!_K;@hDs?*4UAHj8hYKI_lkS__Rxd3ZmWIvNG!RK^)Jpm{Qj<2 zU)N^$?h8(RXWJdhte?K$m%C*?d)bHHsD%G_KOel@QXjC>>BG|~g@1dCR{gsfKdt`L z=lSgYqH*VK!y49UG)>}IueCO`RjX<qzuB!&w);1>ty-~`XW!%8(7<rX8t(PN>?YyT zS8wff$$w$`eCipt>;1>SwsFjh4SDVTW#^f;c5!2AyIC{*wd1N+KCu+dTCFngWZu#R z!8@gDHU8dRdvAq1x9ZjZZ=TP8Fx|^*-rpDL`+u+)Tbov{Ug8>=n>m|puGQ+>bN;RH zTaoGe(&uc()L$a6IZcmy9JrFB%oy&?%GoljNo7KoQIKQd)^!290=RjWdT+fHuxt4= z8L#hGZ#lp8+{zJH<+avr%Dk}CLJP}1R)n@*ni*x-(fDEk+iq*#kgG1t>%z2zIJSCB zbkI)=35Z!MF-7mnA*Yu?Ywf4JTbbH*DfFY;E6=SjK&JFgSr>j`L)^E(wf_RbzX>OA zD7FgT=~kieX_p_b$AZl)LIqRxCpfG))5sF^R3IcEW~t2-y^wFtFN2nfPgy6d;&pwe z(9+jiIxm&7xxTcTsZ>&$I$x!9*(RkVg)dWUJK21e>Zm->s#I(Y$mHQ%;+3&t!H!e5 znz1WXdM_<Kbxq@LaHjOq-&*yHzbrZRM8kGzkd>GJ>N|c@-5cY+6<S|BVEB0Iga_J* zC$;wneOm0Gp}#g*H7L@z_JUjD$w<k^2MxYWjo1CT@w9T|`o}RL=j5IrDzrG~`XFOY z_mPt`_zHP)o_!OL5O}P3(f-(B=lv4=^1?|<zDY$r?p(piHkW3kebhK}RzJx`Vp~+3 ztx5dzqdN`G^cO#j5O}V%!Qh;0QlU?~McL5`4xO%#6C%VOKTJ&PY449Tl=D2(_t7KK zug6`gSXu6?>D!)emByupay*Zf4#z#2c;JYIc$;UTo=otg7?x$ncY3_(eJODKlLUXG zsG*$D>LeSRHGLmH7-}6;?ld%%)9mT{=#jkV=;94rZ@NDQbWTjY;k^35OAGNf<3c@| z*^gsbt{vOyv8Ml}!0|>2{>ElQIidC>8ylI<j~_m!_P7fjPqGQJkb6Aw*vjy_pig=C zoJBVuQ=N3+@$;BD4-Xc<pS~ykIp>$^doK@sxNw*0xbpVq1H#*zk9<Gpw_?%LO7ZaW zI`!!iFP_M;S?=sV=r`|3fV%&~V0Hgwj+5M*|F9R;a24+nuH<tz-n0F-W9Ym*_P%p@ z?2XHxc=DZnqj%1)=uUZA(1O*)^_}nh-YsmO{QJerC##xDKZ*RZs+6jocYf*5r&r}w zq=arvKL4A!F>$*l#~TyYPT9o9m1f&!GaWVy7PyhbC6pbP8TZM{ZAG}~)~u9Zm&6+q zfh>0Y8bb3WyZF+aT@oY216llBL^|_7F>ce!JstAXcvqyyhbb49-|Y9kca9@;ZB~Sq zO|{UBpOfYq-KqXK-TU6gi!96bKRLi-95W+%4>xyDk;}4m-^JgCJeb(*Wm6Ww?=N%Z z_;<cpF5>QIRuvQmgl?H|I50l+=jBz`?0plqa2xk5EQqXoFL|Z&Ok(J=fW4>u_OXar zX&hGC^in5Oauc_)Pg!DLt)9+?`+}0klh{QiUM~>hmbr4cfc>lCA;-xQFBfR`6bDSb zwC~5_N!xj%?k-!lZr>)~-M{)TzCZfi>z+>A+AsDuUjBZxTQ$FBX4JVWQ<v{=vvW}> zb?7bIKckvsva4w5(qH{9eG{w>Zxk=~pUY$0I8T3K!$NUhi*uKKIb#+y9&web*x}-K zdgJ6PtYs5+t*Y_3d)O-I`$y)L>KEUtdigK3Ub+0@;t2iA=?{wve;?c*xGT^`Aj&@> z?_)ytfej68GTfO88#>s8oHHLR<iE+?H#<e2C2!)cBe_A}3)-*L`Y86#KB5!kpJ=vn zx#9Dr<z7*<s;o<^ZmAlaaFSpXUZQg&y`$BjCaUDmtf0TgJ)Gkfl$7>}FJhK^!MSDu z<KZHy0-eQ;2ZE$LbQU(YA5GaJ)9ewOps>=LN1>Geujk$49zp&|rYo0k=(=(_B67|2 zmzy_qT#;NR#@}*H_{YCWA%i~-%zevE1YWqvJ3rFf+ulAeWlPpMTmP3#<{q)SYnQxR zD1CEZ|GFjfjxcYUEAnn)mDKn7{pTi0{Vfm6`uC-(QH^IWXOP&N%g3E0e(n2^=K0y+ z<eh-?F2}cSTDvPfY}?UC)4LmXC2MW?^3>c*fbHPf?>SqfUmc6SyfC+x>A6nJ!ylg~ z?k?(6DR|1to%BQR)=`~DJ8~>19Vi!5Dm?0B6SGx7-d|TxW#e(DAc-$ZzL$1L*&1g3 z$j&bO+3Fz`5`A;mo=qk{^nXor(Oc%A=e&QCht|rk3fx>pbN{JMES_~RRU%+ZeC*y$ zUdHkg5nJLHOivWp8lU<)VgIRX5<YLkZ|>r8_ENfj!F}q|SC3Rf+7`B)GVBRpZF4{V zbn&T8{aV5jj<0x>J?AEitmN)dEA2eD<lV!>FK*&5?Bpg^3H2}D?lX09T6*A@Q>TR5 zHeXyhNtx4Tqhj0fY2Pf)MRXj<<eq4eswn3d)zL33Ht9$ux93B4ZqLWRU+4s7Po3r3 zAIA~D;1Iu8Z;s`v|6vDKXeiHk_<MR0e~!i+hkhaP^cOFm$n2dHvtavV@0wRn<eo`h zHa=uoSMW9N!AfrLk|NW04;!=d<zr*2)h}Lc4Sw<LP-aQ_13u0(<%yx17H*;TTCp#m z@T{IQCwc2+?lpx)om<y^2(^8DXw|C1#LlC7ZxTDXe@DDJ<aws_p~$q|1yb4?b82%I zJo^+Po+jbln<b}iaQ39+GHc7@JnG^zUOo}pJm=2gobc}!$98TwP$52T#)Ct0YP&Y{ zd+N<Ok|OSx*u}lv@L1#3n0b#6@tCg=wdohW@#G0l^_)46z178UynZ6|dqt?xaZ9t^ z8xDwxPrLEzklePN8~Wes%{js+?w7cnd-=xKOAE?Y7rs!uzfb%S|IbebD~!Ln&HSge zbjzYmt8z8J?N3Qg{h?KLKxg&KfcP%`#gR@NW(G0U$9CmQmfilY8~i)2UQH_a-NF=8 zK9QrdlVvxwC8Qn{KAokraT8xemd)&-HH+4)ic5ED?p05ddZC`5bvGt#i#vnFH0hHW zj4B%rI!V}V7U(;#>+*n`d*Y1@MY*!ej>T&hG#>Dh@>sK|vHhyahmAAXJl8CB<a(Vk z;aHW>iqf}Ayb^j7lNVjz6fJhB;I@;+yNHehvD_1Pq$|q3yX?67)Eu@|2Fn{)oz7fv zsz~a`4!35Nb(a-dxlKGCrx|K+KRd-KWgw#^H<>}D;F6PsUnIxzOm0DoWJRHL-6MxB ztup!!n+RAqF?X)deDF|%w?<~MqtN{e3ayV#Jd$UAG*M)~67zG~9p|%CKR=oAV&|pr zsk01tHVgDU6jL%d<fP&j)zKb!?DJNehY}9VjddmyUidTfGiN?X7T}#E;nOVAc0r-n zKc3G%nZx13*@juVi*q{?dstU)zInAxLT<Xq;!S5Z&bu*T)gxVx|2KPh4Q+IH-TD$- zT)M>0)%+5J!51^RkD?Atb9GuC?)&Jl*ui3(RKGm8OKPo{(#D%kHaSLepPzBM>+dpW zvdvVu*vuxk>+%72bEy?t^Vu{@7CK7J^;~f7iQA^;S2lHyeVuX4VUZk%y|@EkwzhKU zY!~QE6?>GZDZ9mMT86?0NnRDR3kMEYNv+UnW7Fzh=*X3wG2z&&qyVuqQ&mk8BEoId z7(E^=Xf=@8Akdd9rnKR{Q^?a)MY(U69E)q5nH!T$Cd^2wnLCyJRh{SihmW(RR0><z zG-Z}KO0|0|cy?;KNx)S-OSP(XJ=+D^E{Yv8JmtjV7S(aYfcv9CE8nbSb6%FRiw;TZ zyf$wZG_GpRoNy%E#3Rv&_tK1nnwO#t529^Nqtk8)9M<6uN-y5VYj{R6Ao5v6ac)QA zKGv1Vx*HVRTF<+8T{`vYQ`yq3p<m_IH=H;nkrp9&yhK}gMp~*+@Y*wnIkZ0;9y>Kj z;&;stZnm>c>7tJpiRXO#eL1V7Ygt|7CC0hko-_YtZIz1ES;8zQdB0p!$cA_3kD4bB zokMTiuhm(9{_3B*acYIJV&(mEy8q|CU6Qf>)BT(0y5ksI-{%~cx^LDx!RvcYM{<b? z^Qro|yDvDgEQ{zklEVGb;Lasgd12k(DuxH0f+X@4Gkc8pb#Qgay$;lG`TxxKbnE)! z)fXS9TEA_Wckzz(=iGeLs*4MltM<!OzR9TJkLg|LcaJf-dtJ{N@uOE~BrD1qe1Ce! z{_cs1AIeW${gtZoxr<M_>}A7G*WUDf>FbW=aKCJ54VnFO{esmSPn@();JjLtnq7K^ zRqPVu=0%U^%?|v#$Y1^S>sMP>TH71%nw<2sYSY;TjEBEuFhou`V8NaEB3V)9_##%{ zwgR8U{rUz|*@S{K9wZv^*7z)P6cWyyaEL`}iJ@JTyw-(PyS9{uM$4G)Ydf#-_uRbq z9&;aN2R*lNdd1Z48T&|J;q@(9t<6U!Tz|3sVo%4jR>=bfbJ%1KXDAp<VH27!Rqrr~ zF>7j;v`g87B|nT-u3fRx`++uh;thG-X~imyYt4>qIND}m7R`5b<9R2RZ&4jbri(pF z>~H-Mk*?_ZX43?bZP6Z5x4pXD|17@srXyde?DvYW{vU4Kfm^i=%NRW$wDB6oY**~d zp60x=>#|eG$xOvn&$bAJmPB>*-xZs5#FpFh;k4Ezg_~81Hve6*DMWR9xaQ1<T&k-# z^-W^c6W-+2s2^x_*wyRV4u_LW_ua(X9=xv)dGb8tK*2ON8R13#E=CRyEcUh^;gqVd z@MM->o-yG_rqGH&D`)1m&n6Fc_%b(In@rf@&CLHj^TA_A-bob_dk=l8FW;&#>&4Um z@3QJlmh1kxd(}ib=|zsSO!*?otbhKSo<%kZ%*a+0D%Lr2IEVYA;c2HyGcpx5&uo}r zvdrE1;hFg<ckArFzu&#;R>_MM|4VQ3|E&KwHBe%knXzb}uApl|vCG68S9Hpy&uPfM zvc9q{^zHqMwo8(EldO*G28r+ReI-;rFEj9x&U)j!OHZ$NQEBTvt0Z*%>~!Txv$h86 zcSis8(a?VStMt31kinhBjK^!GIBXU)9tqu?|4aU3tjX_X|3&ZD8fX2@|B^Sm_VWKt z@8hm`^Ul3#KJEQ&W#a`h2^Bufed;CxKjyIs+0Uqb{Az>vnSF(&2X?1jiIIKf7ZP;c zEhOmm<eTR6-ro)esekcBhttC9vcm#yUX@*T*+-PGB%YsHEBvZq`<WGAcc<+$IzKyr zeW&EtNjuWN`9Ar5?JSQ5yM*8Dx#t-BnoR^OT$no#KdZcK?_6^9&Fm2NJ&A6*U!UfG z^Ig>((_iIjWAIz;bFFm}+Z5(ieG3?m2TF0sEN(nvo_61+^v1iij(PvOr>r>g@xq(g z%lSTOXnES0{eJfJciA1V9TyrNtMIb;U37TVaML_KUN6nPruN>M6<=TX?d$hq3SVr! z>SfNiv**9R?U4-NTd|~zP3C#V0wHrLk2&VQr(L<eL^3Hz_QUQKM?PM86CEV`r09zG zqRZOH3Mc>E7i++LigD_MOAU{Oc&{X8Dtw%KbNdvl9C70#Q>-2=U9n`EZgJSMD~@_D zdsTMLsP%sOyX+{)MRJ!KlK1TV^`zkdi<F0t$@P<4ta8+ik8H7e@N>nHi&x)7N5#to z>UZX)-M{p6pRW<~DaN*wCJ$yfF*mL>nK0v9j)n83?eBKGEnE8j&Ru0L_x=v03&x8) z<`^5TJrrC0Yx9(I2F+J2(<bdYU=cKZM(!Nlb;fJo_kKQ5x~%k?1%FI>RQjSx2VSmN zvMY6s!k!74XARpd_$8iQa#;GtL|}$Lb0=%s{Vy-8Qoi}lUmu)y<yT8>?iz)d`5Pw( z>US<qyU+DJXQ5=k!zH?l7>_4Oap){;JQB1y-|BKz!Z+XaDD^WdN|%+Mc{BUmmTzu* zulQbm6RmhzDu*rP#FnZH4U5%zS*k8NEZTn4d~W7l<KN4S*X~TaVs+Un53G05q$8f2 z^ILsZ_e%ySUVi1x+*fBJ@WP+DlQHdn$+E8(K&JZ541DeLx+FwsMsi=nxh-<itCjUr zqL|M%aLv4?AXQMZyzzj5lt)b#$iQ@vV@wbCM5RZiFOFlnyeLk{|Li_dqqPgu99n&{ zC3r2`E;}T!@T$1Ys=Yk(nxJvk+)Q7?#n)zD+a9RjcrsAG^W52<$6`in1HtM|crA`y za7fVMRY{u(QeO#Dzt#k#zIDa)17}uD*P3$%Wc)t2OB307{6FX4Fl9K-ZxSG?$*VH& z#ry^hhPj!sVD)TZt=(Qs*^4H*@PqvPdLzisRW8h-c^4cWoPO@H;FK09Sa+p>eDe7$ zC|E6DscukurTTHL<$bQ1*J_O~IV~&gXIr&rd80%5XCaN0DBF3Q=^nqnmEAir^UK}0 zyQllMKK6164E1#hEca8staayRmDKq~T;|*2mYlmd`wHu?iMw3nm7j}SUEY;8hkc>( z+M~xR1*|UblKUzYA@X(7j+8mNfhV?DNjlGA=ee7)K&ViPqhw)Y)V?$OUixG&DGV33 zx*V0@w$^y<`$cg~rx(Quo$ifP<C=L*&v=2;vahVX7Iw=U52Tw+xRLP9H@++V?24~j zEljiuYow;KT$^2cdHUnf&wE7I9sio<(8^sT%WHA%l7nuH$%F{7m-m7qZ|f;g<TWpf zWBR-(PHUp^`Dn$<QK_KR6n3d0nU$Ai+GU5NnV{74)ff~HIiPU;+FQkWrmM=6$LM$1 zi7nG+F^U?kRW%8?Y0t|NcG=;PHYiwM<$~PpnRex1_T5EsuMYKBdGeTnlz*EIQeJEF z;D$GIY53yCBXS_+Qi&ktTR~|xN_J|<Pm!rAZL@2&Q=)c*6P}w?!J8$G2l%8sa?C-A z8k}CYUI4lM@Zvb8`R;pF+-883-#!CMr(seBISU&Pq)B<?7=e^4g3O(j2eRT{pnl`Z zK>evFX1-2QygVxt<ke%B8<J&sL)v_pI}Je@!SoEsU}12Quz#gmVEAgO9{1-xqDE^k zg43x8uZ7zshfp(<2`}<fqJD`LoISDSi)=!I%R>p7K$dwDGpEJ<E0>rVmiSdZEybht zXuZbD)zX?PS36C)a`jW{9Nm@1Yv&$Y;5|1}*Zh*!O5?Rt13eRBLniIWi|O1nA(Pjr z&4T~Rhl>r#47@CDmmQK8f`b39!8*g0#%r5FKCu#*%JR)!wR3hFD48;W5`*b{Hkr>E z3I@~Jgo;6_=k+v@rnlfYySHLVo_?U`qir|0J1sN4&9h=c=GjGz$62H}&Mas=QnER} zYSvxD-^-rgtTN8By;$a=+W0ombJ6mRO(zb;%uZtqnUNEmdBCuhjcIbGg5gO}8R0hb zvu}FTY)}Ag)qbVALG#s8v3PJMTNfDmtWk@nx66{>LhO=*Xo$&#h(B`#`_FATHaqaO zPxfNTt>?Dv>+pKjCp%R|4IE|O;3x|Mj~JYqkg2fo5Xg#S;1UCzjcdiGvXr@(PmxIf zwsu11S`%iil&IAi3xcerICK^?9*F{{pUk!u#%pZ@J0G83q4L2sWYP_YVq=iv*O>=4 zHnM3ME^j>Y{Cw;CEmgaCjsF$7pWmi?>~4hrwzYz;3DGVSC9>{p<TifW{ahn9_WP1^ z8#}Lre)4!F6x}2D((~KOi^{gg%Oo$p(%fdfh~rJChLCfQoanRbBFd8veUWl}rL|tE zv@vjrp5d(*6X!+!?v;Bvv-CtqnE#r?g02baE`eL;UtD}>ja0Hr(2S5|&)C$1OY{up zUAY>R`o+V41+!cKmzt<tm(|NJAMEHqu&_g^@WzY4L_J><B~72Do=eUd&b$(8<oZe| zxL59F)6(^Vv)6@Rld!$?^wg>jr2>^r)1zwR_~bVRtoAWh(ww4o*>i3(+e+>;Dy5x~ zZv7=QFP-l24cENyBGM=7)?d=QN%xWR#xpMh7dp+%bqUf4cs9Z6cubJ^4EI+;pXZ<c z%ev!QbNRiL`|+W34@g<3SbU9n7tbJim_^T0<8UhbQ;U}in8Ia39<6Gw+L88N;CQCB zh(&U{Q2N^ohb^tYd|WH5R(Ovuct=8eX3UmDe4H`co!g|ZeZ2gCMcM9Vqc;TseRKJ= zHk@`;ExYafY)580)Alz3p*m$R9`?!p+L7Jvd1v!sk$syEn`{$3{6>1km7F`t?L5Ns zHKq33_&o6V_~M!7;p=>-3a>b__F1ktV$S#K;f}q|tDbFfZmccS*m$4MHfO7|Jo_7i z&gQZihZL=S9&Zp`b+h6SpX9HI<NoK59X@|c^ROWM(;v4SL+3qTAas1**0wdDs;xzC zWVdTQ-|o!+`|X9};bk+9Fk1UOTp+uw@WstL_D6UUavO@f*X*i0zQ^msoy_a{rz=dq z^YgCCjtZapdVcP@Y>mtPr_Y%z<vg6p{m>#+kx5<G<&n$fJNxf)On&hGZ~yHHrxJ2H z9?wqK^;pZYZLdn-B@g=;Yd`Z9@|)&vP%Qm=ODd!}@wWFPk=`%G8}61g|Ej!^DE-JH z#hGb&?(s<$9`BdRN6LbFY-UFa+w7**P5C-CSAVO|C6DR5f9G^0uIF7jGv7JOcBA4{ z>x&-OS6t8Oc({vqWyygymb}{&j_69gJoE|FxZkSSxA}|bMbqb^x8sEFU-SrXo}SzB za1w7}%ofE)ZZnm_d2YK}tlhtRUoX0UOW^qSZEURjHoR3W?7QcEJ=Q&^BXJ#Xq0aLD zrQVxvZriJ3HtF^1xV?}L^23N6MW)ZXE=i1%F(0lUd7RUcIFWbdq$x5T57zfgzwvES zgqO0d(CM6x#GSk=4RSmZRAm>+^@f^Udi^77m*d{P^&1uYwwf(@l_otY!e4oBU;YNg zw(~h1kBg;)CLd{23A6W(HLWr*n|OV)T=!YALmQ7ev22U#IAS*GMd6J+#Z_Tj1VYuL zI#vnlx+KYZep#Edpw*yft3aQjn3BOQCl$AeF87bRMR6SF;C?8Pr^s|z$0bR_^9%2r zVD3bTBt@BKU6+IjtsXoIo%_mrw+pm!iXAe%=)|HH*>NOg(u>>IM7R@YWGTvA)^SPL z)#`CZp>tpI*)0NXkz$87w#~WytIYKHrv4*a)hsWqJKKIR-PiSMd(}1h)AsCq!c*s& zpWeGtbN%Y%`nx)}{9;o}Q!8x`->L~wdl-?f$n;v*CCPc;wUGbUzyA%GI`zQ8KkJRf zg4;xY$}6d4FeQIp|3%H<u!HzBi3f+6{>n~V>7)F=yYXm9!p!DXx7RdRMLb$yx6C?2 z=(3DS>t4QD$1I90KJ8DvdA?QhSI~|R-ZLi0&PWt8y>`>fy0MdQRhR^5==$_#ZsRXy ziG7!^u+BKlG<${M*`&VZd|DT7UexaN<9qdR-QraF-7+Sf`7$Q03;AXpyYfdr@ma<T z)t}6t_P1mnc(AqL?`Qk+nW;a;Pbcd(o@y#T{<z}bb=J)q6|!Ya>7H{R#{4_0+_vuB z1d{{_SLVKpCIS-9%$<rR9}HT1!mj!(S$E1kD4g4VWwpe_|1Xzqc$cj9az1>Rc{zBr z+F+$|j*cA1TJ;Z>ydmd&nEQB50=7#_dCXbT*e;y1MP{{UYy$5}?;Q0~{@WgRkB0^M zCvmS_zG3E-%RiL<AA7cE-e1)-Q^gK_P<1@jRQoNWBdV*rZ+-mA*$0~ctmkekZOi_- zy;ib{$vWe}hPiAqw=)zrw6Y1!&U}!_E2(?jHb{Jj^DCk4o_7!H27ND_d}Z<u$5)y% zDql^?#9pWV(#cUNZPV{!cY4iP<ICLUZ1UiSD{~{W$%G$WY;xZwUo5I|d&MN}5gYnw z$-6|^mA`Meyn1!b{gtMS@>i3-XZKa6T;IIE#d1T&zbAE^pI!dV^<)hadsBSN>59y$ z{U=Smjm)pS{%QX0*^@W=iSN$rH`U@l)f9i|&cu54Y%vz|`_UJfpWk0qb<j!T-1GaZ zq!c-AHYv8XPy2S|>^UbEzsQcL)4E3vt8#z5Xj^sa(|W(RNs5{_8@Eh5_V`8T$vswE z-T%3^Sz3Pap5eZjJL8$?iXT?9yrl9ijvjo`tNmLl_>A_g^?HB2XB2mT<NjjA)1S8O zShky?&VON1Hoe1trYl_!_~1R`Gso12zq*fV9S?O1eQs%`cX0JNAq(eMJohJB9cK&@ z|Iu|twQb_A_H`GxXkMtbQTRK#LSpWxpXQrn`{E)&)XDwPx=unnGMstDb>0@P*(%U@ zUe_gQb!)|rJVm>AQ5{mO+@24kxji2T{o9&dxhVbO$3O2wu8QrOdv@C5tDHL3R~seM zp6#Erw1DaIoH>cRCKsP6C~Dm3r)PLt)b6;2xR}KILqftaHx9dqe=|JUI(f#MLz+H? ziJ?kX8|uvWa2uZ~>{<11a>qr#a~IB@wA^LA(}7=o`iqxb^OM(cbDt?r?EI<sCb5zG zcf^ZBo;pPjMZ|U&NO^0_snuEVEK6TJ?S(IR1p3BF$u<ki<IDZ@46dBKs(VP(&Rt$y z?8WOtLVsg!IM<7RGd$2b`NgY4nmi>BP1r0q%vyaoc1B`La>&=1zx!r(8~=ImM8<z^ z%!0|Ee+bQueOTO6lGqrir*rXWtF2AOvjcW=y9$K*V=P*a>&-bfIc=wV#Y}sqYejjr zeh)VPdHf^k)Q6v&cP`^xl~pC4cH`xtRhvo@8}I7r6kchywJH75ojkLdE2ku&)0gj6 z;^yXGHy$nU%qhJfvduC>>h{|Z-@AT4?R9jP@ywmSnQ!U59riceqt4&nw{EZHubmql z>cyu?Jm8qKxVxFFq%@#2kMGsO-OayVJY3-UrR0K0m1Tz1Ud~z33xp+JEZ}MGSa{|# zi=N<e!+uG<-3G_Y^>hl3wyLh%wV^%x$kEw{WtwI8ib*_LAT-}<Mf*a&SIIM))e27w z7ITTqnDlm+t@@vE-%&E}MthL|wRXKTA5OGd%I)58Kv;a*k9&d^$9>sFE#5B>+Ae$L zuq=D%(bdgrh36fEcf4PaX;bm-@1*aivh06kI=}wa$D5m-^}0SP`sS)VznWc~OV7Gb z-5T+K)zyX0yUR``?mXL=8hs<7{KS?GkFGw5-nOQ>^2b$`({Hx7CG2cory7~Iztqj4 z)Kqp+ZCqygi7g*yo>eUW5n9&n!##h$lubYoUuf=Y>04o&H=Vl1DN|`$(Ui1`w{(?n zj&fPTk6AYwQ_F7ny*W@g<wj$A*^R_^0kb(@HtckEJNxE?>PF_ng-Pu>9@QPP7W)*- zPPA?5zTx;lVq^1(HybYBSh#Lh=(e>U3Edl=!_K}bm~o>q(RictHvaYwSqn2E>y+OG z6K*&r2!n*;XIfuLbGnuFZbG23^&91~2S1u_C`w<dOJbk8M#wtF`PT1O-m2%P)!xx- zEZsU&w{7N4-9Fcwx{ancbvr|E>hizd9BpTovVGEzJ=-Erc4w_#xMi1J<4aT4?!=N4 zk0gXQu^emD=`2({efY<Or^!wyypoc5z2dHgMf$dHdpeafCnKGMC)>9%d8#JI8B^BI z-enIGw`=~mk;CPglXZR5ldVk`E`<I6`rzR=*F^^!lP(^=mSosj`Ziv#GOk-|XVgW# z?Pm>i_n$RX-LGq4x?k7OcK_Q%x4PTM&-^J9+I;J8-pTx_+q3Ql#NFJsZ&R4;?tPB4 zLrr;?K7Bg1w3JtVTV8D3<z;iDo)mn(dS9c`{?VO<>&%`<21doN%KPwL`r*+J3k2h2 z_@y7J7Hk)c6AF*}`*qU%9eXYEj#zWX^p{WCA@=^s!>~P*`)_~r^Sskp?)5NhPq5VY zFO!=#1jklpMqdkvyXBWEG1cN~%-!nlLf71%>@O}qa#zh4-F)lRu2WTCavirt-*${F z{rcj%lWxG#9qTqp{e7ACx-RPKDmmsCe_w@KFzc>Z#MPX$f-PKkg|95z_JEsVhOaL8 z^~R{S1;{@6+4%ZylZ3@Q$sG$r_$$jEv|W7rj4e+^RG**iU19O2n`aJ`F8+R*`TE_a zD+j)AS}4U+GuJKaK~)g*@nYud&94@m;ExH<df<}wU{?UM`X=V<GxN;N3+Ap^*OBnx zT@Xm*yK`}oy=}q$=m}Cg)XhSg4X+&NOn4w?s?O&3gza5o@uFrU`vU$IE47T7%jdsZ zc!uwe?XJ6b4%jZ;rPSOg?LC)&rtA*GD-EX;9=L&w2s8_6o_OWJ$%F@P0pWAb@ZR}w zLUpRF;or{NW?_FB=WY3Mb&`C0<mwM=H^uCJlk;)5>i(qi%&SrBH*vnn=#f6$CbmEH z)9Q_RoHf@p?A!9Co*w^Ly7&*vH}g~ek31Itv60D}GQZ!s_mlUdgvEb?EG}s5H`!+X zY)fHIwokE5<Idhs$ull%*!SJ+{Uki&s)l{%%-&DIFH;x)sp-j{5-)UF>gn`DSKNLs zHC$@A+&3XRd6qDzPu>*y!>^9Xge7?=-?7Qb>zUo}+gs?Jl$?AgNaFS$!Mx0xdxy^G z_-<ddE%a+@XoTqdkmk7cyXV}Ra_#EtbPL_OwNIwM^)nS+#WhF%_2CUR*V>b3US1yC z-u1~yr}WpAu$qwQHdWoarBB?$<ZG>8|NNCd{m-nc${`)Pc^}g}KW|uE_hrg~b<<kj zJbd}O<;`N@r*mD>z5Et(t1iE|^{KztJ>HX|PeUEKE(XK~|8q=bZF9TSV{?7~bDQs9 zIdY<JU0Anlo86A9c^R3FqM^ATBfUSri)*N=2)mh)o0`dLm!GL-UOCG%XkSL=yi=#b zWM64DYiZsHlIC2~{WUS-_N6_2oby?h9k*T?Wq+?T*=f(@14k;<J3oH(lT$lx{d0!U z`zc43R4f;HcEozAq4~Ep1#3EUJ?>6@e$?9VOn>>K2!Z!18w~DwCI#-9+<x?<pWU=0 z)~Xv#?rFBBe)P-K>9^HpTAeH%WPVrlqaTlUa=+R}yKBb}Ux|>H-<(`KX@=<Q$%oEF z%r{BfV#3`YwsmQ)mbBLC)6%E1PitpqXJ;Ai-+9*cul-}A^*bw@HOp46&bqy8mr$`X zYr?^ep(~^QKigq&c+#_Hz6XzR<`fmR_4=Lr*gBcJ=G_ya`IbA|dHvoc@13l^@!ZMa z8E>9s_LT7IAJ@D7F6*b%!zVn4=gvu<F`2vO)e|A-Id={xgnwr#+qK~cpZK>8cUx<7 ziXW<M+f~379b?hE_3T-m^$oA>@}k2+=R2I2;BRX*l#^jjvSImkyu#y4cAlPPo4aeS zuk=*=@4a6WUtGQuGZ_@5$E*!~I?EqPh)N5&zmJe_jN51@)SOgnbEfO-M?>Rl>Yb7s z?KFM*zj`J|6>rwl{q}RimR+luCa>Af_4Iq7Z>}qo@Z%T>wIe$Vdisk6CB&aAZ8SM| z^zaJ{arxv~`-3kTJqZepyQL>MMe4Iszu@L2>%2tE*6)w~R3*5|Yk@Vd#IC)5vTpy2 zFSYAt9Qts;$>Lpf#{paJi950t<=#bf^uO0t=@gvyZ;8Pjr%MuU{Cw`=RpO<WrLq)x z=0|ZH7vL6@$WhFSitcEa6MK~0(5iCrzEjYPbj8dsOWEBQaPRH*s{I|o;hewAu=AYB zhYOu-cJsC=I11TcP-s1F;_+CJGfQX(vyj0ZmaEQx`JK*BxE%Js`@&|iLxty@Lf$#W zJ^v-&T9<wE_+eJ=kA)YVChbU3)Qs6S!Nkv8oxT0BoUKLHwU~v{!JD70YAuM_EYSE` zOsVj&la0(a0r~hS520#ZmDXCZNyqA{3RvD<YF?anHoPP`>zd3G>B%n~nfdQuJaC-p zSCD^_B5zQHOS8#0mwgqd8qOR({PPIcfu`BlnI1hmYGl5?^+-tiuV-63*m$~69)2<V zx(0WmM6RMtvaZVm6GL9pi4y5Ij~}k!{%Cm6Y0``gMNOYg6HJy}Xg<8L<({kW;gw>C z49+@PEQ{_qz{5RpMy8^c@Kyo&&AKX`-CsNdE`MlhT~au2N5#y2m1h_7FJAU=dr5MZ z)V#&glOx>bGx49!e2~n_J1N4mStRVDLhnhH0Q2>ek4PQ(a`rXL#tRt}4lr^j-bhxI zDc5m%u&veO&L#nVbKN7yE4e+BSSycxIh#Gdb;-u*Hq&1{E1hH4Gs`soQt!&h&9|K_ z$|5@sh>Ix|-f^;-vq3=qxQ<HaVzEhw!o?;Xv#Baz*|(70{p>7HP-yuqk)CYf7C&FE z@6v(e*G)VgaqtG+@NG8XbNzQsh1tk_eelJO+a(w5mER>gm#oTspjj}5X&+O3u)@j% z6JPvV?6}JD?DA$YrGkbmpZzB94R~#27TxlCz4*;$fyTWd$-j<P#9usc*ldyR-{gZb zyLDX>#4kpCus#@n>A+#RRl3XiH{Eq&`4-&!GJL_iC5`Q}A<;4NPdBx4#4M7!tp9C~ z6Z6!0fw`QuQ}T6P9!OlB@$dDWZj%W+9GLl^uWa_H{ShptR4^&aXaD8TI=m|9E*v<V z`AT7)-JdFM!3cNLW%0Kw=dj7`Tj<F3d`0t*Umvn{T@p;M&ZtX&E+$oR!>d{3S^&G} ztHbqqicIqZdtZu|<t=J#?=|sw$o)zot1?mI#w0bFW*wKS%f*xom#7Lh#H}$4p7`?U zD)qhYT!&XQFDX6Yzv3Lr>)XlPf)y!>Li{UFd^u%4rORi1O>^diL*I`$epz2-bZ4u; zRFB9GuO%G^n&$tiaR5z9PB;|$O2LP{?5<M?Yn~$0_Z5d<eEllM8&c=qERq+%{?KZ} zz9TQMw=C{&<@loJ=kq~%Hgm><h4#EbFWhBaUL2^3;BYVJ7JT6*>!yEgZ^na%47@=< z9)A7qeUH2GLw8Q|{1p+*%b5=c&4}OQBCB%kSjCb;&;O5&cC#NhDi>ioeV0#2`wpL6 z*e=<cHAU7c8*e>b@INeb{_VzxTe6olah53_bAD9R<1Tf7ms|9H!GBY%{YvG_tv%)x zSXWp)F8fe;?d^mkmeZT-KL6s|&V1PKx(Dm(V+SSp`<xBsnA($VRQirothl6bOu6^- zy5d#$HTR#km15d`mrtnr4xik<66+r~UYB_!?LY0f|8(7q+l`4wv->7;iQTC*l#?k= zwqbd8q{8D&-^ULI+Q*c8FRv?J^?&Z&PuJ)0F`d4{C**yXPj1={*&3ZPYn6>Bo-U}D zO=!H`m^eLq$rNX%)k!uI`#L@bbQbrtdo4(|Q87DGQ4t~kc;Ye3>yg*@_iVUq8^>gQ zhfnDCUA|Qk<<>uLyejiZ>OSrGU+HkhcILwj*F7qKt@+;ZF`?qis@u(rqkW{AVv}uD zb{(mxcp>w6;xSf`F>V*{+r}~dy~8I|dzVk{*ACg5FJ;y$7f(N3P|y0fbM@?B#|`9m zpPRA%{I7`X5x;(|>F@mbKtkfNqJh>i=cJUL_I5!-xy(65{Ozk4?=Wi_9DS=`c=PRp z#7Vag9(UR9EF^q~PqQcUWr;`fmeY>^%T5YhSN!5XgQu*wG$`KuZj5C}P`r6;vW>;A zBNZ!>%+&Zg{S0#}Dx@yEN!{PMPWta|-R3)wRX1FGt8nr7)XbOd&U^3j*;Vb7o%G^m zna9HR+lsw`>t4J4e{@DU-25y5d7F~nQm=UP#WBydE1sDe9cxZ@>1jXkL4tp4&GCvA zv$U4!XU-{!HUD+JOm4?<?+5#CJ1*M%dd^GrlE-)X?2he}oh0$PEZ}wTZN*+ekg<Lj zSi{Y~o@bp~-dh?KZyug(BeCviML|seM}ZxJj};4zj~za|R-)g;Z^t_6ztW!fcOCbB zFz2@8qcyh=9(LW{-0z*&uA;YFHt5H>w-b(e94{6ABk&;Co%`T<#(RZ5TgyBi6!fqk zekZ}-w?5g1rSDjUhfMd!4<CGc+@-{2uls%w?kK<eShe8DTZN6s-#&Qgy}h}AZ(h5~ zx1F*<H{O?dJl3A>{DFH<9($Ycx{YbSc1b?jVV}W2RrzQ|0Z->gfgdKvoRczo+S@N0 z%4Nos#hU-(eCqkVf^$xhwa1(?>xvzZ%065?UpW2YFV58Z?ao}xcla`SN-STb&*_hK ze3d@OKju2`_3LMMNbt9PG?bIMZ@tRwt>Opgp7tY`CHVR0COz_a-1B<ZMSsWr<^9vU zF27Z{c(>Nerl<I>@|WNX{&(9wl2+VSY)#B-H)-1~^QHL8ygc@{tLrw3{n|BC@bN(d z<73Uqbv^9|u1N5Awi?RGg@Lm5wbHvU)YoQ%qWs!hg^lOlK1kdFvLniTXS7Gs=G%&` znR)Fd%l4=J)84kBS#LR?mF00pb@3TY;?rlmdSbWCx>D%1Ow97H$LGvR-Zq)rr=X}) z((hg3tjWJEo=DiwJ(zds{ggjx9otu~%D9kr@wDcZH_`Vq;`>y4zlq+skP+W`Uh3`Z z#IVJ+wLUpp@`WZ#y`6oi$?f;DjT<)Zi&<LU`z`gxy^Q$2e5tqEA4(V3s`8oKx_&sk z_uJQvTQBbO%*o!eUh9t3+u6sa9{bhBqGx(*`a`8N+r7kox5cFu*-diINPq0BaQ{%v z-1f2)e=1ilQ;#!`|F>f0zwMuIGP8zfFhvWzKe}P3V4RG!^h4DTuF88<?Am{xkK@$u zJNnU&sr%7fm1oDTD`$wmf0CTHCs?TX(cGXJlJBP+dvap`r_Afm4zHTEsqGSrpWD9x zD|d-~i#=1LV><sh7EQa7;QQojspW$D4N}=}1D={pEa$y;b^5hy<r&NMt81tFWhCA^ z>$Icy*<<DZ-_*~GT4yItH7%Wym$>$IR^iQKuU;4Eell7l^LxRk$DdxEx^&AZrvHoC z^NZ`Y{9%sSbnw=xZxQzo&ADK?!f#cba~yA8B;UN!fQNUtm<I-4-nNO&{Ecr0`_&^e z#hz7##tZk9eXLsg?C-g0y<eZ+xR?>&__p`k)En0_;`yVc-@Z=vUR)b`?M}vhk#A<V z%zGF2ehvM3@OtmJREtX)@qLGTzlmC0$%yZ4?)?_}({XWa?VJ29`9i-zv9!$X_p*<v z$+e+%8<t<($Fp1c>)daqc|WC<^FLhTYmNSQW7@MP{C9Ov8J~XJ^z0d<()Y<MV!LD| z#9Z$!6fE^fDD7cCJWGPV@2sI5({^Qkj>U39+mmc;V!A(mD6~DM+$n7+ry0}x(c^KQ z%H{5g6)wkZWwJ^u9@uq63CkU;D6r}JC{Q8uSn;FEvBQVI8_L<$b$s-Al-c9XH8;sk zr}x}D?bw8EyE#p_?mkjsP*N)IvS|11gNKc_H}^lyYgY-|AsZC&vdkkn^ogvAVEdWf z!MjZB*DhJIXV;otyB7NVPdhX{za_WLyX|b@#SN@_65Ebc9{%=WZQ^f>^c$WrdN;C` zdOxr}oM!Qx%`5KQt*^h#XRe#Yba?9_xwT%mSDnnik+n*^>_WZt`~$wh2|K>JeV!EV z<iymj#C7;#$Du-%MJudq7J0ns)sX9R6_LO1GDW4&eTs;m%M=s8S#uxk$lbN#=+h9F zL<`wKi*qg_joN~)i|)@}_Gn?g%g2lAi&QRJFIsXzd(o1MpM4h#GnJodUVZY`;X9tb z=iUjnE{=8)d?6L6vdcw;zg+qFr;C=4Cc7-}m~`m!iMY%^3G+BR7ccAfdGNdA&<B-8 z1vY&eO#Mn-M-F#9dg$o#vCw{zO5u5)3y1B315VD=RQWhnb4kGgkxLd4Gg4pGFMSbG zaKY?wLGS~KG%hA*U&o{cniUd>Ty}2zE-qRU=@>9^!RnWn<?i|{RCbeGIBntdhXKb7 zZi!eNo6&S2B6xyCI+vWCDXYA2Pr&Jt6}3L|zrD!jk~O@@u~p_TZ+lUj=duHaH$_;M z&1gCj68yp7tVoTId8^kMjTq;s6<OUgmo4(HSzP~gSABn!)TBD8ZwpdSPrA;ta-r_K zEhc@}<@TOsuu9rs$R}En^(OG3!HFA-o02;;IdlwJJ4=^6NZhOW<3>WsN~iLbn_pg* zi}hKkY-ilEE2`#STiw<Iw-feH{2w@dIQFpPOu@dV(W<&#DO)QhTOF1R3jdxOByQpM zN@%*r-NWTe-aY18sa`nsO7IT1SD7)(+ZrE7pNhSEIB$a0Vc($VJ6vC_dbfa2yP$89 z>bamz?U$##d2FGo{*hO8b+o1G>fe>8!@c89oyxM@dw-Jw<Cm;ot{F}*j+QJ=<jyh5 z={(!^HnBVL_YJ98=Vz9FSy*`F+m7rTvK!y_1~jDZ5I>g1dsoh`A<C&-V%q_~!_#i0 z-jFMk-qvsXkfHf%al^xla{av=R!O-Q2a3LM?_NE%cw1ue8N-~$oo%{?=Qr4%G0&0b z=GiU8?flwdLyDde%hn`4zH-e7gKDX+T>=*#_g?po<JHdExku*RiYVRr5@qgZxXSl1 z-96m6a%s`Z#9|%eoW`eZx*HE|uw7%4Bft8PBYXD~{)Y`$q<-#AEI;z9fIF)-X7c9S zDOr7T`K`Hag>7dIuWevGCb8{E#o=!T2RGFEn7mO@6MwVd*^Ja1uYxMItDoIU$?jua zcEDi&hW1s4LNiis$OQ95Cu~Xd_A$=kzs!64IL~3fq%=i_xpk@r$3-Nb?VD<=9jVyZ zDCm0EM48L|e20>O$|8>%sX!Z^UJbi8*C{G(?o&kET&9?~&6@i_B4^i%uk|4=i8G`E zEw;IeG_DnNP4ag6xWRJKj~gO^lWs@^2K`W4wB+N?o1Bet%eq%3+I4I2NGow2|J9+i zL2r@AnqCdLXRadh*-BnQtChXDRx5c)X`l7;_+l0t!n;{%k%3OXMpkC029vjP*O5sb zj}i-AK5o=lq_Xh>&jn}s;13tCi%fcv&ZYUqyw!wl&(yu5+d1!c3D5YZrns8>{n?zX z#1ou8>ZPHf6ReJF1c^&{z7kUQxO=!DD7<*$mB|ubuQY4CUS;wuY;)Ajow9q@%4W~G zi3%%=c@#<;9j_#YvbvoVnyh*Au!>ihIg^)P5|iq3gL#uKOMFlJR<=52>dM>-<NYD& zMy7|K{W!IO^_%pzBX)<sZMeRnwq|BEi{On{yN>c4UTphJqRjmbCwoL&W#Ss6t#{kb zZalpqbXnRBA#0x7hszFs+o&s(Fkz*xvCXaIm5Jp?rWG_ijSxAe75w|o2Fq<y+YY21 zo(A5QF14-Sy)Cx$_0HvfjcbjzO1CXA+B*B89_!3oMb3fZOlkXOp1o4kb?VA73HPl2 zpKW&qw;z&Sf8dZo*vwmuho9}py}?w@6a8piV)c&n8+Py7oB52ks<ydT>zKXis}R^0 zy6oEqfdc8$wfY(l%zj1fPrD)0&l~-qGts*y|At(hL5{pX?{2QST;ChbW@gt<dw5Z< zm^b?B>-<(Gy~F8=Yu5eMYu&S|ci*?&QrjHN4^MlMcSG)+#M_@1DK|nbVkADA&D^=+ z-iM6-(zd&T?uV3P`fet!d3NY}+u6b^8$v7dZwTF&*w+5I?d{{1#PCN;62l*cBx+^s z*7cKankCJCIF{$xu_)(@#mT;jc_|{M=D(!fv-+>L-4#qf%pBMlnz-iKG0Vfxeq7tY zdQN)V5!S=s3NCJ_jWK$o6~kAqG(%gPxBpv2;tko4u{XkspL*T+w?ZiVe$C1!Hy7-i zv8dkLYK4y4^;h~$tL-y1USC*M`k{L3R^F%bCMynyhN%>Mm9<WN@pIk3$uiu&2@|-D zdCC&|6!mmItmO9oQjo}hIOfLj0&%~j7VhN+ms&4Nd|g-TV_9Wc7G`-iLp)95@u5{Y zrHPG&dTY9(#KTP2aj*6{-a2{4lS7&|6~`Md?|8GrkG0DBR@l)C<$c>iQ%jCs+~y*G z{N|Sn*BxuW6kkyJWu3vrEMwB!`2JOS*z=XY{6E}q*gxynv)f`v3LfVE{a$l)1)K8y zYxOV1RCO{wY@2F$N0I+n$z<ghcW2~%S~u13&f?iAt4==rk{4rMdH<Az+y&pFt4|E7 z%oonC$w=ktf1%czRiv?Z_7z{dZANYCC6j|pe`@ZXy?pkcW2Yp(UG%M5byCsflJ2|h zif!vpXnV|`eej9j!IhQ?&OGcVzkTU^>Hkh-&U9z9BLy>Z{O3<~eByV|(=y3fOH%3f z<>e^~JA(_{`fdpvHr!-eYsWUh`sc2_d(Dm%%*i<){`RY4x!0?2em4XTdv4mhBx}9= z&S0<Y<)_*t{3gF$8dAOY<lIZU_8OZVDVUa{zxLMa-(>+->77xUU*~UBEl~V?;OG=d zy?vrKTpcGkYg}Kg@>449YhI#PFy)FW-^5+*hnKv2{HS`$yd$hZ{ts<dF8}zp`iMb6 z%p$(kn<f;87;F8t@O~we?h*SSJ7~Iv?<+aKiM#qIFPV3QGsyp;#meO$c};nktXC!m zbRITbB{|hlX6dYnH(X=0<;sFL_1jLFb0p5oFHv4~`NqF%&Alc}|7z^^_fbRkdy&;V z*Ey#?W?RU0r$)WTVlCVI_j_DV@@&_f`It|Y`;P9kulu9+yPxFwt{EA-bBfM~4H4J= zZ~7^yYHYJCrB8i|&WGBKYyN+I%|GSLhbfaRt@e7KV4B;V`qyl?-jp*JrcScl6}ZXa zds5_n<6I3@<D3O4edjeIAAC-V+&}X*w^!N?kCQU9H6jy=H?FyVZ%gYW$!$SK$IZON zZp7)luAj84d6HyVkkRofFR>f3I<M>XcC}BE+_xm9Z|@YH4e#&1$hjJvZohC<OmBcC zpTMdE2NxYKw7t(Cxarg;jhT-*RJq@1O)I^?tvNGMOSRZ%aZ2OMqnqZvH`bV$sHR$c zW>HGxO%T6WV`ieBYVn!HDUClt{LkHDyz8F-&hmN|;d+uuyF1nPet3Y<;UceR5pE}$ zyg~fw0Y-<Dyq-n4oMhq#@x=o+&3Zp&%{oUfF^d?T*FWnzCWVGNoy>X_u<1ZJ$jslG zkyBHqta)aw%3Tv#Qhsgvck`B08x~}wz3#3yTF9lNwd-HYy!X{zi!SW%ZM-|>%*O6X zp=O~vuYc}qo+P;~cvIAN&Bz4b!1G_H#Kc^2w^g1lpmjXRNi-sC$*Y$;<^)KF31}Vn zauSUQUGi$>j#&Yc*95eVM>&Z`gfDrOY0(-W=_lGdb6(4F&d>)g6IU&NaJ^;KrUa*_ z7H%t;)Vo7$|N04Q9hP)@YT><t>3LU(?bm&(A#I;qP8Bu=u<A*jXW_no)NIPj%uWAw zty6bAULbQ_CS<|u+h(hlUl4x1uS%`7?9J(mM;%$KB38!b@7p35zTVnhX3ArBcF~Hg zrB!j|o7|Q@|DJq&pO$3Vo7F{0+E+jCTi$H+r6{29{hGj+Umt9n`l|F{SF=$}X+Ym= zKCOboUSD?=o_3Vfv(`8s%PzVjeQ8zf&i4y=_+_R%p4hy~>Vxjz>|>Tu(JSqY&Yv&6 zIp;$E<&D2>mwVUxTFX?2|4-U-v^8~><)3-C-M1HCxyi?Ut5WFk;@dA@*@*Gq`e}5` zeS7htN*%#1#kL^k;g`zIWn~tRCpua$pYyiD(Yidjro{UD9J{&;=jzgJ>MoqiOt(I{ zU@p6%-LAR6{pN>UIOmvdvvR>)<8x(~9IeZfYD%oP-!F~%H1qU_X}4Xs7o99snKx6^ zJ!bEtnUNp2Zl3F75e_o(zGbb^=0_8Z=bc$&@j6G{Sli0-_Q^9{aenj9tg#Syy!f`| z^B#3$?Ok9|J&@=Rtz+)nKd%Mp_@M}5?!ByTto_aM@yRn?pU;&UZGIHk)1UWz;p<7~ zW9+PBzjvLvT70ooW#UZH+p&9vW=0ksyy=$~7Ss3f;@gt));T+8$nUnAw{zP1oiRq! zKj~d>Gz$M@zAns2{8RAu?!2X&Upve^U9leIgFB@Q&bZz=eAVlj)Vr5OCu0s(-_hGO zb@I9hBk>Qu+q?6gc72@AwDn;7zc8JP0dX@AxGG1Ru3Yuz{<Z%Ga~FlKy?yP<s=wt& zD-7P$MDIV<_Gv|M=ziH%xBkEVAfG0paJpsR*;dJI`*L}FC7w6k7kYkZ!%ZVQ9gh0R z%|<#KSx+?mn7+&4uu*h@j{N^m7UFH9+c;+S)h}%}TC<7uMDvgE-3EuHq6=)~|KG9@ zZ!6u#v9qs!Z?jR(23C!xANIwGZAZ5~aIyQR|0qVn?3R*<)xW%B#VnJJN=*M5Xu6~d z)QgLUef?)W?Lms&Kfgjf9{=2r-m?Gs`A%K9Ew(`Xi2a#XNw-@~pFe%<?<h``$dgX= zKVE-NZ2$7d|F5|<e>iWcaai^Au80|XrYGA-?Axr<cks&Iq;{Tg-HoYg?@ZZKcjR@h zHptCCQejY1-_EBuW6NWmiR{JO+Mm{>F3a&}Pkr%~Pc}U9P+flK9)tMb5lZ~avg55& zUZi*G8b|)N);P@I{iJoJmBF#*#0jc95*G1ob8b_dcEjlMmtgi(iLB1m2A=tm$MzVw zACJ)MNa*U@?%c*XP5UOl()<?-cqZ!<{``4D{dk3e&qkK#uTLz$rFhuHJLjarr<Z)E z46X@<8_EAXBf;MmIqktDt4}w}P8b{)iq`6=S=VfIX7iD$r`+xAk2NPYs_vN5SF=#o zNN3ZLX?#laA1>fotXsJB^ThtHj|o5S2*pIX|63|+^rrL3GNC_Vsk~pOmVW*9yG?hZ zq|`F4=H5GLysL@~#M5THJ0$ZtCL&=SxA&RCME=dPe;?g=vVciiHsldE`_~UUn}7W{ z<2d=og9Vyv$}gB)i(L7yEPYzD(U~oLsUMnUAIC<lP1ZYOc&nB5*p3ZHBE-KLoV%#s zC&{N}aMn?EnbnH+K)zSWo0`=OPdWzAc(EYUr^0=|^md2nsavnz_gV4eiLQ{rof_>w z;cHnZ9Ps2$v`AExIj-ySK$?4^MVg{q*#*bq8eitd&n6RoOk<Nfck#gSNGX-VHa5*U z%N(Va_q^|Zm3_;U<@ws)f8Rwo@6??4lg!&AzVCo?i0WGVqJ*g*cNw^si;KN@c1S2T z_J*^#__vEUTPME&wT?=8y`}6ej!k*ID>;4Lvo7w{uTHmG&fBr!K(hF>9d8fG$?e?G z->x_32(!4~-~T;(L$}L%&U^aP#Mt)Lx>t8YZ7<tqr@FbNrMR#iH#9!}W)*vEhfb;2 zu2b5Y%8xzcUC+S58pF?z>-u(1oWC{c=%xSLzZ;sHo0sjVcseP0PstR`!_LS1BRTbB zj1CsN-;$HF2$BCTo7GUwXL=;IUFzXV=iZCI&0qTcs5deHt7PVLI_uHe{G0psh29pr z_IKS0=M7KFA03|R=g)b&+n42bm#;{4w=Yj{m#<u#`0Sb<4Kr4W$Q&-iWj90;b2e%o z+i_^M)5eVkiuZzjbj&`Mrflp?D%#kY7`3s}->1vhE=^=M(-|GJ))}EWT?V^vh$P27 z3{AWdIz!-n_A~L>KfKm|$dLOcURCo(`wPpB=ob%*e>?fN%x#?~VO=*pFFMM`SM|$J z-^SJi56Ao0j_h6{*ecgFu~n`suvM<@Vyhf~GMB9#n`jjikIt7CiGUZK7xrHeUL1dM z=>mzEDLn7ne~DFbw24(|q={Eaq={D9oYDF6L2tzc;e@J%>PNOX`M0cWohK38rN?@B z$)c2s=f>MEI2-JI5g?}~7Ww1Wwi7}LH4{~j>`?M<Npzhiakzf+KC{SeC4YX}zmm58 z_&;j;hnL&MIv@PazkI#jv@`tW`5dW(?9r1%-scD%cAx!Z;qP>@lkWVpMHVdg<+^xU z&Gkj@<fJbfJ<h6r+3v~0tfPAMjCbMYP~{s*-jf#1_f5KyH`!**R!_TYH<vKH&JF6| zHPbxgHd`e5a{9|UC#Aw`t8&=`vnv(<U0d_P=*(4KPtAv!>$1Fs4sO0b<MLvO3`?QI z3f+$qH@JQ@JhF(TBK4$Whr5{Sq2z9%$DN)JPtOyY7cJ5xzU+~p7pHu#&_Q+YDiM8M zuK2_#5&reMUH#@_st2OGg&xgy?fh_W(UBh?q<7ApYJL2_fZ=<F-%qP7Bb8tLzFm?W zcirnnk~rtDh~T9!a;{2LMVs>PT{EkHUYM=}|7x*CyF<G#oLzPFMb_nt^d0BJZtXF* z`6BJTu=CxAzF3tVxxP~$EeK7vNVxig>(Hv7J94fv*=)#Cd>6fy<NKPZp51I~BaiIq zvpd%-yveQL_^LpgO<8;P-ZH6Um5(rO`W@E$XkKXYj^B~Hj!wyZWN3QNW%AjNLV2mu zU1~EQJj*|Fzhd)A)j5T)SeDPVI;y$+T*0N4)fNx0)XJ2<V(hd0D$qW+s-<&(>C2k0 z|DxSL2Hh!8Js)oHIrik|tEZWk`)$0i(pabHmE1IoQhD#WRSl_rwnvJV%O$o3_isEF zx`*d_?9tQVs@kz9KZ~B!3jZXxZs)DO{?&Sx2SlgKJnHc7D>$cm+#=iN^Rhl!p}j3v zj~SmYY1+D9v_AaAs_6!Iaup;Vng#TfNK3fw<dt*V&1-jT2QTBXUA&5Y|BhvL7@V8y z)bsJ&q-7D$eHy+q3g2OCTA0UtRAqZ(;-=dV61#3cNM3bYAYs;Rfuvox1rpnC3nVYw zyhQSvweW+x2X(eLCT#o6A!lY$Dla{^s=?RK_DI@txx{tB{f0hsZPj*N@QPS?u31a! zU{PsP#MKJ*EOE|nw_Lky%O)mnkNvXYwBD~9nc~cEHgqd)yM2`7_M4=hqt`Aij!65f zn146=t<piU-5win>KWy1>XtLR<ti`zCaK|Tnc)$u-4cmwW1k$}vGt3@w5a+M(QmSL zpK#C5UR9Hne6{Ax<}Ai%(OU($*G9FthUp$-dK{p)#qUwt%dp2Rc2haG)uv^Ao*8qf zZn@uv6Dxzy6ujawp1Z3(((mr^oaJ%}eZl;O*H^mFczz}MOu4B3QK{?aD}Tp6xWXxB zRoeB^Z*Jno;OC+@SALe<75v<|Wcj)D7k+ckUzl6fGj-jg)Ef_fS>``jJ;&-`R`@5m zvRx_iyJLzP?&?_{ahNWX*zeu9@p@gF@1u)bf{$&qxy<P&rLHZyw}m;6`C!=g#-#S! z2R7Wz{jlL|?uU&>b2&Dg&gIy6JNLo$gSijF4@F)QQJ=&4!7gF`ZHEo_a~IrrX=X5| zOxh-<P`c($u{6`2a%m@<0%;|i66qwHqG=|F3)7kQSXaC;6R;_iJ9yY|`Mbv}g82)M ztZcV<b46LE=#?Sgs@b<snyF4dQuZWxx5tM!+g}DpvTbbH%s2JHD%-@a=VcQgw#I(> za7pi1MXEUSo^9QV_ih~J$bXyEvpa0#&X(e`i4XQ{{d0uvmub6K!LfM;M_G5DD7dIs zwIffw_RfZG#=Kic1-8FQYPnrzcx>+dW&FR=7VY3yiJP|Li}YfLf{Q90?C<qwu-3`e zO|ENMqt!93@TD>HvzPVL>U7Q8y)6=-upIB5^JvCIV;NJ~Y4O3rX%_j1<j&39(7#zt z=0Oi<yG8CHLB3f9J(K5aehp3dXn#>KalTcdXGq~h;h&qLZVFqzJQTI{s7$G0aA+l0 z#Ghze=RI#_4WCTjzUy#Xk@(xU+a?O%F)Hd}b~{&aswASzS?x^0<rdo*vqbrDABzK_ z!hD5iTa;tWA4-_>TwfpgM}McoU7qXfcUJw?lUx^H{PfpZ)0q7sqSy2Lb~RsF_Sk;X zl1gQn)%RLgWw2bf3%2T86?RBrw}-*8Sz1R!Rv$Asx~g(U&ea;9O<9a;QCkIs*F?2^ z4AVVkG5gr;qZzB+3{Hh*ENU#-*v&F`?aH8@Em=IuYi6}S3_E+=V6|AnicoIDBdc5` za;_$o{MGqce0ipto$Rp<&&s8~Y=~-o#JYT|)t3!F%3qpu3Ty21)DXIxyFl*SAHTdU zO=|Kt?<E|PyY@QbNzzo#>WG!Hfiv1ASF|sb621R@cESR=W7#1!lk*!Jt0Gneew5v- z%l0a9v)j?_@7*L<v|p4Gy}w#IX@T6btdN@IyuQY&8LI<7&ONo1?Nwr{+tKan(<N85 zKixlBUGwDO`XsaS(eon}B>q_c^qjdWz)8Q`>B8kj0be#~@Z8nyYR?lp>K@)L^uY7o z$&IUo7HxiNE@&57C;a$j`msX`B~tu))~}yGQ}{9W>*r#vk6K+5D>4IZ_H5Fyi;JGZ zkgwy_v0Y5{kaf4v<GnizB-mzgx9fM>&k%l`{jmSp5gQ(Z>;Ce8&pI{Kr760^Zx&eC zAK7uy`umo;r*9YTdb2Td<I}$@E;xU%_-@sFG5FBzB;kY2Ny0~OCkY?koiw@q`%yJ{ z|1MufJF(eLCI9za5LxKHQPb`G`R;XGM-Ly;`S|V5>JJ%vGQaDY1%A;qGyI}!R{0`4 z=h556H$)ahZ`3@}{-~(y!d8o=60uzCxei}GwD@D+lH!LKE9QS%xgoWxBJ9P-k9#f% zKX6^Be&n>1e@l4lJc;$i@7Z6@TPCC@63KB+T*h;ce94*%&IMa8I2SItaJXQ{g~tyA z79Kx-(8<4Ha_c++ac)~dJ<+P97`<I0BG0=fJ^XuNsuTagt4{n!|2pv>-s{xgzPnXU zzMRXJF;Be8>5kSHmmQHWItv%S2r`(X>(y3ncb(go<$4$2(}zA2j~;)i<lPYLI!%DR zOGnU8Br-|n|K0k$kM&&LH*7Pf|DBx>v%5a?qyMA(xmH*H`dELgPyF=U^2&u(x@xua z{%pO)W4i6=kv%W-ms<6N2&_D;!FtiECqQ8J;R@EuQi<gX`}vYZMXn_FG##?)`DYUw zG(E65`S&rt86PS?xwrnmwK8`0{>y&4-Z!SOvq*n#NOI=;ARPC<^3(IO;0rCK^VRE% zExM(n{?1KWd(39d$xkODS1+?F{1U6BbYrDL-2vIinafvj-nRF@-X`hEx9hBfZO+t9 z+I>4M#D&kCJ}UCL*f75TdD1?i^GEH(P8yznJ88`wSDk5Vlg#V3Pua?%R(~sVYnJan zhYr4<NfCc*KdI-1WrXN_3C{|tNvYd4Yt4^tw(61-;xAvlpLt_i#L~czEB~$3`g%lO zXT~x$?SHclh=_K%{`++P^7kT^HFbOAL~c$yfBEjRJyZTLZfg|tO(|LCDqJa%a`DLZ z|LsvGAE%qN&D^Z1cRg+P5rfk@olWw2(_AL<8G0*qH=mt!;vvKABL<H@KY3q0eW}`+ zsZtTQ3ZAVvarn*oqiv<LpX9E2(k8q=VUfSjJEg=&=4De8uA3)G_pY|z{%hyT;B3VX zelOd9iy7N@tW5rxxahW;Owxb(qe9!eCbKDdm9$4HSbTKf7<Ro?h%Z{C>EE*>8rx>G zw#B9$Je;@rL2`d&N5KW1BNiz_68ftX(-e<fu<xoi=sHk;;jOnY|HZG~whN!EpR(6f zM)9Y0YV*D;rvo@td$wz^G@o@}I3q($LTx51|Kzhx{hDcthe9?BBsY0}s7U;3#;sU2 zlV$$@MY|(8F5Xn>m}YxSN1(@SYLddK?~50H<7!<m?kn#7XX2#5XNwJbOt|ITX0Xa1 zKFhSeJFW4$>t=z(j>rzfYbqV~Gp-!h5%^*{HB80pb??e8N`X<aXF3DF7<2RdJ=@gI zn0D~E!)Aen%Og839#$|2+|DMcrzc#Rz0OmhG<#m8!i;<kVZ|vIt}ptqE!(drsWCDk zB16nZ$B5f*+3Z$^!)Kj31k)4`d2AL~yd0#XBT_*kLu>MqJll;LEdFOZ19=R%dDzc1 zweL+kc-(KZz{81=9fhY=Iy{dUZ+7@_r=)G8#dl+|)(2}W4ZFnC8Xqo={7`UF=Z8h6 zn9>|0ZbLt5Hy6W}dB&dvp7U~6CUI3A)DbviIHg0tOCmLpW7$mM#XC~OB=lyp@`s;k z>R+9vc))bCK+?3x4ui`&M`k1n9eI<pDpFxalGfyuGP9G51bocY-3)K*81$HN%N?7+ zDxZATsi81U@kqsHfyAcB4#SHoEq^3tpK<EwZHv-crt!PS=7<@ycy^Dnn_t0|f`s^( zo~db#2~!PKyOQ0`72G*tyT-Ig-n+-<K#(&3#xp0Bb<96WX!FGI%v#+$C!u$t!X(Ad z>mn6)r2BLxZJcPl#<+<8b?=?yY|8u_Zk}+?G5o|4-D`79mRVey>wE8<2aO9AoC2L{ z&oDXKCwqzT_s)4Zbz*fz?i0Ip(v=O;ZgPv-C%V5#ePUSk-!PVUxy}CmLZfI;>5!({ z`Q7gOb+S0wV~q|ny5ABxE~|Ceu>IA;70zD^k1TYvcoXnb>spnUOOM;{*rE>MvdA^T z@;g(S+UIwxAIvS{G@oO2@W_4ZNy{r9SXmveo&M(GJnwIX*HzhfJf7ryr|hJl-mWJS z=5LEO%}&)9Gd$M+fA7|i$rJ0=1*^|^?lmuIj(3{G>q$R(4ygW?csq%?r|4u2+tW$P zXUa|*KD&{+@wC-_RbibC)3v<YN|v8<FAM)9!X0aL*k}5i#Est17Vq<Z*1X^QSuw-( zGs~IuW}fGGvnfJ(?k02AjX_qq?;R(74dSm}slIyIymgC%=M>7$eYnQ^TfsTi-xlvD zF~<~~RLtA?gyU|Ey4d31?{kyeUR<lT3~9ReZHend{-TpC&9a%nUkX?7)XJ`EU&nXV zy}n&4vBA05@IsYWh>vC4j+CZ_*Q_+22*pLd-f)34E_%I*=;gk|b&HMn6#l(+Sa|xI z$1A=0KAcf)uXr~}c~8Mf!?;_ihDYqSolcri^Li5Jy_?*&k}AJ%>PH!QyxTZ^mxRjw z-F@di98|6T@nTYKP0>k4tKFN#7Ik~~72KR!(c^vX<T8sddD+H7?)Oqdk7{hbys+&? zV(8J{V{iGUCd~fYV_UfL`mU6HETv0)H(p(6w5Du@oSAiq{A`)5malxK$E;RsZByQ6 z5z=IQ?UBbeHNV{{O~HLzG|yRuwDIy?J?z$gN__6MD$Q;B>nyKugv)02XorRBWcf!G zt4`adzGl^p-*)c;IKM@4Tis;6|9ldsnB}Eq7S94C`>aCv-DOtwALcVXpx7?;Xn}KY z!GVRxZsdm^)hTLoR-KWjdn$#`@{p>qPr(vj!?O#Gd`efyEwc!bpDdfz;>l-v?8N=< z^5v_tcK^?~xo_jn;9}?6*ZUtGmjCP=@0ycyd(*m7<$M1x{&*aJ<hsPibAKMk&$=w% zzxs|*PTrsB<-2zIy`3dp|4v1&L;la=NW~ecff{MiB5juTY0veiyb+PA&%69levh5Z z&x7lPWJ*`;dHZL=yt>ufo=(oWxyv{BH(zh=t<oQ&w*M67WnDZn-+EhKZ})z2|0f<% z&MRBQ>n|uAES3$jxvY3NnD-OcZ<ltK?<F3E@$EePrXJoEOPrh7t(M&Q*2*S1FMGnr z&h>mhbHdw2@=H#92y2exd|%@6A+B9SzU0J*z~(y6`6V6~e)dZ(d9ld3ZJpJZ3%>1k zyIva{sQmjv@m!|CgU6kGoO0RMH6D~klpD!hT-bit>PumGJ7eApgCnK9ZK^Ss6%VG$ z2E|-dJRHjFwx{mZ1b?>@k3x@j9)A;w=^sMcS<aVu6b85RY~SZ0zw%VV&h8ig*gx{j zZ<%~<;q0oJ|BhL=ud`BlvB<gWoK?z?C1n=%+LaXxo#o|ABp&SLbKY}V;eElqR}&ue z@@dAsp73xgpY5J23;X-6crJKbmkTZ0&Q_^@&Apwaw$$UIe>>0L(ie#he6>7R5*r+? zcnl+&_aw_Ka&B5^^`yIA)`;i&!gfKcFBgN`8P8?5o^iT!QQ>{g{MQrA1!RM4t}5o2 zSbg~r(QYUA(%^uzY+J<w$9s+UuPe$6lzJ3~xATaXym+{culCNR#D>{cJcZ89dzkmH zb8gDETC!u6bDOr+mx9Q4JH3|^_)V;MHhQgA+{0|Y(7EZc)f47kzMsFG+G`>gI`5lx zK{4Lt#f0^;CMVcinXOcAEOPFuwMx0M+L^z)<VEs!KIS)<5<BKfJz+k}Co7b5U2%TQ z%L$3A`E2K0QIy|ND)FF?&-u>3W}}L!*V(4;3t-dVsC7WLbcy!<zXk8Rzwni`-M+p( z=k|?leBXVWBA9IqxLNqmG93-ve4yY_cS)uKx9_9X#oPZSgmL6WiF8SewLbhMe`NB5 zNlN>aFD+ZSa3i1kevj*do37lsv1#GL(BD=vf0SawPQB7UyVlA??NjDfpVO~b8^2-| z(AJ*wS1Go1Yu+>Mz41SamipNo{98QtM9u1LJAO_5u`D7k|MIeZzBiBX9{;cM@6?vF z2Z9e?vhNJ9mFctc`FVM|uHE*iTjd#9*Vk=iocw>ubJOBZ@7hBvgXff_Yz@8rt#|Fl z>l69kd3^qOAyCcJw(`r=zYd22+K<0e;h48$vO;OaE59cF-*-GOSn>RsY|Pna*e4|1 zX4O-s{xEUM?FY$AZVM!|yxnB>;oF6nLxIcv3XZG{mMMG1(>`Zcd$Zr&<BZGY68_J> zbZp(rJuDmE`@dY)xa`xl>N8r~v>x`vs#v7kW@y{#+CL4bOn)9w+5SABa{2QB+nC}N zcI$5Wcj*6kqjWfR_lt*<V!sq#)MML`AnrV8d$-`ZTSq09uT2t;zj@QODeu}RVg2y( z@)az$GFq<w?WZoBURd?wZ9wgpjq?Ru<9DC9a9*$KMZS0~&xUTsT{n&jG`~q|Iap?R zOdwm+#Qaa!!fW&YUFuNZCby(C_;38rhA;0{mpCnW_4Zb`-jlg+pTE6jZn8D^NI~?u zTU(#ko~gbqabaEU>TO?nd%ylj@clpiSzG+AM~mOynRUDTfO_<IeKpghwCg{!mp3jr zeSI3wGPZkZTrzteidbmu61R}360xxPqEqnWMd$;L3%d^pF7j_wcDm2x-c$F^Y59xy zeofOpTnoz&Vn6tniT&tYCicU3ncCZ{S^4C@bIUNwiC8$<=oGkAggof@c;l{!MBdKH z392@_1p+q3@<$FAZ$EgPd%NNR@9m05w6`lB%HFPcO!|7ITJeY7+ivE+QrPi%YHI8x zORpWVDT+J7Qx@)sPk9)=?Zlz=-V<BnXDV^;H**!MEA7(x^GhW1hp3iDeb;u@&JT7e zGjd<fh~$tHS?ct0--$yX7M^(YFnQw9<I9!28_v0=izJ0jR6W$E<lXa@RktNE+EHnb z_06ah#T!8>3va}vB;E)~`M6=@iATwi6IBoVQu6L7b)CkM-K}GIO=Qac_N%O&6{>5r zHVLfJ*%YuwW0Qf7{-%l>!6_d%?mi)u5I#}$$T=nN7GBqB5|6n~-9P@L$>oobnn)yv znpmWUnrNiNGO<V-AMH&)X2hj%8Z15`l$176_0SC^@1C2iPvsN*9F-h7i@SALK6mMe zeD2oa;pQrmPD*T4KD3C*z31q)OyAjk{~z$_tX#81%PRgr>iHE@3O;L3_bWKOGB~FE z70>lER`EhA^85$SuHW3cY}xj#+l=8k_RF8nzrW${95ws>IlnEn|Nq(b_PNf_pGVhk zN=@?<V~sh)y4$1R-|8I3HQlOnidV3t%V-^qY(G`-+dF%iM&3==w&rh_KNej6=Phsk zCaK|dnc)%B-4YL1#r6~))!CMv^KWNMX4%AqU9n4MB#KL>)kUuKdGtC-_V(7(JdbXh zixk(FyyW@3>G*+3Y*BSnR;Moi#rO8L)i(WykFJ+Zeb5w``y=}2_UE!%2MagPlKbA` ztZFgSRKQbodv#P<R;d0vhScn`#dj{edT){KxnSL#0}0+tsgWuMFJ5m6No9Y3^M~Oq z7p~{~#O7bz^6H8F+($xswR68+Pn&FUF7<Zdt5e4%GrSkA=~iJ&iI!RU^!hKpvumxl z{@<Frw?byaLfv&%yt20+-kkpOr~s$>_A5`=f35qgxOQ&WESoLGrqxrHD|Na5(o5O? zLdC1#wu7dgl*Zv?=C2<vIsDAJ=)lZla6y2%R4eX=VL;PCHZQ{?4w7wBE7}v;UM25n zWRpl-py>8$ioW`9X^n#!%u6Mb7w{zWUO8;R{PeRoGq1rx2WOuvn(=kMMgdJH*}M#o zI!Nx4SkWF{_4e`V<=5Gy9`rW0RjdyF$g#9hXpeb77eCvn4;LM5Ym5Tq=YB1I%-u7c zEx%*kuIc{ocS~wGmNPGXk+^`zmiLOYKJ(X$w;h<j7+w&lEj_jT@L!%O2~!$_)))q~ zZDo6vIJfavME(LsGl`6jUMpVj8z~D|X7f%->TWd3F%8&PWELQQo7dz3AM?{}pSf<T zZ;S$(?y`ApJnkU*O=?AZE!(T){f%rE2@4d(UQFSia+zn!`I$UZ{HO6uIX{W#%3&Sm zuZFiBerla_a6a=_=`WLW>ooSG+BXk7iq7L{pT5;iTP|sD$^sT~-YJio8ja>$UpeD~ zw8p_m=A{<N3wV~7o@$>S%;vS>zJum7NsYrXb3Y{}^fd~dF$?I5WILt5*{`zP_OL_c zSHpm=Otw=7q09F~%VsX9xnUI0<;ix+;G%=Ak3oRl8L5nhLN=+SHGY-bt&TbT+;YG{ z(@j$2un6<l4L2QXbBr!9Nb{N;VVnCYuXJyt&>G`_uB~jRHn=U{^E*0s0n2CJDM?Ek zjn-Vye7|g(w8lXr=A{u?3wXT$Ehtle+RpVnwSSlAo{hTCviJJ`+<0i^&B?W*^K8R+ zTv*X@`+T<!*TJN2r;SGz8R+~~s(q%v+@w=Ftb6lu#?a1$iN1>>GA2uirR0l*s%#Vt ztvgT<`HdsdZ?#S04x4H%1NCru!<dDl1wSPZPrdckL(V8>s%_Rvy~X8q$s!zOE1b4f z+{+W=*p}&3)w4FOF~K`7W5tuKfBkNa35{AQJEJnheoVdG@<k%}4AXnHdY|xhsg8T5 zUc2zc`?iV5>e4%>#I~Dx%jVVV?vu#h+_CzyP&t=v>5kcN%|mRbF23?O;oGj+j=2XK z<u6$+Tcz}}N~Y)}uf?3{N9BAsvE;|j^YA$(9vqsdys9v1=8U%=rs!VH?emkJ6=k~h z(B+)p8q1eInyg>k?!R5`QIMAXxw7d+MW%1vqi#9*A8qf_*mw5Mjcxl<Ux$^d_WZr4 zC3NPOUHP@n8>`kO7yssaD|Op!OV;n{LfifZBq-ka=X}fX#I$GAa{u4j)FK<!o3PyX z@y&awSAVeFny_?P&ARU*ksROB^8LN0oywjW%(FDCQoDFk?2;KjYX5nKecN=Yd+Sf> zr^kzQZ+`LJH*HG!bgiu^56!b~{fyca<{iCCHG7kPdH4}N-;;hJn?$$&nq5+SYDw4I zwV~VQtN%{c&b2>Zc05cYa(#GL>Y@IhYo>n<k@=szFXGkbqegz2Gg5cX`WB&^yE5X~ zpBe8ImL*+E{rGO$Y00e4we}*jUTt{VUSqc8T>texp<~*n%Ik%De%sYX+*whc6?y&3 z_hUk8R~|gtyYF`B^&7ihP8V8s?SayaWzV8_mVEa9`AGNm%8C_J_LuPf^e*4AWZB&P zCGK0cEcGtmGR3buXyY#9y)l^_JAGfK-d_?d6De}JS7=(@<UEhlK}Mnv+eJR}>jY_4 zy~@a$Eh$#NI92QJ+qgyPt9D)7>vujRc9Le(*Q#Z&KFz$fAl=Ji)y!KzeD_(4EY3ac zS5)8Oe*f9)ro4xb73Jz?OFs?{uMIxFO;&1lwE0$%%}?2nO;I_2KYE+q!#ZBQrfSn2 z8t<+>NZJwHeERTPsTjMwou?dqsw*w;lq^-T@w8mC@}TR6U2Nh<S4qY2XlEBxMg%sW zKK?2H^V{uj9*OP>bzWQ{_5IlPpU>vMs?Yv!?7xE1yXWYMRJ-)-Uo-i?*JmDil>eF8 z|K{ZzAH*lJ$C%44F#2uza1!Uby49v@SDkrX%^A)m!!mvU)mf#FGVV9}8|g{<Z_wG6 z^W(nk?eZ0ekLGW^cG}i{d)Dn4bt@k=ZDeU#7<#*E&i`vywzZyeZ+bSrQoL{GGi4L= zf4$|O7iC+0UwiHMmF2N7MN{vr_c~c?y!W}F*8g<p<my01J<Z2;H!m#P=v(z<^RZ99 zO<xkf*j9a?Zh6V%oP_?S89JX_ye!^M;`Ea&o^Z-j)*|wO!j3eZ{VAN)Uh@1cN4l52 zFJHFlaaV@i+KSgNKF?kG;%HTj%AMP*x_CR{?$69ht-Q@(yWcogL~&a9XWKQNpUXNQ zA3NA0&YCamd)9O7{iHPoPG0gK-+!C?au!GPi=>NRtsg7yh*^1Ae#*+Ct4dbtC5H2p zl>WDdcb)T_`(X0M<kKZx$NlC$Y!3eZ;oi#M70<6Q?<swyc+cW1$NJc+Vov{_w`P|) z<*ZA)-2LW0Tp0Yl;L^(9KVDp6t|@w@Xk~rg-bp-py<Xn6&)+uRxwE6r_g-IQVvbGH ze(#+BYfDzJtnN9h6zYBB{UpwBJ5##$>dj2-S56mMEvt1{s{Pf%-QaN+Hj6g_&N4+S z1o^D4NU*OtdQoMYzq*urZ;0BA2a`09?M`VcbldqUbJfQCuaey=P3EoBN!9L>=yCtQ zqTlJHf{Wo>_gaqA>lL>J?mfNsiN?+9qgVdO&D>ye<!QL!?){h3db?cLD3#SNKijoK zdw*9%wvT75>zfq^T{rAy6F<65DuyRIyP)z$Q1j{IY2lVjR(JTWo1(S4!|+#5Y_VX^ zx`VEUtJuU3pOA`?bG!23lVQyJo}H_Ab=_ED9=b4nbw}bamEAhtt2-9{l9^S#Df9^2 zV@9pj9bffkCfqHLdc5k?NuHwg#^wjx?3SqT87e)TwsGE*a6$90H&woStnQGm%AX;1 zMC;KmyT@KvPxWLkuv;lS?UmM}Tjf#zFHd+^`^8+W{#xNz<7GN)m3~QSdz6Y6UX9r2 zIboer)$8IPXC^KyJX$ePdv(WYy_x!7dMqb|3%Ya5u!#3OoxVn??S*pFgo=xE%;U;4 zMGMbW+}#{{ByzP@m|%L(R-;(gIoFn7SQ!!LI%kubZkXWdFR7~oo`xRre7urVGuCyE zL7Z@+N0{L8-qNTOQ?2%T$ae&LZ|bs0vpf1pXJUAltKZy&Rl&<=Jh~#OW?jlZdCso> zPCwfNEX(DR_5}AEoLl-i^axM#?z1ILFa75FN6)c39J2gfVps5Y!<#GFXS~1S9CJNf za+2J`S^J)9fBB@9v}R}F)NsM%p5Em)<+_PmoK;_>=ZLM0I^;0j$KZf!@R#zFJd0&4 z7fZYhkaV*M;Xf?9sy~s>^guwnR8og?ufdIl$0Sm8`%**;9qun(af*dK*666>^fLuF zG{VCK-M`FT{%Nh`nG4~9Q)gSvSh-$FYLa6|V&}fDj1%jXw!L1>@o+-8VE5E7liS%( zl?zsDpIxanRsPBQrEgrrN+*7tcWPfqq_5nYlbfzP-}FACbJbe)?$qA~hbHc0zHoNJ z?oA=*V#A%^ty<4sV)$g8-u&JEH(v{MEuFRd-2Er&O@{*4-#HQa?QXbk-TQAHrZ<98 z{bt^JH9N{|`}t)(-cuMYo(pb1cJ$OEmGl)noAURayl=a9$CI!3Op3Q%WxanWDu;zT zYh&P<^+%5xtQVWzzH{r1nlmepiY1ikZWGv?wb6CP&$+LqmSmrmJhw%w`z-tYD+$Uw z;=6kv#p#;0?cRFB=FZBa&mNcQZe!S<wXt<aWVi02?4|q9<-Amm$&WQUX#F(ITPr;L z<mIUgHH?>>pK>;A*)GeO3F}<_jLpxh3fq`Iw~da!AO5U!<{aG(?=4H4cAxUGTd#h4 zb=;}NKC@aAo_w$RA2omXWwU41k26!cpIp2z{rk)vt)F`nI|S#wGOE1t{TO#-?Ag8_ zcK_c5XL+zK+}xI^a#czydi$TL`lb4_*1MVs#G0mWSXrC8)z&+5*3!PBkaLd;p3a<b zCFrNof0?Ik5z9VbX`gx8B6<Sf*3(I@R!^#HC-|n?EtSjByY*^T$pqWdfBtiRKJ(Z8 zmoPy(TK&3(b_&myCyQ)0$|nR*o>^O8EOtuxl+dr8DNXyHHTgdkx;G;_Xw~PqBP)aV zl)vJUpR=pI-|w!w`1O~8HU{4lQ)fP0=>6@(8P(qv?<O(tDLbhcx8n(ier!>X^|zu- zA@0_{Z6{Mh)o(n%qWR6LwC!$NW$sT)i#>t!qE~x8t$h3XPw>u3db=ivpPD=^)zp0b zT_4`fN4(-E?lcSBTbvt^r(g0nPCi4lf5z=H<1d$alWs@Ox?N^oA}ZSd;!U*%|M90h zQ{VmDlbAPqht_3%yY;U!&z05ng*iQs%3+z$v)SaV+sAEU($4dv_+C8>)m{0sBC1>W zz~rbLMY-!q-5*XEY+rlp($3uc=apg4wt4Sgd(iE|S}|$Y?@>8Cwpkl1Uqp7FbzdKq z!}#k;61U+3vFH}Zwx0_(EWLdEpx(>{tBaTwrmil&-Q9LCRASS2)iWp4i*K`vS)RS4 zGTrCHA%po@EACm}TJ}aTt;lTq-FH_w%OujGL-*GFUU9-{gZIw;6Qh>we4F<<GOhTw z?I~Xq&vhkb&v}B~AFe!?`+b&vN@?Ei_tCX^w<qLnm}7P(H&}P}Z8_8U?i>TFv~vqg zw%aD!xmjwZ7q0%<6#8u2d0yw07b;(|pGlo_Hkbcas^NCqC3jRNr`WBw0mb^AZylgm z-(zwnw{^|A-2SMdz<IajHm^K+=i3fT?x&st^PlWUX(|Or_dKi8rgXo#k9G#9S3JC8 zyQk!pUEEH;{-nL$X*V8Bvdt+uX?Jbc6NcHbMIF9+mWSM@%RKJf8#C+3oo`bU^P;YD z>RFU_N&C%xICG`rwB1vtx9!Z0)H<IVFY#>K)N+9jcMP`Yo;aUtF7<5Np-J;^=PmfT zw&G)%>)szm0`oL?KU{fe@<FTA?R&L8rcCCKu>I}$zp!e5^-9INUp}8aU+)vYZHmgp z%Dq1f@0)VR?K`5nXG<2#`ZZEVvsWMcaBNj&MfTO2J)5%_-$iZ}D4)7pZsxQr7oV0* zOlXZ=5|Jh@8Ftf^fA!l%{ibDx2fTJmJZf0UbBX`Z+d#R8leVl0vJJhrM|i!{GI`BI zlmB>6nQOJ}arXN8w@t2_m#xazdAQMAtzwCZy595q3s)UqJ)cX5_eInx^P7E-e0@Kz zPy0CO>Z$o}v<|MC|7o&J<k`3lQGqWMBOZTRq93lS{Y7BSzm=D4|DIZYCD+=-eCxX_ zMmuHRx~#nuUAy`00^v2KuS9;&u{!)O%XZbP-j_$dCVpl03{~0j#rf%*Rf|5odhyqZ z|KMIH{-bxD_z%y0C0#XXd9Ix^`|9_<Zu+paclnC6cl+|VZ}Q*w)c57=jqb8q2Mu?h z(mz|+?p1JT-L>fjmlg`;Y+B8$x~6;ui?q*H&1%6P+g6&T<}=;j)b&VtYT3jGZJ|$= zSKZYUirL)t$7TI3*Cy?&dF!;ordxckHwg)U`uEA{Wm;?ZEcmnFg7d|tA0l|>*01pi zRPjH~_fsZ!-9gt4OWDMaUXzO9x$RS#A09u?GPV1;6Mwuz_;Kr#pXK*=Wq&*{MR;Lp zhHkBG{`LN;OQ*il+W%|+?JND$cs-e{eeXI(uCKFyu<)-&lJwK?oHhHNt&ysGSo&$A zw^~Kc`N#T?9#<v%B>TFmOT50KdCao3?W5n_!vV|RB{v208(du3KI6$1WuLNFhRgmu z(TM9(U-xLKs!yq^Kj$(_$a0^y;O`esul)Vv!I}Doem~nI>dWO8ZvOnkaMzBm=?_<G zSB5!-hkxo>Fk8El|8UHv{)c*&2RNq7BrWmoGdQJsTq1U^dREaljqvaDc@78ezP9#g z62EPoQHk9mch6tPO<LzI`}CMO%z4&{-><3yuN@8VtNNevV*9D;Fr}B<UVNUsklF8h z_pe!f(Qy-1jqlX?&0Vnn<%7_@Ej#m=587;ROxkz*z=i|4A2wXb{jl*w<unoIIaY@q zmcL7!5d7Wn#!7aHM^~KBl)VysX7N>Ga}Mhi-I8^)j~!dpc>da|*@|w?oYT2vYSu+O zSYQ$SAi*N^!H0rf2Od6N_B%y$-7a39@H=e$)9<p$i{EWy5WmwV@ceEY$MZXF66v{Z z-+F6`j%OeBiQcLy&5^e|c)^b3S^rh<%TBgF9zC_yJNx3-F4wBBuVW9d3{{ax6WY8x z$oZ|(L6*fc<cgQXsz|tRc8zddV|TFITt!=`S<B2cesYe*Dydnkte36#Fq4`2<kPyB z-jhNLC%el$VLfTpa^&OcU%GG7_4X!P%becF9sKt&ThisNpW3;f1x)udxV<v?OwlVI z<Fi$(-|&mCS(>T%YS)j;jK(?k{{IUOTHQXM`RVh{ZS$Ac??~AD_W4u$m<?*HZ7U;d z`d7AGEt{AS8n%@uiL+Zq>!4G+*Tw@2jm~UO?@C%4n-Y;IZmYAs+iu#;qYU0}lR7HP z3=awImPp<hsq_C~)624n`tom-j)v?$VbHp)cWdM;zD?8XPoJNgF3r2ixpKq*<Zb2A zA3NCQ+rF3_VfSakx2>~W>;C+&-4-~#ShGCz-rouLR$uY?`CBW0^KI*=xv%<O$*10k z%JpEIo5=n5y4Zi6l7&T4uR;z@T_1WZT|Rz!{fs@Qyj}!dQaCEG%F#~sLjAkPQ_5tz zuG+8Y;eTqs^2~9mv*HPBk14GQmU#I5`pzXr_sZ%|q)+JJ=?gUSs9-bC;H>(wBxS+} zp1dnHjAofShn~q_;;-SFRvXrH{^>rWgr?K+%gWak?P!sUpK0M(uDtw3+7q7G-aF3X z%HJ>EoZ{Q%A1`I#96$N?VH@FZhSyt|&lo1!IhsG@*z99*?9BQl+KLAHpCqM}XZ)KQ zqI+shOQw~|j8)EEf>tRr);RM|E_so>Xqs{tr&O}UdPDxlCeM=B9AoOa7}0T2>Tz~O z?@@`Fr%Pt{{TJAKTx93U>52!RtiRkZ;5{Kh>8j?@h@H%u!CjtJt9Y27xJ9?g{d#@D z-Cnls#qZ{#3MHYp$qVGFvO~T|U9X>%9q#45BlA$rx_^`Bu{rxYpORyfTG7wSCY7+S z@sr^#hvYjKJ~>p%J<EtY_1WOI1M?e$B?3vi-6Yp@NApfe@L6}-JCk$vmZ0QCSInd@ zEts+FX>aGnGY`IQxaLsnW4J^hVN>I=8!MJR`1pCoin;CT=N_*plbdJJpWJ3rQGBiM zc_&-$f<2zn8u?e)q#oS;ZpHiYh(of>#iD1Qv$tP%_-R?ccHSKQb3a?k&h4{!KDo_A zLoRdYho6@I0lPW;Y<tWD<R9~x9Ef1%{dk~Y3kUO+b?uiWj&J?Lwnycgq{e)~%$IC` z?vzRI;W@lz4(p#gZPF|H7qUqubTqcz$hL@T`FCq4>z_MpStd`?3!|;OC(J+UsC#$9 z{G*D@Ukh$H)ZQ^#BK=^Qn{wPfW-lw%9Uu2bEmaD<%qD$C<T@{>@g3s;{<~Y*gg^3> z8((0E<u!2;XYMuhI30PW_*&%WV>apYx6C<elP=F|;t=n=OVO@oeNRSGnstz@>QMz# zuhmwIGWjm8YL=*GU!A;u#;3k(!Kp9Q&;LDr;dr!dFyp-!21l}GkIh&zPgAtGJv_@* zU`q?9DzlnIzDM(;rBRzgc)kYn#_^x$jgvpmV{#yvnfK!%hh(3NeQIpKzRwDua#Q21 zcco`ffOWj1cg4c8eN4sMt6En|)V$9)E`0c9EOTnba<g2mw#&cS*7vuwNj=!u_(?C) zJDg|v<SWyhjxtzYb&z}~9rWSD5r^6{21^7ImNmA`NL^q!FZ*TJ!h)S;c}W?smM&G; zbyMzDh~otzyA00md*u@pIol4hy-Mt8{A75<ftknng1}*3lVk3>>5H6Y7goBqTU~Ns z{&Hc}h8I@VSteq!Q^IUEYRo$xrRLIa6=gl=Mc{Jj7427VnRZov)G@xm(9LUdL~HBf zMSJGoUHh$LQE6Vl@0&{s_gy^axaI80sM{iY->Yo>zVuIt`K#ke75^u*+3H-_{3>}l zA9K$2#Ex96o{wQ??Sx}Lxo!0po5F9OHC@NxV8+*3#uruBhjh>G)T^8v)!|ceayIXk z<6_Lb8?HJyuQ8O-JtXnf$lzjN|AkN5Z5OxRvQ*FB+j+}U{qSoGXDN}_TVgsEmaIAW zI&nthuNi3z7_UiR;@t=e_iI4{rd6}UvKD#eUpi;Ga{2qtyk$4m$ogHm0$Llmy7AYI z#052#*$WiQBr|#z-a6Tt`l9UZlOrBG9IY+Y{MM}xQ$G@55pF$4;>5S?wTB<K-(D!| z$CGb;^<Z>B*SlK}kF3~!dIHN~8(ra~E3=aq*ojGHG`O-!C3Q9)i&#H*PK4OH>qTnE z4%yy1srq`q-j#-*b0VzMH(t5DRMuZ--?uDHcBM}KC{doBmGjg1T6eB4voS2Wcd1WZ zO!@a#6{U$stlw4`n#*4}v(dobe1_z-tfe|`E4taF9?iM6zdNfYK$g=**KSEH|3&S) zSI_yz^v#R1?6~tS*j?68(PlLt+af`kYsL>AcJS58Tup39wtAAjN?yY$-`3mk-HPW= zI#tixGOJpw-<Ip>ZIN#f92215s9yE-Xx721Md9=E7SwcQg0bQ`i9WH1i=$F)E{4y) z`bk^wr|R1$ld|i4W7#--3XV=-JDRF1{)p-Pr6QemA99$#8Xk9GK4W@8Ae_g&`-1!0 zzIi*&gm3-Rb+35dtxGJriF3=&os7H9<}4)=zBQ)9uXNwE<clly3<B=0l+t(~!fSFw z?(jt=o2%<KzsTC2`BOCZtsJxJjMe9+vg$sZ7$)S&f5%-`z;+|^_VClQZ#2$cHn;u7 zwCNHn`j@gvCCqGWyOC}Y)v`UxvqN@A`z_1vz1#jq_3|IF{2uf?j!F2-wR4eg&d6RU zQvCLSPijT~T{fu&vlZ_OwDa7PxNEvTf=%ywR^cWdnRRpBHO?jXDDrk4zV-3Qs}D27 z@{&5LvliI(Nd$2eTyb!gF}@(N{^~<dpX#s`cXwxOKfd*Tw{YFcr`~hkGcqrIv9OPI zk>>mWcixMW+E+veEmGvavao;huk*}vE+uwcw(7YU?;E`3+SIJO{>!Z9cO;1x&k9{- zI`h((Apejv8DXohr8r&o-P$$twCdMI9kEybzUtNA7`@BCou|9x#lxO?%leP*GCm+A z+ZM6vu*CAKCP(=WXnwt=F3libqJ7lJG|+Ho)H-K=?UEPCd-<5xTv3ew_1fUr(KnH4 zjM3(Qmxk`GFj`!@{My`CNA8}V7r*p;^o*3cr_)mEdCx2{{&N1_JM)urzji)hNZ)+D z$j4~?X+29P{pxF-7F+N6&3*9r`pe?-w7o5M@s_+I-J8?z^{{o>-ORq=HuqujH*=L< zD}B4?l0RO&zrxH@@Jf-*;<Na}uh;c#k2$#iN@6rP5!i4tq;BD223PUFrzSF9jNV@o zb7;xqeG_c+QcME&6v#C^+!_4+!<m)8D_&h;wkdk0$Y$*o;d8#t;;Tfu%yWIV#)W<N zyHDOeD*uLcj?d#ZOT9v)1v&*WNrqdi-)Jxzo|JxfW?{Rg-`(S7%jFW<gZVdJUg>`0 z>6PR+WiOI)?moW4`OS*UVrIy^;+RD#RhFxtm;{_DiBU2XzWe-)(qZA_sv^bL`2Fr4 zuU;<qU~Vw~#``n(bFj~;>iOK~ru59@w#<I<%}=)X#c9mcmoJJrobt>@rCRG=&Vm{5 zcDN)>`g<(UC23dfjY=-LK8sR$_qkOK$$qv+3YW`0Yzgi!ytJ@;=M&B4eg(G*Sts58 zvidGtmt>!|!m}0Jb1V}gUR<%&DSA~S^!m!rnrkaRuUs44zv0Zv;}P#J9KH64%bihe zcF*TG#-1B%O;6f|iu{hKjV)?uyg7TDn&pvG>t%{sLcbL+PExbHUzhht&F*MS_0t7O zm-BlcGo0Kjb)0ch?}5@h=7TcT>iRq)o99L@nUOG`JE*YsnzjGI!)(jnB`*%<-*9qe z`;9kOlzC3YxtqQ++-6aypQn*qI;EgUICJ7+hNCIp=hYg`w>e>8_giX9q<-S#>3+;d z&C>Zaj#=t0yrFyd$(e=iXZ`LT7h5iuusWE3<Jpz&H{M-Ie)Hqft*)b@cV1576tnPh z7x}Mu{G#j2{Egq^ALTu&sb*Kr)6OeMJ$G1c`kUnS-h3O*tG3U0ek!UZ@Y}xNJB#k* z`^SX*-udl_>)QFr`d^n^;@>JC6Y}!px+{`yyXxL1ws?Otygjv|AyUush|hGH#2v41 z7`EL^-S}=!qJNBz_43^*``(qS$}QUN{p@n;Z&q>dW6j^nCh7dxcI$R$#CqS&>9IQ7 zt8RW)3yW@lQz_iNa^<%pN29X3_8O^quUz-7xx4g2MEENn<(N(F5A|*yH<&Jyu)>?K z@J6Vf;I!ROBy8V4*S%)%{&v6E>G_J^f_%g5cfS=?i@YtfC0t_T7Re0z;*FbTzCAUk z-BR!7@tEl{3G=-BE*uWcGkkWtUiX>~_nW7a)>!b}IPIDv#=mWP_YK8&dFN-}(2mPE zAARP0&c*33kE;qr?)m+-SoV76>TNgXpAP%g7T3P1_4MLR*WFAbYbp!&I4_l$yH|Df z%C}M5^%CcQJrSdJ<MkxXZ#z=j?&{q<tT+8l@^Wv!4Tn|RZ_Jy0BlO9s-Ir(2wK}M^ z+;8KhmBwo{mg?s}E1kF9P0Q%!%jdhEm_B{FbbWNq!%wOC!TQ=})9%lXi~2QR_n)b8 zSX}t?t<@LI-4tE6%lA699Q|Zn^D_GFo~gQqiA_sCb$5!!95PZ?pON}RM7w8B;?jxL z5vfn?!e(#s+<2@-^4hEo?WJmOlJ|15SL7X1d^fA0MOjVe@xSSpvdfr0E7dPBY_g8` z`o8Scnig>@m5P<lUEx+K6>FXO^GjYlUOP>>t6VC%V!a{1yvei2i;gkLT#x7wmg+7D zN;dR6c{jIs-R`@p-#Z`8o-%cv^2<E`6S7%;Vt3zqwtE$xnpJY??z@tcEY>kbNAsqi zDY&CrZSibUtxV}j#=adXc9Ey<8J<5q_1(7#!Ri(-uKYak_KM`U%U@c5?TLFSJDIz> zeaZW0(Y||{9>i(>6=*oBs&(+~o8Jmy{70`gE#8`Sd*4RWX#(ZPW*=#9d^erT*T(;- zT36-K_Q&1_k|wtY9#xZH+-brk<#SVGN4r<^?32@bn|^ooJ`F6$|JEMjbF6I|FYj4Z zo$}bDN1Qh-x^Vb8Q>$ECXsaCmYHnM*Ya&%lYtHWTN&a%B+kbt^{o{sKQn!=#UcRuZ zg*T7+pj*0H)W?Nc!Hvxck&Vqs-i^(P*^P$}Z)0ljfBSCo(i;!W4BnJT|46x!`(fkl zT#gOLb2&C%&+XW7KDT4z&CHBPmqjX1$CwM)=<GbTtMhu7J1bw)aaO)AbymK%<*a=C z=ecC;=I!>M_Nevtfe)8*KYX~A`{CoUT#gUdayc#@uk}^_QZAjuQ?PCRv$lA{J2Q{y z_eQ*Ub@fvJ&;EkSOY48>7s;;JX&W!I(@po|S(A@*{|g^gYw}+A(AIQn!A>^ugHxqq zM6PEQ7{;tW`1GM0ub#Ylb^+^-(B|&-jadb*6#>oN>nC*S3mo11Xh{aAcy@uH%!-4q zg&Ww!4=<F8k>k7m;8Woew(y3<raPQuRv#37Si!qa;&->cz~PgRmPBwa&ngg{qi46E z{pe9O`SdPd#&cq`o#tqpxmv{MbQLaK!80lJ(C(m(-4ALt{|2Y&g>%YhZ4|6obJXqQ zN-=5Y^q}Umhp$EjeZIJL+7+fft5mlNOwZcrx+9{yH~ym3I?<y)rGy^W-q;zH!;+r8 zF>ubxqsIz%iOp^g-g={E&YGiQ4=QxG2~5x4=(;1QTlZL})H>0_Tcv~^-@W-YDu?B8 z){5OdNg29kZHilO*zl}7`Ybs>cN@dqtc|TN!n<{y?nmVqR$adkT=gQ<IVq0QHhZHW z&zhrd7x#)uAD$7FBPVtx>9gT}vFL`KTW>gl2JsWibhk-t&e{=Xmv(K!jQB%6Q8_G^ zvo{9%tUh|oV5`{dcFnCfYJAom6-x-x-6kNMz0q|>K)3F(A5!Z=8wyQT#HJW*6O(qF z9+e|vo3+vK&f10I{DsTe!ds$EcO=~@$vu%)-~GmIrEB+p=b9foTQtwUwC7Ya|36*q zfA}ZMjC04gtY%>mzWq12`~Q^-`(l>|EuW^U!?9ZM;KLX5qyOBG3^^oe`Q-3^nV>n7 zo%d-?bmkX-a^bkEB~O7T-_HW=_L_*Phb6)nXUS>yc__`_6*Jkn>2;Aup);Rk-l>J{ z(M2yFZ<ArWq1CP!b|SH5YLP_p)G6+5i-Vp#oFVelLeG`a&m*v7a*)WwDI!NLWEUQ- z_gh@G@#F?8evWG{|JNR^pXk7OTGVNSucF9p(L)=Y73IpbjvUu(Wx26-f<U#1P~v*0 zq&KJMvmCv(r#1fJU#^GUnXMmMH#<q3(>~(--NL`-OMpkiJTA_Q-im@%+A41IEy{&> zUVln|npi9M<HiJbMzd#*9ln(UiN$h9ZYa%9njCR}m-ACgLcs@p-G82Hs(ZeQx?Bh@ z{pc6y%5yjL$->!PKNfkqGVWTT;gT-u`eVs6?PKot^>!l7Q|^EK_0jRC?0SXwp)Mc& zTzU3h*<y1hbYj1y7MDS^t76(pjYB@7M`tXIk#B3{`e@*wX!lE7#lgO{<;Cg=9JL~c zmTx<_f7_4eQ?|U*_;;(2vo?9E;Frr1uJyf!JRRD9gJU_Fmv$rs#JrSyT`^Z$x1A;V z$%LdeGDba<o%tU>y>R?=*74}$udl^g@)WMWm3}R-Wb&sY;)X#_5?6@)lu&bJZ1WE6 z5DXGYTq1H*A~g3`>DNW!`{Hw#G6~qM*mj9!N`bo~%X$%~k5P&|`67=Vu63%}6YSCO zos09M;(W)h_R0evH27_7&N0jTe^NMb*@okz4!@Gk`DO|ICv!|Y?`2nQJ*L)@5Ve(a zD(C!PD?6^Mi3awB2_DuEt&~`)B(EHDM7z1m$!5(rZ_Y(CRy~=U*yUv76UMQ=l#A0K zP*G7$L*-CK>k$d@kXI?Sobs!47tDJQytVPl=TE!Ey%Z1DS}v(jXy@U7df~9L<(H2U ze9Sfz4-5D|H8|vJ+4FIa)%!KeU&Q1-`nt!xUa_(=|6dTFX52}|!`YT!KDhDK?wNX+ z;r$bXBh{8Y9~}99zEFNTabfoq_pn3iCr@*nJE3^A&N9V9p<Rye)WUw}B8dkZWZEp0 z+6DVgCH5o-$=Q}n{q$mLLCmKr7WF3+9xahEnls6nfBDl3$L%b63c~oD=S(hom^>}y zKhvE_TLiW*)i@-p*;Q!%r#|}6c9X1|cm8D8p8mFH`GKDw>bLw5XZ;-2uYFa}_Til> zM^D8{r)4eOYCZ)gTQv3B|L;9oKeb@;i`jZVrBrsTaPH!^da^n2|Nd<m6A!uVyRb{> zl;P2<F?SlWgXE+xGm2kNo&00*+MP2V%`6F&dTppT>Cy?WjGC?2pH9%{G<19=FC}eY zxQge?*WR_a)Y#{#MBhr-B(v?Xh-S{;aG$ktf7^tXc?!<&4ST)(NAxW2Rr^Kit%?`Q z1nEq2ZaZ4^BC%8ESA<qO<Fu2B9gIa13%g~Gz0i6(F{w@E)4mn6WrRwmJKK3rb8eeg z^kU)kDF%mqG(Q=Hb=91iwuqs4iN=u_%`OA~uB0>lTDc2q)_T2ItJGwtwdloarM5b) zPZ#We)kiJpxmA*LcVX@7{ac=vt|$)4G?x^8`!Qy#t=U%X=cx&%CygEnJBtSLgbB`H zwX);<^^ha&{-Pfb?ux3N{qNq5KgF+?#TQ&#FA}+1=$wb(!OZ<dM{j+Us`TLF<U6H! zl+iNfhJL%;x04I|_ZCS!SR&I_q1-MQcRH~rJV;K{&r@mrME$1|9<<5?*-UqCOD}ry zaE^Mb-Mmv;@&}(997(q9`QX8q95eOPi4E>TKVSTC;QOiL%%}P8q~hUN%P$4)e6@F` zIor)Sk=QZ2NaA6e%&{GMPcI(k^n9}Tv8m;g#f}QCBH~XcJZzGwve0k0J9jFvp}0sQ zDZ8}n+PUL}n*NM^o`D^UgG3&7h#a+e^S@sAU$xZMHy0Le+?gBeoXmc&J=yz%ee|Df zKhIWY$JBFo*Tw1hxrL}dWD@9E!^h64k$8Om=az)!P8wyJEs5KmY~BQN<O^_dZtz!B z{HCpPD6RE~#gFHAJOg@8&1cD1YX4;aXzh~?P8xk0Ee}^X*~kQQ?62bDEO1g(^wUx~ zq}h7JVy-=B+r^)gALPF9`RBezQa706s7|ZFnXry_ORkTG;fjpUG*yn&w7Ntrm>|LZ zS^Z(6&p(w4<>)E@1@qIpMV&UfDDrrVK1y8XRHGB_(ICpjxzT98qrCO%)1{0|IVY_v zlFv4C-2S9+tn8=3$tNEYN*$*OG%;T_O`bVrL#!*y<d7rkP4k*0&aFCeSW@(-#o9#- z%R`Q=Ue={FCm^uraooR}A60HAHS?2?Pr3imtt`ZK&(xJ2iL<+GWP&F4H*0YfIJoZF z9}w75tJSqJ+)}=+_SDZ0&$hY$l+DlI9eQN9ov7iPkcsWGTAwz?y4EaQzDVGFsK~>a zT}d{f=Z+tads<U*x_Z%{KJ&fDwH8$@QQG$+d}2Gh)~CXFSH^cMHIBrpF1P!pk*j(j zueIgI(g_^aqC&~;0g-=9w!gn1wEAC+B#Y~vU8UO|MgDz$O2$m^RQ5{K`f2rX?OS*5 zkbC_v#!_6cyY<qqIZGL(|HVYcn|3c>GpWS<nNRKpP4VrAcfRVk`joiK#&PeHrOdX1 z+n?zD*(vpL<>mR8ceZqR?a*njk=1P9^L>JITXxZlhr47xd3MXN?NDr2e0MUjg}q4P zal;h%uHBPPRJR?zspa3o(*9(^qXjZXG83Hne?!Wr4dHywInxev?0#x+Y+cUcX&uo; z<x6C$cj&s><#`4+%nlNHv`EC&Lf_R;FV&g3|JBhySxIsNZ%(`uUAmy9n@e%W0)ej2 zT!|LT1^C@X9zE`HVww}?(GkqmSrDXX=$De5GBY6OeDn`dyLAFh$y^I9RtdCS<@&fG zK+#T2L*+nCYs-w~6F7=Rg_8aLsr*<mnO$z%v&MeiN`VA_xt1N1*ah{TGp-jba+`JJ zPJ-t(=4CDZ4V&_el<!Q@oojp~R%=nj5~a3Qtxp>qT<xB%?(qK+B9b(zOX*BtV9(8) z*UGypwoUo`@N4q4Pu6jcX{$Pt+PWl`tv+$sMD*v3Rf`ycLqw7`bye5~2KL-N_49*K z=sF4gpw<sUp&ku8xi~j^dRiVbYCRJ1YWZ!^B~{*eWo4_^9^y#L>`7`mCXp8Md(SSR zW!{1Zw`6_S$UB)k!MW*ck;g_qKFMpR7Pgxfy-1!Y!xo|1UL&OUv?*zoh@sC!CH~1v zPaId#<T42Caym0*5y$K$8pn=hEfy)C<rOiJo#piEwI+<JJSU%h(NvUJBhcl@l_;@7 zfd8)Oqs9B3n7#yibnLsD=q%8c`cvjJYoJ_3#3Xh*vFDBrn=1v9D*kB*wmo(1Sy*&# zmdpFAJEM|Mf8S@Y@m9;JB`y23lqA+EbtP&gNvu-hcMf@yyrGMUCn&I^(aW#$cL2xX ziq;B=<pT1_qCyEhPEI{x9xZ`forXrY_b5J^7Bm0Vo`v%sly0+EStPV9Y`OoVX?H{{ zm(0*<=b8NE!eI`}FNThM%stZ&3p{>ea45pE$I$JRvTMHQ6Wd=ImMRje?IOxgCp@g( z$}F(`$-Gkw`_+pi9&D6pt59hdlslE!!+v#I-1!UMRyy$q?@r%S^R+CL@25&A-_M!= zzMm}td_Q{v_<l|a;B&q+;V{Sbrv}GNHT&hNyeglp-c&tl`uY>QPHMS^Tc*5FYL~M* zy|CZED2UPSRAL8zkY3G~3F~qj7=uKT+C*Gu=(!p$`)hgkmHN);qVtcwOq<hEx%T+3 zS0M_~b$h!%YcART<lZcUgVMswDrA?hUM6DRxi7PJfnyV|)sh=4oZEbFGEJJ2e{yfv z(;1Ia_3{pIYw}NxE;^qoET6pGy~wA~IqPNb*9z^_B}LxvVz+JcT@aDEZ+iXJ2LGGI z)=ECtXU^SRUE8$PQQR`8oj-_a?f<U+n`|b6+n?-8Gmq`up?ZDoFYiL{b^B$6cqTY^ zH5Q#P@ZkITK)v1WU8u2Q-pRXD4-1q(F*uZK*;5#K%J8VR=abrtzLqLG^x8$PKbi1w zl}yzRCDk(*oVB>_g)BYcuCK{;A-c=y%fv+-wM#YJ_HVevwkf*k`{uhdc?4|&1dlq4 z8r}(-*nZq`*IdUZ(MA4EUb`pepIp0k+wnKk?p)5AbW?X{y4IqKbxLjGTAw}yx!T=Z zp>bew*4MRnCPfF|{g@GcC`(gm#`L?3g#tO(Kb`PhpvdE67@y?5lMCCw7rl7gEb~b& zn9sRp(qRt$CkAfQUsc@eOIoV(N$RHQ?x`wH_m(}GuxPi;ColE(J=abut}iP(@p`Vz zr!Mt&LAMi$J)%K!l3^Z|PZmG+I(gdtcxaKw#wb3?YbO@AZ!LO}JXeM-Lcd+n>_lQq zZ;?du&MEHvr<dA%x>&gR$<xJ;#VnWH&}y%7)o+*Ec6wpIY>`C5a+$Ups!tEO+iP-N zi0^XZnXrgMf9Wi#$5W@@o22|ocjwZ$UDA<(L5VZNXBKzw=B$wvd&Y2Z(FP+Kl?HSE zR)IBv97m&C4b}vAw14ILxG_MH(M+pFKUq{LakEoW&dK>KM<4BJHJ`YaOYz1sfv&e) zi8mGs@LP*MN}le-^d`)s!<MUaL%5=$+Ef3gM9+JNZeI{+72pZwh<~oplDNa^$C_A0 zJ2x$r0~)O#Qrn%BdICLqHvXLaVS`Ej!`_@ZP8`RyTh`ANIb;y1D95IK<akOe%Zx=6 z1cE<He%YwQ&!ls{SwQ=f!l6?(9UHyud@73~?)G_d%$E#1e#l7k;ifK*bt^iOrgllJ zTY2Jesp!ug3zhaw2ng(m)_OEqQS_+A`Z@gbuV|^=JGL#9{l1Zs#Tun9WvwKORZ9HF zL!La|(Zw_;Ah2VyR#$<StD#@G`d-dQp9`PX9FZ+}TAp+xNbqQlsG&~4#P(LLPa8d4 z8O2s>91#+Ajaaov;`EfyA2&o=%HR7mO?&@i-~86DA9tKwc|M0cN!;31vnDXGVXGF` zM!%CQ`*pqUa%~7ztl7100*APW(Bnnnk$+USKUvl~)zP5dJFhBY=3%exPtsEQjn%gB z85bAYriH3syQ#bLrlmLYvy^^cwZdH?vt}D_J-8?44&Ue1_N(qqx;<wt-{js~LS=@Q zYB!Ew(pw=j-M~cp#_5KH2)Tfs6U=QBD<3S3whNTM`&q%^ybZ@iZGNRM=b9yIi!7Jl zm~Z?cZgIYxz?aj^UH>W%7%1@D@SI_mZ~mlk;DHT?p$fke&)H^)?JMRKw=wTD{%Bw- z{`sN0ID5s+-?beFBmeq5;%F`^?70^?C+FeEcj~`uEBghlH}gz;ER^<~@!%Sp1v6%{ z^B8|RaQKVO2SZ(cCZ5yH0>PU;`!LMUd79Mbx^qT(?amv=n_^@V>h$;w^~K$1%<fJ; zv+~IPZQ7+;51;HmX?U<R#;4Fm+*s!HQMo>^jXw^{xEC49A1*RHx-jNUfq{7S4Yi|> z@&(?UKf34bv!t%QJ7*;N>wVi0-F5W(*Yc-Har#AuNBd&VY_Jutwoo|wh=0}HlSf&$ z7aJa38*`?>SiE|N;?YORAFdZ09^4w^Q)nt~Jm=I=IX&-<KN@~7jqxck6c3I$ag^tI z@y7PzARW8dIx}(MIVX>bEH5@Zd@JTn;>G<-54-2RFZ2o1ejWWk^va>uo9iddIM4Y{ zH`ndt?n^e(pFcMw#K{GmIm_H;S@|Gw8;{G~xl`F|^5(LO7=LO=tdgskG41J}=hJds z-OQgSHTmwGah|2v@Niqqnd`MdI-hpvi3{tTJ1UZ0WOz7BQ|xVKsNOT-X)$LuD2ab| z-6zuhZL^NJu+G_|BHl%Y&iCu5C&#@A(z$hhO^lC$vG`}9^GEj_C^pp3*A#o3o~5TY zV^X)K+ViA+`%WK~Q}f!GVJ}={xL#=IjHDR7G>O^WwmlP*F4nm{PihL>IU^}WFHK@% zx9ypq-%IqL=;umXZ9VohC)=gvY{cL9nWZB2YeGWyhgLdlFyhw;d%}45lg)<>Z|+|{ zxrpJUJX7o{fhJY1hs?8`eq2~4V7KFG$BKL0B2EVJiXxvy4jF_i%Bel=Sn)&tzpg)r zokq)}y-pJMG>;s<EhFgrsMm=jPP^sNR40izts{r;$_Vy8-s!|KPqXFGN+*eV8b=O4 zmJvMrWTF#?oL0-DMkk4P+D8uWl@a89*6Q>l#j0G_WwGQcfi^|1j|LX*E|(>j2sAZv zEtFUw(Dsk(;{|>9Bl3MerkvjV<8xW92)}E7tHGC`j`n$$O8+{d`CA1_!Z}>cTMbG= zJKFV%7K`vMEtV6AIq9~@XhFok{Y4G>E}st^{_|f|R_*8R9Bz?A?n$iyIzb$VlUgex zCMhfJ_ZD^9;G?)lJBZ`3S8K(L*~*I&^Fuh~lSQ32Mk?||i#$rq?(1mV>#N8jEaGGs zp}0p&`^e!7GJ?F-Gn_cuv|IEoMGsw{!}Za?%H8F#++u;Ija(0tr#VTmX||LvuvC)z z=F=*0CXC~#Osm0~ppJH4kWf{tz?x8w`PVgC$`{;Z*4O;_!Oq>~aNTl&rtMr0V>dfV z$Y~xq+$|%>`+KKT#q~7;_e`~0%Fl9r{9sVsr><!8@OY)e1@F9Vi;VcsFz;J*o|*se z(?dy%62Av?IL5aId<o=m&Tp;wp)sF9n0I&jIc2GD*+-o}x+&H~t@?B7@GcoaRYPw@ z7Gn`7!&pV0%OZ~wv-&#PY+V&uCW|^51}gGA7JZZ$($~>;*I9AT{&0@>??n&&u5SJC z59G*qYXqA7cLs^_R(II+8D88_t#QQpyNn?3?%7TpyR=#sbvsE|X&*VfJciHp@V^xT zcF%)2<`-+WJlyE?V~#e+lZyr7UW*)(-sV&>eWAd;4aF*%H@F`1Ml0?~)NV1)X|1SG zH}?vBdF&+fmKDXxpBs{V<P3VwF~>c8;`H6+u-r<4CPA)+66*xo61g5GhxDoNMk}&3 zi#i#4DDp6iK1y@|IXhmF<*$g-#c)NQT9HQ!%lkTv-YpeqlK#~C(M5B9Ajjd%)(VTM z%8L@`hj1L^Yz>eJ=QwQGT46Cuc~PQ#AjiSP)_|OFj>C?v6&4ee7bX7x-?O)L#v7}q zr$4XyC6|SM)qJriJSN!os`1|4iYEm&T8F+@b$QlX<!P?;*-7__k@4raG&tC&Y<Xha zfq%;Tx14+LF-<ix!TJreVrxRZ$HE)pK@#8EM7XP&x-2%9w~I8zDz+x2dn8)O1nJm( zo3FBHhCC~4Q@dm1BgcS*9r7YStWN272<?*=;dsZw+I8Ep@nKlNhJthY9f$S`ig2uB zXYI;%Y<!p%u%Y0nen-(>84(UWR@Sa=$Hs?F0UHYL>38h=w7A|)ao4G}|MFbFOu5~} zba>5zgv3Sh0(YM*S>Rw`sS)7A$;A`g%p^bKf9gZI$?uCRLl*_lJ~O2uVUkpUPYAPJ z#_Gn_k83{sX_v1EH$RriaZrMHp~PCnnoH{x#oV+yUsSnga2&MbU3g=)B2Tr+kwbo} zg0Wxtcoko)QWV>z(fMMUeXyzGk0pvC@}@2RpQpNfk$Z32@@Q_G!JexE-0P>hlxRdx zaet+|w##3%mE*9puM9W;6qhfC{U$9BHns)WTokZ#zbL?ceu_(p$9dD12d!-Zd#(uB z{l6p-cg;(wYQ4FX(}yr;jd$4`hpTxXuJ!VC3I87GT=Dv<K-YPxL(k8i5_=T;Sktat zOu>fv?v;iGyQKoY1TgQD3t;Bn8*=m0I?k`x1e&^6HGf$rD0@wyO<5}G;fhHekL{N! zvK%*UIe*lo<>8XH2#cjEi>z(dDzc=Tw466KZFxAS?Zd`sPZ#kjM`sScOpc?fyoo#3 zDAsr_lG1k-j0t6K%Fc2qjOCTcThZ7$TT7wu-!v(KJ7LUS)>#J%!g+se31mI8;*%dQ zhudmDHN#syfy`|ivl0?pxH=Z5O^_1c31RMH%sOx(o>%8fFr(qWFQLp$3$q*y!+9m1 zt!Qk$sin|1FEY!)AedJpZ3W}u64MO^eym4U82j>SEL+8RSj2RLK_u%Q%`H+NPOg!P zn2{hluk6!*zX!e_J;mR9u4CCI{(fn7VpHx>_kd3iS+23MHkmp$CY1&xM2L#$tl{A@ z3u9qrKi%Bao$9FAInPnCb$X-n!W&Ws7g*vhG&p>)(Fm~N=Bl|QETUt>$z>+T&dT23 z)YRSXsMyKwsMz|uagMPL?~^&I1^NQbf_Hei_8jKo;z@66YK?Y0c<k(g2M^o=3JPsC zR0?%9mK2yyDCVBcY`I-%hfs&&y{)1mKMaILB=p!=xy75BdOtfJJh*eggGJE`L~q{^ z;jq3T!Lj>>0EhZUI|08$S?xO-?*n4*hV>^NjTh@o5X-Lrf3|DC&+^RBU47rb`z~de zpE8YEB>xm++xb?9T^rRJUq90Q`;*~dZ&AaeG|K}8s`4B5{N>SPujr5B3*gabmv9qd zx>t8?t3E5owdu?v-KQDbRO1%RtN8u$o$3Qtj;d+QB70|5YCd7k?d93aedhM%DNaK7 z=Cw^{7EwOM*f#NP<<Y0ixt4|@@t-ry_HSPo*A>^WDBAMCg~PYEif5cwy|Dbio>+b@ zm4|Pu3M?HAx5y;Sc=Pra_m;}@v+iX4zb;xI7JYNZfloPg-3hLV-ASp5-QUw%b-7n_ zN1I&}*(Rf-owGAy`rojl@gLW2J5_aW{k~kCc8+^LokZT(Dz@?Oo6y?7Z$hvCz6s6e z_f6=&-utJ)WyPwMTQ^$GJ+SUsgTn@Ejes|tTs+myO|7+#2ao+*@Zf<%KtbV(nf%77 zit+2EZ+R;n^^DxI!Cg#tPUe4e(R#%rzgWb~#J6*bP2E;0te5*)Eauj>4O_P4CP!w@ z<~LlLE3Q4OKI-G)U4I@Y=bHB3E4R3{Y38wA+kBLt)qULDC9kRYUi!lK?)l+=A_59~ z-TU@@?T!!m<I?Q<<=@g5HLjB~vUB;|?gw`1B@5OjXj;wpG0uE%?R_y-XA!@i>+{Qr zT5oIpHFx#6e(_xTqQ)uPyZU}CSgoI?)%;0Om+qBMU35CaWqxeeIrXXQwtRoP^o5bw z#q?>5K4&geep?$2)+)L5#YwY$4E*nFy}|sKOJA%s%j0}sTMXuA#*0Yl2(F*Z<$5n9 zXM>PP;Bv9=I@fL$HP4N|vze*;MX=Y!pH7kYzBdJxNOr%N?0xa4l7HR?Z7;ARbzPs! zcHBu2p9XeDMAtd-sG{cB5U?AaG_B&bPV$x&g01<u^hM1^W%C=VU?(1*w&?TIt~&|S z!5Za*yUv9x6*b3(fi;$BTE(ZF;4P~JYYaTfc6$1UOZ%RSO0QvYy)yBrZTE7nCv3Yn z?MP=8yXZb;(PT3<^BbXHW7|cI&qYrWsVp^oEF==xF1|P_w<tO~xJS!Tur$U{D?ZV? zIyM^23o~4GS#A5q(xWScL;`<{F5Z<}^gAjZEahRCwaGUxTDmJxRbvsKhMVu!lW((< z4Og+aUU7{7AUSuzqq*+poA0muk*0WI+PX7a6T0JHo=8q={$UXor?^8kEu)noe$@{r zmglDfJ?%DD)*bcEsk1cfl1}ycEGYIvRR7SUB9^w*sb@Y3P6P246|rz%KXv1j`;4dI zdpI_q7R-s!Pqvm%To?aQNk_-MpkSv=LE%Cfk4H(C6AmranO|GoShfDn?cYr-*KdE` z8mu|vK(66J?e@=-k(z3qb{9{dUX@nw(^TC!qcv-Wd`IMpPSYgY01?e~KZ=hO+?3x^ za8iCt;YIn9g5`g|Ywe5W5?i&;azW+pJJ0Sf?T-GJk!N=+tlq?2KDXOGN{Ii@#YsOi zW`jxTzZZjcyzifO$~_uFUXKa<_`Gy$QlRm|9i9e(HSB&ipY9q?_I>Q}SzOe9MRum& z<!!IZruO!}{I%`Xtz9`*)1O`L@tS$He(u>)f%o698#PHz-M{9C(upjoSyI`?TYZ1F zq=$9+MH;0<&DvxmcxIKz+)YB}ovTB%_Zby7O^w(59{+^X$4Hvv*bH8g;InLP7t@+s zS&ua@h)8?F<K27bkdyNEjVDgXo-rsAzuarnndvs~(3AB`*g_`fu3NM6q?$o=UJR?7 zf%|EVXIYYmZFDyq#GlU5xnRT|y!FgcC0*~N!l(V(`}7SjewnV}>8sYbRLW_?nosJY z^~;qwzg$;rVzhEG3}}~NdpV)?pjAq8huV9Q)21znt!)tzD;3ScGCP{DN<B*IZBsD} zat?a2T+Nqr&+i~#j(c@0b>^wXdGnWaEchQT<x&_g-u-YMU*wL(&SvwnKly!hbC!t9 z?r05{N_xDs&7xwVqMlr~hfu$XN^5)kzZH+Fg*?rZR&4sU$#kyaC+*NFeVII~|M(s~ z->h)ga_;JNIcrwttn^9W>>Jth!%ONam)!g3FAhHqT-)%u`BBHI_|QKgC!;sb+_kGj ztXBJIj>Ur&*&mgjU-~McI=_BSRrA*j*+<HA@A!%aFmZ3~c`##Ipv-lnHsPqWhfAj2 zv{-7*(zVg*h=E_bPS15kY2lI+2VG=~3_aT?%~<2C=`%5!)%_9k{v^HW2N)_Pz7{r} z-Kyrd@$L!9vg=(>ryu$3`)P|-vF_6|wf`<1;WS%W7WYWxcF&x|=~~6Qt!L)0oRUx* z99$fl`t>^JoU6`F#Z?}KadszXtu9`)=}7eKby6A!c`M_hmvQDyu<kHYtH?dHN7yW} zZM#~|!?~QdEB;UYw?ku_MBl8$)s0a%(iWI)lU~s*%l0a1dZSw5sR9?xH&?{mCr2dm zm?Snie)4>InDdKKQPVs(zl(=YNbZu}*{bK3w|Mo-4;pN<4GtgI=e==AU3lBagDtW= zrf+W_6%h7Y)X%y6!f)-DNAmNoTV4;kd1;ojdF86BG4b;SHh;Q#wJ7?L#_V;XC4O7A zlms)@87XPH%vs)Z!jtLwWhs{eqqSbf^HZ4Id8a&>*kl&c^+|B<Z6VjZ?kNlWr_Kxd zVjR%+k1Z?FVQNfv<*T_pwW3p_4zc{55^-ks9wx~pK6@HC*=8FY6%c-=zUb2q7C-Z_ z#2M4NF3F`XU=ik>@@VR!*V;jCyV<fH&TG6?k+OhK?zC_dlW6cAEt_DThRSzWpBUtZ zR+?JgY0-SARn;eyR*`f_Y~HL5z3FOi9&EF@toa~kU8}z4wNOvnZgcO<R}<DAEMt8$ zWsOp&mRzy>)%DtSVr^4Rr=Cp;(qC7uzQOpE(}vYvQS)1LIL~x^5jfNHCE!fg7lSi> zr;Lv7)8IbbsL|cJI8aRb_#z#?KKIBNosLZ^hPtOF9V+>`<y_gSthHzTGoOceu06B( zr=V8j#!oxmJ!W~_Gbia!co$DtX`W|#(bTUqcO5I?RXcHA_w%%zTbmOeg<mo<y}fdm z+G+lUwf{7pt(s+Bz9oCr`hzySYw}n9lL~&qccA%^&ZFh0YC<0t&bj|~iI(fR?kW9Z z5i3kStX;M2*sPdcwrQ)n+;gUOr%zi|aU^VG#WRiW<*}(|lUL_uWvuW?$ZKg%sB39X zifd_}-|*?n_7@MDA89bFM&vFKS<N#gap$ZqrDvu)JR+Or&Z=y<;lMdfLgQ!<b81A` zQXPHA*Oz6FRKJ|=Zov`eVo`DOg>2252g?P*UKigy@;v_6rfj8%oCPB9_g@KaQ;gS| zz4rQt*V@)gHo9H?{6W*NG<e&tiTsX-pME@loYPICGT3>U;pL#i{^us1degZ~>xG7I zPXDL0%|Y{8D{aDO3SV^3UR1v3kCpBZiJ!C5w@u!Wcf2}8_R-vz(=T0J!Q_>&YntGh z3r1ZtqmCJPpVm2Z%}Ba<%b9~Qy2Xb6rzg!=pQ@>IK~z#czT{#CD2FFZoEGSF(Wvcv z{RKnryIVf~_!WLyrsSfL`24NEy&p}Uw)DeC{#i=NGZx&I^?tBb&(rOL+w>)^ox8X; z8G5$M_*_#IcP`cFTxm7skjd3Z%>Z`0pld20zVWO1eekPnF_pY0?-Tkptmf~<sOxv4 z=6ff1t(v`drEmEw9kzuhy=I*>UB3Ayi`^ebf6l5Ezcw;0eUZ6<XYUhLw&h>vuC_7{ ze^z7>wAAX}uX8rX+e81#ySG+eROCJPRNaj|^ztR4b(vW{i??rD_sY84b9KbYcj2Ou zyX<1;K1`PXRkQMj<HTqC?KXx_W#8YL(4SQmHhtZhFw@dgSJP*03^Ogwnf@%9W&O5& z@mY$F_O?r2te2Ahd?CA2V!^8Izn-;~ZN1l1He2hyoL<QJu+Xms&b4;`#C-q!O8Rql z)mJfdlcz?#y1lwLtKQvQvP$9I$?Xljt1jh#V7u|>$TmUgRdoR$=WbXk_&RiEc23sA z>UC>YJr}hO2(3zGKB%y{F=@li0~cbi9yi%$8h-7;npZ8^S*uRTe+vjTzj#Fa?bphC zYrQV+H+#A#>gB3cChL!^&VDWQBIyvz{HL!KQhh$2Y>~9f+E~6xE?{dK|L3Yd3wmG6 zUaQu97F)#h-e<;xwVc6w3=`X~e@b>_jmbDtzNPKZ%nw2P3WE(yu0Lq4`M-tX=O^>q zhs5*QLv`0aIAIpL^y8jS^TYleO8C(A|HAbDYaU%^3oguW-+x6_t?KF_LEEYN+c|?9 z?E>W{m6xyCwn=OD%433|_otMbgg-9`xw`&&q2HP+xl`9a*UWj)I5GH6c-sDo>JN9{ zf1Q%xymnqtj$uHX?AES(t0aX({>Bt9m@mElmBvw9ruFU;;i`B4KcC_sc5D^nL5Zsi zuH4b9H%!>F>bs7^dR^Jz(D;J~jID#_TFB3+td?K+>aNWU`)Loe;wsp*8y~OQ7Wjxo zy=Ts&B@>P37!`3Z53MaP-tBZ*eudPRS+_eo9bR&rtC4)Fctif>LJRSii5BuNHx@Wn zy6{f@`Rm2%T~+~0;<9(F=(!&fKlALC61V)+KRKa&`UgH&zgU{1cjw^p`4Y=~<~Xlc zezDR<_tTW~RlHLcZLg8;4$iOHw?t~!=Bc_hk2XYzdMoUcxuV$B`bl?{%_pu8n_fw< zew8~A8L-yk=BcIiu2aL~_S-!BlXbN6&DYPqTX&ZHW?8q&ZQ7>B2eY!y=*3;zyRMzZ z{L6$#%U1nAQJVK8c+M{$<+PPv-U;s;@>foq*Ys(IajD_nNiwl(PYEyI(|pYBYUTXb zbF}*`FR%8UlQ2u`W7x&EY^&s~txD(DTE5@-Q1ewsQ=m`S)|G$$)OE&ud~~eOqiB+h zx57r1Su5Ngox8^(t0b}BS>$m^N8%d3NQuSHX4g(VRSfv&ch_pC0=M~Yp$IMW9wz08 zWvL>kxAr8qPK%6KnQ9hxa&71Q4SNhl-rZV1$^TW%vU95=0volTK6-e0OIBfW#a2GS zH7lmc3FHXQpX!+~vrRxJT<y8-_hSFJo6iLg*2*rbSm4aVUwYz@uk5Ce5$!TI7Zt_* zOEo&vt)?9MtW|0mxaRs4g~L+38#jbF=bX79z`otYvY;^9dD4!>ikf#qg$#{r7Amsn zo3=b!)MhZ}iU9ZWCx$nallFzQUjFoCfogrXWqoO<|1>2TmULyAnnfZHA6!<c<O(YI zV0o7{^vkMiw`Td|uKk|KJEx;SAf~GzAZFV0z4I1Z+*&uST0~wwLOK3y*2j1Go!pf_ zS4W0FDRsNxRA73_sZjUSp@P`bEw0?}p9t*`e5g<%@^FDfXl|K7&y?lNmF?x`g)NOj zJmvviiEO6~Lf+ktJ~DUiEuUny>wMWycTQ|S8mrDT(cOaonO3oc4u7wlaCwh`$HmFj z;>(03RyeaThwT0=5YKU1d=^*FgT2!NYc3eI^+%;WoILGj#nM#1T^aocUBh*~7tNlw z^g?WSipby6iy2I|TY45OpBDJ#l2O~;UCztJuAQ)V*D{cH-+Jbto^Ekr%;`xM3sW^? zrp<RbW87A^>u~a(@Jp&EE6SI6Uvi3%Wxe@gu`{2Y_OUwtofT`HJ@;H(C~{9rTYYX` zMqa!0r?vS9kF=L=o?8%Hm(_Cl(u|_xEu3;Q436drr&X-eDejw{{lQ0aYsvoSD>r%V z`EBd}<avnglh{MGYdp6VJbW^dO?+K}?Ueb29~1Lqclen){K{jyd;QJAss3(OW{>8r z+T``UOYfxrx-BB_Kc!rrTGP2b?&?bM{Lgp7ruuv|JFjc|WbxXXw>y>wFWVTqtgB!2 z`qSf8QC6lQX;Q1BH(M62d^zi;!v*(!WpB*`zL-f%RL$fStD41YRyBi{Z`bNm3l<th zt4}k#`(?s{)p9{4E2peGwyh-V2wP?B-Jl)$pPjC9F^O*zKOJt?#aEbEJ$Y5{FTI@l zN%LDi7dG{~opX;1Ro08WQKub$<J;d?sf%k~-uga!`dYSA8-n+3xO-`(<m{*1(YjAp zUt9kqe(lzZ@U`))7!N*Qzhw1HrXTqyk~Y7}(Tcknx7tenPx!X&D_*UyNv)o2_3_Wt zw`*6O`WSoj-m-f;Uo6_gYO`&1)zfEX_qG(YTNS={RGs*7?Wsk2antTCI#a%E=g!8% zy{Bq8BRclRNza!5Y85*9vqJtvi)CvJ`?oEbT|CqNW9rGH9{dMeJ@}9Q^x!|d)1$w2 z^Qo*8PiFnNI4@8x_hZ!0%+UV=JHqz)M*1GjeyTpz^x~0d_l5TMNoE2vX3`pbGkJN~ z&$4l|hd3ABoRImZ;qR%U6JbxYW^cNhSr}PAf0Io2^snEgG!Du!FWsSW|F^J7td^7V zbJdI|dl-7>OrJmT^P|c6eZO{uSA9CK7tLj^_HAXrzhg(@WFN6EbFP`Eai8<7^7^fL z;ajg=>h0Y6srK`sewn=|tfx$jmOlE*rg1Kt*M`#$mCJaiB=)c3KEL$#_GNp;dGf-Z z?&{z)n`v;=O*rjF!XdG1vp4jXs=Y~AzG~f`-KLK;KippBb*}V`$+d^mjP71~J8kx< zlJ4!%-%f?^{5<9Oo^{<XxAyN|mAJTKck28swI{pp7P*#6{mk1Q6L$7Z*Y;?=llxDI zUD$oMC`8t<e)m(Sr4?5L<eu4|-o5Q^x%R%N;T8Oo_-0jXnQ-X%%n66wr%p(E?Bh{b zV6|k2g=kRF4e@{@(hsk*ZqkU`+0nSwQTXWH0FyRR#iNJLOh`)3(~xB^cIxW(R8;K@ zUsw|KA~Jg2gk^1Q%Z_bZwd+sSCNA?=r`B#h!S#LF(M@jy@A7N!lRk9TG_)cnJg?f- z_H^`?8Jkr;9C6^BC#7-JnE9!9I`h^Ki`L!AIUFK$*861w^Lh@cyhAL9eP$%B;56Q| z)--|J*=J)ek69vnv(JsA2X+;`4>@pj`?ERM6`PW+Tnb~_CH!7YXq{}8@^}j0$IC(O zF>_XG>xX)Em{-jSW&D^uu{g`2V9UB1{-gXt6)O~3{@z;2=~~a5D6v3Mj4i99*YWL? zna^zJ|H_ciTh-X=ot5x-la$4dMQnQORyhh?zoO9k+UvrU11Y8o5-WA8KmMAnzBTL6 zZgJj)6-yL(`c02GmHYDLd<jmU^(b+zkininX72S@4;<4rE%*?`8?z^%S!Ld8N3Qc( zhhuAczaN@?a8;Q4vF~=5W&}Lm`!3C6cftGU>q>FP;eV$7d|UQ3U0QUH?)6JitqYI2 zaJ-WcR{ZJmYn%3YqpoGUlFZs(D;~VI>q9_f_^JOLWmd<eS1H|oR9ba(hq~y^Zy#&6 zxl0NwTBQ0cDR{H^cI)obZM($se>FYcD&<n()UM(8O5m`j?52XK_8gf@itOnnCytiN zdOe!Mx3u8&_f0cwgx)8tSo>(hJpEZ8J-f7aeBXVkf9unw6#`e6?B#Y#UeXp~@?mrO z8lh+UHy`dT(c`)i)2w$YqSf5KCLm3Ne{b{89D|C>zpj_MF&~uP-1um1N!=6PN54*6 zXMQ^>sX6_tOs0bP9qreQtNvE42)OqCwFv8D$%@GOfJ07^=cn$<WUP#=4>_ha<*Q0+ zTTQC%?SvEYK4#J!cC&d!)`z6}eoDQ&HMT<T&YQh2m9NLl^j$oAmE_aY%8iTneOGQ( znE!cY!baiUi`!YIFL7;auzWu^;qKCTn@@05t@t!6opVjV)j&BRo$JnBORG+7h~U@N zx#%ptJGAtXW7oV}i&I`KO%<!kp4n>`^(<k=w4FB=oJ|*cy;Y~R)XGgbVs$D@_LiQc zt<wzGTr%R;e)>=8KihPcz83-q6J-}!EOF*xFFkRHQFhbD_;#5$mlegUmzw4<R(4;| zWAnNY8uj9522-%_jD%U7!9M1RZIWs^iOV=|&q%e<>&jz0_2FFkZ*3plF9t`pUcBre z`^6|gd@rv_=RUSsheSkmZItF5kYiT8p;7Kwsil|n=8|Kfec5t3p*I(tyWUov*x<{r zt8-bl`}(}+k{SnVn3qN*Ea2hhy>dt?vm!S>_`%QincH9R{=EJ<XWF#7M^TejH2E57 z@=R=B+L}^WV-pm;@B34Ao6WpO?=S0F(fvu_%k));zCT_$^-{vC-*2yQ*)EA#*Usa8 zyR~~2i*%Ir$G`8)r8)R!@QSdXWovT|VypdrZTbtvgGshaB9^rCoL=HHHR0b5{@J{H zuAOD;TAapwcw^Zk*|(L=6(*iB330*E`<}hY<XK%ieWC5iW0Olfiar?X?EE1!ZBm4U zpIz(Ht&K4i(|4w`<~~~W?bn8sM4yOFhITrZGi0X4_;7lA`fz(|p3(4rq<#O<vV*gV zIz?6PIh=N%d0nHgT{Z4n;>kk~H>4zo?p*PCQnzzrxDfl{ATIVxkE~f#J!|fD`meg& zc&AGKyPaYtPrvHfgU+7C9~~}D+M%DRd51go*y>B!ii=lV?w*wPaN3faJ2W%-@;s#C zPqdr7k6u5?t-$q?gr4`TUhhfI5>_wS86kS<i21RwHm=4_rx}Wpx;oQM*iWmRJsRZc zoz%Hx=?04>o#*%IO)+U=UC0xq)ZDG2e6+@Mvw{DmoHJ8R*o#$kJ8w>!c4$pNTF%6o zZxt8+P<j*RnS4Vzv&K|4ldsHkR`=XV(+>4bP_vWY?R$wMtlj8shfn^L9+7C3vxm|= zi#KR4>C``x$MN=vsP)XKcgEaGN2@e9wU$nLmauk->PELqK|5qG9cfQYZ~r#?iK=bz zr5K;-TSVO2O+GSy?3|RA+_Yq;gnFiC4{zD|M~`oPb}|bL(LQ9>R+@P7(5($ml1d}I zl0qZA5>pGN-`jp<`gOKdJ{g>6ruT@PR_RTQD(LuVr@qhS)6E%rKV@{L)p+Vm+9D#> zVp6!da>A0plIbSgcU8_hhI@K1^7at^`>rQo-qGn>mwQPaUY>CIvz@w~_otgb6n@I= znQkJUud3T=K55#a*Avw2j{Nkwl(T2DiMX=!aqV5t1t(2A^kIV9u17cDHt`;N-Bs=B z)^%{wu?x<Zba*D1NdHwm>uB#;d@=meq!-GWnqT;~7Og+MFG|*=OK-QoZ$z?%?oXXL zlTD<>Rn8uC^(-#*yfkTtPNt^JYQD5vuQzRP=~;AopI#=<c9pY-ay>T}x?hs9nP4K$ zud3UrJ!#sZYZKJ=Y3z%<^dmsf$|8S-g^r|VTH86*kFg&=PD)E$wB+Uu{iV+zEm)#j zs4->ck`rexPYCSMY<4>9T$5fiWywtm<xIX~o>4-Rvzn~^I%UhbC66vY8Q)^0ywB@j z)TJM%+%Cn`OftFm_$rGVyW!6_g3~zewI+4l;pM%pp~e!<v?#nLUUrg>51;#!!|4%4 z24*@tUx@4QtF^5yJQ(MZ!D+*lHoY@(^>&e&iCdOL&JfA5^Wll!t1`cN-lS)Xb}v!= z7<tN@OWtYL47NQ}O`6gtxqWoG^dnQ?)_RFgZeCLFeO1pM<?!@=<dGr2h|`B}YWIrw zZzuI9c0Jj=A@I}98=9GX?>uL9@18X6h_9#jL$?oCQtw?_>RoUq`O)N)>CO|6-lzz= zH0g!fQQw8x9>Rxj9}i&cdib_^No0k7Cf_|zDf#ctv(8E%_x4n-5PW0QGVMU@Nsq$# zOA_n6XSGUCIwrnx(z6HCmZ%o0Pm#>;sFE}4Qhe38<Yt9Rrrtb{C?S7U-PZJlvek!= zw*;7WE=-!WByxsUrr9!&S>2wKrX4vl;aEG@8SYZIdmk=38wK@DHfh^9DJ`*Q$xR8} zOg=ZyC?RE4-Bw0L-J`d|{5_RlsAleAo@&x&Hz{r5`Xx7CsAuy1V%O*BJk8&HNa)~$ z0H44`vzwfoEmpR-BpdPYdW*HSs!AU|JgGVP;giWXgr)`Ekjd!@YkFS4c*)0~z8f03 zbQ_;enyBBZ+N=LdrFZ==m9xjBJc~C(U5Z&VX^TpjR}`1F(=3Sv(>$dP-nnqFvithY zNfVRm3PLs<S+UjOl8(+4lYJReE)^R%Uy3<1Ws68!tBK+MnaY_g!A|Ut&K9ima_g#` zbj%?Bl8(*<6KQVMvj>$ti#JAGniQdwsj0)8ddu4KTuaZRH#>APd8VtJJ>=^7xLeY* zxFGIQkcIT6BcgjQPjV~Jnv$7+bBD80(3~kIZNih%68%=3>_~lFc=CDD>?KP#*e>bZ zShjqL;G0P%U3VuP+u(jl=gm|T>1frn2kShG3mq;^vXHoRq;;S2B)0;SkPG)F)VLZ2 z$?*Ht>1@oh(fO$(Gs%Sgw~B6Sv7)cey=9(K^0lgG4<1M;&+p8xy4xJPB_raovsq!r z^`_b_8G4fs8|wP-d+W)yE317p(CADn)YtiVPyfcJJ)Ac>A5^)UOu7AV2G9CKLh(mh zRi*O}3B@03Ro!=h!&|e5LvBfi;_{}SLcC9WHr(6$?V|aN87sbhemKLdtVNam_Mu7L zzKNQhv5A_kwvL`LY7zoxT6TCD*ysfEaC`GGAD-0u`{9$^Jv`ogyV{g@RS1`<e$>)g z`oTs+wep>OyJ}PC!D;V&x!1SmiZnOx6qtNSG4bS~iv=$1?1v_G*CuLq?oHHey?apY zL2PM-WR6YJEOV6#&d4*L+S*j54<8bmKfO&=-2d=N^^Z0>OFu07)4SFux%-EAdbalJ z%ekR*XUo?7i}L?zxoGj#N1ZMGY+fH8zP{vat*Djv=h0qH;XRj^zOA+Uv$ip+Vwrc8 zh$mCKF`G|;QE=6@kVavP>?eEl4T`!hyPYdIbwXFhph&vC=gvV#<>zPbo|t?i|B2=s zQ&Ih+p}{4mj>juMPu@5&SjM=h?Xg?l!<LD+cjP_c%ahpIJ=<;G5nW~fhuc<GNUoc) zXfw+@3Cn}A%FB18KjHb_d*=|d^7fAxPRPa>7m4@x*mNFun|DY!_+!+LoUT084_8ib z&Xcq}TCAL2k@!R`PHJawxZAr2TPJ>AcqhchOmF6f=5Dn&kD55u3-7i3jIj*<#o66+ zJL}TdsdI%Rq;i!aCjHDks;}%*lPh=F)xfx|JIRel{O}hEJ?_g%J92z_9~K#?ww*ru zL}Hza+R=ig28O$uG{oH1kGfCdG>l<+oV-Kh*wF&RGhGii8JM-5KK4mMk6k-yN6ea@ zhh9mcJmFlc7i)JU29^ZX=tK!0cHLms)}0i~BYyaogdVqa(vF;*o`*#nblSStzfamR z>4wbVsfVV$;M%moz)I6@R&MV@r5j?0T{qgcbtk&>OmCfQ7-Lp;w7~L)?BS<L{v``< zMF`{;8Q9-?k`%W^vvcRgWg^c~y!5{Y&X~1leyd9)huwB<TibOTRX%84a{gd+$+^(} z(%}oH!cRATFffvp=2x=id*@mebEo&qhneY1+RxkFb`4=Yx_m{-j}zZbE*(x^G*Qk@ zy7!BLP1lzQ35n3ynmy9OFAEK<E<H{PS)$%KapF7?H<!7hJo1bA=JppJUR-w6eMjO% zxvqs1<=PY{%5gU<+nTYtR>|z?uqhK~R=VuDsD6ohYh7Sy;zQ?<vL6}O%(KF4bY2U; zT=+uvW#S9bmm4n_UrJu=zT~*$caQ$=yg=8C$F(8%A3unla`fnJ4R4|RMLL>$^!E2D zWUS0zbvlIGU$0Ry-hCDaTm4$ed$r40s*V<X4E)f4QLk&B;iaS(f`?NVR`X2n%`=SQ z+jXp9=MRy`5|e*O9G-fp-QF!s;OK%EIUfbz)_&ppIpdpNTlb?}9&zXCFRmRmFu0YH z=&<Fa+y9Ko&2<;m#A_G(dVc9NTYBO5>;3D0Oucy}Z0dp67G_Q1@zeJOr*BX-SYX%J zzo1@6-gSS3UE98mHDdFQS@B8NCM|uwAY5mD_q>fYo?kkidM~W5?a5kPA^E)Er{pc& zb9p~4Z<$W(@87hshA02g7P~g_!W^-A$2Z=5A+-FB3{Pirv7tquZl_~mjwVk};_BzC z_t_uY@bT5J<Py^>pEqxd*O9l+PX4K3m;UI71ltj>etpNJpFi%HPdk6`L4=(h$O(3f zj(z%QXezw;md385C+A6oKYkO|vu0yWO-}FA$KF#d*7h%~mYLluSD0f~b!_8DKFhwd zuJ0|(>@FSKxb(vJ{-vIUwY#o;{<-Jg+F#*;V&dz2#ojObJ6nVM;A#75Vj^1I<-h;A zE@^+TvoQQ#@1Ny?V%+C@s)e%KSoB<~IL=K^Uu@;ibbOI7i~C|<5%tBsJnoBp#rWK3 z=g4%KSz1Ws78NSonsVf8Mle^oa(3&Zm=vcy(|3vID(#TZT~r~En^Yl@yXk|*t(3=w zTTULFoH4oE`r<N`Joni_J47S3dD4}IlQ{46m<itLFbj<7GBb?nHjCULmAk1>_12RI zMO#iDJ(>|LbbGO{=9`W+qTK09!kdI{h~+BXkjh<jLo_$(hHUPp4eGa2lJmEmJh(4o za`)VcshN*UmK;C0(xboo@5Fg3elAr(7IE`7WZERHnsDGzgk6(s{h!#Q`HN~f&-A3L z%n&^9VQ4#VVq%od;?|WPd(4&{F}9i^bN)qAnN6}#^drgO8#1feG{ug2P3Z5N{YaA2 z?3i&-PDgr5g#7u8#8{ify<0!_@J%~nyemTZ{EQ>7DvpVS9bM(v#=24HiSo-+6>d$U zAA3YjKbDl7cGNg3Lh5`*a*562X2Fj=dTvLJ)eMd18MZFmn04XMp9s!Rf#nr8$_F=8 zxOEwR?BPj%EUDYmoxa7;Zr;U%EfsF!!pT#&_f~GK;d^%M)6N;fr>7rT60uJt?eE4f zeu4Kt?LGBd@zLh{)8kG{rtr)!IdQ03cGJbL{8CRI%+s6JJ>6=`5pG$phd<v>Wp_K` zZ#X|g@?f&=;vLa-x%nN2doCT@To5UJ{@4lo7ZUINx9GGUlv1nfz3G0Mqw4R%FMRtA z9y70hrf_7vzJ3Ou(;u$Sd)hkVL*gzh(X5NCeD(d+nTq`z<}9=1`sjU{JL=f<s;Fb) zGEr%dCrq=vu|8FAUS_1w`K>ywtx{@rZ}zyK=Gc~*b>VmGbH7}duBB1Op4&#HB~G4p z^TwJ~zHJ#ZyVph?n?612Sol;awYneXp{IYu#0O{GThHvDtsHdbvXPzaB_r<ZThAQx z)h#ZFJ{@Cob(4x*W+Yd;sn4I1k8`F8&be;X)g5)L!1HuP{EAdFvCNsxk7wn%{Q2;) zWtys?$LusNb<>YW@|FCvB@asaZlC&Jbgh}v(Ma87i{+_e=dx$^*3Q}&{^!)EMP_kA z$G7OTo|aOp%dHG8{&4TK>AMW&pqR@>ZLg!!9=1*UXzY1f=FX)}DtXy6e)K+z)m6T+ zG*#sF)}F-XX^}Tpq?&!p)(ZdARlM0uE`E1*Bv-Vl&z|?mv!@BlXw+X+I3sjpb+5<9 z*wYea88chwMx{Mouq@#SzxVab$2)JVP4#?p(V(!#{i?C=$5o*FWfJ%E-LzQX%*Xd? zLbtQklp~3<UJpBd9au4gJN}YU)4NyuE>~Yp=GRT$v22~p`?LMFTR-N+Ts-EzaJ$;P z8JE9ZHRAre<&0asZn1&G=@_0Xn^bmX&X~c)f6=IE;VaIr1WubPA(Lis@n2RuWe{^( zr{|K9^x~~&4oc{HKiX$DU(@D-f#KcSC8;d_S0DE#aMoOKTe4$irf<@&YX8$Zd#)|Z zeB3+D^2H)e3B#p-*Yr+5<jyO6yxe7PlGxXBulAfbR~6aSOHLdulzmjpD(m%d#jh26 zZ&fbpQ`36BfX{Hw6-B%27ZusdOHUkimi2nL(dzrXRS){CX3h9ve?gI3zx2d0ZP}s^ zPVF&wt}j%1_cDMh{EEk!SNSXZZ&o{>bc;1<leS8EJd4kA$0}z%z83*P-X$8XM_=8k z4u1dgRYuY?+xT{!GglR*i%U)%%#kfJ^lqOdvDz)E%Eq-_Lhbd0R!^&x<VAdzGZr}O z9eW)h^teQ$b!L{zvdg<mJI*gF?N~qM)csl9O7{dxPaJZU-BcLedt^y@vz2txx$1aZ zzlz{{sk<^nUYB$vuH}o2SnO;T_Ig5dsMV9CrF^Ozo!WyU{<U8UH`~<p`WWY&pSLm; zEPj{1Jp9qind!Qc49j(88Ij-0GJBS~Sm@m8DY&si^kG4P^`VE4{92SdcMJB3yqn2A z_t6|n&RGZka<Ct4=3qa1n1lWBVvhFK<AQwB{z@`@e(n}AZ+Z$;HtHXmcqp!Tq46AZ z^@Bn;gdZy0kbSt|hUmkD8*&dfY|uTFnC#wi_~11TcKOT7GM+L$1xpG}#JmvGn=yHV zkcG@c1q-2v3oN7_CRm6)+)!Y1DDkmN%i)73Ioi8}pD}GNyqHr^a5AT$@Mez3qpq71 z4qg59zBq)<@Slo-+ASA@U4MRSt<`MwG>l=HoV?@56`i*3L>Hdvt(k@~X3LHjSk4eU z{4^<zCtPTA(vF}RvayaLix*9PwD{s23B9JBhA|?alXgfvJ6;eOA#gY~Igw|2^FqTI zy|$wTs)jag-TM8Dv^x@0N`h*hL<t>s-C)wzofOF<e)yAw9=CSVj+`|;4<Ai_E}<vB zI(f&W2$92656ybPwaLKLO4IJt+TMps5#onkH`=vzCpz;?Z|yaVF*5_TzGMzRP4X^T zcuPV$x5z;6){*NcE-q71bD149<Hy&2t$o-1Y7T7BioSW_+MNprf8TF;_|<;xkA+NE zQmg`aE<1BOzpjt_m@N71($|++hE=l+-tYFE@m)qOC(-5a{m=u4uim*BrEMmB<BG;= z7HR2v(1i4?0~u3&47?_?9c61hwIOa{RK)Ut+%=&q_`<Y9#Ir@Rgpx(GxRRZ+wna@j z!qMtw5VSC;CwK+V;lEjKHG4z<d@1a3Qk7U0Q297;1y8f+s#Zs?tH&H#UnMj+m0pYw z+swHpU<He|sMb-f)>9i?7Dh#^3&_<8Uco1(9U|`iSL?b_@1mOJnjuXqxuz!VaY~)B zC_vXIY=xPcW(d2m=&EkcO{Y$mcRQ)xSQVhTO*3TQf{+zrX4)b93PM)!m1%{D@0RM0 z4KH=>&bY=Kc~3EHxpD7V&Nq5rvxQV{gvP(o`ny51@okpFhPD6NMep-6&5L0AD-~kp z_@7O4p_zWX^;268hYgGWrHk5gH9jkwy0gSAcJ=``=BHZ&|L^U}pPo@#+9rQW(^Gke zR;Ea}O7Fvxzx~?#;;+^GeJ{tWcq97%<gWd}g8N#oDK<IJ`l9hIljCq5@5TZL=Ny?U z0_?|4j~q4R^?bCVZApQP<EOu0qj?o8mMe<9H*I<7`}e!{zia+S+)uEZcqkn_&~|BO zu#m7tpj@oC)<M@+uR_m-MlsWh9Sl9rG0O9&Ts$OcxvO{crWX(9=<Kr4@AjNC<*0}r zi&T8B+1<FBJG<8!9^Y*yt8`<Hv&ig{j`Nw!9%s({*fwLm>V>_I%^bU43b@A0CcO}T zQOVWuJ|k_xa;;Y^#!RyQp8g4Yqy&0`nY%V-9WZd)ncwx&Yi{DKpy%0QOY@Sug31jd zSMHv%<jUk3E3O332$(hL*VkVqskza-_WQ+V8yr-!&3kJ5-$__4BH+L4QGS&>7Xt21 zIH0YoyhBjp1(QzW>?)4}Z+^{pFBA`#=GJM%Wl0|9*4_Le=5&tDWg~X~t!Iu3>v})h zI&EozZ>ijN)9asK-p-X(+OgVM<a<fS!+m^_6$_lr<X%l^cDH)+XyX-@qaV5AqNipa z`Ex+!aQ{&Uqw@}$&sJ&P_qeWdVYQ<_XO*;ut3Pw<i;Ew+yXLcK%$J{5^^_&-`fQ7X zTFT2K5})vBtKPe#cl>!;O=X;J+h0{TzYSMTXik%~JX|#M`t{|REB&5(gvLEu6qG*O z;OH6${R#66x=wB{&yfE*;lX6NpqgvWZF-G{>mz&ChWf7UP1?3s?ZTGYj)b**fom=+ z?%Tufv%%&F^PaYkj(t}Sb-OQExAdn^taowYyRGgulk_!&-sFYPv7CC8#Y{V-$(L(t z(qgBlpLr_NMRuo5OkA!Lbwjb+?Aqx?&2KloNSdvqwlP#J_=eEA+UD}}@e76K<c9Bw zcpNOeW7Q<ibt_W3PHWA4I4P-L<hh8};mX#mhfPjf3j-HgS*#6+y%Vs)GbVgR&7aJ0 z8~c`1x8>Mho{I6_YVr2lE5(C$tZ!!M9oe(8y~Zp+YnM;3n~sT*Z<6btrH+ciG65$= zeuo+z-nU_n?}tz$zp2YM*zL6I{yb?e$8XD%em1`&Px`g~?MV^88zr_})mr!)_oTU% z-@18^>N&l*x^L@;Qr?Hfn}Wo}l=fV{qIfSg>(b&KOBH#xn;tnN%e%2K*jdKrvVeHG zsY>T}sY!>}Sx@%MNSn5NZ<2D_80jpL#+ubP*=O$d>8)OK6RKX`@^SD_m?tH0CVZKT zVem@hGXbx}mT8nqU!Jn7d8OCgqd80G9g$f&@6a8G#iuX$Oun%$E6el2T0X%&fs3Z8 z?VDD))|q9!R9*1L&qkl_ZPB||adf)J*|lGHZjo@@ZYq*4tH|G8bK_3jtjn4kl9Sz? zH_CH=%<)ZsbH!EcZ|vXe7Atz^WFJ(GeHK02d*=NpseiWp7N1zePADA|id+(*)6LV( zq^lo4>t500rBVWWf|<LXXB`laZxrq*KNBOmc`ged>*~cmx3Yc|w0O?yU}-lM^t5~A z;_K3-JgdV*zOYG6>2RR0%d~zA%YdEtZbi*u{JG37j-T_`OU0uRwkZ;e+Qp8&SlD|} z>c!lo#a|{QERhT9x!~OPuqq>QhTJWQTLF6lbQ1O{-&e?Fd9B*(e~>wO-SW=tE7_q& z2c@R^Y>ZMhUc+Tl;eReYZ>f~PngHgmr&$L!_*kj6Ep1$;v1Qw;lH6dkyJ3@GPB>sF ztY(pSNJQOd#=|930^hq8FkQdW@L-ixKujQW+v&!GN0@yd9b6UbHCMlEiq%oMrRO$m zFPT)$>zfnu)c?xO-Yh2rcV~@fSsaIJcsCk&JLjCq*6GW4REpzW?aUHe+OcRhpJB;$ zMeh0DhjTb?zF2r__w~XvR|J|QrJM|7oh8IFI$B$$l9DIB>acjY^m=eRN7YLK*L>Ne z7mJ<6e!ZH|Teno5dC#{K=QZEw-P;?)|9CRzyVWUO>{>G)Ca&B&{pqh9rv52b2a}ij zeRNrAY$ICLcD^pVC`oquvfL!Y&{p=+J*PQde~sV%fi+GqxcztO{&hcQifw;-sdPuw zY4OF1-fW9s{YY%Ps@1dZ@lx%RaaP}7Rh;Hf?!UA4cjVE2rzH#hbR3SJ$;yAbUaM}^ z;-u7`$4NV7icAuFlInjhk3M#-^|aR-mDL6ATG|_@=uEwuyK>E+8`hK9gCYgv!X7W# zq_k+wG4E8>6#co;LH9ftuF3OCb*)L=>aL|N|Mk?XmXNTP=~r2f{`-__x^dm2N9R8; z_Sm13IC(++w|uRChi>~MRAkOhaLJsVl#)3+F(mW$p%<67^%^eCWl#3Lt#@qdw#gFl zxBL>F47o*^yNrAjk}_wPZ(o|*<^Hz&HqUym+hTFkw&m{8$hEGByH)ma&6c@GE?+9+ z^1mg%>43q89oo|lJYLi)xJNHH_={$xMAh_dx?i+%Z@-AVRd!+VmbZ(lGp#%GmcA9a zC$c#qF(7c;4~dXlYCmGmG`W6Ux#jGKjazabI%nQKcKgz{=JKVv;_th6Z!+8w@s017 zn0YLZW}ceimK`$>ZFpm@{Vyn|D?NId*;FQ$%d_@{ehhDQVA8HpY<jv+;HXi2$NAMY zirn5ciqhS+itODrisIb03suB^IBA~IPw(L`OFa^O#U{QZVV%B<;T7>mQ(tj^%=+3| zarBqdo~&BMxSjh1*2l$bw61&FV|YeG`QEvECexf*VrzPmdi0MN+!Ehpa7uiW;U)1R zgG1s)hIhohl4j^nahhLrOy`V_^1WYeKb%;aYZbd3_X*hN)+ll_*C<N=tyR2tu0~P( z?<wo;hTCKc40g*D7%rFbND8%_aOl*s_^=wmnt)BK_N}}%yYktQbjz^%!x;~<SvEH& zExmc*y6XKOqVg^!ZB-MyV_KRQSRQh`Xm{w~gukUn&pY#dT#%aWoxN@2hMbJfO#)l~ zEqf%M=FRlKZb|!t{T*Kd{!E`J*Y;oQQu4$7eP3?;$XBxE`}f(Szx%(=rO8L?rCxeI zwC`NS)x{Th@<ZNR`@Sy%f7~a^b^SNEbohh3OO?(R*Qy*7*D9F}9{t=>FFl)Fs)9UR zLRp=UuSltI|CjGp#qqD#ga7D$xtEDQj(haC)(gJe`0>25E#E)IOOGFwPn@UnFLH@` z>wNdn)>gm3lOGnoUOrK-NngoU#J=;3!5@oD$q&UR%1Q6<`C_r6@5_!Kp&^2|UdW^t zeps&2-CeJKYSNMY(y5*g@Bhv{s=syGnsl#(v*wFpS+e(k{4Tn`t4MW&ZeQ;M2N~(3 z2QAFnoC|Zr_>OP9X(4p{Swg+c?C#pa9M3ns$=-?nJ-Ze~h$|b~?Rndmtb9ZCxZ6hK zzTQN4nc1yx3v<k-9p7jvA%6T>QjSctQ1YW2K@zfy*GXJE?$Og<zxdG&4!2_)1A97> zlO^PirzJ+n%<f%Sn8Vk0WaCZ=nd8%rEU?%n^6S_NZEoo#m1FED><V*O_CCI$QFU~q z;g_D|%@_3hdi8Z4-;g=en_O(D;P&#?4T-}~6M}iBcb_$k@yzLb=#}`|ye{D5-3i_` zy05Qm-8`DFvNP(A$M5A@GaqcXU-Ki*<J-Qvuf|LL0#sEW*FIeps2VMBeg=DLp$F%k zj1Z=#*^la4m{dN5ICJD>a~w_PO{`d=C?=QH(aSFN=)u0W9XpmQdfvG@LFC;E?)FEs zthnSo*JpDal;d4^W4R*FZ_^`(7<o4q1~|*eToMp(H&y9WmYQ@Zu=Jt9ognvz&esij z6)n~&id36IH{dCn`DJ%B8%sTUw5Cm^(9b!@V!fUWxBr#E9}$I)&K!DKuuXVkei<FT zccmUZ=xW=sW0hTi^!h6Y4tkpwe01WSRI!dt(<WQw`&_04e@?Aosj4~Lz`3jVkFc)a zgZ~DJP5<w<X#PtVPWut>d*jgmq(f%^{>MvfXx2NXT>SC=tOB9`b2*oPxG(KBb<yn1 z`+J)I$otHAP;Zjh_5WnSgFXA-{OX<m^7F9|`z2Sj{y*=K`)5Dfs|WuL1H}JtZ&dqO zFPXvhe|bsl9-pJG?_YjuE#BXlaLfG2THn2jjgf+UO*aMkx-13x+CB>MaWgB+m_2i~ zkU7&|up>g?p+{0;i*l>$GpFS<vizFnvv(`Yuy`xUh<Gc@@SHv)nK~oMEMi8E*^L<~ zW)?Ft%y!I3FjFx+oa2%B%)Bnq;DhIv{+>Ax+V`7?EZOn!m+$7r2l;2%y7rq$OZ>Z) zv*AN{T66FFnY?`a^38VqXuCP#$o@35B_I5IRDC}(Co}yy^22y@<Ad{O*}C={NK5=X zH^aS+OL_Z;Smo^>WtGbrl*>PEcbj))d#_DvzNfk2-<Te$|3-%#KjeE~pW@udvae^8 zK#geX!WBK63?c%>xa;LpHwFr)7Eb8fv|~l@CY3<(RL_O6E<c0+L<@F)Fjf^#Rs4}W zrS;Kk4Q^*=mpsWJK|b!29PPa3haMj2EZDI@S;q5^-Jywx<o88?T<a4Y8tuDmo7rWx zy51jF(vvvm{a&he{;QIX;GUCp>mS`tUFm7_;djU-j(Mp^f2~@u^<$4|$DgfBr7xPQ zr`_hdG%Y)Gt<&~9+qM5iC4A(GV)Ea#PvPL>H5GO11fFO<(OUiLXmnT+*USa^ema|X z{3*4%JLjC(_sI_OrV20S3iI0(UYO#r{*syF`J{E9ST7%zfAV_Wo%>-SPnzQzZBG3< z%u>F2#Sdx0ymf0n^6)n^9c+FB>L3Yco!a?k(qxyW^Q!6DH-CDHaoSb!Hx^EO|G_zP z_Ji7u9<Gx;AI!D)_^@rp_i2AN-r;ZIeD^e4;c<1BOU<q1oPBIfMh7(`#pIV;tewPp z?#XFGWj(Vlf771aukD=2eja+H9P^ktv59lvh9B!L-Ex?buv7m{(LNmkwTLL5?$xvA z_;a;zs{K0j=ytg`Q(}|r+PJN0pRQZ(OiT<=h<GFJBBb5SbZ}SFtVg%h&1=53y0*NN z{gc=fw{L^St$mFKj`r~pQ(mgaS1t0{{cDHD<$gQ8Q(Lz8cjs4p<!t%cdGFO%!I_8p z7YgNGy>a`|S9Uwi-nF`M^$#u^^~xSGOs+reuU#jh(5ow}^*Z|UqC&X~&P~l#9)%wK zl6<chwkqpB+8M=qX8tZqTh)q`1tR^tQyz9UMpeub_SgEI;hXB6x=heLdQb5FHR)2T z3w2_cznWGEt!w->>zPmStnVk@M>~0@UoL%gJWoD~Db%~-Y8{W-o4;?q1sfy=t-E#p zV3Jzns<)R`weAUhrRZvIoAP2^yI9p0wyqsKdso#8u4!OU-I2UN<T=ljhfR%9J4Dt* z1+BRix3+PWYTVUtIlNaH*54|BD5Vklc5TAdNl91l<$Yv(xJd5b-=`C=IW+B?A19Y5 z>c8srk&T55O*gE+#IMJ{vSePn=Fd(Chwp!TJ~^y5cPoD5n=X_aI&)e~?J`MzZ{2+i zNBj-sPAb{oSK0V4`%aEv|6cZ^S~j|(A(3lOmK@kTEkN&6mGQjkUK<#)tjxtC^kVzP zm-?ynu-4uT(mBc?`Xcn@672<QbI!LG>C|oRysq17cx8RqL*JJ?x+mWLlu*bNsa5S= zxO_?E3%yJ;yQha{HS<q;_Gs@C)sI^CD>bukmay;PeXlb8XlugB$w7bnIj*gG(adq| zgwoLr&nHjKv?Bh`i)fvA@YnZ{!2f>_@6@>V|3<>!$pL@)`LFHg=eE*``0uK9;X=aS z?)fP(oY(A6UjIKK;qUXST0DGWs)AqZtt0qduKbVmOx~fMDfZ5LRxkUcXAfpB*||e8 z(=&$m+Wr?4tr8q8F7NrrzD*>}?a{f88JutR{ic3mI=JuJ`;eN=8Wr-<_oRb3zOjfH zI^L2D;wWPgG4#A87sRoRRm3pxmQ)bOHWm@X$XhZ&9A-cHoz6TrV`FXN{bzA6{qwUH zk*1xDt}2_gmk8Y9(s*=YdAmrHB%`a$)w2yseGlC{6nDr49C7&_<)OGkD&WZU{B<oN zO{eQxE9E(OG+D%%yDTngDz+vRIS9H}nJf{Q!>_TZ?|iFB(`H83DaU3`I3#Yu=sM;6 z$q7ycN((wZS;_@*^s$O;l!%lM;wWPm`B=NSS+Vs&iG$$NJ4>Ad6kj|G*9kml>%<lR zfK4Ru9J3Rb<NpSwQ$PFa-%rzczMIi?%ICckoC@q0bQIMI1aZiL6!klC9efN@<msVU zAr;_ad7n>{<^12}3r?KtUf}TIl77dTJzQKY>;E>LI5m600r6{fjww%K10HY}?^jq= zT@vtM@tk;psectU1lD|#kB#1D)wpu!0w)9AB>_JCnmoo`-X9PB)^-z_-r5=-&colL z*~WT^$GQ7*$ou76AE`2O@=P>oIylMgV)P{mHqTkDjg!(A`)4PHd|{h(>1pT8quhLT zGZVrJpT$nwTbQyfNPWiQ3rBYvMXnS+6a3=QoEht{aEfV^TvQO(Ds6J*iZwZZ)N5`+ zr;~VZ>B=DWh-DXC56djNQkkn&a?z(<tF$SxXlutKnRSym-A=5mc>LI7sh@$@!fv~) zEl%QFjoem#u8TO=_u|j7l_^ahH|Yq?UoeSN?bN0f2RyZAKA51>JuhXt*R#zmkz!M~ zyQ>PnnVK3{`a8tv;J=uKj|G=kGk@ph;bLj-vhDcZHk0KS`ym$QF5!b}`cMBn%JD8N zdMCVk!%hp!?<<pv_N}zA<bM@<BO*qC-7#0xOeB4lYmoDXxJw$>Jf#jtd2Zg|aVclb zG!ypKDrb*+d3q<gew^eP`1#l6UB7(1ORZ!0#3O@CrA~Ku&sn6QcIEUT&(EA+FKxJX z$eP7-afD7LPqxb0LkkLCZVxHV^Guies1Wl@?tw0Q`C;}8hxi}VePH;sdQ0`ewt$GG zcX`cPE@{2>GthS3%T?VJsmXLtpp3o0qoZwu_a%)oFR8<Po|`wsUdqXt3|gttyMD5Y zZs+TRZ4OMl50f^?9KEIAoBgmzg!f^VMdm$$buFg%1oXV6j;eYlTj*tqoon^pe?!2p z&3pe9fw(q)2a^`zqoIZWTIc8L`u<sP+h~#H8NIk2lP9eBC%X2Lq89Jd*z-+~OdUIm zEw)y;YBTIr{icz+>mJLB;ApMJ%C(O&MRlKdo)3Q(ZQ-(SdFJKw&#RXi-EaL@l9K(9 zhjY)uCk`K@93QZ%vUe-b{{L5H5C6TD7mltdv8b;<v;KPe(Fs*9tVeFtY_afRxgS{M zqxv~4WKEs_y4+J;(`|V*;@;_W*PK=C7WtbpabbSonN+FQU6-$IlvSD7|9_K*p_`cG zu~H40b?U#CZDPLK&|j71U>LzG(YB(obzxRQazy)~%%_a?f_&En?8+|*bWM~xWU$J4 zp>E}pilF}Y0TM5|53NaZ*5SBk8|(ApL%8VwCHrLK*PH(@Jv#sDc4d*tvu#fv{P*qt z+8<$icdU#k_{=(W$JIB}e=PF86ZUOM+p=Rm-oe|}3YM#^mE3-GZQ8ojh=*QA@+R5Y zudF`@M}M*BIyBuu%E{2!$HQ=Iq?D6Ew6n&ujzpgc+h%3OIkYz0D*9cT;8kFB+<MU- zseS4D6u+PC+W#d$tx@zT!@*NQL6T85qR~vNkHl!NuPVQIz2j=6rq70`m`VDrr@vne z@BZg-iSybMF7^m5-NJ6Ck|(iU?Qwd?58dtFsW@r>TE#~-JWJiY3ZHdeRQ2Ce*!Z6P z^U>0C3yyV*aeJ#qPnrE=#Xg6i=p9yiQ*_RAEo+~o`ZHyDi;zOxrpc<ID%PGc3(u^! ze1G(;a5K}vmIeo533(BYv@IW|mODO3JuJLa?b;)|)(2Gq4^Q6V(PY`~l`2(tEpcs| zz#45+m3yUY^w~M4O@H*{`14CFQsUmKfhvn?es!#L56JDmHz`ykYW*~QtvZ3QpN|&p z+9W4^bb~<3+lz~@^mP@tCdHj=FPpG_b>6}~0u!gNn-u!wX{Fu_aev(>slgihE>E6r zj(GCqXie{Y-K)#h1?F&a>{;c<&e{~bR4QNV%8%Vaxz9wKwmY_}e^y%}ut#t1&wuG| zpkYbf)T`gGoCs_6+OYPDK$OspI6i?lANt}%+P3rfB+RbpS*jzDbG|EP8mpZ=r)G_w zP>=>+t4P~n#iNHNPDpy3;Zazivt);bT+k#7!Jr@uNgIwXSx0XDKf$09-eaMKaFE0~ zHsQ1zk|H{9xVX&9*jd@Do0_`!Ix2S7Ix4pQz2^}nbY$}({n^e!2fNcQs{UHa^3Gf8 zpsnZP9om^Z<tk^Lu768B>t^m*T;SIA`qAVisviR{1^p1PGwFJsD82sR_epLa{4QzS z^O8C|`-7guyjE3i|HG4dZzrBS_;|yUM}ZMuj}jxi9yXenzdCT8CDL&2s{`H1Zr3IU zSxle4N@#}8z1>H*SE%|5`PY2(4g8-x>u99THcN@SZz}irpEa$PTVJqT?5POfw&%iv zA9;EA6<@shY=2r{=+WIh#`~`-G;OwWDfDZXIQM^={=yKA+0TpxKF&GOQ*UC;k>+Oc z!$jxML4()w^|2p~*DgL;z3P3rpjcCTpja1spjg}Q{l6=Z_V3M~AyTOzpZxz|1Lv_B z2J7pDpB4YBf3P^0p?gnfe`4&9wX+0bt_XBJmO51Mb9>E8Z9#o`&b$M+?q^yG*36w3 zeDL~fOW~SZ?<>k<W1K^-efIo$u+OpeL4?Ob3!$JN9-=`yGF+N9OSm-mMDuIziT|!0 zx_0Ne8#gERpL1QhD>gMbdDi?*yG67P+O?iyH)=h#A%0<$#f6FGHL9lnD;_U8m)M)I za7m!f1e3O(lhP9BEx9>E^1>`B>nBkKD}?9IV&9teG3lFMja%5Hy~kBGkNtdnJ>=Sz z6yX`yHP;B9nQk&K-!nNvBU4PwQ|kQoC6*DFPKLLeDxLPW{&;Zbk`JwSHZ>d&FFxJO zbg;`oNzzWIpHK6T2*0LCvNPA=9TSogYdkg@>g*`)n(Ek?7#gr)gO$dO83H0QJp5dG zZY-@TZfvb0ZmcZ(BwpS(R1o0#GG8vnBO$~?Q9?FI<5-JG+eXEshjvUzO0Fq*F4Ek@ zbojx7ghY>k4TdHf77}72F+BWSo;@5~nkD>Cd~7_;6%$_0IA&LWaOvz-8;`#CFn_vX z=i(QQ+)lff<vjDxmDP&2{#f|cIo<SO@xF6OJHjTgl)Vs`|NFJT(dXwD8HX+0_Nye9 zd)=!=MmE<Jxo?-8I2Lwx<Hwz=+YH`Z65y7%?p6$T{Iqlb%Fc|(eQJy5_E&fdUp$!0 zTevaUdD4$1ikf%ACqHA^^~ckfW8Mnx?K8JG`dYbc2yEBb_1E(z+pO-~2eb6F4hPF_ z+Thrpv*x;t=}~3g#E5l@V)s_B-n8=q+q!I}TNNuhBQluHO$23aE(nOvHdX0dH|v+r z!^rgeEA;1mTEHi7YhN-cw5@;AtD^U+nyk~S^|eBz#Z^M@RM@Qy(Dc&?X=~=XdPt`= zD|yS3F6rxkMYLSwTTfkZUl{dbZ9r}b@9KM#voDKk9W`k^We~bBYQ~~~T%Tzn@57a$ zb9f5^bbUfrn5lWLs<@EY=JYg}ul1Bc^unkaivx1cObz+C;7C%d*G89xMmnJ@#HMM6 zNHeR1+C*M<T_{u%yh7xzsFpK-Yu3W$PFpW}2OT{u_g7Tw;PVfWCqla#x%3Y!v}Pr4 zaN26<5_DA9!C6%6ph2sbVa!6Ko`4l%ZdxJIi&a8xjum+<6p{&BQKPynK(bFOg!{P2 zs@}(ww0^A!=9>Coi_=nz#Q~D%v_iO_i>&JXJV~o+-D$3=54xO|S}X{V+@=x2tu3;u zH~L}OGh4-7T5rD26N+6Mpm|Lrq-`tL)k9XTS;^a%bj9WMJE`7S9iaJ5Gi2Yj&=q3G zG(zIUgDgAty<g^}x?^F0<~r?=wrsAeheBJk9(OJ2lKZ&HNp;7P08KrukT!0vtA~nP zvmQ^5|C{WcI=9?3srtBlSk3kIUGjO$vNxRFzfYjbIoNlZ+2NDd`s`Q#2%PvsFD<On zKVsec1<S>*Nv-HDje2DpkiURsHqVr#&5cnvvKE+a%Uqe^zMFSSLVsh>8`A*p-@I3j zG3tuyzV2ugI%6Er<;iv`-Iy&adDXNo!^&xmLTAhZx-8jF8C-YxIp>5!?wRXBhHd&r z0Zm8QPCYkcJN4Xx?bLHCwyflx)4B}*Pip)mx}Y&=k5NEdI$PGmjg7Y|mMl%``*6j9 zbDp%uQEukc9r+8y;-pseUXRjxCL+%><w0*_kc@Fa+hew@hb@h_ELJW};ww7mz<Ewu z<0vchQ*Afqtp!&ca$~LqzPJ3ana!)<n1iODw8mjg=B*#@IpprS9Ap?}YZB1J&gQl8 zl!IiL)QVPFwyfmVX<Zw0mNg2UF$m~-$#%-%tb?wPS%7r=){vf(-8@qgmNy2iF$-vW z%a)bc-FWN9g@?;U?Lz`D=B~Nu%>Dbzg=3DgBI(~0ZMST2;Lp_&InOMnIA8DC`)yyo z-S^j8!SYu`>!`Xb7mvK?s@6Q&|JxQVT4A;|q5Sy!u$t8p=av<}6tnqyf6J+*uWwnI zB<)>dnjCptKD1{2iq8Hmq1QsfyN_G^E)8O8a)h+7=KWAV!K#oKUmy00Wxhz|Cppbm zi*7W3&$t*_%CnCzl)3G4R>H$6Qa5%iW8;%s)!4oE)f=^q;k-dF?s<kPiO5ggy`V;R zg-@r@n|Dv5YkoK|b(^*%bhQQeToY*XlsdG$M=B|KTARg;#fo~*vOI*2o2s-5zdE$= z#zHop>?;QjrI~Ih^x>6}31t>{zoO82IBUWo#?;jbKNi^3|5&j4%Flw;S7i5uzS5J^ z{;CqE{Z-_bHs1nIn`wrD7i(r8VU|?>>zyL+v0!zZfX;P+E>WpN>@~a_H+VVcthpw@ zo^5jEXcVt!(%iNs8$x0&M3}EMEbNww_@N`$J-xAa-zy2eUn?5B<FY2W@SA!pOqU1= zH`~4??edG)^%jl|vsST~l}vGI>isuyqrxZ4sZOdhmIi31X@}hN)C}P+7G2d_S#(Up z?4;6RnaC%?hLKwgUBzU5rn-t>PBH9!xoO5B9?kDJU#&MZxD&cW`sh^kFFe;9D^C0L z7o4BDdY#DoTOJRpc5D^na5VRo>FS<kQKPv`QS4m#m%EE~Cbcb_WfGtIQsAJd?4liO zoO#qsP8{+SJ#~I@RV%mN@z2+y#W;VRP_l1No%k?lhh4D^e{5>H?Y%$R$L<-Q>QnYz z^Jize;@XmQmEMVIDrZluDf|A$Qor`u`J~W^M>YktuG5-u_manz4YRM5P4?Ync`0Mp z?+n+9h7b3u-!mQ(WO%q&k>foh6U#%#3V((>Cu&mfo&KQ|_xA<c{k`#SZZ(pUYV%ia z_p*C8`QUZy{kP8Ou5CESD);ij!-afT)8gCZzL&lz_HX886?<_ZaUoyqv{x6BJNatG zo+lRjrayZUd@1Jgfkl6wTwa^)8(OS;*~`9k%D&C3#b#VKeP*zD%LOIv4Q}mx*`+Tw z`L)Z%zq*jVmyg*j!?bATO2huWQo(yek{OSih!w>o3#yxl6$d4AF8{P9yU|yw_{OCC zm|rj3wU>%-<dD^Sec|aOKHGhl7oL}tWsA|cdm`mR>gq7>{<l(}Z!X-}FgvPJ(cDC= zFgDrny2-SHuWKjqo9&6bedABr<=4Eg{s*t!_4M+k^M9UfJ$3H!rSq;&_MTUs-M2IU z;;SsX&2wz_n)v6m|F+riaz?wob|g#6uBB(gy|1^uJ@hc@WlNCK6~&j^-?Ka}IGPai zPQvT+j%)+F>AXu>W3*!Zw@OccU6gIJ?zH=lI}`c?YW>BsqBXlavOifS<(+g_xg*y4 zisyUELL;3t?Y$@Wi*BBJ@1;1;v8OW^n)J)_9DBZJ;g*KwNx!cOd~nF?m5O|#TqAeN zoyBI7>xtw@mzXK%1wXskDLs7Y?>+C)p2+hTHeHXE{C0W&{g?GC*O$hxto2<x{j&YV zn3{#xPQKN@a%;=q*5k*fiEsUC%3Aer$=lY$JHK@E9TnF*ek;C0=B?NA{oyqq%f401 zh<6=tz1g{4+}kJK;!Q^V!%dt2wjN(MP5fr(_N^il=2ley=AM4@t-eiKs{X4vZ*1aI zSyS|7zHQ%M@N?Hg`I2Ap-TL>!w%=$Jn?5(w-COYH%wt>j7fAk{ye0Hzchc&<)NT7_ zoJ)>Wyvg1E_^p17-}e0hD>Ex6&Rt#Z&3JR?zLQ2X_6B(0|0XWCd{ar9{=IW`JWoqL z?ntsaFXpYY>~qm??&$}QI(5DLv*xL5*`rWh))e))oBy12WpBk-oH-peXK#h|@3k}D z8{dvN^M1mbBX3#{fA}W;YTL=IiF*U8Pm4{SyCdA2@n+}m?fVNPFZ?^SIRD$DwMl9F z&w8DXvxv#5fA}f(H+TR0=(v>UGj9hul+8OG$-7Bhul;s>h0GbF*&x$jF1ma4ao0@S zAf1gfZXSNCAH%nGe?aS&JtzG3tY6yj=1BDI_#JC9>K|^jIi2%uQN^Rl_CM3-{#%h< z`ux`R)$z4!S8dz4ZP&(KzrNmjWuEoA^5;6K9Yyc9-l|HC+w)uB`tN3;$$zyflee!s z8#^m*_4{i}4;<iM!^qeChH;hfO=cUpz4^Q!c7FZ0|LqrXRmNUk-b|NL=j6q|+_x^< z_IdVJQ=9tl|6hDrubUWqb<u+2zu7PSU+nw6zx<cC?We1&o~_z-NofAw-}Pm`%(w0M zrZoT5!mqqvqgMLg`@7%z?{2PzZ&~g2_Li+TDO*?Ro68jHV%vZ3Z}{AQm8)0gy|}b= z)xKv_^L%4hT@j1^`DOP5o0{+O<-f#t&e-;6TTSAe_xJv8_N$-6pOvBc@ZawGdoTTq zHhJex7ps0ByVdvX&1tXh>e`n2zMXxQ_v_hS-qO6#<mchiQukM7`Rq?DT>fR&R5nxd zl9w^Dzh~Y0XqLL)>RfJiO7dI(U020!pIux2-*<Vvrb}4)+U$3CRR1~(UoF^IzkNw? zXJCHVRnZ+cCmOU%%W?*HZ|Q5PjM3h!?S07Vy2%QUt4CHpW0=Y*TCnuXs;MkjOqWaU z%30x79D99T@7DEY|1u4qPQUlJ+pm6E`%>@Lw(Xlj^r!206`c014`2K7>xm@utek{d z2mf!`xl_xwF6-srtM8Utr=8kefAi(}uNU+}?cYXy-Mp(!%k+fbI*~^PD}y>tyCi!g z=Z5y~5@1ye;VP7f*F2Is=gyC7f{V9&Ony2q@cxC6`xh>Rvi3}5-nB0-bp1OOQG;D2 z1v&gLrtM#}_+IGlGn00l(^{Wwcfz<`QGUhSB1`RpFXmDu7R#KcKeqZ}vCw(`WGfzv zud{1<L#(z&RrOq-ah|1@)#pmY36)+~pKB3kuJC`>S@`|_6#g*Zv!Q42&Wg%gFzeQ{ zTd&egQ+v+b-EefpwR88y%oNJ4#SVL^P1|;2-p;syo;TOzF03xOwK6m4bJwh{_?5Xj zAI|2dJTADG5Ths2b9_77<Kl}6O#6&yOsHiO-uTvBwK&E%c-G%nx2~z>ntN|OXyCo| zp@H{SMnmuUclZB%KKI|2*nPLw?%TDq*ts@)>bDzP4#&+dyZLLj{fjT(Z<p@9b^1xi ztqXsi@mv4RU+VJqoZU&qcDc$Ymu&m52-)`6)SVHR|6tVb6!#?X$Y-0bpNjoL^Pb*X z{4Hgt!uA7~ZHg);x$E_RKJhqA?q|%o#SPk(B1NYCO6#5mp80CywPRv-|E-W`O*UI4 zPAa#*|9s+UkX+@RbIS9rD@6*-`<>oB4LtJKrmJ#-J12kf+mDtrxIb~PIj!8DSoy@r zrr*Zx$;9&~Y`7$*x+^aJtdZm+ceLm1;+BOwzp==iVr6AL_I%>u7Mq~cZ8o1~OmVlH z{8=NxORm-D?Bb5PN|Dc*a;hcgx2iuW(9_VlpxiH0^=zW|dYex#=4#tM%IQ1u<=>Jy zDqnsrNnr}#92#00Y+G9wylIkeV(#PDS+OCvBd#wfyHTrq?cwo$Z?}_sbT|AlSIzeG z72h%c-`4QO;oWts%PTX!W<AdSYS+Kk?m_3(cAKrgI?}_|x5cjZzwxP4buXWG&dbo3 z^4Z#Pvi9d*#U6{^dz@wU_n8@A87Hr?OWAbQ-Dl&k8HQo=4bD!Bn?7~hmsKb8cde~3 z6+XA2YWmEu_lBom)uu()9*|khFPZdJur~MQ$B58fPN%ZxUp15c(CXX1X5-6_t!A>H zclxT=WJ+F+`4!C<7GAs8*E(lI=JZ~(w;N9`t2Mh_azJc0-^~2Wf|qlx(%O90&un>e z<+1VUgIPCCPcM^AyS?SK%<OM7vM<|x&aq0E<=d{a;bljwne65h%Y?<Ei(VNYDB2M9 zOLt>t`%<&Fo6an|dL-+z-F02%_9(Ns&6!(|Y=7CIZ6;fMbD6MSZPK~S0}kH1uYCG! z?R_&#v+Clq*nL|w`?<~D7T;UOyl>;n3B_h>#rn-;i;pc6=8G<pGCDCScq_}jY|Uuh z_U|{hoDMg8yYc?A+I`zzHZYsX7F}4T%y+ZojNEKLi=>m8M-sgK7Bji)rn>VT&$;z< zhi~<q4Vm*No5>cOTITF`yW|M>?7qs(%bfO6MN-B`CSBffV0nG=MZUYax0bg1R)5)& zIX})!_QRb^Z!DJdzs=ZsX7kG#b!Kxf{LepQ=_`?bGBfCbvG>i+!wj>_Dibox9u;0* zR=aQe%Z6w(*`nLal;7PbITJhEZ^w7flbavU$y=4XZh84j>-tQGe`ojHnpO4dx!Lcl zyuDv*zImSfd|RY!?dqzf3j?QGKA3F(?o0dAWgDNRfBjOq{Ymb7rTj|uweuY3PqC@j z-Ie!stNq)k=(SHC`2X`%_e%LSufo>3xIN|l)NA2O-)CGk)|oy%?B-g#%ZH0Yb8=sH z_<P+`4&CD&dUrK%Z)}jM(fU=lKN-K8{Hg7#`3fyi6KaK&t=05p>v-qRmU?x0@v>*H zE^J-6((-9`ak6)DZ1~jPN2%Hy&Lzq2J7c>0jM$C5%Y4>3w^En;R=?SlIsdMi?1nST zoS)q+IZ`vbFEZgWC%3L;X=J`-#M?(=H@6&4nq3x|bXm?j_g4BkU-p{3%ZjISt&&=O zkFVMCvL$rdoT{7+GHc2TSLfb($UVDk=iKTk5zB1L>QXM+?ar}E*zVi@X5-6_y=JnT z&o2|+_v^%*;Q77N)~txVQ|Em%OMLs`WwCrWwj6hy{mnAvGGlwL)sqficbTmzstJ>q z%D3fgyU}4PCcXJ;lJK_LpigX@Hr>6lrufa`NjD?TYG2*@@_2#NtJRk~mpr^0esclq zm491yZritP+p?GGS&vqwUv;m)@{<1))2@=-pzqx+S8J6Lr<*S2%>LaH7#bX$YgN7e z?~0T|T0j3xoK?l+=4;Kin9aZWV??IGDrM=OT{GAg>n%Cxk~7iQxpCjp_p_%<wAZmc zzH~pq#?Dw`z8ssd!G(k?Vb>F`w5|Pe**tfd_vz((xOg^JwJ!{NZ{+jMcW$(uo7tW( zk2#k9TdvEf>}9_wOzcCJ__jUKn_gs2{#x@t@Z_siz3Hcqon3nRnAy}iu0`{t<)`Yz zzqY!*|KhKm%a^T-jrzCwz_LrG>tvp6*!ZQ`da2{xS<-PUSFNvHUF`e$^~;;DZwCD0 z?4NWY=Eko}{V7vhQp>Bae|c;jKdnw{gUO=Wkc7DlKP_cu&gM0(`nz_^ugJNV&R<+> zI7k2Q`D^?Vb%C?qPMUG-Rd__M#lDT(c5Yl%T<aAv^?dTj$6BeGI!a;BvSXk8+#vds zXG_hyWzoMb9(}fK-PWz9tZ5;9ML+gzdA*JO>XoaZq2}dvo2RAzWD{e)^#4@Ujh+8Y zr%kJSw#s(dzHeLGo}CNLVx50=?}15tM^~~YwLgjxE7drcocQv@#iv{KZr<-(wRXM! zh1Df0I);3Ed5<maKUneQ?~0V*8M8{dUMzQR|0h2+=YCW|<3py>if;@3V&8FIdA!e| zR*u8u!9oXTIZl&D8y%A8ahN=O*&fv#Yj=6}GBd97uS{3|Jz#I#Wh1`gICtZ(Jt7$g z`k8othOCHmDQ`T+Bam@O=Py_FmkZ8Uwd%Gx$Ub|)`h(|6;sFKOHlAyVM+9V#@mx(j zWWc*D-m^BmneX%cv)AqZ7Jhku@AAsSu8q5Dv;+FNnZ8=;Uuei@kt*_AAehc7RqVEa zbNT(tQg4j>^EbTOy8H`M$a-7Nfa$_aUoG?k=Kp2ly`gwv!d#}_8>$y(+-35;vDo}Z z^16EoSF^vrVqFop+h6}cdf&Z#rnJ`vDbx6r(_R@oYvdEw+xuO8?!Q3En$<sq9pmeD zxZ0UF6<BI;_d9R;V5!sHpuCC0QmeaTeTralj2Nf7o!-quOO*a<IoYb)>qY8`eT?ok z<$ZZQWnYzk`H|?}mx9af^&+Q=75<v}w(XbI)+08`C$`UdwQAY1?XN6<7iP9+RO$Zh zu43Iby>zlt>5pA+p6G_0-Sgk|0H3Yun@i4z<nF4z`Mk2_>JR-Z{<)7?SCzeI3Y}fn zxN7e%hpS?-3$oJnF3d<~@_q3)-mBW-a&~U~!OEX;C)3|~yA<wUHSJdDo)sdWxXx?0 zhJ1EjIzejQ-tbMQbU0V8)LnV@@+rslAC?panD%S4UoH5#chYiYRmZJ2i>u`Jg>QPM zd;O;R*~$-bCueQ9S@8Api#zq}Q~V=@E^qY?4X(W^eW!Z;lTN3Z>vQuStXs%6b8S%d z9P49;LpiqJ*Z#SrrZaa{Zr-ZwjC1?$2VLLk{X8vCGU(!3yW3v1@w3i-x&La_I@RBo zA5DL;@8^!B35SoIa@3ow#a`*NPUea6)5nu+BY)+;{uH14J%3+%5ubU_xu?IXRiz8J z^5<oR><l~neCwv<sEX5mZ?ayNYV9)lscX73X(ONZeQn8&yUR|_bK5FovAo1UKa{W7 zoBdhPRKAy=PhGn7^y{i!&q}=G!!uT;*HzBUJ-0Ib6npBbjlX<N&t3ng5^8)}du2#& zm2QyfVjKP3Q(s@r{1<mpO|y8F&DD*sXNKj?x-!l7_1CXGzZRS^DGx5cy?VXbisX5F z<2NzAxb<}HsxR3K#qBgC<u2=g+fw)QL66QAAz!hqscPI?117hgH;iPFnc6ywKSi)` z$Cuq^y)VCKamieoaagl=XUvru$IGQY?_9F6AzO-j=ems@(o63*`0jsu^Vi(fdRvb! zw+g<meY0&ki^*S|zj~>sr#D{8-?sPrd#k_N$uX~|*MvNH^8Md>zyH@HB0jY$h+4ng zJ8AvmWzF%g4=>ntE9-0i^joj4TVD?~zh3$_?0U%QBbSbP1aA)y>CD_^UZarEzEyq- z4@d5f1AG&$--zryee=8OyN%I3-)|~^YS<fO8XM+yBe}JucAB?E!ps)uYaSL!-7U%6 zyetx<Uw#NWlC@%mr}6&tOJw%_T98tq8O$oSKfj2tyXRc$!i$wU23GTbx``XSuXPrV z`8GT1`NyrEKV=M7Hst$irEFR$B{<nfD{Yads!zrKlml6LYgXlZ$#bqMta5s}CQPa8 z_xg`wuMN&6#U6`Vb6lePt7Ps*#%3L}CEu%6i?cd&|3zMp`ZeX9$!zJ@yO;f3S-*Ck z`hKmQzW3MUt*hI-%RBTsQ?2)P!Q49w=bwoE)qUr&@x<Re0+k0GCboaky1Qcgy^6oy z%dcFEUU&bu>hB5LX6MGdEZ)5BcFrD$ST&9-$%`Cn)i_NOie5fGd`)`g`nc$RTdOZO zS08Suwc`2d)h_tERO0j6xTP(E^4n_jSG?;zbh|fSe8<P_xtr4kS3a@5GUKpv?@pV4 zw<kTCvu4Npf7PB5T~`)X*Ue7PV*ed&^>?q*>qXb5WhLLY<0;R~dKdHe*m<Lx4Yfu2 zd26)8e%`qMbmn1=-j!2#9}D@flYG>weyL&mU#VitujOmcuB}x4Yb=*_*81sRnW7oN zU9(obmRc?G{j^L~>&D8EvomZ~Ev%QjYNXX4mzpBC_gIHb)!c`bS7s(we)(@;(tj`E zgno2&P}i=#lguXn-mleJ9h_Uv#iM6y;>y=tcXQU!u#kkU$7I4Xebx$?ESttI6`al7 z%UZK;<AhYH?imX=&QO)|j+m1_>-2$|h+mRQy_PyxW}If~tvqvm#(A4w)-zWlPRR7S zp1BrrMyFTx%o+2u$qsgBv<m{0`CiveP1nmdeYVjp*)BdqCt>R`r?5<&q@~A_!ZLIc zEBE#Ps+pGG7XRS$pN0Dl8};tgxjN%`N$*dcYY_*OdRuj_L>yu2J$mMP#GySkmzmt2 z&lVGzG27lG;PK>RF=^RnlBXT3Nz2knXglUKEkox~$FU^7Y@LSz`!W?@fAZz#mN_FY zWhHZA#_8))pKr{!cRh0JPp-gbwSJ*}Cl-cZc`{M^wP<_o&fsPC@q0p(`JzppZHi2m zn{D!Jb6_%~w29cJxMab_rec@<lR0ZY`xf7v%^$otPQKUj%e5Ka`n{E3uFUY~?`18y z9&uv1RQC_V>%nn>i|={&s_r=v@3GbBwD@wjy|;flUtRIN`B==n%rlQy9;=y`q4Qwl zF(<i9okt6gCCO#zJgnQ7X&3)&_A<A<)gZOy;mLaCCeJ>`B->ryQq1CWHR6OwFSv`V z(yQuoru;-h>`w#JSH2f!ME%S@lRD>^&9SUA={?7oer4;VOgX0HmZg){a!jc0`E0RG z#`4SD_9jXdORO@St|;|cVvfD*kv^NQoAcZ`Zx^lDbzp%_k;Dw^)U8rq`}R#v=R1Dy zr0gprh4@)f%b!m?fBC<8`;|G<^|hZQ$hpd#Qa1hgB=FG0@~CnZ)6%1BkFUR-B(+;? zzOc**<@WWTPo&n%RlYf;Jb!Mb$Oh$pr)^IIk6g3q`uXR2ZfX9TRc4VndaN(itIVb{ zxA*SP`>Wfbq_IKET_)^V$LTJaj}h~f?V^iR9_0Nz8EZC$x&P}^2j0l13JI~69Ffs{ zO4p_|&(J^Rc<7bUg@6YYzfJuc4|kS(y`EPW^u(#pqGq<To_O(*$Gc@d?wq2`IQ_K$ zyP{1p6?3%M?UtW*JTS9J;8Uq(hebGF(mqXg&gb`kw$HWN+H|WbZd%&PjnC3!G<hpl zPZQstw|8}R_N@4yk=Iu(Q=9jH*Vo3$Nmtj0U%h_)n(5N|W6#+mS6Bv%Wj&hGI?Fb7 z;j)0pmOIm3UHbW51vkGreC>O&m&-48s~x}ka$?p=_8c#5{&+LTfKi)AEoJFJmo-K+ zW?an;w>Hg6iTIQF`tW5DlPmjg{oI<@8+z0DlB@i-eeYLIIdv?%bnDU8tG(XDoIkYf zeo*kPr{BMcZ?Wa`uIFF<?(CxzH<!P4{`^wjS9eeB_p(OMT>iGGnTMbJzxOL;VXx?n z#m?=8RwXl+J8$j3w(vZYESt`i#1l%gZaUWz&j`t?>0FI^Y2=zL7i_vLEI^8T#=4CY zB&E7%tTfzu`+CHoH!|Mx^}3g59Dcs@SZY6O{hw%FtD05ryGpJvbmy1-_2o*UgT8Fr zmurbG^0LRiTupScUprOrwCS^rPRVxKreYhsk`?D?>O88vU3@uA?6Xrcr~c_lua`_; z?eKrow3L9}74^5P&Tl=;r2KEUpR60#mDDK?wr<=Zx^=8l24M>ni&>=#eHIuVXPs5x zl)oWL?$w3l1$?z^FAWkl@Hw}=Fi2X#m)!O;K==A*gS`{|UR`(?vTyFqwIzWI_}p1v z6?ra@o6h>G*mVJ8IIC2V?*hT=tWw3!3pmZcvhAL8ZQ*fA*<W+6CLXYqZL3*)xZ|@` z&&~yhTk=mY{9IO*U%TG9J^Ja}GYO6Qtgkl2Ey&8$4(RV?`g&94Lc>`m-kVARTg3%3 z&X_f-<y@D3Qxx4US6=d>IJ`aU_@cuT^h;KRJ-6zKTz$Buv~tG1=+&h!HW=SO_vW6J zLO^>nQ>mp&K>uN;ua-&|8fHITT<GS!jN2rs%^^9B%Oo-EXH7s2d)D-Mto?VbzT8}V zxM8mq&&}nBJJy#-Y>sc|jGylO{q2`~vtr|Ziddb|%ui?I_7Prj*r;(=jb6Z3X3-Vr zxf<Kn2xT0}YFzbp@jZ^|JFULVSnfQ3{lDdG%wjJlDsq=fZ1ia7^!{Y9*Yw(}3yHD& zJa4YO=)ZvPFYBvMGaYQ%IIg5GaA0QRG)dXupxnk`lD5J@xa}ox+0USMx!0vHHrv-H zUW!iMAt!msyIpW^sl;XXcFx+<3%{3DWq-M{@Nmbcva}5cTpF!>L|2>+YOI<gyyCn$ z6Yoxi3my5aQpJJ!EvG$Xt9mXiJb&(!_Jl(XhE*viB4piqE+-ypvGK|8^|mV6F(*H$ zEc=e&io>yuyY2|DI9}WM%SIsML~x^<jqnPovafDCVlFN`9xD6m%_(*3x9_fhJuzXk zRnL#OcFygm7yd81wb}gjg+%Yl$wm<giydV5iLE%D*jObaxZ-?ZquU&Tj5D5lcj-l! zzS!v7ZnyjN<ynU&*jA++xo6{3{VY?~YR<`Ulh-qM*R2k2zspo=sTD9)r*Rdh*8;`M zEK(ao78n}Wtu{ThbUB}G)ysgjfuA&es?+3cjh3wEQ~veR;Nj*Xi4@!7X?(J2)6F%T zS@#L7I4sq;YmMlN<5rEoYBVo&Jgi@Sd4^#9^3dz46YsJ0AGP{o89witNSn@eW#zDE ziMNc8KRZ9~+nJ9)yV7ho?{<)VH+}tN<5!;?7RcFiTzS6JLHQl0$+Gsi*y)^BUuLXu zp8xr0%F?^JeR7h9+hy7!&%avf+#dHd^JGHfT_#=&y$c<inS3pl&p)%gpYQ75MTciF zR?dif+ig`6G26bjZ0ml36}wDXUTtz%@ametit|;CY&ilMN8B2Zy%EVc#J4w7kGu56 zMwfOw?^20PLG6O8OC&b$l5vYHzq!iU)b&|nRqVd23lIPNRF)QSmY=EALM32&Gt*ZK zg@F0%S*14mFEFhB`f69rV&{J6r{*zSwS2D)p7hjJ9C=wJk)C;Xa(gD5{PcXE;1?fO z)bN~KaCprpv(zi8vm9)fy?S0$xnln5#~GE2gI*M`kx`5M>pp$@%#^S5<LxY#Ur5aR zX)?)iYk|;;({YVeGFQ4wXD)U&jeE9w*0uUe|8;6h=Gtx0+_3rW=e=vsB)V629##C{ zS!o@-La&oA)T#8vM~8O1`!5Y1tmAXedmW&gUn)`T+|GIZww>S|uGnqay5DcCF`Vx! z#VxUH<AmwAES2Iibe`=zCN%G9#I5#qnP(nmtt-4KVWd^Qb5ovaP`jRT$qU1nc01b= z34@S!MduO;!-#gn=8_o(2GdV&agV<iwazR<>hsJsveE{g$%?|JVup^%hL26A87y0K zDeo7bQzIx!);p)}ZsM_$(YY-uvhc89<E}RXD~^}#jhN1C^+h6}?0ou#^auZSv`tR$ zIy~i5aO#!RO%AqdQ|GU)=wt(ty=<>9q<dKE*xi;~<vc&}>4qJ;a?73Pe|)-Ohi~M1 z=lQ%(H|)r}6KKY_%>Ox8P1Lpho0fO{i7+!xS#zN6Q^}GGiCg$$kG;O|cn{xIixr0( zvaNV_E<N0#Zq;*h$>EmR)cm+*7gG0KE9(E4n%}nUOnTEXCbcY`lznA=9Y>|QBUf&0 zF}0j_FMPM@vkm&!cO3h2N=I|QcDHTqD!$mTmlu+^@`e7txKQfvX}5<wZ9Nyk9s4ua z5)bXU6Y7z_Y5kUI)iRf7oG<KUopUL|<Nc<Ox~YPHO~p3*CvzH~7J8WaxAXJ=#U%$c z4lzAGeNE3*%D{Dj;Pg}1GF@jCEY)$A{2ICN@Pvz1Ta%7GOT3l3@8osU*T&yJJwLDa zA-U1bMl|CP|Ie(Y;ZK(FUERC-@C@sx2DNfg5AOWGu;B2`Pi!e04s83hCC%i)1_x(8 zPLrhl4#H;Bo^SZ^=6kR#Tgmmr6D6|8axNwwx@P0^{-^OzmL=gIO6(YKipES_UsuL@ zo!jKe@lV<}K0PU0;UMhxOy8#}_W8@Hyb%iyPiVF3iClKL<^1WykCktpe%iLpMyWPv z8?)A>hUHAWm1-Aeh%@<CM4DYp4LQ5#DW`^=<=i#SrP;3yl6LVWr@aW^)vcWItwHuD zi^(!hi<r8VMp6YX3l!a1rHY*vaH@aRs`zqkp})N>+n4K!M;v5VnQq_{W}ANaQSte= zPdPJcMIz(=_ORs$Wt>QBJeDJzaj0xBmz;RXi_ISGjNPRY8-3ah=f5^ctdv`3yWsi% z>8mrYG5`7>K9{XV?ZN~`rd~;n3oVZSLsvH)U%3C`0)Ks5qXpaflz+W2Shk)|_}A3K zk3OFif7+bz@#mAzpYkq#swr}*oE<gKzfUCNghr!VkJyS^e_wnJs&<xT<GGl4B0%;S z&*j8JA8dT85AgqFS+e=S<{G9=kq@rje_`@qn}hQ^4wFag9E9IZ{oe5C^3jb|smyXO zCn}nkNE8RRbKakR_>uDQ<)9EMstKCcz#~=>6!*7h)k?<&Sy=)ZNBEh1E7n~<{d^xE zv)l`VCky$K*S$7)SSXivp7ArMNA-clHB6i240h~cPB(c_+}Nfgo^gb$QEiRR{u@Wr z=jner#kS|l!l<Gb2G4@`o@sgabi<F5FNu}W!86V=JvBX>alojtEk_{Zj9a5x&ZX54 zHhQ(&tuB@L(8{NL?S(<wT0Y@xPZMu3%RLdkFheaNec!K}N9U<tnDCLQcc#LHmd+|s z8?)CJ(!2SXx4kk*n$4HI?X^LoyQPj@{J!{k4I-;p&S<Q!b7M~9GD(@^a8)m40cY_S ztqPw@3!^w+1*~N$l`sr#H@sXj!@!pRvfPJSJH|-<h&k))t}##JFiBbIkbI5XB+>2X z->8~dcH!%%-{O8@kg$(WS?q;D+Cn~IvA@?Z%`Go9w)ma@=}e+urEYLo!ZJSDX)iB4 zEtaj)xxVmL$!mjzrF_m|FAb8m@+I%Pka$RH?Nq&D(`SbM$#$PjmzkwX1y>vOy6Rkt zIFr<?s&jJVmh^R3XB@s1^LW$F%nm-;wpSNYH}KgWdvzgw4j=Qe*9Iv~e9CIC4ALg? z39DtVEs<Pi*nd&#v*c34ty)(jPH^<P@?4)0wL9Bq?VZyRg}-fFllg*AOHJR%q+ar( zAgEn$dC7~ysCK*b5{ZJacE#r<5`_`%hR;i96gXxtJ#Erk>2rO?d5K=un#CI@C`)x) ztlBsuS<2gDUh>WA$5O>RW@N{donLc(;bAt}T{%}49#@n7m2)lefS7Ds&eespPL{4n zE0SF`GbVfK>2j&hJC+#EKP|<*W6{P5tEIYkEZaC^u9WwWO+BLW7HclgIJ|DtvD7sO z9A&M}Tv~W~^8aOy{k>LSZZ0|8aMp_F=Ay$LYpr^2E<4=v_P_3ZujzNAPTPLVIFr8q z7}K{*ohRD8u5YeKoVhOLZ80JF=H?ACb1zq?rLQZzX<O{j&c|N*qR69NuD$d{u}gba z^wPr<EUkKGtUf%W(#mJX`mL8zrysK^%RZCde2nQ^mQKq2V@mrjMVzVYRjr9V*43XO zmT@LAG4gSg+=Vq)79Q4;-L>Z0!sFsrUm_PDZrEzY6S@3whkoe_v7=T#Hx_TblsfU4 zjac@X^v+{U)3S6@W*$>Y%hpNTc}ytmNyN773o{O{jd{GO`q@T4*}PX5p04Dxo%iy> zb9Pxao9l@uxMkh;TuD6BZ{_o2%bVDJBD?Nfi+We+o@`fcDpug1toYqj?4v`nA;0Og z4=Z{^<+DolR~LVr8P>n)dvUZ}=3L$ELN?iRFE2cmmaUp|ec|~)S+<(hhbMGf_5AQ_ zH=JHFqaZSS=V{j7N?6f<A>xE*uj`yk5oa=cRp*@C_+{SCMTYIGcOFaqerD;Wz1eKw zH7#9yw(DM9cs`4ddEIM+CvAMn=Uy8;tCm%}qjfuZ`Gu#mt`+samioMN;l>6|Dej#M z<|;pm?mZfFCE`$d>Y5)CAGRHnc{jyWFPZh*iwlYC`C`Alyzp3C_Sc*1i3hG*@mMZ6 z+|g{+W4YvT%i$>P*mqfH9?v^g^DbNGLE|wexh$PW6OSeJW#}Z9_bvT?Mz7cM%NbL> z-Rb9E1@xv_g)E-QXPfuxLVCu{vojAiBwF!Et~=ZzXw@UR@^DLFYOPJHp8M8mt1tQ_ zXPI1{A$8VdnVWI%N;vma#A){8)34^(-cRv~d~6h!u!>K1*~<&5yZCI=UR+3D#>brY z(qPGQKIM5+V&C!{v$>jh$aw8jz2vRas(UWaIA77r%5yQ|giEih&!vbnDZQ#bCpW&S z-3v;3&niJl@3Qsu*>C2(T<ko3pVgNa%bn-{v*P*T)2_%|B4HQ+X|6<M?>x=D_Nkn{ z>9fy^k1^R@J;nWU-Np_%sqV@(Q>R~ey?^UJr^@HQo_(p`+Pgk1R9yLr+W+rquM56? zU%TH-<pz^`&aH<Vd{^&X37N~XHIv<Ndzo|Dt&$^rv-@tQU*?RDEP7RRtY|}Y!hT=t zn%v8J>^ZlR*ZcmSv-xF1yP52#1Iv`(-7Yy}JKJwZ_Q{pUGfy9kYqU0dTX1_>Y~IZ+ z$G@AsExx>rIqycviPG79JJK#2hUZ#6>{4BBw_Jz)H>=+5Er(TSm;F4q%vSH#mh;=q zWD5>1b3S*w<cRsSH7s+s+?XINCS7<ZDY-8)=g`Ib+yA{ZxVtYlI6D06W~Rwn$1HsQ z)xMTLXmm_uMzH0H#Jr~p(<`So+dK>B`xF^qsgaQQbioAIsm)4a;e1^)V=XljYmTmd zWVAHNF)K|X-10<X&QpcyhEtnu)I#_^MFv@FBve0LFd=tpv(mOOzOI`=mKur0M^`^G z+?wQ=m3K45@<d|tQ-$fgQ=4tBh4X#-xv41Nz^+q{ii@?`UDvFY5!t+~DBzH|==!en zTe?_R-pnk0s?Z)fwK*m%jPFxKpyi45%BKq&&TF%`>a3O#*|;T1k9k>m59iE4L$#!| zqcdhiiY5!G{oD7oKc365l-2D2xo5Kbe#O3?KSj8<G4~ro``T{|({;Zw^y|N2w99_W z$e8_xQE~NKM#0r@7!BiZF$-ObHh#P5d{o1%wPrV%ugu8cFSwb){~;os|HI9EevTa} z{2V{e$q5u*loR;8)>h$Rlj-HG^J%&cXC0ouW#qemgE{8hO=g>Ox0q|r-C$<&yUpz6 zcavGk?-p~C-wkG=zG&mOn~z5|%nI$h$t=@%i#ew62D1&{ZRQ%jo6Jmnx0s#yZZIqD z+xAQ|Vf)qgH=BNS%ng&@eE5~{w*T+$m;9RU7C*<Ei|3WYl*141|Gn*3AE>$Nz>L0@ zl~>E%55*iSRXLMf<9?_{twcp9A<6xalUk{YPEwKkp(M9*6`hBh`C_!EE6Qs4oVEFD zE%fZddgVmDT5F+ah6|Jv?Sid@#5QbFPE^#k5)#|EM>)}Oez}THVkG;zsmCwyi7b1z zZmBG1am1yG2Gftqa(<4uGSOgut1KsX#D$3)CfLbxcF(v!al?#CSx)a6w;Qvc8n0n) zU3oL#&;5{0TDi)Z)G+r$Hfd!lXVTr=4_&ESuAHcN*-A*va4YjoUWt2+D<%lac63SH zY+NxTP`1NM;)nI=x;5|Kw&k5=)%&w8sORv{`%z|#kIrCPuElOSXNAm@OMlBnn{DQW z=$(9?`E)@;`qXBpx)m}aANLdmoasL0$f>U#CptY}OKeHnDS2&n%bF;|hgs8VVs@(Z z%T4wE^fJ;?V?p@S1rzQ~ZC2X1KIY?$?y1c}`&L(k+MEm3@i^N#U5ni^W}VEFqNPO> zj$b|HXm?$Uoi%2?j7ZVqqJSf3PdR3#$69JU3_H5|QSr7U$E<I=V=YfS^n0o>efQL6 zn|GmnpLWJuYCLd!x?n>4)Mh2Q&@-tAW_B4W9@jeNBC}pXxOh{N&!Lz1|G6%=H$G~z zr1;L=`!|)Zg#Nu7U-qjw+NJUJR418rVO)ih-+pr`%Kg=9{qbeJh|q_~r4uGFPIXdZ z3*+jP4AE3c{P6zm&+?tIip5!K(m@YxvgD+rG+o|))oQKaSugU)cu|nYffHVeii@>c zUG}V)GJVGHsZK(2Aus;RZPyZ6^lVN0R3{m^P_Dq$9bSrAso|O~YhP=%t_Y1?DzJ6g zYLP`@B~zV}-ht$Ia{c`NbDxu9R@twOK^;-YLtg}BrM-&NbXogQt96BF%u<1^bs!D- zQ=OE4g-BR3<%ONdJ@J%FP%vD}<w(qGfr$l=%j@sF<lo$}%j)~oRkLR8+O=+LZ?Da- zpYo-@nuYGg9O19O_iH-8=(^W2|J{O%LyNaBW8zPFSP(C!_dVrdVYrxGxtHtpV{Omc zUbXGo61LsjH95C5_qlntba3J7n3B-jr;oLT)U5A*=5t3!)OYJw(@@{ut@fVx4`lyN zo}jzP?Cdh3-*tC?T^By>@@wX{_~}m!Ok;P4gzi5$FMj%xJu9Pw!&6^L-%Bcwte9xN z=V0$8DbxK?cX#e9-h4Uax9~ddL%v5}&fi{l%}BH~R(kdQl<M2@cYSX9TVKC&wdm{f zwe?)v*8S^!waYx~_0CV9>#x1+?^^M2>$$6$@|jh?r#{N>`&M=PiA>f0zv*-TMU=6! z&0cy~U~`FN)-<`pXD+4hh-B+AI6Yxvnxx?=on*J!sfQ$zzs3BLnCl+D`18y362`y1 zdQXfOcS|Ic7&7<z`HKDbEPHu;?Qy9-Z~HRaa}&0_d3=5P|H*+?F^1mLSEs!!JgZZ? zZg%Pc-pxEaGpA))=T9@-e#YqG9>dLgk9%i+osm#tx4k!&EyiHig#2E;M~f~Vtw}H8 zoPOk(b>?=hg5x@}@BS^n#;^8j(*fh|(jNztV&_G#InLevRr1TWn`~<~-<Y7UYnHV4 z=&_s)H(Gih)lQ2v6`#HNP2qi=TDcji2b?$aRHjT5>_2PttTR&0#`I)~!mSi9Co7>` zv#0vfUEd<s9FFTQy_vpIE<SS2`MPf2AGea6`66<TICl41rflSFKigSyGijP!^w~@4 zvm@DZ%ui2{O_SVsStq${X6m7+$YuLy#y{wYw33<ndHP8vW1VY>%Z~0kvnitgrr2xa z<4MfVqH<2ybob84-)JbTYnHgsa-!bxGnbMZBY*iAoo+apCTVn3M|s(-)H5cVeP-lM zdU<2Z2_0Fn*`KEO`mWZ!_K>@~G%|UkT>F!mdYiNUW^T-4&Dxm7o3$}ZG;3p)Y}Q83 z<|mR1exx%`o3Z)L#w$9t(`Kd~c%CM?>5h(a*o@ROUYmU)R8M~qKXG-Qx1ZC;@XPHd zerEst`emco>T9pXR$p5zwmNKy*lXj9Nz7`IIVV!OduJqVGz``?OPpmnF{?gn8a8ZL zdp78;zps((>}9i;9_9)Dw`t?cbM+?CTbs5=Y}MHsu~lzt#8!i?5nGM6Mr<|N8nM-E z>x~)NVynZJ|GzlHWBSZzI@LCY@+-fsNkhjo3!<mJ)R$b<J2P@s?aVp*eZ*d<e&2e= z>dUr>>2_kT4R0k~J#;1M>ZvP9S1(;jx_awM($z;-l7!1n%c^b7;?6#|>DIwnOV4|6 z=J|0^$Jx#_Tw~Gh$YWm&PPfcco7v}EeJ1GbX`i2`k1bOcTOIa6Z1uFIVy_GDB-Q#w z<Q(wq=Cw@SD7akL>{-uIwK=BhLAi-%gWe{b4a!Y88}v5*Y*23e*`T*^XN}TUN3Pnt zTIX_nk50ik9oc;oKTr4E+ORzWhxc!EM2f8zTMqL6GnZX!47|5Cn|g0;H1*zEZ|c3Z z*3^4zwdv^@tZ6I1tpho7^;wuB&x*a?a5O2l>~!_6GgUSJ=bydyeA-dAJKJtduojap zyq=W&E;8rP-~Ty2OKu&SwfW7)YdW>pW~LsPo+i2Jo{qBFjMOu3n|*Gmoc=Q1lG|p& z<OzS*Y4AV&le+1EcX#QJi%GF|f3r7#eUZ1(&Q`~4!SbVRUpC$7xF;rk`FN7>uYX$& zC+hW|iTqZPGtJKbjM0OYk!?1{r#oh+Nq)YgBOEs)#melfv8tl%>~piKT+Ga5w@0)K zi@h$olw|7{x#oOkH?KwVM#bN{W{>6^Jyw&vk@NZ4$g-cebZq%%EIseIna3h!nqvD| zqemT)$7D=Tw<K@!sb}1JfOT`pkFay`YTS1=L~NDc5;1+}r`mau{gq;`jqfKhi$&y| zaO&=jNZDxEt!tLpSrfhN+S5grr{(U?TzX!0GtZ8kX^P=zj2^9uJQiboy5%(}G`)R2 zJ&rF+UG^t6`La}ZX+-u$z1KR|9(#9xjm+Q3D6M0bviPW5&ZZkP&Wg?6aCDBx^z&yg zCGU*<wZ`Cd!_+iMqvJZtVKY<DWNr5O;WX`~{#h}J>}h=SPyRfe(kLkQ+Tc=BtXt%o z;~CvwC6hNY{?#>0nRC>wXZwvAoMN*LF3$0o&Y1Sn@PbY)+sxDh37dH&lcotepEXLG z5vj&wezGKIR?0NK$-bZj>H8^WT4cNXr**oU_4;+MJ?=dE%Vz71hIBFMPt8Z&Y_{K+ zAucxiLr~p+t*v^&XClAN$eLz%`HWG*uE@4C2B$k_rb!x~))7uSZB%D1JG*Ym<OyEw z{!jl+I?41`H>@{XY;|5(cWC+QqpNOTO}hFwYvZf7tr4Ziqt@(7)eXD5`BRnBR=vAt zFD-75{Po4;bVK{IZt+XEYf@a~H}|~Ep2qpt$4GXz-fXQYeZJ~Xb{kI4V$9!|#hSk{ zD>7?iR&3VBti-I1jK_7ubbWQh*81v(>H6u0t@S(G84?|_Im9|*bBJ`r=8)gvn|Um= zr)7Q5nwIrBYg*QOt<ztoPriEWjE-#HDNuOEecC%Kvi-d7wbY$QtJZ9Ym_Joadc&zC z=dkFUBjRGxn~x<4Upw_ZCo6WJdHT)A(;|P}F+1I0ohDgyT}S!d%+xcrn|&%0CcV6| z<=m8(lAK?crtHtjxs|t(&syhN>hhyiZ#G5Dzbhua;dqksx2T*WcHO-{PbCR2n=Slu z(>)zqvl&azyKUx)NSmfO`>at?cjU1g)6*@v|092$srco&i%GfnT;i0Nj8|MfX5Q_Z zX)leg>ew!ux%528W}X>|(-e)*8YNAOJa)$Hbc^M&H#>XGtojYzo*Q3#!E{VA_C&?v z?imRshQhsiiIWa4J$xZ%aq*0Fk0>(^-(UQ0E5FsIt^8($5C1<n#diA7V`r*BYc^Wl z#5bIH;Up#<dqik)cVt!x=kg=|+jqPS=jJ*iXgt}oedm8ism<M+4j6TZzE;GGeI-)- zmYlZP{AEV|G&|w5MhOce+jNXhcN|TV+<Zhwc-pKKD}lX#zPr8r@OZ!Z6MgBfUo$t& z?sfgEd+n)l_g9I8jdsksW)E7Io>OerJ?_S{@y3jWVzUj-%t@W@fA-Si-I2e(7@TgH zmnM1Xw2pGs>{K85%|0)*PnVq1Hn^iB>vjSZ>8xU}3oa$a`bDlep4t7?GI=9ox~|!i zIY-@Uk~bPY*EM_CWI0h!`Rt|SDUrW=%uY8Lrb!xI(@{P)GxbcxW}g|L`2Mms(kjN} zslN2=yr?yYzl*&tI-O*j7ro}ZY&Y+Y?2U@wb<7^^J9^A!!;O~qXD+*H(x=HyKXd8% zrbxCq2B#;iPLnJ=tCPHLcIqMC$Yt@m8XIow$X)|y@LaLi8}25>mPM>N?$`bGX6i=9 zY+bXI{-bVhw%(XgD>nPXRLhBa@w1j5uiE_OX2LW^>9a;DlOx@7%udhHO`Eyls><b! znfoKHYI0A-o0;966s|F|bVlMvJ!9Q#$<vPhI<xIYgQb|X(e)(dXOTH)YPx+R6!aZ} zUl$#iliJ^$_Oked4m02E)Dwc6dn~f186H1l^l*pH<@I7B5gO`>znfUQpMZ*Tt?tr@ z+>Lti5o?Z1b$^Y_-pKe`$LxuBcW*@gMnh>Gv&6-g6ZN{!UP|ta{H0@hy5VJ-<fomH zZaU_tXNacF+;9ox#+FE{FGis7V~bpKIH9{#GHIinv+lL@8AsW8w%?dAPfXhIQc|*8 zWX_?CVx`!s*-PE!H-CAVJ&p128KWipBi(A!rWrDyF-m-}fBHPvrJEBP4c(p_gz1@- zvM!ss^sv$963hH)a^hz$J&)eZvm;}g;{BPaM^rcW?97?Q8QycVSTemt?s4zA^rnlf zJ|?>+c)E!j-h7d?Od|GBNV9kQ!qsli3(ij1k|VGyeH!2QvzMMWMpoIFc+YoFll*W) z$9dk2)FZ*0dn(hWaoS64eqMC<g-xEs-1EMRxqsYy;k3_iSI2EP@#5nzgx+=O&ArQI zV{X;XoOH=(`L~L+mm7}j#D1H#^tj#TFF#M|Fn^n!dg6MT<i?Xa$$Ya?4=E;pi!qa) zdt7Ys=bKq2jJJFAQg&Z-ePgg|#$31Q8%{sCWcYl;MN1h&6<z7#bF-Enw%lB@Q%UcQ z@yamUN7j}zL%QWQhh)ob=8;UCmUT3FTGqkjX<2(e%lqCew#+)S=D6YF&z5;5jOsml zPnKMCony3X#$~tZ1#TrT%{8uCx#v`WGYvY{<#~Ee)bklj50`8%nVC3E&iL%5^l6c7 zXUtAduuPLQysne{Y-Z}An&fX+td74x$4YGybEBSvag5b79RsWO*0v?7$>%2TH}Yy< zp7!$786Dd?1Mm6I(<DC}(s7QPm3pK<ZRIq^w3!#~&aj>C-+S)yyZD)N&f6~L-jQFT z$ls&)XyL`9Hb%QzjxX}wFB!R@{l>mGuNQt1srGxu#be^#?wIz{=!TB1+U%w0?KboL zIHlwKZFcIB>uHk32Xut_q&7dlbozx&mGoSH`NiBXvP%^I_UJ9zfAMHdS_vmJkDhEX zpXA)biO!cc89wicw3=h;J-s;X<;UL0Uvtb)H*lv(ep(yp7GrXH#``ly4<{K`>$&%w zd%WV}PZ{G~4UgT#iw?a|@{@`^6SvsgLU-2}>3&u{>A8nR4KrtIZ#bkQ8#Zg{X|2s) zB66qM#m`7RAhnq%GJBff>oZ1a6C>5un5Uh8y6R$OO=gK*cduST=fzeX(_I~@ZsMPJ zUR2dF-%)brW<m*{G_U9BV{>BDUT(Op6Z>t((&Ma!krO@VUrduUIHu!#Y*y+Ki_JZf z`O`RskCZxS#hOVPzutKBMa?#e*aKmUxo@VG2;T11OKZQV`bH%7m+vtq;iKEq<DaKY znz7}K=#1QHe8p!jrLK#tI%DiT|7V)yh66gzVzW|@C~fYE%$dgN-E*^eW=@G*aL>8) zRTo)(jCW0V=_YP?_(hVNWb7fG=F|I{@=N$m^MITv{wR2+kJTO%@9FYqFFg+aY+1W& zcB%vaW}cUs(**aPF<Q1gQq4xlUVX0D^z*$lzrIK=vFq<mWwSBdHDS4%_{TFZlIje0 zwLD+sy?<fEg7r7r-ek}EB0PE8PNu_WE+y`W+|^^?-9ItyrSWCV63Vbz?{Dw9$Ht34 zOD2>sGWY7GOt|REW43FCqT6(XE1*2na?x^*2`JC(GxcuoPJ8)jZe&%Ak@x)5X_5s; zb)47DN<Ct_xo2nIG*0uLo5hx?C34Gq&pq$C$U4V#*Mwp>@sGV1kIpgQ)#AL!dw*rb zgJl;jYxLE0i&?MDSb8{Wb4g_Sw5;oi)9hZKHA-lXY|Ak@-Qk-ix%sA!@HSBKaQcN! zp7h-FVT-vV5=#`dd-am0UOc+SY*&juC<RWFo_p8~oC38sm)yvorWZeZ>2b!*Uo11G zG1?x@zn^Bbq&?ED#QgLO|FcF9-9Ntnwd7LjZo|!T);;IamtSOkW3+3+T{rQK$6q9U zlZrjGZ~rg#xhG<#pNo~MzZDT0d^zI5r}L$+3Rb@Le>U|3Q@YBV0^dV=hwB%LuT9f@ z*diy+`s(vq2WCAElP7x|t}^Iem{H8+YcYGVY3SKd)^qi1g4?;}r<+?YW?kof<6&D% zY@XMR$JLy_?@Zbt)u1BtX=aNun}<c(f)-&m&fM~!VH{=LJkvHD7U0~?Gj+pp1J2)H zrWYLG;B4=iQgB3r^LWp+f<qDu->#a^bug}RqfnWIdgAnVLf<NWO>C&0=V|ePowMC$ zdchHH&f|NgY>?uzzjXWE=eYs7`wuJ^DyvWudAs||ghjShUN@FcZ(*+Twph~NqWsIt zV%hu_;WqB;whtx=$cRK}B(}Q>l|||#_Nxkgi`079kSfIYGv<)sX;qoc4u?3kTer2x zh&3H%TCMVCL)0O?c#j*&y)CuZyetytwm7GGSR^%FnAK6t)VovbLQA&%_J5%lmDa9J zkNCOdTUeo(tX6>Om%oeF#JNT-=x>pgn|^+g>zhm78n;q6IM}jrLYB^Og!oD`^}f&# zC}rj}S(x9qY^KhI;01iPMKe!iG%nxilM>OZAyoF$>yVtZ${I5rA-*3$hZJ9{$ZQNd zWSFh8X4;#lue*3wdpcz7p5+?Oow_2jWNHi2vfBs6oU3<x9TN0bmD%iah_kzOTXl`r zme{k4x?7B{=<EtOq{prD#xVYn-DedUgYZL&#VRs}(T5B-tIRP7&e(mv-)X}v*Xf=& z9!_bA_4B&%xTU4G&)ed`j27oUFN;SVEy;Y|77r&}_|`BTq>$h1#>0k|tJ8uH$^BM+ zvpMh(<8Kw2P2q>KPOCngaaYLihUymEC#?c&(k{*wD!ZYWxRrnEhT~?OzjLM*91!Dd z&zV+mL~Ws|;CWW5&&>|P^S1uYeWw(<Iph7MmkW00>T3mT71x#3iCTH9+v~=|EiJKr zoUW_)u5h?26~7=0EGxR=RySA3TUXXq-xe{2R$r9yHC`FU_x|;jh2MWa^*xu-_<X<6 zhQs_qWtAF<{l!5y?buah3IYx(wyVe#MjSG9SD8}~lCe8Vmh)hin5|l3`(vRpOU1<g z$wJ>ORUbB77UHv1e%O)jwCk$QudO0;KlENG+Zr!&Dq!=A%7r|p-Ek8(+&beOahF*r zEZTu{_1%drSC2U!5)4;aGwp#;Sa!j}w|2HI38vj~(>EOE<=lN|%7)`=oWFG@Z-}z> zv`E?6q8#R7k+!r&IE*uw|AijQ>d2C<EwcM2Y&b2&S-ocJhVxdOt3x-pIA8O&NNR3L zHuJJbTzTQ!1pn3q)9$=!8xD(c?#`LIVV0}vo6WI@7_C)gHU%FN+^w=EOu$K5x$e(Y zzvMVBlZQvwd#PQ2meaj!d(FFyn~UZNtdJ5sz5Mhw-B)#-)-|e$(`%hB>K$i!RT#3s z&YeZ7AYwt5nPA2d$Hrqa0vU&{Th2<`bilLGs-%AVo|BTgA5^Ay@~pPq(-JG^dE;?c zORb!T#e;n<&hI=e9<6Ihe&=EFaNC8l>He+TR-gPjEud{y%>L;cq*7Gh6gnTWyRIrz z;Co0hTvcXc+#$nm)j1nHGIk#arMMVzuN#StEwRtMZzRuaseR^Uk<i!ToaSwjG_55$ z&C4RO?Lyi7=}sGF#Vq%}k=W7_yUgoGa#u^Onzu#5q!wp2FN>t6mgHmJ7Kw8%d}|N~ zDLn3VBeAC?*3J7y@|2cZH!q8XSuM`rJS>v7w<MQ&S|l#N@NL3#kiv408;QGHVz+tT zNM7AiyUoKQVRMVKnWsh4;+AAHj^3UhjtdOWv&{PNMLczK_O9aCPu-w>nZ{SP7GJ;h zYL|J$r-%0MzbwyP@^N|VtLv*@S(}GmEv;L-Z(G|>`G1+q>*rkVojd!UhQav+nP+u3 zZY>a-9Jc&`45#&(e;@j-|1NCfw)r>ph^$=aou`c_Y@hAZFPy(pDO`4Y?%Lo(v1y)L zzt7N2oF6OCVUf@!BKv7($*B%Tp*~5Chb{BoKVRpb8=G7DeAce0W&8j8TmPLLbouMo z`KG4frsdg5ZvPgn<1KxqW%j>Ezy728+<$>9HRS>mp8Z}_FhiAl`putQ`&U+l^OoCb zysz}DJiq&|W98ML*f*8idE9&-?c8F0bY6MzAwG3Gt@n(sF$uSh3zg5%Dw?jX^nHhN z(fr*?{5!6Ha|_@skG%CQEQRx1gud%<n<)hcayZ-1Oe#3y!?}8;$05$k_UB^zx6Ld6 z|M=6q=Ucw-VgK2DtLomanDfO^)%O<nyDq%8c2hy+r1b?GpWFW3vFG{Dr?&4S*JZ8L z`?g1(=h(x_cRqO=pYNTp;qd7gevL;=@m+TkXP>wl7Vtza+U4Em$R~`mmt?K7ozP<Y z&GSb3`WEJI9u_IvTdw*AA2PI7owGr?-u712y<;)wi*HxoYy0DvYnz|D?)lEOw)xfT znzwSa2X894IUi(s?B5l8o>xA#%|GV;G}OCv<8xngiT2E$4-aa8+p|)rY{n$%&s{qX zWK6W~5!!j$V`8<B$j<Xz6WMj9uX<?|c}Q-u${S;+LyW>IGDdNS1Rtx&7>6C=O#XCz z@!IFL{{kz|f4)_HZxh&h-*wL`*V^WvbYD~up~F3W=1(s1>U)P`&VN2#eXl779R8nq zk0r*v^QqhT{Or^X&ga1%KkoYOL+^>$bDeh{uQ^eBuEXZRmJr|Ru0r2-C?(E6EyTA& z<>7?)Dl&zEhYas~Sv++5SGDEqp5UGHo^SuYXEHd<#X+2Jdp_^vyQU<w?&P7TRr?Md zomXyr{d3c&dF9&STSLUF@8vcZ?wD*H9Pp;HT=h+X{&nWA9S6cDTJ!vId8GH(<z3-B zbD{5+N}n2x_Y1CiSror&?{Q|%)ur=VnB_bzo@{JU-Z!n_Oc|$o&gpnir2jI1YO9~| zzH)JK_tyn$pRYalb<cvW&wKwki0~<=JT$V|et^HrHa{Y-U2xj>JqvfPTDt4T=VMYm zvvP~mxw>uhceTi-)dbuFxxs0owT{rv(@7Jnbp&_%$4_M6bK>n0qjk%8R(_M)tn$V< z`VeEWii}bCA;HfoGRE<TIJv=LZ+rf2?%{9qzB}jTOK<zSCv@jL@onGsEZ?b{(_K)Z z#6A7^&mOVrd*9zr|GsC%p69k_LUpemo%j7fg3P<;=R`!S@7Z!%-#Kf0SK|@W-eTLu zCuFNS?<`$@!nUg8&hp(SnC;HS-sstVXJXd-!stVG%uAe@>?Rj@)N{IjnOtzj`{fkQ z+9F&1tk;$H&#L0C-21%j8GqL6O6_7>{jAx!#m>>)0jCurSCj_qlRY}GeA}BlXI1&% zg#B?c<2zk{@z>t&u*(;gX2(8X^($-ZoZM=wn~%Eg=FM!8o%V0hmrvUtF*)CxxZ`lb z#N9kXJC8d|Ts=>*=&I>G!BsCm1+3b8{O5kL4d*phPH31Y#3!lvu;ZanpQPHumWA)1 ztP73a{`=wj;_Iuj4@Yn9HRa8}D*obo-$~0aXQ$ryXR)^bpv9MSQ=d=y(jB+6Yr9R> zYt6)Vxt*^s|5}kd^F*k<(9Y9B6RUN^cAi(7$gVSG)yqq<hvepa-FSXHraZIq%D13H ziux)tA7c&~{#_cZRx@vZSnl5>$A3{%zjXg}TW(gkQ|PvQ*;!MGcFUcg?o6sPv=09` zjXg10<&A-Hd2N(@i?6`W!xa;E_XzJi9x?Iv8KKGp-|k$^s1z`BUbXjl3FmL0$pr^O zINN<D792_8Jnl28;LwpjTeDt^rx!nNjlQIRPGauim;3efH~tO0qS;(%F;n}z_DgZ~ zqM5msKBX~-_>TYE_2<_XyJf$;p78y4dABM2i5z>;_5EKBugV$PC2SAzoxWJ;n}t&1 z{KG<g7Ag-XGz;}vC_J38UUkj}`}(!FejUB`XRFpr@!LJ;65ZDw`Nr;Q&3h~{e4Wky zCGj=$S66(SviN{8r?t)5*!fC&1@2Gyw!6M7@_r(BzvIsHWha>PJg$~hDkb(G7y4GI z^01*@h_6!NVaIQwzMbk1TXz4<zIsi6-ShRKp8I#CmGFfZt8TMDwlm3qZ+h`lR@J|c zg4R8{Y23kd-}A=9q<6nW3LE9@r|)nspSZh5tEgYT__6E6-+M%M-pY91_OfSpjrP@h zkDFR*&v{xTgxprY>s{a>&*}bUT7i!~r}~#amG7dq=5Hx3t_!%NUuR&|p1yPD%D;-I zd7A@wzm2I(^xR)D=XB0#<{9onWfe1a+pXnK$m@#f)mJK?Iq$XjTK<G(op;h#onT(} z<kG!Q49^-vs{4NnecP%2uwl0l-%jO+9k+%0cB(#XdH?zPs<rVx|0>^s5`d@Ma|6Tc zmv6~8`WQ%T{dIb(_|z}mg*(q|vyqe3PHZ;>rLu~Y4JTyTI`5<|IAP1yap$@5MD{PB zA~x!fT&?OG<Df%~$|^EOF^2>XtH>CK9O88T1hV1F>G;)?>I%)u>$h9C|J}(K5gdJc z>Fd`uQ+FHg4l&(#EmCx={}aAy*LRzq&#k}nQhwTpW2>G;l|65gUVT4j&C1-g>(*=K zS7$xk>f80MX8G52i(S`tn$DHZUOhWI`_-l6Mq63k>N2MAEj|+zo4xsqMDjGdWc!@I zbEZ!!Z9R5t{)WeM>#w|&-?H%B*Zh*#r@ocnnx}Gg=c<-l=kl+aT{AP=w07^znHd3p z(sOeCyB68!?%oi6s`9#?u5P?uQM>P3@h#_aUtPPhb=$sW4|&bD#dqwQ6(0BcQo!O< zGrlcklWlt)@b-jVPX2<X{A*$2Hv%rbP%4gyubVYxW#P-|QYAC~oc?oBFFs4PYR%;t z=c9UA*IbM^AuiP|v3{<3TGO#BV(+Kt_D^{oRox&Z`8s~}+RPQ}Q%~tf|L+Rk?WbQ8 zy8T&O)wDhT^{xH}9~9%(Fu3(fmTkZO^mXgfa(SI^%`cmNbGy}bll^fCD}1eaCZEd7 z%X$?Q|2wSlq1bDKLrJl2QEQHCbbpmh*~pl@q-N_4^VeAt+NPFYUoBg)I{D7;`kOD$ zM{kw-Hfxr2_Uc_C?Yow><@ILSNyiucJNI?&Z0psJZv|UzeUkNj?O~Jd(CnE)(cz)% zk1>?)HxIokntyPq*z1Bn)8Cp`Mm@>i`ZcdK^!oq(8;!dsJ=*iG!b1J|5lQQF_dEOL zAI&+^`Syrk((Zk?UKhT2=~#T_vhAz9313b8qfQ9cotm9Kd4j-d2ak;V6B#@k7<At_ zEs&kSu<`xw8{wV{M2l9h+P(VqwZF^$E;{`8@B8i7uHP@u_YLLTeeB<=xAC(p{Hu3* zr8@64+O>Rn$g+>g-*2QacYR+H^L)jIFY}&7Wp5VA)2wz~x5593+PCgIhZrZ`w$LbI zZ$G9cophdeyU3w+VuckskK5`O%H+?NHJ`HTsh6nxu|;p&OIHTzKHB@_S<S-C{yT<Y z2e^*UDo~AI@NH4@%Zkf2M|YHcwV%w|D(oA(X4>uUsqfZht?l-nRTbh}RkCU2OSUiz z)kNXt$Nw#Q``pxKmwMb*+ov_^viCk3{(UyLckb3|ANT7s))jc_o^@(XJ!=r3BOiG} zeB+CA$85^_HG9`R^4Szz-oLUXJ>cqrQ_mI|hD1zlRSUZx8Gq(0_chC1Gqig;^)KB# zmb&gq#?tG*Hat7`>z?$bf}7$Wzs>!6e3|z4kVTua-~UOyz29X?l)-In6X%a7r)fX8 z-nD9{^rxNDg)h&=Z~vQAA#cTTzIQFVbLRRF6885c>@rJ2%AZwfL?wP``|x+g)yNee z!jc;}O+GX_h}wy0$Tl!--4M6H%#7p8LAS<TKOz>$*$J-b_hsVUU}>EooV{t?vPNB| z&>tZS)V6V5Ib_y&>xNzcySSA8F4ZeX6&tH==mp4Evr0WU@IQ?$=i%ENah+T8n?xhH zrn6){TI8TBBeJ6RFH`9T{{?#AIIbK{Z2V=Rb%EjdCgyD$&8!n{ANZKVy6uo)<17pP z0Pg9mS;_4VvTsCIbjLD%-4MON?i{Ddftzm{WY?VLyJ1+M7TvhGAZme{ALo@ro{hIG z6a(1hIj<a@b9>X3!!eD&W~g6a(7!uPazl6dCgw8UJ#*F`2(55kp!SUW%Auy5WVw51 z-znMMJAH`ZegE3@U50P+^h>6lx+`)`XV2QY7stGQ_9rr3jnuf?6P}-~b>;GnTbbA6 zuf%8s9`gPFXvZ(bh~D!nxgY;q{r0+{#@8=FXRi3Io#GYxD}P;xUc2u#Kl7OP|KD%> zKOxpyGg05<Hy`hxeQ&p~tvP&QZFf+E(z{E$#l4UEye;QnvF-m)?mLs&)_wh-etW<9 z^b=Ee?Y`YDtLz@W>$Y}?f!)Hw^9S;8)oK1H6+L{){d<V@F0F}=_cDLYXtkV{W$G*O zWBayxF_~ST@0#}iu85ZXX}B|JW0c;-$k=y_L<@?mEqesi`2MVWYi@NsYvpe3>GGlH z!nKsSRl9i9wtiXGYG5VLFEyFH?)EqB-txXjo7cUwIqGGS<s+G(?fOOLa-4y|SN+n^ z8Q-Swy7cVUfAL*WJ1)fgOKw^hE35ix*}{04d!1>WbM(G$K7HefOQJz#%)9mMzdGH+ z+Z+GZ3N2?@yk0J0`Hn{ZRL8`<Ix}u4aEqCpYU=e*Ie1WQ<94;at~uK(Cf>fGUDOrh z@-Auh3EMsDMe^^vZ4R*4eqFNYoc7TVM<#6OS67vJpvido=Z7sVqVuM2=)5Vk?Sqqi zw8xhD7q*o;@7t>!uQ$c*qT!W8&3#MuRb{RJXz-yzTfDVDdW&;=3^%{khp37h6?<FT zn}7fC@2=cYvBp(a?%9?PA3pkU_cQo^F`cxe&3(t@XVzUmR;;hnSG(A?#q`K`!|sak z8Sk<dwwuk^!Ps@Ke{0dlf(28%`<>UuFv;XSbUOHZVwfJ+@#vj1eyo|=-735`CTC9G z(?<_{w8h2Kqjy&9xN+*}@ugvUjN7&pEcAJJIZTgBJ9=k8)SXjD4@Iwy$yt;6^wER2 zVS0MkwiSKcux@>w^pa<nM6@I;n*VQ;$`XA4W#bR^U79lU*FN0ab<19q@B8K#8*Ubs zY&Ds5Xw?g~(1=Pw_lh|eo}7#6|7QMfQA$klL%9pi2c`NxKG=A(d(o~S!%s&)*8Oex zRKMh#)hF4h(Os5rB$u_D-;v?de3dLwI#*)Z+Yj@<R(wgZzrAACpHn+0TA2FSKCRh$ z=Uyler{8z;O<gzESBI2uUA!b_&P5Hmb6Fzt@>x?D<WF{GChT<!ys;qAC+CWWnB6rE z5w1)TcKHku@!6(b?YdG&k1v-}JrEYTu(nEi|E!1m55ErH-MXtb;_i{Yx^J5o#|JO< z>7E((`JB(qSz^;<V-M=p=^U^65q{-X?cKY&HX>$Uum5+s_(9|Ou`S^m%k(t7zO$)X z%IEPe{BtZ}Sv&8|EnOA06LKvl`ju{fo~QF@>%m|1jkB{#Ht(_xf2$?BF=ayOUe6wT zwyir_+Yc=glT(Y!eVCY-$jvXkIl97P#{ENwk7_sTc;<duaqrUP<ENW*=JuQnElw`F z`_`5%?ot-l=Pk23cSfB(B(pZ{vFqAr4_MbeOFF3Fotd$;q=oZF@k)n1AIl%4Tgpv7 zzg4;-Ki~k@dp@)0(xo{GttUjMb>BH+G%-3tt4P|v`_4gOrSgv}PT1Ng70L5=+Z@oz zl=9i5yz_F}-w7u~)x57pM5rf9XRG=!cD2N=nYf|dT=h-z)E4G7B35>j*1bNnrFy6O zzqVVizAWn8*e_b!ySvhmFL~b6$Q2vbtS`L3fswaHGoV$UCF@afW7H0v0O{wfuO7r~ zOIDkfwy@QF^MzwmbV>{?xnpxqJMquneBt;v9i9SJW^Xn*nKKSv2ibpGc$?H$vt}jr zJLtX<ThSZKRJy_VHmmUY)P=3-n=c$Qj(m}jEVk>$@x+~v#d5sY-l%aeeWGjob}Cog z>ufup&ft*iH%+rjcG}u~3^Moekh^<X@E>DEVf<&qNR1hyx6HEDO~gEt-qsvjy|Tkz zHR!<B4eJX(TLxC|dYN&|du58klt<E+k6t=E<9PI%vS){mbBCzb3vXM}_r=A;xv)4Z z&S~QX=Z6e&Z?gN=?K=Ek`?}q-J(&SvB7MAO<@-Dh3j)*wV^*y^e<ZLs>2ZhK$HIuk zEI0H^6sxB7S52SwRn0T8-Op%auzKW;rIT~sT=V4n_CiH`dC8>q{E|ui`K3V(?@feS z&)<Ko(wc2`@<{32l*ASB$~Sj-t6T1fR^KVHezMJ-#gl99te(u|bHQU<<9gG_n?%)K zdCi=$WU`LWHO~^a7b@(*rIWfdtxg{PYjyH?$y||yHn$=kn+20a(q5?u^S@Hz=6|Uo z9iN$Yudu*WG~h_k4}%j9&yL6cmU%m?(I@t6|CxQuG*cew_C8A3x}YW2ctg-)jWq(h z1T@*^UG(Jgf2|_y|5An9|CI_?W9g*!<E23j$4w3!ZHx)-*mm`I>7+x-Rws`fwmNx8 zXKu=4#ko%&IL>{Nw9N0*hX%h-hR*7&GuF*i=RR%Hdg%Yj>3%^GizfT%T=NtYd#NJ5 z{*?+lw`uM6jUmAu-?~_5Iq}`QBC=?0(!OOAy3I|x+OL~-^-nkTYDi}{y6y5~<=Jqy zS?^D`@lTlk^SJ5qWs9~PQLW=oipok~wK8$?mS?4t4wcMJNow=k^r69TlVPy>#aY)q z_2e#j%B3xBdN}LKmxB^3Q~u;Oy9aIGmE2i-{8eD0*W_@K_d>7M?JcPIdS1%&q4wOA zN7-{z9!k$mc^p0W$ph=TPaajzee&?u{LR6)zI<K&I_PADS9{RMIt|tLVK(LqE{nun z%2Io_bl&b0dp0CII5oe$G+@W>{0Mg8$L-}C3eJDvGkfQ|RPL<4-5K9p&6U%|p7EGG zH?-}2{x#kwm+!3qWIvCkWhaG~e*bEE|MmJ;^Z1V*xzextdCi3-SA1Ktc1FA_VA^9a zVd1*Qn@mf;#4Jz?<GgYxwDHysr2zJLt}92ynZAD5=};RZmeJrH`ATw!MnLO%maIp6 z9CYUhujt*(R9fJ+K+li+%3;mMUly7d7}PgiF)wgjz%@B&yM0nt(jo`lJL&<_!Yr>I z#5L~P;l4ob826RqcbRxUgqDdkHZEL~zn~!YgK44f0<nGKD|+9vym}Dbxa)`80=a!+ zEBgO3pDnjkiqdE?&ps59xjJskhm~<#HoEFt-B=?Yn{%mK&hBEjo!zx=MzbteudwT{ zTwA|ZT|DAmb@5Pp)x~4%RTmHbuDW=%y6WQL-BlNlTUQw#uv+WE`G#%pih>yZSr*I0 zb!D!0oAteLWpDqwsN1>f;$g?VFCI6<@oezbzLdDdUtjCZ)o!(KFI>5Iv(Gxbe^-R& z^HZ|hC2pAZtlBX7|D)9ph3nUUO<#HFHIwPbHV553ngOTESY9Q}bcodvU(qhg^mSv< z0>-dZ&86p7v#$Cu&B6DK*oxM6)~v^ZjaC&Q3-siKSF|5zef5~Lk*&hWTk~$M*8(no z?kh)(nYMmd<B)5k9>9K{`^r(B#;OX(1tLydCI{x|Eak0O=OFs*tNDLdrA2nrQW6`E z{(Yk!vi5&V#>zcQL^F@>StBkQcd=XT*=tvB?k|gaTdOV}TwQhXsM6jSiM?@OK5mQS z*$}3EX;<`eanXB%M=O{Q?Oy5btF$pl_28ktE7QIoUw7z5(z+0xX>Q>wSKnrr3f~d) z=FC$KZNm)~l{XjiiB3~_?`pE3aVk@2#MHG1j$}t>Jp8J&<zteVRfREktj<{{{?nT- z9RH-lvq6bDe?hG-cV_fyC*kZ(7Y^Ny%t+1^vx=DBs(0_C6PHQe>iwY-2b1_?mqbb~ z`w+0J-~`W|sjX9#)4gU_7#!9)bH+fLKV?R<q~x=ts<z4<_J?a?_D|i+;C!cF@5~RL z;2XzIUrN0>D^bKDUE=6jNw<eZZGltfB#Ow~kNThGbmS2CCqwry-M-U%^o}3n3BGZz zd)AEu6I;K^-2Bm@%r0N_<Pme9&7SiU`@dUoeb93*5iBckS;+6MR#xb8P}JS*TfvhA zeRsWYg-;UetN65kHZ`7?%D;DQ=Alj=<HAqpmc3dueRJW1Ys-As*lgNc;~akVfMcVU zjL?eC!%SNXTo#!1aa}n$^;z#0x7a;3?$fF&p7tHHj!P8DN~n|4(Aly0->E_#o;{WB zmp3>kB?!B7&9*e!*sA=?urMWA+nsM);gck5ce%2HC&}vWjAa`?YUrf;nH=f22)c22 zV&`6&$Q#Ee_WqTzv^X%ayIH2v;>g7Q!!oQEhmIvDNfs|Ec&Xveb=<<JaE>zf{KAyS zEbe@MMNb~BzAgF5;QhA}f89Mte&r>zD)WUIJxUT)mJ2g}^jO!8X^p3TjxhISJrnPI z<3$^$2@1cK*!Y1@+3a5Gk%QGeJ1XW2^2-}MdhG1RWHU{!r8WGr-oyFVB?2p21cmvH zlM=<0&E%4f9Bl5{G2>)MduPv&83#QYUcPj^5izA~;gLsmZX(MJlajQQ#g-W)B^xR8 zsTn?c#OS8+GPy;_&Q0T{H~*o7kG6C>&y-i5^3~8uQeJt=TSKRra>`Sl8a_%gQ=W3y z&}pWu@|3Gf*&il(-a5Keq+ihMy@cV$IfC5FB{vqf39_e478dpi@;{gSSlBp`LHu&_ zhYwM=jv5zq3bvl^nek(eAa}e$(qnJ87i&_F91V4|sX5xwAKb(8L(P^$e*N;;8s_^( zi$2IItL;laa>&#zCno*K!3#G`3Jb-R<=&+oIbPw$bm#JqUp_8OdnV>-85HF23Rqy! zC@B2hFey<<*{p2yEZH^bM~<?&+2o`gIexr{<;Ro0WAdk*f=<um-BYsrh-loty&pX) zjm<yI($UzX$E|j60xNg^sixkYn;s<0)Y%cC$1N9jqN#uCCV_;h7RqXIg4`|Jf2K4B zB}FdS5+SBxI*rw<KeaI^C31mDq?ktNG*++t6vYF-AN54c&=*^9_?KX0Kt+U@&zVWA z-25k-df8JC9`uP^ARH)Wb7lgoyl{%*fxnM>ZbT@EEtp(COGm?JDywjC%E3b^ksAy> z#bkV@u!?^_+0_1W(}Tx>kqZR;<_NNLA7v78v(e+`@;}kksh)c9Q1GUN$C;4@A9{3l zRD_Ay*r;>c&70oJu=%KD;m1`G4M~&4Qyd?<Mj9Bpi|Ocy-u|}1<B6SEx6Oe`x23=P zWbgU6eO|DX>%%W^eakPO`e+-b^DDS`*1Ypmi+>hLrU$JI-gl*Q^U6a{E>{+_pIe%c zzHa%P^^dv>&(4h4xV%JppUm|V<K}=LEXSu#iMG6-`=CavU3p1?;?;><rB9Mp`AS8* ztG0W~v^np-!?Rl1y?k50;fG2wt(u9gYWvPOafffZaO|qi5*bt0;<=06L|5;y>pNJW zwr}5F&7PHI5)!|Hxis#4UA`=`<DZrJ&W|rIOBsGh`rOjyk;vz+_N*u+QO?~g?$L>Z zr)73lv?}v|w*0iQVKD>u@ukNX8?^SexBbx4c8}|}FxognnY+9=<?-id*6E5xA6VV> z_B}aqShTMu=BdVk@ZR%Jj&@9PHIwUd+n4rKgYRdXvhe+)l!w-RIW^}eHfPK1+;MJV zyQ0}=v1J>#rarE7lkrJEa+Jr-#^wM=?tvbb8?)kWsOc@=?Yw!5Zfu8IrnRzKnL$#b zy0TfB;iCj|Wxa0(j}rBj?Y<cbCDd05h#gxVt8vEaK*u3RHy@d#BZm^*a%xU>G%xPi zVR5XZ{c+EaA3YNp)Gsw}DD=P8s<e1=kH(y&BS$jbbmk-;ITq<AGbj1T(MmU)n1mz8 zUEP@0fHLXcOmVKXxa5{iif$UbNiCn`-EwqNTa4t~G_EGL6v?=0>`ZLgH0z5(jE~3e zfIk~&33??+EIiXH=oKlkFs4<I-CJVen-)PYZ;6F9ErMPymzqBm#NRq<{DD!KOWr8y zk+Pf4n)D;b*xY1tQjQ!w-c#|TMNodW1m^}zTMl{Q%X<47b$c{qJl`km>tvT)7}6;y zy;-90gMqT1T;h?#i+g@l^h{*vSC_xY?b+b@QjeW&o`*P>$h?#zM}m7IDrN~vpEr2) zAkr<y=6FZ@%$^@J&U!S6A2W5`+xwh@=Z<Po>*?PA;R#*RGmQL1G<HvB6~3Hu@X+5) z3CX*3EM_QjPkDc;sr`BC!Q)|(92<P+2ns(w%2cv1Z#rwM?52dI<vI~JRJqN{PB%5% zZhDZkUZ>)QI=9`o(@qV{k9s0@xa&Bye|3xuuu!>{@;Gjy^$dj~uV=3B5*MAQ^%1IU zIC-=0nB^Vwvp3UJt{5#i{CL)+-M4~G+4k+V^%mVHyrT0xYu3Z4t~Sr)AF`+YgZDo+ z$h4W2HGk5vzM_@v*MnyU>Q1$p#&v6P$p;H}uK7!6aa=wqys~H2G`@LH4CeF%zua`y z$j|)53$J~1wC{8n_o`(dIdb#Z)fI}T;xvyQ-dXt7#`<Wc+_~jio%>R(uIxB@`;UNd zZiZ6$j^BO1M12c?{WQ}n^$NJ5#;vyPR8y;e>cL}Tkp&+bb#~lP;VyY|s;R#}Rq?>F zW4!%W9>+=OpX12QkUlai!#P@?TO{>FQ!9V!!DDHW3+6b9X>6UqddeWBQHVEkL5=eq z!CM!ONOErG-?+?xb0X_0*ObN}p~ww|E@B#v6Io9Mr!-y?ja;zCRZOE&Fulbr?c;S9 z>CGoHlf`zn$0q%?E9<g3(D!0m^g;(W{alv4bD#e4-K*^WO)4V!1efavsiQ}-dXo~T z3hui-HFQz0dQD;ONBOqWfC!^K&7H1?ts^S_@;_{!Yr?m*!2kBY-4AUwu4Ft+Op4~_ zKlP(t-0o7GhRKr<`DOp&)@%7lx|teQN?bj(<bHeiCeNjLl6#MG>8)I|!0F*4g&7MA z90k?{Sgb#k-?Oy!-VSCJ>4;38<=Sf`!pt|;MBF`O^jQ9OUCcYJ-ZyXcM0c-RT9hw1 zpSS2*^W*0KSH1-&#fIgFyYIVD*M0H!)ti9}1RgJ3D7a~ffw%h13KQj(RjvImRa%di zPU=)|a|>V)^4crAbKSixeOGCbh#J{_HAhz*GJh!UlXUCqP054z)+KE<|0DJJLI16P zYLj;H%<<uA4enjF>rCLok}z*uHb4GFYju~ItYv$~+nd40Row1&A?y;v-mUtoQWnB> z25N^z&s|%-%P>9B$3vV)Sn9C<GUF?|x+*4luL^uMZ%v5)*DDt~=DSUwwZthiB)sp| zq05Qw^^@96j#iXq96A3=IN<Tpa}z7nx>vU>|F~+UbH$ACwU1WGKPg?Y;?>MZnXtbH zE_BS_^4H*)=&e^gXA)czt7f&_4@hu%IB~+C6>f*_Ha&WJ&}3nRrSFPG?<E6wh}CJS zE15t0Z2Pk)SNGz=0>8x?bMkf8PHN=tTv)KE@UQpQpG%HjyJ)&hxOmdf72Xd`e0it; zyTAOS?~F;MeCqk1E_BS_{<r7xJtxiUaR-(<#sz*=^YOao?-RP%S^tySqt|8=%RO3U zcj^CZy_R`n;p>OyUoF;teQxx+pX+yVevzX0$EWp;f~_|*ox<N%x{1t_mioxQl{<1( z?7xpPffeTjLQBQnxtvelsIr=L`hR8eX^$0$#5rZ>{;1OoXw~kW>gay=>XCpSS|(bR z#VK`D8he+vE!?1J=(EO$hg<$?PtC@|2j0zVTe!j8(C3X0&nelY!@ajE&DSZIh}`q! z5q>Rn_|UCcf?GGgTh_L4gPEa^jt39-XXV8U7M0!W)9T>qdMAAN$n(y`hec;QZdEP{ zbG&kHa%bYBl}9#wC`p;}c$L1Zs7qUCcW2^5r<ccb>!qdlmhjDApBebnI6Ks3>Uj?y z?&5r>+x*Fkw`T;(Y&Q+k{upW{9V#Trl`eGn$jc)e3Rb1LEVdHztxwUA@#7KxEp+%$ z%Zi65Ug%0)`7m$C!ylXG_GI_y-|jqOuu<DkM`pcb;Nkr1S{+hxlO@!|)Y@8?79L(G zXkMcgl;7uGW3kH6NQ6(dt+Tl^@u9=n4yk=Yz1l`1>(tm<yE+pSi>?%;9O12(GMDME zh>uCOc_iAInB<=naU<4vQQQ3Wp#r9REDU{gJbAcZKW>{W_<r}x_qXZ{)TY1QyL0uN z<I6ToHot!D<E0J%ZUjV3lMtEF`dZs8V`+ld+K?*~j~{-P<os~skp&wx4R!X2mZ_Lk zb$3~9QVq)X{(XAI)?1hMO_C5>=jLlZ?OVC2ZdO&<+O8EZJ3AATqLLzJNSVs6|J=3c zZg%mKYwLb(U2*JI=zlvy9~&9o&1F~9ulB5X_`1{acIMh`D;{=tCM8y0DYzUOFSh$u z;Ni<j*DnOjm?fd6rrOq;|5)#4;NkgKv^u2fEDe43Op*|r*DcM*JIh4s<FeUx7rtGe zy77Ox_P<yE83VkTSwt8Z7&sUdVxyvVuI3Lp%D}*2%gDgM$H2*uSelZVA5fH^te2UW zTof9@%D}w${l4^E|AT&q4S4o`7Tvelt<QOLX85Yk*cT?pc5dp@6wA~~y*c4WRnUT% zw)r{U{_i8tyUUvL#U7ry`|Xrnv2P~#2pPBM&al&)v?fyUpy#Bb3;yS<j-G7`{=ZC| zaW@l7ywr-G>>c-W|4V$@V87+;=f8#5?V3!VG+bDx{blOhtN(AyZt-OAm6^x%{e8g1 zg{5!j>^~f(wWWB1>qmpu>GGmdF<yCs3)_O9Ij;M`TI}VN@nq_G#rLOqJ==@VSWWZi zoitzeN!Rn=^JnYBe9i#&xm(fK4UR$#3?R&l;`5@EM7YN_w(9>)$Ozawk%8;n291CR z6Lwe%Tv0g8vq|x%qv(oe;b&9W6dnXgy*e1sUcY7Oq;0#c-EM8W;5X~*_V6Ry#OhsU z-Z=g&LQzS{X-UV*weuh9zB{ujPf&anpN}!`a~mb58m26!941BI%xt@fY1cACXYogG znCbCj&7vBkhqgkoH}B}l9KG;$P1mO>eV0YAdsX)KxT^T;p0bZFmOUpf<IMkWlScYM zE18`amc~hTFLSlz&H1GL=x)*X?=xI0`P?Il7oK+g`ESBG&XcvPgYq8R2DxeSM@lT6 z6TY-2>E6N{3;%=#_8rWgS`^f#G)ZXjll3R<-%ngKwfEn?+lBLaKi^f{rgr^6a1qm@ zPbEdXb<OidpWa=cW5#D_{&;m)(bVrADnc`Qe7r3GP3=ujd2Em>lC(Bo{n?~b@uE+q z_qcP_x@xNLbr<^Us`)>q#%kUqVS&6h9-dZ}r7q3aHJ&b%&|DCyx&Qsd9p}})-&6Lj z3)B*Mr_6j*<tfXO->ZZAR975uZf4$i?BNb$_xD2Q<}UkK`iW;x&fW`oxie!J4rx49 z_UGu(ep!=rWBJri7uh5O9W5)@F0y$VZZ_w<a^bEuw*8?~E^`zv7HF=>7JDkaB#_&r z%V|>3p_}DX-tL>Z*l~@0|C8Fa8tVfYPjGT_c&e+mSPM!DO4f#HvfEc1yWbKMxxY(8 z{=V|s9IrWXHxu+iZ@XDedHkZ_%xu2pZ8N-Q$ws~D{hj49>(aA3d+c=%ak>h;pH^Ts z_43UNUswGqEcr3p_2<8-XHT*(2|m==P~zp}WF=!*E_USMiI<z6^&H`UzWdO|+f_1B zGP^duTC*rU`~%l=)g$uT8kC*gINRH{@##NrQtF)i^~B2u=3YBrd}UpG^6v(Y%yzw5 zE#?BwX+`o0V&{%sZ>>0W^T#g!f9vzRB{p5Wsm~_fw_%^6nUl|_hde1(hSe@_dd*t= zHC9ac`R>%;6wf<~HF@i5!Xu9OFA@IqaFfQNo4L+RQAc%p^gn!Bx=7~wLYbPaYqWM7 z_*MN3T3wbaI``(*$eSL`qPw4^p6yJX9%{HQ^hRi6c<V>;nMaQ1ON7Nr9!vbg+MjuI zjX_Vje!Q9P?+4G@5=9UH5IMAGS!y89WA=z!d)8$p{yBR4$F4tjC#c5Fo?&L(v-yYa zA(em^Yok`LJ@n)Ir`EKs*LV;AVAhXcw<_&+Sg6GE_~oYYzCG7?A4jsPD98(m)@Pco zHtG@n@agELXRg^9j|DDQ<Zd?UO5D>tT|YE6Hh8z*hc!P6)g|_rZtjYb_%8S4p3b7< z0_yks59`dXYfZg5HStg52I&V|bCbRt+QB5tc<<J#HCYnw541J<t3?XsFMJQ)ylPca z&C{*>Zhp4f$!%DEXratgm;S(RKkmm%=gZkY|MuvqH<P__Pn786uTM`uvC!|<l5V$X zx^Ct>TlBHWo|=VI9vg_CD%g1ctHqta4E199GLNK%nvNTI?>6i4{_tt(qaC{r^EJ*7 zogIDDqV}g#=Fu%@m3j;IDaPyd9gcbF(jVVBdE*JOyYJiX*rbK>td_W*vY^CHrg!3m zGx^1*pS2b;ujpOheekV7%VzhFZ8;ye_~q_4s5@|4{LI_HnuB-lBp$!GaL0FvPkA29 za*M<7Y8*3<zx1;}E`Xzbb%G-EtdA!bb(C*En{=Yi$S#JJPqHeIU*X-h==PLVT~!lL zTF#5RGTG<cNAWEWzxOJ3=+E5q*jl>%$Km-t@AmJ%*p=6j@u_z42{GSw+vc`6r+nEa z{lsqSgp<?arsPLWb=c_qGwT!gJ>|j=x1QXsR`IIr-LJ<b<Lmb0f0*Wbr_a*cpIL|> z`Mue^cuQwy(cI}*-)c8Tv@Ke<aN=Tt_M4juwjb8Mt{N>MV>;cH-^8ffGQQ9Ck4sr} z|L*t`S#L6*OgVgCpkD4q;g2ncv)9DhNk{2*FP$B1Vd|7N{m7X|nlEdTDqgC@i*0l{ z6kD^r(32t0fsH}n@iyr#g{MpPKi-;CoF~nF<n_BImmOWPLU)hyWL8J0cHe#$ZN=HE zaQ=10^eegCSv>m+nD^Xn^8RM}@k5VCw{D`~e!+j`{fcwGznfqdrunia;>i2WDr*n1 z{;>Sp>EXF=#*v50URLu3`3ftJpMUB7J?sHv>x;Rr{pE*63QRs0h{?)zs{DTSTQPUd zqWx>`hud5YSihg=mmT*jfw)Jnk31@E|GZD(`NJ!mlAfm1(t4e$&PV26+v>4%vu@^+ zDXN9pOU{*N+w7Wo`{Ca=TynNMVqV5c&v$=hQpEf|N$_2sXK^%-alrvb|9b-6>y}T9 zRw*<WN!56C_vW5xJ@%}LZe1>mWLhnvCq2uX?jCr5&BWrUqGW;kJ90-abeVjbw0O2> zl=AL=VP{^}L$3q3F<Ph|ieP&9K~SG-BfE(G^E9>(vHRxDcD3l5e>q;@eZilCA06xu z-gDi%d-ewRL)m=a_p!EKZ*R`_)KLC&<orwf-G{mF-Dy>pD*v(nc<%>(?T$qSOI&*r z7jKTwXc3<<QJ=TQ%y@O!sfRPWuWySA<$Y|h$~4<dyJ!D`ud{B2u3eQXxm3!{T`=Xb z!1I@(n{$Hr${#o`49vf>YD*B`@tdEmmgL<&U!}0-VEV18k)lsOTs%Ep@>bMt1MSUg zyv)}gviO_8Bt5J2tNFAi*R!Ie+CH+b={;AKSi^YcX0Gke&s<gYi}%U%nLJiLWNlP> z`vSwi-)l~398sTh^qi9C<N}f6yvV1m)3qxVZ4USD5aXU!&_65m>?*5&$q&o6MHj33 zx9t9*^Nz3De7Z#-=bI0jtv;=#7G3kFx2N00tSS&!w>cWVS@p5OzC%_rNAs^NJvVLI zDW``zQ5H<<Hb*bNT68FOO#$P*(3*;8hdF<IIKyq9(8~Q`^RAHTLhn8v;{VaJD@5EY zmaRZSbB}B3#~st#)7N<&OPo;9e_u=J-NLm!qIL()pJn-|bkFRxSRVuTbpPe&7kP5! ze=H5+f1euoCZ#(?aIe7T6M-6K%oaWO^;R8iDoUTo@@U&OqX3KU`Iq~hHcl>2`5e1( z(iV5YFY6vz3hOzw`?#*`?+Fulf2s411dFS{&aE#ZA717ybvE~^obe&E&8IZ?#j^a9 zlN>(%*5R16*js67%)`mNk~w)=ZEyD}&f=V(a$>`-g>szRR<APPUcDtND!eQ*IyG|o z)|t~n*RI{PDl}De_o`Q`Zlr}(ZB?ywQuavG4E+(}d`x-M)`&^-1ShzAc^*=iF#6fH z@UK^-h`pd@D(C#96CEF3w)${%eK}JwKjuWpHL<27C0k<yyR8#$K4g8G?)*so;2h~Q zhqTU~(uuN;n;c=Y!@YBNk!OlVlJLKeH}3eHZ8yx9?VItTKj6sX-xKfM{nh6r=+d<K zWPAF)I-M!iUF<C_i$6GR+`WK1Y0CS}TB>&*I}7GpD}8?Z=K0SLoozj#E8DM^yXr8y zPZllBd%?j~{xN#3j@%yQ`?gGdYO??4N6ub(a1Y;h_V))~rCk!x-goWnm6{6KRW)Wu zl;+&1^s8v|TkkA-{@4#M1-8xt;q9B_Gh6E2PP8mqw{c_Kvoy`-ycI?@U7D>^Bqs?9 zdQMW2{lp>Ew)o<K9qagn92OXGJyzgLR$x0U(ZS@|#i8issi35!q!cQ<%|%+1w~4um zReXQhF^-lwAwT-;^87W`c_aBhSloTaey`Y<S(anX;fb0_vH8ixUCUG7eQW)8z0t&3 zbH~lwH79w_DW93MY^Ij+qJu&_XO34h%xmJi$FgZc$V~6;FY}cR=I7aRyWZ_@`Wb7? z8ha%$;bHTq&tF8Q&h_JI`F|*&^e3n5t7Rp3{!aLLOrR|)PDkdVgjT+rVQq_(?98_d zPJX>7%B_1#wE0w8x02$4EQQF5S<(>--CrJEd%a=R%2G)Uk1h4imKStf_`Ywuw_jc` zazXP{(Mg&{A3DEwJqq2ebwVUaU(;KB!gSW!`Ma8@tSNlSE5Q19rwW(Qr>XJXKaTb8 zJU;)E`r~(cnG@z+3vygEFF@slH}h^c&i^OGuUJ@4d(Xme^Ju}sqbJfgRWG>n_Tq$a z|D5~{cTG;6HhXv3?HKRTU(+`9@6oucTmSW|;<~es8eBvFvNFXRT#D^ES18r^|4Ov~ zR(^>ZP0N0}zv|pS-`{I!x^VIIdwKtw*QrKxZ_2bcN$fdj<dMGb#fJCM>w70jFJdgI z+mNDc_u>do!jB~yb;8r#KKmX|Z7PYkU1hxa%L)zlV|{s9qB|w>+b2fWT869+XmmR| z_lovB$qhL#+yWcd&Dy%?kG`gy_N};0Zr>Vq89qOKV(t_^j$?}Xm*+peE9k%FS?;O_ zYmfLJj&yl3x5!y?qVj^`jogx@{jX<S-8SX$tC`{kmwH}1uG=T;H(hSMQxk{Mrr%e* zxbH3W`x_K`<m#Ws^TC%Q^cE_wi0BMU?o(OCQnr}WeY5k-za>KXwMpL-FLe3eohq-s z%w~$YcX_I6VTb3_)!vCyE4mh_w!Yu?bB5!qdj%o3ZkO$+>ueE}mI<D*@!=_t)Ryg9 z=htnKe|GxNfyB2r+ds_UsVdOC9<0>#pa0MD=<+!!q22YbMK?3A_>`P0uuR~(ip;Z& z>QKe-np>gb5+QsCA}yKn4=sq#H2n6Xq;$%>AJ<hKKRr!Y?D3$k)um*b>&egFoe$Pa zzAa7?Sh`R4{-u*=&Y7)Rz0X2pb(OQz6#YL8vtG@6CHW?_cHcySh0WYc*o`i*s-BM) z`uMP7Ys9Murg_F+{5K~|pA<iJuCU?D9O?Mfa7)!MhbsR`ww3X6XVpurdG%uD?tNl2 zSnqMSIo<ig&dqxD+r%9U;_k*(tg+TS$*-<3)ygCB;i|Vg+8f_Z>*(Np&HZs}(dpc0 z3af89+B0qp4hmrI$=et5*VBITflF7X9r*lYV#L=)|5mtJ`Gqj*6%;OLj@-eZ8<Wbd zd}_%D*25o)-!1Bjuk_|IRKHNh(A)Y|sxi^hSG6hi(%GJXH;mTm1;--!*xXtDHrW1G z@MXGk<i4d#(i6=CuS2u%Jy2P6EXPpsobp`G+iCWFbuI6FO(MO5mnyyB)827Fu8Bcx ziiX+j63tbqQ~d)s9SsP-{`r!Q!-1ue@4h4~`^IlJ&1lxzDW_yop6Mnpy6du2Jaxvr z_NF~y{aI{cGnR+$H~5^m^Qf*t((&0R8}t=+uviF-*44{iThzMZ;vV~dmWl_qH!ci1 zmUyA&I&)xR%$K0Vj8JW@nrFv76PUJ5$hoWf)A<*_l#2B_!`NzDSF@0Xs+Y1F<?6z! z-rTP&p4hhhhwZw$ON*I99rK-L)kVI#SS_?`f=hO5nZ3>KYl0dfnad4Ik6c*xC#RMB zZRDBu^F3D8#k^7vHmK=d;5@dyLgwPnNq4GJ#8&hfOr12@<6ab>!q5ERMLpiaU9Ss1 ze>LpcZ+JI~$?{3S<4~;|;buI_Tz!+nR|uTm#&Ic!v2v&O(#7lspYFY?D`k0?U9obK zkzDk2)_3xZFaD}uda^CQ<ML^-XxAqhn|6riK6=z?a<8$gGWPG$kSxWe>koc;#wpQp z{S$9DliV{K&FM`!4|g<gP`=r~!MJ0Gq|AoZ|CzKC>um$)*76^)jAihaGLzs=INLq3 zQObN_O%}V;JHE0@@kI-^_?WX?)0}+Spu}#W1&3-AtH{)gR!dug%WU2VHUB!X?F-}0 zO)>8-+q(VxxFyX$+QH}XbxX_R?M7kCAI<u8)88hi`TLQhi$2}03Oq9V{(lGlBb5ou z>f`tWw^}lvE1svvw$1l~o=8Q}7c~X9#2~3R*Q9oR<G#!#;}mbZ<@oBu+5r>zFY4Mc z)m#zWbkgXAg7B^NO4|(|PwRS`^F7p$rQ9J=Bz*SQN1Ym+U$hUD+FKb<Qe(5bd$}|F zv4~QDciKyb{x2%oy4&>@FbDqsF2t8-E!A~r>yfa`o31u2vziVc+4JY?LyknJ$8{nG zyFNTw!LUr?z>%qjm)Bfh*N}30s`-)I({y4OwHIsb`r7>SQ0^J#{~fbEo^{GUdB5}S z6gz{Yr+;=RcQmi+NOV3qo2^YLsbleM$+@vxb_o^eR~G!_xyEyNLcyHKl*etEGn${q zZ}_u)<*%d7?fIuOoTg9XmcOPvSNrIsKRP}6XWYsp9s8cUWN2j0TWyupXeQwu9JIKg zrq0m5BY|a2bPV%ejh8!mUzBwCJ7>mBn?858!r!wIG4mF2M~gGFzR8?7ebs7{rln#m zU)MYm)OYj{vC?)qwP_y1?DlD!<fViUpIk3FElyOaC9!*>D~nWZ1B>1Kw8`&Vy&b2q z{(h`?{Uqb#-0rn6y#7XR*`l2ol{k~_;a~GY%Sk$uYBo+zww(IQCvLuTw&S#*u7~Gm zK70_eLQQ7*Ik^p`E(cn+GQkF&Aj54-PREEaGBYrAb1^UoArCsm7o{ZXWfkY=!AG9n zPKnLGY#`G1{->z>)Cp}S=JKa^Z7oktZrH+`!R_pHqUiDDs}F7*EZZfz<$vGYJQMAb zyRt$`=D9`lK0mA3^RZw3!TNI?+PN}&!dlH#i=9@VW2iIPs8+XRb*tcX<LC10^Aujn zfAf%9u2~}zwBmK_`U&NU8mc`^35hLYXJsnI!y*+GQ&J6{6fY}!QlM6}_@TseCHLPA zUg_nbmtC?s<uBYw-8z>)>D-RCN^_5L;Ts=CzivwZut#jt;kud&ufJCp2;Ms;_8{Aj zkG*=Y<DK@+k3BWCvRBI+w>z4CP`7B^!tQBwVv^$=ma_e4_g&g1>7&@W`oxt9aWx#v z!n{^^zer8dlG!u=+NrA#w7Z=PmrpK?e&{h>HQ1(OMw;l9#iG-@jE+7z#KqmheR`RY zW_q7!P<)_?o3z6HEep4Odzp5zX_n_D?X+1^C&X8ax){eU>P^{oyztZ~4OzGJ6a8Dd z{8~!bl;dkI{!xCBr8lGKiFl0UUsb7J%YUuCSb4oXT{$ppl3L((efucC`EOGHeredk zF8Fbemr~huPu}#q0q6E6nxAcZS6}%3@WR;w`_A!4NF9IoJw;;o?cTXpg7hA&O}Q5_ zmu+|CrSd1%woM<4lIH#2vZ<2UcgA0?=WBAdym8uj^Q~Ug`<w&jp+Ed`4s=`!dFJru z%mY>3)<t18?l;(j43=iQFV)z~zR7|u>B6g9Kc3!@G)}bKrD2oq-F5xk2AhtiFpu<G zKU<R&MVkI6ZY^m(sJ>KotLU>u0w?U5XP)1&Bzoog4$-6eTjM9WJYAt59Pxbr+^@^} zS{>sL$;{q&ZL#wE#K1>=?=RSKJM8g`nbTjMm;8F+$!8aiuI>d<FYbQu?~nJgO}#YV zyl&fa3wO@vPgo@<cjta^@u>MFP$RYC_{(({)~<RSX;CGwemiOVt9jR-iu7(5x5)QC zHoG_Nw7yb|`R=vC`%dmiuZ_Jc>-74N?&J0C|875L{2{;L{KLg>gIhm;UoX{h<gUrv z^~}1`l?v8BViPwf|C^o3ygRgf^-Meaoj*BIbIxqr>P1ud85p{>85vNAYs*v85Sb?S z_NK+V1Z&S<mtYb4k$<jY_NqN9p`M}p?Dx*<-f1GS+3{{s(YKj1b0=I`9i8o|Tllel z-v3|U@BjbVU;WMez4OcYUxI%fpIg7S`SaHQnzI91?xg?ooWD2!#drDntxe}l|3%sz z>g}5nl2tx;_xY6ul6!U+?7#cs|Bh?2wI^OKFFSfy^jvnrhkXh%Pw!ez-|5BaxP#Gg zU8-&RYmvq%ZpQBp?-Fcw{dI8dte@HUb=TDgvFhJ`EZ@BT&_4I|c1vH_J4td)PyA9? z^{x2F{7j{SBlh91ZmR!f{pEeOZsi;MFYb@mpVIl`Y~S~9ik`l-Rv3%Z<dq5wBSP*4 zOK6B`<-8CK30UG~XVi0C>a(N5^}WsS)z7e%oN0Ze{CoMc#qMwB&z~sgSXky)C2%-1 z@@3%fpPiL219_gOE^`-{Gjq1wM*EcIHzkb^39>v->u494GfP>{y&!H{h0Ni|%14DP z>8V}E1?NoF1_^GfU^yK6^O2&CdV0_Pg9TydDp(HBjC`#Brrk+9J|b;h$bu)!icDNL zdz|FG*mG)4?WOtd4~{kOt`FK#Ght<0YJ;)H{{@@;pWM1~Xzg*Av(N0W6fmD%bkO>i z>Kn<QUlk<(KmDimKVWZLZE4E>FB@LH^!I%GZ^oyV!?A@ECoSrY^SwKNmh?}tdYPI9 zr&fOM5p7P2Q3()~S(%_vc%GsA#<U&cD}GJhBQ7b+;Id}@q;D<KdKrBuFN&!7{py`M zd0TvLV3yS5V%MwP0qXArjg+Jo?hJ6|y%u75INc~*x!3EaHB&^8F(dCjp~FjTPhHSp z*1fHjvS{D*`ZLPQ+g9ntK6APC*uz@y!Yj*<aR+zs{<X;4mbPu7R9WYwZ#~_1`@I~0 z9H=b&v^mh(yCv-nfB&tBhOm{|r#@#q+^-<+q_`$<|I+joUbAoCz4YyHxZLvK^y?G0 z7H-VD-r##hVk7gj>vPJh_a(fkd;a$OiZh>29RFvcapdf7v*RD?Cw=#ObNtF)uEYDZ zzR%(Ru<p$#wKGgLYPs@^Q~1JJbRVaE-tzZhTfDXC{h8jO4X$S|M@?MyFnIg1bY+d0 zrEe1%a(>L%vCDuj=&^+Rq<Bj<gSDJDw3n;R$X#I*?LA3(2Kx%bDWX%`{J&T;C7s+~ zHYeiqE7R4Sa=hWM>>0l=RA)>#KCq}O<=4&L+^2W%ep<eJr`CSOWqW=K3HnNJ5W7&D zYM%YIO7qzI)>N54Yn~tQ@pyj3=9Ns!onU^6O`ZL_zaDw5qrOlow#vL+XK}y8?^&O( z#XV1W%%->3t(ed6LvK&n;dV>cEqAO7x7o3apIvptW?ti(%%*Sw{hBKiw%V<&+?JC1 z!_5B}&wq>eQTI(PdKd0~koC>-#D?;h&IhKhSmf)`wAXO`-u0Z3B?s)77!-m(IlmKP zG?aJEa(nB%WXDO}A2Qa`Kl$I;y?ei5PT2c0>63duh(CLBZR1SdodKOT@`Z&m(-UXE zu(RzsB_q0Is>H(>PvaIdsTQg4ER6gO8A+TK7ubHAn66dJ?h$eb4ZOF$w@0fiQt<EG z>O0jf)+b)tbHDiIR(15DP5-91L0#AV)>ps#&hSI_l$fOZ+Pm7a-+Y^ezF!x7`JrC6 zpOtsb;?0YiOIvE4-L^JltJvQOu?=gEdZJe0laVN;7|3h3!81x@&T->BH-T@yxtWS; z*FGkiv>c8&%zWF%cvYLa!M7QE#HRP@-CH<m>qJ3j-LET`OuCcEd|m9EZPdx|ZxyK; z`;S;3w$Qj!EWG{QcDDV!rNT+Co-y}$N&RA2C}>;TaHD6N-GMnh=j38;R^DMXfARY3 zn=f*UuPYlbTUB@_XLY8G^J}eHMiXbp1fO4g`lZskHJSmc-~N2a@w7bqD9&H#y<wpl zpKPv9;R!E|MMc@2UP-p0#aoTm?Q1k`+x}<Td)EoQ|D_UlFW3F>`|Ffna>D7410H|3 zkyF~QyuL|%g-YGy{Y^zFzA?4TZa;kY2Xp#ZCe~g4y2DAr!oIHig4|)l3oA7e)y<e+ z-RQj1l2n%TR{CyG;E~tdy911GUAz-`>~`zD-t+4w{Wz-X{AE>z@%y}zYf0NHdzW-4 zUs+wyzCleSv~Wp(RqPvqJpG>ET-U$wCBFAn_*IuG*HN)G|8P6Q*^hI(LRJ*6IMyqi z9d&<&z)#DaS{aTre;4*oIkn-kqn?(zp*)Ld^p^wcs}HEo{N6hCXRUeJ<2&~q=J9+t zukmR4z2e$^x0C-q{M7UA*cSYYd(W}ReFtXqY!^SV*67#SyK1tt*D9OtTehKu{fgri zruxVCrAq~>^I0~2*Usje9H-MgRU(jSp5%--^Om&Vot0!cZTF|5=)n8^){Q&sSa(_^ zsXc3s@0%}Xd*j5(ol8RR<v%bx_nY_0$q#jh+g&R9v$VB$id>L-{(~vs=uDi#_EXO@ zzlq-Z{<^}<ku6a6s?Gh@V|KG1ep5g3f4(VKtKQMM2Tp8ivRP@Ec9ebf;<IO(dw<UN zj(Yl+E${IjkcZxbJoLT$O~d)<)Za{N3;Xmxs2zU8TC}-FF0`SuaNE_pw{Ly4eD!(u zeuo@A=bGm5Cnax|XVo>vCu}>m*=+xZ1?DaL4j4|E6EEBG<Ik;W>c(F*x||r_Uq8gn zZ(Gb6&K9sLA?)GWtRKAh`jrher1z#Sn(z1J+?_MqZkwNXV@{jgv{z_P_1C$J?-?6v z&3`q2>pkf*jT!lK_5JNGmE5nr_-jLt)7?IUkX^4g7ru9_6gxJx`0wve_a;Akt^eh+ z{`0T;AB$Ex*Lc1&ul!ca^(Q`He(BT1ngziX7nN5%FrDPDcdg)^4{u^zedVF^vs7Dd z&&vAtNX#_x*}gS<<2crA|GMUppM6#H3-K4yY5_%iX6<=0SNU=Dy>~K>|N7<$Df?A# zkBH_?zOW!5R!02&)tUyEYZLU;A_f0-sh_lW*xG)7dXJ58kFH+7`}N(mIlr2}SGp&E zlWLkVC+_fq!_Q1jqHN+0C-)qbyr;hKK=iiNhpt^qj_uoakNXUd%k&)@Uw(LSa@W_Y z{hOKg&Tvia*}XgWR`kq{o>m#=vT^P4b-QH$>^{Aq@wDH5{sW5FGXfZD1C!p~`El}Z z=1#+u<a1Gn#rp3bH}vv45nJl1S0MA$`Yg+}GpyF%e;a(?cw~Dq&u)(pjpkQ7Kl<5D z4Qx>sHFW5fa5bIjs{O)CRy%(qgZTRO)8Fh#6MyoZLw94aTgK$sMq$Bc=Jb9O(tevL z{aohTh0Yk0j^GE1y$*A8cpko)?V7T8LiLPO*N+z7l{0JRsxqG?eMD2!L-%luYi6|l zmyM5p-+q3*|H{?PZD*Rwu5Gv(bWY04)4XcudnvEqyB2!!op@nldggn`jDWL3(?!qf zOuQL<Mrg6^ULC_*VcpMP>GIdMyk2zNATr>j&{W-4osXWcc0BVsWLQ&M+_A(lwWR!c z?}e<_No^-uw4McSTH0B8Bu6tz&iZwgLw?fzKD#2bj3iT~$3}~I8E0Cya!9DoeepEZ z$nA61N#=cT?w#tp&eAVf+M}G}EBZKtGwVcQcpGQQu~LWj!V?d>RPJ4Ge&oMmru4P2 zqij~fQa2Bz`xr`lsQz7%na;dCSGD!zyQb&IBwn7nc>4Z|V#zG-Q_=1k^0BqE{et8w zJXd;FUXXsGe9iREzR3wsXL$bI=b96+JKu2jwYSk<?rloDzi9i~aQnE*ZI*{Wadas) zOZ06HOufrxG2NZziP#ryg;+Vk4Yx0CY^bbs`EZE4O7HR&25Hy*GeW*qw8|e4t4MO% zsbr*lN@U~A;CUTELDL@1{i)>kd6lFsL%x&tWA;zE8Ywc0*}Ej%y@Q&ceKsx5D-7;f z=qT$G$CBv4epx&?;=(}_r)?z$z0*u(&+I(vtJqdp+Td>T<0_xr%Ge#{pF=0C`y01$ z_V0WDuG-Z1E6A<CpFFYRjo!)pd&!l@Z=HF1<hJ*{Yn$8pmVQsl$vN#6le_m?TBbB( z<NWJyf^!#E96!_X_$B{ei#=}^#?HRrl~6SQ6YKr|dxf1#)^%x!L~1{p_@nx)t>Nzv zdy<R9CY}y?+SqZz=bDqi?Bhagwqo8HUo3PMRvv#)@#k$?$9ys8oOkEe9)I@s&OW*H z=N>Bi;y&w5E%!K6xHTvxD?Pe%`QGQZblxsjC@|?heMww=$1KslM_KFH?0;%)<}$yT zoEo?3JCl0yx~;qBJ=eN;)8c+$ve6U`L59UFZtlxB@|OQK-QRyP=FFY`k1mftA3xzT zP5Qu9^OOlcTPFS5{5zxRFOyO!E5{6;l@1QiSyf{;)R%BX-=E=VDzfB8PvPEKm#!RQ z>fa?K*1_{Kq48{V;6bLy`y%q2molbgpQ*|_5<73Rywj3(%#4#2RXJXq$#6Vy&Ad%Q zDwug+H~ahB$9BoF&h3=RWSzQ8E37~==opui@vDe~*Ud8m6iX)9-Sc^o)Z`k=d-=qT ziw8`+m_F<8aDU<)QfvI}hu`wTNnbeU@I}tmdOp2kOXYr-l=k1=IdKp6A3L$%<(heF z0CPomMVlMbEMtRD-W^v;6%ve=urSWuzKE3(?EH|Hg$FtptZrm%xB46}xbg7!@RBtf zYR*XKDcNnmXltr#e!=ul>+6FTrC3({`dWEAnJ?a4^sZeQ*B{|>!R?|Naw5w8HiAA} zcWP?&ZMOO>Je|MueE!j9e`|SR{Z;*sTlM=^FTU-s689(fcwUja!lTlo-!t-W-<MwR z9yu*M#{G_i`N<VVzji*lJz@J>>ArI=*<VHdpY7S0XJIzkMx`pJVwSP=>}^rvv%T+K z|8{KG$r}f%_;z3ZaY0~ZQ$l`En-}Xli$}*FCq2ylUA*agaLgp<yy*MVD_7+FTKH(H zdcflsJ1U~2Z{C-dUl;U4K$rQ^@;}cdm3@wH-Dhrh>SxmZ#gpG#oqN>lr~JEGS!`}# zY4!Z4CQcXKmK^+b@5dEaVeZ?>J7?{E!a3di+IKg%$n3L|+%I0+*!*_y#;y~KzU}*U za*kN8n{>|m-qQvAWjZaf=SxL@OZp!Cb&ta}g)i;)?VNS}dDZzbQ{3loeIE6@Fk1BZ zJ&Cv#cE7&gjFWlz_TesL-bePfuNJV)ZRc<J*glIZV@b}Pw~ss?-51K&Pb`_I|6qdV zn+@&n)`m@al4Nc@FIVKiI_rJEcN`SuSjA;3a>KB<%jIU>gVm?E@Hp%5m@&=0HsGRX z-Fh>*_R9wymKS_#<PE*&YSQQ|+uZB%;y9!Bo?F)g3mrsR&#RbfFYd~!?KmXi{)8i; z?m&+B@+dKvIdk3OR{wlspyd8#W=?RIL)_|0nd(2+A8)%i$Gp${d2FGi*{jz(ly5Cr zzqV%um&KJ$?+j-9FTQnb@^-a7eQ(Mgv$Fp_yQ#7$b?u+tX>Yy=zIWe#;L8iik{yAe zx$BkJ$1a<e>>eX`^Q+*BGkVOE0_UG``~BR0_U_e1S3bIaix2Q-WD;RUEIBKvu=pRs z#K54)!N9=Iz{>yvj0_A64UHgHN^*f-MQ%=L2q$Fa8EEwxx(UvI4`s||WMG)a%)lUk zVnP!W17ZnVd~r!>l3p2Jqjs_O%4RY$FsQOHFbJa<6~cmPR7MJZhbeR(GT6<)z_5b> zVH(I&4UOMfkxeT|EK1BJ=*`}XE8fL{R_ZY_Fi1k(1~Kgo8?tGc0U4FW{skp^MX3cj ziIsT$D;|6P)<<>*1~xT>3n78u#g1%dYGP4NrCvo!GG5a{@1MSvCBeY3j2$#6gB+Dx z`H@T`XwJmh<>l_N3=BrGj12rJJ`L7DHm5ivu^<(%i+bKH|EkW&z;KEQ-G3KakxYtD z%1<mx!Q(E-8o|w{pG}<gkAVS%c~RVD*p6hHUO`F{UROPr`kTrr$;j|Qf{}p-#hm}G zjEDrQSCNOq7>IM`C9^$Km1blBVN~Z>&u2n1rnn>#hdGc@XtyaFdM9jVU~t*Oz<_Gb zlwHh7=A?o~*0AP7h<jdnKlpCRz`y{)sL>XY$AV-|N?HYh0Fnq@s4U39z`zg2kc0$D z>c>$`O3ul~X%fUu9P{GL!i*RgK$s6DsQL1d-Bgi;Gi^f*x*o*k#(SE90gO=$nz<0! zpdtbeddhvjU$dTp0fbQl=~fOaQXu8!<>VFPjkX)sc5!Ee85#a{v!Ue@%Qwg-rKFY- zFbQ?lFC@4jrGSkCJCd6+!E1o=qyW@Kv=D<J@n+_TY!G-!Emm_NDH3&F1Y!=vL92t2 z%t4wZ!DATeP%*M$Ps5N5(*qA2qnN|W26DVOgE+%VHU@^ZY3vMo46Y_seg-)u`Jn}2 nZl)H_CQd~L=|QH&W+5rz`WgO)0m<2+Cgr*AE>#7YMHaRI3;`O) literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt new file mode 100644 index 0000000..5866eee --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt @@ -0,0 +1,160 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:45 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +------------------------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+--------------+ +| Total On-Chip Power (W) | 0.249 | +| Design Power Budget (W) | Unspecified* | +| Power Budget Margin (W) | NA | +| Dynamic (W) | 0.098 | +| Device Static (W) | 0.151 | +| Effective TJA (C/W) | 3.3 | +| Max Ambient (C) | 84.2 | +| Junction Temperature (C) | 25.8 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+--------------+ +* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts> + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Clocks | 0.003 | 7 | --- | --- | +| Slice Logic | 0.001 | 1363 | --- | --- | +| LUT as Logic | 0.001 | 571 | 133800 | 0.43 | +| CARRY4 | <0.001 | 46 | 33450 | 0.14 | +| Register | <0.001 | 589 | 267600 | 0.22 | +| F7/F8 Muxes | <0.001 | 50 | 133800 | 0.04 | +| Others | 0.000 | 23 | --- | --- | +| Signals | 0.001 | 978 | --- | --- | +| MMCM | 0.085 | 1 | 10 | 10.00 | +| I/O | 0.006 | 20 | 285 | 7.02 | +| Static Power | 0.151 | | | | +| Total | 0.249 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ +| Vccint | 1.000 | 0.037 | 0.007 | 0.031 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.078 | 0.047 | 0.031 | NA | Unspecified | NA | +| Vcco33 | 3.300 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.006 | 0.001 | 0.005 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | ++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | High | User specified more than 95% of clocks | | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 3.3 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++--------------------+-------------------------------+-----------------+ +| Clock | Domain | Constraint (ns) | ++--------------------+-------------------------------+-----------------+ +| CLK100MHZ | CLK100MHZ | 10.0 | +| clk_out1_clk_wiz_0 | clk_1/inst/clk_out1_clk_wiz_0 | 10.0 | +| clk_out3_clk_wiz_0 | clk_1/inst/clk_out3_clk_wiz_0 | 83.3 | +| clk_out4_clk_wiz_0 | clk_1/inst/clk_out4_clk_wiz_0 | 20.0 | +| clkfbout_clk_wiz_0 | clk_1/inst/clkfbout_clk_wiz_0 | 10.0 | ++--------------------+-------------------------------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++-----------------------+-----------+ +| Name | Power (W) | ++-----------------------+-----------+ +| audioProc | 0.098 | +| clk_1 | 0.086 | +| inst | 0.086 | +| leftFir | 0.002 | +| firUnit_1 | 0.002 | +| operativeUnit_1 | 0.001 | +| rightFir | 0.002 | +| firUnit_1 | 0.002 | +| operativeUnit_1 | 0.002 | ++-----------------------+-----------+ + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..a325ffa8600bb1e6675121b5d60a9dacc78e86b8 GIT binary patch literal 421877 zcmWe*U|`_jl43J5Ff`LMRN@M7iVsRHF3l+^zMjv<z{McMnOK^VnIBM;pDcBjlY>Ek zL4rYnTjLA2DFXuog9U>ti1Gyyq5uEa*GD;Uv4tfkXXcfNGB7mQ%a&OEwo!e4>5jE7 zNQo(kYYUQa;P7AwV2A|?rh<r45K#*vpe7`iR*0shJ+qfRXZOoSb&-XmEyM&6mpBvh zjg3W(je{Lzxvnp^Ru#B8#|mNsh)bjiMy8?+3<^*a&Ytlh-2_7mh%Z1sQw0$aH-K0~ zy1@|Sh6DDpAT1=C;K;=umYke#XlyEa_RJcxjDSREzM+xmteG>(GQpXPGb}kdv9uyS zGoS3RfcPY-C@~k3tWL~uutEw95SLJJI&!i5x`#N1B_~6SATK<@MwFD09U74EOiW3J z1O?ai#WqO(0C5TUBZ(nPnTxF`zqBMZMRfCqYJ1rpy<N7d)sHJ}w73F_@>5EaOEUBG zigqw^@o}*^=j1167lZRG1D7xtcW_Q-a;kz)etKpySdf)VjEl!7G(;h>7%IAADu)E4 z0vneA7n`$VP*9|az^Yaz2}T8OE+H<Cpw#rt;*!)NfeWhyBp4OgxkS0R-OTmfEEIf8 zD^iOEAe#BO__^5pOEOZ6KsGZf++*kB=VA}eOwUWq0f{guFmmy5vH1Er`wDPLFer#| z@o+JF>iY}KWs+b}kO!9nO^k`b1*yrIX_X2tsl}P;c?tpf<*7vqPNga7sU-@f#hH2O zIts<9CGq(MsYQt;nR)5)$@zIHnIO*>E9j<xHN_WzG{q-DG})9T=9H!?WacS^Czg~H z+bK<F;R@vvs7N+XG%_$KDNaf^u`tm!6yi$G&&^FOO3qBokzy~YEXYhw%u$l#;xaNY zG}1LN*EKX0LJDaqmNG*<16_yBIZme8em#yB91a{p-Hg1T^d-u`aKce`LF5W2)yA3U z9Chu*LL5vP7#28K{9<$x&rMCqEX`HW@P*I;Mfqu&IjNey#>SH!0vMvqxg-pYO7aa& z6*LTu6iV_H3{4e$5-U@SiZz7<V0sM=lqw7jlr&{QHYspua2RkTaAa^6a8__MFmiM- za!g?4kkaO23>RVylVU1xQ(|<~V)oC-H3b#I;H2id^h!psFNhloB9x@LIGugG4Gj!@ zJ)(pVOj!m71xF<dE}`U{?D+iB62o{9qdc=J-atqe#5B~;%quR@2Qg8l(RCW**NG%8 zyJpogCnP&f@asg9mStdA0CR0xQhsR(Zk=e-VApEhydcT7Ri2AMh(YQ*BNsQg3XIRp z%P%bvLgpw5aIgsR@Csa2G8bT$Wnge{P!ix^7UJO*)%s&5zzTAOgOVTzlMpX&dfrP5 zft`%93=EdeN`f4WLcF|JkFr?`90UnDIx7iqFbMJRrtD?25@3*JV0ZvBiBE`!_t_6) zQvoJW=?@a&7UJQZx<AZB0HmwIUP+MSKD!VP@62cM5N!+$N`f4$LcF{T_hT&uzJgSh zg3Jafs<k|CCQvHN!0^gRNq~b*h=;ekI?Pg_T9$#~o0F0t$32iDP^yJmC$*WGixm`| zhC&cZ>J}px3n-F>xWK~T2#0zYtPkXH1_mVo4kjTUaQX*(1uVjdAp-L5vV04OyB~mp z3E=>ESb{<q)o6Jk9^O6XZ5FT)5a19I;^AGVu+A1H0u2-C&FgIu_Ort5hZ`-1rcHnY zQv_WXav;GCBFcVDXJdK|JwyaJFhdP9WI@RdDTt)*FmiFEB$bww<mVL&!CBChy1MIt z87!P2DfL?2E~NAYGG6PEfrWsmECa&|M<s|8%8vV42uR2>FdP7hfSh^Fu*(7*xZrdO zN|hmO!tgu*jRA9Q1xv6Za0&p0<<3S~3jtYK28I_PgFs1eLi~Pn0Zv&41_4JbNl;0E z1C%K5HJvaM;Fo1!C~%az&CDf`nU`6TnV6GVl^PGunL-#MQZE>}_)5w%L3Kh&QGQNN zYLSpUx;A}O1<)W`FP>on%>mG~y}|kjJTpVm_W$q976N{<3=DHXSqT(Nz3H+R0->@D z468s{37jeCo|p~yJ|t5b2~DvOXa;3UW>}__d;8Z^KueZ^K?7tGC`4+dCRhlB%Q7&m z1DS-#6Yw$s6#jO4ZV<D<;SWkmzFUe-1=v7w1S%&$iQ>7<5i^(wqzwAbCSr+J22GZc zwiGxDa*`{^Ng%WL_wgcSO0ZWJugii6!ONHbNs*QUJ3wxl11ioC&Sa5gU}ym4W;P*S zUJpf8OMxvQ(@j98gVISEH=Bh32dF#)B>_<Ep8q!0T%bahf#HLb)CYDh_MFtT61U7E zAs9pI4=WdET4qsbUS>(Wp^zX{ULP(b^^B2=H#t8K6wy#c@)(NrQ4~Pq8Y$mE<J#!4 z3_Q>vah-T_x*5X3pt$~J^~_9wPnLlp0p^9~<&#VVxWH8htVmusi3gHH;ECTUV6K^f zuq*?^43IWZRG(Cx0=Eon(E^H&Vy7ME;9T^;L25D+7eBPUQ<e(#sv@RW(UjoyY-)~! z87!$oQb-@m21|hkP_#CHq7_t2rMGUh6lekoHG<+0<fQlpBS>-pr#?_EHAOAL3|2xw zbANc~VTdAlnlU^7%~Ie6$fPYG!x3o)B*XwqGnTW?SPCoxr5PoVeV{b+p<$kdfS@b` zLkFmkU>D-$tu1+CDli+QNE*8$gyHa77?dC{ygFwoumYq{1LOoyO<C^p(^B9!lPp8S zUXT#Tbp3u7Gl8WbRjME%a29y8^Eli)kXj!#@t}tSq&_cpLayLI!5J*K)ly&r$j2QZ zvq4RREy<fK1v)@NEg;W;^6u&7>)?q_kOPaGu(%wH|1d&9fCDo;2iAL1U)i}hiZatP zz<D0dlKPL5>4jh_kaGQVj9jmPsfx&KUzUcR?LR;MX9la7AhqE1ZZ&ggEd;HXuw?r~ zrs8PXUaYqpE!)paY9cM$Pr;Jym9Y4g*nIyf-54$3ukSpHp6}~F93!0XC*BD|&-d3_ zPrx$@q}r2GBPQRM-93$-@AutD&-YfpAED=aR7DsmUXTNnFBqPmMbGzsAwSUbeeWe^ zjC|i>e-`c?T=^b36maGH+bU-)1tx-u8F;?8YdvWx&<PTP=X;aq%hB>ZnwvoR9?j)g z{D%<=kbI9CRN#C+VBV9O&&b7BoSYNy>F*Tk77y-Lpz*QRTcCzCxa0+umkhAB4p;=* z{ead#$U=}vfs25vEl^Dk76Fy>4fe>r7KjMMg(-=sE=1uEunU#2^;tj#yw^-mO94Gu z1_ldIs}fY9EI9tgTtHryf#C<J3I)|VbJbQ`39Mk3Wnjp1fp$nQIc&5NxWq2Y&`{!{ zB)|cx($+J)GZQe9Wnl0CnFOl*-8V*BL)#-z?>8TvX)eGm%fMg&YS@4xXy2|&)&gRn z?k`9LM+a%&$>Z=28l<4;-@406;4+siL&7SMlR(wpj_D#60%uud861RNP&x?WvJ4D6 zKz;!w|K;yzTM6{D$}$|_a}k=hgPns>Xu=M5E@8-+lR{8pNveW|Z%L7Uu_hOrs)9#m zdIlGpTOz1G&&2~76NB<t!6Rl|T;L%xg^<dERH1M#PD3L-Qv(p-;xskT12GH?xR{KM zxtNTMu#JdtG5hH|O0Y_B!k_}929v4d+#(Ar&YaW~(|Ayt$8E5YITw?m8Sw_6+7|#Z z*o2V5MqEsWB)GVGrZ2=`V?qWSb1@m36YpZSJw6bF%?KE5XvxK7XhOWf<$`JugUulZ zcQA5sSn64tn3@_JadDbh>X}%Y7#JFGF`1ilF&SABZ}6=|aSJPJ!j-Oma8P_vYGR6G zN=i{`adCW6YI=OUL9{`vP&;0ym=f=lq&H#~R#pU?{kUC{%Ef77re|nmVPs(eW*VCq z8<>L9hA|f-39<NS!##5=32+x2GB~E6m>i!38XE$q?@$~WhtY(Kk%UBe%>Aml6{k~( zpL4vYQ>Ys_98L5rEzQlruD8@PGBq(aw}eJ13E{YM?_6{8!_hESD4C1X(p1mVz|6=L zl(@|GEKQ9Kjm)?h&A1qiiI2|>+MVWB;>f}1oL3U>l$?_dN;aSrjN7{;q~No%ZRQlD zV8d9UCPpq!6AL{PO9KmN&|6wsni(39>fx)iYRs+7h|5cnpd1u!5*wcxZycW&Zz@y| zc7vg@iJ_4NxHvYlG&is?=OQsx?R*qxZe>i28=T{POLIyflcLd<u|mb*a>UZe+|tMr z!nCxsv^3{pG$kq4I~GKlTd5P_0H0`!SfL`YO=jlCrX~nWElj~7PeNV_Ivi?lrAD~u z@QF5$g@&||xv7OIsF<+Svotj^v@{_#q}x0E$O&l+SV)^$n3-B4dD;T(X%h06aG)Qt zAr1AlnVyBAk%5^BIM6K(%`A<KN%gh6CRx5Vj}>YF<uX$}3kypVPy#bG)H5(LGd43K z)dhl*UglONL?p0aloW1g2z7$7o~fz1sj(p>5G>724M+}z_YqcPIl%~);4O`eOe~F| zE`aAU5)%9+NlPLVyam+T7JBBE#wO6#f~BRYxiQHh|Bca-EN>e?oM3FKXJ~0^Y-G;G zX=<itYGGh$Mso4??uQatPB4aKdm}wlV@pE|NUk$9v@ozBIolh1E0UA#&0>Y>z-<yU zP~8G4cPuQ7Ee#DxP5-@?3g%Wugv&bA<PR+YO!dr-%}h){QDCTNU~Fz^X+f&}Zm(s` zt&B;vAC&!|HH?L!iJ=*|ii7qz7>!A4I7R-DHn&nI>;Ru=LxWhMMo_V1p=V-lVrXUt z%W<ZbM&QzxgdF#STg=?bl(4PALGc)MdkMr|3u7Ztfsg2Fk?8S47g2L74I)ZDh|d$a zIE^gyOf8I!jEuQB%|Yd@p`n2ZxI#xXCy{2Cv3Ku!EriXjL@*|qic6B9HL1C=ktwL% z1ooG)p()A5=|e4Ha#EB5tR}TEF}5%;Mo&?O#J5z7!~}^=QAUt_Y@ugpVQ6j+O;9GL z#zrLPqs`U)WaMKDa6x8lre|qtWMKd*$jtPNj4aJbFGrN3c*!ZqOyPBynSrSZq^Szd z$7ZA!ByGN2MAl)Ju|kk6WMOJ(1}eS5NeyHxsA)<{r^|UUBhgvN2prTV#(E|e7Ure~ zkUVT;W?)WoRDWz_BqxDG9AIpqXJBG(U}nn2X=0>jVQyh$Omel!)5AbcT$?}=yOExW zsfoEU$N`pm1{Ox<W+aC|qbUO!iQNpA!!6BBObkp=ayV(7?FFm<n-SS7v5Xap=i)Gg z4To_U=z;n{mX=)1#ug@A%!Wod3Klmv1&pDwNwOcztOSv}z`;SF&M&AfY;2}yW@utz zV#vj5YNTgwZf;^~4yp%9NzRs&-<y%s7B&GVZDTV%b8|yuP_<|ZN?9gmCYGeS;6U?R zGcsBOCg4#96H7gFV-sU@P;&?|%3um|1D5qz*sG`Z*;mbQj4~L;3W0`%K!an(mZs)h zoJJ;k=HLNOQj3k*`!1VVNy3(&=qKlu7=ill@p<tE@u2twHSH|)3=B+-ERDda)Y#P6 z)Pm%u9qYEkW~4XmEMtYzxHt_hK`GYE2-30w`_h1m$q-x_V+~Af=@qn&2F;(*hDM-4 z3PX@zg<2W8I1P>UOwCP<K?S0bnVz|+nW2dxxJ^My*Y(eSGb>9XS~9^w@x`UNps<cM z06W7dJ}=%xsEv_}!$Qy8z{JuNwNwCiqOp1x+sO6z346_m9Xcw`$V@ANEffVg#sD;y z&<b{lnYp>Sr71Y#L6wq$0T&}_4pA1^Wk%$X9d>uradCp`2~$HOPy!&ko@n~LiHrnj z0vQB0)H63TFaq`MO)T{cjSWprO}LoM47iw#5Uow5<(1g8bKJL0#D)Zx;b@~+A<(!Y zZkJdR-zZ%3dOgvJ1G`f|<BCQGdd9})MxY|j*i_Hl$ixUVq-Mm$h@%$4mQ1DBtTnST zBem;cWFDUvZzcrk1sGUZ8X7_dbInXF4b8v<;-n1bKCfD1W+jqSl$?{DoL2(Mke~tq zT=<o9aT=L|3LgUl1C%^~GF(ispW(fAm6??`Mn9tjWwo1rQf7&Bera9_sMJm6;xw|< zGq5x;GPXb|+>J=8L4Ktz$6dG^#R|2+3O#c(OG7SBvI{+3fn{b^7DPoOwlWXedp5Oz z)iJQ5hBV(Q%Pln{viEEl3o7tA%=Ju74J|<Bim{=d5x8anmv1CYUul0^LTs+aQofnQ zLJNE|OLJp0P-DRuR*y45M(K$z@n17h;t(@fKg80^(82<>$4Oe2zJ6?>8L?e?LrC#z zp$F=Em_kMk&CN^=3{APf-X~#ZtNznWqRTWa5n%?ID={?JGc+_ev@qx5G&9jNGPVE> zq%#_V8dXF`L`~F8Gt%Zt%t7_P1$eFmk`+u0%q`J6gQP{m(v8!J%?ifgo)Ea(ZDwKs z>h_b{?e1MQjf^VR6p|G!^o%S_jSMWfIE~F=Q}-k`HBK{4r64Ps!g7F#frTNcsz>UH zlNR_bJ0=mG1B_t>fT6jCsevg4zJHiLiINOp8Y`5~#bK&vVr*<-V9Lc|q-SDbZenHu zZsRcGXk1`xXqQ?}G_z7c?ijixmL$gemzKn5=9NSn8-fx$q|FT)`LNJ4Gc_^>WjJVf zn;C#w5TrCB{#8yOI=rFXLy$ks42?mRx|yD(iHW5#$vv6}<rB#19va6AH8XN?8X4-D zm>7b)$i`-R#+GL024<kDofLncebR4cWlmgI18LwE+<Gz>O6KA))-y9P01bI?nwaVt z85tN`T5>Ugm$G2pHH5uc8}X&r%t{R2frRd3h)+oZwPVV_3ku9EO^iX)GiGLb2F8Y# z7Lf5YL?uhG0Tnr+$IMELPy?zcI3*F>d@}&gdm9<)8H45lKm&P}dPW8YMwZ~wcv6CR zc~B3zWw|*dp3U^kO$;pzL5?ujvox?YF#u0Glj4Z0zq(0`XK;mLXaKHJlELjdGZWBI zG8d-_VlaZVVWu#(E?k2VMv%JG$U@K15VWuZJep@}Y-RzP1S72pry0~iT7LlHQxots zzlENeg{1*_<O#Cu%gl__;^g+GCSvPO6Y%sOs2OQ!XaK4^4UIvwP?m<E<zS|urX;M@ zKro31oNhF;G9i@2i%XM&OA<>`!O0vpL{r7ZX=tElZe|1?Ni_sbhl0jZNgcUhecfPY zrH7?BLD`1^TBDR!T2fjBb_XPlTj&{@8W@3>92n`DnVT4ZCQ3+)_-SHwWT$b+poy8D ziMf#(Xch|5Wdk)JNa&&86Dp&m3N;1~${CsJ8JU@y8ktbgZ!2Id#occ+juk2d=V?<@ zV*^W2_s~oa%rplzQ<27438uLkg%UF>Rg5&3lA4oPiQW|kS6ZMRzlpiA2^S~XJ^nde zg(OBacqI|I(lUdT>xO!!W~N4<X)$9^xo%`^1TM;ud`@sIW@U3eY2^&U5vCAFfX1~A zEG$4R2opU+b8|~G6L9d8F!=FGjYLO43Okedym)h=JWylJ$i&hJyynGF&(hM^*Z|a8 zGelkxNH7U(|C(!NrG$|LiZKcq&}6fbp`N9Qp{2P27pIwlo{^;ms9s|vt#N!nFWro! z$!4&pL7gLLg=(m0VQFX#ZYo>qnL!sikXG1SwoM{C4VnrSaB&)%=~<W<nt+zG8Jg*t zS{j-fL6)2#Vjm^sv9*&ET4T+uR4_swZBWP+)QSS<Jrg}MOJj4;ScR#jo{^!E3F!$g z-a3{<e}e-b+>kI6iUqgjz=I^9R1c|MK?A~upc)od(Gkpgm*&NoSqUa4$0w#F#}}u7 z`Uc=dqM-3+Gd)vt19Q*<CbG-$q9vgebOMbbol#RzXVlElgo_hYn}WRz%2gz-w5<rC zz#T@Q-WX^I(Ad=2478-g#7xh~(%1yFR23z-QIZ+95mxQvL1tFU7|9GA?wN>YgekNQ z2b#GvH3PSwEc8sw49zXUgCC?cR~_#Ml2*1N5*f5?HP$mXGBYy-E#)!SGcq<eG=TKZ z5V?zBD!XXpN17uL1w5oVVX9|rWMFP=PC*iA2=Jw(Ibj58P8jH!SeTfB1|*Q?_(&`7 z)*bP}-JCFq70Te^G&IpOG&C_b0WF|}4?%*8b`sVRNfo%6S;-*x{5|6{^9o8!f)jHK za#EwsVnMZ*iJrN+sfD2dc!V3YR?if)VF0`Y8&-x8Ow@(Bu0+&Yuzm@s<g_pYt!N>; z<eYubiL~T|NYmhX3PTe;b7ON8GtdyHxgKnu0%aC~aFUL<$2w187%P;(#bE{;zveL3 zGXxK1L#9Yc*p$-9U}t6}iX4BiRqX~s<&eVP&=j<+4rxmb>Jn;#5hr@gf|QvML&)fu zxt^(!nWZ^sbd20#dgG}kl;lMdSb1z|WM*mxS}<d%XJlw@VrT-Y`;baUf+28uw*fKb zF{CeHXsTysVhr{<*_og9fG+OLZw8rFG|;oKG_?Tx%R<k>$kM>jn2X8OkhJ|ap$Bz{ z4q_~`ipG!yji9ELrMU^H4Pa)fXJ&3_3R>3(u7qI41Hqhf>a;eQZA??4SS}6|J#$M_ z12YTIQW-OIGjj{j&N1++N~|ux*0_87T+_@-2stYi#GAxt<_YD4hyF}04b4EaJ)m{N zrsifA#-ygA{pU1@Nks<G!A283BQql-OVEM>Q#~Vd6AM$w5F7~=>ai{AB(@!qYt$le z@nL9eY6<QaliOi6_^M`RrH0v<!RW9W!rC*SVOCQ!BLgl@vWHnuHL8+U_9HxQ4%!7` zXryNd+5`exr9-aAEt^z`^SBYL(lgRCw6rt;Woc7mJ<tLyOZ1|OU^Ws@QX+To(+n~& zWUOasX<`N%qX0X?z|5TFPVxgOMH15;r0RwAl0hvSV?7HaLjzD>4QXbHv<8%Whdkbv zjVZXPW@w^kU}R|mS};s@X}xBN9PZNE64F#N)Uz}+H3hY2ka{Pic}P)F7O#gOZBKBk z#R%O0AlpMcTV-&2$Q-o3ivyHY4UEByFik;ou0{rC;BC5~C0<xdTx|WE$Lt_`kW1Xe z<aqF+4#>7r&^j_>JxfCaGti<yvb}StUdqf$3O?JBjIvw~v?w3kS2xl#GBq~<??tfG zGd40bhE92qP~JY6B~Dhl2Mzk03xS4&P4$e;Of4)ytL7~9EG<lo&B4nLNg5`bDsE<_ zha9LVEqd_o&S<k(@L2%R?S2N}1r5e}<`%}_fg;34Y*J_RLoLK9=s_Do@`{0;u{mVZ zEYb=O((+2dNin>6#RQW1&Gn4ULCf|i81_ATQxtbz0Z-6^mLr*&7@LC^U73SwbpumV zQX3BiJ4A_TJQzV551>N8(9Fcr0K5>>)WX=zl;q*o_X?t9C1y||ZU`FfHZ;})ZE^uE zi8La&4qYZALfmM#5u_z*WTa<cW(*qH<1{tVvoNtRFh*~u5v)Q_PZc7wC2A&A3t9+j zWMXJ+YRbiF4)V2yp@}hgkeP%|d4#7Baf>p7;v*rOc1=K`ZUEl2ZLDVwT9j`JZoPqr z!9WXd!MlfvE||++3liNez_KvM5VSmn!$Qx<05rEqK|nmbC1^(6_F?ppfG&2lG`BDS z&2gE577rL2fZ9{w^gwh-aQF(4){sQBWS}!2MtVkOpc!;7PE&I0m3$4d9093T3Ly!> z*uvZplqQY!OiauyK?@ha6YH>mFhLyMiG9hy|8jofa-vzRP$hVB)6Brk0+jhd4IWGQ z<R%H(;1DC9nUyZ)3PpT#o8Sy+U}*}TP6nN|ZE0a>0vYEg!R8fqyu@Wdls&yhu|iRx z{wH)LB&Q{K4ZMMYAs3S|=o}0KtgC(5-P{yFX9HvG)^NJSZDz$;R9un=uCu|J8+WIl zgelXHo!rD$(%Ad_@SdRwXqh?Lh5PecT*P&dj19p1GYn1j3=K?8KvP*rOCnH`F~Pz; z<}N3$B@vK$1Wu4GW`^b_rd*t6mU<?}CZK6y5=T`PZ*h>hp#ZeV$^^WD0@MXEG5`%` zlilzwzsP~7;cEn*lQuNcGd3{-4cKs+lUp|Yy~a*l*<cPHk~1{XGc`4{G_>U6G&I+< zFb36^q_&4XpJXSdJ!A&ztbq22SeP0bSb&yGBlg^o)}qu8WWy7dhTv_8uu%h3#8f}Y z^ZR@IS&7ROMwYQcao~d1z{K3t(gZvPW?*P$j<>YKHt>~mmBq|T7~Jnl2Ceo(EcVOg z;xN}UF)}s)^+*uC0uplr-w`G=D<$L}iA#J?ygz7ZA!sry+Q>LI-XI!sAOeS}o|%y; zcn_9^sh*{=320$6=+F_w$}@s_JiPCZsg)^~MKNe6_koth6qn|LcF$zS8-sG5flwyM z$A+e6My3X!05z~QHV5rO1NXvUbtQ@eumz}};vZ8hd1Md62IV2GHHcN<-AkZer-3<W z@wU04o|&1E5$WTKw<rBJwX(z*U_+afb_@26PcKTWj0Z0hh&BdAGH8b00J5RLR1ef& z05_G$E%egkf18rEp#T&dCZNG54hua4BUA8TjD->Ca1bNXXELu%|4P0qAPWVIL1hwn zm%1_76{e(5n42qoF~zf>*2n@B{E#+#9jMD-U}+3G+y=Br!H}#L3*XsKro^?B(VHwa zprgBt&CN`VK*g2?Y$A%pJkH|u$<)daImzQ4bufyL2enQOz_D(oXJKS!ZeR$S5VJ5g z1RX;I%JDebYuGZ}`6nOA%mSdaX8`T3nt|3of&u~5Z!<Ok?TkWcTo7y{e--#(O4Qmc z<5;0mE)D}dQ&STIGeZ!|#MH#pz>tgC(9D#J$prh-ZJaH|=C$ultyBm#lmkJ_Qb7G8 zL(pU~Xz?GU{xvf;1|4xkT6L%`^4^rBO<;(A5o~b4*wDzp#F&Dr^4G$*#09qrs7nGm zpw7(1474fC41UlciK%=^%3D){V{4!ds(HmF@tN_U-Ju4cF#&TuLj!Yj6C*B83qw64 z6EjoLMp%@zg;J+r8!O!=@Ya;5&A5o3Flfh}0Vtt?7T+0zPfs&8)-yCVGBgA&I<o*z zHp414f{FWhz)Mpr4MNonq;@fcwDS!0jLnU~$3lSG4wjaN#_01I1Z_RS`NEWlr7+0t zFEh}_1hP9NY~IgJiJPu6qgtC!%!^1njVvJJmY}&oQ*&cb<{`H|E4}lXDcas@P-6_T zjnV?vH88X=wxlSJ8hw01TpqQASqWM@1X`_1c5h^+50O?v4txVO+D#0MKvNlHTY0Yb z5s`@oe24~Uv$(N=0cdl+xv8Fkp|K@sh=Q~N_^-+%Q!5k9G9ROj>l~k3np5Hry1yqc z#R$~Qt_SxTObpEpK+Cm^!HLNLbnF<)Dm8*763^L(L^e4<MG~a^HwC3Hp&U@t+T6m- z40K?NIe1m73FvqNl(jVkiw38Lho)AF7zGln1cJ|PfaX=;1wJPzz`+AF;GH`-+8Edh z;0YHV5H9;c)5wsrA9Rc&xOoHGHe_LGU}$av-k)e-Y;Iv{2_6w9VP@&@p$Dd<Z5x7w zH>inehCHE7N%Lmg*Zah!awA01Xkuz<V9rJU(1J}Ek#>Sk^n#B|nS$1MlU)TYP$kw* zbCfE;jDog|HL-RYK~6jZm0F+$3Y6IC)N+@|_%s2n0t9bZF);yc1>+=pVlF%Wt|{TM z0FzjuGEl1+SNj<IRyUmO<C=Z9Os!P03<#i(B!e5sury?D2C5OrPD7eLw}=Z{Lt~UQ zgqlB5ssw@sV%WkP#Mx<V2tHKELeId=&<u1~feB)LBkqw7Y=xqF`VCWT=P(#S)?}OL zfwst78h{2>42;1igpt^@`!nylsg*Sm)f~!s(2(H`NZ$_Jzcw{70xw?$HNTC_!G~Uu zR@tTYUnjY;gV+yCP^PA!6EDb4P!;i4iHlrAOOymfNdb{cter;q5;00YhhQR3A=*xJ z$Z7#2Jqr_KQ*+Q@vZ<cAiKQ`U?ICFy=j@X!rdBc-Es5OXbXb#EC=arx+}zN>z=(^} z95fGOX-Rr_X2atvrdYP_fUI@|FUp8FgmfD7K)bmOjg3q|`<=}U^(@THEMQxO5Tzf% z&^+FR)jn_=3FfzWNShEe@@ipXVr&WC&u#)b4;6B*8VSu5l^vJKYLuG9f_llOdd8-v z#>Qq`oR$W9W=6)w<|NOYd&Qk6wU-QOlD2?PPBJhuHZ(Qk;v{?Y@zjfxrsSO@1u85| zL7`x#XKG>s9(}Yh*E6v+w=gy!wW;d;=@^NjU<$I{0Ca+;p@E)-A!J>#nUS8EnWZsk zBL_-;BG@x<bT~{xVF_w8$D3G!dj|EOo&iRk!DMI(I?WL_RDohYw&B1RZw{IgcMcu+ zJTJ%u03-xLzz#4qGc+^@ZPqh1HL--8=|alsM9U@}G_~R?D9tHOHL=L{s1m9J4Q*N) z8Jd6+H>eIGYY1rK)%_#~D`X4^)Z;VJGctiJsWbtdy$U*g8Ew)HC0w!9_Lp4ukl+At z55&j}7Oxh1rY454V;e0k3{1=|L6M5I4xga?D_HiBRlFEOwtQQFcCnb7fzF+>)FaOY zb@z4?Ikkk=?S?wRT+htZ*wow{QpQ>ufew=(aSZZP;V$x=U=%Bq1YQqjU|;|~n9sx# zerOBs#t^prwR-M$Q>5b~Jj0?vW1jJl98?c#>{*(bg3mMu7o?WvpmVKB+j9D1|5oCn z8aW3;`rMEOFBWFz2Bx41UvdZYJ!fwwZsZEOkl0wy+|m#{aY^=kz59YqxX0w6^P?7e z=7y$*hM*&q$sIa6vtR>xDaa67O`91Rnpqfv;@QO5*wUEfLr@rb*OO3=fa?ciNV5ph zm<DYnC%Z8%y?hOEjcFqTcw^es!Vt72f$TBD+ik0evlFx;8Rkzj6Hs%6Y&!)liM7)N z?oV(VjDnGjgQmpVi5Pzcjbu=AD1vJ0O5(!S2(mO0OTU!VHpV&2m8L|UG>cq7f$PFr z@Pw;@v89Cx_#AW#GfQ(&OP91Zme<$iB)0KPAmuaYuu?4kC$V4t_t6qlD;q3BDj4I> zI6LNLphl6Axsf?&aS8MwDbP$ic)JO#tw*qjlQ(0DDGBE^8pR5wg9<JSLt|6WdF7yq zDYAxx!)Gow#kX-5JO##KqGw_bTF?*js+oa>F=(70e3lT*e+1h+u51g58@qxO&SrWB zhL#4P6IDRVXG{zXEsVfp%_N+LTc<Xk#FS+Unahs@`O?w=Ix%ITXJKMw0$ThGUV4tz z!`K=Z`|9SIqOV4R6#jLf7P+Olu?c906xrVU*fp2b$_MJdd{DwMHnp?_?M5-S)H64- zumD|IgS+vBtr5LQc{<*uA>ir`=3&qtZvzt}3o}Ts+|<<E(9n|9X1ndPDa1!8a;v=t z6yPRimX@GPQpnCJY{rubFAV{$N6LdvnnRa{lz_TorbeKxAY7cL=6VLE=H{S1Ip8IA zu;fIrd{7gdY-*(m8el=b%UmCAbyRV3jx*#G*Lu(qYZk_!g>+n;mY{8T7M76iCn-I^ z^#2n{ZoV2rny-~0Z<|>f7=x~$LYl2YDHaJ98(Yo$3C~uU!gm3I=7|g--BbfmZDa;s zG!8lo9dwffX$f5Me=i9c43gd;gD{{|T8#Bf%*`!86TnETG)Qwmnq)7&9A*qYx*Iay zkPBXXWn^FqTJCFMsb^wtX=-SJmca<7vE8RS361JO;ubs(U~Fb)Y--8HX#qOP7F;=y z*aKX5r=5fV2A3x$kOHL$l(<1ls6kaO$h)SdmY^$lz~gL0XQ?vZc2g^LBC=F`NRTHa zQJI)n8h~~YlRczmnchgMpP^$~wV*-_bUBK-As43w=*UuI6Vlf^aXB>-Uxq@098xKQ zD=i~412a&NlRd6!YEVb2_e~&qs(}$yYgw9@g1h`=_s8A$*AUrfL~idwQa!ld1noKj zABtnFXJ~3<YD)5np)L=q@#ShG(3VE%imP~V5o%;)jCOVh?uH1qiaN!)8tdGaF?4s6 zxdCW{3m2!6v7UjknWcp}TCqW}jAnRINkXK83ra)CcpfNHjrA-H%uUUW&}%6Y7D}&3 zt|UEDq16<)<TNxgGPE!Qm7$=kyA08fZ6sK5dY6?Gv$_j>@e7B!p0TMRXz3d0fG1;9 zLs0u2bj&je^Aq<s7n2;~kVVpUpd@8#WMKr_ePU^(XJ}z&Xaa7Dkg_-T@smOl?1vO1 z5c|QUn5Bg==-fO`3rjr%GXpcwsidTJ8oA{PNG-)66-N{JR$W6-0DunIGSV{ul_wV9 zi3t+I-(Mov)XJRjY_5NNK~a8kYH@L9Ub;(uUMgfeICyWDnT4?lsIn$I*Xc=Q5+4T0 znXVMHx69DN%)kV6mW+{}nWc$=F=!zr=-_k2LL!2R{tr(k(F-Zz%f(F1OhCO3@DXb! zpgA%UQ@+iX4B{H3Xyeufv7psj=6a^a7N(%7NYEZe69WU#oD^yEx(plBaIe+^4<AEP zS_-HWX<}dkK3N}WQ~)KR6AWYSxHMBMNsJ~6=ma&xXh@)fhT_eQjf^N5iZ?Y+BP&oL zx3(D?=oy$B7=jig8yo6b7=i9hBee=)J(@~tVumyez`<+`S`-YrHvqIi*a*DMfwW-W zZk>obn2o`s#TI(zW|o$qQA`U1Jri?N(9JX?rrq_I5=iwVWT*>NO&aPMnORtZN(@6I zJp&6vL(qBIpd+A2m_<*X5^GA%Ilqu08Bnjn#1eFxv=Qh~EOR5!h9c5>G#lJvNeuzW zyjwmfH<=n(T7vFtL+VVDW__oA4Bn=fC1^JbBwf{k1~*NOO-w<H-O2XvzMe=D>Pbi; z4e@X`7pI}2o}qz}CFrtnQzJbSLjz;bIt`TRCxYe2R*?u(D|w9a10>*@2U|EHR0Zlz z8CgK4x<IpOrlw|~hAm3TNU#}bv^d<<N}q70h;;dsajZ}Zs9R%VU}*$O+NQ>O#-N*6 z(C<ql=ugA{!MHQ9DX5_fTJ>jWWNr!CJZWYQx@XeF09;6r((W<b97KHjg*1C0{qst& zFF^~HQO+|UvBP}XE|BnG2=WM2Uc3SLf@niSJ!2C?OVGABBSSq?0~1To;v&*A&!ye~ z;wlNGj*}6j?+7lW&5c3#-GJ8|8G{cAM5`kR#_>E>e_U~F1lke=8g((yvoy9aH!|cx zUfD^SKSh}R@fH%`EpL!QA_deX1#R*Kp9qY&Is}}qh#rQ>4D`U|FGJ9hrUGz#&D6pe zbn23&g`TB}DQJ~B6R4X)!U>DDhh6dc3vxFGq@xRNq?;QU8-pea4MAaQ0@}<-Vs-a? zmkaTk1zh$SL4vgqlvykcEG$8tLUTjV21?Lj*QnMLOfHWqU9ioip$(W8#2bK)#;XC9 zfUp52Lj%y#CC~ynM)1{<SYr~~kpI~f7gH-kP~l3%7%fsie-b0u$L40Hpd4ywsApjU zTCW41@F1aAQg_kG)XE*pTo&r`V9*ZQ{JhkR{F3<Kp!kBK)Z)~<67Y%ounGZu|5!Gt zJ8S^DkqNZ=z*Nt|(8ACReaxO<EbNT7HMLT}2$B@gsd=t>@V#Z=ZmF@kp$VuR3m#oE zGq<n+2LPf+La+v^53?bmx`mX_@Dy*NXJ!Q6w#-R(x48C!1@S2!Vm-W9Y@}yyWDGiu zkpp~6qA_S632EazGryP+>3fu|vxcBG@f?PFh9<^_=H{TX26Qj3g(Vjg=qh_01q`;- ze^b%K)Jhq7>n!;49nU<_26>dbcj`gO9dutF=&WbZ1eh^=dprsAdmC;TlUyM|cgH7z zT6f0A#+DYK+jkMylAsja1e2SpvYsi9J5o&`$2ftSaG+D)EFmXvl2z|2{LvwOa0^=T zLI!OOAV-6dJ!ZVjQk%$x1==75N!O5yKMyq8gmvbagksHiu@+Igf(>JZYCx-vDa}Xn zni|CAV)Sg32F^T2=HTO=P0aKxEWy`Vf%6e!Cp1cti7g*(h)^@NlEPkOCg+tHfP4u$ z2Flb7v?0Mv&(hc!bY(iIElEnKhN}_hOL&|@moDXis!Bs6@Ff_C^PWMrlTcEBl2RjL z`-}m2zq1MGS{?8SOh_F+(q<9uUaJspI3s2eA<Yo*&UVl|8t8x*W6+sb2A1Za(;>~c zm<$mijS`>O#@|=JRwjC!%m8$QG>3_vsiC<!=;$>=13hC?V*}_s55iJ{Wz(7M$|M(# zMv&14@bU*k(B15)S1pj%8~ov}U}_}{Kdchc&N2eEwNgNZf|(iUG#2orgNZTd_Gs`B zEFuLF3{`0(1>CJ>15l5T!&1-4*wO;L#1ORc05l(rW(&b!RM;y=a?u9~#a7VurUrVT zBWOWq(1IpOq3d%{x+4Vrxqh7-nMXoEdY;Lk&^9&(ohl6~o=i+EjEoGx*E1mk8zmU9 z6-hgdWlgOlk*jtmXCLo)x5S(rP~{HlV{(}4nVMNzfbIh~HrFF>+l70h1d&x8+UTxH ztk6X2w4r}lN|;)?VGd%D)r2kp6_ucN4rs&~*SZseDL{F@Ahrd(pb8>9zbFOKXg7)# z$_3eIVQgV$Yy^sTLjwy-OY|8^f))lH6Ch?b%N%@d2ZyPi1!l(uoW@yQN^^57u^+?t z^AMk@l?!R%jJWy>;b+Jx<CHkUmBbDc4p)FnHA^!KW6;elCZOX{4U7!H-AAMWCW1kK zb~6v|Qq2T>X)=eQo`Jcck-0G_s=)&(;4?89NoYB<9pW}6|6X(hNW5cP5=b!GgABP$ zt*8;=MxYy0IgIp7jSawy$dT4nk+%E3M~8#>bybF-W7r^#9z)O>{qXB9NNoN{KWE3g z=n~QlFw!$Nv$QlZ;o`J3)iX9UHijPiiKF1g*7kUGiJjzT0JNP49&$IdFfs+Lb}%;A zGc+?a0Nqpyj$_!62ujU?%>f)v?D$5VKu4x!#zXqx)u03o8ifW~NcJdn(_B_lD+4Tf z8q0!S*nQx}u|l9B7&AR1LrVi=OVGfFg}DXjRB+TWCxX6h?`1W$^1xy_zUl+DKtbMu zQU?kV69do<rd*&=2}^SW1L#R&B%}d{P-c?bFpx=zW=4<$jEoFGV=)}&dZ3jkM(9UA z6ATpJOAHk3B{YM~5SSYn8iEh@GS-8&7f})-!ICwn`Hu;XnN%atOlmEtzBD#BH3Xe5 zOZEuVQuSXZB%W>rN&KLow$w8;HZ%sE+zy)JBWq!T3hOTuD+??M5Iyx{UztFi2FtUA zA0}3qS36=hSWIElKNiNOpfkRWP4vKh0g_uR8zR5pj$DW>W_pHZpso8{oVX6dBbbEr z#6IJ;1-h-tM9;*`+#DQ-<aXU$_&=JEeC#8%?^X>OSu-*-F*h&)wf0TTj7&i5xkx)J z`i1=m6Dvc^wi$XF3I+`gfCug&X}A(pS{NEx7=dQV$-eJGq~@Io!L!K_eRYV{)JeEg z72gt<a3M<q%=L^-j6wJ9lE08Ed%_#y%L{N&8$w2m!S|&bgYGK?owQ-9XJBe-0$Rs~ zQYaEEny=NpF(G)oBP4|LK|`j7mPW>)BWX-P%TFx~jY(cAD3bjOuZ7?lc!-7I;TO=| zThPp8VPFaxu4OVJaha0Il@}(&p7&-9I`56cTn|)GfUY?}>a&p+u<@oZ@cI)xi3nK( z83Y=$1hqRr=d^(CLN~SmT|&Z0+HlJi!RIDc?BKI+T?|1ZgvNT77RI1aGY%6y3o|1_ z6O!xgr`sM8pEkjxzR)F=pt=k+8ERr_ZU|~inH!m!n1RC&X_GX;(sse3hbAN+2aB`- z3p}M~YG`I+0J?e#bYrNYu_1bgj9?B~f9bA?l_3!oD$=S3L!($BaAOu`yNRWqCCDA< z%NmHYoy5kh1<Y~-a}&_T_a>HlW~L@4CXhvrB(xh(Io>rPwIvO{s}6M5vYD9~=)66$ zcNh6r+`^lIAn6Uf53!LE)O5p~WdNr*d}9!o8*Z9VV+_J5RtQ}Gn44Lcn^1C2jbzzX zZ1oR#vJ~Y`Y{;fOb3FrdOAFBU8tD2$j2#~Y6WHQgSMUWhWQz%8L1R8BD;t_w8iKCJ zGXWJUCMKZcKf%FFbbs)3&;@)J8iDILh=nPj=7fc%xtS5@d`Qp=7Gq=3rZJRBI)cT> zT9XU78f}Kr=CGJEfR9{4ZyG`E&=a&JL-{<mn8R%HLe`-g>lv6B8-gyCur$&G9nDSp z#Jbh(vnDuC4Fs(Liihll0<9gi&@(nL0G$K^y28i6fSh%=y$4Pa*(gODnuQF-8|hhE zm>U^`k482!F$Y~^Nn+RfoX{x~qRxp#T7F~*naBYTikcZ)n1I$Uf>x^<S%NQvLrJ*= zqgcc4xCxdck3dUt<1-;=9zoJA=m;JYJu?#v&=?(Pjk~3xg%N128EN*hK08c${bvYT z!3c2%q`_ur1R62mGy&aiZ3c1>iNP*pa}aNS1vg~i7Mkl>7=kw2adDb}E<ZE?9brqN zh5sMz!)qa^<qzE+1KW>dYGB62X+rLPoV2HV@mdI8rvqt{)`9v|pv^L%mGg$CdM2iZ z2B6_}@BuKeB9LH0ihi`$#L5^{G~in$1WH#<l_jas;1Us%kilcj#%3m_#ui+l`#nIX z`-66xla{bL7wo}RA{s>-LrX-8XDwE?>>{p2G@-kV;G2CojP)!HEWmeZfLFL-nS&%) zd!AafgK$?B6o0TC!Jze+xZ3+D3wsG#9mcT(-rhHYp6X^|YGMdJi-Fuz-Tr!R$5zCF zk}B*}H%LMRX9QzYNaMrALeIj&%+wHkPcG6l1i_3T*s_h}i~#BV7Jv`cG_o`@0v)nu zsb^|z0y+y3bZH|a3H!#{vbW&P`rr+=kirdAjT-40TbP(xnxl`$lQ8p=5x9}aY80s& zgAT}-fIMwxX<=q)3SyapPBb&)0^e+i{n8|y=ZGFVzS@MSb3`FKOhA>liLsdpXbnDj zmG_yeD@pe@wDtziLx5%>K)0xZ_YHyO(a{zU6U;B1Cl=w0YDhyD(jiX)g|4}&kp*a@ ztqEw?gPDmbWQ!aLG5v*Sz6t7-Ib;b$Jm`uT1L#sEV-wKEWylt4kgw1OJ_&lOeDypN zg4b1m`bw}82zn*~xNU7_U|<fKT|!z`Lt0G|+cFPVO=1vj2HrLU8m>3C1g#?mwRFjv zzfe0do5XPl6Ug*M4k*EbZe_AC;^H*50N+w!4)!4_;}B9cvv4=G!Q%~(#z8%390xRT zWN8ZOxELClg7%J(*!t-YpGkT~F@h9P$)MJW3Fx#*3ocI3{24SwfyX>xtrLPNYBlQ& z6DtYir5>O=e|(CPbFx8;?7;~Kd#4PsI*DM(ue)_Ru7qO<N;u#=Z)jm;4%)3j_T1ww z_UZWYJY?<>5_Tn^urmeiO8{M2YYso71Lcexg5C;HpKL<(868I89f?MI#)bwKpjz7! z#5A)2wI@-U0t9Vcd~71N1d3E^Ln>`Z6=rB)0-53hO(~mLn4=#OK`?<BJ?kSqi5fyW zqtq!{86NfFE?O-?SL|^Z=uupNOS|-<WduY4ZV8^aqJkyajy<@&0;_!u4Z(NnKvn^e z6J>9WdPwg(Lt?C!3w(5snGyK-dsD=M2XHw`bg8m<O*i3s3e?kr)Key~Yn3V>)`R+4 z7F?X>CVHl3#s(JX)g-|zGPkeW#7YO0Bk*;(ih@%T;X4wjlvKI9amFv`OukxBRbg&u zYGMXnuSMQKeZ}Gq6XNd70!`R~S_ARWk$TWj1?bvy6Eo1NB{MTU3u6;AGm<a2x#rVB z`cMUUj0#e9)`F@|a}z@&6EjeF7#f*_E*mAW>fEbHdMH5BICY|WPkKA<s5SymEE(yU z8d@5Gnw4fIdX}J*WYAMG!O}PKSu5T;4LlwLY5stx2u;k*4Gcg>5}N248JL1joggt- z15e?%5IkcCsYF{DLA9s>XfvlJBpyM7sa%XG3$h6M(5R@z#LAk;8JCRAw349I^vvRt z)FROF#|EG_3dY=vr2+W%MU;VKg6`O1(rjX7K-4TaY#kBk#7j)eO$<RBX=rb`A#CCY zWzLI?i63%&j%~`z(!vsSYdP(GZj8m}hGw9<L{W$FX%goqv5@`K$di4>2H*qr$nZI7 z`=`yZ*llKP4!Sy(=5||PvD?DHg!IE)z{!m?e_Mk4&&GPlVQy()W(GOImxMV^u-&9i z??QU-Xto<$T7YNlkyiT>m)uCT9kR^{&2~#8&;&afaZal3#%LiAnt3!d1zib9PF5q; zb`!LW4w_guHUwS&MUL$xPOO__u^hB0g}i7d(Q-><%R%S%Sn64r8ylLCe5b-%aJ5Ec z^;()6UzC~->XCzwh%hxYGX@>WYC^8%Ye1HhmetMR#f&NFgl^ElhPef3hXbiwdF%|D zO-MYR!W16p)Ucbx7&nFHG(#hE&_+K_qy=@P^}VM2Y$DwE0!^!-^}Qfb4q8QG3?5G+ zZxspK{U%a_-Go}b>)7r_oK12=@Ez`;&DLh%!-YAGO!Q1a$E~3Eeh8N08FdZ#n&gn7 zWk^p0d|{x4sfh{bE;&ok)=djjP!kCyLJ9U}yQh^C81RA2lC)823)+oqWhPcugnG}Q zV+GJJ<~D?E15T#Oh<f_70-OUfR7)~G*RpUY8OU)pR2cTbYYX_&LC`KA@XeN7oQB{N z5{*nvxkx;YV!e2V2~p>pI)io<Qzw%8Pp9FGB*^MY6VO2i76zb0B|tZ3np%K7hLQmY z=D45}Y4~y+WDyZ$XaRimvxR|~sgWh9bBeSenuJ!O$@(-CD<k6S%t*+DJ;e3`(7*=8 zeSYEli6&O6$V2krgBAQsOF)}1qag(hG^<f(EaR<GBF<a@x_W>*w%B^c;IsvF4Q4KQ zU7w|i5$IAhOEWz~&}}ScXfuBVOUum@qX|uFLkef=c&ax)9Ji+|s5LnK`dA>&Y-T_$ zOFZR#a9Uyx-hXGPXJBk-0h*Xa+JA>~Y6-#UsrB_He$Iqy1+Yhf3+`B=T4hpa;)vT8 zQ*gu9NYB*5)Cjuc&BVgclJtJu|JM$rwy+?hHBh@vz^yy-?EVI_o5Y5#5w+51cd7%< z^l2C?R19i$U|%nReT@jt^%C<t>`bgwFoyzBcl8B=wvmAjm^Id;bjw`Dek&6z3rq{K z?7)GIJ%ZP&7=q3oFcYc-?bR?gx3DmST+js?hQ(MXMzEGW>uW`LbrbTO4QO=}by^Vz zs!egmETms#q-SmkS(5^qk|Jlmpq<Tx#AOA>kb0sHRH+*nn3x%xLr1yEnCP!<Fd%tK z4m!`D4XPFmjSNgIj6n7qm>HUwp>M<?SnS?Cq(`6+0XaSbSEWeWP}A9eIyehL(4DK` zwdJOk28Nb~@TH@miEz@Ig@%i@@HPuUeO%~X;~LOnb`t{w6VMuLLkm67>OazRNccT9 z!aZxy5)nwx+6XqQ3C<)I=0=tV7N8h}ZQ@7qEx{Ok6sv}74TVv(3Al_k)Uz}<x3DyU z6bGPEfYinl!*W&JmY9Jpp+a})W}^zu><+$Mi^D(<)Xo4Mq+)2MXJ~F_3R+KX3F;8R zrl1LiS7N6!5xt?zyps5!;u0ay9wq}lb7Mm@QzJ;n!4SMOgS2yp?5&hc$iGI_TnL;{ zC|=3HB&vinc&QeLS}WvnT4EF{R1V5;21bUUq7u}jH8Zp@11+i}EzMVk%M&$^pPU1q z#|NDY13KK!1hnS>v@XQb%pA0f1jS;Mx)9p|I2~&8CRXm46*6iI05k-GI9U;GUq5)h z8&p3VfDao0xd}XeOSYSszQ~zSde{JxqpHBk+{nNXv=jie?9tQ|d;}(GCB0&kEUArA z*o20qfw?*8q6_e?0Ps`15v$5jA_?1B6Ibn}2^Yu6%dw%0A!|TBH#9dk0S!uku1W%x zlm_6#CrMcF$zv!^*mh7AgjN}Vo2iz@hQ^@AnjvVtq@^+FHZGKKCs?|zZ{#9omuqPf z_@Gard{7&P;(-aVubg-*T=3ce$YKOgyO{Fww8WQ#{C2T9=p+fy5*GvT5)4Z-Jrgs~ zA+u<8BEe)fWi7jjl_dORv*f%Iqi91=Ee~y5fT|8NJqsg4OVE`fAeOnA3AngHT6Rj% zmZD~MGS}2VTB&)U-Vc_l1MCo3rAg2g>K_<PaGvQ2ni~S`z>EhqH^9Y*fuXrE=u$gy z@nK*Fy)Ku8k+$1W45Stx29U#B4D`%Rj0`MHK;AYpu(Tk3zq5fS1I6VjcySe^kO!|} z1znB_+JB5|i8a9pxNG#!7|#xU$k-obxF4LSjSbB#K?esK8R?lB8h|bdAaT9VzJq`9 zS!jf`!wgil8i4j*SeSr=-Pp*&!~ne5hm=Whd)uGJR64cTBvvQ^wC39gdepe7g`TCM zDR|d6Y02Jl*LPzpQFs>5FD(J3b<oi~21qu5_LYNeFtS8H7nxwZ8sN0SP-p@pC=r_) zn@|+4l0sjMsdJJY^mIMYt|9}_VloZ`P}(vxGQn4JVrxYe?*3#<VJixp{2&<&Ql(%F z@IcZ#Y~MFFXI$}mZ%ma#$c(|~lN#z7nwnagSa5M#f-kiO_f1gJ8^PM!M)0k%l^&rP zHWz<C*LeT<Oz6&DNaw%^bf_?R1P|P5ft&?LLWygr_u3fOdVk2#&{#WoDE=du+Lfn1 zH724OF^m<;1hvHsjLgkIgDZx5h86}!po{%Tv+hXKQ)Aq>ULc(}W~gUMX@hs_gGa`s zo{|9BzHg*QabYc(@(6EX4Q`b{7H5L**)TN%ZNui`v^3K*H8wOhLZ3__7?I^0ZyRH8 z`#@S9wV>!Tv#>BTGXSy7O)M-;!Er}Q)92oi>&B$6XNGKX0B?aYGBUO_Fb3BH;4Fbw zN)gPAvZmLJah?=r4nAWObOVotG597#3(zsohL-53s}QtBj{T}JmhI%AG61&M5>m`n zg96gf9MnO;=_i8mc5&WiV}kp{5M3i<*hm#<fw+;LfvE|2io()D&%_XXQy!#{g*77y zdUitBMPriBasiKMLlQ4_8ng8iE)Y`+nL!SdH2~d<Y-wN#s*A~MP##q|NojS5)SjeH z7|fiupO`Q(h!x5PdECO##KhPXlmZP*EsTsIH!>hP#e^fidA~9KQz{@6<<#-qLCw9y zcn-4i#{hI7oGIv>Tm#VQSqA1t`1aB=V;gXICAJq|@e663Q`3Vi@;iy~pecCkCFq(m zLlYBl0yeiWGzQ%{jWRb&u+*5Vxq--n809E@$og1AJyTO-GebjA;vugd=&D_7Oxj_J z#*k{D95h2>Yy>)jgNxG)6urg<2Ix(6f@wG7(Q0F&Hw{5zxdxPHOw1_@^<O;8iSssk zpi?Ik<#{hACXGQ3;5E=QF*7s-om>W52n^kDYQlxI37=pv&-*aXnDC~_#FUgGKhUxl zAyAcMpl4`o1iG}6!$8l($kf2p0(>MtBMEom6<wZ5dX)qoE`_AA98kIjHB>>jGJ*ER z8JK}v0XX+=viO9CC`hnMaKfMhqXv^{P2x;rJon=irKW>MSimF3l=r{BJey%m>4-5> z2MpXYp?JtA<oa}y8_)*e?Nr8kW=5bR5V<&!5+As=32T@TEaB2V^b;<@ArW2yig05C zQxnhu5JsS}OiR#d8zi=b-u&t_w$cT)^6>3MbPWmucl02o3b;{iU;!GT2D!n^)Y24V zWQ|}p<Jr<{OzNpKkn<2g=c^g$k<rgqJ=Shajq}xv!I$Z9fNte7G65Y!VW4MXYHVo) zj%r3jE?8e5TNQobaU)gSU=k}71uEQ)3{1fjs%Cm-7KY}aXaKu{=mdAXsl?by0C_E6 zAZW{4CD?3BGgHuBJ+f2t?0Y%JR(eFNQ}mCI1YboQZ3e#li3&aZmG!a2)EbDWG&M0W zGX(kC#1y={8Iq4+QHgEX#xo|IDp6?&IltZjd>#ZjZlK2b^$16pkesI7Zv|0j{K_O& zr~(uPMyLr7w2g|iRPALRU~Hv}IX#NLUJ0J=%)ujPMta63rj`~K6dZuED&5MM;Az32 zUKDKB4YWuJ(uA-uw=e{qA7o*sXK8L^Xk<z1K*9Q1+N3ujz(X>SaveN?XKZF{VFtQ| z%u)|LS^>G6g@jp81qE%K19*@V1Pt^{L37?lT%6_>pyR1Q3pP>rOA)L+X8UOx6TUe( zsk9h8y$BjC2Q^#3_Xy^IQka3cCFt;84g);{BQpaN&~4);ppC&;%S~*V|JWBbV=G1E za?>RqTu0`WL_-epFa+5MTKWcBRZd|+dfr`y#DdfmoS-qRHY0h1H|bWJW6#B;FK0R# zr$kyVhSeU1pi}>hz{85>ps{dc(83&2=72W%D&np^pjQV%@(lP8EMsF6Q)6>3PE#{I zO9Nvw(3J$>O?a@>L@+tq&Xpme&rzJ5<D6Fln%u-zN|GMF+hV0j3twYu#VpA-n_y4Q zr2BcxE-4ao5{^oVbgS20lprm*apYmrZJsA4Oroc8#WcwS^fPq@N%J)1(kKHx3sVzA zLjx{OOG`adOG^tga6cAl)Sh6Tn)OV8(112%HyC)3#LUpl+|ZDV)6!Va*u=mRV*-_+ zbuwb?#)R+Mg%2CR*MlX1t0OZrLo+il%gE5wko4+ki3*Fcl_+X;<OAxm<&~gTL7*MV zmX?;5=nHfS_Dqkd{V^h~Ef1MhGSD+JFgFHy&J278k|Fxc1VNi)B3>Hd-fo1b+l>uk zg}T90)rJP3Spo1FtgwZ&D65wUTB*J5u91}k;r1iwkagrEKR}L&HZ+I@9jXUg$!Kh3 zW@ZYW{xL8xHV4%Wq_t(Y-nnIjdT^gl5a_bIOz5ez5HA~;S(uqxqKrI{=H(xpH;t?u zi0G?f^)tlF=FkPWh8Cu#rjSj#ur?%kZXQ-25)A(bM{XG5nglk6#j=4V=%h06R5NG+ zE+}D<=H<fH3lw<S3>M4K2(T~$U;Kr%Et)jfOx$^%0@s*=U1J(6)C0}|29&x+lkKFD zl_R;y!O#%qoG!3)D9(`YGENv-*^`+eAz@>N)_5ViB-CGZ%!s7Ur6IK%FJPNV8c%`T zSc}mOAiW7ey46PTd`fB2)b{5H1v$e4oD?DZ0}b>HElrF-_ve}z>ls*@8DpGGL$IFD zU_W9+czOWZR7d1TO2a@h^bjTa(Fhg@(Bgs8qH~AeeoCBU40euLtPrGlFt9W=1D)eR zcG+pTeINP71H>_=@Z@T40db6>9%#`wD65cGkiYQQOF?pl)TAcxf}B#<Bz)RKNlZZs zauayqP+G6lPuN94;Fy5Z4fS$Wi104T1IHYmj46$)ZmXS?CS#LWp;&M~!W48-3Ap=Y zX>Md}V#&p1Xac%s8Cx3+=SI`BUOSAec;V|#K}*Y_O>BxAU*DZ~P>>KH$<hp-ODHYR zp0#bIBq2bGSA=sY%_S=*ZlT0E=p`DZ&Pg!eLP1<XN;E{KrPMXzcQ;cKIFPt91>f>u zpl4ugYyr9r+tO0c(!#<5G!hKDL<swaY^;lJ&feK%gl9#(5qQ}cWUvrg+fiCfWGZeV zznFkDhY$rir45tGRvRfu8<3{CIlOwIG#{H=QsNp&^#X5}P~2;=d%uDFh=TVosnlc> zxVnLoYy%G)Xm{7l9CQN%=%z{XhFH!YSVzGS3#9x<bTKGxK;9}|OMz?PB^)G!V^23E zOrb4gT}yttu>`k~%-~rHYebRYnD>8IQ4lncs>lf5M8X<TB)F#K&nilT2GP=|w6vKw z2^2Ks4w68EhI*xqYr`_~gT@>!)lgbT?f$fcf>Z;^-iS&HYpNk(Q;FUsN?ZePF+j36 z#l2OqYsekUg4EyCOT*ih7gJn+BNaAgpo4}X*ZP50Uy^z#=A~VWC~ys=95#j*Hk7t) z7@jU9KSx0vV;&1#AZlb{0v@0T&1acdm{^d!y5sSsg+?UrSpY8(h3t5S*4vb}zL|9v zQV>J%)C<i@SSu$IGWH^M3StP7uc_CFXns7Of-(<M9hkxsFQp~$@dxwCPrQ)U9`y>~ z8_VZW5HyhLfO=)#ZeEaU$gQ{0t4d09)c%LFDacWf<{l#1P@1Ed&1R9GY#?PGB1ci` zm`|@~QjlyQi5Tu0?9Fl#3Y!~GC~*xWf180<iW}$|np;|!S#WWf>RFf?8XJ<luHyFB z8AMHr8(HA*2$10YIh8Xghz#_kL22>EcVs#Rxdsv$@bZn~q#@-Aat)dDlhD~x>h%P` zuA!`f33m*|t=$`r)5$MTAZ0D0<fpVncPV8W1*rzoU@(V|I#XKm^Ie%j`H&1!(NEzL zkkq}C$&V>W%MLLYLTOB8s!`w=NV1`xV+wyvBtK*z)e!Z@IRCwwNI@Egl*81^-d|o) z5Ht|SAW|@;l|u763LFDzNx|y`12YQyveRQGP!La$vWAL%*%$rfCt-+Vkn$6@pdn!t z@6D?o@*M*i7&nHO!e$1hpldmiPR%53zMkty4<)5ABy12PoRqeCw{TD#Hq>kK_WbUq zpv?y<`QT*@#jUI#GTr1S8#KpI+^*4i+eN-(AZZwKpNoN>k*Se^i2-QZ-on%XbQ>yY zHw+>>5nPkh*574Bc<nKCO%mvYWax=KRGL}(AlXGp27*)qh%rP;TU9nsJ1Ho4;bRTd z*<;b%*-6@BLHHtO14_#^_gNhjgaagnQm-VjYy`Q6+#V97kVP!8ptPZ|%DjW}Bul+T zTC=;_DRB-Ybg0*I`Bc_ULCS&jt*N(6`(6wsu7UJR5Ty&HDW}Guje@X&w=tpP-;_>l zHT-KOzY>Dfi15}o#ntni=`G|t2E7)bG@h>3w@?sIkf1@zQP{fHh#H#UhK5V&AlHyv z`axWS=s{8%Hg+}56twCfF@*@5fprbM?1SbgO2-{;SvHfOh~dkbazTwb10zdwQ}C`X zLklBABSXj%OfxRn5=?^ax>xeeMp({dD=tYaNd;{+0_`x4k4BWVl(w9Dt~XJTXCUQ1 zyf~q_|NMGt6ZtU#NfwCiGo^`ic?AWIf#(=#gPT&v$SXBb(p-QPCy3Syr2`!eI~yr+ z4m_%$Gt^XZ4%s`|AXylZa44;zuktsNpNip~5m@0y;i5YwE(#n2X}iFC1O}9*;>yAX z3Th!pX@jVRC@uFNf2*gU?1N+}>ebLwuGCQA8c2?!UfBG}p~N+in4(_Tq@AszAZ#F0 z1Bk+p(lvm(7b_?!{2)1;dfgL?V-*wx4y5oiq1qah+uKVhE&LEMMQOdzlTb`aOhK|Z z<jzpg5soIH3o<wi^(+i6!S|AowyXQ!i$bF2?+p>Tn9|nWbgM!Nk_MzqF@-H(Ff%hY zF$XPQFfp_=GqFHBs)%5JsEe<FDDN8~iVaGK!_J50QQ&<@A%>{@D6MWX#d9fe4W!h7 z&&N>QbLXzhAwQo&f(Ev*#Q=1}fhp)3F#|Ibb0hR)I|!zX;78fSr3?djDMe|)5EPzG zzV9JLCZZ2U6~~a>dW9EKu(mX|aSIaG{FDY|Q_x0(^m`ENB`D3puA8zbDZwGR#t1%f zNNEH1^JI#g1Iagt@}1HmrA{S_f+7Xdx`!7j29!=?&N!Ayex`y14I-u}4Vt!Z8I&X( zh;xvtA_{XA*g0h9aYzdm;TlTY1xF5NP>^mQ^F&B1v?!d7Po7JOYarzx^{VOq6X_I` ze~<zP5mS`*HA-fITtn`<Wk?GSJ{wPQ4uAbHjr<%AnU+CB6s3une_0v@i5QZl5L=Wf zt;8?sq)}4dK++9Tn}WjfW;Qb@aLAo~fR}#IK`2T`#Fn?Gke_ZK%`L<rvx%vpsUa7q zp%MJFQqmUxWUWdj-!YKV#t^>3no`Fox+hay+8|s*X*?Z%lth7RAYp@uC`z-G`tBt1 zBMQ<GMGWdv+VZn1r@%3gBy0lhNgG(2n;Dyd`b$RU24rjmI9-@TTz?6XFeq(?x8F>p zpsa;dL)2R@H&G&y{Dc8Xr3lARnlQKv63BN9BxDdB0<4Z9Vbb|-MjXW%7-{&8!b<4g z<QPioL`W7!>Puo<f=WWq_Tu$uN}L0!6cHr~rN!HCxhV1z4z=40EUw`cWN=820I4TQ zVZz}R52G|_5RRd=Qe3t>lzhiPGB{FSiNcVP-5pFp28Xx?5j2!0;icBW<OdC;KY-Na zrEsP**p%|1LG(^24Vuj>f+&b6NF{|>@J?x8$s^vMlA;e%62oT#42;dpEJ5uT6LVv8 z(q{s^w)zs+enC{yl*WdCgb(=%6{Fy%Fb~H(@*>|c@S+yFu!Pc<-TXW+N>T<Sp~7zB zGB&gT-IdB|Xr^ZfI-nQaF(c&!?<>JxL>#pVyX3(DcJwW!y)cn=o|FUvB!M7W+mt%z zl$R$3l`&*w!w^1KLaA%aT0F>4u8^LOA@nvt17j0QV`K0_Idd~3OEWG;@O6$@SI1$y zf+M2X!-&)yq#&1aKnF4?El1Alx|1Ikki3FuKw@p|lhB^sDC9=IV<4#nG4D_5;Q!jG z&XhU^G5AkulGPD&rX)W@A_|e8DJ^3kPIaUpKSSC{)N7ekcsWqu8pxy(qGdwqJk1Ih z2g;)gb_zY{d}q+zcqWLOj8JZ6AvhHsSZs&$6nc1Gp?L0nb(byqc?Ht*gOBMCtYaV@ z3b<n^UI4s5n}U#m6lq8u3JS*yz>Xn%&JNO0qn>Nd-msw{+d@(eqVAzI+g>|vNq!QB zw3nziu;aVVoRTsG(z~HvOo5$4cDjM5VrY|v(z=lMj1l=U1*v-wH6f*r(O+apK_Z4X zCZS7RC~ZJpl%>QqkSqnS6)0XDz4@>{`4I&vZ4d*=Sm&Kd7)VyD(W4-uAdLjX-c3py zP%Y<mDbL_YqX`s_G<D6;B|oMh$;Jpe7i4H+U~FIlx-8t((%je*yrLAT!%VQj(%qv= z+*k_I5F~}mHH44qP>?hrg)Q9smd2)*;G2?+EKSV}j7eQoDl%V_IPY6htvl=#tU-R{ zLux)mc}i)<crZbog1CT`r-+^frRC|XpK27i2E7QQw6D(cSe1eiSV+qjsZl^-uK8CD zat*l)c_0NUqJxY%9t<uyU;`-xi;c+<DwGtckc5LMQZT1jNOO+R5hV(u3f(o7Hd3N? zD^lPZNCAh);*`2(e!4sbnF_tY!Ri_k+64z~<tPXn_`nLZZA)nu|EeHEepw93Q%E_S z!tNs2F=RLUAuVlu<0_<OsWqFWDbL}Eb&Ock4GCkFjK-1_q#JlDhNT+{XJC$MN>G|^ zs24P@_r)j(8c01wz0rQKYsfuF5>kaz&oN!yBIL&tydVZ&*lcKIVqj(fz91AdN(j9L z4pH(E+?jt|L4=5@Dfo#?uuGFDZBuE+3sX@1LrN8-6+INTVs2RpQBtZv@-d<#Mro;X z-du?M^a1IJAu4K0D<dXLK??FQB()+2=qL@ESa$*Pg9b7Ng$NqVL`+&`6!?OZf}nx4 z@8GK^%`Htp$0!@=nVA_FT7qwxC8fta^*TFIT}DF-_^u&J<3epJ8zs30k~Cm<D;t^` z8yT8__F)<r7+IQ-++}`e%SM#{jS#~(lt%xTo2-=hA0GeEVLwWv<3Bqq1w|^n4Lc}Y z18Kw>!jmfIxF2abmAUz^AqAHJL!t_?x1G}QjVJTJ8j^oSHKZ6r^qMJ6I1Up(QxZ7v z3MU`D0>aGPz`~G=!${A_#MHoq<lEW1w!Swc=8kkj>cz&*A5SQV4T$#<qfM07w||O3 zuAyv;3%=eTX&Fb-@3A5I_s2u>4eX==LnCuD0|U^Yu#thOxe>`X1w_xhPgK${LQGyz zy8J^k=Ds0?w{=r5Wh|R;mx8!}q*Fx7ptRKJ4n0Rfu7OwnwctX-$kfCFe7=~0nVF#p z-UU>C`i>H;5}Yuoz^K7w%D>~3A*l<fAa@CY%Pd1fQzJ`5(8^B>BMSpdQ&NZPi`h>R zmoQ;D!NA<u*w7SINSc@$Tbhw<;jOllL|JGE@6KacPeEEi&~$b;1&I$*5Fi!V7*kcG zxkj^V3&pNM^w$iHEg&1048iwglX}(HvVWT>$O({!mjS$~LutM|oUw_L+8ll0g~G5A zGG0YN*gyte5MhJa?jkMOtch7fN!UPAF`_`HG^zw57E%y6kU<bcZ<bQm=$6l=z%`Jl zqTU$U%nftMuX*8#m`a_Qdoi;p2pYn!AuSbqO`Az^;YYoQ+J1fp`4I*0=Yi{C0}E4A z6L8<b!pzv*jO4SJHa(q6T(xLPwT;dhTc=WxG9Ya-L|jl<*gk8UKtaNQjA9x<S8y1Z zfG_jnFw`@)Ff=zOc|5A5tB1I_pjtJ2Te^#asDLy`5ogv@7!`Zgbx=~%K#B~+!B7;s z=Ed<g3W5ew(I66rxrK!Z7l)yqnSr5&0m%u2F|>iWgn{U)V6N&Ut-ySJqK<-m0jc%P zV}-!?C>WTSn46k|J1wS$#>VKKSc3B{clOpATIprxWtL<n=44i-#wV7hWajIalxM~# z=jW9a<>%z27U{eA`?<#Z$7jYHgHA>k>SP34NMR~ia4wttk{X^OVH>nCrj<#HlYGN0 zN-_u}QV{bB6b8)?h71Z)2)qdosm06;%+1U|ojU_#V-wOd$lq0|#AOiESSlrq=}V%? zPZ*HVNU9Z8d@hj`6jhK4)qq+7!=)cce!xIl#PDL%z}Ujbl8Y0uhl9z;l#9vGfcQhy z6FGbgu^gffDoY#@hp1C6CgOZtDToPpc~J~5x{ORs%uxn-OhCPC*upM?rKZ1$v!RtH z$fx*9&6LEFM5oG<R6`-?f(i;tg{!ZfC@2-EoEE{3A$J7>yb7mI!1#1KP!KTiq(L3W zR4lQhAe~aV__8`>O+m;|xe<D=$()ip7M_5iy=V$^(|%DiN?ZdE8|pNM8m*1VFWTW1 zK6TvE_gtTRx4^q?(1sc2Fc4`CGmEE6ltdGxVP;6J8u)^<Jo!Nb8C}5Brz0(7q)*9F z9x`}b13p#&RwWW#>%8%rEG4diCmZTy?vg)Jl(>e<8ES#3B>AZZUeHjddP=euqo8_% zWGAY%=^_Q$C~yos&7^|cbOy#ore<86rUrTjV8F#>XvD>cxD|+C67CLWG_;ZgcYxzF z^YTke^fU7y{o$Z!BdU#x)!zSWK>lJ2co~Az&jwtK=I};5K|lY}{9}O2&xV%NDmJH^ ze>b3T-WuL<F*Y$XGX#zI7@AsGkUkJN=gTJpq84-*#tKzJ(v`WnG58Kq0|Rq&V^dJ@ zG8)4}7^Sa(?KF+MQ{Ed`=@aTJz^|T)Hja%ph!x5MTWw@$U||VjnH!iG8<IQ#y-WC! zft3n!f_6zPNsRX|Es4*}D?#+pEMbGJ28NbKCKPoF>uoL=5bhMhR@oUCnOhoyJ!olc zY+z<d>P+_m)APhdra`Pw3Md>642;Yy4Uh{7MoUnH5?#$*n7tcEe({M0<rk<e=tU98 z7E^edA($&IUTno-OHj0t5!^2rwwQ7;nh<ZxJ&Vn_Z864eiv<@W34RIETZ`KkgIJ+5 zaJ(2AT38x!aT=TJnOK+`nxU`LLdj*=mR!vLxzfN&n@}!;^~gb2yTR=>Glke|X=q@I zo&pKlTNu2I6npW^E|Avv>kFA;K<Pp#q`<edga(4Cg|Q|2VpoEJaI$AIDS==fD+C#Z zF@UTwHqbLLG%z$Z2PIxc#BLXY)+QxSG9Z2w#sF3VVB{JzlG1ad^dy|=8MMHKI(;z4 z`<>+1nDCx5uF}Yyq;?Va`wrYbHbdlKOA}}!GPf|sD9Z`Prf+K#DT&Aw$zF4)y%q+> z7T|1zv{Id*y|s7CNU_%pcezMf>C2LojXP8=;I?4YSER*Vj|?tbe4>r;)QaXL<%w5o z(s202CmOUe7SftAFgCY@Hl&O#3=Fv#!37Ph>LnOaXH#MgtW*dV@%eeFu$+z&c4nX= z24*3_T6k53CvIPv<BlOSkk6=N3;uLKLS4@;h1)Nnz6~UX3{4C{n?4NmOw5f;El6Gm z7&hOHsP?7>tbJ=>4l4FJ4D^hQ%`J@4vo661x^d8nDErKj$_hg>NNsO!VqrmYZQn3M zmz1)?1ny4*OLHUCcC``cR6bZDA(-}E_GlB)tTu`j$^o~g49tuzA(O&}#ulc=pj3}k zB%*{Xwk`{Ayeu}`K&_|v%xKft_{@07d4B~E+aNWnktwX>%}CnN(XLuaJgxX>V*{{- z5F4R28b;1WDN0a0h^@8wD?$`!&Njl+@&%_*SfmlOCEx%b4qJkv4UOU5ONd{PLeH3_ z@*_Qn&%jC#xs&G^pP5%sS`wU?Tac3)@97lk29C45;*$8xc*r_qT)_ywRg7p4M&97T z;X$8h(EWPQ#As}6WW>d3X{l#uX<-2xlR*haf@z;|JGX(AB1X9n?lDIjg3^W&cvV6& za$-b`0x_c4MljMmc5)e5NgxL&Vi3rZT4nxu*+2T&M_qit{X1yD8CjTEaB&)$=$RTA znUY>%e%N_OA4|Z2LeeqX3>0wY;DCda{RRdmpiThtgfMAklu*bmeZpOT<5(eRsbOet z1oEAso|&nou?ctr7O9XTm@FF2uj^Z>f;@vV2MZDi&o4@eHZlhJkeW$Di0P_6uB2gs z7(y{IGX~$AVr*_~Ze|Q>N0HL0dAH+&K9*Jv+*{yygOr8TN$__2&f)ZxajZ}|Bv)A& zfzD3?4Xs+3VeAGa7+3Sm&+1$0g8YCIS7@t@T!VtZi;N&~1}&l>bqm?qS%1SZ962p0 z+7Qo33TcH#hsG}4w%}=HlU7d#Ox}sZ7N2NCQ+U}#VTkch*@nZGAaJ1$O?8l3i|ly0 ze{vO$ROb_I6e|R^ghH=eh@OVSk|59+EA1^Yq=!$amWs>POvWAa2(M5W^N*7!;fNHU zXcKsN(a;i8c*_ftyvz~pR?>34^xp~k1Y2I_vGJLZmKS90By|$qeWQLHffy7GKc*LB zLX5<wP};n1oR%PB3KEE97X<h$F@+}w8d`!F(4jERb@sR6$UZ*ERShj|LGM%`C2i7L z_G{v+NlDr!;C3W5X;bLIS8LL6q&gp@LYsz`7$SP@MyBSdy>>&AdhJCmo<y{WO{iCM zo8d@+LE!cQWWl(Bv5_Hc6wU-=PLW_!?qQ{YJ_!rPQQC48M%qjsEgb&yi8eHVmlYJ+ z@_mjf&h%$NElW;rlE-NY)e0naXKCEwWf&_|2x;0I7+Dx{aT=NHf#zUMjJcSMjJX&s z;nRpHDFWMQ(LXh5yd5#<_ze|{2thvyoS|n)tte`}EQZq(V|rL(4)0PL7#drkmP5v* zE!Cdb&P+r>WD46;Vqj<tT4Dj}?HL+apf5W>Nf6jZ*A(U~)FWI(fO><jdGVR?kRk%I zSHZx*&;qg%-Pphsbm|UxSO7L4g<><dq{!65rAK&70MdJgj<FaR8G{C=jP*<`&5Vpl zo_B70VX2E{j0I_M3Q{-bLi3t|Im)7YM(}<mtp39mvaZj?bqNo#n8ga!!K^bj1hb3` zEsVj#invy;V6#mia<h(=2`HZM_1ZzB!k|^|h~*>(u|lO_TMY~hK>H^R^bAamO-;Zp z5K=~Xyu=slkT9oj7%P+wv(^Z*xF56*%mh68jbp(MHgET+nd@MQQcyTRhs_{SS`D+z z&;&fXX$b=0_$0-XMkdp=tPDVg;|pYvJk)Z?04{j>m!YMxF?92nrJ0$90jbMp7#J8B ztfW(tN=r)e^NRJ8^Gb{i;*%4LiYnvd4Z!7f66h2P150Bw&>1?0dZs337Um>R3xTbX zz-moiyn#?Lc!<-$(%jt85M0Vx8d#VZkUTd7wnZHjP$&VJlA4oPnVeUG<}r|^#(I|K zmc}MfOD#=|FuaCR;9yHD#99g|a$uGknL*o?mX?-g#x(OOq+o?xYHS9nL_o>ZoaBvy z#QGG{%SBjfim=p@<kFQ`OCcE&$x<^&LIQggEmu%2PEEl%qyU_H%`J?L!9KOnGc`6b zC4Kb+*r%A2+vuqmlwY9Dcu?5}D}fBqvp#X2B!1r~#IG%oeV-tkp*;u?ldSNy!pNv- zgTZdWzVYcriIwq?suVn!ZwSdo%{ZKaGVe}Y2w0Ni49KhrbYL7D0$hd$pvDrI&1jB1 zeTU)<Y)uw$#1mY&mQ-5oR+O6RoL6EXRF4$v90+Ss#*$I2#aVEf67oI5TF876By+<X z7ogL#%=Ih{%?&_n1;}s!!Nvvb4njoZ0;zXy0J0wu0O0jwL^q|t;f|T%&{APaaB_|i ztZ9m7AIg+7aRH7wU4?F6N^nXdE(^^_+M@{eAVCXLi;D7#5Y08z5VZtNUXd1}1XFTB zyg__sUc3QlEC;#@5S(p@%tAQRGG@(>7PQ5wIbcg+y<W7~BqMN%v(ga0+7Z*ArliLx zah4k4u@u}yB`sEov(y-mrDS9l;w&}6V=1`DK?|Rn;<uE9HLT!VN}Ny4VuhL*xj2mt z^-Rr;LCr=^Q%gM)6C(>_^xB``A_uUoW<(w87zrwRqfJ0_>4uO#K?5V`SX@gJ6BE$& z#HM;?1}3KTa)J@0?rmh`;xw_)GdHlbFfs+N<~K1gH8lXoH&W+|xNtBfH5?%AYOov3 z^~?>-O$<QIO>;d{BU59Hxp5k~0a6o#-C&?+Zen2oS_5lhqGw=cWN1KM4~^t-fV8?n zZZI^`Gc+}~FgN4kG_uq)Gch&B=+)3D94x_&a8SN5G}ALPv@kI+=i)Rr&@(VLwlpO# zJ&=+wK(l-C(0tLt$i-=BtY-u|K@qf-!9dT{($td71Yto`qAV`W1!qs>6>?x_80eW> z8k!n_7NS__Sy&pHn1V}vlJf>R&VXc(W=1YfBO^Tn&`Ap>T%3j$dZs4ECS-<&Iq9K+ zaD|D5o}sCknK7uJZ>DEv2D$+Zt<OSS;v~%#kRlVDJV9XrDy}SyEsY?Xj|@$W%#6?n zR%zi13vj^=cZIQ`o|(C^g@FkdsPZtjG%yBT(Lma?H@NaJC+?0V%-W-ok&6R#Z@H<3 zu_>q?u`o9_Gb678Bi#+q>H}0<fa1f<&;m5|VQQdf44V5uAD$pCKFmlC2}sfeJHlMg z+|<y*1hnVQT+h_hfQ(~^!O=mwBOnzB*bxSL<`x!)pixW{V?AR7BXf*la9V`~qy_;w z0$hWb85vVtgODB)kSYX}M-9#NEG>)-O+ZzMp`MwMg|Q(xYmi)Ql9V!$>yTDh9byLB z<O-_DD5yg$iA$N-DiN?dAn5|Mr`nLBN`x$TKr#uaG6UBlCZ?dxMU>Sd7G#76!Wp1y z#M0OReDSrJo|&bo0mf--#AOo_oq<%3fI`E>LeIj;!UPm6rUrV3#s;9}rX()x0T&r0 zIs>U9iNZbr1<op@^|l0xlXK!d{hdPHz>B2x{e`G$c1j|O*%FKrj1mkGsKBJaq`;`a zsKB7WAhej7LriEMGZ(jWPJVK>f`+e0l_nQ=Kt^J5szPu^W?BgspG#(0W=g7pbAD-F zNoo<7fNyC|NoGM#C0v9LqSrtHqLK^BH$>wbq4AB;_$Fw4Q#8IAjL#L2U!Gc|;F4dS z$Hf(voSd0gqM#A331%mjR)E+-lNq@Xqbi16EPnZUsi1}rhZ%gMh8Y*50T+|00pzR% z0}zi9d1x0hzG!M<0giGD&|!lT%&@c0F=+)c4QA7I3hQjGB$9Ko;|=veOW*W!bCYx9 z6I05-aSRE6?4$yd0)ql0G-g1t1HquUViJ1F#la%<fQw5wI5RyjF-IXNu_RSN!?&bJ zzgUxtO;y1oGd+Wg%`Fjha03@la871&ssfb93XU8uE}#7L%w&a-%7Rp(c+d#~paB`k zh!<$0z|xY7+1SE_i`mc!abXoomPQ}HWzk?Voh19g%t{b>zd$f}acLSCr=cY%oXw0N zhf<h=ZR27x1aHTI<r9MDukJcvW+jO-c?Mcd2H%1ch2#;?9t*H7hFna>=3Gohh|}DV z?O}IwQ}785Q9wDj9^@CNOWbBwoJGYYdEi|mLUCN2h6Z{DCg!G=;LCH(4Ghgd?M0MH zd1RBBv4v31RTeWV;hdu6ob2Shl4!_CKDb4i$;Dv~YMUFGg0EpPurxL|0gpK%Etn^0 zyr1G9Q!9Do2yo6TiFZoQ$%c+vfUU{{1(TtPv7xy+h-F}64mw$Z$r$$uA=tcfQ_;lK zN*UQM@PP`Rd7$$YqK(0uQ6O^^X<%E7%*{Z3ViPkx3rk}Q15+-rg+#8c0maCM2sKkH zDU4xy__UBv5!fCRLvsT|aPQN?z}(0baz+J?Q7CNwS}&eqVnuiqDmlj)Gy#zVwiD_% zQ)4|t12aR=Ed}82HY{Ny4~Vm1O>H%aGmWhju=~w557e&(#~gH>2KhVMK;cOAjyBj> zKiSJrK(>*x4225QNFXc0RXV}Jcf@>-0nXa6&45E*sL_Cn!&BcMTvl@NfLOsLiFqlB zMJZf-E=8GTsS3d*MX7n|B^g{?o}kTU3IUmU#a!I}r6mQWB@hmmQ)WtL5roa}>9649 z@9ycW5bPS{;u_4w;pwm7;_BlV$;AWOpr+vCAFdD(9t1NjB(*4)O8{z`XKq1iN@8Ae zDi;^HAXo59%uPkDt+7@LoS@7Jt|zzzp+>ppB_`#hDrl%`atVO!P;kjCMu>o2f+7Od z=98b8qM%XW2B}}M)U{l^Vadsfr4{j+`Jk$oOE4@sIbXp)Pd7Ouvp@kXB;s08l3E0+ zxj+_Y<|US7=I3#Vf)y$#_@|{o)q)fYO=jX^@(AMM@CkGF^$#{S=Hk``^-D}FjLl3S z!v^5Gn#nJpi`hRdjSIx!2nq>__w)C2<zfMo!k{WRzqACY1XVz35O5kA=|O8H=3ti~ za1{@(K8R$Q5Ksqk2BZmLU|@jRT!4#kz*+E%R6rsM@*0e$d(7L+tXP~v{G3S+73Rp) zVlMPx;d1uzHZ(Bs^@u_Z4K5?Z94@rM0Cze8k3!X%Q7=Gnw6S0TVqwJz_l3~x(X_%T z!3hf*Gb^6N<oLvt<oIIH$~2)l=%E8j_25(v&OxA_lL0vU!1EC#+42Rs1ciaK6PVy4 zoSRI{^bCzGj4Uj;z_|(0^u}yZqs~1-lMkdNYG7ahZll2GwZXDRriMmPhk^MZ4}fwA z4vcgm5wZ$!7~Qlou(o1POpZ@V&dC;9K(F8dZSprTwXigyG;|CM3^B8#A*g%f@8^n; zLH0Kq8(-+0i1RcDr=XNXx~CuxBRxY)17l-T%7X~fUjwBQSmHA@w4_o3N`K61X~mJ4 z91m{N3(ci+3Nnrd)m!D6Rq+N2T%3l6deFWZr;#y)X~6|8p2^Q)29`#MlmcNH8XK6C z5J30}=={X(R^S|#3(8?LQNjl_U1?|p>KB5_07l5bH<bz?wCbFK;4#Js9s^@bYGtwR z(7TpaEIFwu#zLcEgD9H7g)=;COh&^7sVRsWHm0LtgEMT*M#BbY*q93~MJbC7P08t2 zse!5|v~Cqt2x-g%wQs>;2rKcyO+$DS4pQ}is%eT?kQOW4R79DA!NS+kDnGN@#EJzp z`iGW=j6ur?Q0gpjwFT;P!W+$qUKXx~GfLnfoze?;Hl)=98gVo=F)=VOrYJ}drV<}G z_}Ycw@fGIc<eUKw8)IWVOEU`t(8c7a{R1+S5LLq_B~fS+O8y!hwqPzUHy#ZXaDfc2 z!og!X%*Ev<qk)1MD5j%<f*B}gqk)1MDCXFwPr;(_DQ?7MH%J^b(G8ziWdQRaBxrJ# z0X!WJV!<$j0+Y}zAr1zisX|=*ZbhkurKx$zmC&hiHmB0GwA3OlamZ*qgr|Tsw9X4< zLuP8ZxSUdR;8U@|nI);kT-=bES$z<Xiv>I*dyE-naGKdq-x0NW1{Ps9F*D#|1})Ly zFxE3PvNSR^;sQwsWuxe3aSC;F$Ew}b5~SS>w6D?71boplNIUwZwgQ6&lWDK?A1f>H zxF2*P8`{h>6e>Wm3}gTnyFf#W;DhQxm9v2X*qK=EiPk!0VI>PP9L*lYNQh7&ijAar zkIg+NKPfQ>y(y@`s=;jfkK?d~l^Ckc;HGU-X&P3iCYEzq6LRWmCKR{g3LWrvA*6<} zpT46|3{g743r$dUVs$LI&4x5ko=;WNjo9ayTgjk?U3_L9(rgP>M}f5=Ich&Mc>Ow3 z8o`p3z)KRCOu)DJnHd|HfQAS`;gyN37iXd{G&0~~G68R^Hv<84+(}VCjuC5;HxbH5 zwhC9A5*stoT3%RFJW?M4)j|^dhCjVKV@vNQ@wn1^s-ct>w)Bqd&>j}#VM<&<gDts- z5Tg-1O#wC)L|{!*;Dinu(gzPXf>xfuM@?}B9MOf-4~Y;9Y=xjPD1s29vmm!&Nw`E? zqbuTMjxC^(MuUZNkb{d@kKs!WSFNqhu@ryCIFm!owwYMdEwU?*5><~uj>ttV#Dq#w z3MgWI2nu?v$)f(_MoTM2)DkK!DZjJ?<Ugdkh4!@>#8!|?z~lNtS!8(>UsQr?GPJrn z4dhR(A)`H?3nN>jxe?raf;XnXE7KsW(?ILf7=&iYaWD%_m7~&Xw0zJ?coSpr5+M#F z&=nXaW)@ta8#$2<;z6oexG)x4F>5fHmRe3Uv%-1Z3(E4O0xnKNGd&9vLle*$tcGTK zrj~}LMn>SHG7yX3kV-l1HYT*jnqfJtv;_6`U{}yy&sZ)F6FqZFQv=We<Dgv#X66<K zko8bFu4qDEs08xj+vl2QRzk=NunOW$;xqF=>qg;YY?Lg616hK;3=Xv4+)U5H$PBc| zio;CL!r0K%(h?k2h&5IOW9R&nkET{+?Kg+4C<7m<Vrpt)06Cfmv>@8Rkc-*S%#@4C z1hJ$H*&SFH`hk4dy!O4Rl?vvj8t9eJp?R4l@rL?=pm-|-4a4EG)&hP?6j9dJ?7L-Z zrHW-0HWqtZ89@og(%cwyUk``5o`JEE1?W%^;@2L6Jo;zebyF*AOpoRlq!uNXWR|5u zy&4=8UzD1d;+T?Blv-SjyhgDet23AkabNa;E#1C&bI{bv1dGqHIHb5VHyW~`3%vd< z31uNE;&^6A)e2i~haCP`3y0Nnx0_mt!Pk*`hD948wg%Nv#gpeOSDIQG6BXEKer%&k zWdD7%#MH`$xIo4c+i{@HNtOnt=AbceBMUtX6C)FhW2gv*^}f1!rsykPAsY#5K>bbw zQxkJbGw|A4@JP22=msjJC9edF-Lok!rdEa+D<{#4-D2=^O~}Cw5ZjYM(QIrCDuqEy zI896}jEoFGd;F0sC+NAI#<HfUXO}xU`*_E@CFbOSR>2CDfC>yVOA9kYQxMD4(%8Vz z418P}j$5N}mQu%#uQnm7xPa|;$8Ib3TQYFkI={otgqX6?C{}19BPbXRO-xM9z{@Pm zEzQgg(U0>X7@!?$@+Ma9nArxkPz0TalAo8FkzW!Y92B2cT2fjRT#{Il3R)+NTwYCr zy2;qkgaS7)eUUS<^1zan$a54ZpbYdZEDa0{z$;VC3=PbT@a{;(R)`kv{$xyHKq0Nr zZUUbogOOw)#VV^yX>M*M_D0VYulL4Qt`vn0s9=u=T|x$mEy@Gt%d;8Al*SfP$bhzJ z8t9P`GOEYgjjdcLNy+elfw%#q-9b2ZJY&MC;s!(TX$u?%dgQu+8Y#pOcA<;8r6uTG zL<2noBQpaNP}jf&=M`Sqvd*zDYQ|QI$n9pAc<^ESc_q+`5+IGt1W=J}U}k1$201{_ z$k5b~blaAwuozp3qT1#II@&+4L?{+)gQ=yt8R*zWOFc_-BV!XwE+#`0P(_5TIfJvL zKI^r^$ch)<qyZgYCsYeI-q^&_7&3osZf0a@#>Hqs^qEYcR%1l5hmn;rq52iHycBfs zVKig|Cn)Jseq$)edh%`zg`I0d!D+4_%SkxR73UU$ZC^P#ScNux<%A6Fqb}7)Uc--d z**<hHmrx-WvrlLU>Yx&<V{v6(G8d<*sh){}fhp)1J^0Dv&=GD{2~P0X_KRJ~=2p6x zg%Oq(cO-PDkWdjGD@`o*EX~Y7Cm0|WFQ8e;@;%PnN)JoTfX_~R-ZV1=omXIBVT3gJ zie{&Pd<^m4gzUY->rIfA=7y%0hPbV4x)V);H=%aM;|W?rQ$0gaqu79p)5KiQ($v_{ z$PCS!R@njOR?^5t3VfFr)B=3rXkn&jX=-K;x`qf8jh2>{mgZ;{9CG(1J{%#HCEgSY zvd0{9q$MncqWkH`LU-b=g!I;g;&2Behozpeu`#Hgz!{3If^N7%5xmXV2yfB=d&$zm z(inHr_|EA@LehZxsQ{0kO!X`b%uJ0eK@DwFGb0lV^mt2-vmn7na8V5!y2e?waG2^D znVFb_Zo4tm!)jwrzd7;I2DK1h!2&vd!oUJ_gdVJ5F@x=uft6)*4UI|lq#@qa2}+Vi z2BzS1Kp-oL%+OP3=3XNby=jOy^?>pq=<F5HSr?X&mE7oYS!^Rof;WlGgq8*d#^$(F ztcAWP@!o_C%Lr9-u{k>i1x1=*ubfOQ^~}vo&0s~SA*k9wD{UMn@SBq`9t7^>L3ZE^ zRS~w_)Xdxhbixa$xoBiyVToqBMk~KL@#8eec2^R%+tAVibi_3%ftg#FVWi}BS^VZ! zMnqPTV5^}8Qw3qG4a`gpA*X>ESQr{uTA+FRVl=<Gl_7Br0%V&B$F`ZVg%R{{T_ZDN zV<S^EoA-R=BRRIg-Zms6w#`6iQka6zyEQVkFfuVjvwZmrK2l>FYB#<r+sI7M%*4V3 zd@enXDtn<d53b4vTJRU+F8Ddk%=AFFLK}nH1fX#^3v+YyU}aZlHMi0yGA%)yc$Pwh z(y*DHshI(27zcF1oSCJuv9S?ah;F&eOimg$5h9d^&GbynjZIBpUbZwdME7#<OlC6D zunFFR8QkKtFa#fBXQ^jkW@2E1UKcF<!enlxM_kr{1hkQX5WeJNX{u*wU<O*egR`yT zxq%T^@_`n^c$1H@o}sacfhnXJYhr9+VuW5E@HH@!ntY55h45Nxq-SbmW@HYj;tVZ~ z%#2OY(vFBZ>6RK0iav8wGfU8=gP^lv%#AF~jL|Hu-p4><^ch;>wbRs6&&b%&(g4yg zF*Pu>G)K=Qeu00?NK7&W0@qZ}z|_dt5^{i=iHVW1DS9(0;`eVe5(@+)BcT{PrI3lC zo`spIg@Fb5Mn_8vOG`uaz-`+6%?#~U$av@>`kC=Ycyj@$p<rxcYz{gi9Y-O`;PuW7 zN52Q!{vpt`G%+&;9j^guTACS}m|^sLMBbhyHJ<SI5=@QsjExM;3@vcCx!#{WV@7;G z8FJ$#{^qQa9_aQ`Gf3KitffNB1DnpBHY0jC0BU6f?vw$Z1~xD<H{{~9G}ALNGqAu2 z*+cw?&8$RGhNqw>w;1EiT%c~2rGY8vUII`n3hWy+&qVj`CLsWcC@xLR^h^ycjZGjK z+S0<<*aE#B5fr(V)XZgQL9n*9&@(eJHZ%lPd6s&H7RDwf=$ZOK@_I8eT8zd*(fC`8 z#-@5E#-@g#7&I}^GX@<#gPye)hpacV5<!VU=rs}qt8xp_VWh^en!wz|(h@y9v)$L5 zk=`6NCe$J{Hq^7QG%+^<opx!gXJlk(Xog+~rTeZlBeDGtPC`b6IzAA)O^uAXI8AWa z{nlrt8L1r~sO1DYKE|ec#-O{+AUC#|7?>CupvPxL|3Wh>V<H=jh%SJk0ik4UY^rBu zY6;qz&S_$)XJTMsY=Pc#tMp!IW@SWN6AHy@eC0dnuw8RdS;56=Y@uglYGi1Mo_*wZ z%_XsXH#8-fx()P<O)U(~K?6ZXpri22F&e7x&F7Mqy1@e_CWHbSlv6=#mO$l{5$Jqf zQ*-pxy;gB9iRA^<YJ4p_QzJbi3ky?INI7M0Ze(tXo}UGk=9pRO6BWUr)eqp_ytz;b z?ka{8RFj%mf=(j?r7Do6Cg^S0J)dWrSs4(QqKUQDP|wWL$O3#EsHL8{xsin#dRksN zYZmFYTHvq2K|{b6rl3v;u1e43)=c7Sa8vN&7QC%>Q_%RHi7B|@4DqN1dhpKLF_Yvt zwZLnsk(r)_xrqs=ayK^DGq5nWG(ittA-U-!SG1;hTNI##WMBz8a2M1J1UD$qi-~)C zrjXF001ptD;q|Dgp`N*kff2}~pk}VQk+~tdotKiQkQ};}_;ZY*9;oSJ32IP-qtx6G zy(>H8&ScWlE`i<<=n8uS&>8s9;5EePBs{w_iPYdV#~Zvx=6VJOCgz~ko1j|P$k5On z-KR|MlSocSW`wGEaO2e66xy#gFfcVVMYs3Nmx*RpCd3wm;JVHbIv`YozsUx*+z>XL zYhr1HKDK!rWVtEP1tN;=>G*9oGSxFQw=e=te}Gc7ftjTtdU@emKG6)<k#>kX$nf_# z;im|3nwaSsn;09IqIa!ToF}67IKeBhGV@A6r$XY-AO?EI=4R%mknuuub0afj^x~(w zaRNyhgqX6xK+nj`)X)ra^`W^rsI7$7qmeO~KuTEvwUp3+nx&pG=qgLdAP}hBGeU1I zEYg@jMmxobU^~T9&)C2OwAL9~Bp6_%l|#xC$Z4k-31tyTrY3sk7AA(EIb%?Mu`o2l z=*l&p>@%}c##r9~KUESsd=YOzj9rGt78a1?WNB$(fl(R+2NP$PAu)Ctn3;kOYzO(v z($W%RL{GzmIJ<}nL(okckbykVbg?CR!kO((oL$Dm`O6SgXW?r3Od--P6JqUx6`-Ij zftC@8waXN5IRokinOYbdf_j4Bir509oxbUDFG=MLxWR0O-&XKMff=|<51NCsFf~9g zJ7=Z#kZ!9n-m2IHl-JD+jNql4g*kfPAk?{sl+q3AReT*1(Delt7KWfh(Lt4wxv2$4 z&+i>aH_4U~@TrBKk+CIcMHsk4VrXG(gkJdvyyzm?Qd9iFYo=#lY-wa@1fJqDH#aab zM(@`%dXi)*xbnc?^#Y&eXKVzTMFj`1fvFLCN@}<7A}J+7Z6y$?24-dk(4xT5$i&<T z-K#O$BzYB_l1vC?8qge<AvF0KnHyqsZ9QLflH^setpo}P3q3<i69dqF51>-d%)-P1 zJt;Xhkzp$Vzk+&SrWT-q3lmE{GfM*lj5¬nDQE6&$Tb_(RxS&%n$Abci}Q+h7|! zILi#OmDn~jbQl?b2h7Aw&)f_&2nNnKW~Szb7;9&WwzQFwS)pDn#WQ4KVy<UsYz|uR z5A!O<kVRN^o0*j%(JgeM{Ay^aXJG{18O3Q~2wE|OSw=Jlf&5CGwXpSo=0bR806-oz zu{5>>twA(0(K831q>EOWirj8RA3%m~?ZKa5O!X|yj7`lU)wDVIsx>r|pJcU=luW@{ zlEB1`sh*jsg%N0x94JeI+6L%7_Vc>UBy?km7=tk}(=#wNHMM|r*exy1&CSru*)yM; zNbbfO;jd9l^(+hxjZHy=hz5EVpjk!qPEhYDkflWDM`-907@;;Y2IWXgOH1&~m?3Cr z58d9gTumg7P=g0A36D@48S8;ob%VAYfm+Xo#zvOtRf~gpBWWYlFst#6P@9<QSz3Tr zQE+jZ8tNIFSQ=Vdq9x@@nR-%3s4ehkA7edJGsx0Qa4BzTh%qBxBT#K-Wk7WNLdGYI zg#vIFeH`FC3qDxXRL{`V#1dn;tKwFbnH66_X-;vfiAA<Y6}YpGw=gx<Gcz_b1>Yb5 zYD60tVfe#^uab<y)EIB@nSut^4GiFIcS8#U^!BXS=W^16&qN5HXF;}_TbO||CAh_9 zXkm^%y5h)HPKIYq@RtP!dZuQk#-Mfx$XZh~3ykTq(&?on*OCMhsF9wTp}D0cs7VXD zS;@o#W7ac2u9T$m9b7jX;~!!-(lasvtzZBbKj7jWy?i%PD<OV}9c-l;-hpRhLp>u) zQ_zvvoTlb_W}pQ>=(+!jZ7~T|3b@Fz#2=$ZdZxz4po`Q%3%D#yEzK}mmTJ*OB*!TJ zIeXA(x22Jh8DhY|41IBHf@l#59t8(3fhiNvM2&?Zc=ZTmL9H2jKApU*ki_^TXr-x< zp_wIgl_jW<K=<aFvjrqtX^uD58XM@D85$Z{faV%4^vsQo%`y7)iWBmQw-TCys&JR& zpc5!9P0TDo-7pJt=nV;Ib=LE5d1h8-7$azCJ8(gJHZkt72Tdm9TzyWo-2|_0$7VPF z$rEEs&;lz{&<WL`qR`w3b4kmMt2v~X`=)ryePatf3qvCdL(mnvpi0ij!UR1@bzROT zqueLZ{{(I9Gco{8C4fAOt=t!%mrZ&RjDPkIwA3HuLC7?+C1}7D-Lnmm*(5i2@h`kL zHPACMG&6>dih(ROMlXb`uV#_j1jD~r&%{*Ez}(CTbQ!OSxt_79p@kuO_x@{E2B{u3 zvcOy0fCe26K%<VZ3eFH?CH7mXbW#gpQ@q8Ki6v;+g#~C~0Ho7oXow!TN7B-WFP^~V zK7j!-13eQn&?GXrV{d6;Vqk(kIIX9cMrzm^8Vgn8D-(_Mj7$y8LB+5+Xj7Vzkp+7H zVa3rDGb^&nB?8e3y5<lx7zXjLrKO<}dZsyTnnFUff~x@hGv+4ddIsjkmiRV~Y)XhB z)lPG~$=BFI&)m?^9JJycl9UWA(YuF#lt{MI41e+kO(TQmaA8>naZe9y@6jgy7~+#J zIB3m<lJKP@3q5mlLj%y-VRO*dcS}o*MbevQMw(g4Vbrc50Y}Jr7KZqTGC;jfb3;R8 zPy-v(G%`2CSfBjJC7jeE55JwpW_rd3rsmMQe2q*@&CSp|Fy}7^lW3<A{`v&8gv7+e z6tv+6G?HRyX=;gH%uWmrCb_L^LMQ@FOic_yW8=6YP~n(2p$NoZJsX?qnOYi{T7VYx zn(3KYm>FWMFmpWSMS5Gr2!C6|RL|JN!U8m+XKJQrY-DVK(biNx<Vi+b1poLjI2H{- z_kn<>{0*?h;_oC+(%T{?LW#KZA%~HkfrW)JXh6sk)CV;-#3*ehM|lv6MMI$qJXV;1 z_6Qk)M%yhc^(+l7j4*;T_l-O0bq09hyOB^HZkxf^#u%D{hfWMFu#e4_b-9~aX(8_@ z_KeR2U1b-Xm|KvO3cdjod`41UaS3>+2H!B3k&&Lcsi7fgJCv!3p1FakF~;!PZAW+F zGe5W-CD7b4GS@Q!U0@E%Bj$RR=H{jtc3!k5!A@|~!x;ahf|0SFDQJrlXz0{L&&b%q z6k}ri$bL5x+8!{E2IGrN6FqZda})4FJ##%X6D;F-p=~Z^R{Y=#i9mOZf>Vg0Py%j4 zIZX5{K!-1xgV*m{8e5oR%qn(&a5l4&1ywqki8+~7sqx^nq7M>))<XD4d5n$q%*-u7 zH=2T`3e1g+%}vppOv^kSNYB=mgqDDVZtFHOGy>JI#wL2E=H{jtZBiZ=2NK7b!KuRt z|DrUIk1dQWz~fq$dRTqDx5b|1>InbpJJ5<sGZPbIOD;}hP?=_6Xn<btD!JPcpY^~V zHN-!zWn!QQnwy0#Zp7LI|M<g}R69W}aJ(Cf4K4J{42&&6tx{tXJqu$aON>eX`%i62 z8^i~D*9iX@jERAsk%hUrIjlKliMc~?tGq3Vtwj9&Dnk=J12ZE_PzMrp!>kc#b`5O| zrk4d|B{);YXM%2h*2hwSgLd*j3UHJ7c)T0wKsSb%8(V_Lwv5d|M{rtT3`A6=+L&2^ z3jmZ70d3R=el863V2T{vr4Z;YLNiMfV^BjCwBgm*&=O;?a&xz}nUw~p)WK(IQE*Bk zxR%0OE1K#Vo0(dG>U>iRJxdD{V~jnuQoGFw*NTWh#lK#}$Vku3#K06(D;k3~`j}$c zcXq8g3AF_{u^Qt~ti~34mZnCgpb>pYH_Ffiy+>~O+l<7-N}yJ>&@%)rlm`uqg60-Y zjEvEn;aXcvN%p51zUgOBay3OeMF}l~m@hTPu>s9UC<u3=<S^62H~|sO<WFKo$ki=4 zp&JR|JIBKkwC>adG`47Dp=XFKJ3rfJXlA8Lbapl(kexY=O!N#*Oe~B|z<Xm1EkP@5 z(7e$uVnAXUZH#wG0Eelbsgb1xsGPJk(=#<T#9SZM?5mF>PVmoD85`(<4(J8%$}rM1 zvoJO`MIZFxD%T;oY9-JMGte_Mu`n<P&1&GV^sSc;=`9#jp<F!4&r;9W2(&bai_;j# z==+g9+Jp-!(CPz7$#00aI}2(?n;06IgO(r|=~<c?85^TdqwoZ1Ve1Hi3i|j=a33Mw zP$(admq1rlm>Pkuv;wm*2U!CAGzlku&^|b*k3fkZ-%2vjRgi|Jco&tL$Y~N$nI&bG zIOmt<l|)0E)c8(WGBndOGBz*+jS+&z)Xhu{FgooqdsPVg6H&bwg8YfE-(_g3XKrW) z9y2pD2QiH?26`AmRfx~zknRZHb^<48v5|#=IcT%7F=(ZMu?0pS$W4+2J0X>t1^)gl zXx%>eZedQ)oGPd_gg$pW_qY<_OaZbBnuPF9q8gg(SsIyJm>Y0$8k^`DgHGf^AB&vn zs7OMM1`b$5OQ96pxrEcu7}TaU0&O2PHUiBGnZhp(hYdz{DJz;;DIix8pgZ2<{Yy(g zXU|3(ffwSK;2rWbG|)3QF|o8T<H8w~+<c0JQz=qVf>J4-Ax{p_PGi)w-O(zdO^OO; zc)Vo{&3t%s0;tVu0dAuko9G#s8yXp)kCNN?%9EHA3@!1UU%+9aXJ}+#VqpY6)EIQS z0J@DmCh`Qj(D>)_jSTdROpPr-3*m5OwYoWSBqT#nq{SQKpKk!!WC}X<0W#kJn)N`h z5ZVpph!07yorVOecVo~wqb8s!XVCI2Y<4cxA=#sbCPK-$^BV_f!?d|E=*T1^(AgTM zCKw%_U5{k&rAtV5!`}xm1fAe!WN2j01v=8l)YQ@fW5XlEWf>Bp5L}WN84&Q9iMcuG ztYJ_q(8$2l5M%eviMjZFW(nFqihmiQk%gYIsksH{KoS!JJu_oNGmM6V!hC5Gd<IS^ zMwWP6{qQToIZaGK<8MX==sk_FRw*;o!&iNRKnHYZ#v9@t4>r*=u{1FPO(j^G=^0v@ znPP0^vDA~o)(ir5O`!u~h9(4Bc9v!)W(EdaoMuMY*Hxs(ND{8ZK$`_2m6(wbzHvh% z3q1o1OGEI^0UYCoZ{CR`cVs{<ta$vh>4u<&y@3H}V8X&k&&<HY3?tX5OcW=zbTAjf zGfDuy>CDs+ba4ib=A%ND7~x<8c@7e6hIliN0jLvWWMsw#x<|v**u)6qWRs7NMe!C3 zAe-Wi@LFQ7XJTSz310PK4C>jKVl2dGyNllvP%AMW|CAi4>@hMn0UbqSZlGsuX<}iC zzPkABby4Eu3R3hK;%}+JQZ^T-xheG4U$olZK1zgeY=H^`NNgG74M+n$17l+g(4?`Y zrJkjQg$2ffdwEq6!m)*ac^jx@W@u?*YR1KBZlY&kXlaD8F=bbtFsT7aAo2|K49pD- zL8S$FhqDo8I(#ZAM53LBrg%pwEI_9Uo0yt#apIaa+FLJ3xM_$~K!KWuSp+w1T3A?` zfoB~dXK`XQdwl{0iQ2GfCX~&^<mQHQyAs-^Nb#Wi{kS-B9Kgmb!70HCJwVz0f&h-A zr@*_W4Px=8OG`aNOV9)=7iblxk%fh!F?!?h(q;j?=@MK88{_Y$nCclDS{Oj5qOoRh z*A@X1s$f_S$2UG<u4iIoYycWW1@*GQ>uAw3cucwg;S3IH(?c@2A>Lk*k(r*UsWEs{ z7>tP?l1vNu@ohVYZ8^a=8;p536PllP?d3DGlE>(IdFFvTUXUINp&}o2hYx6+1y{HU zPU6FxBEY>nbG&CDo9Ln6Z-VBJd_`U}D;Z>efDV%ODN4@ChMZhzh(E=Fa;T*xXyyl0 zyc-)ESfW=c_oR7API36>)eH^vObpGzixrJcKwIezFpf4-xx+)MrH1&IKp7f<3VQHi zuI8qoE#;ON`vSix@Q_&k8sT381!_{88JU}b7K7qwQr|P?Cf-g^B^qyJiMOBvt@N_A zFoA5!0p(_lzQVZ`T=<fuF|@f8hrgIN1of7UEJ4>En1F-U7-N#)%``4ED{*Y+je)8W zJiUJo@X|3u(22v~RB369G4dAtpOfSSVvM)LU;vsy2Or&LX{l#wX=#D6bxY|d2cZsw z0p8qZWCGeWU<PX47+dHWn;98k%<bAA;UK|Z-~?f8fN%N(v;^D0#N5=<1aw;xXfGqi zkmAWK4&+_~c>2Q-pP3vMdIn~OW}t~M6C6E}B}^!0f|?NV_=_S?ryZ1DLF+$2r4opZ zR@iBIv6C{!3r@&*4-V(B&@(l+Gz5)(f(ivo0}G6X;2cGE;xj0?zBMFJ$%EEWn45xb z<igeX^k4<~li1CjU`ve%v_8%B49!gpL6(9htc*>~P0?o&FFau*-lLF&N2nySFod24 zYN%%dIz0rvqIa6hhOZ<t0?m2E8{r%N<gnB;F*mUUb%~73^-PV-jZDz}Rc=CpzrbN> zi2qmyLqk1N3o|3oSw%*MphFieFpAiZ`fQ{u<^v~GBmBjap`M|Mv7xy+=;i<e6LZXC z(Aih85^T{J3T5CPKm!d}o0%G$fsQ{hHwJfT4bVqp7W`+yc0(rmQ7fR6V(|^t8R{9D zS{Q?N0$N(=S(=!dV4Uf)x0(fC(ttG3@OLH6^-Rpn%uI~AIE_K8cPudTHcJp*ON=0? z9B*|Cx?aHmd^(MhiJqx}ktN1FX1Xk1OCZ%P{^3+Z13e2vQwvZrWo87rLd6(k3**Uq z%%s*ECYE?tj~as3#T%M~rY~?MbBkllB&{BWrE@$-X@k}Qpxq9IRtcCeF`HS*BDZ)k z?ta2M%5R{DejyZ^1$)0TVORjY?g@6e6rpTnVrXV&YQn{dD;srhU?MIX8RKnF7@Fys z7@HY_hMjPg>d9q{q^1jF0_g%YkYQ>7nie&;1kF8}V4O3!;q_lrQqDvGrwc<feDm5I z26~2O2ByYF;0v)#O${(wG0C(4n&O*u0$YN=#IOLZ(grs>O&~QE#(Ff~dc2kxfom*d zysL<f^bAZ*j6iW_X`yFgXk>`7M9PEbuPMnpPr%+Y!aH7V2HM+W0IDl-twh+n?vE+K zMZ_RKLVEi6t7cO@a|2^zBLncwpq9oanCYbB|4&mZV$b0RSItKFS9pLrdFI9@prxX? z(&oz_KTS#4Di01;{0#yQb5Ip#1{z_u0L}ASnp>c+WwCtmgY*nxWR5pBP4vtxEG<ky zr3{XN*~QC$kP@4wc*kuFjrB~-&B5!BaLslYZ~I0#2jZO}1qG#<sj0Cc7bmWu+_&%> z$?=DOJt&7c=v+ce(B4Ty&>WbdsR4Q$Qf0<B5)-PS1>O#niJqB}kukm{;3p1#F-2Me z4(^m06FR5Q$Ux83*wWGh)cXhBePL*Zarecuz%Qnxoj(rFcost0_*#yjP3jir;JH`m z_#S!|=ydyHYNZaETtiv+iQaOIH;B)SCwMBjp{1Usv9Y-Y=x}V%V2-&l#-z@d`p>4M z9gYq5r=bbn<17u0^vn${EkS!gKu4BZSeTomFI@3E@W~YC1qz@ICV1CG8-dPlH?TA} z<>E9m&@(bOGRN4M_`K<(DM=@-gFS|K=M@KN{>t14bo?4<rLs9_tuk7xDC^J%((|1O zp;TjRVg$am3pNyto@#y;e;_*5;II6Q^(;ZRk{Lp4BhdLqXg+)*|CVrZ2?|SC<wqb# z7@Arbf@(ipeoMadhA6)of^!5R%S=qctIKg&Rym(|%Zv!cnxU~7c=8OFW#I+HS!P6( z&x}nijX<>=jxOoOT;eSw!e^$Ckr^D8?ae06GGiirW(u0k$JI+%M1p0+_{_x89Cw$b zpGeD0i1e8WXhsQ_&-zHPj5wc};`Uh&k(QapgN7{#<uhYb6VRRtTs|YkGGoH|j5?N? zfwxl7$!8$TjPUk=Kr4Mr%s^|u&CK*Hj7`ii&L~>B>^12<AmW-xhL(DUX6BaQBic+s z$LtwmG?6&EUYU|~w*{zDh&RN4Eg5+1-^>Jb^%AbJf8Nh8iEm{>#-<GLPH9-^85kLu zf@*aO&^RgRs8h5$uk!i}QvzGljq!I24D>9GEx`A);9AMsYxx3Sw*a#0j?h8|OA9k& zP}sp|3D7+9>*;e-g4-=XDFjk^gI8kX+goI0q-SJcY6-qk)JP9>?j**x{u?IGO|7&r zTC`}}r$F+qdEg9)Z^p|~&(zq&6m($`u5s*tbDo(Je;XJiN#Z>%fx|-2$i&bXba=Ws zsL>7@tw#&h|I?q5m?BL~gu-xl6gZ4QJE+XTmrI)J85tQEV;rB?%m2*ON*F$Am|t1~ z+mTR?$6V0P3KL6nLoU$Np^>Qx#?evhS)Y+!qJU?u@DFlW=$V^YT7p&*S%5ZCnwn#5 zJNKXWl=v`&L>vA&E71AO#+KkkBB1NsEX~c)_u<OLkYFXaAThzaN)R+nW@2Oky4K3V zP|wK79CPhbz57#=Qzs!ijSLJ;LBsdBQYXj1$0XPZ@h9HJ^c-e-rY0uf75f(EdM1|U z7RKnkyS;lJ5g(giD^2k)PqfrCG&C{*O?87VEi*SW!idf39uEnZpZK?{8R;3Dn3{r4 z>cn*dSb_dS!sRE(Kalbhyj=~?<{1uiJwpR?b5MB(N--v8rWkjKyuA5<#E>*G$5*z1 zHnJEP7=Xv&Of0dl$K8MUzNwWEym0XhgOx3jxXTt~b4?BKn2YspJp5_gOwRz6ea*nv zF@okwG424U(!NhZ8V462MtB#(aDa}eHL@@;<^r89Y-DPQxtl(?>@KNx8sc9oWu|9n zVPpy#^ENiuGc+?az*t&du;Mn}L=LLD<MFQQ<gm~)HZU**4da0u2_~2eQY2Y!lj<>J zyeoV_drOTBO+cG-aV7KIf?Fim3CdydCgymj9L@Ah4J<9qKs_ED1HZuyH}M80cqt<O zRAQlLZU8#vn~T%PSkJ)N%+dmV^8SzMO%gl?PML;y*M)I_Qi%y<@Yh_=(9pyJ<1&|b zr*Duv2xVxFca5y2o|(C!5op8|*QCfQ?;C`RM#Q9uF=%lUzN0!o+X_uhLERtFu609G zBTMw*X0OC+rdG1>VhVLn7&!9q_BA*_*V$THfKI$M$I(J?e07C{$b+Ox{Pmcb9;i(M zYA=J9Y=IW<pjVxV8?N9>m5_;W3%p4mboQR5C1^bzt|@&tFT9pOru6YP=|G3=fL7U? zfL84pV?S)i=;CF<NdmN@A5vR_lLVga<eY|PdKM<2ivYPmOjAqH-e|O@xWc*1rdB$j z-UQ0{Ia+U{xFoS8H5y!4;$3Y8+QVmQXa-vMX<@2o2|6YUy%G7~#wC*Tnz13FDJx3@ zW6;_}Txmq&;3dLiu!y{7f;VfM>sc5Yn;KYxYgh0dQ}iUc#rP88ctl#+49ePg_bHp} znS&OQfs&3T<Y*!E5$!Fr&zX{NuOfK<kx(53nkE1Zw?Phwz}$}gsu^S_p|k_v8x8LE z7~(xt+t6GObQTb3*D0v21v*0s-J^^?=S)et%Mt2Re9MMFvlM3FOBGFU?6P4fK83H0 zF#_euc)aI@bC~Fvn3<cKn1d$@j7^OVEYP<KFFbydjM-egEkV#KO+zDdOVD*tX6AZ^ z7KSDmhl_dJo+P!wY>9Vx2z1MXg(;~2Z)l`vVrglNu|@70+evK0L(r1~Kp7+6kihXx zptYjrW~QLA8_>9yktybA-{SHUrX=352Tm-8h6Jwn1KVw4VPFV8Gs75UH+n1Pdd>+` zD-ti*gISJmJjX=O)X2gJwBg*+NYBv1%n)N+o%o&OBv-+BkF(}5)-y3SwggQ%SsLkC znj08kT+-}Oa-7s8WQI2hS?Ga%X~xBAY6jY3Z)k+Rx7ae{IG!W~2}&V6$5(S$f>u|6 z7XE;a9W${sF~GQqAm9DCsg*fVw;vRxCZ;&1q!gtV7sIxZ;OoVLu1GWoU%+Jn@~N>2 zMp^J#i{ua`a2$iVo~fmY1^AE>OFht1IE)G4Gv3EYj71~-Jtt7J%FqzBFbLNIi02oM zl8{&-jeQFtLR$!oK&>7_(83v9HJ8Jtqo%mF5a4f*TId;?SsH>?l9-t2S(uraU<^Q% z#2>+1SAcy1x+EOu@#aLN&2sf4rdAfjE#=0NIPo?%OhDte2B3AwCWd+jMwZ4V=xw-Z zrw)-CZAOGLJm|!F(8^sCGd(jiV?&JnLc7Hd;mPozwp%>@!75Wd&}sFc9rn03R>f~T zNVwSsY6L@?-{8S2JcTtUXw8F(kp<{NPf#b&)Ex8F>yEhxiO+M8l!Nz>Ee;bsQ$us` z&83E*>&LM)GgPM@B)I`@gtwIeT3l-exi}egCY+h2B}Q@m()*w(31`BA+f#UZ8k~lp z0?iP9%bumB1xA6k_2U5&k}0@1YGjOWWh{rWo~ePEiIE8xhq)eTp%uo->2;U(k=}+g z#Cy^Phq0c4g$ZcEBZsM;k-3R6#-et;9eYWSQM?BWa#(;4&oTi`@!=Y$Ie2Xki7D3* z?}>Dvb2dPWBtRSL4fH_gOJR&yuhb*KN^o?Vm<r*W-Z9g&Fan(=$i)F-f$o7r>m5H( z-$VNJ4yc5PCo~~oU}$Lo9ymAE1GPOY(6>jn%IqdSc)@-(HNe~6G10TMG&cv&PlFEQ zH!(IxA0F!ew3D3n4z#(BzrAB-0X=&ZbpDQkCB~WGFJ!k9?_F>?XpH}y4hua4OJh^e zE&~%oJ#%9NbBvXI(>S){sZhX?h_|!KVWekhU}9)!3f?AeY-oac71Qm9+sG&l@DIY7 z>6w^V8h}<V;hIb0n!SzGV$T%+01N08Of$$bOdLbQ>}p#H53qp36jF8KuTG5hObkHB zeR6T)>TvkBZzk1?CT4gCbwEdkn45u4sR32h*v1(zHf$u^IsmmpAYKF?q>68YJjg~1 zBhVBtE*o1wHWE5}8(|~fd#ykhuUQxygVPOY39o^f0s8pEkLeqT&x7D1#{}>0K#-N@ z#wMWcMWDez6VQGpwDNW3ueGGcBe<Bux7HOjM`&yazP;4YSkKhL0CQK<l*%>ajSPUA z?F8*MFa|d=aoGJSZw;9v19*p*K?@X2%}hXJ*tn*tCU&kOK7Ju(EZ&I~Q2W*xbRvf- z7pD=ZuV-$IasBUdpH(CeOBxGR<DNF<AY6|v&|O7V-w0Zf;hSSI)-y0MHZ(PXluV%0 z*3m1`i%hFbu^fkvG=Pr(?p;F@J!4B_BhX+rt}y-gXC>()pvHJd&_Np~LBj)FoR*+H z@}`(Kg8$)NNyMro7k@w3c>nm!c)XqjEekgW&D?T<l8^zmW#Jx2R*+tE8kyid%idhi z6m)<+Xd}3#p0SC60mdqf$A6dOjy&-2HU7ompyPWjEX>StpUE1lvYc=|3F`eoD-nEG z;efgWW(H=U5qe7;E#_@q%SbI>P4FK(YpQ1gI%2~V_vD90$1=iRL^K8PS0SK{C&m^A z=Ai9)<`#y=7@OLDnl2-~?*lpj2>0j<?34hIo#2K5`t(kZ!%|Z#O+xb?u0cWItbo4} z37Qr#0`0%Xd6rVx<0YhL1rtlW9Up>T-1~PinH?W<p)A~`H)uY>3^e}_Jv++M!W^UL zGl6BXsg)Y$uxoODUP)1YPH0|cNxY$ce7uo<W<0*^0V-RJ4L}<N!PS$Ag^`gF`o8nK z2Nn@uC4!qg#`vStSkK77*c7yn2iG7|SHU7Oq7&~$3moQpre;Q_pslmGP81e6xR8W` z09^T-nBlE55c>p8aLi`^VO&VKAV8FLc#o0f02KsArY4r4Oo_E1NZP)D^l&x8TM~d$ zE9iPY(3%*~NoUwntKHTGrdH}$24b-$S3-jkX66Ql;K2xELsN|98$N&Mk(np)cel*- z3@psdEkP&1gVwu(iafMtx|7d5Qd5s9Av;YC4Gj!HE7Xkj%#F<~4bf-Np52{G#E4;W zX;LtFZ31-02jBU^2A~^<%#F-J2iBNcg3gIR^X7W7xp+tJL0zqQ{Q2Juv<wZrkIw?f zTBH7}b4X98hUWOD%{ff<%#2KpL1%`6I$GFPUEJMEhONf<<Iqgc*v!n>)RK!6wEEK& zbY%uwfc_DmLqfU%m#-%HdpYKMrX~i)hM<k8usRIQP6f_6#24w1g3c80;vf^y6+Pg| zUeGzqmZlb_=pE<NuV&#*H(*<g@eV(LE)zGg0BtbGHDwk3U>4!w2arvWmLqs40=_Xh zGd&YaQ*+Qt9b6kME_=-)F(gg#kMM%5G%^RRFvn$Ooh!*!;;$J^^-Rqy!B^FRW+p7K zj66D*&Lkm?f&w_+81Kvk2k3lu14B?Rz|vUH+{D5X<CL+V?lVYkv>Jo9brE-JC8!Z# z3|jWf#c5)$X9+r%9@OwbKD9F1aGI%=H1cUDp!5Bll5?`br&b!q3Z)QvL?-AuSxaL} z(7BvOpp_rc>I2!b+VZKURwD3qhsk**MqpbEV)5-%1I>GwnwW#SH=u1spmpZxH&%T% zoN7wUr9a6z;Egi)M&rO45InDoD+3C?olLkn14<vz42Z973Z6(ZF|shgIg!L4IEmyW zVu*h;wkha{A9K(MAb5lb8d01`XPKV)JdtEeO)c<tx}mF5Ex0%#t5S_ID*7Aw6L79d zg)H7QBXll~G3bDOW87Oc{g@`0TB%@k*w7CN0G<7fXJ#BU#Aa+@0J^sgw9e4b*wO@j z)~4z~Kgj`TjDNh}RL{u3+yFElglnzH#kKt;lwgor7XJo6Q#~U?OLNc)0MIO}sWD~= zX8N)h&*TZHQ6F!J_qZg`aW$sKrl9-kjr9x-%rNiOG^^+}wUWUeY0#Z-_#(?h&&<%o z)W`_;A;n*|^pG4`rg)2fP=~?Nz|6wH6nrUyi4o|=9JKbygq9wXM?~@8plE0SzKO~V zbpMd09#%`&G=jW}rI(UlkXn>jl3A7t?N$c|#TS?6Le?<hJ)48WM9<jB40Njn=s*br zQ&7f0^Ql>A4^gEv_)0coyz}lxpmqDEpapl9ptFpzOtqaC0{IYg)Bv9c4e{RK%V7XI zRny$k6x3fZGB7hU2UViT#pSVo-FVx5;H-xCS|ttxJ#!;dBST9r4$#D!v85UMQk$zm z`0apZH9V`pIV|-IEQ}0I@#F;Sz;42AOVIcyv}yybSI!`|ePE_%Xau>F$HWYDB94J6 zsMJJmA2j)PqaAJH1ip$PuLRUgz<W+3_`n+@(8vd_^^pA@-Nd(I!7*lnH{pXWBeXCx zH!wBCUEh2*>?Z064$z@A1Q!Yzo12&#;NDfWijxHYftn}rhDJiIjJU_>ILtshXiZFw zK$~7I%t3dkp=E5vj1E&PXUx2YI^^LN>>Hn-mzt4Z5+58CpH^B@S_JN@gW?pnKMr33 zZmwr$3Yt*@52l+~8d;d2uK^7T>>#-SH#Q|u(11?g2IYCs^sK2VMh%~C-a&fz-N;C& z8DEH7g6?*(0JY2vLG_}U5ytum#oO(sRt}g!j$XX^#}^dkC#Mz{XXd55<maWv`#XiY z#b-kEEdj3^n^{_dE@lUpvBt(2_jOrqX(v6r@h{2)9iL-v4mz;P0yHZPy73S#v8nX7 z6E2%T=^Elk@S?m}JgM7U&k!_NY5*$L4J?h#F%EX^s%*#6HG-Xdj_-tcV?8rt3-DbU zphM$~EX~Z(H!%1yw-ahQ8R2a@fllo-F)=m;AK7YZVPuTnEppq?hI<+dH1iT~jMoD2 zG0YaAJp)Fddpa!)&Cq8#m!50I-!{WLm<t)1H!}d$=b(E9v5m~T8Ml(!s3kaD1s?DK zo!e@t2TEud_eZS0)<S}vpfne6YL2f93#u!OjLi*=O}IF59comX*g|w&VMxd_LknX| z@YZ%??C0#NpKB({GSJyj1P|E)?Ike=&HaN;c`!D>C@!Q!iMNcf&y3B@K$hV;Am_O+ zah8G3h9c}UV^cHGA#k`XYw{r8G9r9tYHDGCyD?!-q-CJ9p@{LBDQJ&2E}t=wU>Pw! z1K-t#%d)@j#08@XctHU%=L~^v-ocUdf0JMtaXtg>l%~)!(AiMLSw;n)fh;2?f#5xe zir8Q@1E1$bXaE}jXz=}lCgPj!km4Bcf!7>H;M=^-Oh8j?MkeN_X6Q5fyV)B_Z`~Q1 z;vE4q)-$xQ0F88jP7<&*G{HF8(Ry<Oo(2K9OM-vW3A|*-5WFwjRL=n0xYPuX2Gady zjDI<enVzwc3HXR|Qwz|wj0WcD?emL!>T$P2K;23FTP8s}rj1PuEln-BIE@VTObtvd z4bU4?4^PyQ+$1$Jz}uy?&@(kLGzZl+pgSfl3`{T<O8+aVB{5wZ8{xh9*hJ6V+!TBc zjuCi!uMx&A3iF+-iQc9S4q5zX{g~((o0~$GE`wGMnVDmpwaq-Oig-VQ)2IplC1&8W zV!-F4fo2OqgU{%hZ>DS|;Q@A#RnV3`-T`(4JqrUPV^i?G2Bv0)78plv@BUmya>_Bp zf6B0_o{5Etp}8R!C$0hZMIoeHYKr&PLr`1Vz|;_Y@s+uWo+;=!GxUIc(^E?PK%5bQ z<I>FxEDel}L3i0&7+F|apikSs`dy57Obp!pz&o!D8bmd-FaWQNHnG$*1D%(IZp-s~ zMI@$9BNMzi&{WUJ#L@^nglec~X=!YXaf1%){vx7tAapSTp1on9xdd}lbMQ4spbH7H zlroEE7m?Z<F*d@xOaL^ZVr&Y&CKz-K6_ybd*^l{T)D!rJR6qmq7UrOvKtXG0z~?2P zb@89S%p*A~n&S5=$Wj9{&?%_6ER~s?N4i%{@mC$@dM2iZhM+^1ajl6uY@bJb!UZ>J zOf2!1bD)yk&;&Hi0bT=&r6j-apF=`A0+p}vCIt8O8d!i2)B(*jW4pk9-NI}V?1cE! z9PjoeLp^gd10y3#@WhItv7sr(g(xdEvdP)L1g$Nj@eCP(Zv8W{03F-{x*yfh2(t+n z^CZjEN&;i9s<<QxJn@XL8Emd+WNBat+NgwU;`!m)EK<`9p+z)C7M8}K&3(A6G+05h zmH2m`nClr?SeSrTDB-e_e<{gU;-5GLS!rSnI!XkWmEOr&B&SmR-UKbgGBmR=1WoIM z4o$$gyN;zfodhevnbFt?Z^kgtv#^BR;bUT<XJKS%fUzNc{_Zq#G6uM&HX?MFkD;M~ z8EAtut_HfQSQ_cYEP)ofnVyB2IXGr<?VMUOGnK?FV~T$rIw&!j8iHowaU~`dzf=-p z7E<KlKP$~Z&(hGs$jlPd)-nVSSfbUN%{3{c*PHkkyBULa-Wq_`FXQqjr$7q61|DR^ z2L8>(=6VK}76!&<;F%q8g8;q78geq3)cC{SMK;#6FfcbYH{#;NwI*xwhGfzcsxkgm zeWrRQ#-^Z6g`fldjE&7PW{^L1k>F2QX@IX;X|88%Xb4_nhpTE{CXq;TI<>%i?>cB9 zrm>Ns0cdHqfq}6J#<g|Tlj2C(JA}XTGSD+LG&M6f1KDP1XkdzQ$am?kSRxh<gU%Xq zsw_!`%|zl0RLIp{pxxS_MTBOS#>SRtIk7t=h7>!&F-dS?fGOzER?xx#6C(ptjC-lN zqN4ESLnCkrgSVpv+VpN>Zh`NNLPmoqQWJ|Qp$?>lv4Od{1$YR~(#*^dJt*J3h`{SF z@HvI}M>jwT($d7t0+d7y3=IrT(YufG<`JYs7dR;KH#W@lj4aFyz+EdGlS`g<;Uov8 ziBLPfX=Bhlotdc-XfKwjo~5CQi5dFJ<cAF5rdBRk*8Pw$RS)gV;%guq>lquHT7V{= z3{CY+O)M=i&NG@55{9jToLig@ZXmZ&=FP8WVU#XNfcla^OWnX6d@~lV-ktr<P!g*x z6Z}VS8R{8W7@8ZKfTnuQOf5|@ZUD>V2qifs<3G66K+nX?&=55IiK_)*)EHuFrHj$o zK|3-sF(swQ4}8QZp=FiEpqmqoOpHNAoe{S4P41_KkX|+8ztWAvNYB{9#0+$E39i)+ z5@&-*x7C=y#J7Q-nYpErp&_^%i*;yAahX2}<pj7UF(OnpS(t!sDaGB~GR-2zPH=H( zYJhJp8gxRQks<ir0YhUwGeZkAj54_Nf*(<XlHj%5MnZT?2s6-nRRd#7oGX^%ZG0&% z2cZK6E%=HmOFctlLu2qEk4Ab1rdSs8eB0z>YUPNfL?&@1PY#~(3Q+xLXl!N(uKx@S z4KN1x+gp8zXpn(&IC!Bg{-HL|j$kuO(9kKaQE#37-lXRwGXuQ4azKYV8<~UFsNg#K z+jG7*-i8FUe~Yi-XQXFfU}9!$4()+qt_HJI_awOoW&}D!0{8A?!UJ7}>pjRi-vF9` z38Wko6LZk4iGiMhsUhZ3=k<N=M5G+}F#z~SOF)e#BO`N5E>2wgfvnx#Nvu#!@lVDY z=^2Ca1LOb)V?#5{d7-Bh+^|hrf--|+G<dbGDc+d`BRxZ7BNJl-E>1JhA=zdY7&8gZ zuUv?pNr0Y7fp@(o=n8KWQ!{Y?%iPk;9HU8{+~`7S^9Y<-@Sltbx(3$J476zgS2?gV z)dgRUgbW?vKa?0WgKutR4BjM*bp~H0#D(M>X-H_e!UQzI23pgPW2f_$Mb0E9Qxp7G z=zvZnwlFgSH4|`H>6cEjmF9TQRUtf%_`=DVta1mOb_flxnHrmdm&xI3be3p4lh7;z zH&F;|B{k49FflLzEe0_F-9%uB(WyWE%!!n?HM9qTuRbx?1D(fcYyoclfle>R*co_K z$B|@jTH;-PVxnhmVP<Ax!NqBApl4)hVS;gdpsut%wh|9=WDU3g0$rSnyT&rnvjpwn z2JdA9t)9lX<~Fz8j{Jm!e+Yv(yA6nFZz1n|!9TnJ8oo3&15LT%8h*A*v?H-RFvUOP zW}#<jVr~iA3TbGjXJ}+%igCbZwTT_c+lufnmIB#n2Hw|+%g*h3cBCIfgukx>I-|!F ze3v+`f<XR(Ey+2=M5uxb-?3ewb-IS8<`$syXN^Eh4ooqJ_?WzGNxL2w+%>Z#bhWaf z5qLd1XfPa?%@xkJBwnoywHn{1AY(mC3uDk=1_$UEV<STo^r0g@XB*<vFxWz4{Cl`S z{RGfi!njw*OuAxCa@}oafOp9Q$WqXOGq^21e8QUa&WS1B9eJQzFw9NBN7LeJ3TYiC z$5wM8Ja-F%PT@7OG&hBej9VCCo|zuK--_slC$!SWKOP32#=&!L$cN7s#HS%}oo$Nu z6mt%9(0G`csVVNEjHi+oq!tgR_<JeFdgg|vCT2#U4I`j78AeWF*kn$Em9VUWubluo zD8axSwCf93$LNcMDG7E$f);eJ7w7;1PI%GEB@Ei|Z>SI2AEXar=9iX$wklZaSsIyv zdK8={hI&SZ<|c-qb(hFn_9PCN;9j!|-r;2&i+8sXXfwJgctHTJEOM>LnB>yH)DrKg zl&PMDfrTY#77%oSk)e@=1^UEejjS<Iqf+2KtN5!jGd&}7a}#4D+`Zc<aU+r=5p*aM zv5{zOYHS9&9L>Z`4|Iw<`1C8}NHq5{BoK+Fu>?z3OYou`T#@+rk^vc|EB<Acpfk1% zOhB85aGkMrPSk+pq+?=6^pO`vmU;%321cNEJgy@z{%q36dgO&sEZ)XCXyne!*b;o) zoq-|fv_iCr#@ffaxT7o@dO#7r6D$q&%nU3oEWwKkEX^%3FG@APj?V^gNn(gMXM;|( zGqC_)k7R-Unyc<UUDBfmf0_VUYHS2LY8KaeKP6?-Ej2X|N(XOqCR}7%=$Tp=gRl5B z2VI(AXb4`aj-1=L7wce~0t2lPD@skro6n5(j14SJKvxjrO7VMqbx6-=Ciq)1g!5Vc z5iK%oH5G~n2P&7L0Vus2fc61msfa+!s4esiEG!K{%Q#KUK`Vg`!TaNpLzQp2rl}Qw zPEm4Bb~0qYc(g$*-fO}Q^h}M+EWu;+phe=QmKc+jLTi-CJZO#J+_!-N=<a6@Q#}h) zBV&xs#cOz#@ePm}S;T^8OS6d$J~KTt6AKeiIfd&SpoY&1I5xY2D{-S(p?t7qgfjqi z6Ch}>29yb!bw`dWu>=KED+SO%D#}%Juv1vT78>AhH5llbTY`3Rg62c9uIm52Qik*t zX=o{wOQbJN^~_9+LCY67jm`Cp%!~}chk+scQu>h;?h+d8M<e`x1Z6v81JEu4T$%mD z2`SRcPjkG5Hpo&#a7w{tDbHatEVUr8nZUx>5_C@whnb$asj-C#sFjI)z{b)?lKAoi zWWd;v_<Gw^&&bTw6tvGCSH0~xQvz$fZ5%69M|2VaZ*Bn{GYl=>K#fe~B(n9N7!d=D zuzT~sX~rOy!0~bBmL|rau}sjh1?C0@=v%QCWr-2r6N7Y7@E_r90&Yiut0Ww?yoQGe z=~<EBvVId&Gh@&lr?{&5XgMJ=Y{lQAG1fCSG%^NV^nfdK%=Z=~JxAhSiD{%~YG`Q$ zDhA9zN1huPnShcJa_+eLUI1V2fYcWF=NXLj%uNi9Ow1q?oLJJ0`Eh;{x+t)OgRgIE zpl4xW4!W3w1C&%jt3T12xD&1TNDfO={6m=_J1tBN!Mm2QuB27w<|8B3;2-Y-S&HAI z?BrOAztd@=2U>k)4A~}OWMOWCarffg$GjvD6kFmgd<^wKOO!zUF-shiRo4%45<bHN z)OmsK>;s)IRDoxKgCXeZLjw~7E>068Ju^!a17q|fFm&g0lHP=aPLShIy$0r%mZ19= zK}$g`P0cY5kuVD7B%*MKFFytOIv)QV2WTC*Iq3dHTsg+ckCXHqgZ~;aP~x>PHZg=0 z3|Mk$_hSw+a*U}^37%**)-$xUGzYE8!d1?)S+bIDtBE<$%{xOqQ%gfLGYc+GV^cjt zQ*$%$onpv!_lXQ90?j*vSiFa;K-vUG;89v|Ljk?fBm9;T+jJbL-3(oTV1j=&6lgtw zv7rg>^#Ijx87N*21s)&9Uu}ZQA`|d}0250+tYs1N83xiPkSvLB?-_tL<eGstjp1tV z=@)%B!FDvM3FrV++*6)}E9b<|-%P9&kq=k}uZ8q4Es4*}D~X0ST@48IRE<DudM&v) zEiLs-O)N|-&=*Vpnf%Sf$`q6|P`Xql<(cu&6}~yCMf#wVxYCOfE8`(ky5OiY6RO0M z6A4bM8asY9A>(dTu+{iySBbM)pYTyw#82pgF1$20w}ec~n;IIKU>s#rF7?&KN{=Wz zBO#|m8JXf8i3XJd7DfgpkR^H+W*9bh-v44k{2decS63M78JSsFg7*7?ju*2qG{iVs ze*4NVCWNnNgdesJUKBvM(!#rW=|#dP6DtFvf)sutrKvIAHG!bTU1pY`*&!n%Ju^cC zOn>eP{%B&QfHPMbfjwq~_iADTP*G-V4lZ4dj4e!z(DUS$=kHCd<Z;>o9YMuEH){Yr z_}I`u&(y@k0OQW?k38>82rt1dPR?=8D}gLp!+#8$p&savXwY3`rsjGErsn1t_pB^y zdriEJ(1sMgJJ$)9pz0r9;f*WsMi4W+Yw<w2%)kKDU9_~+vjhS3%C_a;a}z5aLIo&D z9$NRBQJ4aK9iQU$6*%Y!4I>&`8k!jxfZAq8<^~qV=$@*Hd4ksta4N@N3WGX^2Bx69 z5==p7rI}!?=_!tQWMV~PDQt?jwlf4BUSR|}rqtLNw1(0UV;|Y~j)$bzc0_pA0CZ@M z8EEtbv=YqH0AoOSo$o^;ibr^xo(L-q^~@|R%s^{44Z%mmo1zcc6hFIVVx>>qx+Q3p zV{A&Gn`QvIbPIG6H;z2+f8`eOc^q1m<15Du^-MuCkcObqTF^Q87@nM0euczp)!3Bi z)}Nudp0PRP<ZN86zl0YTv9|t9@sG9{=owm?fDY~DG%?mQurxEq*qryt_B`<+3GD*n z3rPb#V`CFjV{<M}Q!_nF17kCci-$#c&k=7Uc$~%%e=h>G9@N0V0KAFL%+SEh2)&fq zrEt=O(!FKSoPu|GGeL(u>N##g;c>K3ci=mY7Stm)x3mCHoMIhAGOIggVr58NjzzR+ z@ZU;mqGxJkZUI_TjjLWxczc-mc!w@gCH86uL-1^eCFnd8TscVK=N_y%$ShWfz)~dx zb8};GBgz28LSKFMLS+wW^)mkUjgg+Iu>tsmG+f~r)4ZGbaD*n8d^|lU13e>CBhU~P zhk>4jsevKJO5aK&5-bGoI{=;C2U_|;c;3{|RL>C9gai$c;kW?gO!+nwJQsi%gC_o1 zf_+0HxVUwV3{A{UEQ~>mh*=$rEAx`U_cj_Dnizvl3&yn>a>bpkCRT)QuLXGwGUx~D zPT;f97<5;+0VpfrT6L=`y2->!7rEi>8K0R~P+Ah4m|KvO8t>^8>IPmS0kse`%1G=? zw4sTfIq14iWAK$KW+s-HGtnnmH<_T#M3Zoh3fKZ8@NykodF}MKjac)Vc`UKxTZSfj zrlw|=pzD(i&Gjrm=l6lmn@1kss=U75#7Yj-;6)ix0JUx6Gr?0)W}u-FBGaJ>Xu{3h z*qDnGm#0{Q*I@OO1xdCT7+IQtt~SSIOKHVQthQLj5;$DR2y{<6o`Hd9?kh=crWu(E zrGlptiO9#sdX}K`Rm|{&ALj}aD^bk5bqoz+NwLPl)X)I5nGRO~9tv2F-5NvEtT8e& z0JUOpS@ZGRGVInEk&qmW^~@~|!MCB|vPNmfGOWqL5LD`ck~YER4xnAFrp9I#NXH+e zFLwxgx5R|datGsBp>#Zzh_RlznT0v{;3;G52Rcs^S!_bo%{HLp?}+u8iJ_U9DQF!i zj)fc%f{O_HjQEu!hT!Q{1JLOKurspJgYxFt1q3ZLi6yYsz}V8l5;CD-Vs3(Y!lv`` z`FK0c;EuT=vCCl$jX-A<fiH=`<*6gNvk7|26qG)Qi#8)Y3ln2g&}K?QQ$2GNOJj@$ zX!@$NO|TqN20DWQv;eIfTqqGv`QRBhW6-)*Gc!HVU3}oNBIG(`dH75dVy@*%Nd#3W z_%EXXU65j7X#l?W6SQ&>WA(M;n(36b{-NC=B3C+r=BA7-%t6ZmkPo&-4uIUU=>((J zjOZ05APWsm4MF46@Ri#VoD#?uZqc1i!~g}#iV{OYEp#(u(1{aVoS@x5puK9KTU(Hq z9~`?s&BRI@qosg0_mG)a5+77t0$#U9d;lAp7?~QFpq`12?B6xwQwavJIq`+Lksj=n zeq1qn`Ql`P7Fv*EA?&1nT%|*}E@2BTLBkZpmJWud7KTP>$IK%KY0ce9CRU0V(TTD; z57ekAAi9_^G%>XV9s7wZOt)_*!bU@q{AdC?^A~rr(OpJ_jYcHd2)&j7S2QZmBf>^w z(rh#{0S(mS3f0<KMA&FTnvJHG;5BnNngcgy5Md*DNR8;U3cY^;SE$MoW1|^~egt2_ zfXl`c(~0n-IjJ^+J4QG{brCT(T99fZXel)=KTambM$*b0V^i>L6S!>bCc;J|@X|*T zQV#ga30yX|6JsN3Wsb3_324a*MK+RH=9rp*wgTg_v5go%l33<Y!A4Tc9LoJjYMBGR z_ySj`wh|Mnq?S39+em7eL%EHlmN}H$NNSlwv5m&0mpOO_0*FaD#)gD8t(aSy8iEGy zj4kzyjSMU?juL$%IEnPhQRsvK-ov#F^vq3+3@pG)Rj~HJHs0%}cp?be1<S!R7-Fbr zYyd544fM>7Ee$QuXQZ}9c9F1V26SBoan*{Up0T+xWJeq5nrKTy@Qgch<5@DLlfbB{ zVXROVku7IKJwpQ{OVC8Psga(Ep@A`mbxm?@CRWlIZFP`<YaX~yjc*Mr!MXj`t`-w3 zQqRo5e};~Mo`I36i3McUqnVkZ3Hn{CE9Tb|(SrpILxWFk1+SvPKX+!RXJBGz4qBFM z2tNJS2)tDXxd+P^RZ9Gv4``+$9yCdTdsQIl%q&Y|&`}Pc#lgmw1{g=m2(B$6*_Yt6 z??4Ha;CL~IrJkjUsVQh^27D{KiGd+#&j4~lUEx+h#=1RFk^*h3Yi9%}VX8UAg1Fhz zqSV9`$CQ+!)Z${8OYo&{Lp=jC3scbQa6?njg$xE5cfVX(kxp_nm_qX}F`hRzHns!} z4ddE%m)wwuZ}QCq|Ez?8o~5y&v6(p+r=hW)iLs@z5oi(zIbl1#jVHo?#idE$3t6MV z)0sv>Wn8%D-#~X)8JZcH;5m`%m`%Kil@U=3`Xa&62+qUCrb6ZT?KLtrHZw57ePmKl zU#y9hF>xu0G>eUljX*7X<Uu#&P!`-5LzczHghJZT+`tHQB`Pk9=LJTS6VmvP7&6o| zHnp?>op2AjN5I0&)DV41(%zIvB2pD<5p98Y$rogO9%v;SjD@xr=#FTFiIo|sUPGCU zL>ptow!YaAG*pl8%pcG-$Dn-;;AM1J7j#VBABHx8?VMK<@06UAZ4jTCmzG*ol$sJB zZ=jzUAD^69R8&bYAzK<5gRV-z6|G?#L&-`{CWO+Hk)@Hbkr@|aXdf+<`9Kzvo^uJ< zYp!Q$WNZkU*1<JlpSwPUoOF!;$Ye-M19CpR0k)Qg-{xSFld&<OAU3oF4Fqz57KE9D zQx#eeUsVex$E*04w}Llb85)~`&r-)`t0!Xs;S~{}Vi>X_!Wgt7f<S3(U}k6zIzR>2 z^#Z~N{K-nhX83cDxt_VPff;DL3|C@mY9Y^J3%rXa4fM<m&CEgPHCr0%8JiebVl17{ zHSi;%5s7>nwh_VG6hQleAUgv<EVQJwPTJeVN{djL2tR_=6o1k+*RwD%0dJAP6|n2& zJ;_PBmINcz!rTIU#F4okIPHR(s>s#r-whsQr6fzdw>ui@nOInW`*NTrvZ<w!8ECQ@ z*<Pa<cM=z+8krH;@nvjgY+(jEKhzStS5<`EO{`>)S1y5S8XwT17O?v%AZI=xig4^l zH*r|#85$WGg8EsYOF=Cy3_<-Y<PN|#OBVt?RTKPY{uqL`>KlRX<OJ>NGBz<WNAHGT zo$E~cLP$dcf(PRm8-vbVw6xSSw6w4=M4yg~p5ts{C5w?QAX}cGE!;RFyDy-N6%5Uw z=VoAC>}7k{34O7bQ7oYpZ)jo!?iS!W)XQR@Jvk}f#DGv1H?S}VpQ(t;;<>hVWMpx| z_8OTQn1cF2xa^&G!-^bx4G9OdA!z9(&SRzDvs#c9(4aOYs3%S^8-k9pG`BE7JEsmg zAC^m-VViCRrQh)Uq7-lk$<RDjs1}@LiLubo(7?b9+$RK`#%c&E){rgSQEEy=vj^5E z1lw;wAVpi~ftJ^URtn*2(x%vHkQ2~G1lwC?pd)8N>+*2yIKM5SK}O0lBG9C@&@(eP zH@7s!-IQkDp-zsy#zGbNI>BaoM#iQlpgRI_owHV-sBU6qLR9CwxHK0UyP#{;jq&Ft z3q3Q?ohP7Wua<hCRUjDan7_oTkrl=GPiz3yGLZXpaMd!$<yA@EKWJzmREa;F4MAt- zftFjE>VcYG7`yvlZB#L_G9_-X0X?Aeh|9`mdgjKa;KM^9w@sRY9f6#cTXrg8Ta1EI zc36Ps6Yz}ZfRdC6ctt#}B=vBa0(nV_U?wuMFf}p&?Xkzv@|&cnKu#vIB(wk!wBXr- zivzSY&%_Ah80?ANa`+Yi8iMy58WK)G7Dk}aDqNwerYA>c0x}_#Qw>4wX|yT_xna9N zP>!seYDs86tfi$X<j6u$b%3!~b-tqvzWuP!V2#H!^8;D~2)g_oJO+rhuW+nK8fOC< z-1ajR3dd`Kv5}dXsWGS{ZD3$*j<LH+Oi|jzN))+)?i1wf;~k$F54zZ$s3w7#o{52p zG3aOs<jN8`Tj%eTBHSb(dXX82nVzw+5$K>DPE*j<O3($8XsZUr|4I<H(30S~S`#A+ z10&ELKMO-kQxo))SPr*I;LTRhnLi@iIiN#Tjm$x-A8>`@#%^&U+BqmoA&p{%62WCN z;jw+twKJdr9@G{9ax7}rh?-al!58FvhJh<vBhYF~BGZYX8R!&!E>2@3Jqz%_BwDn| zToEBy{1c2dLkmOj*|e5spyQ2AEzzeC#6JpS%e9_)kmD=BnTkLw&0G&uje!Opakc+H zei0<2pf@%kRM4A(Zlwhk^ajSpmKdkX3C<V9n<An6G4T$BnS&3J1nrE&<+neTg5;F8 z#s&mSTLUvA@Wwe4b7Mn{WdPF3{Mh0RcJ2uD1jz}+PUM;DS(uxdf_nRghI$qzrY4r4 zSpnqq&i9ko#L5w~<q181Bs4FxB;F8w1WA5gYDRuZd~i^FK~ZXPYF-I=_6eLl3}S^Q zQrSsPSQ=@hx+w{7ond5QYyv9y%=FAG49yJCw=kY_=Ej%IjKNum=<35%&)mS!5Omk0 zk+GhMF{lheD;9Y6a1t)f4Px;(U`+K4%neOIc^20Jdy|zo$ZNnD<G;wB@W9;GbaoRG zx5OKn;qO?14tX-N0IjUY<z0?bEabI<4T#(-0=g`~!r0W(fQ!@EL=POXppj7IEVitQ zg>cLo6KL?8=vf*Wf^WFM<<<Lg<ayQDk_4|BSQ?pt`p?FC#-<iV;L&tsuijY4M9`~7 zhJ+KbnT3I=F&8H;ulmelA}<k}5IV8R!rTIMqA90^nVzM&ks-$EFmvty8<Tuu6L{|t z!7&0;a|3f@(5cBd`mQm5{~D7sMqq3vMC9nRsh*LEr4i^VKodhfOG{&8@ZD#~@q1>` zUt?UOw6L0hKo{M_+{g@c7c;INmW%N(W3syF#)O9PK}S7+76h2!7{+Hd`e}@77$4ls zHNrbjV5nze3|eT0yPK1$`2(i~h^2g>W+vg5Ea*^Cb92z9S<ncJsfn>U=pZBHxYDfo zXiWMUU*K3Yj0N3XOjU=FxmJkiEu9=DdX{Du;Im~-Ku0?p7#W}sp-$ufV2ovp49d6* zXt{a}k(~(>JxfzFLql`W#kYo_rWJa@zoX*4u@zrIX-;vfiAA<Y6)0>8wSCP^jm<4V zZC^7p19L<4X1%q+3u8P7U>QQ&heYOg6FqZtQwu`_E>0s$JyUaIBUAJ=ly&GC{`_u4 zuzP7_YGDfQUK(2%85^UwvZggY!s{V$(Mj~Uy@{TgnUOK*?gCt`tTMNU1k-?_S*#GT zQ%xp%W=2NFpw&LOPPmVZxNnShu7Gi@P&GJ>5u6vmet-yaF8_b*mNBtMiGk7|XdPfb zv2Aq|Jri>?Q_!I)W_o6zld3?ocF49H`Q9+5xc6WbD@1gMhBy~d+<72+`!k1$p0SyU zsj&qYC+N5p&?pUhDWLcG65%9AxJ_hiWMB^3dylJ4^m6rC^6E_^OG1roBMVbA&?#)V ztd0mcLsrYg$P#abYM^ImVQLC$2jZ%+bs3N0tWco`T;ZLVG1fCPF*3F=-~!zshjnDK zuIDgWUNbf)RM4B58(W%y3VIV$6G*old9XKT-T}PjB52i5Jb}?{V?9#~a|2Lm2-<=S zo)1A=i&8!L0C{PKu=h+YjSY-J-ZL^WH3hd#kiGYU3%~cEtF>eCbl^ePw3wTLE_VhU zCv9M4i9T|<blW~-+^g&}<Bf%g+tAEmtY=|qZU&ydGt@ISF*XL@(2HCQt_t2mFpC=z zU#3vWLSuq;vw?+)1^AkA(6V=ofwmQ&cjHZi&?YP1_P#Obo&ht^W(Ztq(2;8wnQ71h z@8K^7dM4(^X2zzVg;?h1=9tGUE||2_nB;8|ME9kP^(>4n%#6X;&KVk5Sb`U^Bd3{P zl{<~`pVVVc^q{jb{GbtB+uzzGw;2;U%>=xM3D2CPG3b<014B^1+z9(ZsP%ugl2=+< z2u0z~>fq7~6qS}>fL4x0tlesCC4oG@18USmkNh+gB68-|SkK(R(!$gn^>#w!IFoYR zj4c#Fmcdtj7{n4>A7}zvh!48&(ZJXO<EoF^qnnILSRV+wJ_Yxd7Dyopx);^NOwYp7 z*aBmibLE4L*orRLxdG9TaZW>_ByfY3aK98(r5c!n=E+P<^+3l3VT=(qzFKc=B@CZo z$uBJdw@XPW9?c94%t2?DnIjFAAjebA@3q)Gg%VGoO@jCbh>a}`4NQzc$Fv%lnHm|P z*DqFU*5E51jiALNzO60>dZwWJ-i^38%`Nmy%s@v4qNVJb{#C|=Pj*f!Ed~$9M?>wb zCpxlB4b4nICv}*B4%juYz&I~o|HVpU;*WU-M<nQ!2{IjEVrY!s!yv~2hOt7VI{-W( zX=nnT07Xtq<T${H90!1-z{1iP)aR$v0mkGw02Bg-W~Sh?%qew%3G8?rVoD6;L}+Ya zVT3gVh(4?soCwXywcpIx9DE!sMgWjzzXiGWTNs#t%V-Miw~Q5PAhwrptcM%{mIh{K z=&O#wd4Tw{QNb|)Dh9}Pg0Us`f{0Wn7?KqVpnPCpXpFH0ldMoMBFhQjvcS^F41Kni zEGHO~<pfYD7@C@cFFC|WjHE_{2|48vC=iSd4Y0=p@%x*>snMKV2Y{+a^iff8ERg5` z3vwM`VE|d(fe{BJI>3@-2Y`;BwbZjPH#P*{U54xcMR2nK%M^WnL26NANoH9pbm0Kx z77uU~z)wsgvPv)p%@Ud5aDY6>0i+elB-(Fk3EBw`+9zcJ>dB$a)+aw&X-xe2`C$K> zk`@6(*iT{#FeRx@GB7s*?bkA~)H5?R!E6?pRgxS4q!j`n`ytzgvD$A8vY*5NFd?Bs z4L%|mZD0^N2khIrf?!vW_*G-ZdepGbn9lyAcX*o8*?(pP2ha@7LD#2q80s0CTAG-E z+O5c=oTu#O<Le<Bf|n2(3PElrC8ks~vM@CTEfD~Xhnt$3nW9fMq#T$>YN<q0PXS~< z_(pn5JyUG<n}O^nF;g1H3YCGos{|**jP*c=qJtKXT3G6tn3`IGhkTJUW$>)Y##S1j zZa%*K=0(BDIiM05|Fnm(p1FlF_^Lo$BbRGkCXqGTWn_VW5tp%^xw(aru_^9ZiC5f{ z$Qv^?vLHIO8R>y0ZlSSlVqs{B(HLEKxsue>MncCJYQG71IR#ex7lQ03F|`@R;@w_K zaL_oStAYeSL&jswh@I`le$4@L0&i+4A#JwTkYEvJ3|fr{TK;WjU}S8HKFDRhG9Pad z2AyRi@=6L0BRxY)17lFq28|9HTNoLjcQv=I3O2^JR3CK2aTK_mAY4!qX$v>_+Ibg4 za9KbbvyH%J6S*A22y|_diLn6}r<tLig_)TJ#_}suAwT@fF~Fw+gVya3EKCjcEX~a= zKr@h**jFv8E87zsLp3ys1>HgevXF4B80wju8Gx!wP7_N#Lt{fzQ_#+1<kTG^rA6+F zB_k46ED`AtGFL1SEMdS?83tS&=6aTf=9rgNU2ByjWyBZShG>Qi_7D;6re>gRC0v}y zw{#&#g1?|R$txT|sXW?{=v6J)ud73L#F3xEq?L0<#P@9s^-PTn3_<G|z()v}LaygS zwq5C&5DA4m%=5$!ITL9=i4~h6XtOWwi68?|*<xS}z77g>I5$Q?FCfiLa<oT-OMlQ! znZ%X=*j7j)hrCNK7p?^z;9v$H?1<NwMkWSkpn(e<H+yyZaT1-dAU7`>6T62S`!$`& zzPs=6)rjz94$2;GQVMh<BhZ<3piOY#Wk~3qgP@)_Mue6>8k!P1y9{&(9eBaLnVunN zLosN+2D#5;vEw-reuPh0fr~FAA>xZKLklzT*#O8lha-pad#k5Lq%P0~CoMwfKN#v6 z7+9Jc<Gw(Q`T7kba@J>?5I7G3G>2<qW^MwShy?E^14S%ykngv<OrFI?_<KMGdY0xE zmX;PcE&gwF$%w3_+9rksZMHN4&G6#7GTlJ<ESWYN5!)Cy1YM4Sad#VXQahb<+=!&5 zm&U{%BFX`Zb8|D$yuGQho}q!6p(SYHEVAXv^N$%>$zXJK!SnXeLqv%kS1{BwFf=y? zmztn{wSgJ<WL9L$el9p-MB-?t0r8`qMA}bcg=j!R(-d?^p{WHp1T6F{j4Tbn3$Kww zAYOH?5t)nWjY(*l66p{!o2JB%4;tuMm>XGwZjUt9gO@qT;jwS)3c{5M5k;!Gp^>>U z&H`rI;-zGjY{sB%K6q9#fzFmSur$J5mREdQKwkM`Y(R8fW}t`dOlagl{;*~yd3Biq z>`FPpy)pwmb5kP=bI`${mY~(%CZ_1yCZ;q^G_sOIUR>ppSdthI*-8wqIt^gsvQ)DU z^Zsol>p(NhkR@GovJc(}qmzB`c>_Ax2QL@sWFLIqfKK+o=MCs&ANclE;<`jcW<UJ- z0lrX_PX4o?bAGp^Z*fNF><-#;M$h65wEvc__R+aGGoo{GW<=-W%!tm#nGv0fGb1_| zXGU}`&Wz|>oY6V^f!0;ivv>hry^>4h#1N6SE#AhiF?}l|6Z%?bN?+^D=xZJPs%@$@ zl<+1M3;O!bG8TH!15v&+!aR}<x$l|o&~1d{Fa&U)&oEYq=xxLXdS;duW`^LcSfG7@ zhUk;NGHmTe6mKIoCSe;faW0~G8?iCb2f$+A34$CUvi8v=46K9W$bh6cGBq_ZFaz%f zG%+<X1@B8jwts_m80B$fNKzaT<06XVh_r-kYHVU*0=`Sj*vP^JWAy^_K0nF>$%M2( zBEm%!2a-uF3By{ZMrM{KpzCc6^gxT3EzpOq7i{n*Z&-`?a}x~oOw0@oLF-IF%h<s) z?q~~mB^->17`#WE`wv=wQi^AfBJ2hfE=1vrK2LLXg`|;{A;yqB+HELFrNwSVsj1F+ zC6M_?LlO#gYzNgN=iznBc<>hLMnq5H8|WDuo0x*mBQQ17votU^1E0BxY*$kNBM|{y zoSXwXE59f;J=z3xEEH(egy13u13hfBkjR$575ZjK{N>i5xUC_&329_$W(qp~7FWt@ zvwCW1rHgr@9+su?ko$}bVueVz9ke+Dv=tV8w3cMs4au<`=6CdpoMhXLD6t)s!H{E} zWZR7g)OM2rwcT_;Z8xL9c8u+5B*#1aV17hlZOA2@oRb}IsGpfvT%r$R=9iX$TSG+U zcRk|v!oV{;<h)!`tjC+-NwVG$)+!~%dRUIfn&(Ke-e_R0hquWG#`h)z>wD9Iwcd;Z z>&+-ndL)f3g3enq17FSsnjr;WoPb=}*as{y#C;SSxc^1kP?(W{DR@0D_&i#3j5Qc{ zbmkMZkN72+26~2;CPttOiA|7J$|3tNN^P1UNvm5(8kRP+G&Z#aAJ}eWX=-Kw+Bt)4 zdG)HPgggrxMujY_CMF|eJ4p)JLaX9_Lwv&>B+V%pnp;|!S%8iOv@kU^#u)A3<?ANs zIg-Yt4b9AqO~A(xniyJ|VVqzfJgb4AeI(@!LsMfTLlcny3=E7c!P}mY!}8G69D??d zG^b!_Vqk1w!o^{tX9`+@hrTI#-TMfF_K}u2jm*tJw_2L$85x+G8=*IHMZ8@J+Gj#S zPs$L>npNcR<i2E2T23S_a1Bk3EDb?Ra4d{03^3N2op&-K=viYzn`#V<O)QO#LC5zP zn41|{f&vtI0pn+8eM7?QVo`4<g`Mg{ROUA@HZub+_AxOxHpj>xN4*pXdeJCWs2j4x zo;V8)jSSJQH$sll=?yZ5RyIU+5sNc2(@KI;(=&@pQj5T~ia~6&S*%bGm0e>?rfUob znR5&WSLhfGF6S5zF6WpGF6WqnoikYSIV2?y7S})$@?dcdBpnYH*Fci-U~$dhO2uY_ zDo>dYD%V&HD%V(oT|@lR4FgMaGtlML#(GBP2AI8^_HSYYTUCan%vfVPz8|?!70M%u zw+m-NQWMU=(iD760rIuV$hHam5T>XJXG&5Njwshq(u5mK&M}OQ9!$<L8eGmX9$d~b z8C=dW1v`g?s+g#pMQ&FOl9C6DYaj`Eu($@2jt7ftAjx>JxMpyrVzWV&r_2YHYb*wp zYb?R8A*BgtXbL(Z-B{1S%*5OXw3i#XjdQx4mtYglfW&r;p#k*HBGBe>j7b%y>pX^5 zw#3b);u;z2C4OYgz>)~(*pcfT=+M~UaSvofVeq&IGNv$i+yfa^7(DKQj4KQt_drG# zNEmr0Du0ok-66?&&^QN@ng@+@Ac=X<I0urJ2aR(ESyG0Kk`0>Bfee!k8s|X9$p(#c zNFFG&Ff}zX1l@&XVP<S@20CI7xs|2j%tEM@WoSuSE6c*j5OhrouCc4DZ~huk)XFlA z6(XfpBE~rswXz14dmx?u!4p1^ZvWtM52WKic-#Z&`VSuWKsx`Vly=1AFG^ZjB#y?? z)H#sUJZM4(l9&gLb0BGX&^Tw1C1uD!)}RR;$Vk?paSmiCYtT4{<gqMsOB2wdOCvoq zGXq16ON?gj`)WX7xvrrFX)}c87LXZ2Lp`kLE$)f^Y(U8(FB8(*e8jkhk{QCm<Q&6T z&~7nOk}6F@$7pal$9Ql#$7FCh#}w=wQf7LHNjntG5R$kqftId;B;>&oHjs2YSX={1 z#)HK*gDVxA4XQk4KB!z{F{oT)33d(DYff^f$PB>FA*Jt4Oz}t2Sds~8V_UR!52T?p zc)|x#K@1-EK&ptr;~q#QF?ieqsU`+Zc84VALE{`qY92Jsfh6WZ;~Yp@9yHDwWJwv) z<QX)f18MUN8s|V7J%h$MkX8=~>!Qq!jX}qi8R?mr8e5v7A7Hd~`y+y5N#>-DC7D^6 znObroPQF1qtw=KH3Poc{=A?}!5#t(4#*zk;a|~mn2a|J*2A6Y;2bXh92A6Y8!OkIN zOn{i2MZs9oAS!hr33;%D4I~{87S}+M@nCVy;7Y}2gDOv%4=UGK3@X=Hf?Y#OBafKU zkL-mC24Lrq()T9DITVd0nUgk_L|gYj8ajg~d>|FX;BgP6iWofZfm9NM$32j0V$fuF zNOB%D&Vi)nLE{`qVjeWkfu!X@<D5a3lp#%?K@&QVHqW4O4y4gDXq*FS^^nwdH#asj z;o>y3&@(p$9Yu{cwzDkY0!3pxMkJ2y5aAk1#&!mia|~mn2a|J*2A6Y;2bXh92A6Y8 z!Oj^h`5cmx2a9VU33;%%29k~ki)$dsc(Ax;aHV3iL6xV>2bF6q29;|p!LA`;#>~vz zzyiF-&B(;mzy$qPg3|@(3C@_AkvL;!W?^K^#c5=!2WnFppdSt`w&WZ|ttw*@TUA83 zhLTp*U~-OOZ1iAqj?v(9j`84fj>+J1jw#qVB-M^Y<SYti%!~(5sRK#KgC%Sr>3FcX z29k^ii)#i~DmEKbdCGiHxyE8pxyBOg8j^;xh$#IinK3gaafXTr=TJ0bHmKYKY3PtN z14GmBfm9HK$32iLV(_>JQb`OR_du$NL6hAf$$8K?2a=ixjdLK0dC)iql9mUJa|T&b zhBSEwP3S<{JcGtLkVem-aSo)_L&{i^fjQ{nEh9YxV`CEo6SVoVzb|$Z97`hc#1b<D zQwu}fGjz7$yD2*_$%w>O77@;&sFgLS+yj}~AgR`-Y4|`UHwKS;Ak!Oz$32h<j=|#| z$P@=jr5zFJhwM%jBsmWn=Ri{Ppm7c)F%KH&K+^J{an2x1%8=>0K@&QV`MN>l9LR*- zpm7e#Gj^sX#%AVR9EN%phL#2f=x2YI$gd#S$}))+BB51hVs2q!0<zD{z|aDtRp&1} zg`j;Tj@6r(8k&Mybw+yNWmo7kgwN-9Q#3<pNZJe`F|MIxhHx-B$1pZ}FgeF)a5=|# za5=|ha5={m>>N^NdWgwc6wDABk~Tv~OV>aW@?Z%YNID)Yu7M=u!Qz_1m5R*<Rh}{* zRIafYRIafEyM~lTB{Ag<*_~MfuyaW1>l5P~ipH)CNgGR}t$QF1oxu}6kP2e(xCc^2 z3?BDDDv8149!NDYXtFybIS(4=KvMIdaSkLg4;tq{((<5j&LB(5kS5Qd2^~nAXV5qY z(&!m9&VjUgNEu5qG&VE?jU^dd7@C`-&k)A7*ApB|G9qd0%Gkur%n)Rsp{a!hhJ7*d zVFc|nj1|h_VsdjsI^&5;I5{Uf-cUa?ued}X#LO=(G2!Ac&@(WyG&eN^u?#JY42=xY zCP43USQuEzX69v<WG3chR;9)#mZoIp>lc?KmZZiPrKW>cXOj??M&_1=mLSV4jg1Y= zEYU1Gy+((i-wa}fQn;9XLPNN?b&U*7%uOte%}gw~SRIQi^O8X(8yFaySekKhf-a;n zwJ<jUS;8s7DZwhislckiY<j3$%fLzz!$%;2@cg2bXhZYZ_{?}ip=1KK7@1obb8#9Q z>lqoE8JL0w{E%(wT%c}Xr2sM%CEP#)U|TF9mJsrak*T3M7pJL-o{5>6r3GlG1F|JC zx(ax`Vq{31EyhNsW~jRxkZn<4EN5V)2#)vo%)I>45`CA%lEirb(vtYhypm{R1F$8A z1f#{s*c@bwv7VW!g|QLnoHJxwY9&FofWilRfEk*Btr1Ef6fG7e7F?W0CVHj@My3X! z<cw?!pS>)$<P5UbF&b=xIT8LaFyP`evCuO$v$QZVmH?TLNL~+kWbwxdB)ACMVs2!} z#c5=$XJ%w%Y>e)Y?o-luZ80R)7Gq10Uo7=Z%}mYA&CvXkr7eZu77Iw6<PwMzNcu8I z+C2jbGgyZ7IKpdSrG=cnJmWL-3Q9|Y6LSl4QsX_HLfxW4nKG}qBtA3Vm|)H{HZU{d z;xsqVGd2O04rrb-f5MG7XF~l%DEk|lm>F|%8XD;tn;V;(p{IiHHC%Wt0Vg#>AwmIX zWCn^J<ZVaD0k>x>E8&1Mjg1GD`SF?YW(4z&fsv^>7pI9OVh#h@x>@PWxbuz)Bqb2a zod$-+7PxIFKFEaI29QVMK|uzp*tiT03?O^446)U0hTw|8$k4z7T-lf#m>3(PUxjuf zm&w3N9y!?|7i-|U%`%qA7{Zmb)h%D?laRDc2$l+l=0>2>%}~$G)Y8}l)D}UGA%@bY z`uM6gBV$l384*kehL%PqxMOLV*+YFSO#(<6N@R^=WMW{%#c67&XKZL>hEckO<ln{X z4?}3}NidIsuEOIqHq)~(H#0Ir53S|*&g<i=qM=17!6a>BX^K0r-Zh-X9a!M#Afn<l zG&KRYG%U?5EiKV2&bOV%@dp;v7D9n#U}#|qx;N0o*uWH{R%3s&0k0*HltohRG&C^; zU7=&3XJT$-Y60>U@<oL{j_dUa<W7rNf(hBcz}ymd++4r67I)l$OK8G%jiI5X1s8{< zo{5>c3HTl$<oqabaxLCaGlZ6!T_oHhZD?#^Y{tc5tY-+m2nekOU8A;IpOSlk3`m=Q zBEm70OfwH6*BHh|4<gqX4Jy|d4=UG~3@X={f?YF6GB_j=4-&^f((oX03?vB;630MN z@E~!_pi01IgDE$e4<^T03?|1|f*nK3@(5xY5)|C~V?f&SIU-y`(X{elat@?UAZ2u( zrlA9=6$XuSAoaqaaSo(r7&Oj-)D43rpF>jeU~vs3ArBVUK+^GGaSbFH4;I%9u2c+Z z;tZCsfwXZ3i)$c_oWbH6NGpfn@Svr+5$+)<|5K}Q4?!7$Mr#cT4ssb=7~vV@3SPPr zw++xCPJ%;&=Aa31Gd&}7OEU}5<_+XQuC7H(@DFl92kr@4Vq$3s8rL#1H8eFtx8$VS zJp7hG!-~*+s-cC20T-vCsh)+2v7rHI&=J`yGCZ^K+X5Zkt|fYM-O$hyG&*c-u4iIu zVQdMSCPE(NJQqJj-%1}ea*i_TSyG-EpPZjpQk0*QlUk&ol30@HR0*ALH;)w}$$E2B z@Km^og@LgJXciIK`Z_t1tv4gldK0Mi<`%{n)BTBuCX?!WQxdH=ge(LAEfcT+Ed)UJ zeM1V#)|-%Ky@jO_H1N$0%|Mg)$kww;kZip%iPoDz1K-lnz!cs0l7}Xd8u&(pmtGhe zfM%`DP4!GH%}v4kypZ$PN`@A^`3tg?z=+^1n1K;!2fdNG9%vN_#$3g2S92n4A!)9{ zz}(Q(95knBWNdB$o~}ZU$pBtMLUR@7M9ftf85?nNS{mz_Seh9bfl>={(7h?VsE1|J z4ZIE~8eA-y2xSvpEE!<d8OR>;$lHs}GEh0?2%Z=<h!rX)!7_7815n@@7#LfCS7adD zHML{6o|P8JXnaMWi@%?1yg$fps4t0{05*UuJTQe$6@%93AX{xExlqqa24w~~J}BNF zw5kPU6KKFc2kc9#Stg6yGDCw{Av)S-NLSm8=xUoWU2QX=t8J!qwatvKwwcq_HVeAi zW*JMzf{U)1+la0Oml1vaM%RMN$e5mq#E71j(bZ=r^z@l2JuNe%r)B2!w9JB@mXTN( zLsntpYDNAPX~k$o`jFp>B*HSZRwS}zq_!d{w2j2Vm_pk~EQ~3%jl@<Yg|?B{ilops z5?hfJ+D2k4l0w@^Y(-LN8;Pw*3T>ln!9~~1O=>HWvVbJD6-l9ObS=0@ZAB8{H?%Pl z<O+wxRwQMXk=Tl)%rX*Nk(60RVk?p|%SdcRQf3*6tw_o&Be4}pnPu?8m=oSK#I_d& zvdhu{b58~GP^59P9sUtlLs<KmD9a2mCLNJ2<MKVAi)AbsGzJS9-i2ps;w;110D)}T zP6ZeImccVMah73hQ9`y%;>2kkygq|xYT_)z*sX+Y*+sEv{FcEpHF1_<>{URv%zevG zZM;4+ixsK?4^|UtnF(Z%l&P_)323qv*{%aYjoKv6N*a=AyD`LeBSQ;g^f}Rej~z+1 z-5^%TgNx0-BqOz`827ed(A8a#X$TMtx+{=Df&siMn1O+T!HU`4&qc_KNRtqg0-$*X z&|D3wSz*r3LLo$&1=@!VauimR_>m_KKrRd;(o_O2L@^iS+F&Bh#p_x=(B^XJK68*` zL#bh?0IH$!hC;*|OCSu8T?-0=Kx(*_Cnc%0q$EGDSRWJs#D*#Xmm&-WxigX)?i2x) z_$ae(AooTSX*2=%Vj2!|cNr-~lmT?s5R@~}_BnyGt0so~(H2g)27#vYic-^sGKlmP z-lVNe$Uac;Wf5r;f#4%(7brAK3GBND1soTqMX-(6;6OAa<f)|6Vz;8yROh^sXy|gG zVq&aC4@t5u1y61p3enrI&;>>Gwidcslit=sHzLy8TIkX+dRq(L+i4_3-*gPN)L5ti zve%QyR+@nUTK+|Dr;(a}VT&5-s96Y;P=0{zH;f(dATSzuCm0XB6HEr)38n+@1X8<A zpf-uQo~5C=0mhbfaCRiPm@}!gI2e9-l3}b+DK#^r2BFG4B{(?;lnv=_ZAv28TKcyC zQc{AU_BKLFU93k&nSzdoFg3N{;xshWvoJ9=u>`G?1$F39+AQ`tsc9u{nML|(nMI*_ znI-Xt`p`AHP@Y?`Z+w1UYDRuZd~i^FT4_mX5hA7y;7J{;8w~VJO-&3y$9@^;nV6bj z9$W-YT$DKhQSxGSf{C7qxtS^Gz!Wn*GXo1_@UQ@tLjh6pVs(Ono|&bEnV~7Dmu_ll zY+#6fAQ2Tp0a5beaDu6ck%<|2(9Xov*b;mo8kIxAB$i735ke`Fn*9+%c7ggMIn?R< zs1jjUyrB@WJuUQ}2+A-G7Q6BbQi~ExGRsn-`5F|8wDTFdZJ=;$qP(<nz_MGGwBib1 zkx5)}MWGuEV}<a!fw=ODLN^%UcLQ++7KLswCgcWUN-PT9U=k~oNo3~6o843hCQDFm z%O=t)%%KgGyiM3LP-e@ej%8{DeHP~I9B(K@tl#hkBR0#>3ldO3($H_{)`dAcOE7>T zqXeS@g8~y6GYRdS$j-qew0R;smvC@qdR}6VLQrB!s)B}ZNs)fBCKsEkf=6b01{a%K zBIsyIE}r0=%;Z!BD38@SCqFrxi_0fJJu_J$q_QAYC>(s~9^{f8<nv9LjE%XNjEuO1 zKtsj(r6q>(AVzs+RlETgv!A{r==@LUm_MfilLnKi<J=+(E6$wM6w`Qrr%*TC1{;}k zF&UZ>Z}6#o0T6>t2pMd|#bii=i>qh)LJT%0WUw(8lc72BE@s=~12Nc)fWd~ATug>0 z#2Z{Ls0K0E9Aa<>Bj~IwOA}L5&|Vi4OFa`y69Yp7E+%txE+!*O;tjr)C~je8jU~&W zC(GcV_@dOr6vvd5qSWGIP#+{d-T<_-qaCkPOo?|&(i<@gD=W+<3(+n~<>E9k(=#-( zFtPxhjcBH43_5}hls1gH7)gl5M;q>$TS*{K79}RfCxLPSIDLoW$T*B9T#O_n!ej1N z&8;|{Lj0V;2XhL6!qG&}($d@<?0QQ*BU2M&b4zHHk`Rt7_s%sZKO7BXg_5~AElu?- z4a|&8K|5~E^(;+6rwej1nsG526Ca-&v^&kM#F2y1Ij<z%DLE$_lx#pL7`JyxNWo`i z+sr9Q!G^IyO^o1EXiY2)ETBPeX=!O@Xh5omug<D5w=yFxFGYfKP&9b7*f>5f-c+a_ z>;^+)6GPC!l%@uHMwaFV7Uo<ermCHf;>@j#iE)E-yl-hvNl<EWX--MBWvoy!cyqO- zk+~&w7qle^m~%0jk`(J53!==e)QNC_4```!5!g~Qb7NBz$kuC+off9xkS8H81sx7G zw^AcqbofM@$3jEe$lTNdG*n??sb^_wVrXeXYDl+t_>mLR7O;>uvoJHYMDnx+*wZBB zFX2ExVnZ70Yco9yLn8w-$dRO$hGv#V#-#e%U6U+dn}bJfI899TEG#TdKncv$P|v`~ z%-GC`R2K+JdYN095Rt%wQBt@eWYmV!#8}VN)ZEnA5S;QXLAz^74utm+R%AKBC{_rP z;4O`eOe~F|F0iz;gyb?368t4eOCl4z1vKO>^vo@dO`xp>OG{I8W0FJu8>1yz-i8d> zaT=TI8Csee8-dPYH`6n<Ft9Wuxp;f`Ly0UW7(=qXk)ElsrJ)5R*BKgG7+8>;?Tx(^ z$;tL+u|jp=<_YNJSu;@c#8S_~!r0Q#kks_wYpGyvWkk5FLrwnB62Mf?+}O;-1QZ2^ zdIrYkphH(ltYO?<%a~gklWIRG`$20M3($eJ$UP25W0D$9kw2u(t<(uSz$Y5CiK7u( zxtp69nwi0JoT;S|xU?l9$Nk_IGq*A&Y-?~(JVxDK0<qV^*a%eMBNjQ5=<z}qQFAK| zB1%4p&l9*fjV$y)R|FUtb8(u3%3DK20~2tCj%ZGr5bECbS_qq4VJ<=`E=hvcq~^v( zrl58c*k8tmrX&}q54D8JNl^x{n$*I?*uuaVJw+K3-%>3S6C^f88A0-~g`Oek4ijjC zGBGtavLH1dZ?5JiBOhCU3o>IfJxfy~3j<I=W~OIkWNA)%IieKBOHM&%3a`V=3`{{c zM1Z5+z`($a)BtGn<sz~Uvy2sjWFZSvLo-n64YSh#)HEff)8)LFk?1UB1P*EwV?7fK z3v*M*?GBbkW(MXYNA<@>MsgB3!~w<zdIl!u2B0HIOpNp_%q@(JNv<||dKk!wYZFLf zHv-M1nHxh6XE(4gGB+bR1R6~l$VlvFupDk_W@2Jsf|A2Y>ufJr{ojnpUWp~7!DMWv zXJ%+(VPeR|X=<crZf<U3Y7VNVNJ(v$li!<>(^NG9r#xdbJ#%wIV^FPS3W|6WGZRZv zU2vfJtr;1WwF!6-z{FC|+}Om}9MoDt3<8*f+(7i;VEgQ=W;g}`3}b~rBQ~J1D`QL0 zeHlh3dgkEqNK$k4?0uKbtR&%!MU(SNj6mJ%_`G<7c+gk_sO4p$XJBAz1Ug5^)IiVJ z)Y#O5<dzrfw!>zmx4bN4g<2W8I1P>UOwCP<LFI^%nVz|+nW2dxxGE;4$M<KynUy6G z4S?XF_~O!BP#8d$8XCpt#hVDVF>-NO=$RXsSc2|BKq^GReNUp}^!tRpX2g!T6lY|n zl?0`xXBL;F7J(dN0P2Fbf*oRJZf*`b)QfC~C=2W|BXXn*yF2Q*I6=j$siBb(s455L zGIEO7rr(>$NEjxu;4wc#J##YyBT$#v#8S`D*wEC}gp0|{fQ!ip(MTgW1|Ror6R{zI zWfa#aRtPj4hubBV#J8o^yk1Xq;=t|{&~TiQfu6Ckxe=)7F*emRH!?8-^^uLZ7)fZ= zNv~OJW@Scdi`~dPJ`a4u52*2MU}0%!2pI!4GqE%@1CJw<G6wp*YK@tdNKR35PIhu$ z2`EFt3#D?Ti^5Q9Hk46Tg3WX9t*gwev<WR&O3Ey8&MyV8JO&lIMwWU8mIg+kD};~= zT_ci8_FrksaTmHqu|h4dLeJdH5_AC<xrLstz%nx{3!<VCTbT##bedYg%6`yVZUY05 zS4pYLmF1S25!vZ91Q+-m=6a^4h8Cc5#n?~}e8q?X7bCdgM07!`{cQ=cxf)CPW)cf6 z@XajEjln|>#<2R82{O1%v_oDqQQ{CYSo7V|%+LaK*B?@WPg<6~er%x`u{~fzNbzc+ z2WqjKLI&H+%}fmpO}W6{Ct*UU{?kmN%QP$zVFsCEFf`XQG&DD~Fz4blGto0Lwg8Pk zGa7;#J48oBP1H;?(xw<d_r1kifTtKBS;54>+!C!1MOq{*-8h}ttY8f8K!AIzW+n!p zDwf>dYVWFPWK^-HkgRB-XJlb&WMBb4LlWG=gk(h$=AutCO{E|!n!<8`iGhV7sH!JB z2ej;%M05@?h7|yY<`$*~kRvR~^Zmp0Nt9#&sP`@O%uJ1pLFpgreKP}4g-%MH{;zTZ z(cXvlqD=KnjLi&<4Y)W>&GalyOe~E_ZumbapFmD8$~ac2nURar$WYJ3#1Pz*G&a*S zwlp&bjg^p==gvOqH?uM)uH}z3{0MH$m<uI?jsrF`F#vVkL31!hMh3=~mRwBW1sN8^ zx9uXn^qN_T!TVXz&3W-DNuW+v8F*UU%+kadG|6CQre|PmXlVf%6+*0ZAlROXoX}%N z_!!F~=z8RM1Muvvk&&J;Xf)e`i__Fn&&a^Q$PzrJOiI#P9@Il_(P|EfXEQx>6GIC_ zkR#0XEDbD848T*Tq&VX0uWl0K8C<>_8h{J<WG+r4Gd(jC(10ixrwO9ZPTF8em|7RE zKD!a5Dl@XsGc*J(PvGJ-0nMqHS%9W;NNYc826d3uJV*G{1Uy-7p=V}cX#gIeft*Wf zW=3jpa(hz~u~ivlmj|d-XJ`l->;!e_&5g}04MB^VOhGL>SS3y{i3gl+G_x`x)MPI% zO@gm_hj$vPxHt_B^vunSz~h02ph-Q@h#;v039PRh%&hdV6ep-FTTxcuL(;f~o+0Qy zV9=0|k)D~ki2-Q1pR|adCRRsw8i$Nwn1QZ3Gy~10z;AQ~MF1lS9p-yNWt7xt#^A9l zBU3#iGgDL09iL=(qY4;Had)GPV}%OAdD_&}*uWBWVX2uOm}w4bH6rz22&TCjg%UF> zRg5&3lA4oPiQY2>S6ZMBxQV$j=)O#HJK%G=3Q3G;@Ol_<rDX;w*9}4UDI0+%cZ@;h zx{<LFxF|#NIl-ZkmCgC2l`{xOm_i%@8vZo^pLcI+qGxDsZfRx$4t^5GB3`MH=m<z* zXA++mZ!VO_#bK&vWMXLqUb$hYX9+s3+k%V9$Pjs18^I*7{cEn7l@dl00H1t~GBN?0 zGBq;PvotZZG&kVlGy|<gvH;a<jHESM4(O$uku+rr_B5!k0<BOD^(-t6jlnHfOFc8_ zbUkT>&1KspveTd`q{uVTGqW@{2X*8@Z3{#2rWVl5EeX*dZyigbE5JbkuGY<jV!<sd zXv-aGzbmNkNP;6S&5JR!5==~vPfSUUF9zL(09kkl8jLm5Gc`9b2Q7*ryF@Ko5=uc2 z$r#d8GX?e3%nVJqI6-wA*vp_aPSWbliVzCiVH7Kr&&6qE37R4>16_JxVy0(gX>4MI z-nJl^-L#JfnOR|;J^&8)OhkS&g|?_b(@myk;MR<Vo{5>Exg~gHfRx6g<NZL=N;*U$ zgO+s0dgexEW`>~UA?A8U#^#0wkiHTLsqCVWA8C$26t|Gd-Bi!m$iUp#oPs3K5a3Hm z<!%J2+zs?hEKJNmy>+rH_jO0Sa98f&-D{kNCVGa3CdMY9MR~@s@i|aIOTyX|sRB1M z)bsZ}<1_OLN=t$ha|?1(qs?MLb%=?cxw)x@p#eB~gVukUf)=5hffm9L-E=L?btR$> zf%OtVC8vd%xf!HaMpnr=`=AqP$qA9B&4d!TK*4KnY;Ix(8virbgAL-N46zezL0pWt z$2y2_2)c`c!wfdK3%^R5i^<R!IaCQ+(8yqCW+jSkrL=)iIi&D6GzBfyLN5HleM_Pv zPV|@sDboaokf9@UJyRnyOYqG%<c?t*Pc@+=FPgy0V@o45Q!~&a3`0F5Lvs^D6STe_ z!SeX>ZUbV<V@S*1&{WUN#2D;zvNJ#H0bSgg-wZP4W}s(bX=(xXmxZ2%k)?s5F&C4m zA!&O!LJ#T?9mH6s+#uWHI1SD8EG*4UKy3gsQ#~_tLsQUNF>oaWOJxKT@~P9>WVZNB z!PTyzo~fmw8ECEov{=;C+|0t5)M(y+PJ@_eHh_-Jndljr85voE)|Qy+8JU|{m_kOZ zNT~6SZBZw&afe*x6@l}&p|PnYxEo1s|IXm6nwgavM&ll{e`g45aDYZ7P0fr9xH!ok zl|0p`N?PHJ@VGf>CA6WDo*`)Ezd53hOLE87vPp$Fj~l^iB_lmUOG^V#o-;Mp11;;Z zL@!GSrgQNmC343K%^;l$V?9Gl6Ejek9_$DMGjo#rln<m7NlbT;x)IW;%m6P*u`n_; z0PQz1HrE3;wn?5Bb?=bJ+w?F6x1|hC^bCwFO+c$e$u3UUERn-qoLYiT0stL5VQFY; z3Tkj5bstFUFe)m_;`I=uacF3wXKri+?jVruA)c)=xIJVZE0o5?0ZOU{#^9BArl1)^ zBLg$=-b_#fgXlu}F+0c}<km%Eay)oR0%R{?8aO3b8XA~^mYR|6okR6fW>!-0$$?~) zMOdJf*x+F$BRwNia|7@KbW1&BBST~83;_w{?Son3WTku17`eF+Xav<%&lq$-2dG_Y zp=W7fVr&jx)JD>%(o}IXD?Q{uMQL(_d)CpQldAHHOX4%*L3_Lmz|--@dgd0!;Bgg0 zBUqjzbv`=OLY#swt|26^80Z<BLv~6ctxq5=uN0gV!<$!3AerA>&)6Ka=!}b#?8J5U zrYP>bVhU*^f}3pS;3Y%mpjzF))Rff5L%|MFVj2%dkj4Y35HK_|u>@U&X$e|rZ)QsJ z=;V6^QL+*<s1P><4GtR`>w$JQfEM%_k=uA!CL==J;4tJA2Tmg+Jp(giQzIiTPE!*- z3(%FA=<PIuRp{xdLS!}-&4g+}%NUJJ42?}qxj4;1zP2zlF$RyxlF)08@Dw6$NkLG2 zBxI+i2`JPJz^nF*^~^ylu}#6PH)z!f&c-CHDJXj_NOZd$%d!$f(BcXX3q2zP&{P}+ z0rBvbpc!#{M$tn8x>V27+`<5~m(mP0*KTM4YEOaF1JP9!hpzx>4M{{x20Bq-q-SIX zns5dWHIP@Y<ZF=S2uQV32uTRW7UqVaG-<47Vq#_qn&$`4L&E~XgwST2|K<F|<wUbs zp-S*vpqYW01t{}_8a$Tpxj+)K!68OIGb>%p^>z5B0>K&3z|s^v`)X*WXJ~0*XhQOS z!WDMB#AQH~jhsf1bzQi7&LqqNe(dBXwj#yeafbKaz(==}T>wA7#YJ2v#@GP7GT+ct z&(Ofs1T;H?G|P{Y)Cd;9F?Ts}&GJJA<3YDO8=4uKgKi!+v(z&&HUZ6ak~lP}c#DI~ zCF_RZCF_vJsRd}o3aFQe*dah#OQrlG2cE{M5qO%_&`8hN#0WI%!D&uz{{4H6ow)pK z4sNp;n&_FDnpqlJa&a1(>sc6s>NHZ@ET2!Z6Vqlf19d1sixw<Q4GqAz{*oJ(`hjeC z!qO1DKMdBhH>IFwzqg;2xJ+SW87q{_#bK^zVq|P!X$C2Y!OMI}UFFMngvrcG3At78 zf^w0qk#TIiK{RA1Iftp9nUN`YcZ`Lpo~5w~XlW~GFD+uR6Tv(f-uK7U$`s2w3@m4! z6qn|L_D^KS8-sF&0c2ANs5@m~4q8)dZm4HwW@JSAfZ6RyzfG+yF-DF`P_Ir0oynM9 zlvo)Lo-U3CpRNp=sWpJC^ETB3HH5)!0CG!;^!VSVWUccC1&0Y}RE5Jr&%nqOJj!5U z1Ul-#i1azMYtz4y?+R0)0&rj2!q~_NwCC0s><UxRYI8$SwuUtW2&M~jr7xydDk$kN zu_Q6xzqAB&r*gEBMQnU#JftyN2Wkr&SQ>*45de+hl2;Y;o&97=ToVwzF0KI`L}YAk zW?}@&>lS*3;HB=QW;_<BPo`Fe$R5Vqoi>V(2Q|A4pdBDHQ)4p=koBOhfUyB+s|ZS6 zNU+)URp5guQLAH&A+xoHpqV<*Iw(lFZDwo?I<thdDoI=9y(vlCQxI)lSjW%U(8$2V zn1Ukq*TT2N`P&54+~ly-votd?GXSj#fsb8~*dADt^465#xC!XUc*wX3Xy2LvsJ~~f zXJ}w<Zeqm6X<?{mWMXCtnqotVWP+J$o4{LBqPFiLdO4uIKn6mkTpXZvNXFpfkc^G> z42_Kp4MFQ~EWk5;uv|?rl^qXwX=+9AWMN1FYY1r{80s0D8-w?GgGP%iEe(y)$Epe1 zdW7?ZDG}=skn3$T&>C&B8?$WQ&rOM&iZG*ETS3f=NIQ)zAY)RXc^^}AV^HQHw;d$C z^O-5mU8feXCZ(Z;u_Z-$)ac_A;_|2^%u3M04bZ|pvRkn;eTcNu0v>@T21cM^YO<|7 zSNn*_L<8Ox58B3RY+wM|&TDR}XJBY-3F>~5RsjE1d1Pv3g4yxKXnQ%w=a%M__=8Tf z%}X%?HUH|tU2hXZa|6&?8Dnr_GB5y-d62SThv)1=B5PexkpwCKO+jmcg>tw!O!Ukx z%*;S1FqnfE=$U{vG@~qRAXqdwH9R!6Qp9Nb!Ac<bxHV|Z9A4maf&!eZ*7bx74+xk2 zpeaH~*$){r2hSRS76KX=nwx-kP8k@RTbNpcNBc;aH8_0efhlP_C?MetYGs)rPgYXW z&e-<#K5?ns2vIbem|7Z`bCKUAwFx8APS6oO@bL^&3(z_ta@$i2REf3I9A1KeCW6f< zXv<g=Yo`(92oqQrl@dFhTJ91VpC+IsSm3q$CMKX=F`Q&ix@5=SH6`3fH9>@{xf!Tr zCc8S<^tnZx9}SHWcABD(hoKbB1Pi9Hg*S+^)7UUpC?4DcGBY#-ZD%$?Eb;=+>A@Oj z1Y3vd={HQV?bHSz_`_kLXKG>uUONYBej1sBx1*ERr%Ua>PI6%n>e?D03LjI@UUae} zvLgN}ae-=Ri4u{NWRO&1?F3!63om@o6Awx|pJ3uiA=*xJ$V{k_o`s3AsX1s6&Q#Cb z#L^hF@QJhpd-ll{Q!5#anl!gK9k$X}C=arr)!fj)z=(^}95jAyX-Rr>e#7G{rdU?- zgRFK0PdLXLLOM-(;N=6xMkb)Gk7kB?7G`D^2B2PxA!x4*EME`|&Erj2?E|+FV1A2- zw243?ViqPQ#+KlHwkDth{=oa)Nof+P?6^!;qs$~0)JrtgGd49fHa6qpv^3B&Gcq<d zCwW%TEABk0y+lZptOb0giGh)^p{W@cC)oo~r(T>iCGU(EP+?&T3I#JgQxg;L0F;Hf zo{6Qog)zy?db~d!BQX?ALDn09j)XHb(6cavENV0}(lavy-9JL=c#xyRVG;^UP@6H{ z#1h;x4gs}{Elte~4b4H@W(+~M(2#tR@3Kh;O|7^JN^^=+O)RoKs)XveIL!1cjSNjd zX$w>ZkTn7^@#=n(eFYhU0ChM_^o&d(tF=r(XZe9n^8^hll9IbFx$Yss0pJF(kr_Bx z>p_*Qsfi)%7%)o<0~2#g@R4sMG{RS~>>(?68-vf}0(JV#P0h_f2eMe|k>`TCd%KAo zaYyU*L7iZ(XJ%?_YHkiG;w+6o+qy{{0{c|Bi##VldcNj*mS(2l^J~FnjHNl~3{%oJ zX1&<ImAGgCA0q{sbuff<#~}0E7G~xKrjSEj$lH$SIeRm4gBsBFgT{L1mWJTD7P6NR zxi8p+d$<NVrDLIIZfI&~2s$p4+);%y3pS7!yN1vj%*@Ep%)$^non>rnX>0~AI!VZ5 zjJ)ef$m8Im(-_iXfVaO)K^rc~Zf8m_Uqf6w)5rkc&NQ_!1g%6Sd-&*f+bZJh1T92_ z`P0k<R8x~}r=TUVb|Q7gK+O{hI=Kf;iM10kGzaQfQ?hkfHFYI%VQU0Q!j_=37%VMJ zz-P`{m|2>G8eu5SX@Z?GudmBVY<`$PnjdALdfLd`$Q(2c3_WE8H2nr%TupSFH*dxg zQxeY9GKv*S2jy1_Lt|6Wk*uJhda?${!e=fv#kY?OJSo6|d0!K$+y7nJ7MfbAVwrYE zUGozNYS!0+Le#*}(g3vG9yHNyVqj=t1Ri}O;pD<PwfQ7Qs3~NEuMX6Rvotq00j<|3 z+xm}Pb4e`|q4wv4BG%Z{(h{^b+SpRh+{nTLbZS0neg8$u)A7#df=gjYAzTOEvu0po zWMKyBN12+M8yZ@Y+S0RqHih^AM{elVfCAja%+eBcPCMBtnay}I;rU$9B7!{d@@V*c zZV9OMZfXQtn9Rj#YOZHsYHki%Ar9UaLUjIB6P#>nMetJd;^Z92UED(Tp!0DoK-VOi zb8%XNHicMN8k&L|w<M(T^#2n{ZdDmWT2+-GZ<|>f7=v!XKpJ01DYgifnp@5L36HOX zZi<EkI%s6u0MfHD02R`Zg)^Y@UO|Uhla|00|M!xR!64}k(rt#Um@qLnw*XC9nZc(S zNZj0>CfSQGhZ%!!T!-`!b3t7h10w@d(84wgOFa{FOH)G&v<yaYTx9pDPD0}_khleR z9gWS*j7=@MI4wY@0)qA)fLnDWtkPU}r=5fV2A3x$kOHL$l(<2Q1wmCJ$h)SdmX;Q1 z1vo+Pmie}uTB(CZnKBb|GOJSK!D}`2(N+l+C+Eb61bIRdm5GU^0ccMf*@F<4>5ZiN z89EA43o676&CSis4Y@ciK*zrsn~=UjgUhLr_%aj{<Vcm4k(q%RD9Fhki83{)Bh~vR zkUZ7E2&%O#O-#)|r&f^NO?2O1Lu6M5v!4jAH$iKl!DlEK>lvCFnVOP(+?&gTYJ9oc z2(-Z_9+J%C!9}Q%kulm<Z}5%`Sj|DO2u*RW#ySfCKFAO>{$K!FZq3DMWUOakY-VX; zj#g|Cw1DA7B?*xVE+`ElLqMQNHP*8*FgG<fLa(JrSaP@`xsvoqg;rDGlGD)0$k4(J zREC0X4>2V9Tnz8Ba$?q_fX|-iFxN9SH3TiT0i6e8Y-$K<Uz1of+}~VGa)?7#Hr9cX zl&O)05on#YrIDVYg_)rVxFtf$PO-;N3Q4dZQj9?C2bW@&7RI1Mk2ozX^$g4m%s@xV zk=B>umMb8&6ocfbCh*06hM)idoyTINX8<ZsEWkAv3F8s|61k>U=7g77_{SF%<tL{W z7iZ?ByX5DkLN+IYcN&;k7@L49YqE2lo<t_`VSt?Jz)QajEzAr|Kt~T4>6uxY7#M>l zQ9y^tBC2nK)#o3cOrn>5L5BG(Kxf38fO;L^6M0NPvl=9(e48y9#5G9KhB^&mLF-G* z^-PT|OhJ=Jrj~lfCI$weSp?E%;utoj;a*<?TI2^UyHh}YOcMhW@F~Sey>^s@PB4tQ z<I+s6Br%#OpaXXdqalF`8of0)2JKrj2F;9+GkR-ko<>%ng6EMz3jhqv4Gcj`D2)yE zEQ~-`w~$(eupUh%H8De)1>j&d1}$R*U6un{(r5%;n@n0TZ?{gw9n8kyK}-uhb2Cdz z(BPVdfu4!EDd?1c64UPbO9`ZU5;6h=swNHfjLa-7K_!Nvk)DBtp&{t7Q_zVah_g-! zrl#a6v8Lo4(g+&^Gch-@1RVux1Uf^-+z51a2WefZ4Q{cdh5%%$CLffWObsk8L085g zbtXx(zEeL2Z_~>Xv;-ZJuIfNbz)g)!OhL<Y$@cHQo=6hvNk}0L@o+X5Xy?D7fsrNX zzEV>oJrhF%W6;bx%B%>%7~d)qVQM9hQGS2~T=QU4-9lBM?v#-QWCq5>QqR=X)C|<H zMJX8xHUo_ohnrgI6Rs4IF7hyr6-ohhYfKC*jX+7;)L73LbgDi2oiGIbY4|@FcLp{E zZ7Ky7Q-((7mY{7yX6B%)u1pNTg#;<>9>dK+#HU|Kvj@^YuLS!Nw6qdscP)vtY?ti< z2@i%Kk3i+c8wfRk?kq6WGd3}_1np%pGSo9QFtG$J2O%x<T<Q%Vu986NI2l3uj^IMt z+!%Cs0C<&%F?d4-S{*?!j_0xZ<BDS=&|%G>Q5OR}OJfUjBSS9awP>XIQ-s+cZy^ER zrvfP?Qb1i&&~_>CagI2S8zJbg%s>xZ{xSrORu_Pl>KmF`7=z9Lv9!>$G%*FOiv?Xf z&Pc+}?ApVw`21yT2rB#_!#m(cy19X|F=+nE5EP~+pdCmgR(H>Lxe%XOz-6BiBv=bU znZ?4u!V=UeG&cn8dIFtPifTQ<V0~2Sf^CWiZFHv~-XK0RPiPV&*iLgZQ&1i;G}N;& z0WGosk0_DQFQ~idWNPJ3a1sKv@hm?tH6y<yJ~$}8peVICHLnEoVB~C2htvRczXWJn z+EmZN(8ACRebk&_IP8qJHMLRzmG~&5Tq&Saa$WP{GokHOaL3Tt+|UHnk_3<Mn1L?s z1|15D=o1jE1M0(UNT~22B_uq}ndq4rfp`0HlHD<_ePBU+nuAym@0S|snHw2{PUixh zOlxjp3|f0m+DOaHFD69#9%aXwA?Ru}4pTkQZ9JgEGC@;9h^?0-jMi_sVN7xn4c&Q} z1ZwXX8yj1K_M9Q@zXn&4L=QEoD(jizxEakPRtR(kuc4uyA?Q>dOYj{6<kdt9e{@J6 z?txZC;JG&=1IW=WWRIdQv(zRsqCuM+An6QJ73P7)Urfxw!{r=idS(U|#-Lpu;8R_Q z4rbrQTBcSim@O?VQ=K)S6_b>vYk5r#;&K&wvW85&8Jn6}8h{$`md3{B<|Hrv@C{cZ z&UScML)U)ffSR?2My8;%wh;$elGx_@B&9~g(sTpxUP2Sl>HXl7E0DS<q|JBPy;dRI z#6!$?K`P8rE)D}d(2Ngg)4eh13@QUlbI_?;W?W2$i0X@ARk8ZDGSMSC2H--=M9<XF z+#GadjUo63LIdcG1j15+RmPd^$|M(BMv#7S94Jv4f-W)z-Gl<UFafkOg0z0i4|fGq zD`EH{Uyv4o5vWy=0?MprW}qGT;Nfu-W6)iW;K4#fok%cLrHvGDx9<!<eG?8#JtJdF z3-B5((4uD0%q5yF1cOmwuN=um5+oE`K^+7GJ<!p8ptJix(|OR99w-fGg8p2;PL9k? z_mDmkXq3ZL&(zG)0(5aEXfTVc)z<Ef5=7PxXhVc1u|gB6(+vD&DPd~mMyPxyvK3eW zD#bue1JJM~uEh%kBS(3^AhyMcpk`rseo+c+C?Fm*sF(|~(Zblm%-9H&0SpZ+EG^Ne zxd>VqbWDJlDG_t<=5r2HJqygXH#qsRx|HVTR&p`>={riWN^ruU0+R-l>CZ!ardBSb zg>zbINof&gTe}%o%oFDbR}$O&I9vfPa4gL%j6tWxn}AMyGcYnhzoM65(4XDRgS)^n z0pDWCVW?+dZfIm~42o)SZ=B@y<ZOqyP07Eg)BqCi*w%d!4DldCE>kONgt!st3NsGS zWj_Ys1+qwsb4c5C+M~lk{Nfx#(5W?$_K2aG1#$$C*4UPQ&W?9w9i)af(la)*v@|i{ z;<Pl?Gd46fhMr`GsK5wTEsrj-lUzeXn_%E^TSE&YQ_z&TvALe1nV|vbt}<{O6W!kB zaAL<dbOAcS26h}}H7GnmLzy58$sWpVn#*cxWq>75W9*{_4|c^DrKU$4$AU)@%=C;5 zEe(t<L49`%a|_Txr>FxL1cST1m(|qD1B>OT&3n}91GPYj22Vsms`oljh?p3F?l9v5 zb*wGT4Gf@X$dHf*9735%ZeBn}vOy)Qv7V8U0cbdZ!(0!voWTg(-yvm}hwmi@3bqiL zL57*l4GayzN4gm6!J2X?36WsQn$!Hp1jlro5vb;@1=W|v=B9?A1M|opL|Ll-%Y?*3 zJs@K!pr8idw`>eLj21LcMAq!K3hOTuD+??M5Iyx{pWdcUgXLMm4-+fQ%bhSAET*tI z1PfzR(6Ob)CVJpzI>{}T4Uu1PM=rz`Gd)8y17q;v(m0MmBAA5q#6IJ;1-fO!M9;*` z+#DQ-<o2pu_&=JEd<qw|TU8Aj3pFw`F*h&)4LzHh8JU0<1%Z!(AiB(cVgJFz$`G?{ zhMtCkLA`eH=r1G<SAvQwLn8|#&{QkgM|g|WyfYzqBoCq+4Y8U!33saETjCNfWM0`^ z&)CEmbmJcRtAVm7ydl2400*@pWY9Ao6w$_@JCZ;LK$z+on3|e^76YLaiUjMcYjtl- z2p;SO3E_OuD5IgJkum5b1{2U4Mhin@lGmGwWWT~|A$S@WVj*~B1a#jAG&5Nkn1V() zLHE!z86v7bg1Oe@$_o=>k2x|19dpECt_Lb8KqogN_1Q=Z*m%<yc>M{UiGnPo3jz)E zf!ZCQV-ZZ?=c<!9dVfXmxrr4!_!xB;L!lZ_PPDWz1`TL&nCMxU85x?8TyH<!_K5hj z2_7hguFC_}WuO@~6H9YLP+Q8}$kfCP9DYd627>XnV9`Spk`Dp|H=ZEt#lT@;YG`I+ z0J=>BG{R(PY>3_=BbY<hU%G2zWk^JYiZnHDXcQ|1Zp^}LHvykiW&m1rL0UfnY&(gK zSqqru2IeN9t8Yy#^~_97OiUmv<q#Q%pw~}1-Zde$B@MnG2XyqMnVA{rm?^TisQ6dh z!kd90=?%OWxRDXmbi*8&2d6lEV-S}cZkkYI48kZ@2weY|n^~BfP;xwlWZ6}0^$&Qy z59L-&$j&QsJ<#3hpgkJUMQRw^1_;LS;#*hn1v6xy0wh1>gR-)rnWZ7<N*)tXp<-eJ zI`s}5%tW^>J_lXEXQ2_ej)Pd30xHBTEX~b8XIC15mO&UBgLWRFWFdmZ$Xb&NxEgJS z(dMw2Gk}j=LJv<zooXOxONR1!Y%zz~<b^C|G}bdPF*XF9lWl3FX9>CxjO4jttJ`Ny za2}QgT1WytkP|dCYoTXsU;sLSfRpUSo4p545!on38=8fTk{jt+T9_Ld8-hmtjZDlz zS7(vfwLT|w%7m!n(ZJn$=q7Q<91D0*)Xdnz1hfFo&;WGz3;2E;l$1*_iZ$$xn_xNV z1hk$rJ`-}(2_)TuPTnv9U3(20qXR9JwluUb0xeh~%|6y=he@yhz$-x@&VV%742?h| z2An3K8#T>94k9twg=`Mu&9C5w4BSF<Jqtt7jx8=u6VQE52B4E0Nwo0)qkVWS1hxF3 z>mFd6I!q1BxHwJ7-PDoxbT3{D!J9fDP0~70p9-|&0<`?v&{WUF)X)GlybeBi0#*bP zOi0m>_L^83gNg=}RUK%nTR`c`sj?(B8eAem5;Ay<+1Sj))YyUxbR4=FXu&MWo#oC2 zdvKMAMxdcEa9u|6ti{TfUBs1$CeSjNel~(HE8sBJvox>(A3Y9UCWU2skYMe3YS9kD zT~$#0L3TJovI(yCKFaD)f>wud?0~oTji84)nV6awg7>+TdzjN-&+XWXI8aiB9p(f{ zh~SK1Y-(u%YJ8Yj=vi2pnHqxc=3_*xc_Ek)1Y5R|oDm?s-vZFAxv7z*5okl1rKO&! zu?gr1G|)X%j3jJ6YRle&H|v9UX+mNRRE-+x8C#f`S%Nm@qKwBQyi73KG6FXeS&br9 zW6%NldQe9ZbUl=r3HjCNnX4;FcYq-z*1<CZp!opMC>ppW0h(+^TMJ4s2slqH!WZa} zCMTq8oC1nwb5kP=(7s6%(AHQp6H~~JClbQ^3(tHLD@pJM(fG`~{L&JA$U1>|(AoJ0 zv7pW}=&A+KK2*q#Sdg#KOI3p2DqlU%gy50kpk52CEPx&v4sPX|85o#@=6R6To{-j9 zh;5mNt4ucl-M3o{YD=3K8(V^wg@W3gWX&X~otRDHh=2)XA|VHqU_sYOSb*+mvd}X% zH8C&;`;e3o0jZi<xSPD-o<F3T24@t|5RRoO$lHcSrl73=B(_8P!)KD7QH&skMKY+J zU;;Ys&4P;)G?N8QQQ*-BSUZ7WidxM&!^BDgIo&$vmBjlLCFf*=)=YyF4)*R5VhIny zGFW%(bX*C?5R`DhdEU^%$Q-ozp6pq`TkO;E<$1^~ASCQcKw)PJT4oNq56>Ka<N|5; z3kRrAHX-`R1tahVGb24?Ljwy?{b>nenpuEab|{rH!N6R6Y$CP<id26>3Q|aAVrXCj znQQ`8CMFi<=zIPNCJ>`%eWWK*L&!M`)G1mS9`)faS}j3$1A$hzQCfgYyY!-E1VjOD z37$eS(4)AP$ad_(?G?y&JtNT7=%xmS(D`_BqU^0v59z&FNQ~7&PGT`L0-wKZY7D>H z0$h#~U8*cz(@nUZ0`++y^^^(hYKsbp^`IV)1sA8eiJqyMv4I78HAygw%<bzovC;wM z2z;HNqTrN7`0{otB~|Wjobd}f`3qb_nj4y$n1NSLkvC*svADy8xO+=LQ)i&oKs<EJ z95iSE8v8df1Fau2Gt;v$HZe0J`F4eCJ{_bF8h}TOAXR5AsEuiEVrXPy2B|vD4Gh5P z3#pzaST5~VBs~-$X`DJyy(hgLcT^jJr+AF?ObsoKK+Q@s6Fp1N!64`<nV>HtpS9wx z)4(Gmkme6~vd+ZZ+`s^I@R^C8k%1}b5CIZfB!Q>!TL_*zgH)oejG$W70JJyH5)zM~ zVNEVZl%-JweP~qFVq#@Y<lIL_W?D&5YI<gINoo;j^vwX&M!}c`u`~c*ONBCoOVAxV zOqxxs42YVKE-uXlujm1ttA}a1iJ>vrW>WSIl4H3cYzhWt_KA!s7;-GfHu+>}VQCCr zSxsx78)Nagp_wUY;TkyPiLR!|@wrJXWIHVKw41R3_<S@nEGKO{tT`6D&5X^>!4{Jo z>ZI9ifyHhM0~6BE<^TseX?9zJ`_IOD$YE{?zPcNA28FmxPU=J}r1y?yyRoGOd1;+g z+YPb#-O>m&)eVkz(h@tVwi~0_4w?frGzHyBMURj-LCff%DQ;s!(ET&y*iPaUw>cKe zLCZtPi*^z%w?wuabPS87o`t!wp$W-{ny&>{YeZJB(0a`bk=2bsCt#Y8Yxx?G<)meG zgx#i~bAUku8|D_Ey#}Q2cd;{QHX-q}0aJLOQ^Rf&W84&)(+rKwLA&8Nk(RcB+r~ua z^C>@@2=~1}6I^J0FYqA`rl9p4#^CWJ^44>(-ESf_*iER_yN>N{#MvY_1YbT2+PP~6 zKFgKU$VAT+bb1DQ?}uO=l2O-yuSpIWT88vAz&BP|n3|Y?E;F(;1D!o!3Th&uL@2@D zZ1=Qs0s}sf`HD6w?bEt(t<1#Aics$vw7eYsa#Tae9@b>4jHstSE5JD*L$xI1b1e&Z zl7XB)LWN-;ytbH8YXqzRbQ;b8gRD6;0qtP70F5mffv!(8wJ;@ly<X6XG<>Nava|-$ z%?EGpwJ<O<HL?VC6p@w*ldwL_WPO^6l@W3ES0rQ_8)AC_Xpn*8{<rY`L=!7j<l%7_ z<V$HGnH`$!sWYzdRw)r@iUb`tP90lpJ!5d%0=g3|7rffd(!>aKLy#rtvU@XAQ!}(# zID#eD=84gSrg|X-C3QU2n;(wbQx??9X0MM0;>>2?o9d}C8tp0PgVPdo@UApNJp*Gy z3(zDf(yla=<0uG5Ppz*v@$(l{D-1mfTyVz{)e81H6Gz;(n1UO)MtY_erbf_xU?vuZ zmZbNo{=ar0wY38oIf2@30&Z!MXZJUd-6S?Lji{9gx>Fr+rcXo2)=kRybXDxPG9mkR zbTgq!&}IT-a|;VI$jvpN(Mizmf0Wi2!RFpsUn|1PZ;+=lKxb-Erv-GN+7xFXL%It_ zdghiU#-ODdpqUzShSA&EOh}w<H-;41b)fpyz`(@J*c>|eOUC?nZG!>HGgZ*(?`%*F z1-c8|!U$x)ftjI+8Tw8Uf+gVHLwW?7){vtIaMe$wjli7!r-QRh0^J1&Ui@roX<%q+ z2w&R>nja=D!5S{s!rMXvb^YQYZKN8|YHJe%0~639VMEaAtDrRmXtg}S91?y{jc|t( zv~mK{;e_9N0L~;9=0=tVD4U#7d`mC}AH}NST3TQfZ2~Ut4D~F{%`GfVAjJWw6hQMK zK}#5xtKznVYVG%%jVd^^JNRZB4g)<<l@B_E!_Z96(A>-vwBXm0i_x45HabAiD~X-T zCWOzl&&(@{4=OGZ0&TJ}&@(qSG&41VwBZfGD;7vQv&P;^$%Opt{mg~H35DXhcP3FK zoWV=AIMiApkJA#PSfO%IhBGiS1QnH>hQ@kkh8AX^wPvKH`O0v4qNcZ#bKuk4Rp8h& zGB5;9{DKy_nVN#G<RozzRk2By)aDLs_}bFI+#GaDJ@`m((28R4cphR65y4XCs=YMf zQU-Y$EOhBx4anz)=Ef$VZZGJb6HozQ06w^ngynrahT?>62bHX7Wn3|6D8$m(&=}MP zF$8TZw=@P_rGrv&5X`je8@Y(tU09j~KDR_DA5=9{-1Qav%89og0nY?Ork+8krc+)_ zl=yOx-`q0??cfJ3XfOazxLca(nV5l2h(s&u2_~~CYuQb#B;kiWCFhkGMH_-DFKCqs zDiO`}EQ}067g}?GSmtIX;OtAv;)SASb~0B`KpJ0ppk#%mL<BnoRu>TTtNI596Dwtm z!41#6cu@IYl$s9O`4$hVMZv{~fuXrE=te4V@nK*Fy@HX1v7Fmc45Stx29QHD4D`%R zj0`MHK;AYpu(Tk3pQ(W-g9)XFW`LK{Kn~3SuUIs;v;gn>#I>N7U<BMX`e%%1XEbDV z2{O0~&eO(*W|p9{)QpVu%nS`cCw!B*x?|tLzxXUPLfY8_I_k;*wC3Hy1RU(fMiwRp z;H4d;Of=iu{xqi2fu|<1LJ6Q1zDCe<f=w;-EDcS;yKPBJ_LjT88(WFOvv_`K33xS! zQEa>ck`18!ykHxQEYY{k5sX&@oHiH=O<)8iVpC%iio#V==!-FRP7pHzpG;_|XJ~3_ zX=1^}X$ig$6x^~z308uYl#Sq9V=FyE(*`d7ey;KU@tM$#gOH}35$M2a@K6Z2a)ul= zMMA-1sQ20!*CJ-aSRv|^mdaC~8WT}k8paA`f|?u#M&@RquCbw>p@o4F=<+F);3Sxa zjx;?r#(gq7(s4$HdZv`N>!v<<WK8NNY{>3lBRz`CB*BzNc*`Vk<qKJH20jbk)Cjc8 zlZ(^ROwZKV(AWrlRGMJOmT$amjJ=A7RIat4=rgmhFf%g%vCK^@EKR|2M@kKU@5psy zQWt4L)@_5=^&1%(TN)UHi#u?ZKx@Vj%#5<8*Nkx<L}VT-lnZJif|kjFZ|kzqv#>Na zv_zi_B4~>o`&DBsyH!DD0BkE4q`IsI1*D-ls2z;cPXy!b;=Ieo1UJ7RdH}|-Ma7_1 zuSR+XrY7LAUP}u-6GQNAPN;P!LC;R;x@b)DQ4vU+yQotN)laxUOetgrIjhnDba$tv zfhDNQA+OzZROO_xl{@CLND|H(LuxirCk$pz+fPgw7{m%?gFJ3wXkub)3QB<nrWQuV zBwqtKp?SYC{!Q?Z(KPCK?x5ygVmt>~&R_sKZ^{&O45tC;a2^A5BQ7RG1JKpDM0WyS ziS5N#{6gBG)bt>W{7zy#XbQen2XvK=p@|7N0h?PG8iVddMVaIxSZd7G+(2YOjB+?N zWYM3Yo~fy^nV}&l@sL*!bk(jkChe>?V@Nen4jSJ!HZn5-?PfCrMX#}e0eVZ6V4;=q zXtgoXJ0T#kTm#B8Cgzld`Y)d4#CaP%(5aJ&^1K%llg1$Ddm8AOm>C*^4n_j4ZUl{h z8-TCDMeOk<7|ioN%rhpu6D%<$rN|Goen1FRB^l@$8XJKwR^u?xGchtXFtq?5#?45= zeN9D|XOdnefj446(ipf}rnp-la(z0<tw#g!1_@(5Gb7OPVqBa^ArEe95nX(xeds4# zd_jC$0m{Y32Bs#U&DBPr@hVHuPIeNT0dIcw8C&UsnmG9O7P$rmfqOZSECp^+8d!iv zAwh01Gqp6u7(F4F#(1{$8k2gW17wFY=<Ff`JyR1S6VObdfu4z}v853>m>CVZ@SR<B z;c=reHO?+Fi4}?hl`2LCrr>!PGd(j4Lvv6NfL%d!WFK!TF}4yw9<T}oZ2+kRn{8=k z3flQXc1oIkFUQzQkBF5<{_&CEdm2GISE*B6t*nnFrnoYOOsta?mF~BKjHz-avPrB^ z1(xtOBe@&qWgcK`rHk3JL_Yu$9^B^OkqYoGdP@rn3U<-2O1Cm5c=#iz)e0NT2Tkci zifjvW3q#Ox5Ef>7mgYu=MwX;@%+}A+CcVf8cYz^A33$N7*v#0%%oJt71l)HcIwL74 zXyY6(fowxH&@(kNH?%b3;xxAaoi++uX@ojkM6ke`?Wbu>_%^|$(qize1!xQoGTsci zD+9DZk-{8(-d%;n9Bm3tVi;DNk-Tq@bgRv==PS||telKfA}wE;K}X08LB}eAu30wI zGY5?Z8iOX5Ng3?j;H!wc7>h=}K&%8*f*2c{m>QdNahjUxSsECdfzEse@2!BPVuA_G zcCHK&t*+wa9Ot|e&@2YFa*Oov-4-iNTKF1MD`rWy88Wtuk(^2Q^OjvwB<3U>)dcBQ zue&HgT5#jY!=&3hPfVCZPveSdlIzBqx`L#6+6X+PXP{?cYGP<;z{LqVuE^5T!VG-0 z1k$)A!8|qVnE;_7J;)+|a5vG+(9GNrbX0*c=(c1_jF}RG*2##m8(SF?YE8pCPVn^% zC`~@lwlGUeOH1_SUj)Ynj;Z}IBCW{>8TB^MGcqtYHZuc_WrAj54Z*c9QZN&=IVR$z z5$<*6h`P|&AXcawJY!^N02;LfA3+B?MGTajQ5F#pv{HN9T_Y<8!tE{48MDaytU->6 zHZ+I@on!=CCu3}6W@ZW=o;ENrHV2j5q&4KX-nnIjdRmfCkh70BJbEEsHZZd=GqptN z9FpecADlOhtQ?4F(qr{A#LMR3HDm^Qh8Cu#rjVUc;1PMq7y$_jSRNd?VT5av$`}^Q z29}`XVZbdr(DE)&!XnMfg{>DT@Uj^!mZ1?~VFbSS1ZgiCX|9>L^E?HvF$KHEG*+kw zoC6Ffb&V$5Nh2#qa+8ChA<Q{lVCPVrA>U=3FtV~IGebhc#tf}#L3T-~zv`F~Nu4o6 z>@8(bu|!%?0JfQ=Za3BX2c%nV1kb0G7ENt`j!=*@EWk+-vJu2U&(PAu2z0ZbiLsu6 zrI|6taR3Bs;SBa8Mudkip$%z7exx)EBts8Tk{^v=fdDNYC@ng7`0b~}ImTe;n8gY~ ziU$KrQ!~)!X|l^s!|nUXFCHL{F@+~ra|?)L4D~>3FG0DKw1WJF$6gAOE2JhhffwYI zx+dY%9!g>gQjnX#1BcRjrGCOL3IfLjoNlO>t3rf#Q64zv@MKJBTy<ORq%;{LVv5o% z{@r;81u+FlH)il;OsQ+0wQZ#&rXb}X!a0;C<CPP)P~sf)B8O7vB$#iZAg&-q4kA}k z>KgI8n<)t#NL-nMPoXx@GcY!`0NvJUX{l#vVPRncn)x#Z%{jt`z6f^a&feK%glFZb z5qRARWW*R+9Z{N(GZi<HpN}E!6+}L!wB<0_Y9j?{1JZUjhnN49=3{e9N?Zde|KaTh zin|qd?>CSiQSc5RmD*GSS2s|SZQx-8?T?z7gEl*YZo(pO4CMTQbrg(&K+1naAAr&p z-mT)b6u1Un!a*`P_H;wS_{2iiwdAK8OK>B`44$R1MidE-dH;761wjL;ij3fG7_1RR zf@@m-tfDk%5KVDPOPhI<KtV(9C<Y{Gs8`y!HY_7QXw1=44W)I|?oUf7NHvh`ji{us zrWz7frR!ay#5M3110;J>+?@owhTPF6Nc~N{G`wATF~#*aQek5TI(`vy?GR}B7I<qR z2@!Q^*CGmB11X1%;e`#QZ5xKC3(3z>5XYE9?(jCyGcqv&kD!C5gG?+;EJ$9i@c7a~ zBa#<AgIAbAb_PQ0ZAx3;%sLAxh#`3Dg=QtJl@kf+Wsy1sF$Bri)N4dEKb}uPnFpy3 zOyP-_(h~UigZbnqUPx<?dIj)}<?|>A8c20Oy)th%FUU3I*4yY+C8arP|HIi7<S0mU z50Pvr%~8x|v&c_2kTMUEqbPOEr`IznNH&l}40jFoW;qFk&5b9NxCWBH&A_W|4fG7n zEiKF}K$rSjm>L=zlDsV8_SYFi%~~5-;O_{K;Qcw3Gbo4*^rS&)@y2&#It94~5*hIF zjpC#s<q2{PnG=Z6=}hYN1i-GLtbqx448^V88;;Y-FHj(5Eu!S7v_*F*Wf}#k2GU?K zhmSf_TJrN<nL_!H3{ufg;Tnz9y_3n0DM-r>F<C)rOl7K3;221<p`K$3e@rAlWFXZL z^~N~=y_rZs8itg^)XUyqUQ!S=5XT@=Fr}43^EwI~18GUY>jVQc3j4CtV<u1#Pmr>P zihbD^{p2TMh+~lQ6SklsVHEGps~++l0~r`MhL^%-2Bx6P%8(9CBW*&R>qrkJr7$FH z5F?zFwt2U3P#iYYYx4H|?xvv42PygBWevrxtRFJn<R=?6$57m^(RtfNzGEP17;?(J zfu516k%5T;Xd%9ZsR8JYIMDVEM0O&$XsE5f%ZTvOUFf1A&;gmyBR{A#v-Cl-i;@fk zsRR&Xh?KUfY@T*fQ0~IV8mO~Hp}Dh@wAFm@RmKLCmTT^_Iw%MSND8H1Nn+Uuat*mX zBuF8PSYSbELt&M92jxkYdaI~rcePXE97yO;ujTTotet|C1L<2+Zyoi$7)o3N>6ai% z7fMr3jX@g)VFPbtLdU-;o!Dyl*GhgR1gR0>t#68}=Q-0`$af5SEkJ2JU9E4SAf6yW zgOsDNb*&LKG{Hqem(oG5A-D8{xCYUKq%>^oYMLo%)j?tk5jF$s8hF_U%~6z&JKVBt zCO;9wmow#p8gm9lmgc5rAeNzpk)e?x7n7k0=uSr1(lUbWx>xeeMpzDYDlSPZNd@f% zf^5=7l(dw#oO-S|QIKaK<vzSPp}7D2dTJB-F#$;yi0(6`iFA1d1&)E|7-)l=Qpd<E zHBr)BfD|W))(fQr9Su7hDRB-ws-QE}RB;a3Tg@O@7?E%&t)Z{-H<F)<;hhm!;YQ)2 zJ0&g(90O^)z<UG+l&0d!!UhUzAxLS1sD&sk_aA?&r=aYEWGU*^&{M9|P~aL!j-p=J z{K=ujHISI1Uf86at)d`oAX5W~!jIB5fVvkeC@K6PIh=ak6N_UN6a)^W@H3&>8kF1H zODHY;5HUq*z0i|TOi4^ZvN+`SHqaSxCZL1lISlnI3@yRu_mj5Q^52U>qUP@n5xJPs z*4=cgLJE=wq)ah|EnhG*Gd3{?EnhG(v@|oZKs$SfV1KBKuYf4;8zG7fN{7SFhviY= zeMljOsQf6cZZgGlDR2#>)PT>&P~3CpuFD}mpF)BLwy?zjbP~8J<Q^asb0hRqF9@cL z;78fSr3?djDMe|)5EPzGzV9JLCZZ2U6~~a>dW9EKu(mX|aSIaG{FDY|Q_x0(^m`EN zB`D3puA8zbDZwGR#t1%fNNEH1^JI#g1Iagt@}1HmrA{S_f+7Xdx`!7j29!=?&N!Ay zex`y14I-u}4Vt!Z8I&X(h;xvtA_{XA*g0h9aYzdm;TlTY1xF5NP>^mQ^F&B1v?!d7 zPo7JOYarzx^{VOq6X_I`e~<zP5mS`*HA-fITtn`<Wk?GSJ{wPQ4uAbHjr<%AnU+CB z6s3une_0v@i5QZl5L=Wft;8?sq)}4dK++9Tn}WjfW;Qb@aLAo~fR}#IK`2T`#Fn?G zke_ZK%`L<rvx%vpsUa7qp^+Z!C@9hv|75L7Cf_lT(#8<J!kSXYD7q(8T-qR9Luou6 zew0LkYan5Rh$u?4l=|)@@*@h;5Je2?QrhyfDyP6PkR)sZ?MWM0nwuG$f%;2E<_2VJ z1UOxoL|lIfkuWH2hPU5Lq@b*YR72ETFE>#lk^F=KNu>zKP?|8f3lhk83?yU_9RjS5 zAz{+_Zblr%85n8!jlxRk-sBic>O@EuM(RsqTY^eL&-UW=XiA&|sT2_<3Z=!{Z@DP) z6Arc83oNeT6l8Enj{vDBNnyg_6%V5{Xb_H}v{GEQJCuCKKr%Q|Ux~tyk=-3kK?aAo z1`#xrCgG*l!Q=-Gq(6Yv<fU+?GuV{!ph5IbC=Hs;D}pG9C`cuRSny71U&$lhpOT^v zQWC>w0t}4J%q&6e7ZY=1bJAx5yteuh*M31%(v-%Ae}oVD2^FK@r!Wu4Jn|ynG4P@m zy0C=Omfie3FG^AdB%#8t^1*UJI(RmK=;cpWg1v}13lny0fdTAnT1tCiBI`UU2?R(2 zLA16hb<Qa-PYNny$jF8ve6EC2*O;|<ke^&3Js*T)D9y;{b=}E#3?#84T5wof+axq7 zHww9t?-)olAtv4_9erOr)tOSqAV%LQO*1-TΞ*NJJqr6s3jS!>NuGWGF~Og?dec z3NHr=TmzZ4K{O30ohVu1;y`&+!Om$1oq!Cw;LF4kJ~)SRs|dll;J{)#oaeN|^9se2 z->bW9$<Hg0t`~ezePA5}Y0<+SL-7jS{n-?R45UayYSB~JVFx>g>`607ONn}}IeWu~ zf@}*(HHf;0(rkO}xFz{X7}8*&-pGpYI&(_O5J>ledNBod4%z7jo{FK9AC%UGyl0Ha zk10sqgQy89b&UQZLkbcxyfp`1YeH!Q>Y^+qu7PAJc&$M3>g3Ib^~sMYNNIx@$;CSH zOTtL5T8$nB5d~=^AU01@+JI^~uS<CbN9xW~IMmZMLzn!Rf+QOw=wytciGi_!3Fx|C zQ%iGWOYo9TQtlG!?$IS~Fav1}kizv6!bf!|NE(pB7VdpZV^d4;T|!2dre+4lq^{}| znXgHl_bsW`A9V`WAV2aUH6Nlpr8Hwan4nHUTtLcGM9+fK^7PeDH40pVUW8HFS7&*w zO2H5)q-BfLD4;Oc{Hq4JhTN4jkOCFaLB<@A1(zJKfi8mmoXHU?loY6tgo7wjFy}Z( zbB@pvB?_Vn-8GapQlfS%Qs5d$0f)%ql)7eqx;zD$3cbL=>KYQ-1qW^AC<q()zzVc& zOKBGWsvtvtSq#ZjNI9Is?jqPRWH<XEEp2?`Dx_tpHJha=&*6xLgjmxJ31gLv#*!4I z8+a;)r5g&TK#pokP?~P27c{Q-#V80GNIiuZ;ifdAz^)<pBu7XUPCdtTb&HT6Pw;{m zeBrR6k%@ts0r(0{&?q7Fo-{<sM{sNWaRm_~=7!)$9zk#Jq_|h8881vh@ee6gke1X? z*owJjB}7T70?EgSju@qh^}M+d`RN1F5kpkelvYMemVy-IV@PU64A4;;G_mdi<OdC8 z3<?o6m>mPsDx<&`oD>8Nq<sfpzG!Y~0y@XoNYBj7z|hi!)Gp)H>+D2z84WGq+jc08 z3$>|il;j#n(tzDQY-nn1WM~4~2x(woWNAWjm-(G78&Uo@LJZ$f8vS2xvQpxIc>F_$ z{V0u&|Lm+36shnw?4WQBq!DWfPpX*Xex&78=H|bK6kL-Fi7LeAa!SWHp3MJhNd6_x zkYWtcYo;{eI86LZN#MXMoP5v;JqBjx1{Q`~97cLZCZ+}^B;Q}&we`IrF}H{tQZF`c z{&+$`Y(Tt^7;U1ozWq}Sat&pBOz`#oNXs~qevb{wzhNDcZ(v*f4UNpr3=BYn!bS$B z=0+qR)gL|cK2b@-2r+p<>GBWFnEQql-e*m{l(B5WT?*m?l1>pRgVIu?JM<g{xdvYO z*MbWTBU2L#@Bv>2W@d&aW?YOGT#UwCuyH?vof!Tdrwpx(GxIV_G81z$t5V|=OH(rQ z^-Ib#<CF9AN{aGxa#D-*QxZ!OohnOGqs?REGvgtb`GCtTLqk&|OGD7oOA8|l14~m< z+g`=&r-)0Ku$*9EZftC53MwQ`OpPtgNVf1++exA<G=z8Ov8<;ctsrPRyPJZ<2Pp`U zifoLjD$-n|*|mjY*C6_9hQ=0<okND;o1{s-YirrRO%&t=NW;qj-qfKqUmnibL`iLq zKJY?e*a#V~q9AM_122fM!EAStmTcC<ETSZAAgLHppi>%E0uc)-2pq^D2%<MjscUr0 z=ThJrNK{d8jBMtHIpo*8@I*|d&dj}-Srh~fVb_qBioK@Iq`2^-UPNs_KZE>;g7@>l z^{|13si_IL?_gnOY;H#KVMv>vP9?5dw4~Zj<BY9SDM%TRHW?x=C@gHBwN0QPVL(PP z4WKJH3{1ecX>l0p8Cw{do0B{qRnpZ%TwGAC8on*vML|?R8l;Fr<0*`aJ?lCssc9fZ z2I6EU3SIN!cpC*l1F2{b3B%mN!i0;%P|wW3(87S^guxivKwQE=bX71{b&^(KzCKY$ zLB4?0`sT4h;EN0lOiav8&B2`(Q$u58^iC|nuF9RgwT4!Dgt{s&{(i3U{_&adko)dC z8Nn7(m<kr0%O=01hNno_4k?UjWzym#-!O}k3<8N1#JmE9LGyzlgMt(SZ^A=rF*5^m zGc!=<&cN8%g!ByZcU3BJ8N@VJh&l;l`jTk!69!~7l4?a2pGzbKMHQq%HK10&aOnq< zA25&>F}&C`Ft#wV<l;o^;b1Z{<zg~4Abyl1k;BIj%PHodvcwT_iaFI{BF@K^f|!7p z7scSB%gEHk9A$vV1k}qWdVt5@#M#hF6Xa8326zmGpbIJ}EETT4cA}tEpmJISJBHj9 z4Dc$PIsxO;?La}mz>@}b98<Bxj)HVb<>Jfglr;q*L*+*3y(V)?>R5OJhW4T<%uV}6 z%_wmVJZz{_=QUazlV7yMD}3s>rSG{u`EG%C+n^0I%wZtX8fF$xl_-fONW;vKS~c(m zXL<621~R&Ur%y*($Vi`(qda8rxQ4U?*EU|0rNlMxWJ8_IUGhhY64y{ULoE=MBtO-_ z3mWQFPf6Bd6jV=;>_oLTU8Eoz1&)EInN)C_&cN8n)QpSM)IiSw47ivKjgYV9A-G(> zJDAbXN)kL*7oVAzUs|G{nFpD=3yL<P+NfCV{l5m}FSdY}AvpbPz{O||Z^RSy^DoUm z2DtoeXi2SNbGrF=0}AJ@;T;!a6EibI(0Grbsf7jU1A%kCd@>+vL5E?iP#!p485tT_ zSb|vQ1}4UaB##2`5`JW0rGlKQToOwX<NZrZ;xqF~5FI5;*hr^=p{0=tMLn~6n+pbn zduFhuZU#o?mWE&tS{fT0m|2oK-F(3GJaHjv5G#}d3P%G2BQr|_<ebWANm8P{Fnc$S zMC%g`O0-a0(8~jmEvCe04T~3Bao7?RZDa)Z3x+MGT#P2f+j7rhGj3aqaob|S#Ylo* zg7ntnw#6V;s0<u0hK3fFMqHf6=6WU;=7whIi=_w-CeHu4(!ffa*luyOF_OJzrVx8A z4Gm1uQy@Wm3xk)DVlSQ{e$rZCeIZi}C|!+&6!?~w&_FP?Ft$WraY`@{PWDVDB@oPG zg&;#A29Slm26_gD28O2Qpv23F*m6S9+N9)32E-4A7{E#Zj9g<zQhIKbo`f?!gI1YP zrz6C8zmxoG3*KGDRT`O-)HvaO-+|l5W{8|*X#!0|<`%{nWjVpv^lfb-B@vk-*=r89 z*TTTq0-TMIHdqt1xAtxsDfXJ-E*D8FeOZ#Safhk}+!l=binO@vk-=q)PqY!9TG5=O zJn?Ex8V<ksM1z*6LRvEh#^#pbE6EKEj4ccdLF?K`iKw$Fu?AKu1dI6myi{0D#|S$! zP!R(gY9-h}T~*<U+gIkeW5^8TGwRraKOK-z*RxCE_6w+k0f`|)6GPC>3j;k9b0bp= zk{9EJ&37ZJy=eh!-x`>MihT|PJtJdt3uE-GOE7|N9CRYeK69k9!q5y-+nbwMSdd)X zH_Xr_rK~W4`_sVE+z7Q@Z3J4`2}>jd3n`a9+C(&~jbeogz^y50f7Hkn)*odgZA4{P ztt6gyb+oYoXxXTtA?UJLoOuo0ihy~LU{wB!5XG6-jPSHY!D)tQTLKR7;jkqr+R!*w zs1g!-ka7bl^o&W$(dj{a23C5=-7e4g%)ElqlHkPLf}GTNPp43~Xw%sE%)H_f`0`t5 zRSmHkF^~X?IK&=Cg25Phg9nEPeWF44zU4qXXl!g`#Kmc8sb^?uVF4PdKnX^IsgZFz zw}F)+MoA35L^#?Ils1gONh29*n-S{Z2qTJZ1pVZ(lgq$L0y#JlV<VQ-DuvI>{?W%i z7~uo%aX|yl$il>ei_^$N&(y%kl=Ld>!_GVUSON|dl8(`4pnx+62OOj{HZU*&HOrCb zNl7a;ghFoV6Yh)~!%GcAb0d)N4E4-REsagU^OB@g(2eHT^{rGvo<W(K1PO%a7o|iS z8H0RC&7>j3bX6Z$(y%}bYM7WAgYWY&Ha9jmGX}M6Na<$0+i^i3OS=Q^EpWU+%0lWS zc)NY)aQezPR;V13t1OH_#|nW)94*W+Hc1l9!t>0}>Rai8{D2ZyX!`_QgMz?oZy<37 zEut{`Po!mM{SC)(<g}n@Lp);}q}kG;u?x2?c-p<B)sq2}cjB<cC)&^yUN%t}V*FFK z;jkqLT&P1+9Y)nbT8Q00xe7<B^NBW!6@pqqp;s<MPs3qJP_zL(EHR{qB~(krWostm zj(LPvD2(~X$&+wIicho&JiKUVi7CA01xa4!h;}P!X;}L21bu=nFVLPRNXrYdq>wr( z`@T^>jzA2GhM!&wZI>f8?@04s+PrR@mLOsZ5{NXh#1x(!XlMyyh=sy5*V*5OBm4Lu zS2eV>1-(;&l(bP!t|!<EUK3wUO42p~w<DoRn?eu1T9bw&)%hS5+BCETJ|AXaU}S2J z+K)CQsUKa`;z>k{*o1mDw;7HE7zAz~K-N(k7#kVF#>Gr9X88y<<sMcV=##LH8l^2q zVWiFE(Zb<BpJ+oweNLe*-{+{}On(;e0*OLPPHvLNX$jQ|Bz0$L+~GyF`drXY0;g9j zsTE$Wm&I^eVoVQ9%;Ehz14CmA)I!0SwB^JT+nI?d6-;6K9t;ePLCXL@T`xle3-noe zg85Zp&O$xH`4!YPbIps-jECe`==g(ykuhlC##qn9(#*(+<XO_T7nZtM#vhOdZXoqW zE~JZTU|^22PMZ<D#RWFnM$n_K&&72K4?md23e~}^Gd2XXj0`P|!9#AO?0gr9+^l0| z0tz;KJzCh#cf{fcgIJ+bu&o9L2B0l>26_gj#-=9V+L@Hm4=?e>IwZ_M8^#J{!>l!e ztOo}z9WnvUP>|y79yN0vEKv#y2k4LzBucAcmKmCWNB%59034sBc+$vZnwFIT$Z&jt z43dXh4mn!@ykf}E(%2ZfU&zwT%))@w#U2a{3=CG%DM_UzCHZ;9`pJ1EMh5Z8iA6<~ z@$m-W=1vmm-~t0nV>8gPD~5WeCT14qBu`?1t&zZLO<uf#P%(J;&%n~$+|UqQ=vW$9 zm>7^elK{3w9TZR~0hyATlUSLYSAym-kfp|YmgbhmCQwT)O^h(SMleGXYbj(f3udX2 z8MMu1X=!O@Of#QC$~m~D#%7Q*9h6MXN#0vVtWP05K!l~H2um$VE?tSW6p|s4EH#59 zB(PV}as}1m)D)aU3c#t?+``xx>{AOpQ)3fT(&y^IKE<4hMNhq;`~q#_g32~n31ont z^@;N&@mmEUer<tl6$IG~ZC!(yWQDI4Mn**&D0K_=jZZI1tc-_LrQm^X==@kS4ridu z5)&5!mgG1CGNVwB6!;vVmJ1{-P=-5+bA%}&M<kUNyA`FTI_H%@rZgaV3|_~B&OI{M zvotg}08KEH;Q)elJnZ^5L>-URfiwWwj|c$pq9dYPG&s^dT4G2EPR<d6Y=8uXGD_k@ znH422z%gf3(CteJPD#XNp&3cL%fKEaXkltmQGOAk)r1<NmZ13+(n6Hry1jyUgZRw6 zcmvRI19a&aC}g>~3=L4Cl7xejz&^#SfYE}sI5h`sX(9IPLq^~dXQd&0i5#XsO-YYY z;w&}7V=1_$NLs8CXQ?qBOUcMA#93;B$5L>^pB6qf#cwGI3m-{HyJoRMO^jTe#)f*P z=Ek7b8>gwIo{5Q(g)w?1OmGAeY^xbj$MHpiir#1w&~&dMq-oy32s+Tz(!|6BbhE3e zo|%D(DZQLv1gQcW8M!!3EcDC`EG>*o!ArnR3`|W8!10aL2O=&UOi2v~Nb?r#26H`g z19KAtP^-^e&(z4&7-RaDMs9%A#9%iV=$V^X7=RW|nwaPrm>C%wkk?TnIUFF3UyvIN zjr0sn%`ME$xHye0^~_96jWIeGGztexa9bFZFAUA}%nU6|49vMWjSch+jEyZ#$x9EU z<O|RQR6I0av@mjU8XD^vfzG}It$#PrGqp6eBr`!+5S1v4OLM{56L}FA*ck?T=9Y%0 z2B5VP7J3$zh9;)qQlI3!L5?#Z*`t|}i_^$R&j7SX-h_+O&_d7D#Mp$)&@d-GG!U*Z zvCuO#H8V2?b+^s*%*;S{H=%VMh)bNLxdKvTf|DmGEI`GTg|Vd(WXFo3iIJHR`fwvH zTwwt&xZ$oaHq<jSH?}Y^;R012#+C-gpu_b^o4Ev69_GZ|j)PfyG%|8=nCTgsn_3u~ zg6a_qb7M0z@+vUW-2kmVK*a?pKFka)EDXWbhcRf=68b0saq(eBa!5duCfE_?dgi8v z7AByLTIPDDrUqmjAPbHT(j5V*K){YL&@;ENFa!-Ini%UD8yJ~mj7HNcBp@{i$PwTg z#LUQ;;u?hXkbqPnpgd}5re|qkWM~4aLJalHj4X@|!C8akVw0qliCl-Y!s-w+(Dp=7 zMMgm#Vo6-e#8!!b-2q7#paq|X6jdT*xdW0(K$RJ|7BMje?MR`l7O@~BJP^(RRU?+h z2H-1;&GgJHO${*4$s#VBkmwAgdIS_2CKh@YMiwTZSTQxwGc+~;t%xLX87{cUAki5} z6-gBK9yB<skk;E0C{E6a_w;uPbpx-U*7p~prr9ZpC}vAAN-#<=K%fGX0+Rxx0;2+h z0)x;VW)2ad?aW-<zP`@B3i(9}0X{xl+|D`q$=M1Tz8+PYT-*T}iN&c3!5NupC0u+i znPr(NsS3{drFkW(MO*^Dr8y;;1v!;)5k81s0|kgmE-2p+jc<g;H%8-|pz%%7_+~IZ zS3rJwYLS9Vet8}jS6Fg#W?qScMz|)Jomg4{Vhhb?<YEE&4{4yqkc-7HKQ9&37UD32 zZ!a+8Vl?1lGBto~d^Z5`7?H=lAVZF(CKljSU;#QgPJ&Z{S%MQ6R1ni(HeIK%&elpI zIVU^bP#?5dUOzWCIX6Brr3@VLkVwHrDljN8DKIE7LZb{6Z4eBKL?)vKMlJ>+1}O%m z;-b`o{GyWh0&r;Pre)@&DuCCT2NdNeLqy|?@=HrnQ}l`oN)&Vpk}#x;OLKD*iz;Et U3X&9biwY_*l)+7@&;{is0N2E-+yDRo literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..380142a2f580d9c265913473af50d70cec9f0c1e GIT binary patch literal 867 zcmd;LGcqtV(leRFTosUCo?4`kn3tGSS)5s{kXDqRtKgYikdvC5npcvVqL7zbl9O3n zqNm`PT#{LqSyHKxl3J8mmYM=lnw+0kTvC*nnO9P*kd~Q~TCAf`oS9pilUS0Op9c|9 z$S+bTOHD4xFUm<RE{0pBx0KE0Rh`{Yo_)J6Sx@C<V0hs0RA}GH<F;Fc85m@oS|!~# zMp|E$WMFV`SSo+XVWZVoc?O0C`=hG;TX$JaRRgh~YBMk}Fl^NUk*!7`@+z2IYLUt+ zZTHoJfuY3ds3nL!)e6LZY6ViW)fz+|wF8k;?Lp*I7ZADC6-2hWfyk?1veg^R29rwz zLF}(!@@No<JvA6aE)4^bU%}+ja1eWH1c-bJCbvd{*sW0@@+z2I8VzE91(Qc(K<ufp zAo6MKI)!z%TjLlQ);YDtgG8=^$)yP(_E#`@G!ev}ngk-Bg2}DPAa-jCh`b6WkEVgx zQ`14@Q!u$T1H@jM1tPzK$)g1z_S8ZU`Lql~ZY>9qtrZ~hDwzCQ31T0u0+Fq?jWf?V zE^TIX*qq~Zw39L0ugCFeKjZ)Z_4TdO812PE9G1>u1SveUh><b;!3su(hV_gLhjueE z`{b8DI>^Z4k(r*c=@6rUOKNdudY(dNu|iRPX-R5I(+P;&r4x*5p~b013dIGf$(d=H zsVNG%`9-M;B^il%3YMm-3i)XY$vOGS*~N>_fern1j?v5)uEHFmA~Ua`w4_)eu_#p` zH?z1nGcR4C6v@zJaGE@Hg^|rSH6^n&chXfxJv2+f2^4Ip5zNSv)S|q^9EH66l+@x! nw;;~lbemDeCABOwIaMJyKP5E>VrM{6eoARFDBU+aWMlvUlludn literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.pb b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..344332c500ef28d4cd1b2618e44931a009ff3cff GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXCTI1@a648r}_@ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt new file mode 100644 index 0000000..03beb68 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 1526 : + # of nets not needing routing.......... : 537 : + # of internally routed nets........ : 537 : + # of routable nets..................... : 989 : + # of fully routed nets............. : 989 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/proj/AudioProc.runs/impl_1/audioProc_routed.dcp b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..72f75e59ee24737a7243a31a025155e0e3180250 GIT binary patch literal 554904 zcmWIWW@Zs#U|`^2FprIjx~XHxmc_)tP|U%=z|O$Qkdj=WSCN|&8p6uJ{ObL_^u5zg z=goEyX}kV4xF=$3w}4OXb0u{_gU2(b&E9e2T$ag!9m@{#Na=08zfVQ6E6Ah8Ja)s( z&;RG2OXV}zZ~Z1XAUIA%XSd+7MJjjh_C34R=hkoOy-8?IT=FIz2IG?w`vp}VmVHTG z$F_@cqv#B+qDI$mU)*MRiEm!LdS<~tk$9H2#`&(fSE8A>dR>y(VsyZ0!!kpIlY%FV zB;w=h=f`@7A84_gpj!E<sW3*GZPtCGFi+nkwpYt{Sg^&XPu^^xxqoZWq0?dI9eN!) zo~eTWX2tlOJC^G;Ws2x>x!S3FWfOE&T_?KeJ8|d!sZMz)T4Z}P<LD*tGwGGp4y!B@ z`O?>Ns<CnguL@DUoV)c_-t238x8DlQ%r~7j^~;A@j?#vnM`k)^&)W7p_n7yKB}?uY z?W<oOucFEN&#*p=v#9j&zT@-cR~*_u^Wma3P8;*wjwjhyvc>x>d6{E2by@5sA*uOE zWrbEfPc+sg<@qe;KE<hCy>Vyhf5|6G#{=Yc%{X#XmfKq2)$j8Znf8Nb0f#*bd*$R* zZEU;REu?&28Tb_?Uk!`7k@#SOpxk_iO;#KqC-8o*@;>SqTfI@)Qr68OAijjr<R0JV z{44kL+MK(~r}4{$7`kZ3I^PKLxsucP_S+Sa%U0TLj<)eHe|1mdDc-JWS|0Y=Q@Q`} zWP^3GKWruHl-TslB(!QvkKR7qsdIM2*7@=m7Jd2XGOP94*~ikmp4VIL*YR#Y=fB-# zJGaNC4*t)(H!L@j<Dc`N|NOQBc_wp?kL3s1-aV80rgLrn!tPY1`b_8DKX=(Yn3|WY zZ{U0M?Y^%^YX267KYyOTUwHfCi}8qbX%-t5<^1<h#%x9ghH1<U3<3<C42h*FnfU=l z`N{FcC8bGvW#9z*^8LQ_+DT{gZW{=+yszrA+}4m~{m;95PSEcQ3;Yr#JOjT7tvOlh z)1t;YY18BF`kvB)r7s<o>sHTI+<Bp(qc!)O-`;o3dHZVT)=TyuOa2oWHS@a^zZ*}% zdQ-EN2a^xayF2me0;y@oo_HS9eD`!>PeP}=>YSsK`n@*%j}P#wx~0u;XY#P8dFtA< zIUzR|Z`5<xbm57HM)ro_kCPW%+uUwHdqcW>@4=|KBB$QmXxwmB<=%#^?{7r5x0l+z zjWVuubG9>Bv{`(O&lcgj&B3?!9lCvub6<|Qu*a!4Cw$~mf;?5#UuJ(^uxs9&sFiPS zMSgtw`||qG-W9XgoL<wt=Dexc>iOo2FFx+yZ#sv6S)2XR^q|E~HU7e9CY_ml=h_7w z^~mLs>5<PPABXFgh<KWM?2&1yJIy`mk*e6?r8+B@<mPqFKDxC`(C)r#z_Ltdt4FKc zXUpkUZ+UUC*j+ySjn~UnvOj}&&YNPOn;3RzPs?qVZM>bA8h*`iwmM@d`)mPU@f0Jo zr(CfOOBQ{!y8Djj>6G8K@{iw5zkKKSRGYuMyL$KhalUGg8l$^ddu1~j85mSq7#M`H z#Arqeq||{#YP|m;1CgWmziYl*td^J9vEmIUd*GvhrWq3P)7GuM5D<N-?8LskZ+rfD zM%}yh+4}pO-vy5)igsp-M6csn^1b};j@vKG9yS-Mm4zD!S~My?Hz;T0Enc<qR?sAu zj~^GzE^M}yn4~K-LuiK48kL?G(-K#mXDtlVTp~52=lEltRi?S|CvPW(h^_CRyvOHq zoxY@)tC(wuM4FU?X=2eb6}Dx{4KqX7^bYJ}kQ38q<!Ru&!JhIzL5^v$zzOFEcey`3 zvV2>z%zu8|sx5+X3+kCZl{&m<{W81Z9{-E&adU$ob*1Lo90~p1sr_9z{JnY}Bmc!2 z+h_k2a8zt5_}TmOmVBn7(vB^UpXnb+R(O%{!9C>pr=6byxb<%zR&8IswSLKmF3B$6 zwHg`0;R^fx&Pz!yjtG_RmJSS)c~}~Ga_-snOP+{yzB#e!_*1rtMThUq&E!7%=|-tk z3is_tjB|7jn@EIS)>-vp66f{`vA;~HQKZm$$Y3`E1H%pm^e8GwEK1CUR}7#i>OQfO z!+1+UX%IuRNHNFU41<s1x0mMrFW1^z7Jj2R(YvH>PyM^avy*PFzxO?EjrobZ*I8B5 zb|}TIP(GH^X7hV`rEH((oof?Mt!Z!B7C(Re$AYyU+5hL6-`@U5SIBOEZvSPO#@5+S z?PRv!4Zd`&Dl^_^`Og|b(}(Qm=Wl+q{W@2Uz?q-n=BWq!f+w$=^<r6$&#vuzP9<*U z`TeiqEx&|#W!tnD)w(r7`>WzAL$782_L+TV@7fw+zx97su`!@}y7%IWcX6Qlkdc8w z5+z+`24qwg`xlhx6{QyBBv!(UoX1>`H*uWS@%A|xu3>CsV06(q#A8*+3J<NbXFLN> zoDb&k_YM5uyC%fID9B*T1|zf0MjJvtlyU|#tQ4KZaB|mDHBE_`ni5h`GbJKsN;ReU zh)V2Ok+aUQ_l#-tEN(Q{iN~J5^^u){flUoPN>USxaw_#IQj+2B+8dRf|9G3w-+lX& zHoR?T>6q_%EAIPbrPI9yvbQHZHOmZ?*y3{g&ehkGrU>76{r`LJ!ap8m-EWVIyikhp zHaclJ@8KLv=i45;*OWwh=6*Nm|MOHshW&Jb(X2zuQ`Q<;zQ{QpGt2MVe!r()Ds%T; zubi+lUTp5fQ){NlMsL0LZk1~8T&=(x*D^O;^mGrMtMYqCP1yg~vh(3@r<*Xo)!A}; z?z8v$ZmUZ!GzTpY;%CyAvTyVhsMr<Kx{+Dx*6RzZFQ$8mD@||CPv4v&;a0!*i~NV^ zpln_4-9AeLXFvL0e!My=P^7bEb7T3lt(OZ@Wwz)&oP0OD$bkD`?F#>Thh<!r8DAat zg)H6He6mESLD1TgLw&O=PgGZl0PDRE{%iJc6!2gE_^Cn1q4~`ZFUkL}w)`m9Wch{d zF5|t{$#Q#p-Yx5%p;vYH-QmCMpY(rSwdU=oFOKZZ+l>qM?6&ofc=Y35xcZLk+l7C{ z&%N-!=+M`2^_Y6ghtF&n>uxVd7n+&M_Q~etbfvpH1!|vdI^SgUeYx?MyN@sAH(kz7 zD(3PPp77t;?7m6%4&K6BjxwKX=T-Kt%$}#Y!$a?&{_4G9v)8uPZU4=@<-^i)-hM9e zx}8lMJZ?QmmE57ocHKDWeafw82lhNX_+-Yer!$)>R`*16Oxm=8>API)n$WJpQ%*RD zXbOm|T_Re=-{SK8(5g)j4*cok+#IvNSKy%2gN(bUj+_#C#Z)OG_I77=Kl9saHIvYY z&ObhKj`ga`@45<j?{*C_Q93P<-pky#!a|@v!RgGA&55}W>Ob-n`F!1F6K%lr{ND|3 z`KFH4Y2LH+3WHK7`K*}Jl(Rs?@YRkSC2r-|KbM2d`pu<ES?rQp_a0BVrrds0s6d`6 zZS9pAm-)6`%?b^E^nh*Z`BQnf1@@?Ha+=WAI??;2p6fhOD<0i<6Uq%Xa+f^zOVP5m z43-RjZ2iOYTPm;iJn5MwHA!+^FHTO^*IHj3bKy~hgT&5FPtIMHa|u<<*?3BYTXp(L z!;|a<7k9V(u$#gD;lWXjje9=I?CE&d##mur{qV+aY4#8IW;<Kd^WRH3ap=U-kMW0o z$nE(k;dU=c=KOjN2Aj6W1)n&+JBr+~oqMVB-Lb!qTql}$9!@xS=;YIqrl<buhYk3a z3%5wxoLiL8C;sqojttvj?HO(c{d+3}{THj(*nB+RooMm1vvrd9>vD@EquxXryOu%& zm&CuP;tgaOix$=QZ@(wI%ffBWiks0o9cP>x`XpUnOnaa><H)(p#Rl^hs3*2uzxRYQ zEl#BUU(y>_gJ_q{FKvEIj6U%D4p-5|{Wec5W~_C1>)x|>(he<E!x)?VrwjY6CoXYK z+L-UGb>UkV>y+r?kclZbCW%RFJW&gl?(DrI>X><jf7<lsM+g3UiDW;W#o8a8cOw3U z+wT1=BAtAGVN%Wv!4tcCRV3a0?X@nsNd=f*opp3lZQjm{M#4ufZ*#G`erkfT^!usD z8N^py2{^+T>iTKPL&Yayvx8^us}g;`*gklv;M{J@yNl#!v+K0n@k-X3so>Cl-ju7P zx8p*&+rQ=0e4l?X`=Zlu$EdilET%cBS7Xf%rOu6EVz#?APk)I_<<0w~^6<ek?(L^) zrpYXeTOZi7bj5*y{|S#H)4$CyTy%4icI-8cmk}B}L)%1nmo;9x!NKKwQn!2)!&bKg zC%;X(x$CFJd7Ga%o{LG;Tu*qs_0+d1$}?79Dl|J}Y49s-fyQKxh$Yq`Gc_wkCeLF2 zG%Gqm>q}48ijN6$&!0`YIw{t;oBg!dcA+1FTI%<uo6Vi~KB@L|+bB>_`A?wMWcoGE zZw^l0;n!vhZsDD^Z_k1@W>?#3zqbZRddtQZue{e$8oa;rUUpV-m)h;we213qadQj3 zb(P_edvJur&Xj()JDvTLrSu+8oM*Iknr?9T7KMlEAsnhxt>0YlxEvv{vn2o9u~RaU zch9o~1xU0_I4vSOLsl@>F;IP`$cxO00diV3#}1u%`YT*<sq@jr9EC9xPHAa>xAZG0 zS^vc==gdZ<jR8eF_XYOnZ@9H~L&VM3JhKbuc+UvdeDkfVj4d$#nA3@;)uA;jzTUGu zo*;Ya-Hinsd-_v02Jg~2F;%2y+ov55jsCJ~Zkp?roumBi-2ny7yBFu*I?0o`|GR14 zzLw`(q}9GQf7e>@UjO!k>&K>*WVF5vdOoSCM|9<pU7cM&l(+xi^)ReE_<li%wM*-A z;rqtz%GUpMzZYho%>FLOzRu3$6$5vVLE7P;JC4uYylL-oU8ShJS8pb)nJpB&s9;z4 z(^GQul0+?ke0Y;J?c0t-F~MoNd8Qrj4_iK%<sbOt@?L8N&c6rdzL?|R^)S(}|Dj%k zM#tU)A)bTDCnrniSuRNCw^~@3@X|W#LsIzzQRO|B`W8Ny(r-SxXny>P?)0w1i&kwc zN=^MV(QB$!@a47{w<<ket1Voryl?J_tS1hh_a7$&s_7of5y;!gvi4kr;FI7;XC*H8 z=68P%a<WeKw)%68edp!20^VMWDLRLCKD|^L^eiMn_3E}m5xN2H((DqhCBC|1LZ+72 zrio^;>C_zf<Mwmf$s23;|Lkv_dis7{@go<*ylsrSOy{F>B4?kS-Ek^(I@jG*Jg4L? z3AgvYN~`(#xKAvP`=piHj;Db&P5Dtfa~QVIDrt_}e)p|h-D3xDDT}{iO<#YvMaOw6 zc%Sn>&HqKV*Y3}YL#onSPJP`}!g}|Q<%RnTZy)m(i;F8wnE7a;{pl<A$N%2{xa0rb z{|u-Nnb7;EZ)Hg^Ff3zdWZ*?^$e=b7=4R(V-Z80d|NHd<5B_f8e&geFvTTx_MTAD! zyXoHw^VF>+IP#+Je)LJo)>&@deC*G6Rn?<PnyZd(KXzt;;oT0&&2plV`4bm;MQ-Z5 zeAUP|?6v*g*B=e+toB;;_^<D?tGd5@{&WA6?Q@SmEuQq&=I243pC&Wk{djmT)ks=T z+}i!~@~>JqBbVFPp8NkbcvIcXv+CVn{bwJ$nYGI}R&UeSETi}}o9C{6^7Z_iJUj1c zAD^m3tFN9PpB|h)wfxKH^VUb@cgN2<Z)cGIb=Equ$<Lo0uJ3bu{Guf1@9X-?{XX$w zt5Y`irF)wF-}K|Fz0uF05Bi<YyU%;S|NZN{$<Gh(GhRG(GkTx7PoQ3P{mY`Q{|c&1 zckTVS>E!h#f1Yd2JY(0xfB3`&=jE3_=E*&e{1+<szxq+Fr`XA?PfwnD%%85E|93{k z&ZBX8o6P<8{d?0oq0sK`j-}rx6`sC!ujXLyW7&Vxe6GtcxW`=d-o8@5`{k#fGymJ{ z=XvznD1X-z-OVLCXPgy}-&30R@QnBKZXTo6-_6fFvVWAUvwh`@IraX}{)+E+JDKup zM*aW)6_d}`WE9pHO+Iq`|6AW>cfOb}KKg#$^p)SI)cDS``S|qC%SX}1g)5IPe|ofS z!7mk!72S=$^~yYBORNqrfBL2Tz199{0qxaG_@>I$%{se0`~7bXnR)HU+dsbea!UI9 zp3D8$%^!w}^eMl8lvnZdqZP*w@!VrA=6fvC@4kC_@_*vRwD~FeS6E-~bC$odqOwpT zaq?V2=Y-ka`7;h4uZ#cqu{`gs{@wWhhholjByPU@Xy4qUJlkeS1m4z_yAk>3u-N58 zsk0Am7h|(m-OOMzO|0T}&)&@v{C951W$5U(Y?rLgFHilwU}N5)|9kIFm2Eh7DXD(; zMt+-n5fZz*C13Av?)YIMc<`6PDfi>n6_M+wC#1c)>{LIyo8Q9q?9nK@qJm;mmRO(e zU%AKJ%8t1$*qF!k@4~qo+!rFu8SRah>XarQ2a8SAY`fOGm$kQ4_(MOh__URZB~#aa z)z8{IRqXvjyQXrE%Z$1{<yP14KYRT$xvBL+gV=`KHZ>WB7dPk6Xl9PuV!rI`Jfrd* zMpa#z_jeZBtpEP+*H`(t=U<ZFd{3Si5bH1hf98J~<Jn^0Z=ba@oBcLCE&j*ez#3tX zZNC@1%}aT3Bdeq#v;Kh_t6xRiy*%w1U)l3q9-Nu-^?DBL<bMZx+2+jt^kUZ9gmdy2 zOctEI=DpgGVcDO(<rgN&`Fd}#%iy~7vSyD(&z_8VOZ_L?Z<LGWJZRhRJ@4e@su{7L zMB{8`hc%Xc*V>m@cx0EMzm)45-ROjvbyFUNX|$SN*E*@SL5}_PvB2%?8`d7sS?qp! zV%etZ4xQO{fAxOmO!>WL_xc5$W)jlA<+pCiEx0)2?6dB!-2D>$|93r0HVXJzVcpZe zEc9)S@_z0QwSP?_^M72)RI@uYA!E|Dz7qDGZ(iqwRqAM{NqxWZ@M%Fs;X0vnX%Y&) z><jIFy<ZStJFBkLO8w%~mF#?9>;g|jxw}eyd+T=e@taDy^>L3gl{~p$KP%MIXluU0 z(p<c3rmcT__qwC~QV-|V^)K}}`o|_Dwy*uL)Z&cJz+FKXthrLPf}i>2Eb=k*3eS>~ zGq`UM@V8F*X|mPDVug9vPM7RqJ@(AU_qE)gk19_(8=lxt`~Cjb1N(b?$|XyeKV+0h z+cfiu?X|Oammfas@O@{{wL*qn`G0451gc5wUAdY~V^(5|NoKA(k8>kO%Z#J?v6-uv zpZa0qbdg6%=0eNfxgQq3J1j4}Oe=BX`s<vo#yt~egl!S-`ogamb7FVT)1=kU><bPT zKG5pl>Hacks^0u&)B6ic)(OqDo|3mPZ|kgD_PiZ@F{%dJHDXs?npxX^>7tPM8vZDz z#;=Z3J|snMsyP_8nmK%yRGX6ermI)B?$b-$`RGc}qD8W9FT8^DkG`Amb%pq}?C#08 zmegf4e|)<u=!!Jg{5?7=eP3@klbEk<`;>dq0Rty#F_r9%cMS4Yp6H&mNc7lqufY7* zk{A2aA9zJh<?(qZ>}z0^-qm&ar1m7ocl&mKd>AMz7&Gn7u1QzfOPE%9R^Jzif6!7p zU2XN-lJ6RGXKncIdsx`-T6t#EJ-_44+-}R&ULP%UKU<z)wcFcA_0~(L34XrUx@Rkc ze0nZudh;!}-~BJIq|}~&V{I_^Zt2u*E$m7~OeI;H_N9N5_1Nz29kszOqm%g>d*B}H zr)x9wkJO95zBqNkr;e*zrNlRf?5O$lNlSh6(FOX!iYr-<J@fLc+>=zYE$jR1_n&rN zx@2%?`|Qh8p9U?8^LZ&HJ#E>0%iv1_a?^#Px32$Q{xc@?rRe56k@`B*#T6etNf7KX zpP%Ss!g}=Af|n9kj@$YATFw2CY8GF0_$kkw;2X<5yEAn(wDS&MOns|zBSp$TEx7P? zitRy_^7(3^Yt6RH^FBALfAqTULd>jlTW40^uj`mN+j{l|JwxC9w{rGv-F3uY`;XM+ zFDtIbt<L__GuwNz#2Wsn8OMJuXua!~{$<ac%Kkl`n?9Y}@QC^M7K5BA`nhYb)ZO`| z!!o^Wjd#xrwc@W^=g#Atb@iLhm!)gZJeuKiq$<DZ_idKgPaE`nP1el#!n3kdbHa=x z|0cxCJpNr#EzG~({usNET!quT(#&5;&Bwp#e5?|hcf;x9;|Z*VPxAc|i}XI2*uK8h zvSRM*gWoD%M&2-2+aj&<p}^wxrIJ;$nmlXMuRFwByPcUn;ah;ptSOO-H+}W;zAV`0 zFJ!3Z_;zuC$-&Kyo8|=ld@xD<>WUd5do8%ko~KkQv%TBB>7Dfpo!6II#NM>5ShH%D ze8OCz*G&@cZ8iq)zWFS6k`xhd7rfoe?@%Ay-Lpk%Pk!bH!>kWtPkkaAw%ET_=8-${ zVDbK(*-O2qBouDfHjCzQyWVtEeV&QOK_`#U58Fcj+*YrdJ|lPYjLubillGsPv~s!4 z=Zd99x({qRBi=2U`%*69m9~$m{Xw5~Ew30QsyF@m_2}E4SL!D3mBsuwCfwSbKI!On z@#j9F_6L35D|Q^Yr4gZiWR}{jS9}NkwreCs*_myS5ej~)W+A+C^L|O8;6Gx9i^W1S zQ>z3#rulq2o2X`#K9h5w;hB!5(YIzEVm2&)J7bD)-y?=})6&-Y0h%gd6&n+{-p)*^ z{C;$!?@Z3=<w=S&%9cqdygB(PE>G%2ozv0@5&FyKX7U)E{1mtDW(vrmOAKr_^jCN7 z>3;5c<!6hR;>KS+ypvBvzL_CXDPG<4s-|h?J?F~%i+y;Nudn=Beq#SCmFeXh+{3LZ z4(aea?f2WlTys_MvO!X~VZ24h#EIt4qJ{-aJJK2ERyC}z%Idn@t6~-No;mzhg^nLb z^re;TKe`UzO}rBNw@piBqnn@gO-_epa`&|RN{fxIrW?%Eo@<jfZB~$#OV@#==f8!_ zUzp{&W`DACT4m6Zk`9-!Upf9?C4HWG8T&IBJ)F?B#^Q;L+wWE91D>i}`<AJ0&3vN8 zS!L6ubdb=d?<-i2+*>RnpnRQa7w>WNgz$AwjCgMDyIN2&bIr9!hC7~mht7D?)M*%a zXOpb^_s6fw)?SffDP20J{1Mw^(-*%?r*WS#*r@zc+`s;0OQo^E1hoYT>L$9(){3XR zo)`R`u||y3$|&aOFZSYN5%q7hBJGQ~uYX*gmEht3cFJ)}^Iiw5@Q?HSKQx-&-5m3D z^$7{pHR&4;i7Shp&1|nSSRUdV@OkMxU9FJMsvWMv)63RWr>HDD-)SUNy6#W0K#xYo z+Jx=f%6(fNuJ)OiRQErZJ?XO~$5St>_h+=lHg6AGAG}gRS~%Nxzs3aRojxW^4`WU~ z-D~lf@4(uppA20KMMZOZZI_*lnf7-hf5+<UGdH;htceyey2#+Nc;#l+D2++g3~S>y zI5|6;+sRD(&3N(2)wIA&7iZ`1>~lnaKg?KWoOL$i(;}ZYOS6N0O&Bi9sL9)AZ`mrO z)LpuTMa}NmhB;He?R0K>9L3+a>-Z-&g_)~)o;Xf%*{mMiyf{YL`Qc&bCA(MIX)M@u zStIoQWrm9mmIYI%-sw(gVmQ?pq<3dI<D!Q}544Oc9T=x7Cj_Nh^9u0JdLMYNeXo11 zyqn&KVw1><#lO8bn1@?cW#7BGhQH_hWY;-$)zjQs_v{Vs>Dt8G;dVK2jc`(>>;~aQ z2TB%QJp0nG#MX-Scd_Vu`JC;4e{8Q2JlgPNEmP&xur-e6YaMLDFaEo>ZB<F$jthy! z8dF}R-JQ#GcFUa5Ig&HWU8H`BY}M=g-L^*B{hvc>iuL)^Iebo+nD+55F)T23TE)JT z>qOps=RCQ$8dATk&wu8TU1@SKvwctMwB-R_Cmf_!9%bA!;pdz}|5Tnbqcs-x#bM29 zJVH`^h3&C+VKdFb9$M#|dv&4b%<Xl(Ep5(s6T@wqx2zUy($7phmvym6ap#Q8^aEap zZ0&r^&!^@|CAA!>GI`q)p5PM7Uo(C26n~dxzAisz+9#RLDLZ7HA*c8B&`F;+i++Fn z@N3JisXwoAEh(OQq36iosO()=eHLlwJ#ddT-S<IFVb<!DXIw>hyfiD{cIT)~kaxao z!u0aaQX`I7v;W@~J$P!YZ$IbQU-6%_-Gf(OQ&_$_Cyj@7hR$4V;eaV-LV{`YjlZ3i zUTw4@gRg1VsSLRVGgpLVq$^F5nkH7zSoUlU&jpD?zqd_d_AT_=vig)wKGUL$pCmR2 zM`<;dI;~?bDUzyu^!>oQ7|+*xOL<P$Z1kBn)l~k2;^Ws-7DO!<IqP5*eyJ*T-@@!= z!bdBfoLO<^Z$(eq>_>e0ZyoGr?Q5EQA%*Wv%L>D}^#`Rrjs)4fZGLpjjOX9Mt(x=F zuFlZ#OOfGSzdB}94)da&T_2Qhe=mQUcK%;L{<kS7Q_P?3FIvU$OxMvZYDIp!%;KJ} z#-ArfY?>GMvg3v9{9G}cOLsE%C|>G`u<wv9HUF7%mE+LoxuPCYiz`kUH!fv2&u3jD z)mUNT$!fh&bM{LityOp9*6Gf+TPWVLEGT!|y~rC@%&Q;#Jo3pYK|N&S?!G-QQ(8q& zeVH5jXy^8;yK}_+uZsBZtBg6bZ4+<DGq3k~Ip*J6ZeE%#daB*pdEdOwKfWp_r5jV$ zg}l4>&1{0fuSln0Ka-G{^BOa>b9XblEiRLAeY0Y<`?u3(61U8_rB^P#7<RpA^K135 zi{7R6@62Irx+rp%?M$7)D)Y@<m%jv-```SbIlHmML^F5!Zq}xbvTsXP^a>iy^ZPY> z?Zi!z9`3^T%XV2GC|})DKet7F{=Zurp7uKI_#Tj*bWwSO@GOt!xfh-Yy=hqyGk5pX z%T=FLKOVZ7uP<pO5VO)tqHFHFTN>-<iwNESQfl;j?L*6tpVmyc!o|Gg8c!CxjevA1 z$AUHbM;CdvJAXYInY+k3ZO_4gcHy++y}RGB#@%FdS=`BegH!id^V|zbxyyxJA2Yn^ z5-aR|>?p@Kec!8ZH*-Xf2><*4XM=EtvTnlm+c)wzO?+r}=~3<O-d9@Dn=T&DRXOFl zx_8Y<x55~XErs2$lx|lTW^CHXe%nJQ_j1<b6(6m>6-sZHc%CY~Uh4Q`hV2u@Hr&q5 z>9O6tqjyWi{jA5Sk1OsR1{pHD_wlihJNj?G@JQF2m29DBa$Co2G0%xK<-(;m)9y+f zJrTEf;*Kjhn^}5<l%$uN9p0KY`)Z7cvh;Ja!$;d9W-PsWf;(8@zlq|K^dqbE){4!W zt*5+QV7}3nNd~!MaWj^kQU7>~|Av#hQSrJeOY;J&laE-l<|}tv2G_>i_+H%<_1q)I zZB@PZ>kr+}J;K~pt=Thk`l4r+LQ|51TV~n@EA=RwS{kWZ+;MVhDO6ECuD18If6)T5 zyv?t=a~^hTvOQxrOyHJ%d7@ME_o?$i2gIx{O*DRNA#z+Xfjjo4M{{e1-R85mG`gnu zUVO%X=u+iuQ$G=I>1Rg!3ht$?6iSsbJ0ntabI;i><xQ>aym80G&)(LNQHrj(@F2D3 z<esy?<hx#(#yB5ps+_&mCPjF0TenAqUjAl{_MAr>PP)W|ZHo+ZHZ#oOxYe@s(}9WW zWXxh+V<Oo4TAnU=srBfTlaAlTtVc6{YNb^!EV$v}{;23)%90h|d8J=^#4Byyd~~Wx zi=4Yq@r{%vD-M7JQ_t~cKYyCT8|ALE`eN4InFn`?CdRi!O+1`3zsUM;gp70W-IyrN zzIR8qK6gLtapfpS7uSVQ=LyFq3dF8pHZy-8-4vfQi=%Pwg%p-IEgCFy7kj^n4cWK( zl%V>ybO(ET|D%^v?*6zr|J36!%O_Di(OWe+cDcF+IGp!bka?IhhdG0*DK9Wo<boo{ zsVupv{GWJIE^GoRNxkHt%ipba?X=ufZvjaM$9}8TT7`WTUotPfJ$5;*Jxsa$ve1!| zrBk1G*x&ETFG`mc{`ySJHH6n?oBL(&$1~sg{?ype6uE`PFf%EqIkWOcq*>gzWigJc z`#P&8PvhH?9GB1>rt@^ltO-vi-+pvr7f<9CX35T*9@>vpPt17L^K%c6YpG_dVLrRj zu2aqHHb`jyS!`1mu*b{b%kNVAMd#%$w5<wTe+Q=O{Iyu|TQIF;>fe~8ME4)=m8vN} zB|7D={N)Ja`rLU`|CZ!>hhrh>I)87h{w<ig<l4QA)IA46f_}JH@}>o<&evbQI{f8H zT}Q8Q&GV`rGha>F>Be>HZ1&<O(-fAj?s<M`n~%d&#hqWIg+=qbzALm|oV()tg5Sbx z{y!7$ZrCz8u5rmqzgg$c{CO#UcecUe-95)QP4Ipy|MlqdaMy<y{_c`Ls8w#amBkC{ zsl{_HzQ6XD!+6)J3ccLdzG44vPL}LmH0yEpT!=p_^k$}hynf1FEBW2=kEW}^-ufuh ze3Rk(`F%$;gyzLKtty{>ir3L2T=P69$IPq=`Bss8kA>uMII^AV+Bm2A)kcBuzqCC9 z@AgkMlDsna<N<aK=A=+DX+M+KftJPT)8>@cKbo`d(>!j2Uphw)a%leQm|MDV%C9PI za41*kojKoaX0*&IdEt~r85`fZ&$t+QjG1kItA(vnYH86%p1Tttuz5J>wp`}p%xhR; zl`?Oal)~pWg(a)!JU_GTNYYb<r4OctXoOA4xHgybT@%Z>u8(t?=d3(8^Ssw(E0*+< zso&>3$kKe@)WK^#QRoNTQkHT@rgYJ&a}LKsl65Z2-Cr<CWAWx7+vgvwS~Qow@80y% z{d;@cTt>EcjV$M0eT!+BveIwn`4fx#OHb`l^?0fE*pjV$#?JO9fBQd$HtiBVxVHa5 zB;)*Kn>AV$i>>1Bu^cI$`dlXA-eb$#{O^3izF#)ib@U0J=@++;^=Qs6<H+Mj-ubW{ zF>-r5!&#u%|NF9<4ekeZqMBx`3!2-}bhBj5^1jZh%c0wZn_gP-&;G>bQCT0vsB^2K zW}VSofo@x+yj3+nKRG`=eLJD%T$h{d!EBFs%^eYUreFE{d~vnxxmRwojgO75{_Od8 z_-9P2nc#`zwTne|Py5bLqP|F4_Q<2qw-eeGQdT*8RWs~TPxJ_n^kaEvSH!Mqy0`nQ z+u6<+a>vcI%U1fa{0y3_AD?;U5Bukxr?Q<U^#5L#$iMuVb4h;k?5l^ah=`{6lpf3a z@Ah5!%nPl>mJH!X?%03*J9`%Q#oXRpF|ErVHk|z*a`^P-OS8TQ?8&`mad`=o*Y4Kr zDSvyCmaebnzkA|FTBV8Z+=FcCs~(DK*WRr*n72CTnZTKCJF}(yo?MCPc$dbqZqiKU z35HiCKc_4^H)A`qWb9KT#eJ(LoL@O*d4IMY%lQ>7x7Tnjf2nY6`m35}p{!?~YfZOg zI4@av|HSj)uFcY>-y7Y7n{uZu3jWZh5Vz{#OjChl#ZwRRICef?H}Sj95vN5urE9AN z?ryu0#%aSVxOeY*rDs+V?q_BvcHGuku>4qnK;cL41#e<R)O$<C*<PGGYIgd4X3Yzg zD?fTR3p_8Le)_<_;CtT_&$uv`>`t0J^}mVDjoPo*XI|OE{@C(#!U31ryWQ@sU2$&D zRhy2yh@8#87PNJobXleN?DP7*8RpD_u}=-%f5?Q)(=j^lSh6~4wy1!qO$DD;#WPEe z$KZBb_)Hg-w8pZX`_@f5FZ^*uY3bUo?INQ28d`ccmI<<LI_uQQbz-N)>$eK|`nSJD zdIsP5w*1nLQ+$3$w06#QD?5Fkxo|2Q&(WZbvP()%o3Tmwemv+G^YYz|u=2~H$M#3; zY|Ce#ynC;OQ2&lSd)xNpa0p#W5r5O7R+FIa^7G}N{IBvilzj!QUVR8y{KcX0zf$D+ z<#&!7o88#4zk<<Lx?!78>r?h^pCt3QTz&6#dGDUtt&-ll9XE2`Bpz<{eZn36jB~+S zm&}Z9Ust4?d@X)|_EMhA7f0pJ#Qir<%s!Cu#A-s%n?|=wO27DfY7%z4Y5z~zJ#{8e z_4Qzb1({4YICXWRIr=Rw=BV0y&YY9_QT=jTWPq37O%@mBu7m%X5wjDZg@zMnmzTT8 zGB6m$GBWU^%uW<%Bo?HC7cqbq9ImNl-xG3mt6jQO`@&qd(?%Dcs2N^VaeF`a_T`mM zE(Q&q$I6SF8%}XM$|neYzV~~-_xruy?^VCwyGlVTw5zFWuks?1pYQXh�@KGQP! zhvu66w_D4scfa5I?N9hwtx&srGQYn%%UYGa_;P;Ev$y{zUVhbS=D+oP=8a|9v)yl( zWY&lKHLK6BT2|bCeEy4B!spLwpICW)V{%_ZY?0OW_m}p4zJGiB?%&%IrfP-Oo%p~0 z(`JMJ+V3M+UucDT$w#VnR(&*b7x10aQT=eSulTC_ui~!O&xv^3_BHCdcK(e`FXg37 z_1E8jac@@M)QGLqzP{7X|LroHZ|;oON3v`*5@ze=-rkZOf0_5lD$|^=4Ls}KvL#=g zy=_JOy)CYX-Oj$UjXBMGbP*GG#BJWy55jt*%yO=*+9ur@ny;9-E@=O{Xwxw3#;5yB zW6i^&FM7spT{7p__I1)vi;N<5#fw)T&pNqe@*1f*OOMXlx?_80vsK>N2wz=Qi_*JM zCwGMWG2C9ZX4>@7>#1&KsS#(^9zI%fO+@=xq*9V@=<&7Et5-%$`mFoC?S?P!tF2i9 z>k}8Zt=c8zXfEYw_4?8LUGIEt7V}?uEcI1B=gx+!d)Lmk2|2vi@)_5?y=yHO%sBmD zH~*mInZ2rE&aEat|28MoXooppbXwH+J2y^L>$}adWx83rwjW#da587e@x8t6t93Z| ziuWIW{Ka6O^y);fD@Dag7hOKSzFxM!-E4u^#YJ_x-+$ZOXs{2^b;~$%=k~{)ucrTB z>Dise+bXitzUQuj^K7%c9F{Ak^;2>*ZC?J4Hp>XPz1Ml0MQifAxt(GQBTDbeueRE= z{p)<GqtPZAvFjsazpHP&<*D&%*|OikS6Eo&GeT>ctHXO#Ki@RCx9Hzt{yT5}>4aUs zJW1uh+V^)6XO!>kFigMy=$`QIi(=x6=DT-p+;wu&)a-w~d2ws{rt2^2|NO#MaLVUZ zojZT-S#!41(Dil3g<YweXWwaxSfj}Qc~kc8)<x6a=}%AGdRM72TJy}Eq<<4jE4taE zjkmiPEMZ6wDfUiIJXf*d<JouTlS>`9=<VMhxB6Od)GCX-qI$t@&$`uVU;kb3*!X(K z{wKO#ruSk@&RD%$KWUE5$)BZb)c$XmdF8aO@3*wR`ucUhqiZ&*J>{KsCHvl<z|BSf z=DynXb<*G9RFh}d{7a6xBuaH0nsPn=)~?Xa%Xp;>w<oS?W6PLgzN0ru=U_nA);q`c zJT%Mw$3C(1TIrqYy{r%C?&;jFFz2$!&AJ;pC*JRoiJR~{U_$%ZraO<!y6<Lu61sF| zuYK5J&%-I-S3NcBca65a^Xi}2*@cm(a|OH2GDFuZ@{4?6Jka*l<j>x=jO(R$r+NJP z_i0+MlzB$ke1Vm(w|)BX#Nv|FVYYl;{%gWNS3k5n(Jr$kf8Khjck(I@YT3K%pXho% zofhtx`+Z?VsozVckaGFH(A-;#{w!pl_2J|r|BV@^kDc6O$28;2>-vli8<)GAB3j&4 z*w)%tXYVVN-F_$f^PJD(SBjH2Kf7IFx#yYu*K>8fv&`2#+t%AU+xEt;!pB*8){dt8 z=kI)*Y|0XPZ&m5(WxN#&<+?-j1J<W{e{7s(w*Hx&9Jl?IMXAm0t9SYzIrQEBN5JDr zS$XW9qT$8=gM*fa-raw!X>F9*>UU*5p}7~{IDQd(_RrU)Pjg${X0fkP;ic9`n`)ZY zKD3i8wlrV=V&R@J(>u$B8m|AV&lGsBIM-C;!Gdl!Rhbe|1$#ZE3M<A6mip@qf`6Qu zT|W5pdPTY3O-~YJe7FALKktu~cTDg67hABx!R3!V=K<w^@w|^>{{H9ux~S>*>fQgv zS8JV|6qVPiEGAL;QD|NK>9=VP|E})#me6<EnZ47N=Y(^$SHz5Vr>iB^mNt9Eg0?Ta z9j&Bz`i`C7nq6-We7~N3VUmANt?ZWI&b)%(AD8y$aBYe#-n8rX{Z7}E^sb%Eb5dq! zH6^}`e&^8Me}C2ctxuet7Js_t`(yuiGsW@=hus}*#Z9v>KCnCUO83?MCwyf|r71>i zzpdX1sF!hz=bsAR7q)tq^_9-ns3JGna*6nctIu8a!g<wR@s%e%{8dwWVb412L!DPw zU4QlP8E=%?uJCmyR_#>Y`HGo$mf5POeUqjh-S6hP+Wy|7zOp3qEfot&D=$B@IeN`T z_1>FLr=-o(-buuTep>xp)HEluXtDLR&W%;R(o^j(toifrQx(5y?$PWYZsjqPZhIBa zw4SH^dB&-$T~FQ0o0?}{igq-;)9JV7RrA^R+4mmxpJjf+a@Vr4!#d~JG489(dfmID z<15RnCWNNu-qgQo(!RFj21onX(i`D?(XThi@mas$P`^=J=9_rgfyDYnT7ui(Z#e68 zvvl<atq|4aU1~DEEGB|eTpWb@#Ml&D961sNypCNo>YG-$rDt(!NDHHEBEy`BDJNUY zKk=tq{_z+6qsqBKYvQQ}(Sp?<URgE0+SU5C<VFp9eSFakkM_UjT6ukk^OB`yzh#FB zPu+1Valgqb?LhTayXMT%T9~oGYiWJw60K+av!qk*C(D1VxUrk>ztd-#YZLx5d2UU% zmN5(cQ+~*C)^1s4&Cu8P_=P5PwlA)^{itKV<7X>FOPhzg?{rNMJQlz4+M79t>)P`F zTm0tS^s&6mKKmTE{gFibB>qk8y(+Jxmi{g`ZOgXbA_Vf0hNP;@l|u?G1{{hqEoXW! z?z*=8sQfu!lc`7IAKYpRZCP`6RgtaU`b)d-b_uVzR6X<byA*3zee0JSimo+;zmVoz zeZ1>NQ2X8|8=@nX7YAimYpvmGEq&VtL2J2+w-|7Q?)H*s*?x#AN}^>|tSXOEgnHC% zEfI@*w^qfd$+~}ADXzX(_TkjejfZb-FitvR`EJAg4eF6|_CDWm-If2ba-gZ%xy0An zxi3!IO<l3lMR@&}{F{f%9<Me@XO{V9z3oV1x@_5n#MLro{IB1B=>NR`#j)A4+YTh= zTfOm9ei)<uP~@!bM0L|SjR8hk7N38%8oxBty6AK4!kH*9wY&bRTuvufxH$gEE>s9A zOP(smAv)#7AFVV2t&Za|{m)*RO@ArBuBmNq#=}g86>owzM!x))vhdLC>Uq(HK4#xb z`J|t0*!4zo+x3I>(K3G9T!f#C$j{A7Ie6u+&c$2IZsw0o10v12uN170E0y>^-@Dpj zYW1D2+7lNKubFYS^ak&n35TUVuCY1HX0=A__Ja-X5sYF=?Xvei_x=gl8&|jPZ7rj& zu*WmY0Ntq2tK7?vY!?ohRL(0}AZz@ZZ@vnD!i8Vj^WW4TYF`!q`K7^;e?5(RrA{B- z5IFtq;exVjXUb2U+CKTs+pdl$A)PMH9)?%#v#z+@{m5VGwZ{3>&D9dye`Gb(-%I_` zQTUDjN%|BCNAKR8D_??Z?bzmu6r1v|l3lw}EBN0-i;Imv;t!Nnc%9K!yneSzXzGVG zhvg2;+S;RAc0;W_xBSL+zIe;hr1A}|5f&f$r$3h5Fa3L6Q~muLfAZza|Hme;>N2<+ zu!>Vr_>y3gfRREA4~ObvC7zcYjv9%giX4i<*N}x@!-X#*3HQ8!2?vL|b2y!75HJcY zwFxb?QJBKhzua@n#^t=}f7{)k%&gs{^x^$}V|O#{-S+>tbv!v#&1V*P-g=Fpbg$#j z^J_Tg2bXo7&DFd8{zIjHQ|;B{HLj;P`|IP}i!S9YcvranoYSJ?M^_c@Ieg6O4d=Hx zHw45!%Rc(Q;r^$b4@>qRw%RaXa%J0k&(L1uv`?MAF;_M6=E}JLi)M|e37xHf{N&#m zYk$}TD6)CjX?(gB(PLO7mDc+|@$Jo`cK?{vHCjpg-z_tpQ+4^&>)!@!PqxcWJ3swO zO;lFj#_ux@cf40*Pr5Df`12)aiH+|)BJZzyvd4O9bVA6()u%hEEB>juTrqhR7P3ZK zylcnfun#j9zq_`yc2|3a3!nOO|9>_Ij)zUS?7+sdlZDCAaot>FizDtz6J{Ua<u2mg zAy~vzZWYrUzS<(q#m8q&ya&hgKR^7`uWNGtHM`I<ef6T*>cZTDt!IufO)wJv?0CvY z$2%=4*+_Wy<e3rk&4dNc{p?g1zH2*k#-{f&v-px`b6qk_5^i5Jr<7TkUFHw-pOeDD z{Qf@6f2qCtqT&%bM_3`XFpg2P+qjHteP-mGhYG2M#~OShWjLPyIWxI)-aMZ*2i2#{ z+%aR#<?EAXR+!H0{CLu*$0IE%=9Z6x#q&IWwW>;^LY+uq_sOP(Dl<C|pY+M`sJoGP z(x=C7HYdmPJ5Nu#<om1XsYhS`l_DHJJ?Bxj<0&5>pKC`}q^PMaIm^;g{O)7QqJDMZ z$IsM_F7AImb-^hY`w2$EwT`EJ-pE#1t}xoT!v6UwADJ7aekn{l-yGi=%4#VVBc5{f z#aEl@S6Rx1k~+L1CtPK<{H`FrVqtfnaaNq@iDSK{#`$*&<0d35QmD0Mow;L!(h4pk z#w9u@Dkf}Fc<gYhsmG-+#nG)vo7;$KiOz|F37ZrSJ9IVW#9o_k&J{UfsY0sbw1z3H zkvyB)6pkx(&T5jeofTfFJ3;hx;H6tDy}FWP<1WScd;YHUvNDUjWO#B?pWn2SkWEV# zz5A-}-Q&gWcxsa0;R#CKR?JKOq&%KHKPTbSWL^JhC3=%4sLo{#nzAK{=ZcHx_H~Up zxsLDV9NFnJ;j>_*?8iYJmZS2@X~e_D0oaj2kIh-<xn=ogpAQ$jB)->llL9-Y5E z<@MdkIeQ;FoLVKp9Tav{V!|dZx8^CKhWzt=!j5i83FYzKc2D{5`jl%`(bfB2GHg~5 zO`pEb)se|&-(>~a38L}u?AtdgNEBv&bSn6GE27|ZOI}}C-Dai9N4(n9EAP*By!Uza z3KjOMS9xMx3a|6jJR-}D9Zv0<%@=ef_GnN@)0*9}Kk_!c6Kj}V^rNxBuQC0H<dc&} zyi9~=cDkPQ(eX)3a#RwIo~~*XxRGPY374Z?B{KyMS-Q^i;rW)5RJchkOxfN@*!ZGs zidtBswsE0kd~{k;qLOfQ`Qo6UG^RtAN9XzQd`x-t_(@KInx*PoKR?fK1qI_mO-ohT z_J%V@6en!b+|LkX_H^M4<tIN(IhN#{GIlt%%tm-g&Z*4~r{eAkFOk__)i7o6E^CjQ zt9Lg}*}IEr$(yUu2B}9`c1otiI_5D|HY+@L_~zz#j;(UC!t<s*krO^UOj3B>yvKC{ zvtyn21ZIc2=m~!v?`fT=Q^*%iiJx#^FhzdCebJQs36@Q7SU*)a#c+K3-4w&{iM=U? z<x`oXAJb1~M?bco%8q$1jqe1WuuUlE+LWaCU&mhTNodW&`5d3JC)kTV2{}?QU152{ zpP35F8}~#{xa@dua*~dq`~5x1+duPkIos;Kd?DRAZOhk3JXe=%we2^K*t(>vFk{Ci z)wgrzNQjjC`0{iyE6!eJ$^CH7{OVbs4gA&oRE!H{mgJmU-!SFuPYI8io%`H{&Q=y0 z3D+L*oyp1Z{Li7uoe$^v@XVWj;)ucoBVlI8Q$9Qln#P7~o43r;oIJDQ{iy{eGaCy0 zR;mjt+sw3>yQVXIvT31Kq_FyA(~W8~J5^8me37b9{VJf|Qe5})<Pi^lwPPyo(_25= zUC%!2s*tKC);wiq1XB=S;d!<89ZMgax|k~IVYy3(UDJKKsKV11MtmzzUQAWpQB?A> zbDom>^f-m5FE%kSZ4EN5ymRoQ+W!^lzhs_j-h20MQN;{ne_o->9P^Dk#dW6~Owl|i zRl(GMU-E>n-?YWHoHZqx6TA{$ukK_qa8EUo?v_rRcOc(p^G6APruE4aRqQW3XBHBE zXgT2%b1hp?-GYD9(^mLJZ~U?PVAYTRDO29)&ylIN_}J*yJVidk_}0un4znFj@tbf= zJjrh&ute$~d(1`o7c!5g9{&Ga;pm5WL$;5n9{#u4QS{?}TgB54`<a)>{M+6<<?#Qo z2}M8dGX?Sek5^!`{8QhVbn4-M?FmIc_HzXB{SRMW7{vGg@P+6nANIdnT3hu)zA5O$ z!+HY`%Rli>JVihD^91qzf4xwx{r`1?ji(>hpAL~*FZ0j5S>$m2QLm7#GXJK7tmw;} zGv)CA0G*bnAMSHM?vnX;f9_drFP{IR#T#s<9sWOc?(;7n>=!oa2R{8^FY&~-=}ucq zG3!pzlzAPAnnHctMVu#U6cu)`HtiNjS@@u?BT0<&6Z3?lO?O)Oqm@qdDtNR0v}38$ zJHguVP`qgl=cnmSbDHM-^_n0$|KpnfQ4{7ny)|$8!}27b^{3&9s-{02DYX+fKg}@U zT_R;y?(A5{wkfddo_-!z#r@3F)%$0^_?v87o1VYudw#9%Ma#o>*6FtX&t;x_CcNC> z!gTVX>LcUEU-MXwRev{>c;m{nT>BT_F`0wHD_#}<$$B8UU#%j1`pJ*kHw9vuuBUTK zRe2d)oP6Nl6Z4399t&ojXOXHDn(@RlA@Y-SO=Ipv4~198jmPG(s8tJDOqk<*Z`Pvy zj-~TC@4WU*=u&TddQxbP&z40}cETBtJQG%_H$LtZ^6}laXqH`cV6eaTtTeTRH$hLY zg{TCaPG{QxS;gS4HMiRKgXu!IAFLG@x}Bi5{a|TLUi16!A-8&7t7={nOii*oedSE> zvdDQXeyX=aw;u`b{OPk`r+VYfc`R|hWqY5buYYaaC^nDfS%uIK9m5Krx05{{u+8VR zdEl9_PQCH^Bwq7KSMy&!55CW1c~&W8G1ue4mnSYv=0ANFELCrGE;g6o)REE>IxuCM zz>=7KZ{3fwu4%0{yd@#*Caa=SbgM$_ox81I{7$V`avNirG?nj7H%sz(^5>}V$4vht z-jglw#ZNr(anZx(-VW`V{YPB|*Y_0pUrDzKj6YiE>b953+Vl8{7hBE6>c1WNvP*s0 z+lWOcW|TGf$Og|;UuJG=_|<3LkMBXpwKbEfZU|qF^!KryWSAQ!E}@xJbwc>^@p&`c z_{28bB}uUwmHO0wIr61bec8T~Gkz`Yf5|^@hTYdASF|OA-+wW*ebM={*x$!`a_ze; z`Mb~azTJE-_xa1t&+}NqRL=9UuikuEB=Rr6f7t#HM@;M`FEf=g%urh9Zetkx;m8*K zn-=r@=RI2MckksIuX`_f4kq^BdDHK4PlAtKb9>=ohgHEg6SC&>2IW0o);#6*j!cE8 zW_h+JeE62szqlD#|5DRq{*%Ai`4cuN>;={3eqt%2J2ojaUr0-F{M9^#^(AwVSc-y2 z<b<gwn|wUPQff9S99Go+zWDKEcDB=s3f~uZU;O{1@QKodBKutuOhxv)7*o`y^K8GL zId6IFmyn~Aj_g{iwDbG^)}PVaZ68K9#e7mR(66-EtPy#gdvfVsh9zrWzsm^Sw9dRq z`}MBbR=>WUVV@_g#PR&j%gmkAZD&eM`19cJ<e4v~pK-aLq86rde!ly|!zX-r{OUg3 z6qq1iBj)k*V0u%?^YbT#7rj(?%08bhsP4m6g{SQCOhI)YN?$d{f3JS^d$WAStZ&!v zz4DX$e%|+<<r&X=mS_C#S?c)3)$|;xZP-&BG3nhoM~>$rRjYolDnH>F_I*!E>3QF< z?{iX0!&UbG>`5ujZ*({XYNhe{tEu@%2>4kx6%{G<X)=8i(D<KwXNG!#vhcF|duHt~ zvDtY3%aOQ~PtKnFYjO63&bRJ+H?CJCtxuVFaQ~SrXFk{6l>Qx^EGD(Fe&U-+&#$VS zKY8Bq)cIn*C4X$1CqMo%C1v`YCVkcF!zl%+`7>C8zO|JqT-|Dsa&@bP$4zOomG=t% z9$R<pW7xc7_g-I7TC5N(uEO=n?bDZ^U!T1EJoCxR&)Ev8j^EVsfAT$OKH1dMwy{Cy z#BU3U>8z1`hxH;SoVA!Zne`@9kXVYg$IZ3k4_*AqUbiZwZjWOK>U;dz@l;`roQGxK zc~;HyqR$(qJn!1<c&hm5TMwss+Ih^H=S9mwV%8wBScRuM9~q^@&eQ(pQa$N;m$txq zoA4OEpn2MQ-t)BeeCKKFG0s#zaa3Wy`UzW)N57ly@CMD(eg`u6dXvcWuITHHAmas^ zZNlep2F=sn*Lq&*yy*F+DbKs|o2Fd%k_O4d@CVJ)&STL$FKXU+=1J7bz3EbS%s#}Z z+?=Ep>8LzED|51Ad-e6(56dc()~D#6Icb_@b4KCY=6g53S0t@J($+OOxp2kI$T#yV zlkdOo+&k&1vU>Rf?o_eg&+pxM9&eX_B<|#`d6Q3H4VdEiCVkJw_1}-govfNa+1t4P zuW9}pY1@tAo5G!(UIke<-?(p<^qf(!{MWf&@m1`16+@Mc-ZPpDT&$J|G*MJNRWw)O z%5t7b)f$0!jX1tm&s{KakLsa}z=r9+r4pt{8l7WVY^%6uvC07n<CJNf$Eq4u8oQ+U zH8Q919h;G)KU2}!cka!!D~Hcan3={=wP+2?&S+8DP_~8Xaq1lLQEVsgZpz>=;)wre z<tP!HGU3kJZbf&t#Gajg7rN5~`DZcwjnVRy_A=x-q44GRYnFxoPbuuw6}cPDw$MIa zJtJtp_DQKX=KQH437aI1>clTy{b}r+rnknUX=U1pD~-pFOQyRS9KJF$tx2xtpI5`n zG>>@W{LQw4GhUuCbY3<?F+%N>*97T;ja^e5Bh2E|IZoS4s+=!5@+yEW(XwK$g3jxd z2{yq(tL>)tmX}!XQd`j<dE}qk0mJYEPp4S?n`ASm{HBde%}tv*dp+gOJyGFrFEHaz z&o$#`Z<^HJ>~!+*$pvQ*GcGuLICbxnheGi$&pFF~|5Q+$|GA()|8v2@{EtV@?$d48 zuAAMyyKZ*7cm3@4+x4^AjTvUMS2N6JKg=+jottqsdobhd_Uhu3hii8|dB}A4*+aFv z&mMZ+efBW#?xRlcvS_~TZ_W6xzcb_i{@#pV{G%Da`6n~}@Xu!a<zLMBr++o$-~P>v z|N8f`n+5&(ryn}qfA%o#{<DW&_n$pncmLVLOZT5W{C5A@L7oH84$2*PcF^F!vx80t zo*fK1@a$mPfoBIR4m>;9b>P{-IR~B{TzBBv!2<`L9lUhl*}*3Vo*n#l;MqZrgO50~ zS)%#UIL-LKahmbBahdUpahvfk<1X8^A#uaggfj`B6RswFPPmuwIpKN2=Y$Ul%ekf5 zXR`})L~l2;lyJRKw4rZ9rNQJ4l^dpSs5F?fp>o554V4B<H&kv|xuMcv-G<5yn>JJ$ zY~N71VfThgg995XHyqjUnpI75n@o;zj$DsPj$9wND*y40N$thXv)h?nX17mvncc4J zI=g+d>+E)Cx7qEN-DbBZyU%X_>^{4_*<*IQu;=Xd#h$a<jlE{KANHEv9_&54{d4Kb z!#j6BdAQ*2vxi6SK707$?z4wH_ntj8xcBT~$h~I|EABmeIOpE8hX?LGd-&wuvxgk_ zpFPyM|LkGF{bvtL?mv4t<NmXUd+tAb_~8DthinI)J)GqBsi0E1a>v?@l{>a=tlY7G zW95z$8!LBQ+*rBe*2c;mk2hBCc(bu`$JdROJN|8~+`+!7a)-dCN{a_mY-DP@<ov91 z<@#)L<<8mV%JDho%K163f2jIO|K#DHE6yHvTzU3z=gPB(FRna$SaQ|b!-=cT9+uqm z<Y7+Srvi!m&jnifp9^gAKNtAre=cCl|6EX%|G9u&rE-V#B%2tvn>Kg2Zra4~-?X_S zeADI*<4v18GB<60#Cgfp7^(96%`oG?H^YoSZ>AalznNzI`=*=m%gr|9*PAhk{kl)+ zJ+F#_Q(G!OoZC`aaCu8*!NDz+1$VYo7F?WQvm?(#uEsP+ZjM=w+#d5BxjPm)a(^sy z<YcUK<ZNtm<YH`d<ZA44<mTAt$n9~+k-OuVBlpKCM^45iN6yAIM=r)KN3O;_M{bTs zj@%y49JxDQIdXrzbL3=vbL4FNa^zzCbL46Qa^&U&=E&^{%8|PhoFn%qBu7prEJw~J zJV!1jB1f(!GDmJsRF2%9=p4B_F*$O7VsqqV;&bF|5_05X5_9Bgl5*tcB<INONy(AB zlbR#<CoM-#CL>4ACNoEFPn|0Ny#tfjzsJvJw@;YO-kva<{d~e~_Vw|z+2a#uv&ZM0 zZ0_Io<e=v5X9ulsKRf7q``N+x+s_V)-+p$m`S!Des?nbwtkSLgaCTc|!KH1LAFgey zEV#X`vf$*l%7Q1`Dhs-%*;sgc%k5d5D|cr}uH2ucxpFegbLHkN%9V>*nJX95rOLnV znAbWXxj8+#a(jAn<?i&Ye;BI7?w&H6y+36(`}LIB?DDCz+0#>Jv#(E`&Hg=gHoJY= zZ1(oFZgrd4xt11M-f}WCRQcsjoAIwZZN_hR#*F{m88iO4vu6D7&YJPpoipR-J1^#6 zVRyTzpmAH}hgOiIwpDIk_mtB-Gn((;B{TlM%Vzv?SIqd=T`}XY`#XufzW!u$^Zv8V z%lDscKE3~J^ZWg04=Xd6@vmpRVPnIc@cDyX!%<;=)*D3?h6kQKe917o-JB&yZqH#= z{<z1J*v$)Pv)32SW<Opyo1MRCHhXx{Z1(v@v)P{)&1Tmx?pD8ZHrMjU+}k!53vSy~ zEV^w|QRywWXTK`{yL)E*b@$Eq`5uV*S2W!&`tWI6<p<vFl?Hnz*+`^#%IR##k@MM@ zBbT!&N3LgcjvUW=op}b=H$8n&p;uYpG2NzO!EKuzi*DQeSaRFOVtKU9!-~Am57y<q zKJ-84(}U@Hl?5)_D?fN_uPpH0Uil$#d&QyuccwL8-*vWm?}R4@`#e4+SfqSTa7_7} z;Fa<@Avoo8LQKl%gw&MJ33(}>6UtLQCp4sdPUuYeoG>ZnbHePD&k0LXJ}0bA`JAvV z<#WRRl+Ot#Qa&eKO!=H}E9G;-<CM<{Z&E%dd`<bB@Gs?a0(<J`1cB7g36iOw6O>Xv zCupaBPB2ORoM4;!Il(RUbAo^B=Y)vV&k2dCpA)iDKPMEYeom-K{hZL6`Z=L5^>f1X z)XxbEQa>lGO#Pg&DfM&0?$pl-M^Zm0oK5|la4q%ogHL*u1+CL<e(bw#V{!1dO~v8c zHam{qw%M`gwvEN9+cq{X3Y8TL96o(eYCJ0JFMPvhPhi69M;n=Dx3_cT$j#H=P+8IM z@acnJ<CBMpOtag?xpL&@X>F*i*nHsGLtCcV?cqE*a&asZZ1#vKR8~kGc=oWDVRrj* zrX0CA-VK!%f(M>Glx3XFuFre2`Fq;~&T?M<{^k}()|j`c3Nas8{eRr4u3$8u5YX^? z4^NBtUaedw$B#_w#22$1E^#tAKAltbtBC%CHX+V~yb)}NY$HBB;D7Uw_09%e=J#<Y zo3F2bqUyb*g3&zb^nuLqPY=#$R~)LoewcelsIKJ0Ztcns7pK}-Jgur=yuLN{L6UZ5 z!QQDhKW<f3Fm9iv%X~iaWV8F)CkI=v9p>H<7|q7FaT2?G<U!$i8|C5-tj#;gVIO|7 z`Ty!C2OnNN%)P@jM{>t{FS$EWto}bXf=pPZs=Oy?Y3zhAVWR%pClxAIhKY$3WQQK> zJ8^w_3KQqWTXHfJ^%e)k?wZ7<9wx~me(6*bliunc*@<=Qj2c@qWcl5)eXj7tc&A=` zpz-n{>zx^@$~Mlb{BnyYO<xmulzT^MuB1hcmz<0vtA9mZRR!aBHgC5dg<kjWw8R<B z?-DP_-;&Z&z3j=^%Qg>}gY?hVso8v_)3Hm%ug7t_#~cZ}ZE-S97FP>421L|o7M$dX zOls3HJ7^@VV|tKFc+UQgU%?N$B)=8~=Pcz6o0%||Gi>&QRg$;G)2v?mZZuW9k<heN z?Z%6fjRv{F52kRsaU3>fT{bIWtLC>=oY!VNFcn^7deFppTkfK}ngzE+qSKp<)g&_A zKJ1d*bdRO_><aT4iup4Vrbyl{5za9?de7vbnD89agJHsF43cl>we3l5l1+2FZ{}P! z`+=<gL!S?8BoF!t@5%0xH8|*FoUcDy@%_w%F3oTIB#REQZ1&kWIqd|y+KuFAZ=H>w zSSBu5dB9FsN91z{Q@8I1F9~+74Yyc6KbKnIwC28OL_%AMv9s7L#k*%G98L4!H%{r4 zymXo8XOvEr=!?`g9i!5!*^1%5bM4YjxNT$!SukI+C{E(1M!`Ym7S4XX{tdc839BNG zdIWs@^KD5)t4VrDl5<P%BqruFF6uKC<7d76BKEtSb)S**uNjJ$du4Lw<{bOhmwD3q z3Xf!3)751+3XD_!Cn~43NN$RqW3FLviRWfwTaS^G);FoGo4<BN?&UtqvCqu8Y}UqD zQ|GdoilUD=JQ`UKrTI60OuNE;cEZD?o9hoK8H4oS;JK;PH$^lesqKvE!SFQC=d%@O zx4xatq(9^8?xQ?6bLt-Gs{Obiay$3hL8Xnk!3q6>K^t%INM?$?>oEunN!T5^V{h7( z^Rp6WYHqulQ=@+NM%e5m_a3ViGxF2YH%9KrP0R7_nb4)W?400cKAVlD=FaD4T(!Q< z6PeA&C*S?pj#X^NJ*HxxxtG%(ip@%jpPBUf%!=JJTYn!AslLo|`^d4F#+_*=%8Z{B z8!xs#Gof;sU%BzcpJ^v5{aNNE%}(m}*>HqK-FL$kmiU<)b<Lf_W<TH#ezS;k+RUVE zpACmtcKghonsy>ec#Y9P)&9On>-V#i?`s)9u{BQVmArJBWw+0T+g})NCbWGqb=I3% zDV_LhZHf8OUo#f8bKWzEepF!GWPWynaGF*6*$LCn7MAqh^#48U^UEk>7QNge`w1ni z(P|Z5405GG3NOEIy(A)$u*+wyk+a(j#nr8*6VJsQ+M@ANYwI<U2K_lA9#yVi1#MIr z*u6C;lr-GRQv0_jeQ$c(8?$h~>a!E3A8qq)oSL+M-2wHq9Cx3M&1x1|O^4Mi(wh9w z-iR}Feo(F1J@w0BsoS^bv<XjV@tnT8aM^*9Lg_^ZDvVPm7|smy5t!bk#j@_L(WRF8 zK}Tx}FRfsCFjtRtlAfpjj9@{TGfN#V{mz(a>3GgieE(hF<!jELRefi-xjNRwnQzv{ zTx0u(ipEb^H)rwBRt!FKW1hM4jl5SfCP&?7@0^#>#HltTd)*H8nTnr%H(d4k66vpP za<I;r{q@<(<?DAIn3KA$!E8qNedlK^mgm<r|4aM2&fs7`@t(-cK7st1&d&<Z`y{%3 zm?&9v^}<Sv^mVh^D%so$gV!C%G`^mG=0@B{AL+Dv&(52x=L_?QKW=9Fdn9)Olk(XK z{%7CqKguFMbHQ>+M|t5h#s^CZy;n4(mzo{Yd3pR9`@d5x?!E<QSf=}a*vc7aaN95b zywbs(X~}0l=@_lfTB>>NzK(ysa80h<MxSp_&hkhmZ2Q6e%=3&w@be9ved-MVijSUO zup?CIUG(oG=NH@&DrpZBwQ--bfc@ylvWPjh;iBq?t{$<>EiJ5y3Z9d6P0YQ!^vKKj z*h01P#TJ^oBj>c=4RYRP9;mVW)LcK_m+dX{n|B%qI9t7Yu>G_PhmO$-E%t*_mtIbZ z;d3%w!mS+|%)sr_wTfdy&yrVKOf%{Nc=-;QKC!jA`a~hfdDqh*UA|S<CiLwHna#Yt z&E&M=GADyY!Lp)^J;fT!oC-esmI?-W&x<|oBzt?i_L;)0Q_9yPHhAwgb9i)Q`r>V} z#S>I3E?(f(+I-{NrCzQCVF&Y-TP|FEkv3Jehtp-%mK!V=biLTMd4Ek~iio+eUG%`V zuDsBViPBEnR|?$taG^ZJ@WC37`)4O!WnJ%nDln;_e93#w20h>V;Wr)im&t1|^h@lr zb+1$2(RhJBl%wXrOLwj7AEcLCi!?m8yt|SiM(@ROE$a=Fm(O1zAaUukf2d%>QvdRm z0y8QvpAX@9bKqqjYr-AB?@vzj_q1|7EecrMRx){kjVhZxSC=+Jvh%5M2C?dp1q?pF zRs=BK_!Bsh$v|i6Bbmsch<QPsOa_e0f<onPa9lnw+8}87*NHJg^0K~YgQU@4FINWZ z)L&bf3{sZe*I@8Y|FxFMVDr){Ev6d}gKAk5R(SJ@G^pyEhA=GKcg4e%Avz&z4MR`s z%Q)5qUmstQhMi`nXD3eOn9ub~mi?mCrIRi1f*GdWyW+z*W6q_&mY=i_JexdAn_;nc z)<T9gaxWQK6DE1>f4-oKH&fV4SF}M<b88TT*sLp2j5A6vHFF(^oAhr1LyykOey#&e zGvtC?8(tdNu3^Y2f9cP4V8?X1K-UIV{lD#8jW6}4YBEHZ{@ToB5VX9AHDRt_eISF{ zy(?~vHySQ4*2<Eo3XT?K;?B=n&fv3q<zA)@{mYJvG%%Xlu2X85YGS+4sldrWwkT!u zk-k~~jNQwk=D1vl59KJcxH7R>%Jj*ywtvfxZ0KL;$93S~q<J9>(c)EF?%#6fL|&?2 zd85F`bFS!tlPaZw49DBAgfh<YxpbN9fx)DI>y&34KWHCf^+9EMy%vLbQI#9xkG{+M zMH((!{N2v<foJ*uKnA^sU-oky5TEmJK2rhH^8d^$G;Q~;JQT=reDf7vjga7Z=Yz^+ z+m7;nWhlx%b(Qxk%c`hgzXw;m+YdHPt73M|_NsP#wRpk?zNP!Dc+93<@ozuaHTBnP zgBul>7<xB2E@*VPnsrK+i8sh&&9}@AZXarwDr#Ln<kZ;4)SB(}+gWPa;}%hQ-s>FJ zJW>mT8&YL@Sysgb-%7oxvB1MO)6i|PsL;1u6F2WeUA@P$SgdWNmQUDVxA>zK&$b;V zj?4MFjc2Vm{NTI)<d7L3{Fa~G<??}bxu%0@)~Uzwv$$GjiD%53*797#H{4^+kqbP% z1&17sO`Cj`&R%+X@bS#F*9I~%7iAVC_*PoE6o<Zgw4(hP<HEASH%_0os+`NpXzXKM zZm=aldCt@eO1%l3?%j<6-WD;7RjvFg&R!0hYb>+=qLIQBG4}K=G8}6~)uXmJJ?BXe z-4dic$N!>MZvl_%>QXL?_C=;v`W79Fw(jDws997h_3%T-wd|J1>bDjM_Vs5hcC#>A z<SX@XMu%B;%Ve!vi!L9Q6v@5HvD|x$uX2UK<uZje3m!c7u{JrxssHxMB#Wy{W2GMY z^55cZ+1HtFlff_Wil=?n7IBW$<6&*{u1>n)d$CyRVM6=7>m2DtTl|+d{1te6UG<Qr z@?M6PTSpmHWG}pYs4z2*<&gQIbv<#Hsw^%pnZ8wyZ_SGZ*ACB{!^gPL{LxJjt2N1u zt<tg=CvBL%aIr&%ZN<!%zLz2eS6tU;v^>_eT9<s#QflsnmEReyRtoxEdNIZA!;$6T zjR9phK3~qZ@{9O$IkWeHutT~@({+Zu>jZP2zT};o9dY^cY=;%GH*Q~!>`j>HpP$Jh z&sr7z`e1kCy^Dz-iWiidHmS4hT`qWU?!xbl0a1HQo#iuH*t1?Z_kK9STf0Os=i$p_ zS?;(+3;j!O$Sm+TZBn(ZU8Y)i%lCOhfVahhW#>yJ`gmXLxz=1NS<BdB){$+#Yk{EN zl^5Q<1^gcIFD(kcyNjD1s+Etu#8F;T5~+OW^+nO%g6Zz@*)6}VcdZh9_hyCC0s%jh z719Dlz0uaM)*b%vjN>cAA&-{Rrmr|#^1Hv=tq>RZr54As@SfB|Tdr4&zkWz!{dzTV zL-3M1hacNEq%8R-b#U1fwikO2yqdz6#j-m@YKfpuc;*JT4Y^Adt;8bsUkd0=koP`p z(!{FEd!6IkY!j#BOx*rbD+O~LGN-t05L$Xs>R{iLV=p2SGQE;bo96QMGOvmZmb!SM zqBmi_vv5P!)hW*$O#&4Q*LX~BxbXX-rQ_trfaVR!%QYQl)F#UM1~*>#ow(WOa>=;@ z2H(q#7p8sq#(eg|#s{LDvp8GcH<YVoOmnMfZA{Dd+;iEXxI`kZXu(dYhilnqEf<Vi zweY9ZLtW{p1%h$A7q&`0<eDLt*<zg##nV#Owml?+Q{b4~o<&aHriXrOZt+pxljU@J ztK2=~g`!qG;`6r%d3-Tk5GwVsX>wS0i??soV!=Hb8M*>l0_j_}_$k{cyS{F`@a*Aj z2kC|j%OC!AkS^8vFmr*g6;GV#mPq9vu@}U8AIx-&Hf=i3k$ZXLfz>VBvRjU?%V_tL z?a#mH*ZaXm?Cm8EIl(Q_uMeg-eam23&vk3H;2*sgk-ZQ0I-JjB`Oj7rr(EH1!LIj# zvg2>lrtSQD8Cs&6?la0>Nc`ZlK-{$HJ=5MLf;L<)QhOh4cVch6@an-y=XR4roqDot zPU{ajO37a2I3`vi!ja2)O{|26BbO;X^Gnx>NZrhP>U}9)#dBsavCUZHHhbIC+*@09 z-(Onid)>^^Pc}0|a`ma3_1U*Su6GjnHpgj0taNa|2E`vM1bRHJ&&@b(DQnFg=KJ%P zyzKSTX7>LtTFzO<ZCNncibwz7MNNeoXPZy%>zNkDKCtDf^7Vey)$aGY>aes#iCg<a zQ{Jjr<vq?$`7bO!n#u27`S{4{>XI<!JzL!KGh50HUgXMh>-D{m?fvjcZtv>T4adK| zu$}0no2j6cWnq7OrRrNdRvm%TM(&8J_~&fX*L`X96BJ+jWucyM&We}H3O!i{iA(z% z4a^RSwAfwT*%--IyXtGgXW#jZopU)aSoS7l`^?X7kuJ63Zz*e%F8t-B9FcvQRk!o| z%opAYhO<Ls9vW|Q+hD%1JBojse2J&BME!+Sg)725b}zclsrT5t$X1vl+a_BQ+J10G zvsM$=L$<D6jEQOuGg(WoCsyq3sA5pm-%zk{o`Z+1nAR0<<vn+u_nICGW&O%1^p}0b zdcJ0N$*-3+4?OSrmCdr=L~4QHpKBQk0;d@AZKReQez4xX*|f=Bp4W5A3Uhbo($0cN zx5GkDnWfeV>N#ex2;Aacr<JkfoT*+)=9E6+@4HQ;IFjvcerELW9p>&Z341QQUha}b z?}tl@z1KO`OJ8E?Es%B+F0I;OxWLmPqx6I3l9N`fd+MBnOC;_cU$|20E90zXg7-ug zy|nV%W94F8B5_Z3(M+j_PnBjZ6}%U<@TS8ewT5Reu6&H+K6{lzf7b<@-VbsbXRoUs zl3uXIL3z(_Pj1sg(et->EZ*qQZ7RjS#YK6~Y-jJ%b00SG>0ah|zwknlZl`!~Myp#z zdTUr#i+DoD)N|_hIu>4)I?EEp+;ZvB!@#K3g7esymP(yvj9UNo0~1&71&;hB7u<S3 zbaCZg;>e$PA+7gA6ld;5j`uS!g!O)i;?KSO^zd%QEwSwnS%q#b6ukFw!Ca|_tqQkT zTYhrCe{><Q_k)w*+lw6eN*CjL3-tZZGc9C)kTh@JWsdMqU-r1YZupjIyJw#BZ_`87 zPG6ebDgs;i7!qv`&sO`g$gLu@CGHYO{=N&R9VE&>2Jy&V;mF@`L9zEk5x49$j`yoB z2`XF>y63%gvBM9y3Z<!ij1PAop41R`F|~o0Q5F>77daKKDBQDOG&zc2zw?5o!WS7E z9q;w84EAjCTA$gnJoV)kw+fc&=U(i2AQ=YA0TE95FJd0QWvL2Y-gjN}#TvJY`o?>g zIP^^}+?IMcm$}Mac~6hi`&Skp^_cc9Km4JHY43W$dtnRsO%8Fhep%>Nak#<m5{LfQ z3&$NatnU>q^fx&as!_X2FpuM<@m#Asx0iF=c9bqXzg0y~?ByP}9cD}QO%8c)E@9-@ z+HySgrMJQr^*z^KYRjtsx%G09+lMpDy`>J8&)3Uld0)3{k>H>IFSC0eEcFXFJ!HKu zq;a;x6IQtmS9m#08SR5!trOhizp~x!gYWWr4jrt=-d^G6NNwP>`g*PKk<^r5FAQcB z2gl2D_wcWn&(}QD`0MpVgWzS1QU?uYuw}8F&Xr<mi4?hZ)+9pt#=Z*zbFJ<rE?wyG z!m=WAYFj2tF{jkJ$1VOsy$q{jgRP!i@aRoobY9#L5EZfELdM)|3%3gr3Tvi6`0SQk z%Jso#X`zFJWC6R+<E?Ue6EmCogxRD_1Uc@@rhPZ@e9pu6)g)3`;^JkI-UJK(VACc~ z8{f+u$KIL5DogCR%+s5&%g@-fX{XiMOB`yiOrn)%EWIq#n^53aY}z!@^6X`fZPF(3 z)A^4*+2YWCuwjl^7K^oT6iZ8f%j<%uHG*dtGB^cZv6eAx2|3=myC`azAkUf1oqfV? zPq#QJ|IoVNrLcvwLh*uD?}JK*)uv7UjB77))Uj*{Q~tqs!K(LxsKaa1roHyL7dXuR zZ3$G4cz;=~H({gyY}2NxcDWZhzJ1>ksvL3cvRQ9}um5e+rnxq6FK}%8xFuLwBJ_fu z!WKCR(TjNsSJZQKKusj!G}kTS99vtacfX9(<uvB5@>AYZ;&VRRGa~kaoh)0j+^zMG zTO5V<E>KNObYnMZD&*h0LN)Qbv;ND7giHSV*`5)q7abL9q9cA>R*bdVbIzx|RL9`g z0%eDY&co3Y`<NE~KPWn}FO%iFeHAOmUWs!>B{9l7HeKZGP5A4kUOHz(_Tpfx`5RIf zhnJp9U~pgma?ZgQy?)s&&gNE&1$%D4DD8c4$}RuJoP)L9_pWgGMV5pq@9?=8Ep<?^ z>z>$(X<rWaw7%M&caNdk?jg50cj>!JTPNT6%qj8a6aPNuHQKWL$ycRJcI)e#fB&ae zFSJddU~iL)-GqW8Oj<%5?_wMdwK-WtGm3W4c&O(3{)JRyw#eSq+1zRdU*rV#@zu<J zQ5%~jaqa@U70*1cFAMpaxAN33c`eH4`o&NniaDm@g>dhKCg=HCEQd{g`Mf?T(R=RY zoP&P7cGo$cWt7A%=L;A8<)r*W=OXJ|@gFi5r%N3?-M;S<N1Rnjpz@ES7gT#6Tz8so z+O$wU_M+y2f4%#zRUY)dUlRIUD!(z9wI?q?X^)5B-3A3t{qR?OEz>x|LtZTulrdkq z+^t~sk~pb@8I!BHo#mF8&sl6I^-#C?6?e-_4!)c#{2V`9@}<9CpHz^zn9)lAL*C*> zE1q>(CLA1(dH&qa*udBPT#EN1$GU725e{9JcUdMr%h}ycr1)B9HGG!ry-;}Qbg$d1 zhzI-K7MnDkm+HO7QI}=nro3mbZ?fs3?yV+%%6me+ADbT1zG331yr<q*xbX<D@&%RN z58q6DuT3hLzEE?QOF`Dcl~&gaQWj=9{FqhYKWkaG=Z=&MJhE)&JhN5`{t?TV=Et9} zaWO~Xip`!knS26aLLZ(l+bQ*ME7L4?m2YYepG^;WGlD7!RR`|IBllP`Ch{GQX512? zyr<96+vL!0i7g?@dpaCXn;hCLv?WG)kBy^t30FmYQ`jqmJvxr7O%BZ#+7hL_=Zr(P z$)Vf4Tf&YviA!b7<yfsM+jI2bG>K(LE??gw*XU3oGuteqC4Eum;yyNh&&z7EY~ST> z@wA-hoGy23kzh<w#%i~M!wYkz4l1^8%Vtp*xy9Jx&(<$=i?d}J&vc<%OH~uieRh{J zCK~!wn;g2VwndynmZ{F{%NjR>sHM*vF7Q0abUx3#@ZbU7j&CnT9{h6tZQ8V(fA0dp zn3@+2ZUwIwikmhS8tq;3x#{_Vm#GR@Bz<~cF5qjfG?cx>p?0(+Lixx33xacv|2Qzp zUfp=$!KA*IMh`C5SGl%7yqRLf*K+AmVye|b!Fh4ZCQCgmOtV@lI4^%$cw@lziU%{} zE_3)Vy_7ju+~$vGy2+u-3%=}ftFW1J?*fNE+vV9-tTtLc>86J^pZzjTkGY2RMXtgW z**K;zeQpK93(8FoZNB<NZh}P}LsfkHLDvSm3mpBfm)oO+{O4YBcUaWTT)ReaUiUKp zEh=(KOZ`hF=E*EMZ*nMkS&5&rO`-SvY}-GtxaMA0Z91x7%h+=1P<?~mi#Z3=8}?n{ zsAKvv)y;r!S$7ma|AI@Zvg$rvFO3A+Ty3~~!W#mvSD4M%ca4Le`*LXShc5=92i}NO zRb))q#i+>RzbL3&VM+UOhL!DnhlN*N5$0IS!0#5MuiL4vx^lZ)MaiUJ42ttBZq56} zw9x*+a;FvZ{bcv|I{h_0w0_40hPh02?k#LtEyn{hCY<A*=fCiv)Wb$msYQbG5*99$ zdZ;KXwd`}#@~#Yv2^qo;$|dI#>iv{U;~xC>SX`o0@MYn}D4}z<CT_|%7F>>)%M`OM zV-8=lzGyGI)7}GL+tpqe>`1@l()(bN=VX(nN>kr!RU4Kq*OYn~sWxlX<-^X!Cce+5 z?iHBCK9`a!FmYCnxOmw|mb>RurkFsMc$}|EwD+OOozGqvROnxfk!9PjGHZEq<9x-l z*C!R2EMeWn^C5RptHTVz2L+DZ4FR7ko^^@6Haak8?z9y~9OnK}tC9ruHg54zp7G;y z(OPzM&!~l$n>TB&y~43?;uf#xQuDaBL_L?1W7y*MTq>__OW5m&2PLA|TkLw%(=ztD z71S-@)tY~Ze}>sBkq^vDTR1(=%yyV<dZ<75f|x=N&ksw!TdNL#I4rltSNTs3d+v1( z`wx!RCWngcwgfM4cQ4GC?p9&eUY6a`UYgPGR#DadEvtn+=S3u_M{2uVQ10Nu=cb1) zCvM^QxFUI>PPT3Nu@|fgR}|!w7c)Ej(5hJ16L*E9U+<!~m6*+G7yay(_Sr8MOgpmK zv4o@J`qqjm9ek_5Xgyfs>E7_6m&Iz8;GeLUGp4nev+P||tahPr>bwG`rNUAC`_o<2 z8$XzSJS<S+)&6j~@{7>cBm5B;vZWqA&v>!L?S~+b)ndWAe@$`MH*$PwbNf*#P$k?^ z@B5KisU&QH!#d%@@^5!b7FyNC=RW;8Yk$`>+bau`+x`BZ$-J=at?=9Tr!qf02|i!) z?!yn^*y2Y!Ki-*BC2ReR<A&<;;@^62t^e<>-Mu@XCuC*NQ?q~dQ#*F&-<kE<v^Du~ z8~5t-*LilWcYoJ+@8ZJX_I0wiO5S`}|Ltk+yu9lVPgdXB`u@hVncL>>dNyr)?&Y1I zjh{aK_=)dn;W1;$9mc=*zV4b{p7;NUa%F3(j?a8n<F4%u$D<D@l~@Ic>~&AsQ`mm) zyUn$QpPOU0+Pt5qK0oXJPT%W%_4(@yR!@7%ZyywJZ0o1)h|pg*zOD`nI1sbzLHybY zp^Nnwmif-y^>49r<!yEA(zkz7j`zK_zW+I+eI4(-nyBlplHH&F=6IUuuf26SR;oPj z{yxX$>-wK49kdCXTh{s~&3J#y)dl`{=evJ44iVm|)0VZT;p!6It6YoA(^ste9N8UL zR-5tEbM38?3*X&ObQ`-J|D&RIHA2?vk^M#+vBit)uDF<riEY|tvOMZmoRGd|viXIZ z58ht9y-tv2@w^GAbd$|5ElY^|qJEtt^6m1(?q|KHqB}0#b8&X6Gx>D$tjV3}Zi>pY z1W)z;vzY6)c$)4`CVkG`t-D%{U)aXZtJ@NK-7NO@>r3_Bev5^F1U;Vn?)I0c6`TAS zj>*q|bLZW}9a(MLFSU5tJ5G1W`I-90%u43V#TPEt8cFvT{=8ZzwfFC=6>kj%m>-nC ze0*T-Md{nNze=vJ^Dlc<#LwS%deaB16ANXpb3Rf1E0@dvNAK2x<lo2FtXX+0a7E$j z->2ulx$>`5efPA_Hg?}it}nM%*Y^23>HXy+ANF3nA16IE_LSID|I)fmxxe*dtxBr) zDE4pN<NB9fdV2YbD@#{*JZImccV@MAt<A}EV*Q(M|4h5RKFsd7;{7SVuV`tc**}sF z+#jX-hHqcu*VUn?-aV1N-Sp!8pA6AW@}K|aKlAKlJI;Q5{)f4%;@8%E3*NW*>q^n{ z$>kqoSNX3!Wb@5<U;F3PqUq(I_r_(K%$qsQaPia4)j!TXy?*ggkBRm6{LAa&c9+%M zyyDt-AysF({>$#gJ0(|^SN_T`a(i;}*~QJFe_xAku&{j|zkWl<)5|k;zH~)0?w!{f z$Em%<eA5N(RM#)d^!M)B@rLi{mqf8D*EKD6t4^i&_k4Y_;*i(N<o{Ve-#q`)S-+L( z8~3j(`=b<ptJXe?U)%Aw>)g+9?X>;N&i`FKb;JD&mH)GrzS;hzRe!6{&%3WKvi{Hd zxyG*cW&GL((*^7QtQOtWR&sHFlwh{Y^gpXbHy<mxxj%|?_w;o?!nIR<Uu4^7RZYA; zWnI``*DVK@N9~MQ{J&4@&YP0QZ|q*Rt({bV*CN~P?q+YZxI^!j%SFDLw&~dwW9z$r zVy-n+rLK%VBDdgP<SVhw_k-u_lzK;cznVTb&rvVi_3!2@XOq`vI!xPD_)UTLOpy8f zzm06EE29tm^JtEh-@N3)(SMH=r5?ri=iACHTlnwmk5#YyP0o}{KJA%zTyEjRf0v&` z@A$t!@ZVRiQxTHAM+6(}_ZodmmwFoAd)>#f_uJZI+~38e9!K|1U(4J3)%MTIwT~8? zoGb5YO<kkg`+aR#$gx`&S?*^YO<iN_`(<rd&@s8ip8Hn2o~f^VQ#k95_0da4-&lML zjqQ9Ktz<JY)RrsFTkLUf&%eofEuR*bFAWw>m){fH+4*k$7Q-Xk*JqaAD$7|Q^hkHL zvh3%TN2HJ6f3d<}^`42<#fe`0ReRJg?dhApq`yfrWTu_RrQ=ilFRr}6zxe)6H(tKL zr?OYPn*NIG@-3SXR9L#qapjUL9M@Z?Et#Y>DJXWOzn135kDqQ_-eNL!%BwT=%by-R zb$)uq?4Rtp+%?u!&Z>>6Z{}}V|H)w8cOKjLN(uS(|6I?9${m}1uKx1osqG)dKG|>C z{>k8;z`yC=LgbFIH~n|tt<C><@8AC!;Sa8zI#e-xWkH5|_?p=qHJ@It`(<2OoUvJU z+39<C7cw{g-uH0foUABY87b+1%L-Sr-MGB4@V<p!=)X9=UjF}w?%Ou!<nLUw-TCzD z)Wy>JX|*y@k#C=0+>w7b=-Ty#rjaX;McTKAr7Vp)@XLCOmG;#dvjYo5uXY*PRb0E9 zvcNg}@2Zb-CMOn_UagbXJ?XP{(&bGD`DE98dtB07IA^^@yTzBp4JNauM+Vg_3(39{ zWOikt|6;q@eKs$25)RAUda?bc=iL~yI}3U1UQg+_QI?6CA3Evh&zvRBw>Muto4Q!$ z*0ia5_mld{GP1*V)y%dywlMbQOR-HGYqp&A+uqPyoZ()!YiZ6N=juOY0SluKs99_= z-<{%p!==3}{CD1$wDV^s?+`zqI{C*A!5zl4Chs!;C|SJ8IlL*8wK93mqYJM4WOjVp z#NAU>l`#L+g(+VyevGV{<s8p`xxC!2->>||*@VJhN3SpTFaH?x?`*+~7!77yo%C1s zi@*F}u(-U?-05b`MIp1(3!h)^PpqujG3TuNq8_fLVh^i?<~_dfGhn5BGsEA7&#k`H zsa;*TI#6Hn*~d9)i<YkrTrc<B+T>RMyfw3owjEfwz4rA-wyhTyZr=B`Vt3q=3o`@E z_HIz<*DZUoGpqGwxoqx_kn4LEMwoq`75V7Gf(!nO?RU7H-go=y--?ya?M#wWv@ZHx z36FkuL44*FHz)tE_n-3B&U5|!=<EJN3;8!)cp{s()cJkt!jsh(wc78UT`^^s@9MZM zw-);UdGT`F&SMMD8(sAN!ur+pnw80+>GMuKw`zC$bt$-d(^tOUk4u;D`^VPq_VbeV zlq%_;W{0QGyVaieD4Hw2s%Yv#v(Imv<!vpePuZx;xA$pufB)R*pCV79`?sIZ<=ZQ_ zOI_G|n^U0tZP{N&6E@b&d0X%zW$9)ci47rwk`I4hnaj5~;N_M#f=?#D)ocI8zB%&P z>t*|HFRYDx%Um-1{^8<)x8WBLWpB#5YPxM^e|g?#pPkPSWUhCv{ZpfPb5YmL&3w9F z*M}dPSDX>3(JbjO&-!H9{PXk5SL~mE<;eAi-m_}?_C9v^f3|7D+voG`y9K9xpCSGu z?)3a+a^8FvTU0|PysTW9Wvl<mw@i)oi`<r@H@*43ew*$ayHwx$%f^2G6<p;P7VcZ< zb}>Hn+Ns?(7awk1r+eG}-a_x|-{yuMT5-pjPj>CIdga;kkMn(Y4cekIYxd4%yJp!Q za{uvaPkHK^6`P{<-c9ebGFe>}HaGg}ZNqy4#*qdqZ-sfE43~NJ{IT>(&kq*zokrI$ zy?w|cA5@$5@#uNa_PCELk4_g}E@LI=wLkVj_m%LUO{}+D&uGQnUNCLbb(yNOUssEt zPhBo+^)_C=f9}KX%>Pw|->l|q{C)j&v-yv>v-{&1t0()v`1h6TX5_w;AJ&H-x%Y^h z|9_S0o7{Wn|Gu8Osr-k_!)Sf`>3dI$U-|d-(~asH&iB#l7j*1>e<A$e*RGrFe6e5F zhaa*lc`>PeZ_u}i&eQLn;s3BCPVx7Rh1&ZjYoAG-Z}DYo{a%}IE1kn@(>Hh2J_~T& z`smB%b<f=<^iO^M<N9aw*RNdfJ?Gmi^6TQ)_tTD8X`VZ0ZDQX&IWA5({zGk!i7Qjm zW1)0$nJ6(IMK%6{o74Gt{S=qIeynX*zC`%(;eW1n9?OIuuWt6PDf3W2wtU`cK3RYD zW7`eFe{{_A>v?~4|1;r8E5m&ocb<AawIr$L)+&w*s}J5@ygg34jdNX~`bXYftS`J2 zrV8};l=27MFG!V^$WA{}#quKI!LQ>}vRp43I|w&R=58r!ty#~oclOO=Jf8}$Sv$;U zGqQTrx!I1<YEtB#g2ktjYni`P9tdB4p>4xorYozf#Adm!sc)zX|GxF!6k|bYfdzJv z8qYR2{%KBM7;%7&bw#{bS8Gl|!>{y(5l7lsG91LZj^<=Eu%#`GIMl|P5g^vJG^e70 z?RRj<v5m@1CMw)VYs`!soHqyPBrIk!(cwPoWM<`XcyoYGQZkc?68F(0GcyO})%T_L zHm-kndbZl0$ajoaXZ3Plh!ecA?(Eja$IM@1zUI5Xj%xI^JgUa>B2w^KZ)l4z&xLuf zX8M1r@|r2KKs7Qp?C8xW47sOXZR%QoX*o+qao8@_ojF?TTeJ4rb;U4k$YlJbGwa|Y zwZbV2`V^LZw$$Ey`KObavcvimvy#Vq^yZ)Cxv=ugQ|=pU7-CQ4NNFZ*W-hVWyrbL2 z@;S?kq-UQB&CDI*Q}yPbf5!U4<-xihn;V~Q{9&k_w6I`~F2@CSNv`LcTN!_CxTGAU zyJr9IMGUo5f&$im5@TNx&9=KtZ)Z#WzAxo-4BBF)7U&yIcoJI?*>Emh;iP!m>7Q1L z%%1zqVgwfyPxWe2l#%;<<j=(8VV^dd_Ac2a6d}4GFjM8K>VYh~3(F^loc>_SI7{+O z&6UfWpWb39{hnC6P~Y?#>xy=H)2S!F)=X?YJH>vh#q9&(UjM#+y6Ltr;M4l>Q)VAc zTk`i-=-oauT>z8|#P&6PSTCL){gdg?{y4+i$I^@cSE=S$&p%W9`swqHvW!x1<Mq## zv1Rns?@ijK?T}piTJ*@xcMQFs*H1tHjU{7G{a&VR<_^MtUW;x@|0#BMf1F^op2Orn zuSGXMXEwRHKaTUZ_yWy;U%hVrWAOcIyGLY`IFrfQ@}kzAZyXwy{l0!WS)VPVt$wdX zb!Y!oP%b>pIII2j{xe^8%@7x<tbC*JUL-Ou|AXQWf%j5Z>m~N;CWc%UST3nM@7<~J zd$IB-#gkm$6f~Hz?Gx=)T{7#RV|>00=Y?t6ZyLWcJ<ez_ixFNSE_$44%YSL<zpq{k z-FtkfRnoe5^&46K3sv$_uN2<1=!fjkNS>dwv!`S8WX4;slnq1oF0VNI`$Evwk6QP4 z{y3LpSFP)CyGCW1n8)&;VaLmpD&O$rTkN~ab>lVTt#{$Q{zvma*iMZ%wcE5$a)EK( z)gLE{%^dQ7hMi8%XMK^o|7-k_dwdtH?Y3MAdOw$8?|Wx&-I)7Ne+$S*CAFMRe*clz z^Tz#!JF`x_|Lphp^N!f9r}!(PzpY%E_00L+A*Y+XD{4Of6P#bNs@}Y+_;z3YQ>I5h znkLIyJ)K%!@#W=lxpaB<7py!xu0H|Q;5|QEj!!!DDdc8I%_;Wx31yZ~SMNLjW5&#< zM<&iceeR>F`?g!IJoZ;3ZRdStwu+fL_j&ZJ4f)EFJ0EH%$FsZ$m?m!;cS`R=Yvk7+ zKBj`W*aQ2X>^^;lkMF~r@Hw@j3$CxLZ_?j%==(wOpGSoMIDWZ3)oZ19vp3_b@Rv&& zC6dxtKHGPian|fK?o}%5KiqYc^1Pb0pYOsPlSx@oyQLP~%v8C`5zr|A{bbGA72@J| zo3^Y7+WaJi=@jcm>pE7A$N5vwS3NLa5LCbVkI^+_kJsz(H@1cZO1>6dVmdXf`S~8D zxR_&A>@U~8KCaH*;&e^j<F8h)|MaM`_9mli<{s6Xcch)sPWszaVl%5X&G5DS65*Q} z(>5?VTFvZD)0CE25*(Rv?DNe93Ug1oZEoP@yY%{IhS(-W$13Gln>T(wn42*{?DviE zmu6Nv9^QXfeOzX2<8gY`)6{phv(LM+WU8^<FOiyh(_C!xM8~L!I~PuzchsFVv*=k= zRfp_ZY4e_sMr(w2-Z-J3mfZBEGygT~z9<RlCB>k;wL_uyUA+FeW9%>Y)bFh^Yviw5 zxBu#tC__J<OQ$CMKiy+vrs>gMICJ96MgK&W<`hk^Yj*T#^A6eRsF4udwB`K!Nprv7 zSm}7{ee~K6U+zoX`>uN3_@W^DVQtu{G?tgH`?G2~&5b?uL1}Gs)0a*8Tb0bLJ=|+o zi*B}bw0ai3w&g7ECGCAzy>8mr+5HH8e7DAK{?D4#PdBpG-1@v$ES>x3oafQH^L4o{ ztp+9MM+$qNMX#Np%XukN-r93v*qLc;FJt#dspdpZxc7OY>&|T5)YCr`UWV(=J0136 z<E8CUbJp@)n!fL9*UeQ5v7gq49SUQAxhdZC-uCM(FSGAw6>c;02nV^P)NxhEkC4Z2 z>uV?M`WbdQUApPY-R)6~w<VUy?Yqi#bD6@|FKfe&n6a;%p1(C|o2tj&+SOAxtaW@P zd~(lj&aF<{dfz+!Q45=KdK>%7{rOvKwkdlw*Q^%ZFxOE^=ArhiGgq$M60dE4%XO*c z#QEC2>oiTfZ@yFTJ)?H9W}CXl<V_cKQmdOyR6plym~fxIvDtCftKjbU>GQcSnaq!> z$^Tt5VcG9B0Ut#_7v0>aaQ1}P)`qvwXA8|Y)e3q1rd8qWDYd|Tr)&h4SVpd#wn@C% z#9TUb--K#SkI$Qe&Zg_LXV!(SVttb^K`doy)CoS;%*3!&u5St^h`H81{?8h{_pQaD z@7j?sS5IEM*fDDE)P0|xS&J>%dh<oM*9qO^^(>jO*M3!|zNwgS&E3nW{)cX1S)r20 z`S7pnBaZlenj3!g(HVKh;Pw-5MD9EP^q-)g6!&!Z3LTNhZ%p^~pK>ysKlhpSN*xjI z8<P8`pK{tbVXxeh=6~8-8}<q=asDU#<n>JRpJle(N0rLVJlr=0=%nR;<V_aqQhk%V zFZGPm#wmLboA>_H-a29L>GIxx!cV*xegCn~ko&03H@o|mX$vLN<v;9A7VG-?#(7`$ z8K;d6wMV}9|I^;uQY*8h{LkyDoBV%>ZOYo5aoFqJ)S4Tz9Vb5BSne40s_yZLE1}2J z9iu*;RSqsUeBQL>&1`qIYZEHp6x(UmeLiu8r_#>j^xb6_tERf7)$cUfKl=l#eAwR~ zbt`#d9wg6^H~m_<?}_r9_nR;8sre=<Z~Eu8=!Wi^D<9T}pV0g0czA!D;O;ZWdHz=& zeZwVh^yl@|4eNh)JbZ4vLV3aJn)3ILuQ|<k4sThpy#Dc;nrpf)n?c&&Db9KuzrN!u z$Hf@?qrz23*Q9rdh5WAja{E!pyzdj#=fA7se`oq?``aJkcN?l(U#z$9xR=#!@|s8T zs(DrWijQwE-u9W<_VjE)fAe&P5SLn~D-ZfI`rJ>LNMB~VSnK>{xpnY~llP}|Zmv^| zdcUO0V4cz%`9)%yPp`~<*C1f}JMhcy>r=I-CNVo-v2y+Qq#!Ej7+Y(G+M6fAT#u%e z6?CYv{P=fWB<Ixs+E*{vt-bZ<?aIElryrhD+Se|1_``#X69jlmFJ&*}<5{~<QR?9n z*ZN6r+-Kwaryq9u(*Nb<0$0hGJp32g+834H+M-)8;-=fFIHQ;2qJCb_wO2Rx#qK*O zE4ip?_M)xUi$b^h?%LnSb+LcPhhYEmH<$J;c(UI2pX!dq&Lz)zFV2en7pQS*nqd!T zU|!iWsh>x4aypiU{7>DY!2Q-jT71z*gL5Cv>I-`_P5ysWob^29+MZcuLPbAUMf!7G zOwK&|>E;oEt^QY6Y?VE>M8I}NkYDM;lZwBRy(~j}G!?5fmd=^=XL;L;hf|8OTIPx^ zat}JS@|>luORDD6koR*07uheLba}srsj*9L@TrwmGesA<gK61C_m@uz{%WM|!oLJW zo4cG1K6O%9(@fvx`*MktD-Xg>JZsO$eRVM?^g)xr-RWL_QQx0!Y*qYqw}0_{Z}sV? z#ac5aPS)xUGMcaZY;)i#9j}8)+f-cqm-cU%qRV^n(G|f}=eFp$<Z8Vxj`F+u^^?F> zAJt{EUUdm<^_pmF>G)*Y#;J-?Gs>D8v@fp`IP0%JBmP*}XG68U35k-?!iy|lvUZ=k z5w%ajQ~!ke#?qRjljAmQ=~>Hvv1d!up6Q!-6=zM0n-G5fn1HXZenh}Av(}8FD#tk6 z+0G`KdllBt(^Z@`BW{8|+qO@ZN^2GJx93E699t&-<mzTymt>~$nEj?)j`Ke8-Q&D; z>f`%Olglsjgeks=I%c!KyIw_oUYTv;n%Eof1iqeiV12wa<YaoalZh>}X!6>_-`ZBp z)}LxoJ*~bpUhC(ZodRD!ZRI%azSLHJ(ZqdQxE5^fH&=|msh#xu=P9G+mY%C}LRE?` z(#8?WQrdQ93NHU&yDQJ%E3ruLWpP@DLb`ry#+2gdFK)rBBTuuPeJ4D#VkUpqxwTW< zkKH^dV7fMB>h}6F4VTkQ_j@Nv-dcF)!){xdMaSQM@Z*#|WmBf&vi~Xf)n998n;TqP z;_h5>J@?}5A4)rXcW-+ddu!osRp<UzjsMd!dfK~E*Kd@nQ+3%tCHo0ebG402>!j$E z0{@m3H)1STzx<ifQJ1l4Yr02AUDl?fuT<ynm0D!YvrT5r=^NRKzZ%azV}AEip!R;+ z=bO)cI`)-MPT$zKs@B#ede!Hga?rGH;16@BFUA|&&YRE3Z+nq<P{&|Kx^qc?f|%r) z?M_qFXB=hw{8r#-@gDh=`+oeHo1$IY{(jQj*SY1Pd-qB&^1Ch^=)L2+Q^<SvsogJD zRoJ`Cp8NXUtWX>ImK7J@UY~d|{=s2^w_f|RPbAB?uBclzwa;wZKMR+756$J%tme18 zun#(U^lM;R$En~mVOf&0>GNANVo$j)h*>VSu~;!`a#X;Y;O-mG6{Fs!Y+yK>{M`A9 zb#rRMoLa#}`<Hk`)d~L#)ceqyb<0xf+okwVY}3O8^uN|0Rp0TYX-{J86X~m8nrf`} zi!3V4Twc2`Z@0`MRY{-UKYEUd6so#-8_$U7xhWbI-=0y)ChT2g)wOxG;;-~yC6lC* zX0713tZ-b4qvxW{xm~T93zgZQmF0dpFh?e6cl*odpWZymzgM`^eTLUlj+l&s>b8|0 zMcur4>kX#fXjb`uY472Z-(Qbu@Jz2gw8bRh>O{HNBFnlpwa?GJz5Ovr&FjdowwLDD zr8k9ae=ojl|5B+<6~}(HXWlMlcQ>u(;Rrq+a`D4HLj#}a_0qjtG7_5)eVM;<(ZT+h zmdx(SUTxpkDJI@3`Rf?Q8{mBS%EMhotIFD1Uv|Dewc_vJ4+eLuV<kh^2_|MuDsp&! z)yBwY_uMH?d%i3_w8eO<fIOF5d!~DqVw`*Op)In9Uz)1jh>M?SWM<|Qu3gIXkt3<y zNY5ufMd9S_Lq81m#=T5FCFi;C{w#rIr(d%EtjkWCp|@20$?kcciNCb=D#)v=x4%3s zqGz;9)c4`bxcjy4{x5<Lo0z%Ayt1f@nsF@snH9_S4K-%AKIzO;ubN9-()65P+nQOl zG+s?{<?^>P6Qd$C{U*Q5-{X6R>$0GHT*#*xOQxKCwm5s*yq7;!bNps3OM9sl5pdvH zTjsX)({Hz!`6WMV&GZwSwP)se{>yiCOe$IRIWF7$Prd5Cjr*{PeRs*v3mY|jxHnyR z^7v%Zzua2ezpAFEZ%i`K{j~nX>x|49V!y+mw8n@nQ~f7eDLMVK=8gZU4N}ZuEtxa_ zhkSg@ml*XfUU2_gfn}oqM1Nk&H<f4kqy6b%sqc)ipX(J$t#+CDtp2<Fl>4jF#Hh(L zt#kKDm|y>VQ{A{c>V)_P-kP}6Z+JH{Z8P*aZq&WoL8K~pMx3u@n>yR%vx!x9PbKC_ z*lcTgxsykw^71XIWww$YkIE%}yiz&4=Ro_Zw|l;1E~)S=Yk8S5LBCXcVpT}UsdENj z_pW2;Z+XiV{Jy|!{fgH;@AxizNB%w5q<46p!QMGX7gw?7<j=6{ShlKYt8MS*^us2r zFHQAw3X(OnJzgD~<#_e*JpRjDc<jvO8Nan=hO<rDbNc;r?Mpl=mFBgL`renllP*^` zytgo#@MQi&*L^EbygF{?!c(JHq3P4UD)q|t&o@u+xy!ciroY$+yK)1c<Ev{oM^x0? zh%6JnZy@$>CV%_O6tVpxi>`IK|M;YqVt;(`t8Uq+y+#GIzph@^DSFEA-(9Bbe?M-u z`Nk!GiFsyqVn+1R$9p<|7VunJ=A~XC{cUa78NW|{kD}MM%zfm%GJk8(H!b<qe^(tX zzL}kP>$S7*nk(F1H#6;6ewQ_CrlcP-IkG)Uu-ewg8PrZTKV+g){9j;Y*pYiYmkahs z9etBC!|lgat<?P1%#>$QRb^GyK8tIzK5qOl*P!>?%A?}k@7pqa{O@zzR4Tq%U9<m{ zvE!VE&wDrc>+bvVPr>JL&93VmIbs|5f8M*E&Up0rW9xJMKlqfjuLgZHyr2Bz%=$M~ zZ-n=qJfU{6DdwBz{UnzkpRAQb_cmO+{rY@GfB9xl^ZvPyt*7L0MIW+z9z7-Jj`tVF zyOnmQQ<kphd%y3&XCJd)yA0zwE+6wa{6gRO()tpyXA^5qsvpi5<D7qL|D)oFBisL0 z$?cX{=KGKLZRPv@BFoN3YKUz(y=O<HhS)~!#9Q-PlMH{)(&ye3ppzJ0xioEI#3?PM zEvNS@&3D-C`eIAHd5h9DTc`BD>Jd{;SGl~<{m&`3d7fa^?eM0em*VMrmA=G@b^TmZ z-g57})5eCcofp_cwQu`X^wn&f`BHje|K^Hg8usUYxxSdIBlq&;`SicaU-HamvF0$W zKbd2~ebXUsYGj6($R<a@Uk1`=mCP)h<Wm<%o@x8Aeo}85r?k{U|4lz8ZVxikaa#O$ zzU#-Ow?A<7uAG;pzmC=2<%NLU?cHt$U$1$`di3{P_!Gd!e@`*ykB5((wCKWg=bsnn zFAIMeb#GJmo?;o<h3lPnUbMdavLx^7w#5Mw!V9$*#=Cc4(hT`#B0N9%m|Moy{o!J% z*L9O0SG@kTT+`qGQBF-uSxVTkvRoHQb(a@eD>gan89a&3sX1t6==564mdo2qblOHw z!Km4<c<p+sCo6ARaA`)5*u?Y8Trx5)_;-gkGtNGx-k?(@9w*y%VfICx*#&a5<rZ2- z?s>G7Paw@DBWv5OpE+qQ(|)|ND>Tz~lKxX7pHfuTvMc@CmWuC<hvsm+J?XP>?jOZB zI|Xme{<%42*Q@8QFOCMJK3`q``qK`98S)Dir^+30VyI1UZ29%z;hbz1E&p)6?i+_V za{smM|JiB1ibMAFBXj$oA2wMyxo^sNRdaS%%kr6@u7veD+mw6%G>Fd3XLbHoZ5N~4 zec_mnTfL#*v`tS1qe5T4Pp>Fy*8V9fcx&E2mCze=+%w`|Ij59P-_zyadtHBlOlbGb ztb6v&k|qM5%kMtU@of=HyEJEVR7Ov>a!BLy7~_9yRvAw1KKptX$Jr0{SGBf2&Z%ul z3)}zi{EnBa|MCi2O?{Sr=hKa29J;68{FAqzIPJXI$FK2e<-Qa26K4NhrN6m)jm<Vy zr*`9RYyT&;+VfqFrt2r%{!wLF{L|w0x$V+3Pe0!*EBNcoeg8e3`cV?;*2*Pz-HwY4 z=D+Q_AbvALY{Ol_T@!lK6mJVJ)ZctjC#hQbOQ_kbqi=3<#GY~6++r)f(EDb_v`x>I zOK#rxipvn&SX<*d%XLys`kwjvb(=jl&DeWDydzR$+6Lwth0j}GPv~0u<|s$$=hSTz zZ}jdzGF{_l#<R`Sm9HpEpJggDaI)PjQ~25Y=0XnN&(qiCIPZK@&~ol){Q6Z#ZVK8d z{faL<HFHOgZ108BGyhN99kpDx`@*j?Q?H!*A-ZoVM{2R^s`D=W?k^htdpibRsF1W4 zT-bbH;irZ5N%7@V?Y6$PT-(@xRntyAWY><5UY~NWt*+U2(&5v8{u{Zw&#QeD$~NXG zU8529fYD%mQf~7?DNde=?FX`^bDVBWvrC#>;oRbtP*laU=2vI7R>QOn5?nTklPf%8 zzH$cTt9%fN;57PJP{@)#ZAn{**b=S{n+gm$447SQYX97|og3TB5@E43!uIdno?cc5 zuD^+g*2Ki*9JF&-ww^)RUcB5dZBlWTAKwk0x&>1<CkF&9>0}UR7w?|ky?Hf*`V7G* zS_fFtSax%LV31s}^)^$DLz{m_$3h0>mPC~Ue2Eg=1r8EwOlJhzRx)t6B&r?YDw&p_ z(K34{gZY$H#RF_pSk~?rd%(`+xUTmJD+A*2b+g#0sGc{=zp67bFq~pSzePSNKd~r9 zuL9{7`MH6y-iHlDYQKlGeA(K4``#zBUyQ9gr}{Yxaz+-tZi*Gk3yPKfSAN@f*$Fu} zXNC5Hcec;(yjCn|zsA@$ZOy3}=|63r{#1&dw0BJjZ%^JEF`KpO!K~SeFH_v!I~=`s z!RI<-Bb#_AyNF}P6frdy^-b=cTNiJtdo2HJ^8J5G`?fJ%%~-B-u=!kuOaJ#pQfqI_ zhzM!WnLG8f`lX71nAys$TOV{zk6rWcJo~ln5|`yB+3E62=ls~Ua@D$h&mWyzC>Wh! z?i#38S-G-b|C5fii$SCIhhJCs#QfYZ{I;ruP0Rb+!qNj_A2)dj|C@2-$%IOutlVGh zW#^I-elDump&qyAFu#jH*VLCfmdYivb1jc9`x@0XtAzU#W2@Q0|Ib~VZT#3OWfqyp zF8jg@3t$EY(CPLK-xc&!`575N81?Smf|Mlq+4dS+_5UuoGL@I1Mu;)$qluZ9K!nFi z=06ViZ`?!zD<ot2+@?FfGMex<<kN&E-6wm(T(|sIP`@~bb^9ITuAH-z_Fi_|HL=Lo z-Q8JKS=&fbIY>=Xj@g0PhdIx{?(y~9!p(0#2b~jo?lyDF%0%aPi#K}6tjn}sWbItC zQaWqb!X?tLG<VHk@cZS?xpQv6yz6)GotoJ0l`AqbPR#ARwS6-P1UKJtPoCJ3`i*OQ zuAZi<qO7E-An(mRYr9jwbuBp)_t27kZ|>}=cCEJzj!7ghH55-uOo)$*wR*YPJaLVo z-ysioH&++uh>TW0s4E=7j=028ucq<%#$DTL&RW*M#I&oeGcwnv$v%j)>*XoXpXxbV z>WQ6{Vc0gW<v~BS=AT*?G;?mQ9~0M?+JNk>=dIpucKf~Nyj}L@^SgAs&7L{w@Vq&_ zy8CtUg=t}8-?~auLsOTo^7C|cw6!!f476wWT^jfzeZlkRV&~55+&gdoE<Nq{&E-3n zOlc`e32`y`@>Ao>PW2bXf-j8yyU$ze*scHZvnS<O%{ANkrsvc5ewKV)^G)g8+2?c4 z@B8z{V*cM{Za;5Mp1=3U&0M?cv+3@2-~Qh0pWi#b`^bat^G6MN6;A$sP+~RLZ~5hw zAzD+tmR_2#+v~RYzlBt<+u{I?FZX92RxNg37_j1eo8A2L&p-dHv6_2s-rEwZxi+_d z?tA}x-}`esg-6Rux6R&s_i5SfZJnEEdz)8x?wC3yT65>*oHC)Hvu;*aMn+m%N=i=e zY)eGAn6eHY($IM~|KX?a=kHa&fBdn+My}Uw@x=%ovF@Wv6FpRf+7CZ0u$aR5$|vU6 zGR{*BrS}fipP3W+OYGn7d#@i!uytiLy}W#Q^JXXY^v>eNK5COsF1cq`b^Atv;lVk} z&hCC@&-{7aO2@n>!Y^I+d87#6RGR4ixa{5Yyu9-5zwhq$u(9iZcd;_3|NX{F8@^1t zWVPwse?Rva|CmzP=zG`d^U+gQ``Y~<8_(#RzOViNzsMiPj*<(`&Hu<@TeHEUtx;d6 zMxg%N`7`H_8uC2J{cv#R`5(r|ZZyxzn_at5cjm0ET~k@pt{ikz+tjxIyY99FaUxuX z!pU<grzGrnxZ+{i^RVX1<7-w=PO`ea?DQ5vcJ*-O2FbEewMn<{O5L8-YpRvTyM5c% zU3zK^Mo(TnIWZ$E>&M|89j*54X$hela;j58RHprXlQ94ApEDbG@2>vv3JmVAUIhYc zARuh@)vUkePwb>t$GvcUG~w7UC-I;_5ID=S`fAqJsK4J6LYla?+>30io?}%y+uO@i z`_5h2=W|wqLH+beAh7G8#r}HHJsy|sTy%W2xvP)v+O%p@Q0Vd{Ht)CYo^btLjqLla z_gQ53He7dI!e05-VVZ^csVDu{-`U0ee!g(`?%lhSr$@TEg1|!uM-aHHU|zOD+{)nm zgXPPgUB3MJWo_2{d2_%ZZW-9-m-kZ_gjb}TzI5ioxi>}AtZd>UBX+%(IUgDtQktHn zb-iTrv?)DZ9giN|yHj$jqU_Gb-MfQ-&j5oJpoDvLrr+|*FTc2f({TN9hwW!~T~HN# zA*Jfa$0vHsYmUsC#TPS7q*|R8255ZwSz|Z<e7*mzD+=b<)=dyy5~%*5w4br!#g8}E zvm9rNy|}V}?*6&&`oh}#=BS?QHOdTDc3_w<%P@y|fBuK5m5slSuHWL>%+B5?ZT8Nt znpb@Pfn{aU<;P6LI-RB7oZWWTZGOIGbX4Sx*P&CVW_f9Ku}wLae%#V~j&$m!WogIK zxBq@)v|%@*fSGxBm*dQ5XY$g^e!tIKb|melL}^K0@qF3j^%3D=p<%1e7tW96d&hga zwoIno@L0k8zh`;dtjo&F7l5K_(j_BJ5a49z1c8_LH?OLaxZ{4XR^*O5q*&^9>1n*2 zKHYzt&RL%Ai7(d`hlGa)23^xkkFH)g?OA$S>doZ9<!?RAo;j_NVEgv8^v$DNmnJbL z9hXSXnm=*k#EGE96DSA*7x%wk2nCA+Jv=;4h-S6Ua&mG_nqd4w@(bHm$FmC-EU5p^ z`-P>dF-k#U0S}AG<0Y3)o;nn_aow6#YmO?1mU?M%y*l~w#t|1=vE`REwzzhS=|*ix zIM~G6J%9fE`SQyqzciZZpLROOXr`UN{POd!A3whQ<>SkjFJD$x&$7++=l7p{{AuB* zJvKJ}a`Ud`Zq~ihIYrmocyslsj=<#`ORih4TD?j`^YxWWA?se|U79q>&PYqCtGSvx zgL%c$g>$Dq<T0pN`+4fr;DUp!>+d#Qk7Hbx&~#nzVD@*8IBS;m@)d6nWS1Ym@z>q| z{*J=O$9kntFP!@NLXLD;WP94}1vkz9$-dvc``fz8=lAxOpWi)mcl~wUD|<CGyp5f$ zH)l6A>ge1pJtw|nRo5!7$mPq5{GZ>>xw}MFb&2v~r9~Dy%(p8_R4}q$+mPI28@+$m zmV)a7@1zdJCVMus@k*Iw{HdO{E%#cfw&>cgw_){yDC^fJSB|*M^;&#k`RCHx&n5*4 zU78pucu^pRX}xUn@gMH_$9g1<)6N{&dGN-3yR#G9%F@E7&4mQ_l0{1dD&9(DTZ4h5 zQOb!4ipp+15{5}fb{zCwp>254nCaMi2E)Xjn!r!tPml8Z2-_Pb{)sb)`**<P<L^hV zCvQC81p-!Juxlp>Sc1V$t6i2mcYuHe80^>%0_I?_z5ajt?X<<`m^$^=e-(XwYSpP- zt=!Xf*Ow;Mv@tTX@kkil0)rc1uxy@;(YqyQHyl&jx$&53g?et!4a2e?+2o$oOJHyf z4C3}we0+42+Y}6*_*qMJA5rWT;01xV_hai#W!?VvEZu$1FtKN*!LhnB5NO-`a$BT% zm(5z!DScU~iR&f&m(SQRO~?F%(zN7HnXmfp-u>%-{tO7bxqtc;2;@zHW|t#r7jCAN znx)S_w%H^l#c$K}GpgAqPyCa;Ve+V1D?BcH`zI@I@AA2a7fs$&HT8N)Zb+PerSDtj zzFrXcyPI2FFJ?!9q8bQ@fx)-;^BN;pHHV&ht+8JARCe$0c_E)aOuoM3{nq3+Hw=$S z@qoee*I;n$Gzh%DU&^f)w_@qe$s5(YXY46cjgQM~05uj|k4y}C`!Tdvr}FK(CtKAk z-`2dJcx~dIZ_4ZMa>P%(zVG|3&K>u%%ir|f{kt0!dAmTdv}4CMFxUbH6L!DOcv7`c zyfa$AWG$r9IG(gILZ|Fm)!w-E*A>8SzP8_fOYY_i=^WK5iSelk@o`a+H?nU`y^(Iu zoxkkh#Ggyn1n1VQziU`#m~^B=Q2AMF-(62uv&T>O%BTo)GHXxz9#^fWz<#o#@&uox z$GO?3T;i-vU++Ep?Zk=>4L&{ola=djxldN?Y`8wJIYpuG?ndjf^5x%JIfd1HW*F3i zLBfFsMrO7iP_ZyyzNp<&>VKDufwPqK{wLG*<M-A4d~~#1Tt9A4$;(Siy{ChM{NbV2 z)7-Y{=|VZFnQ1@Wzd5?9DL^7>(IP8XQJ*U-=ah75tq@4+y8iBlY;x9o9x<JW4G9M~ zrJkOq8@(;#;v!IK6uBwo<RsP8J-pMFf7A|N7qheIX{+92E56HyK@Nhg!u|#qTSR<& zBAYnX7tEMcwTM%FT0>K(#)68s{yT)%@8wjB`#nQdW_NP=n|FQpyF|6a)<mofTIw}b zD|AJ`LZ?=)shYvdd}bQ4?p!O=8`|Zf<vdH%Ni%4P$3&&4i(NW}RK2E1Y6L8BXk=3T z@#N%Wb^m#LF8udx>@pIZQqkKIeqF#VAk>!grGTeGVN0K&uE@rI7oLx|WOx7WkDCAq zyqDlqRQ%{jr?9%;ER)PjOFSowD{VKfl(KouW0o4X!1{|MbKDE>X*wXEa@$H=W#|%W zsgPw6aAasSJQfLdgT_J6Nh+R`R5-uQ*}S=N(<T$+Eyla$YhD~=m)pR<elNS+HG^YP zoI)xd6BI0qo}8Gd><%thIt14&jBm1jF@c%S{K14~KC34Uhk5O*9PB_~pOR~rh-Oeq zJ_z)42r9XB2vjP5cv+&EcXN}8v9)wc$HKPj;7UJ?Csb2%S&{LD4^rnJsOf5+6gd1E zR1b4H^WNs#&H9-8ofEgtngxfl%gdL4WBvLC1lo8&MV!E#JzyZM=+wd?sPv?D-^ULh z=2Ym;>u&$<r0i?_<J1m^<#K9!8^o(FbhgZ5;!>Xx0E)_nL!4?;J}hSE0sF~unnMEv zBNGe9xqf;3x<5ZYI?3DB{P^(jaqC<DMc}}&7J3%LqWSs?$NJco>wSh>_0wOvemU<L zV&bg+pw+hO%ZrPP*}u&(zEYXoB@hRz$F(@*_mq8qP|9v9vtu`?^yWX&%+4=oQ=wD- z=Elb4<9sr*RwXYkEPSly-X~*O^yEi5PtC5}Z!f1fH<?$=HO;upU|qJ%pa1+huDLQY z76lIuG%{OX$=$q;z4!BqFR43~Vi_4OTw<6k&A@QjL8OJjH>sCjq=7lrLLtRMNkoo^ zOIDFL*+3ztgWXAh@el(8YXT2jAdmAT!%60oA1o3`^9;<;DJbSRRaCumij!9B4{xrA z4`d1$#Fl@F?lW7VJvDlzTMFCSnWCYscfQOlH_^TAf8yz~qYGqqYh166=Dz3`-gE5y z6xTYT;`7cIkE<@qcD>ZvyQFuYWAL;B+ie!6Q7wDTZfgGhu<`lQmgJZ0!c$vc%=7Pm z&9&Q$iPPwd{KeD%!!8z2Wclber6r~1TV%<L?l?iUJ8yYK1+za1#rW^q^>p&NR*$34 zUD*%2>zvI!R`fi>{IQe&kLEZ>)f4Txvlh>=>nMJ^q=v0S<|_Letz8Q1gRZDt5}Cv! z=>N#qEy*aey+`8W8O=|-D;QrfYzRKfCwOq8SjMq#eb@7W=i0n058ap*ekIz)^|I;7 z6Is<7Gp}nfAL_m`M_8re3RmyPTiFY@1xqXcajtvX<0-E->v!1?PKW#7)VY%HUU=L! zuQ2*(YWH%%ojVp=GZ<B`nbuU?<=wdXzu*RG|3mY`Uu}5)=*_wroo2IUZl5AKH|gSG zgX75^E15DXZ|~c6z5Dt6$!+DP+9v&)E9TA#HC&S?+4is@BX`9=_ptsquUAcXQAup{ z>Hg@rFwNn`EUWS<9B#K3MBej`aJ-<VS#shQqlka|B5|>MhCkN|)wza#IViKNlk+|Q zWdWYuGxcWbUjAL1V#CV7DDAgkzWfEV*8;o3j_`<!++Uj0XZ<6v?cK+oyB1jyi+8S^ zILGIL;s?1ry@zovmr8bvrMC93+9SSP@sxno$^VgSDkWGKNxanfQ`W-Kw=KbZkN66v z18Sz_Q#ej=WR{0S>(u+*$~-2V-gVxGO-V0y=9TA5WR2D^pYV&d|FXTJlxg`(7R{74 zF3SM@{U6`|ur2?fQ@nUdUhoEQ_OJhziRipazW(Mp>#a*?n3f8L=M<z#TkiXmaVot& zLNiR(G3FDi#*CA%w&-&#@6nqqAMZ7%WLipG&xOPp%q<^68{(6`v1k~-7f#OF7uJ6A z+1qDPDuy@ZOBIT9{H~}3EV-9xopmN#Vqsv!O@Y5pqc%w1^IpMpB2ROJ=E?xkI6+32 zQwOfTlaf9%|D5=?$hQ?2A9Slua;Y}-+~V74XWXz?>VB`-+U4w-f}Uq@*3RZAu}fCX zf4y#_dI&3feNWcv>+b7Cm9idoEzuTdyOOOl&x?c2V$E{dCyV|jBr7ocd^2ZKo}146 zXz@(N?JcJ+@ZOJKDz^FW&;IsK`}tceFO|z^stUa|?@{P^VH~jNmc`YSmh(A`#SD2I z`D%Y+E^SWClr=td<3jE6PfIWC40s^mR<wujO!N=;Ns@f|j1kASH@w-V!p~A)X!7!j z=0ldBntK{V7;;w{yL&w7Gg3XyzxZtMTNX{R)%EQ?5`{i|+q>rkuMIO>HFa9@f>N&J z{jJ}B#0&p<BP=SB>zKSpzo50@R>_8-tF;+Rn)h#vJ~T7pv666ky>j}BP4TZgG&E%S z6}nFDic5}Pe&8wBI@a71o==mrO>bJCW;2`C{7~06+UL~m2_m(tRvLf(-dcPkON4hv z$=YexPOeg8E>Bp0C%TT~NmOa*o7-xOUwmOIZdR&Em*zM$Vd>kHqD==>6|cP6H|a}{ zRml0kj&)gc3eO&zt{&x}+#o%BLH*J7?iQ|0?K0U6QL|-CzyAEW+4}i`lng1uhtkEb ztoQHp&*`{QEf!lUbpI6R$L`)xe;Z4#wX=?wNDG!*xHPo|@Fy`Z%-ho873yLk-2Pta zdC2OivZCInH>%mSUcAn`ZvJh?oxv5chZMCeJZ3O0NGhMVOlgtp(kPB4Kis3fI|#Ji z_}g-@VV_e~mDEY6JyUM9Gr7wud3C&PU!<qe?En0=(~Ol}*QB~OxEv@vc(PR@YC)HC zJL}elmu6=q#Z11H)?VN}y`c1t^E8{T!rePI^+`F-e6(`zL)U)4le_o-d&$C7A(Go5 zD_C~gUf`OMQ|{|a$F#+d%xDhYu-PMG!l4xlv@S}&GD_i8Kf0}}>(|%Evn751SRFfb z!<|1*dW&7jSJT{B1#1tFtuD{{yhNoM4)DC>onZRu+qUK0XSF8ZYO9!Wz+_DkSNtqJ z=O-=}EsL(KobaeFph#%DLDFflg*vC$4mBHY5}0H5R#??k*`wccb#SV5SkEo*uPLXW z34a&(zqa_zwIc?Xo*miPXaC*fkHCLE4)MKm-19%w-MDSgw)+02yh$6v*1r$fxIy#X z_CxFQ&KwUr-?b)RKCYNoQYxM?#ZLT4&I_@gGJ}QbcE$-M%ACLb-sF5xzsy$i?xEDI zYR-FavS#moU)-%~dX0OT<s07fYMqxg-`Kw7Qd(cR+_PTUSfg*_tDvv`_o_BNJ}}iR z&E~?x?H?Y9@bSi6xcSD$K6GlJv0rf_`<{BHI_0+OfnPe#T79zIpKI`G|Dlziisl97 z+<KF@>*&@P<psY_zWGoi_~(DL+_z=LdrETdUF+U%_j1kxivuU!WH`NYyt1XmT*4Q8 z)$CwM^y{%RlBuX?wbEIcYL*@3^xbU!-Xmwl4(>8Ln8;}RB>leHm2B3Hkrx?tZr0bk zl}yPK)cmCq#=J}1Aze6e>cQC&zh}NsI>T8NZnbN=rL#~?xXkYTh2jTyKfd9@{o&CT z-VM@^ch;~^o!E2l?3`CC$`tRN@!&mkdZO<XqrS5zq_$tWZugh7@%*%TpFcI-?~-7? zq`g$iE%x)K;~)MklF84wEqZ;aW#M#@PniklvyBe@>A%irdGEpufe4ONj-FJ{*IiM< znzw}OI}0XOcJofTej!-9{VNkg{_BJ`OaG|KHNE??qnE8N{B^D)GFxn$c<`Ya!CU(0 zuwH!mE5uZ}^win8MvKd<GQRtqs1V=iDRZPnvTYJ)wy@gwevQwax?8*XzOEN#D3JLR z(;L;^794X%@~7Nep>ul<ew%J}>CL~FB{I97H1@KIcPvOOIQ;ji<iQL6hrgw()SI_1 z7dbXx>X`lm4{!Ah-T)<+nx%W&H1qPsxiTMRxV+;wTUVt1Gb}(=`HHFRQQ3tR1&k}3 zG$pMKUo>c+X#2TUJ>&CRr++dl3oY~RvmRC~?UyNdTs*fY(RZSM_Qo~KW}4p6UG&PJ z(Bk0J#X^P=cbh#k{_p2=HBXvaB+Q+AI3XnuWI_5d{<Xfgf4QT-i&Pky+V8Gum~fRl z^5D_U4HoyNtS}X2*?*+_#rio@4k~IszBsp#wey8QZ^XH%diFn#@3Y*t9}Rht{ILE= zK{AI7$Ad*v89#+>b8683kUckM=?c$f+A5N>_`W2>J+0SX(WWV(9r=M_;UQ(CXrmX5 ziaN6YO0}hS-+$((J#)wNrB<>|=_fDE|0m6G{q2Okl1&D;L`t${&xL(DnC;Qgr^xv~ zy8Nwm@VdidE6(;f`Nu8@NU=P9bJc~;yJ^vOXI3SIhK4<ftu_*0c82YdaZR99sxC*p z^eUa4J9iA?FSl-G|90W{-<NsI?U$>iq#OTm^$Yl58!A+Ece35-1?N)vPTe`nRKlvw zW@@3E9du;9#%#v;SDPk?WF46r^u%LBT75se>4qB3s2QJmKfA{to$>s^v&Hq-BK~zh zt=i;PIPdW3?GLvp*Qc_u3U6-w{X#NZ^@wP7_o58jTnUe!M@DsK>#US??V6qW9hly{ zIQmf7_d>Af8R<JVD%U5kQRd+Pv+E~+irzdcw`-Ria`m1W%2#FB6n@HYIrhr!PDace z!5;s@k~McF!<!b*iSV{=PG4-Zd4enZ|Jv2Ye9U15`Y-&|W-VGA#pXX}yN|VF!A0JS zg$g%cES$`LU-;=wX{*-L(;62BvbCNIlDPc*(KTP4YbAypJEw)oxbK|&r&@zsDv$H| z?CBFT_b2*mNNrHK^+F<S{-?7WKF?gW=+nDCDdCe3nO96;pI!86qRZv_w%@n&J5H}M z{LrDeExGQ9z(b#t6>@U>&V6Bj8zl6VDJS-}S;_1jllrPUJ$GtbsGZ;9&(e4!X|a5G zZ0kpM&Jdvh@k;{13sTwtE9X3x6}DrP;%B+p`<`i)Tg%~jI$LrlRjfau|Mk3ONah8# z`X<e51+QZEzf1jD?Cm6ZQ}*uPjM}Sfukr8wGv~}Xe*3qUIa8J6c+?k!v0X`8>&<EN z?)j?8k2-m+R?5Ft%JP`GJV;B3X<9+g12*5PH{MzXs>!KrHUZZXSazG8T%xJkUH|pc zx;Zz`x2sRPwSc3ZkM*>|abuTxKRY%oG%0wx+47*!0UnXb){756_?ybGP<NHTz{TI0 z-FlBFYrOJhba9f9Z}}j8og=kun@DT>)=uXCpXMp#Kl^a0&g1^kvXVSqq4UiCHdFqr z)s9&pr@gBB$Kpmlu5*{dRyfy42PA04-o3q^*Q35iAVo>F)4gJMiFDb6-{E?FTgB=< zqnAJ1RD3IYjkU#{S94@~zGTgxV03xnocXS~DfymdKD=K(*xch152)zavgH83`<t|= znJXE0?wf7#QlM_#Q6~vmp1_`SN2a;&(f+bn@#_V5F8y1w@7#+x!=00}&rX<f^qPW7 z!5Mxdy<Cy~htG?xoc+h~wAa-eZI`4uca>es{nDH~+fOs(^)<niJ!`bziLu|F;e2bV zL$s`n=8f+2vozN)>XW^v))OiobdSN{^9lbs(L&ybmUnarh&|rszqgY45qEt14UKgx zCT&?5-%}9yccygwZBDHo4JXINeUo0TeU;Jjw>ebXNLX@{EyIRC=5ZSru37f9uc+*> ziiOr96GgW5OS4N2-8-j<F-dZ*7YY$^{L9eh^jdUDaIJv90`uZixjVO*<_Wk=cv0}M zYfe#{leCt0kC<!F?M81t@j{36Gj*LzqWc;I|9(^MN;X&9oIcBH#+=;6nzw%cvW&KV zD>I+9)YDRM^YV_rn?Jc8yOsW&ZT8lVM{~8W)Yo(7Oi9{yGI;0yfXvy8LfLPem|5SV z>GRQQmE45a_WOIc##Gd?_%C|B;q;DdA*sn6E8UdeJd<DGu&~)oMdSJm@w@J?1hp8? zX};?)n3Kn!!S?Kf|4#mb6W5hF-f+ykV4HupYlVP)W#Eju^!sb1nh&b6v8NX*e)|@s zoz%Up?ccuumhLVKkx3eYtk1p#7^*1wu_x?lbh<0?;68J^+E&LaddKQtmT$?3dLjGh z-LaURT3ZtWR+kl}Kf3-nDgUqU^$?kmB$FpX-!DDawAmJI@J?3!#hca+YyL~`LX#9^ zL$^FQ_K4T$$<6*12LzV+N_=8n@?O_%_ifSFcCTj4lR9>?*>lQS_wW^^+=twETw*@m zw7~U_UH=3P0fQ-`&4n^s%V(>meYNH;wpEkrZedS38Y;i0_FVGOkR>dCt=EhBT-npl zt8Ul5;Pa&&{s)*k@|0&;+unF7n3FPPv8h&V+b3T!r=JleUD_vo=eV$cW>j~7_FnDH z9p%Fh`@O@v*{*P;J#0T{7|F~vf5{p>hMIsqttw)>)+7krQkfL-?DKvuhYvHu>~}2o zjfs$`_j_X0ApWF$KI0RsH^)0RI9~qjd7g9Dtf}$s%<YbAzpWDeaoRQ5?5p96#0$ZP z8e)2v%HPR79bjPkAo$FM<yr<gFW$99ZBbubdbdHr;=NgKdSCa^nGCDAj5g&ZmcLF^ z-gMPv!RI-wENeO~xi6ei%Uzt%6tvaB&+4DTZdn%(eJ7@U(-x#?%#y#F)+lh!FP$mh zZVS)SwTx2tZ<JQu^le%sw9WZOBgaqWrn@dPetUb1ILMWhi0lbd7TF>vs$(fMtwJGH zO5w3I*Cj=UXSL=Nzdd=hsbzNk62ItvE$bCa_ukp@@vK<x)~Gu>8ML1sOscw;yQA&j zq`zAI{o7}3^v`i!vw>ZfxBR5?r;kw~eY^HnN!Ff?R*%?oifgOji5=^Ec<y{nJiJzS z$y4JO-tH;aJq*p6cK^598JzZi*1Dim+LC=ClN1d-uiuugnSbrw6|QjU{7$#Urq7nH z<ygU6o4(XGWq+ZMzIJy;Qfb&be=bqsp9%ce7adq8q<oQ+UF+43oFk&$8lnPMc@wWb zzdPM~wdH4xr1^V7LSJx|>L<0w_`Ke-t-x{3>Hn+OG^wbWnr=8E$aHYtu6XV{cQfBa z&e@|}d#l*V=;bcPKIdYSUpv}3=5WpHJ9Y2y^;WU10q&fws=Es`t2*y!w(m^(cVO;5 z77^pDT-R-<Y%aI_Y%c#Lkdd?EXvsrS$xZyr>f+dRH!`la(f;w|Ny8(rtgt|%kAGA> zre)mUBUAS9bhf<WO^xXyk$08NFa9??X1lgA=4#W&_=8oBPv8H)b!Nqhh5x4L{1o|} zQOdtt<5$6h>roHR_}VNvawH@r^&Jz_cb2r1%zNTGQ~0fyu438EnO1rur~iujiSRF~ z{A+7hAO0rtb&dX#@VFRvzDLu(2<&~kug8VMY)#(eFq8Ke_}(6s3z{!|e)%(9;U!|a zy`|Gue3BGMpP_y+O=@<@&6P11GW`}Mz0^85Z;#gVgLmFM<C`bVeRpEX<Ci7(?_c_v zcCAT<yHHN{@wU515})N)uooLx=$plu3vEBS<D*TU<EOHsZBO`iobt_9DA&lIHvPZ) zQrF{3!JkEVb<Z2S{atuKFwaTMvU?JLoP6c(l0TlBI-6xg6lyPTns;Kc{gICWN+%|8 zPg^WI>q+l1yY(x7bES7azrT`qrh$P~*Jp{8JO9qCoqBMN%bKezs@bL%Z!^DrcUr(= zo!5rKukN+lwr-R4z7<k-vGqWZP1(B0Z?4LjIe&7x7B2j&Q|~)HW6twCvuqaqd&cW_ zVapryt4F!-UKi}ye}3f&|8M^nO>7JOa?Vo5r1rSfrw_K@oiE-MZ87~-U2kn>=E1${ zq?#y4P-MiLb1DZv98&+->G(~*@SyVR-v>$)_lh^J@;`R_yR}61!E&a)w-F*bo(KL{ zbhdt5yJfER(tDd)Snot>txa)S=9rzbAz0UT-R+Z0e@)YAvy`2=du!*W?lKb}x%Npc z;-BC5AFf_pfBvYxSBYkC16O+DCyu}K_}&#rhFGw3-#^(BrL4})v&o(J<@<(p7iw=@ zmNJf!+*Q-4^OUdAse8HQ)UqEf>Lv+%UI%j?JF@*bzF>=8`<#5y#G2UwE&l^F-gnHH zF3_xBUG)3IS@AW8=V_?S?e9~6(;ZhBy{CRgaId<F(iwsBMNjx87YV;!aK$)u)|MOV ztUi_XBp#VJ-D&>o6Gn|e%j)Zo|NYs1f64n#fA3sl=vvXE=Hqat@G|2Xepf58<g0&n zS+bidJ~<U0EIje%1;fcVZZgkc=l*?2BK^)$&b|j3K93I0y0Pl!LUx%;_3XULEf4E% zH)M#`N>AUj^=nOvmu4hW3R4ghcpjntXQ|KO(o5Nr|5xiSm@Lf1ex%oT3!B&HgNJ?C zXG%!$=}Vhz*|3IXf}`%^cX{8%xIGp)aDK1e6~EoxF<|WkLFQxJ;x?I*i&Nj++PqOn z<(^Km{^=VhjlRi${x(TWb<g{kwKZT+4F*+Ua6wv?|Hz>gR}3~7Xw5ihq7MT6VDSDO z2=qN;^I*9;J&?;{-@bw+3F#mZ4hHUE(4L%pXiATSLEMf94<E8V{d!0_`Fm554S0TS zdi?asAn>|u_uV}6{e6q|n-)NnzI=4)R?&h+K`Tqe0*5;{T(TFK$lIJLnb6tz@WZF* z^;h5Cz57<FR&V<0r$wJ%-+o)R`|h{L4hwBA%;shLutWCp8lCtr@818A-8bWD>{`$& zg3UK`%x3p?gTP_X{LnV0wbph*VSTG*BCJXhp6+OB27%pi>#x5CEi71j4KxY3-F%Kn zcKwyhZ{I!xfopQ2jV*_>R;=>!`Wlw6x^_|4`)_&gzrA~>mzfO$$zZS=WL;@42&~l< zW<LCo^@#J<x>rl>vRb<Y${ajC2H8*Tnzikm$ZGIXfvroHg22=37cYRohO_Do4cwCY zNe31%PW@=VH@JJ5u*SX4lg4w6zU5af%Y)i@ZRWH&b3tGx7)%8N(ERqqiBGS4=gnLC ze0txWOX`0wD%W1p-g`;i_L4kkLa(>C6AW6xAaG@f*3>95`~RQqL30{*c0Wu1KWn#e zczJPSAV=KXyLact%Fg4JHp|)5yZz$j%a=df-Va{v)+-hNINOG+->J^K-~+3WMDrh| z2{x`mf#OH^fI=hh{kwPI=@!pPEGUyIVMfLv@cFf>#gCSw{PNdctE+AMo}2^%+hXEC z;Mw)x`FhDL)iO$AQhY++6hvHjWOQsyTufAScx+@`Y)o`iWJGwt^L|?(bA3y|0KD{K z&Xxs9oDN>8tRknD7k@GZ&*ZrkO$1NWTv7qgpt$%!CRQf=sXF%a_<q~H_6}TX4_+s4 zbUNyHf1cd@`}3J?-E8iD-+TY{UwM%a|Bb%A-}vya(YJliPv7|d#i%U*ds%*YdHMHq z;I#^qAmObu0SvVJ!9XlTh0&vPO#{=<5<Bozv(>X>V6aR8Jd1Pa1PILd+}c@MrW3Z} zhG%2bxp#W%??1kK^J<=6^7pdx@`<xFv_OCj5`3T}+uaSB!|@2-`1A2`&^*qQ>%LhF zY%I*{pZ_QnKM}c8ch>G&%eQmB?ml1p_Wa&Ehf8-x=N^0a_TIa9R+oicK;Xz>5O9V} z{v@j!f`H&ga0)%zAk=>IrD(vsbJsy>@PdIjc-r*Yb^p8vQk!pN#~iz+UB)J3mR!GM z_0`#6?-qbT02q9D*7oMtx4hi=wPC+kbKBew-~Z}eFEbcKGcbYxb6i|ptXb@Zb+h$m zt;-1a4G*vT>zJ^N$LN4Vo4@~ziUlp~!IHn(`Hl4>w33epR&*`=AY69pMxk)psT+6q z?)8Su`$mE1eYZu#z217OiR;UgV=7Te&DsBcS_f$@u$h}b-DTqhvA7^D$Cb^JOgRr2 z)c5YJOnP*89yo72Es@~Um&hndxiB%FzwnSeZ_gA%yHh_I<Vzh61T*JM*;oz&yU&Sm zgTU#Rs{ZF27Jah(^g93D`hzBEa^h19Vx}1K`b^naU0(hBDhPzu?Van_oIS5!dH+8% zX^FcBf@E6S4Ftpv*;m&$RMphgT5vEweIRe=u-|SUxBvUHiD9820A7I-=nDps5D>63 zYt^%mU@s5=#k`hQ7I+bx47c9~{%wub1-&P&Y`zO=fWV67AfPU$4g$-kE(3!<Rh)co zjctJ*lRA%l;^-FDD%)^Bcio*kX0t6bJ3EcbXKu{jEEN?I#&n|L;i1bvr{>SxxV?J! z_T9U?P4sm^KotxW!2pyn3=J3Rq%NOtG56WZPhS30!%wX@pFGQV$+L?f07>@{e+1Uq zx=UuPS~6#jijtC2)gtv>prt1JKbrqqY+j)@v29{cw}+6V&>=pKs(ST+FShcW4)*LS z#@~*3c-S5I_S*Z(hUMScU%XvsoXZDV0r3}{xGr$Bf<Wzr5Ur{EzAP0BX=CuHT*##% z&%(mO^2LGw%jeI+w&v#LM$_Z%4IAxZ_4do@T3&2i{K}|I{(T}SH*<-o``83DwXj<% z+HhP>ePj0|NbbtJcW>V+nO@A=8nt%YQgEH|#|l(|>;$u)U7x-FtY7}~*=N%>-^{7I zyM%3WJNw}ovx_I*f4WQd_)!qpxF%s^gpSzk`1a;Y2M#`bv6#h1FJ(_h<8Be3hMf`I z=1nflw|ivcw_42Jcs%h9PuuAmA5D|XbI#uQ7=5hou2I>YX&X0(UN<V+y5(D0{`Hy9 zc%{}|fBm)U?D}X>B_-v+#v`t?$1&aN#E}Coz8PK%b{u=A`_%Vn?y_bd9-j6U(Y?}F zdXMQgM|-uJiM#;S_%mj0+qQi9mZ#U}n&#x>{QSB-3S`iqU*J_KQFXS@9Sk3FNF<xo zd=pIAENNw#F1dSV^63-Dju@4Fe^-`YJ~0Wr3}yLsmrT32m%;f+UkU`G>SUkWeu&Zy zTfvdY&hn>}skKw^%7&h~T?api`#Clr&yfojE-%lYyYeJ>#VoiMnh8nGk#%#|AU8=Q zPRwds)273G6TC<!qV8@BTe|Cp28W}5pjdKYX!PsbzI%K37jA_F21c`tj6^|U5Qydk z<wWk&>%Z@QzTjcP`gQBX*Xgd6jg+hg<<#xFJwUaW7c01!$!>c3y|}_4?qz|jtU%(^ z9j9&>_Vw)j3tl~NT8*n#?(di9jm5>Phfb}HOAFaM^VI3n+TE-xp1A$ob%tTFv;~8+ zA;SWNtwMGp49uolDjFUdJW?V&Qj0uPnh!3RXpq3h$iU(t!QiaHb;N^9W9>=V9btTb zSM-)>?pxT+8MJ!N`N<cRzIq+msHL^!S>KVB_GcdckMz(F{-$oXcg_{rr~67mCl&qw z81Q173D3gy26Mb_2Ist8pgJkw*~azfmzvxZ_Ya-dQL<EM!J6sn>6h4FFG`+s{5>nD zlfuqKR{ofGX13<(w^U;Te@e+7<7i5rX!h~zoJnhnl{XbN-MuQ|XP{N28@g3^mQah! z<Q}Kzg}+ahZNGo9LP(-v;aBzd^GZUW7*AfehD~<gjAe7`KNQ`HFuJ_#!L-1-(0}dL zChTjsCi9B)eSa2F|2NfX-Lycif;0O{k9=g({J84C0jt%FRbPKL=oL3fJGAYyf02KX z&AKeh`;hJauaOH@dW#7(S3V8U;TAHClKUL2a^c?88Tk@&jyWG&<~OW49k4U!RKIiN z=0LMw(r>>NY5f(_J-<bIovPU84@~MNYWpVGUCg;`7dU6dB;#oHo+7r}jVF(|ym!d^ zC>Y@S;r{jB?uPTFxBgw&tC8CE|JxaM3$aV_6K5Fmt>$K))+jlBq4Uq<y8dg$X1@?U zu%SN2E~NXM-?Q%=7RUG9V9{K<!mIPQ{*G_e0zBy}p1d?P|NJsA&vl-1O?H;l_XXcO zla<dtY2wr{=u<0}=ReT5{k_D>nSEv})_iZ3tdNuxUM_O(#f+>wH^a-V{i-%aRM%eE zw9qc~#>Ol98P~YnE`~3<f5TP&0{axkRCzJq^S{6S{CmAmOG13ko?os0lb+4|TNRd& zw|AlSwA<af3l|tEmvpSJn6qW->Qw%a#e27Z?#;NR*?HA6=7HCnr>ib2-FoK6`oubX zpZfu>Gi(2`pFSym#ZKk}uVB`9*96%v?z^j><+GUQ{4R9#Pg*9xy7I!t$~$u&2QEK< zowa*TzTkx*V}p7(zP@)Me0FJjO6IS6?)H57pHGo_QpqCc6s4}re_NivV{V>)X_I2_ z`jd-u{~AtR&EyyTd?lOfv{@^|pO$(Qgs~sH#Kl|q*=JJ5l1i5dw=MsFu8=S}d8W`R zC1PSx&6;cPW(gIZKeywWY0%V?4b%7QOgKL6r+xO)n_TN>$`(k!IMT|~^gczuQEqRL z>|9Re&5QOb2o_!}oYom#DK=f&oK^m}*0HIVZNwrYwjFfc*|%%jAy#qQ(ofrdPvY7! zulMo7nUDFGEo$4%aX`%>>tmqc`V8UKF=y)^-|N0?d@Y-ELe^)_BMBOhVm0Tt-Hl>9 zHizr@Ch=o}hFjhUo<93*#U-v|O%2^na+?aDdh%|anrF7CU(@mB*#n7Ia+kI$C^JR0 z1vzRymE}LPGOM#^W9U9B@n?r8^Hf^wT5I>eeeHYafH%eJk*kGYO;{+xb==xQ>PAb` zMOzEg|Ld(9#FV1FLk{%4nbn%!^mGa9-OA0@pL*n%S8dKnE8^95JN#&>X<2D;P6hAD z=PN$`%}kw~b#Z;l#+FGt8&mHn&6^c1kl$XqX*z3AW8yiV8zQbHADB1aiu>u9{*$?m zk8{N-p;zZpe%Mb+nDg0f%Z<%)2A1=6mHBQj{HK*s&fvu-nE9EBUr^#g>l}$CQwoml zk9xPo`MRvRzgDQ?#wDGCMyFTty3Cv;GH2eSI;kE{RhBt-Uajjp@a3A(dBujEPbB9| zJ*%@urOxK|G&|`mSH6=EMGkSj4J}e&;haAEmi6J~o83-qG-^9^LF-oFlZsnh1uWsK z_Z}8z)J?FsDVP-dY;NN2pu4ii*6ixHSkY%`P@(yS@e+I0s~tZR{BkCWhie}eTA3<W z6jpxwaQNkFu2Pfx#mA@KT_qUFwSEtiubXpU-{O$962>ijR=H;~(@*}8^+?KHzeYsE z^3dVwR%V|L`OUvQ=Vp=jpP)l&_4%S(&Khu}%?>Q;{*=(S{9p_Z*L^p`6)$Go<k0zk zTRW=KK#6VhwTJ1BVcye{Pw@CjROa7etv`|E9C)R#`xxhQw)tWi3?I51`j$#8C}lgs zvxRSW&jUBdUk6S+lsX=N;#oxarNctY7JPC`c(9G5=ThlxwW8>c+q~PqeqQ@)?stEA zF<DWS-aH;2*F8xJ8zeKBW;HLf*0|0#ZQEC)L#fJMz9-}>A`7Pb=JzSy+^$o(=&547 zh~k6%vVipo%KVESB*YwL*z$)X_r1vDNjCH3#d(#cRjAH&n%&iE<FKpX|L)c8>;=g$ z_wIdY>YjX3^5v-onUDD@?2ex|{XHWuzQX69@y)dl|BG@vb~>&r)}A@hMl$Qv!jI`b z@0=I@crj_~a<#Qg{S*4Pbrq|aSm<?m^9#vXE7&{ga!pI-`+TFQV2+}^cjB?iz?7)( zO|`G@$ZM|>s@t)cS^VIalU!$c{!Xsl++>kp;bSv-d(Y%f<{k1<MirCJmQJaXOpu&+ zJ5FZ8{YRO<IA2Fx)#Vm<kLNh$AN%km`-=I;lH>xSmVDY-S}NYY+F|RSr7QZ_rxhiB zZs|<$JaBOSJf#!2^%pQ)VvtSxDCBY4N&9qU@nJ#HZG7hYd_26@XK^fDEG4OT)7<%< z%29sTcFE(bOESK#YW}{>apRJ03T)PGhw8p>S@0#JMq|3znT=0GQ}|Y{z9jZ~{`&M2 zimwE-CjM_cQX*N_S5VBGuJwH%yVk5Y%YAtpG$lR@EV}(fj+yD7+1%twJu8ZP6KxG6 zbTxgJ^RAXV{K@tLOM#-Jjcue(%^t&vt8BD*HyX}A_fK`dVpdl6`c=IPc0IWjwDCb= zRndxz$7ak_sTK2F|AKX1{1mRnTO6S(Yqq^Pc0~8)`6-*PZ&`W#n$bpUuUgMj5`hzi zwoJIXqI#F&T+VL8oguqz&-UG4rD}aZIRC2Ysq~3l<+`V%GS}SwmAdkQO^M~hEb~d; z>;cL*j%!&evIu6BPUU_t=`!==0^c`Rx_Nk8T2HH1`h~mthsfuqh%ObmY}*}noM(oy zx#nNi_X&Zgk5wOH+8cc5UEm$xIkK5g9AcK19Y4GCgWs3vU<C^n{>#^nx>>%;xxY+f zV{oU%?=;cd!H-^E4l!4iU{))1l7HI4wS()Bz`R|hnG(-+eXL*2+gHCwaJJ`#H!7@> zGxA*53w-9bc8z-7->ZN3*?(q#(dFUOd|vxZ4@mm`sN!0Ay3)sTm+11IH!`fJ{w?@A zBUM-E@RZO)oo~e+Emlxk%M@ZCA9--K@tQqce>9aRl`dOpRWxf+v+t~|yG(jBAJ0{1 zx*fH%P32PJg)o((!!8a{%e0xlG#A9SCN@?}N=lvF_-CEGc2VCdx#ewK$-9>XRmA;s zt%?-6u~%3salJvf8|!4DhO5WYmNjf%s`qMR%+2y3iPC^4ToSBJnyDPmnB8VrE!)29 zuhf$$|2XFDs}q<ebiQ_bX_)4A_1IQRo7wZ0-v91%>5}ZumWO;%FWW>U(*pLrkoK6U z`ZS39`wrELQzda9F0K7sxB7hE9^>`%7+3kKRIFZo%%RWD;b&juu~a^{SKJ>nE4OeQ zy(Lkab+Y1YOkw4wSw$iHJU%9`SN2@*T)n*I<)UEoORJBhcrGq}nRVLtg%(r!B_F|y z9(gMc*s&h`bx=`T;Qfjf&6nbym7B$WjV%76%Dg-9QG$?6|Fy55&mKE+v}^LE&b4#+ zH#VzIQkv5AW2(vGE!`fQv>aV@@4tH3@A5zFg&22IjCyxis(JNy*R_i*a?Z>-xr|eK z&5CcC2alX>{@1W*5r@y=e`;^IPF~)Yb)-<~f8!p}LW4kOk$(=|kqh1waX#L5n<HY2 zQlUmy@3OdwtBo(*;rHyCUN19w>8!VZx#Z>?cM(4~S+4orw9UNx;<!&mgiaLNByjY` z=JOegpWMF36udYhNdIC@_VMYvGm<i+)>Y2<&f>h&``U`dGuc@xT{E-IW^ybFvwbhi zIHRy4R--NTx%tc+dh-^T?|6OuO5&Tjm#ie(zc!aIDZPE9<zTzllk}-;-yJ{v^4$O1 zTVz*N{Oz)K7D|{^bj7c@?fJrzqt)tlW*)JM3im7`?&|7DEp`Z~-}6-3tb6M6RV&sS zH2N4lwdPdHzHWP#kHf1dp5wqgM<yNak3oS6iXjos)l=p?ar}C|==r*L68~B}?It_Q zJv++k={$?~$^;9&4EZUGo)(2B%FLSe+GOW9*+a`&K6x_)TeCb};C^D>!9V(3cV{cj zWzn?lXRSTTar|HXLdRd_?p$`CmdBZX<o@?=&lQ2<{%5j(11|^MEs65}c>Z>d^7f1O zuDQ)#y|?q=l*r|;&o27I6Xf6>zcewSGW(-$xYA6aEU}%86_1|o+;hw#Kk?SYZ!dm7 z?)?zSl&Y?IV#nv{Q~hS2nEEF!YO|&EOKW?6!>y%0%3izU<)pSfx|=4N{eRD@-RHua z1HWkboLJlXLc+J4>#}>$8ip6YzxH0d(|%5Au}izWqT8C5nUZ;FCHW_||K@(%^J)Xb zM|t6GyKUT7`AEhtZuwU!<fe6P7VkBYi((sB&AaV(o0nU~W0}t+ncGcjq8_ilo{?Zk z^t|u-O1O^qg>h{DUFA4o-uc&@m}Nz#m3_W%-ev!3jp@Bl?V76Fa($G-E}IA{-nDr5 zq_N8T-kOCQ5?4O$6<Z||mK%RW|6qS&k<b*$_v;qKoY|tI)KRiMyym9P?&U{#@>cYo zUi9wx0}hv~l6=M6_G&HB6xF=Ra58z9)3d~T)}04)mh+af8cLpC>8P|)?&9Qc-?kJ~ zX)1;4Exox;^^?%Q2L-(k@9L!5CCN;;s=T;<;Y6D#)z>DKXV@w<yYIy^UiqD~aO$y_ zT<dP<T>O)-)@;7BWsP(8g6#gzwKdU<vHLTs8q-%!<z7&-Sni1*>y~Zv*Il#yeDG4o z%I%*{eD*GuFi6qRKgs^&29x`S!yD$$VK7xX?$jjEo4q07Hs@t76`!6Lw|4t=N0e}1 z`MuC**2LC@nJVi(&S8$<6`P(daq@qxXnfP3otxArWG+eHTc5e9rDegESOsPm(}^FR z?ww$fdF9w9acK^w_W4fNB0PWXN$ISyusS!v<eSs;`hz)6av!%G{w6so_}(VZ9ae7} z-1O}=XGcg~ed1a1O?uWGfh){?>+Kh~HT<7=>rwdQOAd`9sm**Fl_gxC#r}24QRq9D zy-8>KhItxlhROHtrnfe!-)oMDysO%oKi@C6-H%t{Txw~<swftl4XqDjA6dE=CVspY z^z!?)%RB1o=lJ_q%n+}csCoZluGh{eS*z03ahet~7I*i4S>n*KzFDi_o7AN%ZM7>u zZV=hT^Q}eJ>-rDhrwn_A)Ac^iON?`>7LhWF-#eq)&%uA{jo<pV_V;=?VoWw(T{&-k z!IEbINg_);_vvWFZLC|yxzKk{N^J}81$X_@b}xsIo+cSp4<-xB2)tW!VeXmRvGM;C z-e>t=k<$Ec>KS5jh(B@Sl@$W_cgXz_UK#C@w>0sx;w_bqYh7$_L(*PcWq1-(#=2rv zqrj}?yG<J3b_JT>n{y(VT_bgU{lQJ!{iPSOSCsvf(_lQZ`<76M$nmFL>;8Jr{*j(p z$^3G6zR2gD#ix5$Pj~Zw^?8MqZQoRu9yLFMw|2YRKg@o@dfg{mH9a$NS2}~ny`O27 ze_vR0-2JqgC)(UeO(f&9#;Lpr#e<uQW>t%-Xt%5Eotl}TJm<sI6Q>q@?3u%oyKk{) zcN0TE|G#Pfm*vZAe@y8=p6@!}VO`#a%=Ul&@%QC87V!rrYAkP=^GfcvZvBj|2Zi0D zf=Yja4#hr=-s#n2kx;0nxa+%>b;f-Yi*5F+og`uxtoRd}%b@x1`niqER(DQr$ubKy z`g<#}E$!IfDQ70V|Mb^$anf;)28CCyhu3<Y5sIr0|I}gC!dkDuw`oo0A;Z+;6Llgs zY|&C#Tk`T$XU!4eDh>5tiYh<%@}vheY+W(6C!PChpMyjD^|g+I4sp9oee}N9t(^JA z`TUKykC-kx=N11bHA{+>o|$(efaA0|Z}gjQ7nlEF@Qd2srqxg+zM47YYSaQvKAix@ zs(%)i0S=emmSi7{3SiAX7}arW!KR1T?fpe=GKmB<<T-KwYck`#xNTv=oWIr+ijzQt zo@cMzeA;<ScI7IqwF2+<ZckJH*L5!E(&D#^+~)n*7CcFXxrw=nxstiFy)`ZWv+k;S zM_KMa%H8uSQbp<28@>HXf)gVvR%~9L5V2x&^6|Q)3=nwHI4M#m#HhQq1q><*WMxEB zzDG5&obB=9Inyy+O<O}_g%2AW8`~m=yd})97SEqiu|Q^$T*-lhESgCXk+XzUCg|&! zIIdW5$R|>3@(GcEzF$XHB=u~xUT1lz9t?i%XsQuSI~6o@$;^qJjglXm=GKbE{8VrG zbKQIfXK{j9jiT_ggE}*qQ<D<h4jgd$ce<fg>Cdl!vlK!CUVgrO^Y@*N*JU#8L16#1 zeINjtWU-sJ7X)l;OP0l5Ua@@Qy5-Z&m4%s&A1%#H`CN8y*7ix$b2jZi>)YiKpLwXo z^K?q;rkNXj!e+c!oGsk%9C__@rBTX;=|4ZkMR;?FF@()h2su$%WmP@zT;E3PW2Wx? z?H~XeA}cRn-k+DA9^RgrmXeg<wx)D<-cmpLzu`9yuJe?=CmT0;*KW;Kt8G#zEw@{@ zqUKb4{8P=TQ-2@0eDm|%SlMF|$>A?wfPg`9Uf#O7)z81(u`*ZxerjjYS{d!_r*bWK zT9~cty<J#Xm>(lEhns!-!>Apie17t>tKYLn=E{{Ea5QA*d8XqKys@n*kI&aH{d}gS z-r=WP7lnrEozB0Ro2s^arAYB?qs?bU)?T|(^3B9#?p?#O<9&B!t;??GfdOa=EjRbq z3!iOgk8RqTqksF<v18LV#bjT<W?GiJesA`>TeFN-9$U3DYkkz}ZL4xkO;x;R&HlD* zZKidvZ}#O&UoJwX)XssyhR)*(jY)Q^6=r)1G<J8zv>8X9OWWy_UOqGGz<L*pss4-x zS8sm4lC@IhaB|*LiR3poHtt@X1_lgkOdMAjoQ_Bajc=YRvWi{)?#tYFFH2VSdv2Q6 z)6;WCRayD6`xL{+a>>W98J4e+wU)f>9&YdIt5>8uQ9JAJ9|6I`?<A7naK70C-r^ep z1~creBqSp84t6CZcu!NPJ-Oc~*i|Vp(N)P;Ag>v;cWS|p>({4u+B-CBEEh3cV6l<K zCq4d>P!nszR5cFKNq!cq*pth943FK|`%FVRfPs;jL!e<g7}!-^Uj|CDm6>IwrKN_G z-<T@fdb)ko-pMFxsu?gRVA1BuC*rMsdkMF28K<uFQQF8IXSF-I$FM9FyxGTD-uCx| z+6(UK`;LX?-j%C*p_qCy`RT(?8I>DsDn8tJ_|9ORbx7Iq_cyG|UM!x!B!K$@V>5T% z@z(;A6`G!Zv_4X@#-ixSo-<Rv#+^uH+)~3gXXd7R&o7*=mWg;@_{8#9-`$Ps8y1(o zxUkT<9lSH>#6)IxKF}5*hmW<7kDcU|HtR9VxnZ&KqSW@?*AlX?EVy_nA~Q!yhgX@m z_KW13p0Z=}9-fOxpL6Cyw6EgB{5@ZC6Qo3A3>Lfj@=666-<U48QS87^$*E?>CaY@d zz8sO=ZEfeZG-zcA=n#O58YRxL8QirCwWqW?&40bQ_TBT}CG*cOFWzqw`rLZryD3rL z6BdBNPV(a=lU4eyC7M%K?473IXbj7ti?6KEShC*brLu^KNJ!hM0Dmy}_2PKw(OjVj z1II22xnEaq-jC$@6cot+b;|t6u40ko;}+K7t)^RB4)~fF8yOlX&zjZc>+7R0e0qz~ zR-f4?Q<J=s=9J$%Z*FL5X==!N@xX^BZrvZEe1>1O=dW5+Bp>(HTi@;Yy6MwEU<w#a zy0gSgQ}d<oEl*GHC1RW>U7eyPMyRMfy$Fr^ZSHR_3SR2(*>*82rA6l!*joLwTRU{M zvbv|aY8XXq{X2J}p0j-H?)zb8UspcjRyAGl$RqQwirBh;8ve^&c3f!nIDGS+$J2>5 zS*vV}F5O(e%&+H$Y;w602rPo^oEDg&xurEuF)Ty0s5MG);gr;XfD2Mb*tR-aYj|*O z0hc>*vm6{8ycaz2zkFhqy~lsYML%}D`jvCf<9p@)niGY#Hb!0dI=WK!2tM7WxbTj! ziW1-c?&m+}l^>j({6xY4ylv7A9K`(G&mNw%vC;Ha?*8m|&-2|MrTrIfTCF*^`thqR zR;&B^IM*=S9XrP_z-s4UFL};Ay*}|^Ya^?Oz{5?4-fyWnfB1*Qd!`mHt^J?%<c@uR z@yBNW;?2qSC&Az#7=U&J@7#IOJ$+NPu47Z0n;Ki%5%0?@(!~3}yirPhDHU1zTx@5n zQRe4cJIvOc-4>Y}B`vMeb^G1)vpPoHwO=L+Ti%lXwEKtn`p1iul>c@0{IJqXzWJfJ zM6YJf;r$nH-e)~_<L<}jqLr@Pem*`ag7HVh3SIAXc(~i#X@C82q1~_9Kh)MqGnK!- zyKi@}+S@4W#dEVjODWoej$hkbnim_r{o31IR<$L2S9x%3*}FIT<8kTPPnPyF3cmk# zF~7R5_f3nLqr=?$%WAA{+eIC|E4#V`41T?sVY&VJ9m}%3x!KR}?c90wuI}$sCpR85 zd)IrrFfT?%hI{(M2M?}@XrDiOQ>2qMA%1^Z6YtyC*GtOu*IaA0e|xdy+sm0Zd)01y zo_kS$vReEvfBRbL{<|A@|2}ye1ZIPQ^zPf&%(k!1HQu&yqvG7$v$4_F@0pgZjlG?n z?Pa<()OT%YaIo{DB^#~ZUcR=)r1r~H_Px66mTxpL+Nhe6oRrA_?c8$Rb;qL?ZMH9Y zy;F|6>uAWQFH1N7zm~lB^Za?IH(HnVY;<!50r2*3YtT9ZW8-8^Z4kKZK7ZS)-OGc^ ztb8LUP28BG7wP?N(%O`iQ%`Q30&QdNT$>c#BU*hlW@DI7&iw#qmi6y{saVMeHa_VP zoNMbmS4Y0r%yET*v5EI)<6kXabNga>!4ps5i6!Rbyzi;kRlS3zttnjncK2!_)l*Nd z|1(+_ul4(De0+SohSuyu|1b0_-B`N(1i!w*zn63OA6>e6{vWZjo{!a)AW#YhFBcn6 z-rzaujf&?a1;-{9&Px+tEUsT&r4ZsIZtHzoW3}$ate0=*FWnseR8w&7-L-SFI^ump zHRDh8%Nr&27#@3fziFm>pG;x@2KR3hzn_>mW$DDL9TRhpn0}HnOj3z_qI*d!viprv zp5Qi*iSl&@tL*=Lc*pAf{bPh>2djY1gGb6)K`f3;i#Epx`u^o-1ZD8Or`9%2yR3cu zRGHiHzKzLmZWxy7fxx=8U?89~W98PZacjf8yRQ~)-Lh%ZjWuT4+TNZip|^dPbd)Fy z)_zf3yNfTe{f(k?OOE2|31J=DEux>e1dBdhJCL$j;NJ1zy;s8{fBw+YnrkclsOH># znO%Rn7^40?6L@|&XNO5ikMNC);A$`e6dXb-90CfUB@Uk;s~ZeHhwj^Cl(+g?*H))p zQ~PsM?(W%USF<2wXK3!#by2JDX>D8OB_F#uAoY-+!9o@xw+sdr$*cf}2Mv0^xb=Ll zE}3!ZX7vU;3x-C$Ra;s-4r)jQBremKe7`y9>5&du>$2nT`utabK@@oUe3{c14p$d9 zkri%P6SbUGe=YA1YP~W?N$%DBD+|Iu#hwfQ^u@f(F+*kIId#>vR}+%KGmmH|aQH8O zeaG+GPpk0dmo-(tdU>u5S{I_ND*DuIV_@zT>8*<wEn2W3W`$T*>#YENkt=3NW~CnK zp)amBU6D6!<682I;qQ+lC(iNIdbwIGm9I_HxFT8WwDjWh%OC(+AvJk&Fc^SlMumli zi#ubhre9mS_le$CZ*NWSrE8ZQ(b8P&wSLkhqnSt4c6wT`^sSnFZHbDC*h*KYqKRQk zdRvx6+AUtS_}L2cto~h#4+T_utuVMEH`V=aVEl_SkJi3=@kUQI!Zdxgh1Kq=!>ja4 z!g8;O_4W6GKo1x=iHL&0#uF11o!fXMf4%To^zHhdNTo$jFI<p|YHw?s;<-?F$u=kb zDcv68PK#L9I$CS2;N{A?YA)ntBz)B^c4CB6>cVv%UskP_H(EMt*3JGCpW3bVd)Z6n zy}9Av00wnn0A7Mt^W~zUv72;quORbF!+>k~pWUo@Qa6FtKBV8(zrE}HXB+kGh})lE z3UY}BJqYr!(6E?VeQ6!jgTqzxzedgf8WqvcpPniEm9y*(sLa^^*;*fb@IoudLw)C- z*Yw$06+d3n-#mHK9o^sN^^1Mhy{!{}zo_*4seSh!Md$QBRlMoVCjQ8I(`@F-g~#|m zwEX|E|IN2XtKG~C8UNVV+fRER9Jowne!l<n>z8kCv@UxiVZCkR#*LM;w^xdtZ({x5 z6+dytj1y{VO6xj0bdtJXC@Jaj_qMlt*oR2veVv$RqGJMDW3y;Oi*};-4$gR|L&C~- z>(oNDL{uyc9a%+c<hs-<t;`dfmT$IZ)z{I~)^H8^P;Rw(h0lUXi#CO*1^a2-mEFC2 zw>)Th4I^VT8#@R%b8vyctHtb~o)6nPla0S50~T9+UjO%Z^8ai5?e|}O|26sVpD26# z{rk4(f8SVJn-_okdi$a6pK|S{y?>hhGsbQosB^N{w)V^8X`2Ej8tz!oxc&0Y{eI7v z&z{^}UASxtYeqw3Yy0KOHnAzEB!Z@yT3lIZ)3q`A4TnsE10xHMf&+uZYtRuVFBvCH zpFVwi)1=u7#lp3B7*dsug=<UH=5?oo79wST{4lNjy=?XMdpj8?@vlhf_*o-oEX^Xq z9LU3v5fXK}LWF_ca#q2F2`3CRI-8VCG#M6UbSWvZIY=-#Ftjin;R)u_Dr1gs%U!#o zsk$QIw4ZL)-}b4O=Uh4@w`!lj-LG6WQLp_EHrNO~7F&Js@$ZHd+ZBm+Q6Hx3Pmt$* zVy`~slTD(R%L>QZ@TvD?^&_UsyDLlmEEHKjk>9=Wmx1uZ%~{UIm-s4G;~V8`r=QNQ zD~r1O{n^wVFLpA|-DWd8J14Gl`(2yE^Kw2fdG4Gnn{}?FM>vY-SIZIZrF%BTPQLVp zbvk#>(M9I-_B0)w#U|V1zpVc3{sR{jPtVZv_q?#LUiY-I^z~gyq8Teizj5)d5e})V znRTrFysm%PoC{WaTQAz&nZNW`*&`D>i$<|Uh0glU9pAo$h^0TgD1J@%oXq;}2eUS9 z2@vg5=$%vbS$rD5?D3<CQ*vLiq`fL~ONmXiQtAIYdm85-dF{f}QN7n$!`qyfoZB>& z_tw?>f^X)0ciM33n2dm9eACoTS9V;=dOf%K_wKE_8*3k5Quf~^`^aI>Vk7fO)w?t# zR`d2Z9;oAgc01+k$5Jf=*-sz+&w987tkDSh&u}8uWA4t9gnHj?w(=>$TKTSg^KP7Y z`dj2d{>F@#Z-3^?pONSeiBy07J0hq0wqXP(cZu0F_J`rZ^RKgo?TSd+x9Rlp%nao% z(+v*&c8kxbXPLC%QE0N)I-cJrXZ=)BXv{pYBX4V~j=I>-YrQ8jZ@sXSkxSR)Gi_4p zZvJ-Hbe5H%gs9fGnTJb%DqCe$wbkd<?oWuwjP;JZQ#|oAkNV=nUzW%%@V*$fmR%{& z_%oNto-MhHcq65!$oj6Uy2yFqW?Fdctct+P7hm&s#Pu|uyZ&ZIde_Vax9=}e7MZFs zH!bG-+Sbe!T#p||XcSieh;*)&@Y@$85|DCjWyV`0C&rer)hV$T%iLPE4_}+O{?M~R z<q5YIT;h`qkBwCmc=Y$YyGq89=)*ym_p!4~X-wFVaXv3-ks-I%40|!-TJPL__l`SW zP3zRn&iB=m-@nB_rS$8+UHh~a7$mn(t-Ei<67cKNN~g;!HuCReVz{&*|JWDD7t*gi zHW&YuOnu_g_~~lsj?XiHJkKt*xwok|We>|~so=c_HPzzJv@xZ*_Pm~bK4bQrmG%y| z6ypDt%D6sQYSGTgtR=nVwEu#G|7IQK6-x{L-RM~UREKrtDZax_zU_&uGbLO!IgOH! zMcv<Y!#{M7)wREoetm16{dlzY-Wis*D#olu@wXLJ-{<||@=hyx^Yy~+mwuasmwUI? zhji+0s{LBqVIOi$<XSJkc*z?5=x)|ou`~YURJQj!%Qm~uRSG;)W23vi&7^Vl6BDtY z&n9xt>F+sxI)FD=?Dn(U0g`?xFEZtx=?j@!dwrbxXo76^P8or~{pJ5Qef+mBbNc+b zR%gE*{W4iy|5}}Y9rq%U07u2;*S>W&^l$fEwM=lLO~S>+q59c7^qHATbK(;A2i*B$ z{fYC`ixo0&E36k@{<3qq)42t0`<Q3kKI(1x=AND6NzFZHJB<!(bBtTxKD{(TM$awo zX3#^eLrdl<?pBMtbBAHMnWkj0rHo|ln(l+15jP*Cym#=8WuCLC|G>tHP7M3Z&xo#j z{C%?MIpH5au5R2=I!pEvub_s3!I#Laqo3@qOn!SXePQ9|y=B2sd$w=RP`<E=^#Oa| z+ynBF-wK}|Sn52L*-iSGy2hFdU8}4XN`A}b7O=As*%aqAIeXn_$)($w%C8umaW2(- z*=ba-dg7sa^U6ELmVX`?#QUuJw&5mg>J1jZT|f4=`Sx@>I9cuZAZR}0k5b%%n>*BQ zt(|zRUcYReM6Ks^UZ)&qVMBRlnXm%i|4s)!E_@o|@cq|;_<pXUf7T)uJ2pQ#`1$a< zIXm7fz4kl4tL7tn3)l9&Z*HxP*^*=y`s35{c?&LI-1YQ`sr`>_ee6FY-&{E7-=hDH zf&bzRiGFXXtTvA40&4>^KbY|woG@+->E2>2BQ!&N-Z7)#6iZc!>sF84o<3SUTlBHp zwe+ApCAX@r%60U2u3g11`QNdviZ4Dy-&S+I1;0XGmjAwe538@tXE0T`7_G}C`@eGI zIZHupo$iO9s`(krB-R|5D8Bniq;3btohJvI);DtqP0Nd9tax~-U_<6b#v|Q~W(o}k zv%<o-4-58WUJ3psc*f0_`GB#B^qvFeO!^W_rYj5kaDT0f3sCOK45(hVVbzN(`YYBP z-4*$2(YfratB<bhxSA9o8Duv<+(+^Hh0;eGKFz5(y6)@!3EgX?ZgOthE_^PxRIs-4 zX5c*K#|Q4(D2aXkZ`N>b<+j!HV;>%Ter+C8`Uktm)}^s_8hpN1zpI#^l^MB|-058! z-}F9r`8kJg*S&>yE)im0TYkghR=TwQ1lIY+v6pV$pE3R4cc*=`@BXV_d&6G4kmYFE z4(C>fRM#HY8wKaZm+Brq?tEmg+V2PE*XKn%I2;}*dwKKe#%bwHStcSf)6Azf-w!NW zwsA$#9HEkVij8}!-!E5GvaBjqtKP;cs_vqbeoS$ez=}pMLHQq=S~7P`+N5q=OK1C2 zH~Y14vq-Cholna5+1iWSd@bjt`~FnnkB<w!a?(@l*5>NJ6E{wcHWr;Wai+Uj(4Ngx zrQVznO~14@w^csos&)T{qs+&ju5Kv0&h=K$p<=G-34i%16ZqBysl9)ECgjt5zQc<* zT^2WG^|>^4M)F-ot#5szzbfW31bsd>Sus_zYHzZ4gNpgfBYmG|_#00AR->_3VZjkY zh9~MwCDRuzGTvs*<|Dq(!tL0m4yCthTCFqY3;SzS*hW0w;@V%zQN<SFa^)1)o}f+r zL8qkFdu(M=J0oOwFNSB&0rS`k?(>9|{w=qh=$ThBA^dgm<yU=25{%3Gn!FeUzL`$& zeRyos{;So0t_6rk-R1bz`uV`VOaK0qh@Q|CxSe}B>>cA4Y5Pk_Dxs3$-wypYeeQ1l zt7u{S%)fV?cP;r4x+Q?S<otw+2lkaSI36GK4$4<c=a=fec-8LDhf619U$D*f-hQZ5 zyy@406#<6FM8YB+Sf0k*?bN)+`^@0R9WjTRXOi<XPn#YP`Mitia_hHmwO4c_{l4_? z@2q_I@}|g=&hLr`OYX3$FO@Wm*4Q)snwD1a=>tb@`N;2+@Kfun&a|n%p_?Mz9>ymA zYDw<9w->jVeqGkR$28S&<NIg&F?lj3li4@gFm9+jy)(=+<`~;!W&Kl*zh`h{glBu5 zE;S4KyF<jpo$0i_>(R7*>{Twl?c8kqHa`^?pV;K5$o92%!4qv8&V})UJFZ!ksXkr4 z`PxMDZ9mqux_Z6}(x{hQSH8yeh-S_9@``o4+0KOA_@Sw#)R!5Tu6Sx=-nX(S#pdb- zsn4Uim+2%($gMu5wWihgkCP)$#R9I_TSCivwU1kz^s%4X(eg$-s8C?h`H1B@{u6_| ztc;V3KDM^*T>MM0^x*k}J00z+GjubHRxWPY>)9$k;meG;S(|R{DPFK{VogKxp}%*E zA9>z=Gf~JS?tFmP*5Hiy()maE8-Fr)6^1X$dsdaC5kAw@;TO;RZ%<E@G+E0QZQRK= z-Oq5=ha;cLXTGyLte(p(G(98Z)Q`MWrX$Q{M>20~w|ZMX5!?Cc@tZdNZO*e-Jl~&~ zdtUX+RpE(22OG;X|N1s9Tk>S1!0G+Z4=gG&h;7?DOG?Dg-O5X+&+u$eUIORa`x7eH z=*$TClG~6bAsVZFz)LjPAmrA|9TV+zBp)8D==#`ZlrYb|^NY>to9EotCqCYB=y+zw z(>(vV60Pp;+V`FoUe-EMwz%Wp;jYx4Zw`yj3*0&FcXs~v$&(gv2~BIg8aZRh2IkxB z%T`WyT-W#Osd9rr?0voiwT;@xZRTxa_3+qJG`YlE%i=;t>Y4b?$cyuP>?<M{tl^$> z_cEvB=}p&5Vth^rhu=>RKF`?I*BcxoSaWoqT2XFX%B#CZK^LFqvW76qZTC2&dYNk` z)46cXwX^5fOyQ_)-_cRS>$d;c5{|_e_nLaof19xG8uPj5lWxEC;{X4J-)eF=uXDo9 zg|V$uPv}KFDD{tASimBouPEVU!~N~MOtSiZpNCV~&%LcGO_fW39=)?gE8J_dU)Rjb z*_~-AH+JbIi|&Zs|GQ@H)h{=n@P+rVX&&8U^6bw=Go@=!1EVeo7DS(`eAyrs#kb1A z#(Ubwdqp~I3H=^IvwGFmw5dnMAIb|1mtcA0wQyPfBj5Pb$K%gUP$~Xg#=7|K3BNBZ zj+LMID6f5a7VpX_*>8k4SR8s(X7H-td(Bq^kIUWbmz*(JcHjP7iJFAZ%--g{#lix| zi!Up3iyg}lyj31E&9!pQC+7^8XuBRapX8HOc~$=%Cd#_9o#E(RqCC&$&|}jF2mT)| z`_X=tVdlkI91RYiQ+G(FZjopEzv5ohO5e8IFV%iHoIWNbFiof9zxSqhkxg%7&!2L9 zH~qBmsU=EnA&T!p-kkZg=2g3_X}~tV*Vn_|<Qx*q)lbU#Ah%ZeSjGRoM6qar%FgJI z=^m`Ie2*>c|IOb1Crxdg2ZKhI%EFBH8^&M$i$%-+ZguXG48H3l*0Evsv%mUFKOa0J zR^pU<Q1Q*?)@<S2#-NX(f7#{y);-t}dHP%3hK4R<rR(i48h0*^e6c<y@<L7d8p}O( zJ)VMTVk{y8jSa?Dk_Pt+jO<!P*^*b6lyDs1Ru&>*ru<~<JzJhLK>^bb`Nh7@UUcu; zhpMwYy{GQ%mRmM?%fWjSy_-(0^Huem-RruenD5k!FQv~txHNp&MfklJuZheF>%Zb( zbTa!SpXa#`j4nFMC#~_^tfpH2fX(lox%h081sZS8ga`9!xNzOd7LaCoT3Yj>eSNOO zUQ?a>Gkq&AY@as!vE1j#A3L-d-m#ZtJzTeJLzTzwFX7Akzia2@>PuhK-B#DNV1M`? zufw{lqWf|+KE!;y@RR%XgYEaWbNvoaa@l%ze#=3ox&>Pm)y+3XJaT`O<$w8G>apwb zcNc~vN@$rZn9a<Z8xz&T%sr=dpXuLA-QqLS{>-v!(N*G`Irm~jrj0|)>I3V-4@B-W zJG4*Z%N5Or6;dAQ+cfxGHDwp2?&5ITbosx0ecbFB)B7iKa<GY9k7RJY>cHL?{IJ!K zHLRNd%->eo52msULK}YEQ_py9_EcKBSN)&wBdu3_7tZVserPsL<gmFWo7<cYpO{zK zNqyPwFW#ESX}&tz`e0du;J*o}6^0RiZ?kemi3C49urT34qJQD}c>$43<qs_vn5^F2 zdh^v!k*#+`UN+oK=r2hxJ+E;0UGwVQEERdBr6!J5{|?O!FZAG5Vr#kY$ZI&!q43+2 zb9b&@dv~8d?LfcxskJV8C$BI1ZfNSNBzNJc*s=?$$yucu`AV0JjPiXwm;CM*C~*>K z+hO%9b?W>z-y){Yocb|uPuH(KPx5v>bHDF8|Lx1bpL&<8xy?VUVvSk;Uum0J@F6}a z*7Z$O<Bvv)uC?sczVhJA&M7vbulr<d&7@fG#|PP)Ri<uQC=~xC;Ou`jv-xu${<_lZ zbwb7Uj+yO&FRwW5*R(1~dL-y-AA4(m##e{o5KrZ5v*SUNCnQ|n#cR=2=asv?t)x9X zdV9s^#q+f`6>zR@<@ePUd1SQhZxi!wrqg9@ub1DMrm*Br-5Kp$YAs3+=QsrCZFZ=( zuX;H>enGS&Yj;i7!TPnU`no#UJ|2H$EIs|dt+Pk@O2)TLOTwMzKYO#}%x;&BXD6J9 zHI$GzaV@){scyFmYZE`~g}Yu$S)cPPosjSSp?jCp(yOY6J(Xg@Ubd!PViyuHRh=Nm zk!ulcBlv1I*LP2z*Miae3VXvhtlW0!f4Ha2UIQ+#IkJ|<g`y3sm2WD|xvRU=Inncg z+yUDt<;pMN$wKp`>W)m-Xl}hBd*OcF5!3II3w7o;Ejetfap@jAr-s&U#Y0j5<thWR zzN$)aKM~1Uuw9*TvPh!%mcq%7+VhTdTnyJ!uq`{E$huclRzYLoe36q%7dUSg=Fi-` zr=utH?Gl3r$@5%$S#GIp3)9`j74Uew23I1B$nB2pFU_M5@qYMz*p~O>X?EVJQjcz$ z91;s(`R(wEd&ysV+TQ(bxSl6v{8ON?LhQ||&V8cH&#Nw%SL8joZhhstlQWCsh3)$k zCpGZ@?acbW@Cje2%QHK%e<?{H{a3Hu<o){ShUdvwmg)1Xe8?SF5N_4;q@ly|9yf2^ z#p7waT9&L-Eob1lf0UW!pv01#i;j99H@K`k^LM^f`G&B=Y?~C9pXDfIYU4;@J=4wV z;r=iD?$r1Kb2Y_?oxz3d`)+QKm=Slf$mL^?>7CuPbE{u-A5pDp`E0c5-Hx9J{FlAv zdA2|5pN!JW7f;yYzJxut%)Yt8LYDW_CB>by7Iv12ZMrKER<bL6N$X0r8?)DJ$e*Mw z+h*h5Z}l%}H_y!FZVGxlW+%UDzfBRFdvs~m$F#K<<8J+(c(;<hu$DjaDQ8vO-+%YN z|K0y}+F9wu=x_fPoRW>aCTR35cwv=nN}t&}kNBg#ht2lIpN#C{H%nqZGQ)bEfR-?y z(E*{4a~Ci5JCjge@MW*jhpF!k;_Mn!M0LE?f{z)9zNoqP;~iK3f*Y6Z4|wi=sW8>% zihJL=)mPp22U^|zcV}IX%&jQ<-B*lUMV;?F-~3K_iTIm94>eo+xz#@!6(`@3DqVDX zpPsh+#64Di9iK06uC+Mv>F@n%X^N+I$osmc+sC(DlK$dawD$*Be9~(3Z07tsr3d}q zS2ZqNWMH5ywCo~B^<?b_J}Np!o92HL<~aDwB;$j9{H=Ex)938z$@B`UF+YAsd0rUz zB-wd!UDkX*j!fXu*>il;?xo=cdbh82Hux=kXpx-%g;n#&TCGD8Ix1D&`w~{J>r|4e z_09aE>X>_Pn~7D{x9+S3Kci;d6IuAbO>atoS5syBWS93pmtS*!y0G>0HN{J^e|OAi znVfO5`MPzQnVlD#$z;K))915X^>->?y)#gRM@!w{D~Cpq<L;Z{&3rqateMGm>&%>m zt3o>$*6mOToq3{9>yyLRcbs}Rr}`eX?|0G8pX$8mhmfV=CRK(FK0Bu!4*GCy^%<Ft znLWSH+*-5GsoA#jg_gj|b1jdJ53c)QuH4F7cDRSZV&-MJ!>VyTNs$lQD<r*>@7)ub zlQ2Kx@Pw64`H4>%Gt<`}NLVm$@@}=#H%!iHA%QFJ{|sII+s^CBJ)cj4Z<(K^RF&Fn zKDjz9CTjKTNlH&1uI;sR_Lk@qwbT@Dn0h}pI!fqaMW8B|tLxXa37!|`ooER^wl87U zwx3;TqBGtIp4{|y)q-O86N@tg-E!v~NMHRsIIK-#>eYFi|JR+p(L4Y3<+J+(?e=Zc z+9NZ`<VMQ&9M5A<PO@HnF-um9<5FJCNr7XRm*wwMNOiCEVtlT(ZCO>nh`f99(ur?v zTBMgA*L%3iKl*i}nAMNA>q2!ZRiObH2g{>p^1t6b&HrUmj)R2Iz0@*w&#<`-X@*SO zk4^b~aQA+R-s&s!`;?NxB{S`Ow9c--zoUnH(eJC;HD~uv(w}hU!q<k6lTR;8ixXfI z@wq!UTYsfR?tAACo)#KWXS}<PoxiZAB6>Ba&{2;GYDe`hU9patC%3HZn()*+|61(D zUaIVTJflu^+B>fMDUZwbCn_AuO?_a%r+oeI{n;+ZF2*)(T&~~LS3I|JO4Y^amOHZy zcT8L^VV3b#;>z;}la9_1QkmNCI@|ec8HZiv*8huLm&Ivp4)nVCb)G~|dEn;I;|bO? z1ie1L_sn?r<FAYryAJPEg^jD(o1^@?Kct_IxB7kd@a3DciaP~Nog+BA{>3af#9!}q zt9kCa|F4A2ZmI6;S~SCK%IT{cxV}v{IelL^Y;L1OhjRt@el_txAwdUI^`%U_GH0f5 zmtOYlZsE^K+vc(H$yL1++mwIN_rCnWCkhAl?+-qdV9{|{kEP=OQAVvlDo5EDN_x+_ zcyb2Mj##&kYYO??nfK<FA9T?T?RXsZaP88AGuefe%+KxMO?>JQw28}@SK-2!C~XB6 zgI=}+)l#YY?g9_azfMk1%Ks(%VBa<slQpeT-=o;i{J#7us&@CMcI!oA*RGf3z86{E zI6<n#YUlkL&DFY%x&@8h2lu^PHPK)xukrd;jYSn)?m9Bv-<6p%?Gxps_bo~2uyXzX z@bG=7rgw&~r__b2SJfX&XPbAdZq}12d)hD8sEYkR8ZP8#*%@tp>NlTldym#FwnO|Z z@0%jFPnl8r+@b8l#X~)uYAnh<s}{$1UwZ#_-R3=6Z|CseEB;)!{gWDhP>stAKK;yj z8HY0FS}cn`aO`jdTZ{n9&UvgKjnsXoWb!mEev!BC&w-y7X6&b3_8*=y`S$<bHOm^C z&*ofR>ht8ug=vXr!@ZNQOmgEsFTlKcZH=~|oR5je!^G6vuB+<oRHrHIdl4)BWx{8- zA9~rV|9tost#M@W^ixZC>KSu&?)ptjUCO!Rq3(ox`fOKXzny#X(SEtj?S`~0RgHBH z%==nyM_p-QxP2>kP1HW8ica}DS)EO+molSYbs6b-a7+-{f9QW(gy_23g_m;H)d(0J z^Hxj^=4NtMNZyhq{@cai>WeJixS$WQuS{Fy0*+3Rl?mQo^159}Vb*?S!4w&G0ZjvU zjWY%k3<nQ{bp{#)`|OPEcRH&cY#w`Y@$++AnlDdZ_vvzR`1A(hOQ~0n$-PQD{IvUY zphJR=7t;gjx!b-s2=L8n6)ECh@I~}TU*+e;ec?7C&;RM4X1K32@u<`)eUa4dWl#Ox z?pIsi>)nyo8PCk}*z_zP*OuVYI~$Me6UbXK>44j(I$6~K>A)9@Ce3MbI>TdSzT7zR z>GFQ%veoW#g_D^d7B^RXxZ$i<zGcaC8}H*^RgUr8zw}&P%jxT>KR4%2E_|-<q<(Ja zQ`_Z6rk?4q^zVAe9G@-QcFUgahSr~AKi{rjF-d_tJA9Not`_9zhA{+x-^Be%wEA<7 z)wzvA9?J7uBHk<4-xm3C&dXls&ee?k|M%3-FHuQiTAk{~#cj8wW%GNl%m0d7?@w_s zo|kr+bDE-Zk++0Po$!+D-n~=KSIu7iI3e=w3z^Qq%}v*wbZsqpS1#l6iA%e>|I7DO zQTttUrm!x)w8+8q#k(VEr5B<a^1W_sFUSbo`$oi*yOc#`_mRu-yS7~6OyPG37o4K6 zp(Qa_{g9=SWaN_A<;q(Oc4YGuZhp;lTi<m~cWTy630G0&gG-sNayS1@lK8ICxiV(9 z&x1OJpv^iPF1=dDc<d+h!&6V}^5==BKbRB9bHpaH;ET!cRqsSsp2&^M^cA~4g@5Y0 z{a=NhQ@@4f%-gi%jmfhB9m{XOB14vY&Y2^x)4P%V!tcs}w!&iWW7c~Pd{Ptqx?Vzj zs(EAZip!!;1oTS&Z!BcJHUEM`fOK-iu|}<<66RcwckMc*U*e$mR&7~uoL$g=i(7WP zWR9*8mPoeO-D_U$@X|qgd)@WTje5mb6y1&#ehoT2m%Tw$QmpWa^&yEZ>n?=&M@^_% zaU?tF!^8UgGgDN1{J(rrzdU2sgFDY}#sAEnB)r*~=feEI8)BYLobx!nrq}t;m$Qk> zrdTfD^~_mUD|)i!6zT3w0pTCpC;BYib@NAlN91gQEz$vt#O89ZSkoPs<z4sw&g8hi z2T#qr^+jg_KTCnT-J6zkUjBa>c3f6TWPJ5k!P3`YlI6?z^;d+=Z);qAc0HMW>Atv? z>nFPu)$CcXY%aZ4=&t$wn&SWa6LfZgmu$$a(lXf1EMi>pey_ZoYOs)CO7AR{nF30k zKGl;|n9G<unH!lwYbL5+s#WO4^|Z4;-dj;oaek8Zk8^vo-{0H)qi^r-&CPF<tgpY@ zn*27(+IrdcoY+{dyN?2wb!)paYwUYncqwY#+F$v(S8JDW?g`t%65`zwayGq}?Waqq zbjG(i#piB*?dqs;a(BO8vdi+@ebKPH%g&!XxwU9r%;l$#z8p8T&Aa8dJh)uSe|hi@ ziF2*Z&D9SREG+K65^!c$&Jk$xVq{nGQP}LnHeE!|`sS}`TH#Gcri5^H1^)~=H_!I@ z-*4Z(ZQHjtAGCUL_3c-n<Nmj8TbrAclar%;`&8Sc>(}aXbA8vlTv@i><;s<)tr5MU z)mIZtO_wdNy|rrD(xtf)Y>LyX)=Zy!=1|qo)u9ElDlxl5wm;`q3Yk-Rv{HNfrxl{^ zrN6Z_wa?EhJ~z)4v`<^=)~TByFw^T?+PcUbw%J?L*4)fa3;Q;0>e1BHoSD&KZf<UD zlh^9c^x8Jt?-*!7OmFYYi0%hchMz+0w_ct8YeoAn^`&d=_8vQHJyl}kr6<<&UQQ2j zm@~uTqVnEL>Y(F7)+Vivh={l$wXJP!g7yuo6xmJF^OSm*Zul~F*QKyiUQ5qU%GlDo zDbPa7{eH$3&re^!eyuwi|5p6>*QbYaUVmK|xB5C~?!nI!=Xso%+|qXMMStHX>Dr6J zxmSf99yG|`%365pB==kk|5;Ym-`?D`tu8B11)m;sol6`9rgwlBq<{`7(ACvlx=BUb z`*7s^saL<~sB?m5(8DfooOR~A*XHp0(yei^xvE@qn~t*EUiiPn<B_VMyhi7b3;%Df zT5V_kX34H+v1U^w3Y&L{9eU;xyZd0<9F^efo2tLfvE98}8*+C*5$J%1%d34>7<s#1 zT^HiJJZYEp)i0Ww-)@JWnmVaylFQM+f{+mDuWlOy1AWy*c%C|K3@{YA!p*vMx?Hxn zp~X(|PmXaX*aKUeLRL&TS6N#H0!3gDlvy<U!Ln_gxmjJaXU&LHo1vMOG&4$VdS|D~ z^HA;!&B;A-3U)Sjwl)rn_HTAtYF8BelIv^dKEE&Z7uH@9eU<#p(sM27pqQoLlNwZ) zf)74Xfq==2mA`k`T2=M;_03atTYEA4iPTZIHG(-QPgFF!x^FC6w0(tcQFm8Il+tt# zwWQ9DM^iIYlfD|SY7zaEE_dv4jw!o+-J7NQ(W)Fvg?s`Yw$%SQaopoXy~~3qFGDUa zxXDz!bE@U@ImKbdp3=;pA6+`s^hxJrXK{(&x!I4O9k8@iu~>7gQbuO$OAFs+{Sq_8 zr;7=%>EHU&PAb6abZ6Hqjm=ED7aO&v&WSj>FZsH8-c^4ghiJ};O-zSaL-U?KtjT-Y zx%>H?&Cl;HcJG(7t$MTc{uV<6eLY<rjrYCPhwrWU;QQ&>u_b?(?f-xH{|A@KZMAZ$ z=MS_0xIHyAUcRPa(wiFx-({2^u)ZT}J;N$ab^~8n`|8D47b-)JoxkCo?;yOY=HC6z z3+4x3+bTa^l=SfR(G7OBN{<+|<37wkETEbHqg8A5<n;3L@~0i5pmnGR8k6<+y7fw# z&hp;R`1<>?`x(D_(>p;2;oMNz7^%Sa?C8>?Ew^voxG@3Z(EY38la1qJqI@>g_@26+ zvvipw_!5+Fm!vP0wi>lGM~m{X{Q0fyw(XbBr#k=i`=8&wY%F;AS?>M&dwHwlzn9p5 zFPc|;?k1y5>O8~bW9NTJ>OW4c>FM7%-H-XWiOIXmHfMXRjg3DW+cPtl-IrkdZ6J3{ z`mo;Zf8F&9Q_cr%nBl-AYNTP;x3Xwb@ws<5We<4n>n@*o$)xgb{=`qZ-!2tzI(y4F zIePZKgIiZdOgwz}@9o{S(Y;Kbhub$z^$@fBay_HPW9CGaklYaY_gbGEFRZOmf}HRH zK8s^QKNx_Q&Ghx=CExyGwyC#J`Ob|K9LAe^dUPM3K5=5l3%z~aACh*T&^y-M-L+O} z^$RU6tz%tX8{>W@^qdHr|K{>PpH?3}&5Qu=>Md)3&0lGHW>P!<m-ua=(+wpaFSM|^ z878lx`GbE;NM@am<coLnHd{AL1}~NF0D}rJ04@8^$jAu#A-1WtHCHhvBI1XRVh(5g zp&1h<sH&)xOyc3&)ZE<sc7e5p1Spv%DT(E<vL-r)PZ0GHyyJaey@a1jXU&2pt|e?M z1427oZwMF~Y&6)Q=yYL$;zETLCpRB?X=wlg0$}jrJs6yr2uewYhKr(=-MU07yK5#Y zx&Dc=fBU^|jr^PE$KN#nzO!$8|J&~0xAyJZ`(>`uF~P(CE9Rc>tnyT^c<KKC_1=aB zSrY^<Opr3!!o}!#RYCFmyt{X8pU*Lu2Z8&i?t#EO2=I!F%gD$8t@=!_eyNtWer9A2 zXaRZ{+w`5O`H|6L(>JD`G7>VHuA^3cGGfy-9yR4`qvMYZE8fT!AHQW-mXo6&d+bU~ zyx<<be;sU+2?dUK=gQBIVVTwL_x(iXyy?sj{`$@N`FhUED>v_M-o5+zo`VNKAnXVj ze7kh`5C|-~m|?Q(i}0lQ&<$t5oGJMpU*=(*a$>W~FR!IRVXLORTdMt4`|J9PXTLnX z4hC_%z>ChW$62j@qR7f7n8pxvc$T{GLFP6ojz_bWKQcM@XHDYKpC$8`%<EcQ9wMK` zzjkxz`FVFYr-zq;*G8@_C<K9AFj$$92?B4IrXP*W7n?KnXj*Ej=2R8XQbBDov9QUN zrAu$F-oGnr*C(&erlTjhw3ak9asFz5wy^es#jfLBG9`R{-!49GYh+D|5DBQARL4Gr z!QuW3d6WMC8$CV0F=omys5&0@cVQI!gmV+0oSt{r^GXhA5vlg-si14eRzXhR(VD!n zoNHdv@>?q_PR*TFHO*sZP|(IHSF}}^PPyfsp1i&Gp+;)R<iF*uS-a|1ELZ=QX&(Di zMB9JHMlRlsJ07(0^hqm+xvXgM5Ip64R?O!4oZ@qq&##^Y0q~JuD>L=ya)JsG7VfUN znPrz}PK%n>$eNT82P#4qWR$<WzI5r7rF*~Z*(B9)v*G}wZ}Q&DCUM@kI}#mk=Y*!` zFU%H^_!yNPa{h;&_@=DInI84o$BK9E4hsSSFR!G@50;p(^evh^X~HdVX)HFSeu>bM zy(P=!SJs!Le39Dfwq~MWPRf?)aUoYWx#kB>%$q8(<CSC3@d+1=w(!U*@A5M34SGIj z^X^|R{#&NonLOd!>ip({H48uQRD->#$zXTx2{^_5cIj>&mItPDGCGe=x^J*@!bM~C zq#sv}_4Rbs5_VKJzO<=)_QtaMoMrm?Z16cz;A|xzAi~w^v`}5m-roK_|DVs7|NWWr zWX~~`B$d_&=KD@I^HsbM7qytww$N!AqkEB@R9oG~(0;|$PXsj-VhY|S?JVtHy9;zF z8z}vXD7kb9C_06KYT2qPmk(gDf(3GJR`p9C_srSv9w#?Fjl6Vu6OWDnxKL<fZA~|} zWoBez@!)1TQ3TFx>90W>U0(e8@$s>HQS{e0|DxKaElhqPaek6SU2NFp3@LTa)zRU# zUwh_d8r{6^n<cUPrIg#H`;X_&*|edF%m4Z8d9QYu+n$wr_oQ>${P-`=-o2Y=+$lBp zEB9Ze#TrxfV%El*+-<#lRd-9CqtHs_n<qRx#Dv;%6yrU_g@S(m0D&FT>mGe@f2zPD zV09sff6dOV8*{H-zveVmIGvqy#he9uE~fu|e7SOxZFSjOuv5WD>D*Y6bm)fBz8*d0 z(<i_uWO*z)p>?clUD9d}?R{NczG+E|@`UXT4R3z&zoPzQM~Kn#kFv`Z7fov`OM7N* zxvu#DqyKb4CD*foCu^4PykmdG$OQyI%kvI{)>=D5%7eWnKXN0T4n^!fzwX0>2MV1D zIxo1mwly_1H8mDKkmg`#+t!$u@SyCzK|t}bQ(Gdsj5ciy>(*Ux^h?Q%SI(~=tgxF@ zWyN*K)kr^Q^}2&4A>Na#Zf;IDU%<e`CZNE;;KslN0$nH6>fha$|0Di?WBvR4_dnlM zcK7Pp;TEO5Mo{^jQqJZ59r3T9KVNQkxBuq%Z(D0Y>lWkZe`+z}Syg|*a+AnW0bSRS z!bPk2W%tfKb2<Lz=JfOP?#>5ST%Zej^7Fx42>kQ)@2cpZKYKd)^SwQL_M~&(>t6m* zj{p48!`Vikk9)0_T<e_uQm1$6?l056U5e2z`ta(}tyTH9BJEEmGH+psI2jacbHRCX zL-bLmp!5(4d*6SyUmEY+E&jF#au8zJ8!&LWauozX2W4Hn_;hDk-nqHe&mZmFX}L4v z-PGcz36^2&rcUneetgHmVqI_Y<9j<So^6@C@AAtja}Qm98TZR>qKXq|l~?@EOH;#= zW^P(_DMZz8(z1C)<>xI|gU?(m`1$tjS>KzxGPjo(7Y7GwRHqpoc)-N1wZNg3Mev2x zF1FTn3v){*uDcjy<>mZR{q2<5CX?eXd0AzymhzO(T)b%QF11^$UN4m0GJ)@u<?}c1 z{1zQ+VB(N)XkcKF*r?>Bnm&2k_O-dqw{K1I&99!eVP}qh^yy>Ajy+S!ynfB}+x1!d zj;S2lcYB{p#~O_(HbpkU56wlh6nO8h`X{(4^t$5~f8o=YD}VILDepPa8`FM$$&U$_ z!s<6X^suYo`#upAFUFm-)`C}EZ<{_3w4J4`O>9!f3X_u+wQ`@OB6wO185|a^Sayj| zq=D6BTEc`WCmb|5nGZF4@~~_aVGZG6FkoO{6lqf6GJL9XnNLh9^zAK9*$$!bP?aEg zgQe_0*6ndnxp=`#&fhlZa-a8k+cN^aNl%q>*Jt(oTQS#cTG6Db{u-wHd~V$_l*^9b z|KIUwn&%0YeHU|oZjH|RIrVjo!g;NwE0(4noc2<2&zsD~gp_k<S2WwjT=?Xt!oNqH z|9H!p8AUDLJwFpN<rB{qepB<=@I-R@g0<7uK9)Vnto_nXqI_nAMnug#*&b_y_9<_e zBI2Ed@@0Bcjwpp(3|;d{Sx=HTl_kDyRpK+T_O+9aifI10+Iijj*{AG)DXjjTEYlt0 zJf8AjTfWXbsh{KMnU+)YADLXeKCkLh>Q99gJ<~Yy<&{$vUe4&8bX=<6zfHRLyr<{i zb<yrE+g+IFCe>~}>{ZsdKW9r@O2fv7kJhihzf$w_m*-O^MWwBr!+R!fiiPdQ?TJ#C z+>a#&>CMf({_0uCLD7bgr5pR3pH?4QxTH$LA$namt8qo)ZndKmr>>gD`LQan&TR{y zYUY!M7OTH`k75@JP4AccDYMG?T;lJW7k(>V{+8K3b=x)8TxM?RCEHKws;!+Z*Be*= z_LJK8Z)(SWn*}<qUg=@WnPs}fH%4%F=oWFt8O8JC`g6AKH9qm=W=if6rY#ClQpNk9 ztm#R0lyA77e7D7`?cc{h-$OGu&EOEdxc6`Mj(K6J%Zi%ss4BOL+<U&~fyOb}+D#J| zyN9jUp6YPnuH8=yfsIVQe$2AJR)5=_pK!+WzRLCPx6U8>y5j>&%Ab8G$XF9-86a;U z<10CB_S*{+XYc7+$dI<Q^Q|1Kc`3_5m$t@NB|H5hi*Me|Fl!cH;Bra(-=AekKApD3 z-=~K&?qrQkzV~O1c}KfYv`Whgw`Z-UsV<_I=d#=iKA9FJfA@~6-_-B7HI$#{mBr8W zYpTwC{<Hu8>Suhna^FdwIw81bmZ_!W)xgKXnloAV^I7Z9-Tf)jZL#I^uJcxfe|gor zeD-rcFXJ#(P@E>>-Z=Ht;|Vk4^rl?A?D#A+&pPLA?9s9<Z4U!xOVy_*FN^Z*+M}F4 zRmfra4x^p-WPLaP;=8h^ab<?p@$3lUBOfE4u`pe+@k(kg?(_Q@qmtb8I{wq^HP)dq zYuCrPHXokJ*`>6!!)a}J=&{aoJLg|Ib}si#T%m%O9edk?1cfb%*J~%8SlqSsz_;4< z2b-^b?ysJ?Dblmx`lJ^tU%pR`uKvdQ`uvf9yKd!wUg;>o=*0YOY2d}@yB0URyDP>2 zCU(x^rOLa$Ecs;jAhzv`=Pei4s>8dsrmd+BWl4Th);RA{Q$~dK=TnCcUUbrlR>_tz zPimT4?Y(1zpeRT8^KFGZPU#=^>S*XzG+n(B()EL#zb4=M(Zm@7iLz2vG2E6{()C1I zK5?IYdSbEj!f*Bm-Yrgg>i1E1bHs{=Uxe;|PnYp`jk@)r`SFM4`ZCYvxE<RWudaA4 zZvp4*WEB^MZ7;stD}4($+nBp#>yjdal%Fg1Dr`H+^t&}rxbn`)-E$MTRcD_~zxMA& zJh#BC%jqHarDoP_5i?r#{M>@0)4kln-lq9=K38FRcDeH9C+WUtJv}Vi#l`JWllQfx zO<ioQ@iwK^_w45ixh2uAv$MFQ+5LC^VEF7NkU9Tc!Xx#99VH@yt}Ap58z%qGT#~W& zwfL_~*D92P0`40-`s`ix)^F7_&y712n2$2NcyKz+vV(7h$hV2FUOTzI5ji!babjtu zR?~jlfA;L6X5V&iYHVIFr!YY-XOT(WLa{|5oww9kDr{GL(TIJ`tZ`CVs?7TCo6Op) z5-vQev#0*;W6WIIe!7_1vqyj7V%H<vFI>=?_~DZMiDetq_MG1=Qu}P{>?QT(#>VXL zxs21-H+{@$*pXUs%<xcVgymc@-Q|8e#8d-c>uLL+o69F@{gve?#{sStUS5LX8cxTy z+ok>IJf+>;U%H(ya{tm_nLV!C@~hZa2;^Qi_PnLPOE0>m>gBdXrL&LnO-|d~JpO-& zQMYlrQk>KICig4H8hGumsW2zjMQ>4faP4Jkl7U=^($p7n)%wfrl9vnrVBj;6Vg2~_ zm5k6^C;!&|7v1a6)~W^^J>k9U|5diouMH>LkF6_V)SYT8#;GE~sTwnX>7?|_bG6PN z_3ilFo42EbBQNml*2`ZtW7LhK@`7Cap6!m@KYNkINsW#6$qy$9K2%t=Wd+BhV?Ug( zKk8F+JhH|#abgFX-DakBQ?73R%A>h@m8($W#)?0&r(*6XgswfK{O`A$!m5WWL=3W5 zi>By2by}P_-|&dC;Dhdc&SKURozx$3bUdEeQ@A$blwPUe?429<o#UC7o(hTD{os?V z*fpUayUPn}qUK5ouiiUJ_vHDz@y5SO{_9_H;PMgax42l`eNAAqzMr#H)1i}FLyv6f zny`Dq57QOLOj$j$xeKr9ALZcO`q#q!YNN!#9cF9oFZ~L;WA}FTz5>g=s;Rw|GdAUK zP++l~cujr-_j$vwzc$F4S@?_mTV-y;@oib$BZlNDI*Wg-wa<&3k~2N1BH`q}`AI%K zK1}EP>)!v3Fu0pIb@s%(fc@;#b0)q|3ox3!jE(7CALF#C#~UXGb$-fUUh*nO`>feY zowN;^t}J<pU5y1hudlG#6_K9T{%41^^XBOL(|JGNh%R<+ye%gCywINc$e)mTSKJm% z`ZL)v#5zm)*15~c`%PCR6d28xihO%?+8WOk?ma753ym6^UZ2+Bxz_efD{w*6_5Tbq z?@U*}2n}EKNK#|VBxXJCFI5xQAGBxWWBRfA;`OhycAls_@jBqU#x^P8RE2FpnHCI5 z9NANo&MCj?biI*nWo$5e$q~cn5oLQb-w2;?ym#|}Q|ngyfBs)vU)<1E<Jj;f;ovPM z<&EcE`T2#eNlZ1!X9^36*}qtI)y|&dhaXrN27GO)`mkN1!^64tcaO@mo4Qildp}># zuBtF~{p0Wb<dW5HUJHF$trPi6jTRmJ*Ko>Nrq^L}&_v<<kAH4zmppm0`CDtg)ZFRZ zW>>to$a$&Uy{eIPwYElCXO44GYP#_!?UhG9_GCRgv_`m{UrsBe<k`!zG<7|*vJ%<O zbCaXk-1@iq+0Rs)e73$~OWT*QH7lEytI9Vqd7H~s-@LC;BxhyyvNGeI@<t}b`S$&f z&)oC$C`~!AcY>T!$Cu<q;jJ~hnOasGB+vD3-1|w$MQwxYgyfwUAIdMCz**1!azTLJ z;xD1STpiOGJ}*p)Rx-E|{r6RR;S}e;pQ_7`mu_18C_+}h@aX9p3AW=G7w+Q-xVxBN zUU8MGjJ4gN^Lke6jc2z1<q44aG3`X1z|4|g#p&BOxNf+&ThZlN5KES@uKODC?bmsh zX06B;+uM@g^XjGxC!56Hh`v{!t}!oq5PGI+<}}T14pur6W~G7!pLC9Y`mpopDMt<? z-r{$QdZ)`?c2Kk`vdb#Gx@O;l=SNrPRKKuP(dH_c@Zf}R_5bGnbrt20z6g5rKK1+* zA+~l#dDqh@(MhFW*BsqZ?!23411}qsl*s+dN2at~?oN|BoE!b2{MptOldRM>WXaEP z`+v2?aKh5ct1k=Ei&n2Z5xwD7Dz_Hrk8L4|zZC4&)=2tZ-=5W$u&*ZYuEb={M=kT# z9KI?kw=8j&#-;fUW{ER;FV%<CEn0T&uGBg^OYxfGOA7OM2D<HQmNsOw)ec<~A+xmj z(WAX`KW;fyNynYv;s17hug;6i9U0bJR~#^Hbxf6CmTG=L`)=c#+ly2;%gq<qde2t7 zb&GYyrGtqHUvsP1m&G(>_sE^Qzx|q9O!L79l^wGVObYOl;G4?F_4rpzi^0~VeV48r zUVKTbtLwW)#P2nG_SLR7it>FH`XRXGa@0!pZNC5ibvLz6TXyKTc}LTh85Q~^D;G@r z(~%}}F=~Ojd7e?YiI>!!g2JkhFFz+--T!nB+m&}$I<5!YE>Mip{nzs5=<2M$XS`0o z68{;+(30kmEWNtT@AFLEzow_RwY?Ota@`jc9#L|9$-)aq7A$aaE<Cf~_O6RA(H*<z z{;Ut1xw~QY6M^uySNA8?DFi(JK1=yn)UUGN@^#0vQ%~nl4Ly7AoU63k^*+}>r}G5* zM9t=<E#0{-i^2Q!CfBL=m3OX*W?#v^ZFa_k&uQP(EhelL=Xc55ap%LMg0OWjo3!em ztt(30^mfLIaMPprpS3VFH7nlvv&3bItfFf2nR90X{*`aIBk|@-eeJ*0+tT0l^{zF@ zCbc?DTE3&F-m-k*UzdW=Jc0Myd6mvD+I?QYrZnlRY>dj=Z>Q7Dl@o&Ax*biHGcefv zeD9OqG$E&_wP6bT<t*--g$h;Py*ShH;+sdw%>q@4I`g(Y=6@b9mKFMC=jydehoq;> z-LC)Wnd(`8uifmKY`!*4Q&0UXGIO5y_+xwVGM(vXH)nDu2=1%j)jmO_JB-z|W8&1j zJ+pYF&hdxWJU#wsb?DK%D<_(r%*;48Y2w8<@rjd`SFLzIz1d-9duWxcwO>tW$+^|- z)*?2WJ!kcv-f%$ti=#ja-<&9u9s8_YC0nH@uXhSgjlBK8;q?O+l?zADpT6$lC-Qv3 zPVs{8Z2kO>J~RB8|I1I=v4^X^eAjD(Z$f9v3Qd+V2yMCN7Lt90Dav!>f`ZlAA?H|o zZ*a!S@~gH-E;%a_^7Gha#*dk^?fKkR>pa&!Y?Av#wqfF3KOO_Uv*%XyR59DAg++$y zy|oow@NPoh3$>D$TYPSbhKWqA*nGM0<YpP=k2e48?d^nAzgx}F(p__PQG)9_-sGr1 z92)bB@-I2I1y6juAmib_^(#tJ)U@{<XP01|q?XIq@>h=S(bgWv6^j*i&%McUd*#GE z8}59NTfB)mcah5OUyI_!_Z|3Ba;ZVz<o(3!7db_y9v7&NHTNqrR^Ynb{#(^4@%f5v z-(S3o)s{ZVq1O^K?cMToS5EFL%HiVTc03e+VxEh+5dTWuZg!y=-wd7ndFz7Z6?&Fz z=9!XYowe<HsLty%7g^K`LzQRFTqs#Nk*VwDzU$X^ex7u0-BC}Ydg<pU9;M!OslD;C zYH|MRGKt3JTU0sUwQRa~d;jsXEqes_nyVCt?s5P5E1##6<F>(8uBiv+n@Ihf$C<Qd zW)z3sFS*~6I~p4O=czY6i`*=$dq8vdoZgSA^5u2CC%1kPUR<*!V8P85d>I=bF)dVX z3O^LH%KgRUPn;Tpc76}_{BEk~OKcALrlb&j$GwSZ#*f^_FA4J%uR985r9S6=rY9&6 z@~?=wqarYnEApF~qTAb({xhEE2XD!ZmnpaVcue5d<2i*9l@VX6&aRF)lbzIXe$w+Q zm4|wlookfViKR%q&scW(zLD0UIM2U-A3ygq%Dmf?7xLSQX~CyMrd&UQFKo9Ln0?kZ zQ0%GP#RrTE1y|Ef{j+-_shQ2fyO!fmiq+-zbfNcG=l%MlZhPn6{WTFEvpnX^O?&bE zZm3OTnW({}A0Gtf8L(bbJ)6m)!nJCJg=@&Ow_z)*TxJJJthl@>LL;o8y|0$1%4J4q z+rz0>`;ISESN~_ZrsbC1g?AqM`$NLiH)%W1tc>_Im19<%15csV>{L$~^{i>$|MiM^ zPh_uW>OFbxZ}nDfzM}j;a>ngaER!qF#hc4)_*I~*FPn9cOF(MoLuKy#uSU;>!X!A| zXCJKM$;h2h^ucY-`n_2O-dC<3QvA9;hf_&Utugk83FF_$zbSpb7t<eTay|d9(S1cH zV@8Pop0mxbOuWjrFa6TX{af?qth(6=4NeVtrvF@>8uxBmw(3xy>-`XetJOPf+@7D3 zy>IIH_lSL~%-jFVGX77LWj#`L$#Zw%BbP;!PCcKvBg3g){mO>08n;j9Yvgj5NMCGt zJ-Ig7OoGduy)(q^|BktyiKY2**3k#tkN&gxr)$1|BV^Gcfs5VukGrV+J@F~mM2Y{f zqpM=xBF67APFV{RW$N~R+a+FEv3Ek({f9|Y_ciZuKYL_ja8zhc?T<GJMipEae(o}s zyKvA{X77WHc6rH<KbAd@Nh{D7u=?=i>#qqX_1gZNtc~sSY-f<ZuiEiiuqW?!&cr2C zm=(YAMW3iK730=?uEF@-Qhe`+AIw6Lf{!0srwDwre12EXq+d=<JJjCA;T^Zrqxg`g z+6Ge(@BiD;`N;V6uZ3xoCa;;_c<O7grq;TW8LxK7pRoAf@c&R|0{cVuOSin0bd%YO z7ltaxaqkpl**o>xK93n@{;4j;57KnIG+#b)D+)T@vYyu_V-;WPs^2T;25s3<VE5^b z*_(4_4L%dR1@4wbzo@OZ)BNLUUdg)YL|I%|W17jPrDymyhaRkwi}+O1f985?{OY<x zr{^8l3Xscdj8;3Mq^A<{pkk52tQ@ZhHSTkVDt7+LT*Q-dRd~|ch2cz5O9e}IYfY%u zJ#?%)xUe{F%K3#4XQ%&bU?@HHF;p<;>^kM`LWK)#uKfDYGVz%0#DM35GZj_)PhZk| zs>QyKOQeHATX{zG^Ogy{?Lvtm%zh#dH<lQbb8VCD(o|rP4N&7?oOw$pLf}c&iA(|g zed|86ws0r1*(?j;VC#6a;-=zypK@!Kw;}&b9c=z{KC^#e`e+r;T(5)1BJ6I}?*%2^ zM$~hwoV~H1;m6U4?GHQI)BI1~W@J=+++Vm-XjAA*fmKcdC2xQE9%j4IV!*K|BuhXi zndMfxQ?K4irp<G@oup@1Ua=F~n8!b#_h#|~{YzmIA2e<DiLtB|GI74{u<hy$L*}Zm zo|Pg~?=CSEn|tIy4%0?~EY%r}c`H;kH7`caUa$Ek>q!5@4y*9n_3nED|6Q_d2np)Z ziH_K}e%>wC*D>h_Ki&GVeA&L0CJS#Q3G1B<WKU*Y+a>dJ=ApvdOj#3q_t$^fwV`I3 zSpL4JyS~b~hAoH^-^W?_m-l4q>q*nLwCt%?s4wXG;9Rh8kzB&#Ikf>7+Sq>=+`qi0 zQD&hgrww=DLvy|F*>0y5(zdKqp4j!i`@f;$VrlswdvyasO??hJYu(<r!tOPjUG=Ur zAC0$H?$1ckU}rG$nsd<j%iCYSnPQGHzU#_-@~t~$cDvz!zm2*_W*f~)mlUjfc=tN9 z>0OU?g4PY^+!<!}<lISJz|X;7CcDzI_(C)r-%jEDt8GViE#&Hdlq|i7`Pa&sp_0;1 z|4vCx;EP-tQCrJ+p*@Oi>-oH_)wOwl<mGEVsTa;X_<6>(Wjzzvn2MDDyQFxp`%n`R z+Gyo+QSG^-VQ6n`M5gCGS$VytuM!J6xfVZKFZC<I$HI4!b7Hi{8~c>muMc0ld-ckD z<JFU&R(;V5obz;2^ZHxHho@cY_Ah4_lq~2zvf<$*>zC)>CkV8wZz;OB`NXpM*B*6T zjLJ)zFPe8_?u4BAF&97Q{WxK^QBJ+bL!~=vmv`Y#|AW3E2Fwbq0$iGJKgS>Y8&$1h zzqw10<(lO=(~3QJZC)Gab}lrC=n2)E$hR+`tX=H<4Z~8&g=N=rPICFpeqP$#@=?Z4 zfaTf0@>Z?QeA=03zdz1feN;`beN(!0(b`!ToiBf~K4H2;?Ab2=eG^~zw{J4!i@PSe z@x=$LoKv&ssx_Sc##ZrY#j@-^!6Pi^`A(R>S>43T#_x9RX8D6->u25l(fDmr{_ed> zNl*L#w?7bhygJx-8q+t2#}RekI%A9!8CSS4#GG^fBe6pM%&e<cvh#{gpZ*Xk{Pq9k zc|0tlJYkDMFCKUtG0lyykePFn@_*N2XE6=mS=Rh2I*nU61o=LkG+F&>+HGA&$BL{E zPgY;>-RIt5r@Aa4N$kjx<(H(^-`p#HTwCtl)m7ggm#RNq)FL|b^7`mprwN<5b)5IU zt;<V1zEegy?3DT=A-M->Cf;4^8K?1<p0%ARnlp8wD#IcJ##J-qcK-dRE9vZgk#$F5 zukc*w#FTe|JNd8O54WEF?v;j@*3qx~PcxXW`H=8^acJ)MyRq)sZ%#F|crT7myT9ka zvToxoTjIk{R?J!ZvdcoQ#p{ls`6d55B{`CRj#Nw%JI2=9eJ^L*QVy|-$4spp`~Pts z=HayR+5E`q-`rn!7i^#P!1l+3e>_|JcctqtVb)?xSaZWZ_Q;Kh%Nrf{EaP}%cW(0X zTXy11GdLo(ufF;*;bXZG$K3T(4{h`Kul7LachHjvtFHcg`2|lFTRJ>yT3Hn^-DIBR znF;OJioz<impE&NJwCoeZT^RVYY}(8L>-V2dT-Y<X?BTg_v6r{zmxk<Rv6z1;g;c< z|60PpWC44RcGjgMWeXp+b?@@~`{lojn{E=fl<~csw~OC9Fm25`Z)JVpw8q-C%Y-_m zTc)sx?pI*3-*-K1L%a2y)R?-pWrF=b+OGBIJMO(&#rdanS95g(v%)oI?mN34?|3fv zrIX3`%S5?V&qIvUjwr`CeaM?_pXqOLiEH03Nh5aA=f54o?=0RTVm>#V?YMnYm|ek+ zbauhC?YVj@dvXpI@80JqdhM~&4u+c()7#EJSm^a`SH0_pZQ^sSl`jRo6*-Z8d-K{W z+XCxF*7~IEI&i2?#rxyLPYproQpcU5Tr*^oWcd`<b@4t>bUG3d#wlz*dtzEZ>_XP? zi#dE>erSb!W#!Vl5%8)mc23-nTrIvRx22yV&c!jmNP1B*uc|IHSgGP(d$YZoGmrVs zJFg7Z2fel9m%U-SwP=E;_tA`!=-6q(#e&U0I+j+RS!*@(yxA|?&Y$fYTmOEkO!&O% zNWFOEH^+v@Zci8e$qw5T$|(A+m~ln%w5d;JdDh&1<ra|bGKuA6L-qvc>6<_1+&{Os zqf>U(k3T(j+m`hOPWdU|(9Clr>#t<u!fis|6f0%AGqm~j6D2LTZg{%u+_{&H*B4jK z@_BEzl1WtCq#|J9kI&1t%;U|S)_8jD+8>r{{sr<m@NQyF4_#~#v*yiKff7w!#@Cao zTI%&1r%pWZ!FBhfLPu|PDZc3&OJAEv`dg%0y{w9xyyuSVi;fj$JvptFD{qA)n5~SJ z7UDj=p!>fekNNz|_Zhz#H%Yg5iStfKJbn4U_xrY^FLL;k1HwCt`^E3R6gMpJ=yhLg zuco%kP5W%l)t=yla>>rga~fYIe7a?IDOm8)ezBaz*88?{Zj{|oz`H6{RO!(6FS{Sk zkhbZ}iJw)Kf3oP7QuzC-&L39;tGj(8jk?_*Oy*rabH}62tF76+*+Z@FS~FSgeY(W; ziKnVhbET>Kso9x4S7oNQ$?d!HV2a!JAGQ){YuH6DUSVaPS}B|v<m4Ha>9{ewd%@(& zec9gPmoG&3-@5TgRdn}Tp35qe8XsxxJ+yK+=cn!kE{ei+uQ`_`JQU~&E3S+=EBNI? zrEEywDfNAPE2r9TeZ1)3yZ$#nd!OyUXZA^*$=`6voY`s{g)bR;^Qx_LS|M~;OWNT} z(yf0hb~U}KUfVg#Zr8*q!7&s59)DIGu~GPDD-Uz*g<a1jvjr|(XkUBz74vTAQ#X1q zy*Rvxqq<+oiGLbb@XW{RoL9ojvIFJ1)-`Xw9dz)<2YKKBZIky*oO<C@O6AnIJP%r# z>iMs0de1(wn|bE7N7Z-IdpueWw>=LK_nv?1Kl}4P{rP(>XBw|GSs!hudZh5<mM^6? zw__tW_3eIGqnmwGB|4*Z{XZMIy<09Nx#}D^)v|n_5yym;3nv%)`z}2fyM#rG>snIm zxn&As?ze-Iy7lFa&b_RYDPH%L|M2AeRsFqeN-WpgUnhlD@4n=BN#aRuo^rUUN^QhF zvHYSrvwu!m`gKj-YOa+t_c={qKefBjg8S=@lSXzPhBy3wFJ~?{`ntxk+>+DZ?Lu%u z_Wb@WjCOZQOZPkt*`VBItkL2=>9_T}ifqxYysaNfw_2UmnQQ-fVzhujiq(VF7DY#8 ztmfsIJ@WGA)v)Z{#iSwmXWqYsU$@r?`WmVxKRxEUb)#BA%!-{xz9ydK4f~Y0NFQzZ zlv2EX&dek;Kb^l}ydEDSe*DVTkeu%FCF;a(q1AbZ|L&OdUd(jbw)@AfG3_d|o5|y} zYK<J<>sjsF0-cMvF3FUfF4=3EBHAk-7x!^d--HI&GlfsLRjpt-@W<rC4*AR*oV{P} zF(#Fk7EI|#`hNWKtv0I!LAfam#i!Xr!;H&R7BcUe7Ii1|V~^cxKlv#w_y62{pMSn( z*1F()CD*oAS>;vfXLy$St*F}6c6nLxodbgFQkMm9_`Bq|otFMWuZ>I0uh;5diOf(a zW?wB--_tV7Z_0j$2`*t_eHoK>y-LkKJ?-8*^%oabC6{S1A8PE&s*by1)AD&@*}>%C zTN`Uu%@60FI<e()FvHD7YdON2rQdS6lo~yVX<ItcDaU~+$D{7zor$8qgqLk=e!wdK zl_TKKe&bgg)yhH*CyLJATW>R|+VP(54#(_&<)v&s&3YyOr`px4KED*ke)RX>%K3rI zxSw!ZwfC_r>)4lkPj8wtWexM0XvQkGt5%T?JPZBU_h&s`Rr<y*ZN73(Q&Addp=D^O zC<DWR13TvlF$e_u?%lz^Z*f`D{&Pncr!0JbRI}DE`Rkol{UF;Z2b4`~s^9Mweb!?5 zx;>=6#w;jMSSi?Jvh~Rc%uAU&nS<6{@s?bCL&JPkZ_wg<D@<Q4F4(}5Bf5a0d(LEQ z`%k5N-V~SIe81=1^Cb;4Re7&?I>@g0e_&E(f)VQ(orL}~I@a}l$>qxrIJ5Ifo1`3I zU<H9z|9Q96_u6sJpEs}T-<6cvXSd!oyPvlCWXd|n@KmFj>vnDP^OF~_JAHkLPW@s= zUYWB+lZ%-qH+Y?0v^Y{n`Sa=9S59zDfA`g7-m|CaE#Aj(7#_P}cny5#m#>x&7*xMd z_kKNT*A=~;-rnBcYVNA4;hCXXQ{z;_JXao>F=dOI>PFAJpxIMGRFpQp=IRtwa%u>e zXmY7rBxj<LkkF)U4QvVmOx+wyeoUYKQ(|6y<;?j9KO06ctC>bD_;>om{Er5f>R&(V zU%Ybsw8!UPLGn^Q+Y>;ig|d9gh*dOna_LySc(Jmwkg`h)Lm^|=#EBCxZd{R}Thx6| zY3mAIEiFT#tuERVy_X2>b<qjr5-eOH8`XYgVfhK!r1my54(1ca?;l0)@&09CcZ}_S zk}I3T2Sd4I^FQd{W9fC-Q6v7Yv{7Gi|BmV3ev4UG%L?l4e;WCPuW-em;vQM+vL4yw zp6%eZg%^r;#^_BK2dyP(YSLi<A7!<4L4xY)kg2OLg=)pGy>d$aYifPW-^KMcH8o-K z^7F%fXUw0sb@_7ltG2t+cT2l+FqL0x_~n1}2Af9Mo1b6b{(SlM?VFlYhCGQY`R`6@ zTJ-aq$;=t|&dr<kQpM`b-hX9f<!|1-d-qOlg0mbLOieMG>7%9(UbyiFyt3xSn}xv+ zt2~|v&OH2Vi>0NdrP^`v_M-<Q3u9zt<_ImW{(SGw26J<BO>;A|8*N)XH{NuX&nsow zsn-AIoZfl8>l5z2G~k_fZfit<qua7#&kZ*7mUQx+_UM^te#7vX#O@2wM7E%a@%j~x zX49R^mOXQcHRbg^{KVt)r8Opcy<UeeZ80%XJtkR}wrp-?`ZdYYv}GPsGE-wD!`!A; zriMw*v@Ln~t>B91zK{o*1uoK>jVbBtFITwdu_P=ztg}LadEUOufw|$+)1IiT>Ryr( z`2AFJWar(D$;bQd+N!CkxgCEoL((|y%mw2wJQ5NT61<BO<KnJudGRZif38OIS);Ox zsXNx6TDP|BqMq*RR}xmoPH$ViI!i+8*ltak)aSkO8h#lQ8eh~gTy$y6P;_kgbK~aM zJLlHbzL1;Mes5vv3mJ>DZzjR--u1OQwQvY3xtx%zngBlVa8o;93MAce2Q)A+escZ+ zI_XdC_)bx961;fs?Ae{g#mAHcx!d)a*i9N3xfvW8<z6tb^En)1S6=a=p;=fXY{`MD zXUVpov(v>NGPBBA72H^$c$n2-Ma+f(MOK4XUj9>-Puh8Uagf$=iR3qZh1Vu9GJo5+ zZi0lO(vern;(uQ(KCYEg{l&oYQ$Xd1h{_!{6(2N&3d7#dGmF&@$}o7GdU@(I*WjgV zYSz8Tf4XFih+h2br<?D*-q`V8DdykQ<m2!5B_HpTwJrmnT+1gbruAU5Odg-9`|RYi z2cK0GKQXX;bfEF@(Z=MXjm+&IkM&BM-;#dE`}G*FbXNA^ts8G`NNk>a`obZXqbXN| zj=If%wS1G&TkmC6=S3DT-<aGJx&d^HWsHpU_hV}dvtp#5A3G}hFyO(12M_LDQ;7cZ zc=pBImJR_0y@KN6=2aKOUO7d+;PP#JwOn>ni>T%txm7G|enF}(wjp2U@7uX~dDY8# zY~J6NR-V6e(^TTziIVd_{8rvG-g{22>`mXs;0Ftt+j*so5&{ApbzW_=Em<@F*Ut93 zM<wh0mcOo<>!&UaTJV#$W?@$N4YvDxuC3S@_GRnVty@jc=4}wN{I9P&v*F5=S4*oi z<7c%$oEWG6wR)NAQ|+fy6znyhdjFL>xp8;0um|{FInz1UmaWaqiHe#vYg*g2MJqEh z^rX7KEm}BtXZov~k=5JgZA?#3OIttlf+_c`)N4AX#>opKVxRPGx+b5hyEtd+$_)%3 zTz9VGZayWRx~gXC#-h+|ha`3<_q3*hK?)dDzi{h4e(cW1G9TIK<2N=M8XCry7$!yB zklxm|GchY7Vn%?*7Y0=h`HBk%CupZ`RzH>I{N^{u+czf|7!EY?Sgky0@*qCJ>~u<F zq@=E)*y=m7_Mm(raYOFcb@AV#e|~+s6c-nFeBJi$w?VtFt=o3`?JF(AqOCD{(@z~e zTC_JN#`V#)Ytss{v%T{{3s*BU-!9CTk)LI<g8gV`{IezTY+s)&VLzo~^~*YN>Y9?} z;m_uzaLtIE_U^0w`_Hz0vdPCmhe}6AM(BuzbuUdy+#3-Q9{%cTb?Mf)o#EkOVXLMV zY~_mD7#0?qGd1dJ*v`<<>KBK~jvrv?61Gv}nBds?yl{Ex(<YG#ssYVQYfrXU&fBv^ zU-|3&WYDRhKbpNKcu6erTDfA`jg>1`mSvrKqVd&hWzd=_SES0~*}pEgzPxySao(F7 zckkNo$N-&@tW}S60wZKurC-QduDc1RH+P>~oE3h8?RLtg2$iI_lM<FPbaQ$rw45<1 zVv_!;wBPw@Q!AIIhH%2OjYlF<tja+4-!MG(quIM49R%FLAf5m3#C{XYXBmkG>f(Qk zy?R_971;CbQfBzu%Wl)_Abx~F)}>vb^OB&XQc61irp?_S3g4G8%4&(kz03VG`!@4W z`Sp*Vmz9;5m-qBqfPohHB4KlJ&?cLl9Gxp*@MdA~f<~uiw%$eZnQs<e-e6*)#oK$b z5LBSvnK`qxZSKs8jD?I{J$k!*cur~YY;v_fw#I((3r%}w@VSX;{IUD@fWVuD(pMF^ zg}@bU&4S~6a@s*ZK3q&@?>}?KKT^y2>9YF2eP_-D_=|0tQlFZZm$u?h%WNNw@}9fC z3R`(3jZ#ib_^9_jX`vI>DHf3pyXt!S8JS(LYWK7=K5m&BdiT5k58;TbvGIHUKZ?&N z?PyRCV73u(E>v2&%V^<aul5K&8Efl^Sra8aR{lHIy|lsVm)Sqxbx(3n9DVzS|NFMh z`)BXoZCzGB)%>CF4QKnvui7QeS!tKcH+KKii&`j^vN`&T(2e|wVt=D0BHwP)&GtSm zSEU`&oSk+zy>gl?IF0XU|96-v#$)D|EkVnActVWc{t1vhDgjD57NI*680Ryrs$vz{ z)!=ww5%VmW|5x^Z^Z)Vv`}c5_M^}R5+qL-?KvIB7*7N1h&aI4!<`Pl&`SbbezPDHR z{XAb@*PDL(=Kf>q!CK<p%Y#<NS8pxh+8xoku_Jh;zZED3kN-Qk^u==!Sbhn-lDxbT zX~m{KE9>jERjcOByVn_a)n-mQ_)cMWm8jT>Hj|XI^>*1MffuT33xO72HvN3}Zru(( z`!5y?7<nQNEPwl^|698C?5Pub_ue@7&hPqX<#{ddo0UH<zx>-~{aO99o|A)A%B@P$ z{j>jMKK7gQg>83okFUbpH*el-nY(TE>eZJ^cb)4HRKC?=wy67sQuPb<iy0=T+^)Wm z>b<lURG+YPO!wPe;$&rIHLdTQ#}m~@LW!L#T%we;1(jSMO;CI{q4b3H5?P~^6LP=g z{607uGjdokaLV^QaE#!(&Q!eCz(CMOtK4$REY=Oqtl-^2MW7Y%h2Zs_;03GddFyAt zKDKLXUf!zN(o<S@T@b(Jv`|ar*0pQLwryRRo11%N`R|nZuRhn>-G2UA^_h5P(e@i| zyEBB}F4WyJJ+tT>r^<<b9%n6aoe+;q<>K_20+%B0IKK3oRg(0k&+wRh%pAYvmtUqN zCnqPb4g_8447$ELyZVKCR@SPh)z4O~+?bWM{`9t2%WG$y-u8O2?X1(Q-p>C!)7s{f z4agr07BTbrEr^(y#GI=jIOl>Hs9kAzOl^Wl#ft-p&22mq26l$Q;T}B#f=n%|qZdlW z6i1b8PVT&D_#==}ghkYPXRXN%dr6J@kooiG{(XIG!MVGK*7E+^bKAyx=jQ6!H!gFQ zg4&7Y!YA~>Yx9+(!DczB7K3&@<fvLt65PwXDLFYgX-!<Kt2lS?;d=(=IyybP+|1cq zwPFq)0A04QZ{N2I`;W|K+jb`JrpfNq_c?QW?^sHw-_Mz~MYFVO*%@=0+id3^+Z<na z(<CPE@|&5uo0CB~e$Sp=AOK!Yy$uAuUGU$sWlPxFFz>6EE*V*-ZH$b}oi=Og*R<5B z5*y=m#Ji7XZQQV7gTcj{tG}<>TeN=NmDN*L&uxt0RSf8JZ{SS{NID;J)4<w#Ug7o| z>o;1L9lyb1lmV{3T33U&9B2frJUFdusa24#FXzpb3#_LuUlz2iucGw`hoGW^Nau~q z30;mN@vFWl^*wWq+t%}TQ*w^PZx;7rtK-GX1^uUAv@*9YUTz<(uynEQ+{^&4$(KA* zmw>?{5Lf^L;N2y&@+Rk+&Cc4KYc^AVa_TOhsV7s6=FXWjCt~?k&(fl-tg6{p)V5{j zX3d^upwMJ>w`}9K_%*r0W#8T=P2aGY`@q6`&jR!x<-PloK6|%qdG|J<$9b8>JsW?s zi*wnOJlNpq%*Nvb2GuV_g91Kig*4};-BsPYrQJ`bDl90-$P&_@xissFTCLEe?k`F! zJ#>YHE-4E_&KTZOEj(lTs|i<fr#T$3-*2aKe$5oQrLO0;pZ2StK7CIAk(o2+o!3w; zdj5Xzz2~(;%F4=%&8rqKTC_<2*CO?*Me@HE+I|sMR1AM18q^xKFx2D3lN~A3-v`C+ zI$e5GZ@TKS1?DGsxq_OTnwq*?6vRDPvl`<R#4=c!ZaIH1SuT0xhKJmOBaL_2`pci3 zczpNmo0~70CpE7)vSNFloU$~F2$Q1#!vvk~m5Uig7&vq$q->aT!bPi#$tl^B=a7IR z&v6BY1cnAi5k|uk21gB&#n!ysw*Fw#4D(I<&X`Kf*|%8mkV3Yu^YP&4F7X{lw{uKW z*XV8+dB6N=%-k<q-aRnod;a=gWGGKv&5o&;C!K1Yv+L8IZ<Tt2W#*?o+C7}P=lyHH z>afz6ZU%iUcQiWmMTLbnF*M)UcxggLr9fEYbBD+`yNoWC$?P-Ei@5${N=t#ET$Noc zL)<ng|6G~99g}BwKDh59wD5}IlZBVldbRH#5)X?{|G<<~T6g%?>!ve;tCE5{^L;)v z>X%Mu>@gI48Ez-Rm!I(9;2P;$@lUuGF&f-wo9yt`_1K4nHqp=Z`?S@c&pg*7vqS1Y zdstRRljgVE-vb?11f8AFn)1Ou@>J8E<3HDQ%zQduYo0Mj?rHZW%ysK8Ra~B#?rkD? z^wZ3kJR=t8men2C4s<Qn&V1B1$HXw)N#NC)YGpsmqm!2#+z$%-t8i}ii4TQ798c9O z)B?5!$vsi_V@?Q@4@mL<uctbl$uz<;ShBe4^<r&HT@e;XmJZ%2Tb`c}UU(=`K}g-; zQ-I*skFPh}n48F-den`Jx3anBg7R0TFMWaAT&)+s{upp`+qSNZ5bh60TosL)gT3z` zHMZO;Hcw=k$-BRutWx*AO6$26sNXru^yR>#0AX>4$1I`-D?dAzEipW&w(a2&CiP2A zF=Bx~-h}ik&nt3UaB8}a42Qe6g4MQ^LkHJ1JFh4_d~qK41OvCp4$fOP@iK-co_7k3 z>VH*I%3{LQ`$%fW#(xU>)*t7pEWLd<<RMdW3rpMFkLgaTa~7m6UgjY<<J4uYA6z%j zym-W+c!qJ$MJAzlD!aC1NIY5hy*1}Z<Ag<jcrV=MY5e_dxyO`h=Uw+t@6gZZtIh8^ zP`|>tZc!)Ky_HF_GGAXiJnIu@6#g{#`<n>4gr^-=mvgk79i57=U(9X)>fC>7md*G3 z+qS9R3J?ud{4{(28iUnmWExC^4>s+1xTor0hn40vrs9i-(k&%;<35Q9yZQ6pyS(dc zzJlW#>8SW8Ogw(~FG_q!`WAS|tI_b=%$Zj1ub7;cx_MtU3z=bOGTVAn%eTABdgU3| z#6xNYjit1%PFs0C)8#_VOOwYp`rY@sU+&p7O>I+Zw)eYfzmMy17M;zNcKh#V>5yYo z9r5t;rmP26;YTMGZHg;c%GL3RRivxr|DETr-hWDZyWs0#)fXG9(*s?757};iS;Nu& zUQM%$@!`DPai8As<?`M$yJUD+$J;;Keu9qetlGE_R^FSB`ku+qx={6Djq%A9dk*O* zu3_J_*QrQ%!I?+rTew{gw>@k&YJak*{L4h%ef809@nNim)}hG<AK1p950w3?{V+H? zL~UmIryYi-n>9YEGfCM0TsP&#so3wGck2E;oKn61<dM#R%tiZcmS}Q^y>D&ncAmws zC&(=C-~JZO^t{-O+)6zr(;Rh}>~7aHTc%H}`j(RWRM0=Z?3wV4g{+7AmZ_dv&^qB& zPv6=*E0_QJoBuH3ndIB`m$}%N-_K}qytG!c{?X?3^>U*1TGv+hO}9&)IRDH!)3?_; z6#WWj%w=6KFFWNtV=G_yVSU%?qqVOMW^mtMxp(FM{cI*VPuBFDxO~PW{#SsU-{a3S zCYYT+u`9A=b?Jnz<Xme%pR%57lTCNc68lkY_vLq6ii7}{i_E&Wr4~0`xf2wB#^+vt zmE~AbEc0RFtN(64?jL0_lfQo87t6x^40388Iz`=-t2}}Z2n9YbJ@)XKR_&VCMUmI8 zt}tYDc0RBtwRL93TZ?}eBwTJJ{+=fIVei{|6@l%49zXr^WzV$zSBitzm+58~?$YD& z*yLE;d2*Jcbr#3(MYc<BtcknZBHhvaws!tR6HUhNJVydV+AIA!gY+zJ-&oxIw~qO7 zmTVID2B}3dd%FJcZ2iE#I@El_%`T-Lfn`@acFuAvDkx+~y)gg&p;_Kj&U{&0^i(rn z_s67D97htYd6)Cue130!!bY7v{s$|rYc2KS;%|(9U|rKc)p@mJ>CL}A8sVG^Ryd|U zWbEHEjWuA(M$1c0Z+nX$&X?odtnlIKM;1Mk(sem0$v+-1UU}=*D!U0Gn|?01(eNT- z(T%Xy4bFbLTc4`vGH$(euyULKq^qJee)~6NyzR=8aO$YYFDO?(xNtUSAHR#Mn)#BY z7LQN58P8w6Hli(FW%}(h@th-pr$Z#~=0|6D=)AYSd)EAbXz+=pPOAR9*65u{Q+&SM zs$TSC?zF;$gPM%C6R-WWJ*2T&Byg+$a+3?w>ZYmo88Qpr|C7kKdLHMoUqZh+wlOLA z1TvYPT^`sZb#}Q%r&LDLF4M*ikI34WN2W}P%n@2Y_0hUVNs~`UY?XQX#PzB3p+o1| z>rS?0<et(Fep|Wl@bO)5KDk#_72LX8|I6a-eAmYZA{%N~EO)y8XUTl4Ppyg1LOK?| zVC*!B|0FqGGkp2mJKMF&i>`5;3BLP$yYbCXd8=o~OLn~46+L6J9RD1n_?7FY&QhJS zpQE?kb>_bN-^$I@nC#^K@n+g?Q9in1nY51R`BIM9#Vds`_?Vq}Gv}hP>N!=*35M%9 z{WkvTtJij_;!Ic*wedWQ>y<b+i>sU6k4&&VJa6xEXRQF~X9{~>_?oJ$xZu_hA+jws zR;2Y&i%$ENI@yfqh111W&NA_I4eeVeS~|y-eKF@lmE9d{Zgd=e#d&k_^HV9)!d@(y z^LP5^4Y7jU3ja#^&dL3(`09M4SNn`h$Mhp-!bNX=ezM$u^Xq^`j2<gD9`4O#ja+br zUBBkplAYgJbY>^Ycr0_M^gYV^Kvrkg*6sUiDk=_g99GTv9c{vJBU5PKy<jiZNmEUN ztT^w)6|Gw%H#_i8DXSrWxAl^by_bb%JKhjwFg@n^u7GLtf}`7>*1lPyv@hWRkM)$6 zNjy=ii)8NUXl>BTh|F5R`_kj?hs5=YEi7f1cPF0LigqaqlxIn^3wXbo|82{?M@2~m zHn|Na7f+SsYd0@DrMDuZarwO$ld@krCe(Vbe;1tUqx>KzB-$)Y`RIe&`PI^8ZhsfW zIY<AqIo83jVEW8O)e%yHDGP1&=5MiWo!Xkm9m&@eyKe9F(#&wdh|q+?4m&M>eXmIj zXR6@mGMX^&62JI_(32uB-1H7kNn|qh<I>R<b(G(f)PK)rYxJupXJ;O{`s?1=j-sL+ za~>|5y)^JgMTyP^R-5N{Z2oW7s0rE8Eo$5%V0?bU7S$C$w;MQ#J!^C+&`X(>!FaS~ zZltE4pM`oxZ(IG2EXnX|yN_m_b$2N9OLH@v@yOtv-lti-R}5bmz1+m>9^l2WWMvbZ z(}gQ_Gc$iTGDyfg;Cj8ZFKCWc(NTvySDw%$;W{l2@#e!DrummSJ-c|k*!Jy@<QryJ z;^u|2+Lwo!7czJHO6Z*Zp5$p7AlAdR@KkQmK8|G_POWnk?<nd>e4e2-_2p(pwx9c4 zbY0Hq?^RxH`FB!Om~<+4l=$@5GCQ2K85f7E?+z&~`(P^+9DXI@sfcL0yYBkXupE`< ze-AF)J$`t`wyh4c4)7aYlY8~?^XkiszW#Q%`s#G~n(p`D+3mkht?Es@{FUi{d_%w2 z51}Lfm9nQsvsPt)3cb6a^sn!ax>Y}!Q?rzAN_#zD^P#+PA@}qrJ}P=!L-un`Xvxmi zb!V>s_+o1C#5?MoCJU<>@1Ebjx-;uTuvw+fByaWIbKXqiU=f+V-Gx!#@q3TbcAI?* z?iDZ8K4&P{D7D4)*|qJtkzeQiW7cB38gP|qd5X|pPt*N>_0BB&Uz@lpEJ#mmZ}^WB zT(34cXMYvfI#c4P9ibDva%t)fL8ZW#Y}wNHZ`)`H1@*0pyq_1LRwg#7#me7=yZO@e zM#*V2S8}SJXxn8v!7#8eWuK;!wfsuvN1v{L>N@0;v^8*vt50`*u=$BsGh-(<Uh8nO z+GTFsCi_Mv`7ih79|yiK3T>TXU0VCQzxU#l16?9U4(<9ZL2{;Yb;~=?YAdbZ>6NUo zNmpEJpZD90i@}T4lU=!f#9GMet>>4{Sg=-A?A^T<?yR~UE@6R>J9Zm#nNGCdAkwH( zSX*3mZGC4-+2-lKKN7z;U)}k9lXQx3z>bgU`4iMiG_5B5GvyBOeh|dnmmrp)&px;R zvqPsz;K9yCpXIqWwuD^nYTqk&`&YNypS2y+85H*&5dN{}WqH;0<cL#u0;Xhq-{I0A z$}jNRWqH42LQB`q4U+b}uQHo2v0Z=N?WnZF`giK0BUAnw>^!B<dt&`vt?3)}{tG-m zdBag_MvdF|OxAX*SLc*IXD-y;ceHQG+TSO>cbr<%)8L?$suIO|m|wcc%_}?jOX=l# z5lf|vU&XUe%jn(6Z@5l!j#8$+z!gJv%XZFlEn>IL^zHZ)S3BJL%8;~P!TH>RrdeXW z@dAv$ckO?ut@n2|`@6z*?9RStPUP7q&sJlLe|*|~)3;Xvr>9gUZAoXGa{aJ)>zCJy zs&|R~)IVT!>Z+;X`Hj{-M~@qwJ2B&MduPgma7*hm*EAcJtg	o@Zx$^8e1h)qOuc zpIF`bhVg0HJIkAC`)2Ev|5^08?u4zy>mO3r9)7#=^8Hf9q{>LHLv2ysjn{SixNofC zx2pCO*88z+hGoBBThxT4$0{llw;Y(()0V&f@Hf8w+ZTRVmMnWRxx_ehYi)Iu^YhOs z=e3OHTRf1Mz`9#Ya?-VIZmB*yuE~;bpC#Gq++n;J`KISP`&WaWds`L%&5g>;jh}09 zR4m-7)rg_=UVE#?bd!K98$z4Z9wgZAt~Y!!bEem>z>TcT0_rwbFPw_lAol6PYx6h9 z4C`E5W8}JoYnESHR3F47pTHElBfLCd&!<XZc?p&DX{`Hd<r3Vwy`nv=_J`Xto|qXa z+SonY^00MCuVC?V*OK(=*jJ6re_2D%?v-QWZMgMBPT64ERIRD&FaNz!xv6Kil2+J+ zmr=IT!3y!~FTZo#cIe38=r#7TJ_?rAD<)YqbxykIbm(kB*7_H|2NT81r#aR9Vbwmt z>aWgygxmhtE-&lZZ=W}<-h5W&jZ>pKzmZc%aA;A}2SL9?u2-A7-^#O2U9akpWFFa4 zW_j`L^Jg7%`&0JblbR~G#cstl;Xj679rrG6c;-}hq^-<%x3%r=90h&}cHPh~@vkyi z{VQCS3$34|>h?;P+vQQ{y84aY9d1vI1cH6y7khc{o{*zsY4ISiK2$;H+oPo!okk1+ z<$uhZJc|mRmAb#Yy-wl{TX)7Z-tsq}w|$P^E1l(#YpdhOzs2mR>-n9Qdkg1$6-^3q z61+V>WlJB|EqA6qGc&avEyY>fEGN%>T{vOYH@mIE?bi+0_xFAIGPNw~0pCl3VkZls zrizKlGrL72C!CE+S;#CObouXA_j&snZ*1?bklS*>y<;P1T(!=-<FC&()n=Ps^sAV2 zY>T$VqkSUrnt3LNE}Q(FbRaj#CBV@-%1Px_&9O-@*st%r{>S{`dw1Kz+9$uITi4ZS zPut&~|5SL+KfRZ;`lB~L`O44Ts_D@yef#hA1;U0R3F~7uj-D@Z{dY5!>nsCPG5bWO z%^mK~n$<K)M5;7h-TVu}{sox3pY}8U(Ee=xt^!`Woy^HQOkZA)RVrl<yDpV-alh%B zH?!9(i}>{JiFp6jK2tpCtg(jU{@q)TUiw$EBeYax&!-GkbqUq;Yb?KtwNGF?%k*38 z-cG~w`m^>PTJP}WUi0Pb8HOQOgZfW6Gl=|i_+C6^N*DX>TVI#HeYX6ay3ytBcNxBO z$o1SQV*c;l(wx>{!*TMJ$gU#BgCURo7bXAD?LCmj_3YqG_Wu%}JAeIY{Mqht-O2Fp zrP_SWtY43V?jN6@ICX=3OyA%7xa&Wy6L)K#xP3EN^JQox=d9Gb@!=DlH&kxe9&U5+ z;G<uUE^w$`P~p~EqMEK?Wq#=JzRY$t8KHUGKWH?&94%hBZ;7wu?~<8Y>I)^WY!(t0 zQj|ZsHShCv{Xd53@^>H1+Z!_d&*WvZ56Y_Ue)Twa-@({BZpG|#VisIlwCSqbf3rqw zCXKHbHhi=ZbUB#q=_=^Faf8N!mD%3?w`v$Kh$?({*6{1UQa#VehCw5*eAds)C#S#G z3(P$<DOZo7$7!nRzk61<+JD)LF1UO9du70+;Eun!OP|Rsh(0#`<MZ^a>iOPk)60Z} zE*;a1DK|ghB6|F7;=>o$;+ax53o`b0Ts1Otnzu68X~IJ<pPOykma~@DA9HXokPPUr zHo4BRQA5P(?KEyFE5XWlM{c;zc(zW{{Or-2Mo*Vz7JCILZJ+8<yr*E*?*d1alV4Yh zhu^!FDzs+pocOQzVyEuCdt}<HgDU@uSduQ=Ox;*<`@*x%kJlZ0W?id1m~ioxl1io4 zji^S?3%Wj1l59_`k4Fc(`7x~&`Jcf1;l;*E!K7cD|Nd>Ay0zosQBgBD-i5lVjP@~i zU837h<#`|6X;;g6JkYLo)%x!%C4OGNe>^BJNPL;egyRPM?`ljQYq}O(I$(VxWESTH zMy)83?4TDOjtml4C2LvEE6OX_1bcJY>AGor)GO*!+-i{!eddt6n7;qd%d2%*POQ|O z@@k>!s*<OCZ85=G>E;eQJYqh4T$)xhQ(Le0usy5jVwom`NK?`C(Qj>zoc`p(X#d5u z%*p5C`Kh0-bbRvQpVOYTA|Ta*W2N4zW53qS(QjYidi-ObX1bx%>PeX=FTC0m`1=#L zSmE0zd&0c=&+qGa;3mu#^C7HN>6T+)|C^VMUD>NySoJKXT4&xiTK9X`Mc?&l^UuW1 zTh3*Z)*;>%Hh0|>0})PbMxT@Sw?<B%>)N$oY08YQ1@CtB@Lrp3v-nBT!M)QfS($7f zTCgR!ynE$QDYzzNTWD{U<RizqK9`obxRpMh-(_NMn{t2l&W}%<f<o_l#DAY&6~eKv zXX(|yOUzEb2*|pc=F>6nSGTfm(>1l<evPV2FWpv+llY$@a#r#u>r|<^m+wxBKUlXp zE74oMso=Zi*T1*YPjQ?0)y$rDQ8nMRE`F2WEBB32EhpZ`tjRv1Z6l_^`(nS#x|8}Z zmK}NN{QT92!k+%6VroKn#j~AHGwoqvUck3Aie&<4$)n{Tn=ZJXeR8&-SGIS7Pbbgn z)^*4DIE{Fgo;l+8Ma{Uf^g)_UpqsGu4d15uo5F6e<xa`9IAo|&;nS<X(OhGX#R2AD z9uj>=XM|0iw_kMa>O;p%xAyJqSTl9qt(3-XPb|6Os*NUA{`gSQCepm&<woHxxBOVY z1@Ap?CSo+fY2ls88(8xm=sZYGe=y1BN#uMLgGm=SE_ZvhaINr8H%^Y_`n#0D_^J}C zzoTUPs#8lCZ6>SCU6xh#jv<z}W!hf-m+=WX`4<J=i(B~mbl*9lJXiC9+b`W#^I#`) zX1kixg_k<NNG2VhAQ@%N-qNpadvW3XD|%})GcVjaaDSS^jBh(9R3<rm%SgJga;pz3 z<0HS@CT|*h^!V&-!&6^~tSkP+60z~j(o^lmD-XF#rl|iv>-tyV!&ZHPbPdfPeiLuA zY}#L`8B?O?e1C4}Bdd&nzg?W>mv2>ljAmbS@Ki>_-R=)N3{Sa9Psu$WfBtQ1l9+*i zo~mlWvn})0et0~;b6H7CVbR{Jbu<5Nv{`8T^;OsD3)*ivtlBseWPA^~_LtVAi;LdA z^}6kz*peM9v?u$${?I9Zc1!I$yMwbXrnKIxE1CA4wO~y|&KJFCWz!v7p5|}0le=oM zTK?5Fr&s%?Ey!NEbm!Xq*IOCp%(h>aV(DLQ`h~Z@;>+G5EBnmUgD*C8)jZ_Zey_dn zy_1KP!}d%@B_1<}lZTkJ_$U9pVe6FWx$j%I>g`|lT}wh6@9FK`UUKMFwY}sShi_Hq zZ}#7Eh}k5^uRecw;KkFO!WZ%%x4v*(b=)xS*TUItH)rrH{>WL(TQOCd!;SwMYsy!r zgL&OAHAS4HGcUKSmglP!&1JmkD&?{<_}sSg>1vHlYdEI}{%Y*|Eni!;RI|BnQa`Uc zn}yg;Hi6APh9?65oUU)STfO7(fqLQD-rr}-CQnUvUcF|LZl2`hx9_aoeM<g4HaR>^ zv|{p)tmlV+Wb5YYoy<x7l^EjJ`1{uX<)LBa$G%yfWr$q4a0WkP^pAV#J?00Lg1aM4 z@Bd(&uXaPjWcz>i>Yu&0msT9>@sN4>Ls&6fDo?2{vpLT1qXpBy^g62*{*xZP^1bI> z&9$d)?+ca<Cmv=5RD3O35V7o&`Sdwje<vh8C|-2mFIedTM;h0ue*Vv2mIs`DaId(# zZvBUbiM$gQZ`W&_B_QzQzSo)S5q@VE`pcRh%X;{%xBbnggMXx119tu~^^DMc<WlXx z@q~Fo78ldR(x_vV>*TL~Q3!h2tT}hpR(=Lq?|+OFUo3j|(AJ{sRhn#l!ogeo)xMwq zXR;Tztmc$*(R^K3?sGoEFd|7{Kaarcd;b?)HYj>+`0>dVpA;T5kHw)eXP2Jn(|p@C z+iG#x_dlGow>gI`3eme=u)$eeM*Pkj{gsTfCHOi6=U!5*l@`bgOo(`rVD9zfUq(O< z_tYEzxwY~n!)xydFP_Vo>MnM5-?c3XyDDGZ&YAS)?#`1>cJ14$azpgPvb!GK^)s27 z;;qGnB<`L2{Ikl6SG`nF@=N8~1Ko1<J>L>+Pfl-|P;xMJ+WT{s;*1}U&olY2y!+0h z-zBp}Wh8a0VuWkh-z*o<XSv9<Y?qc(?<4K^n>tR-^fNQ|>t3UF@$r;*9K|v3>m71f zzAxVNH^KR3vSQ@&SGO6|TGb*LHq4r9^!>r1H32&>wkF<BZ+ORi<%}Kksu>zrzRY$| z6VB?|qRG?NzuoPdbbzw2VFS~mBB_e<mPU&Y*K+m=YALPENGsvpol*RD`i*VUwn;4g z>6${9dzK|=w6e)gDOhAQ(f(;|*fITwSFV2yGyTBr(4^-&Syw1i`Olw4?&fMcd|vZe zKKssF`0W_)mrIWpwprcjPdobV0o(rMRZQ-SuX)sk?OeRz<JDIW55_Ok({<lqvC(?Q z3*PDea(C~_IWX>4Jbo;8S;Tv#!lgE;_h-DHANKv3iJnpT`i++7&riG1cOmjusJq^k z@1d!EAyG#e<U2I1K1^mzp6?(a@Gf4>`tfm_cWl#`cYWkH^^0Mf8X2^ot)Y~s?bxk8 zJ@1QRCo)@?@V$DyzW-*ix+>qv$(v?9e*6Ez;Zs}ee-%&n+f(~?iMzUu&N=HfWmk?1 z*1cByp}R`u)vl^`gSLVRfzw*wY)s&777yPfDy474fAECY=`BYW-<6*CvPCS^`K=Da z+E)Vc?+w^CU7fjaRz$R&T!%61@#bhI(c@>6G(MatE!|o4{cT!j5r=fE>($9FGIFeM z#HMv*Jm3HFx#v-Z{3ULNYwaJb+FMX{a9wtA=kZCar(KZ>WsF?ZaDSO$4%<cs^XoHa z=3ZFt8EYD-z_rL>iop~m-OX+u-@R^Sy!YVhf7QUg(k3?jW!SpOuCtc*B+Lpt_-|^V zg^u(kn^;f5r@WqNKOO6ulsXC|ohQxGRa`C?o52=U*tcS#@azlHQE%2R|5PV-G5(e2 z<q0LKjjVZnS(8H+f8JiMpm=J9c*T^tdc3}J&q7*5c8hw+Uptep$y5DTc=KAWDm#UZ zkN(K)Zd$k9>qDZ&`L;C0RhbR91smUY?7eJj;5+yA!svth76$%}=4@RxFZ9ou-}4f< zwiP&Z?Ol1rz~i0fMbp=Am2cb=*{77tx;)KM->v@q?=#-HIw8F8y7Qz>>Z5-)U2K=# za(rsvk}dCb#54~s)7X9@Md<T~Gi|#CmYqLWaP!RWRqMCc{;cyqw{C9PH|@=z554w| zXpRgj(@|WjRTB08o84w>1?5ooj~6)fow$46U4IwPD0r1^CeQb%j=PHcFI$N2mrQtN ze{=HoLu_tazs&k^{?p3TId|Ik-W1I2JUqKzp8X};@vW)4L3aXWf7eI4JbdnY<Nx#> zH&#ZRJ6VwS&}F&jl4-A+mi!k!l|5@myw~dqg{z+QNk|$BzA?GmD>pkVjQdl8WK(gB z=RZY#xrY%UGIuzSxG~Q9{=*``;6mc7@NaUbML1j13l68e(%BcJU=*d?YS<U{r6@1x z<-`xIWv^p$9x^&Os#-X#WNN%|h%fDKYgzV*X(|Gn0&lOq`7Pnd++VCG?+M+yaL<!1 zjk$q&8ncJo%=Y&7_S97NH1~Tq9p9a?K0a4gG}(xAa*gLH&y*rRwM6?@FHTr(=Ih}L zQfHWZ|DXN_K7l!r7njIAXn1&x+uU>>*9j}tFPZjVo%~t9gzYuj?Ed?D<d5aX?%Kx1 z`Tsc2zR?L|ycb_mUS3}Q_MO4Be9&5jWMc<E5a{EO0f9LJ=3ua2_9&;Y!o6pS@hdH_ zDLkJgC92%(^Vz9+$->4|rB6?7cfEg{TrY2IY;0`*+4%n_C$9Lv>T~Liw4)cb=y3L} zF0R#X*6uc*T$~#t_fBB@N!g;-tQqk~f6Co&X6KhX|G09`nbUvz?ti=;J;C6UjPT)2 zOrMS9<Bz`%Fcg#HPUMQ`;(mH)=Y|Lm4-RJ!3t^#CEv`-yH(JE&tehlf+)n-#w83Db zWPEZSze>Evie!D!-`BeXHc0*0P#^pIrZek@1o`4;Y4&&je+_yYHGRX)MlfLJ;RAs# z0TB@3*?2c^`|Y>+=6u2T4z%xOEs&E7PGV1q{Mr0-^8dJh&-Oo-|MXt})aeg1l=c_D z3EUDDX`Q_lypF5xH{*}CsqE%C2^UnA-AYcMUKAG_9kW05P4~aotUV6RR>s=ZM!|;1 zs<NI%?S7pEy3smb{>?_`My97Hh0VXI>uBG!oPAblv;IvTdHIR`{r&y?_ilp#WNFy` zy&Q}(jb}dBe5!Y<kmolj*#5mzb(+`~cBZvDIx8+coh<)2BQ2e);`Zw`e<z0TJHJ1# zt?gNQ-n(^o@7}%Zed7Qqa?8s>Cq7qJf{%hM1t0WTvA=f4r(Naz)!lb<($#M(zJ1f9 z9ib!EeN^nVT$!@$#5|AbLe{Z7))VIn%vHE=`EAi;$ldMJCxgK6w;Q-`EPd8{WXGme zzm&u4k934y-GA;|i2c{~vyXnToie{ta@OpZKMg>)UF-4r$?aRB5N}~#wqyJD?LT>c ze*08bQ*9i#UjO>zuUhfl*Con|i;J~){r&ck`$vO}{8#^#^?&Efhd!xZVYFp)z1F9d ze=hH@N>5Gubu~9Pw^(}9+Z*35T|1_>`QV0)vNvzU-RR0WW?IRc#=G;|rfp?0(ZApR zni`#^Uy|JuJ$KfOX;UU8ojJ8CVz;%qx6?Kk&zZu<Di-cmewnJ*&%evcgXL=0)-D!K zA+4!aW@c(?YHG)i@UAKHa9mq-XT`>y2Q}|_zIyWD&W$S(kxvyiW)|(yR!!yM1_8*` z<+DL+e7?K}0dK9TUf|_@Q0nWaPp@9Rdi3bgty{NdEuNv_*vwOK=Z00;nR^>kx7<EH z@20I;zwYMpH#62gJ~EL>bxW&@mcXnZCZ9g!nMn1zEsg>M=)y(N(VP(h8sJkMVbqJO zSFcV~cJGleOiE2HT_XI3(X&}DuV%{s>I3{OADapSK5Q@I7Sn6|&-Bmr=kya-m^pL# zT{KqIPn-~weSKZ*?%mnfqq1WUrG<mH2?T-xWbwQw82tVA{PWKmyZPr~l(Ci;7uPGz zZ_6dhii&O(%$g**EH7hU4$uDj-wU=RJp80``ulq3>i@eKj9*BG^c>N=ers!XdHLI@ z?AV;FtgK(l@{^L1lB$-agFN<YY5XNGG_X;-Hp5eiFL=_Vh_DG0CiK*c{FWD$=O{jR zcjwiIPw&1wyWoM}yna31h!;;E-aTu1vE0S%`a3r;0L4N{mj?J`QLexx9ut*ZyZ(Gj z+k7*}Z1!0gHQB;v;_S0(Ea3)E9;_=Yjj-Z+u&$`E?1q(<rI6o)b%lN|U;tiI-NC~P z2H^FDiau(SPl6Y*BdA>-MT+|bZ+DbgB>b?D;x~WX${n%9Mm{!b!;hWyf1m#Q+W-5y z{JZ=0zpry<bcYsPe|PI{qE5RL7%;Pdc0aIoGJsBHWU5lsf3jVF`st_Oh5BHsP{=G} zhjLQ<wi7zqo4Y<r9M(|qXHZN%;&oa-{^-{aM+?m!vc|1C!En4+(kSW3hj;OJ%YR>w zm2H0gJu6=0-Me*L%Y--;3K|$$dlV8F1Qkt$njf=nW))S)mdQM0Y_UL*S@N;RK^sO6 zO|5@=JyRZ3B&jtv)im`=9Trs=Z0hCBnc>iE(RB2FFGIuBY5bpV_ka51Aa~-_ANzmD z^XugsG9ymdTv=`#B7J*Sl(5?Tu(WSU^4~78|6V!M`ti4d%ozFny_H)wZT2rNj*(ou zHGA#WwvB&&f<PcBhkyz=e=y)a&&|){f0EzI%F0UD^7tG}_j8WVo@{vV;EaWZw)tn? zPj35m?rgk!?AWo0<~0+upUq14<vpu&Ss*jz$)4IAdFyY53s{8Q1Qb~W>^>}D=GIyv zm>mAnwsr5}YmzHx{jy42)FK<Ud-uEe`^B^KtZx>(yuGzG`}(@A*LJn^uC9I~_i0ah zS9Mxyn4wtrZug6|ov95Oi$xfjOJ_?7OX~%(8c)gCX|coHVS3VoyxZ5`Zo4g(a66~^ zZTxlD18dn1a7C|`*fBdtO>2k8?2~@QZ*Ohgz1zCy=``@6&NmH}xIje&w=<-Q$&;Ji zURV~nP>GdGSRqC3+ca4{?T{r7g@;%;7X3N4uUsO1x~Nvr0)vgoU#=ZIl-k-QqTwgd zzDfG`wS`QJ3Ruf#PPkyPdriPZrdCdY!&AyXB(}4rJ06^JgLON%bi$IU6GK;C6W5El zc<#)lkSSAj6+Z_#O<NM>>a?^kb1%43E87jDKr3%SiSFOuzkgjjg;Y;*75&ck_Rh`i ze!GEp+j3Vm*R7ADHlF-<>(bq)SC4MpDth(OsUrETtx;>kR$qlv@=<^O{P`0R_Ul*D z`(Q(-Mj`7d5_O-RoSeL{TY^QR%{F!MF;<BPf#$XzPP1!mr+&YBajD6*aGghclDy=> zLuQ}W=dZ4pJ+kiCb@QwH_bvZ+W!kUnkeD%;rm0kdlw(2p_xHk9einIo`O~N7?b*Ja z-MV9@MUO3m?c7I?THBb-dmIk4OQkp*777;bmDs&=zIB%KTXiu#KVKhj^E)5bJ>M{! z@5u)}UK5$Zx2id1aUdWE2KPYK<K4MnAS>2=G-)Ha_JdG=r|#MXN|ow<bIzPS>-gc3 z(VGvAJQjH(nnhBafnH8lMnz(x6Cd7Pb~oo%BKu;{rh+*!U;t_|$;jLRRo}O7f34aZ zxBmKT81?GevuoF`9Xobx+qP|D#s(=*N>W=Eb7^>VoOE_c$>0dq;5d=VE%@Nos#RX# za(J!hWHsMeCW(hyIEB@GE`h-s<amf$8)jm%W%FiZW8=-6HW^upDX{Y?U-^(H!e{#B zheo~GStE`K^7dZy<z()~Ds8NsmbF4r>r}0N<ffF9`af0IKbblKoLp5s!Rvkz@f)?4 z?ft3`c@y8?udiD1{-NEHpp_w@tP7*;xAdG%KC#iTGAE}e)zwE#*tu(OM`!0siNh0) zR95&*Oy=)S+#qgXpfZD}jD@-Sz>jn17dJ^(C6~+ku==m`XmsjomDM^Xdu>tK+gn?& zMIDaVr}(ABYA(1Chf=?8Cq9w<xoQ75ac*$luuv3!{;<&d>olpazYk2Fvu&$drk{_m zx4Va@NyEIr0Dn+~dwF`etNY|^*W|g<#K35B^ZmQ0AOJcj_UhH6U;x@-v32X$+lwz| zfGb%j6}UFi``V>bvo=3jvqnd3jimRnLs5<A-Uqfhg|1tdw7==;_lhMIDrQMXJl?pi zS+nNOjkPgHG<7|jQr393WN3JFSh}#AHO(y$jA6+;<YFLL!6C<FoTzBQkulk~e3F(> z@}8CPp&;N22Bu)33I<=1E7;FJ|0tXf4Ac$yRN&Vs+m(9H-(TC$MSc0=$&<Z;gC|e) z^qV<z#-pW6m#WUt;1BNGsJ(Je$MiQhVm|Pz^4(zfJ7jIZf5+I_jfcxbhb8WiV}im5 z{vYgNhwKW@@3*l&S^s@{NP2nU+qEmE?Jj$(niDb!48WVjCb-DRf<UW*5eWQxiyRkL zbN!yTEA7<ywn%J|jgRHAxFwqA$@%}vZgh6sxzQQ&>_(?cops@~_9XePr-^Tz6PBGx zF$#@45^a^U+IQ>H2T~Pm-x!}Cu=v26!+ibEYXjal%=0oC-x(%lu{uokUm3HzY;ElB zvhPA>;JVSt5eyu_All=Nlbz1=jrz?p&1W2&HZV+VWcaDL{EU6w3W@qB^KaDG{jOWo zxJKYw<KrktUMb_0BQI`W6*u6IV7te7d`rT{)w?eoxp%u_L!bDyjoklB5BdIhFK3cx zUjFvot#;%JatkbFL(}=sg-6?8828+5PhIN%Zf12=oi#td|3vk+@+Y#7&h6Q3yyWlY zM<2Q!4^Er*z)avnzWdEvZ@;fE&&`}V*Wh7G9-~k#OOD3ue0xp?7KZ5j^hmS&^X~1R z*ZzI|Zcu`MkJgfyn7g9nMMaJ>3um!3i^Smo2?iC;r7f}|46Jr>I(9KuA|^s@N7FYg zi0ER~oV8G(p;3Tifr6W3^MuJ>)(d*;4qek)$rrVE$-(1|>#QFJ?v?z@DYIp6jOhO> z4__Cq%WeoM3wbrahn@RvUSsj)m1}<OP`i-xY4OX~?XCxeKffs6`Sprf+v=@nUwcS3 z?YqanDR%xmmb8pqDX}Z6a<4m&ZCU-z>0^qvWZgL*&ofTq(ObS<+Wwh2qjqwdd)*Y_ z3!NKpr2X-E{It-~jXh_*T<d;on@_SYcgt?@`)|ouSv_&%<dv6qv$L=!-1!wXahu+{ z1f@k^JLhS5{r}K-RBis#O^Q4wOMX0@d+QY6&Rx#^+zs(^>wRCPIh{(ZiMqAVMQnk= z9McTXZR$K{jyoQk{x&U^!(I6pdx#6KMf|sdn{zUorHqekloFU%l5+Bd&9}H0aw0Q1 zGnEbNrUY^^9h_1Al)LWQ{IfiYxAtW=^Hh{&oh%dnr5JFq!bJ7gqZS_Sq{5nsq3upF z>TA?ln2ed%J$ZcjSJyh$S&Y1?vc4LUGX)FXTp0oaGu-Vrc*;JRet53XcTLHiYmJX? z>zEN;_;iWV<j=+3KKrD+IUQ3S4=+7*bEWk5G>*UrdK}!*tXt+8iZ0P@+;PBEHT=x& z7=y;SdsFwGXE`Gl+Apzd9n+GV3x252adWso_n`OeDc2js9;R|}va;N`vqWS5m4!!z z59KS|zLr_S(jqNbH#cD71i>9_E#7BWpO!V5yXn61f@K9~`z<o%`VR5QDLbs5udwwR zdq`Kr!=kUN!~7LfX1o&Gu*g=hV7HSQbAHAQc`x3CB@Oi{9wl#=G3_{XW|#F;zI4l9 zuWgPkmz=dvIJNKTv)4@CCfqlt&TVE}ur$JvJC$qB^Vb?I?EelNKec6c;q{spqlHU1 z{9JJLh3wqV4j*6sKDO%f5j|&Z7fuU9jz3fRKfX`fpWD1!?T*p)MOCxR8XVo#%{kJx z%rE!dpQ>Fu-|R=!mz{Fw7U(*P%g>+hlK6V=fz^_)o;^;KZGFP0FQ+1~@5aYdGF*mM zrf-Zxa$2obciS|tk~qzJg-L#y$JT8*YzrsZngxWlZfxr14~-PJ*>-1#{!bI(JBICT z-{n<AgsUq;HTYH^=t&Zd3oeUPJfSgZ;@7XT&d;2je<uEOb@<BW_W!w`{;{GXYDd<d z>e=*UZi~r`*wbkr*H-s_Ht%0wbt<Q_NOu*3>4ayJYoDi{?)advKCwu*Nh;!@e9i(^ znS<O1T=w(zd|SI?_4_M(cQA_He3i(=KjCWD*~|Y*jukUqw13<%Rq%5|i7boR<)?a@ z;mortUnDd{J($|bcKq&=IgYDdC~R72ed71QT^0;M-L|W}R_-{;D{H2!EXWn8(;Dqz zazrQaOGVxhHl>HWm-x%H)-mQ^NcLjlX1TFziO&2hi;ouTwK=?qoDlT>sPEsUX5ZI) z*By_HIakkKCK+40Mt#i%foG3@Z&9fI^o?uQy529pZm?dO^11%fEfHqLRcmDDwTjjA z?^d2%Tgm-*UeUkvTRS{{FaP;cH8k#<ddh>UWs531AD9|2_Hcws9t_YqSp9j^kyH0- z-v)*(-|ec-zP`Uz{F#B@o_ST01=pkxZhH~+z}1>ve9p^D=6+RH_m-S(o>DsNZ->d# zvzJ99C*EqzTiBU$jpyRCX(~+-QODC?>xs2q)O!5S{=ze}x35ck+b^&9zeQrbyMSC} zO7ZJmR~mv7Z31U-ev&_Mt5?Hl-PFp+fI_v4C#Jj#vfmMMjd}Xprz;m8j8jutEoyzZ z`$AlHVXnV=f}Uxo@1>JV-ZXw)r4oEJ@!Eqt??{ew8foiptlUz=HE&_A;-_0$LiYW| zIaON4HJZP-JU6+x(ducQnVnet&f~wc?@jLTd)@Lm>c^CaE1w<9GraX<^@4jZCM~U< zpB&p<|9m3<nuGIQ@)H$ro(%i_Lvxkx{e715dla?!L%Gy-pZw!`b!B_e4>o(&D-SC; zk7kElKBLOTnYeMb$)x1psmq*Jl~3O1_kPFsiU326Rq+jrWp=**f7bLt<(#dF%YVxY z%yTx~(6=vlm*lr1Q-d6v6Wn_v*Zlb0e|}?-dBlfzW~&#iooDm6`^KkO+X=rWU9qv+ z`fjo~|7tF0H8atxU9S(WR-3PRY!gHFsotkgJ3n^Kbcj}aaOBV9-yzl-JIxpIon2|U zv3c5G*DcR|Lq4^*ERpaLy%T)#bYwo$(HWwj>Y4uQfBF}4F4IBv>xoJy_v_Dm%oa&L z3~=9e^kV+L=Mqw@b`-68k~g3I*o4APud{Fdx~`GGFlXMjYe_C;u|HRDW9%~c@W}jG z*>h`y@HeqvGu7kv79ZLY&FJ+0TGPRx!u#fzPkYFHp57q0aY<rZtW1IQleg>pj{Y;X zf6QNG)H%KF*Cm~-64L_#<sYwHjxfwUul=;TV!o--JuBz%-?0VL>nr0*B+k{Q`K;%f zV=q53X3kFUISYeyE-+Rce3`#Y%k3%K&pRKw*ZejpKUBx=9sfAPvU&3y*ZgT!EaEwS ztGeP}P563X`YPqG7xDvIq{YmbqA~+yA4R8enl5Gha(8WqT~3BZj_jjjZ!3)7>a1Gd zyMz6T@m96i_YAJL9Xmc8<m0tdyrp$7^Xvg#oBxe}zx6+Jy0h&0e3?~QW^0aQDqEjq za<*TP|2sFV)@069!Q^Yb%&X=I8#Ao!@;la-5$X{4U(ca6?}n<);}G7HOP<$nn{R)4 z(iDZx`)Og`0!JSmzreRvVe_|}r{ku`*_2=7+I}M8v$V-}na^$~rl|jnFqvkvd9u)P zx!TLD_t-sHYG;1<)?Vdwyv*&Gu=RVB;O6f$oo}SGa=Q2=O*Ap;biLeTbiLKcbSB%? z!hk<MS9)qIpGF+q(zT;DQ_lRmOz_J|kHop}c1PE{Y%@Jq>9(AEQoe7AeSIUxjTvPZ zVuE#zqRV8GY7S{dHBCHNHZeh0b_(B@_?{_MOQU{uJunM-(4>Cxe%!k!53gh$_>{Uz z=x)D=%K89_AGdv~^hK_m75EX#b1GxLk-5HR%lXB>%RNPt9M133acXOLBI>Pm%HfI9 zB#nhByMC>Ep0H-St17$YieG`gtKZKMzpJ%5OY3CF+&3D1pHFGL+v@O;_37-H3O(1Z zThD6adLF^G-7aQpBiCGZEo+0+zN;I|R6Ng|dH!<ARqos;aSZ{6w<rEhZq?o>Z7jE? zJGo`T<sVzN*mmj!BwA#D2=YJX-x(ya*!FnfS}C~~=W0J$P2gFyD9t%qq2@sEi87Y0 zJ3CKXY%gp|ynp_pxcZ-qmog9f{p9ty(SCW`%S|ppw>vW&7BB7Fao4|a>VnS#In1YQ z^f&KT<EXp6QnmHcmp^5#w_PWwgxr0x``1~iM>ooz2Z=j3G^~B}eo0EQ$zC7Xf@u@Z zH<-UJdvKH6i<7tMQTaKB2{V2jzr|?z#c7GaQwx#g=njXCAq!e_E$6bo3kc3NZeO+R zZ@G7@?C+r0aT>=~r~KDo`z@;WLwcf}=o04h8yJfJoSI?a-V$SKE?^jK&J$#HZmqUW zl!jiNne|?cPMw~VOYd*ad9h_j^Tj)V?)m)N-G5i}komsahsB>#+9b*n3VyC{yso}( z{|}?qdP%Ot?O`AHRh!DS@YlON`}K7R|H}yD^E<aQ_r7#Lm?)Mfl4Q5q{mlRLJBFX1 z>geY^)cm`WRdBhN*K_`zUFTo#t9@fQqxPx$+Wq%yf6RXEb1~t^U3<4y34>Su>CDD1 z`;$(%>0HSDwP4%pY-X+(vD+Tq*&+Sl|FM8{Grkh_AYJnpyM*N~&JLV+qVcY0$VYG4 zU2?xK{aLa}DeRz(oz}N6orgklO?l)hYirjPtpB=JPtnxy|DvN|{bt%b{HCv3`>3;0 z`ofDQt)1bX8q@f88BOoCT-ax!+`3{x!ynTti?->8C3#Qh;pr&oyKrUK<~t$9_a!Pl zdafB8<k&^{)~WpFOt5QbnH?Q)Wm<9PuE1*fo~*|{dv@Hou%ctaBDOAPw|xZ@eWym$ z&pxP^s(<6@*GQfJO${gCy4JeviP7v@dqZBaRiO3K6(5~hYQ~8V3)uH@z7-QKO6&Rl z<mLQi`5o<Z%r$lX?>u~6n5)I#s)CjMP0g1*murJ(^ECO+pLJ2N^>kHk{A`V@>wmuy zeVtJrH~DGO{>dGczUz)`+nzK_ZC?MP$vYmb_;qCEC-o~w)?IaMT~QyVs~5#3aCckc z4-2`Ar}s%MDO$SZK!!o!`vYs|ovM?0S$rZPVOQ{}#$8L_U08c&@1;n_j<@q}9!X5? z|C{cgz4+O$GYwNjoj*<7z-i6CV`b8DpYVyXyNx6=#f-du?G3w_W7cG7)hf1h_3H(- z*B6OusONZJ5m|e;xqYkn&&1lsjxQ<l;ojG0Hz$9yS^IBR$Vcv(w`GfR&A4VrujR1% zBc-;zIB<Xd`;uRgOA;d|Td;3fcxvLKSsx$%G0JEXy2u`u=O6m&PLG)Xn}SX8?@TYg z`K!Be&&;@-xznl-mR1_X%>R?p643c&cUs#+SDBpcOB2E}{;$g}t7q#{W4^QXMcHbH zmsX{_lzV>co7r(f#D`6h)2v+Ul>XO(k9!2>g>r4)yL`)8rG8%jrc<9S>c45t7Hba( zOLx1WvG?SMDF@xGS8uELJX0>!SFB_8?@s!n)8BtCUG(&xw6uh3_fxNoyVcFVj-37} zX!79I{DV^M4@=Ly>1ViE)KSiTH%`<fGB~`vVdDL*ou6hjd1|O!c$Vh+b19$xJnciq zOB1(M&)O#WY2!ncklJ}V9e4MiSr}&7_?Q2=*|)p7x>FDH3*L~rz_hh<+pd~bpKi;A zCoEb#yD;JN$%>E9PHjGRvEkOI32BvWcIi95u3oRlGIf^}U-9%VJ@35*9ZY7H|JawY zI4-jM&mkVP_tnd`8%D-oE0_bB3>BlBDm|^u)HlDfE~+S>^f9?wZna%^jg8H#?{93E zr4?Vx&9LL`y8lk6{c-fFPdoo#oL{VBIq$BzZg4@R=!)0Ix34r7@^YVym{IRgcd=%t zdC;0e&vxg{e#c=Y`Jg*?>5E5l7tfoom?RjX^5I=d>CdNf>*s4fGG3CHUM}@l^2x`8 zs$0&bMMPbEx>#^ZsPyUmhO=dK`F|-?nA%*MX(+{`w|-ITqyuYb=X*VCI_++4{wZ|( z=ZkNbZ0XLq_Owp!+pcoyC+_^2++MGb$|Wz&EjxWTp6T_3k6}so7yAdSQ|j)0nvs=h z`TPFcWiQV!n5?kvPVg+f?-PI3Icv^rNn(2I7dAEi-G^5@)$EjY%dD5boUbS@pXXFs z*0xnNR*r`u>h-$I@7^BW5jjO-l1JuGOTjDJTT+AgBji5Bz0{A7tIxIFT&KFw+oNh( z^M|<~Pt=N^dV5OY#hdJ(5*?3!IzIk$yi1kir_g-WKVOs1<&_>1+G~EF_vWOyF7X{7 zc^|z0DwOutqHIe2i9@|?fgARyL_Lmgv;P<uzS(#6MYYtx&&{irUlU66|5Lp8?=<EU z#*-X7wk)$|b!U51v^*nf*Y61z1wU3SzOZ)NS53J?$2Av8yKmE-==Li)p+YFcPJ7y` z-^ul{lewGOn%g(biTFRy>yJZTkJ6#*`(=_#&MsBe%#V1K@vk*N*~|Q(vXFvjrFtvp z^zt;lSK`{=Q@y@Fz4)tPk$&YnE9Kw~bKiKMHFt5)HM(wlZGFUn{ND3HA2Pg@dzP#| zv*L}&<JQ(G4qO4&Tkc86DQO&dd}8m47SpRN=U#JeaFDZ7+R?hio%3E??<&6I>P(!X z>l<UYtF&j%I%#s{PEqmGCH`-M7#AN7RX2z%|6=j-^~=mFseO${MN3zGKaqT(tF!gB zl6m&dCyIMK9A_0iIV&Y{WXlc1ZBjd<8vgi+tys?(mBxSV(XBd<V}i_cp6TCxugSig zUypm{|E4n%lVgAJs&111<$mQOd-%hE$GQ<JUfyD-GI%Wm)<~|fVtLcbA*x~#o)UX= za)QW_CPg-ZBG=jP?O!@yaIO5XL`2t%gK@_GrUjytZ+)^Y2+cJ3%Wl*p@NnI6UC*Y> zRZF?n%4N<@Ie9rp!75Pfa@&?`HrdXz%H|~QuH$*=6~g%aG~fDLmiaN}hgR-uvaI}? zEjKk}Q%*pHV9uw8cN>-qW&~(0ZNAK<bb5o2>#vjCr=0&BiaX1h;^W}6Zt;RW(Wh12 z8sFz-F1C5K)~oUU$>mJ588790IrsOVb3)F>^zd!xpQ)|+#v*rPolA{~Pl8O2WLoI~ zJqI5rr_wS*_N`Y6Q|`;$iBjrbxXFBq8uyWnB`<}Tvo%jM30hYbAKEnS>w&ucH;$e% z(TR3g)aPxt;#|%;Z#$)F8j7rsg%3SZT6S!CLQ;F|t{BD@GYzklow{|lyjoz<ZMpwE zcVFgSxWKtOXGej_)m57ey0bdF7V{=_{9NI;=soXmW7Tlk$d~K-ScMPI*YjASP#<@< zV)8qF+dxgD3(wwiaJNaM71WE*F*H<sazofuC-T-KR@24W_I<zH?CZ|Y+%fmwN&YKy zk1H4b^^@Bp6Mt-0uB&_5t4;ES%n=b*H|I4p&z>#vbh6czaIMwXMW0Syo_TlfyZsSj zVr$-+?p(XuEibmRc^wDe&Y-YM-)-IFqCfcRDVq8HlKOV9JGUTv>dVT*Zr{}WpGY=n zpRmn+S1TMG`qS&%B4ha^p`G8Yn(RUu{uJ+elJ>D8*8M;C{J)}II}FNK-R)5b`uRKZ zKWh`~L$)P$701_UT|6;ataiqJSM}8r8}{E{6Dss7VpY%Aqp$9F1b_dZ9i^FM{y1`D zXk9W}+Vr;v=5F@2yZxj%Ixj8Ea1O8MQsbwLOsTB%XKXGhE=oK!*`n{!=_&gr$uKo{ zHv6C1sPIUo%vMcKti$VsvFyCQ@3pN(U;8WcW|hhKoOBGWQt;i)V&!n^lgq^3qkZo4 z)J|B1AJN`eUBb<9VMW95`BSflP1T;Gq@QV^u=~pUJyA~+ru^j=Wi}VBRgj-vz%k*_ zNB2U80;OMpi*;tdb+Tv-jHvl7e(Rzcr^0NdJ4ab-lxs9>Tx%DsS(34M$L$pdiXKVr z5PzI|B>M4w1JTIeGBHLE<u3Z)k$Cu6;c(_DM$Pp>J2IHprgC4}FKGIM<rec~$2}#C zW=m@m*Gbz{x-;JE6`%P0`V1!aHK%G9N7+a}5p#%fT;{Rjx8S3zSC-Ca-9F3MVb^Yv zvuRvg*&CnhMMp+&`}$8P{%li0R?=dFwKk{JD`noxy<5DhRq&8ppmg~wnKJ$57itsE zh%bGzU&wm)otdvKl?o&0FMD%XKQ~AGdC`99%9mkMwc8J{dl$X@(4re#^>89bkn@+z z)1RkJoiFg~=Qj`jg^q#kABFk%^l<31=!ebYKIeEMS;hQ-tF?=${q*xI99?&~ez_=f z_3DQ|EKPf7+pU&v4z$XczNcoh47<45nWguhy}8v86aS;qEZTH~)!Z9)SEk%4N<0(3 z*)!E};=*wA4P0|K9z0RAMQ>hupLy;^xhp^3*_TY35!khB|NKk*ANnVjEMFb_*@^eq z(kW3(j~Q0kf6RXMWtz^MiLcG~=j`fSv+(t;73{kk9EIoJ-8PGJVW9BdhV$E3=VY2F z3-6z)vPE5|T6pfy7Y@ET*SVkVfA+WON@>vSz^R{9q&Hs;d9*2_uji)oq^YmB`OFCq zPJgh^_~`v<4xXLb?u%S@obWh$&Qqj4HSLxCYf-nOMtLW~I9J`ze-^-H&a*)@C0FX5 z*_xWXq9=WOMOnXwznq-Ydgh3Y!_gYULkd@yuh7`b=DJ4qt=a2m*PW)6Z!);7Gec7O z@6^5{+Y5y`4<?uIN{JM6aw>i0pKS3wT`8Q~bN{A!6T1DaZr}Upe?*LbXU)%<$C&3$ zoYdT4wq_Fd!fP`E;^u7pwM<;MFJrBiWR&w#F2_^(tE1DsxG!uGUKqBr=go&lb53ql zRJpU@S3>WmkL(&Ie_wx?sLu1`v#PX2w8PU)q9Q9(xP#7T9GL&!sk*{*ZR3<6%eCv- z-4?aKI<s(7<fRY4rLObsKf3ku9v8_s@AGF@&pf!5-Dqw4hOi@Vq@qe94BkCjDfmFc z?6CAFv+$eo2l*;~err#(6!~SJZPUh}EFyWH%P)?XKO<%;V|ZQEWfo7b(95e2Mf=<r zmP%#ps0~R;<PLRnZx{cf^y<1l>z>=6-a0v(-~Idj;t`q0#}_2*x14lB>3*sG{xx4- zC`>z+xVF)GdOFv0m-yXpBPw!xR%zB5`U@FGxGa}5kZ%5T;m*bHi4ta!Qf#i<LTsXv zr!N<<{XS#)pT7o)I*Y8Y#Lbu%*XeX6?6$y~))lO~!o(a76qX)+eE8SVnJJB$>go(R zjT(;2&YtjS+!CGqvU{^;hWp`Jv)fyWF8Zu&3>4KbOO|=PU(sNDe4O6Go%;p(-`79O z|6tQ#pZqjCwfy^sPgVj4xF=_^2U|(0>D2{_3tD|H{I+k^wx@4a*<KG{INkgp^C9sy ze_pMr4f4G-L1};U7nhetQ%`@KpnUDdVyXN&S!|nc&1>;{^+eTrjdhR4Ew*m2_jbQ? zR|W2F^7~W9RnH|C(=qe-8m~t>b1bWO1e{6SCAa@<aP7*Q3p-{{F*~TS$gN?v&#fHm zsRkQYCcfEo;fh@7>%~Q!w}rm`_-!-0%f6W9#jknIpA03IvYuIZy+VDXh<@nC%~8y2 zlNT+VXn3tNDy??b0r$`^ENu(x`qQMWYLcu@dj0SVaO+o`VH>w;wGLNV>2kyD=&Msc zoJ<jJ@_iW9>2#^gKPjK(?Rwiwr*!_*`Cei#TAp=Mu%rEE_=98O+{%sbWDeQ}_ua4f zzS*1WcW3~6nDMdpFxybKhI>njuIa27lDzj=VgKxea}%R(opfqlBW31yG&>|^(R}W! zubjN1B?GkQWlC^7Z2k3KH@D!-W0RTh4zF`Na(#o?%eaK{9W|@_*gx`Y?SIeA`__D6 z-Q|5pj5_um;j#L<Lv!v1m5nk^@;BsG6|LA?d)ItzTFbtR63^^gs^htj9nSYjoGq4M zy=GcOo@L(EGlm?^suOt%&zf!b+UeR_yZUy@@wv~LonsaE#a{Z(%wf20E5po$?|)uc zZ_@3PG&r?+PRRByp}_8+U0QE;|0wWP`<(fG`2+T^QqRs_eN|f2)4x>l-J|bvY_6-s zD@x+O?0Wvl{Zf%*zQq>RuiURc`31b#J!QX^ae0P(e#*`d-6H+{_m772&Yxh-zV^uF ztiSoE71ueOxi|g!1|i>=2mf2lILbSv{sqa{87^@3+_GV1-oowIcCwosRI_`m+izL4 ze`(=~EsrPPa$jlGBx}w1>u-*=r+`D(YjYNh%C!FL@3cfND(o^4<omvaE53m*yyhVH zp123P8~;rXnECGaowLQ#3|VG2-0zD&Z8lx`f7VUiD6>M{ob6LoQdCpDHT#+8GH+mR zi*a&P5*1tG(fq9K-#p92jEEPz|2~b$tKU2GUy=U{&fl-uf1f^Gaq!=#>7VynKA)4n z@8`4X_h-wU8Z;Uj80|O&iuF)d%IJx!n=MwElj?LxdiKGC2mk#{m}!)Hii3-*PA}t4 zCF3#cXV;H!FQ4ns#Gz2}AYGc{#s3s`DT|MNdsFJ_Ztc^axzDhs=H_18y?eLcev4Qp zk+=Q!fddB|92^`D?BBoN9t3uDiX{Fz#LA{SZOSA)2P?b3vUBHfaR>3RGA=BrK6+1g zy_n$*n>~*|i&k&n6ZL2A{@3fbf4;VQYhPcUpWnJ?GS}dXCm>5HPEL6F`n9z3;rabP z=YdxIom;?sdsdf-vy+2{R>flb{OLRX9ooD1;`TRhA3a<B_vyZ~n=7~dEAs!EUAK0B z-L9Yac1tk1FtYG`aAe?@Eh$4?GEtVFpa1@toBy@TmxYy|6&oH^yY#~K-mF`q0$p4h z9dDDg=SE2w{PjJ(S=lgY?PAydE6bR7&6-}eb%)ft_^->X-g%fFs`-4@{C>@31;eBk zMu!bZD<eSbBxF+O*%-gvvqxHbkE-0f9{0x&4L^REbi(@Qy2|5wqVJt8|8n>4_p;4% zbFObmzICz8+uC{t`_YZvrK|N~3*Y3~_UUJ&rxnRa<QE=kPpb8hw=P>B7R30bYV!Jn zGMe&lLiWDNSXTbhciH|m<(9K7h4S`UnwQ-u1_Q)e3h>Gb?Y>K1(X+!=mu5~~>;Age zH+FMhr26%<r6qSat<&pVl6=YZWtx@M%)Y*N;`evH+c_t2TJ!WGS4&IFWd2EUul%jV zm8K~uh=>Xly<d8!k<D+9id%{5k+}k~zfSKK?w|ZR=GTln8=G^_nLnSMf9^YINk{ab zXCNT<dDptv2y`sa=(*b7DUbUK_i-L?nf!2Cfw2s4d5iO*{JYA@=8Ny!vVZy8`t(D> z$5|1+J<oUkSaJW+)+6;`-u1&(!MO+g_FodYezNNF#Hhm`Eq2T*=6jEpTDGq3y=k^x z_x6iz+oYw<X0APX%x$yo%`~%ZDwDjxOAf#O-n!NF*{wZp>vgYRD|$4ezx8r<z=Rwh zogTY~4$L*pP0AHtWQ+Vb6)tRUxH#eS1;_ToffLxv)?dsp`Bf?6ZfX2f_3ic_x;~Y0 zRl0HA>dwCm_dis*c<jQN1WA+UGb3kC*K>}%a;U-ccT-u~wfKKa@1NHH9c%Wz^`1cE z{H4u(lJ-9ieoNh>ATA67;H6L8%wX{Q7YMvEY<F4+Tek>a#aOs!PuKeC(~V9)kKB}U zu;`Err;xJP1BPVHy1t{&x@w-D64iFS*(I7HI&+;-_{o)@R-RG!nWA8r#K>nXI&)c) z^U?CT27ygSIM{aWy0^3Y#?`CNC!DO+R-3!2iLw3t#5DPk>MmFAn=>LLZirtw?XRtR zn%#Q$^5aNrK$e2RR5198x(K7jZ>CXdmxyN25|2(J_lb*L8jYMME_7&YYHe*T1%a<m zPEJ-20|C1V$9?C{|J-%@<kqieW_{YKaiHj^SN-Z5t=PX$W1{0%?~gpM`FHKZ_e-u^ zJbUu$ocwd&nS)NQTD3|m{^{yD7KM+FSby}JWs-U6i1|m~6<|<xX8AI7FvB46kPB$B zBxrpj7YJzWWSKTy$5E>>^;fZ~y{FLpBgfPolcr><%vbg_n(eVwV&B=|zhC12s_*x5 z?aKc;m*KC5eAl0i>*jr#UcWm2>s*tU`t`SKzB2pIeR%!P6i^{MJOA8I<{<Yz8Oulg zKa|~iBn%(*Rw(c5(0|lf<HwSIVuGUc6sMFKVoE9}YQVA8#B$Tj5q#)biWJAk&oT;g zB!p)snAhCz{}m8_lK;`q70YILe4RdB;p5`Ee)+HE5*t1%zH<ILy<WBcWcVZQ+VcM& z?CTzA)Sj@b`SRjpwaP>{Nxe@m=2y#Y3H)aL;QU{{c^YZ^Psj&-X|1rb+^^!%C-KwO zZle7Y?v0mD{xsZhK+2R;;ltdz3CsE9J<j$2`*i;C?;lom{pTOQ|6%px8R$Cr`y0=F zv)aQaQ+aNpv!}JC?%X+Z@)GWU*_pp-VS4}J#~z11?MdVPG{J5TKR-Xet^TS?d-GK< z4gEK_WLo;pGWp0qMY4j&M{VVc0*-6VTeJ7Sd_E)fhyVMQPNBN9_YdBBe<l9k>|dwt z`~H1$-hWKK%5*m92Kw3g`+nZbxUj&nnXR|oIQ`rlOW|T?5P;nCJ)<1H6c4o8yzZXs z<DESB1OEJJn{8}Xd`ZAlTxz$iWWF;0rFQlsu8!{K((AwTO%9k8U}(8ivsE&cv(-+> zO33J*&CiXWR=z4cba{#A(K%5@3zt6IdwBDeM;Y%;`n^=osCcWKR^B4G>x55A7$4)L z=}|IoWh+-64V-BFVg*l<%a-z%wh0sZrcIf^_+c-z2=jsO=YqNy@BMzS`u*KbvnvjV zd5n%Q9Ol;Ba^Mh`d58xitFYGwLnjVzFHZ%DodRA(jb9uuObD1DI8kU4yO)RQlnEI; zJ6|lYY(9A~h;8q|H3wyyJv5r<OV}Ay&8Uboi8U}ZkP@nzSiSs&q)JH4qrfaRL;VP) zi|(5PCruFGTDbSIOwcQfJ;HNKCJF{lG(J(WxxA;NAxOlsxlew|9^uZsDRF)Kl`@w7 zY~|r;2{^H!J%VLpLgC>nQycVK_#c`cyY*`4ybIi~IuAbk>2&^UOWcPE+|MQ{GqTM2 zAf>(MSj2sc(t=6nW+O`Lb+32zB_BImSR^AWF3t`ruV)n$nB3uE|NiZp+kV6Q)AIB4 z^ES_Zv#q2!dzazeJ7Ed6vL7UWur0nSVSb(2i}T*xyI)_wa7<!h=00$jMPSC}LorUq zR*X*y8g_n|>b&r_m(=4O4|I1eF3dk?dA~Z}f~A9rL*hX*gPhfiH)zGWsQb-pIg@Ws z%gxO#iN4*-D;+&K_oUnAvpGi6(L$a}S9)hn_F8%><kk00g>5gln$Ax%YT`||<i9cD z{CdVu^;a%VW%yLzGUK)Wa~qcjDy4Zm_Aw{QC5k21_7u&2ANyv*!3IWVwlk;;>z)V8 zER_n*4O@J5R@SClLB4N~srk$>NSu-Q%%Eh3LBh8CN9R51l+=$&xRB(j`I2eL{=<=9 z7XK5v|F>xWze0JvEYInTi}?htnQH_NIP=|cUC}&wPvJ4EIq$ci0~492(|?{8|Hb|J z^rzF)rKL?I*LIpM*1dQk!(@xfr#C)!(evgSo<DbPpLzBy!?P*Ix6b648UOZd(^$Cd zN9Z5zyzYj}|G(7!+5Z09>ixcF>wnqV^*_4K<hnj-0b~8In;UvUj~;lm?M3L9;CnY} z`cl_uvE}Xi`E2%Kt7t9g@_Pu?wfM`Uqut^+yT$d*%~DSr?d*vRn{)c!rcEkEab*+Z z)28d)H%_1FJ&{{nuOR4W%Jl2;k3h}3gpYNXk3ZyJ`Q=vrFN;49MUDxTy5z{@&ABWn zSibM%i4!MI*xbBv<Hn7MGe|25ZwqgaO)$^%kGbUHzS+n4q>H_~t-bNZnj<QcGUv~n zH}lyN{)T5SA7-AjwX^wjUhvZMKWFTX&Z^|kG(J#XQ<J9lv$aP-iMwx(i>G{ppSs%4 zgp{(o9L}uVk@LFeIBq=tv!;FidY_p_8{Ct4H`)Xjt7&N5cw*?(lDWhydC9H3?Zy0p z!n1-hmM}3hSGR0<P`Nm-Vle|Fqq+Z|6ebs5{u~k8OB@x)IDUNpem!|#{UdpKmkCoB zPMtqLzTa|sWk&0<U%R(&IW#YLNkgsUVuyu?c2y-k{i@h3VVH0r;Z?11?(DONBDXwp zweQL}Wwl|>r#DlkJlTBY;pIaaoGV^T3|&!Dn46huyZp(K>i-6rYCG8k)YcR@w=QyL z)8tunHEXu5@nsuk7QH(PRr6W7uV0&*o0`vGz5Bod*Z0-=Ps+sBDg<rZ9>~JalQu2= zuCY<di8DrT4^7Qn@<?jsuV24vY9b;oO)*k7O*=DV{(NZ<n|zUn(npUUzn*-~*!ZO8 z4>|F?J^!Q`*?Svq=4?A2lXuQ%6K9%fTF#=aJQsI;e^#BJzy5el=HfQKEiC>oTx@Ok zp3SUcVGo-T__&Qn$~f!90z>AP#c?XP#XBVolb#4n(7G|fG1=|AmmcqvARV#YKYgkd z+E}Dbye2p%AG>(}!`iLp5B}Zy_ipd^dvlsEJueLSwdZVYM#e_R#p^ng>yH@P*x2mZ zy?gh3$pGs+hq<2iNSVj|-??pn&HM1vTbEAVx<9`D=WO;?uGg<RLq#uoo(p>K<z;IY zqV~}#HK_k5tB3pE;>GtK`=vb8iE`ZX#FD`)fBB;m#r6W#6N~vRyz1Y66r2}-g277Z zlhFf#>c3~B)?Q0xGk17##IUrqwD{)rZ`=F(`uzG9hAa@aEPOBUk$;}Bb>PY`#hP78 z8EQXUdD1ThO<>iGVo;rIU}n+K86t8;NY!(KjA2mA2?0gNc@2L)Tx>qhCu`Z^cSKF8 z&$K=3(t@1}_gB9M*AIKYi*YkoFN}-a5VO+h&7)hFPF49$6k_GNc2#(_mb(5`5#f~@ zD^$HEDOe^g;h3oC)MUf>^WkFle%T{3e);M}(pDcQuYCCZtb#L7{TXh(Egyb{?fAI) z@6&qz*0n_(EKdU#1ifHhDzL!l1as<z`9dq&ggSm}27a0GzJ}jVwd-E3de+G=y$?^n zd)ayL*~7axW7n3J6c?4s+?gG{wYPVdStvKN+FR~hGiP4$juh9ss2g?S*pWjA_ALN8 zcjKk|U?1>E%5rKdO6G53>c6+*NxIov2}TA7feQ@DptY9{0*4*^yiT6s6KP;IU6;^v zq(aAlvzf`r(?`dpSm2}qgF>6c;vPdTjU{s&rQh%Rk#cvUBvYTo)|m+}z3iKA>+e5b zK7Fx}59_k*FH`y?xV}7G-cw_1%zf%&(`&D3KjufSYjau8A5#?h`|z$6#Rl&`SzC5? z{M*1$ec*uB7OtIVUoq$(7P8ie6HpAZFmdzx%$Oj$foo@K=|j~+i_H~lEL)^YRVGfD zF@5h=j~&UMy-xi!v`nyEQXNnq>iJA?wZX!7%eo#Y+j%iawb^uEnqeQk$K>He(-is3 zTct~H@Yx;v7Bf9pVueVCx=H&o8@}BJ8e&$5KE_R3bzy47grIO)r&${Jwm*6#!70DQ z_u!+guQoqE`LFy)`lf$J?LW!R;Hc8y^!QDNiTSMztrR=yi&Z`MKP#7=uW(EXab)y( z*_gRdWpZx$rWe1mg{}78_n3Xtuxi7hqo0`W$ghdZSy$OQziIiAz3C~5YYu%jzP^5s zR?g%vmm}UYTSdg!rVB{kySi-COFkubyKU3hU6Z#oZY$#wUTmOSd2!Pd!`TrDx6Z1H z?yNuaWzL+xE43IyODyXeCL3@|@KjsPk7bWfQ7#l*`}yy*O|2j1`O7q0J!xnVJW<`d zOH1gZ_KK=KpKhineE;n7=g<q0{(9@UDSwP)*Un{Sn3Bcxf3NV*#G@xK`#Sb4wVvm_ z*lELz1-1@tYYH+9|6aYa)Z=9j{|=c)ZjF-zeeO+Ye(btfZ<5yHw%F!JywANE4!p{G zwzoA=h_TG)EtBr+MN>|B<giVA^HQe1?eq=R_GgI+>s}|!zI7q+uBI$o)zi4gCKv3U z{mVS&G;#N%lP<|i&7bXDxGK1bEh<jn)+0ARccbLJ4?n+QeSdxJ{5MLmvo_xLce&BE zWkT-9)(JXqHdu0qnJm~CYhJZs1&hK@*YoxB)w9p!x=VLzMJ?W;`1xh)JB4-auj*Dc zcrEj(QoEECt{rmAWmE6#tdtiopLZ<toGl^PEdN*UUEBIQ)>VI||5?Am;|f>%irOC& zWxTcDEDQ7YpPgnmGwEEZx#|fX_NGITRdu^f&nhUdihuRy?47Nxo@?fX6n}b^ad(Sx zw8}isEN5*gu6b22r}{C?e<itp&4wG?zM;z|exAR5@dYUv(Pgcl`Lg3f-T6MLqzKO5 zQPELj7{M@inbyY`_m(v(7eXEH++CousL<)oQ756mgx-h^5hVvLynauc`kF1ya&Bz! zJ1!3HsAZ>Oor-p@V{~1<S^ue*Ja@Q=s#~zd$#sVLZtPt*UwKRn$Y0N7Us1%nzfSGB z{i?fyrvLwOojc&8`mc)7&q~2LHv7Lt{+duJe*4=l|C%QLU)piDQTkufQE?d_8U3Pd zbv}#KKka<c!mat}hxDaWS+Np_AALXVQs%aLhUJV3)-N~jOkY`Qk+w<o_{<$=4y0Bo z{=U9c{))qn2J_34EJ{UL3(AFbo!2@rykEAk;0uR{%(nmGXAG?P6ve~uw@%Y6UN`m3 z!dq>8k8k{0RkL^f?BlU&2{(T9YH3K_cDQ(fW2?}#m)q`C{M0?fYx(2iIt4ya=Q)e3 z8*TiQ++z7^i!bir`6zBEJ+=5{=%ghT5}O=-jjUphyfK)#^6(Lr$#(x-3niW0Z$3RZ zpWlU%Y2#XEJv)v|FZ}Y0)Q(ETZm$!crIvn;*Hg4&Vfw78*LSXcGvBV|;*Oy9*=zPV z-4(6;65E~gcbVlK)?0tpKPXpzvO7XV$jBkLJJQwRds*VD)Zjg=j~jcB`h{4e9E#Bj z7P>lN#-++$9W(alFT#BKj=72{R3|UoVq*BaEZ;cy-aEg;_8-o&sm(nxugN8Ffzr3o zb4T{*A8hPD8>)16(P@>q++_zI{L}NPJ}@t{d&(P~Y_sc6W44_7ZMUw=?@FOZ!2Q7a zbLR5BXpFezHK)sKW7vbwx0aa))G=PINXQRdE2|#6bG66i?w*y=AsZSv9kPxlF4R{1 zqCdl+^GMLPb8qf3^D9aC7M*sgQqcOI-uH1`^<~z2?y16O>fh`sW881X-c`C#Ie7tZ za=uGvnuxc<`Hod7UtHhx#$<;G%$S#V(~a%-8M7XZpKp8}zpRSk+a=oEv;Et<6Dw_0 zBTp}_-&!)|PQhu*Q)kNA-%Uzh88mm=$xTL5OB_6(BwcJPsyLm&rTuYMx9#F1i&rFf zEV;GltP*3wX2(kN`SXu8ED`z|sN?^9`Ry0My2tNFeu!VI=REVEqyYPiy+-mYi=W-F zYd<gC!gD29L-50?g*Qb$O5F}-E%Cg|tkIBhKBi$Z*U|P>EP69mb==<K?7uJOh53>w zzKq6ThZU6`j5}kE^w}O=3OLFh%zITzA==G@iI4f*(&(4`H66t#^%~R9)yH<&8v2L7 zzHtB8#v8|1Pg*!{x@=@r_Scu|f_eY*#_x;x7xi)3x+UJRx2t(qhQvv{I&PM@IwM+b z@ygBHa(vqse&n~?{X>|mceRQ7tpLuir;l9XTyxoG*F*b-PCBQrPj`Ad(e!tlic+Ne zL{AC!HFh8Fc-*e#yv29o+R|F_O<&s=zSiHK-8nPj(Dk#X0n6^1@@<{y^~gqE%Bx~2 z$3yRo7Vic7!?I3(2<2GAagjsmPg9BJtc@jxd-6ZeJ+^<^1+Knpt^XF<>+DT`e0qC` z%}tAavB`T@ev%W&n{wRODCj^>xS;rwFu{`yQ8p_yw#LYREm`w(jcV_#i!1tkHpi4N z>+o6ZvG>EOk34RV622v!R=aGNoY)lNRd2TY*TaR2-98Ge+_|_y%X{q}-w!q!f4Gb9 z<$T#)Hd!{tJgbOt!C@22vW0UQ@0SJltbP2qG*EbN(88$q+>##Mx}P}|1eHCaR?fUx zY@)n1J|^yn@s&Qtd%pZ(GQZE2t>{$N3~AiZ^5yJ_9aDO}V|Z?NmF?)TwtTubBi6g1 zkZZmFM4=!ie$$WaPxrM>SlM`@Xvy582RR=v57N$``myEzW80OFBA*C8Fq@?|qfE0d zSb%NvL$U5zOVSQE`944VRnz&Nb5+vfCy!=kH^vpr-X<kGKkjprSibyAy+`+gLYY@> zy4zNH{>j%p(`O0<&N-2HGrq+*(x_xkoRo0C*tF9Mf-nEyIdAc^HEkEuQ?ASRr!TyB zA*cHL5wlbqo${<}+6#AAPR+b^{D#!Vc9AEut+Z>VMi)KPP@PwreZgtrE%)fKM^5)7 z*}ty1_SG@;;Rzq^hkM+XaIsl9hj=R}wgralx8V0U!Yh37<nJ$^4H~Z;$QRW=Q1L$? zDb<TN;^^9$>lt^>{_dIaG4JVV&Ur^p1#Ijpb9rCz!&mjN@2`fZ>#Lt%F!*U2bZX=C z9f!m#XE-Z|AJE+U^p1^+`I???(}hbbuetwgUwhp1{kkBwGpF4TA5*!Z7tJT8m?_yW z5%M^?=AWW^XWf&Jm$shLt8WwM68}3l|HU!>;+WgA3+EKxTlTt$wTk~qnEE<#vn?Fv zP5bwp+HTIXEX7=?U8qTQ&87cg4z>X`wJCFYoZL4(Ji0&if9=<0GHW+Ia<7)vtH0(G zb>`xBu{keSyS<nke062Mwz$y7Uj^K$6Ec`J#a8~fBLC;hzil7axrSE1UjFel+vDA< zr^@VVHRt=Oye`K$u3Ku~mKL|B?~a=q-Bzygd%x&+r1H+7R|^AA&rhAcvg&@Z(cMc? z+iok)iJz*rtocA$xU<DJvxv{;N(Z|oSNh(3mc7r+_`PrXf63F_!fV&GyD^3T**nF! z!k)4C&)%t*IC=wxzaD&A7PU_Mih01c;F*D85!bfZE)Cgf=zQN>zj1j`m+IlIKC->~ zcUJw<uur%e^ZB2{zfZac9nW=aPwaWYX?)({<>$jI8diS@YhL<__h5U}Qcb1na=$ok zCF`sH)4iJZTzZ#f>fbX>Ti5b-EOn7jUzvVo`<1Gq>sz#2d9B6#;$J*^?WY{(SDe1* zww9e$extU3-fX{%3Z<)zw9>xKV|A7NQ2u4H+VmjNNcNk8SA*B>_;}Ip;b;97D<Wr3 z$oBDGI_YHE)h&yz@ou&9d?Ybr<)!w`Z*GKKI`QjC{~6K0Upr>`IInG~7Bf}O)pFB* z>1!Omp?%ZMP)k+UyH5lcJ7lW-lRDZhsk^pWP0;+;{w)(Nc|X5ox^v<kV}`?)hZ&px z`b|;bOZv1x>4A;rH8IA#r5ugx1!PuDKHs4+>p;r)In&gpp9q{$kn$xmrowEO-C3cO zd1+0W9c9&@cN{zErr&Qo+gmE-Xy=;$k^=8GuW_93SrTBA@hPTN=f@elb!SAL?N6T; zGgIZj*E4Tpd|YSBu4O-0Xc_%`VS@NaL(6#+;srjoRF#(U1iF~;ZJFG0U!s0n;)_$4 zξ3Z?~zu&=Jjh^f8~Rb?t#>hbq2~FBPxm8eTbkb<XK$m3e=5`AuCU^zh&x1vPiE zthLjcn_RMGnRb8aJzABkce3o!gx%#kl8^n!yL0%p()4Lh%@?s0h#2#WGWIPkbU6?> zBcU(A?AJ~Gh+J+DiKs>AntvV>nfYIwx9DQv;v*-MJFoh5n=t6LuF0HK?KjDGrC8a4 zg`e*qD!*c@nCO$NA9#^J-7#G7?6DA`r<_*IAEj^jom+S)(qBL)$g<01^9rZiSF7~* z>~q|_@4Jb#K&pt&w;S&~cAGXl%q`H{lvv1_vbf15>PJcaTg#b&#TQ~dZ@dtjS}d3P zd+x)N4liaMaw&_8yytat58ELXE;Faa@9LJ{criaBjJI#nPHl6=s-*^3x{9rptV&ET z_Ar%oy`MCB@44s4HTi_zCi^<=I~ub5Yl!>n6?%ft9!!xx!_a+Bb(ctT)xsC+A93_E zEZJzeD?qP~#Zk{VF@9z)$BL`di>Ak~Gd?k|Me}3mQ=2>6b|^HjXqolXz4Y4NBPQ~v zLL29LXspPQ&?u}ofAI3)gE#v1t-Za8%cWHU^19YP{Tv!)F1tPX{}NG?nR}DF4VOKv ziqa2fx0@hel=(JmTdHBkd|Q`=cfP#KRy6p_6@NZ2G*`0ui^|7@w~URpnvVonzArrA zt8+8h<k^e6cO(w>iOJ60Kb_^*R<i@jW?qhd#*Jsq-5%;B6cv0*%&kA^lD<}=e)@K% z3zy%kE&G;m<*xjUF9)~yCC+DCGdI#%EOFkqW&6UUp0dB65i#Sh&b_lUCb}g^+Zj8* zSj2g2Q*W+o#?G0MN=}y*XC*l4_!Y{3`EfdPN54dz>$$^gyM;e>oLM=uJmBcB>9bOp zS1N5{KFR3&es#d_C$3*_PtVH`2ouuLvYE@$p#RKr-^B?JrkE%>{ADwcoVJD2f>*gU z_3G7#6Nh<u#NC5+4&5|~=yv(Gb?eGHwTE5F9B+2%e~FyDC->cE*NnZ=t9C!w{URfy zMoq`P+UN5745f(QGmov)@3`9Sl$j9Ibjg9kd6N<2``c3`z4o-moma7cbUymD>NWR_ z8|&Y`D~dXF(sPGni(2F2Ip>u=g-_D(n0)qPhtNim$4ssVKFDv}UK3Ekcm2J2pl$Py z1T7z<j@4~RKiI-1o7jrfd`-Fic2-}T%fTayr<!hm>Kvo>Z<SlY%6)y0omx*!e7Hj> zb>HTFClr2*?=qB_aW-4|i^dT*pO=hnxm+6;K1fO6R9KRo@Az2pj;BZMM~@>l{o2jx zr%#=%b8dCdypiO3U*txc=EmF2?-%T;GD#>|^<Q_!=dY{gnPsQurF~~i_?uSnYttKl z)rTf#-R=FoKOCD6b_TKN1aD3)l+It{m6-EZ%2KZ>y30L9{^g}NX(!Fu4-|Q9-^Dol zneb(+E7~sHJ2<#oc)x%D;x*w46Yo6PiK`e>ZeNYxBdcGewX-N9xr#|}3d@T04H1T? z-ELXjs9(LXNxoRBc}c(m5sq1@4O0Hh3A-%Z{xZCK8JQ{dc2@k9Ifo-8zvU>L6?z@D zE%L+xtB6_5Z;z&Wy^|7@VanaJ+99M|s;F+wPm$2w*>zjzuTM%fc9}Nm``qd3|MH*y z^<LE|#&XuSNkB&-;I;N;lO>WKQ?~i-m7IK^{qzrWhmCT*D{n9GV|IF76_dN_^~H6Z zQ_rklviHx~=vxyWcRoM7ba|xGm-jmke}BvNh3|y#^4?hOUcUz+SteJc4~BhUTX}V- ztEbF+1NBD*2l(vL19ypJIx<?ES`e9I?x?Ve?W~F5=b5&NI;}Q6DU(iiI4H7QQe;rA zv=INWgYV@hzto(wH&)F5^l-O6-_^5+gBIUjCG<1$_LWboe5yORi)H2G*&QDiuY17R zqw}Y%botte`RinP=WQ{VBA+a_#vyHXyC-u@#Es048fVzOw3)5%oMkF6IofI+arf5Z z)iI{`U#;sux=f(r`1@-w_9sfagfEv#-n>}&lBleR@y=b_q(4kn+-}#E_x<uZ+my?E zla4N(9UMQc(qiIa?kaITJLd_BwR{^_^@eR&dF{a8&W;sgtunXn9DnLqvZjT5;xzG@ z^~Ys*t+QTI7Lc>DfA4{(7cU*xTNM_>tC-AbX4Ktxv|rl%)|OLk7Z&p|rRU6*b?gr2 z=+g2DT43IN=-^Y~@W!5d`4i`VcDc09wJdwljz6w{D^4*zpR!k_JlL|vKyk;_0QVKs zH^1DHv)pSjgU#B6dcm;53t!hc9*;d_6uL9+=cR+w6OYDN9NYcYSNxA|NS?`mFSp%$ zs@~oXW#LVg>e0C#JLh)m>KETd6pw#Z^jO|MK_M$s;P<}e_FeV7+S?m?&T4iQ-(%BH zT9?ie8YgP8RPDm~OJ5chzpB#maM5|XDe3tZpO5<j#f~&RY|t`(%@y}2J7n{`T}xwL zh`!kTVrJo~xXzR6Cmc&V+@emsn$&n@V$IF%+S6xpo{HQZReoc&$sa3?YQ0w+s!lh) znDfnB;k@$26jKZ4T-Tg{(9YDNz{MO=pIUW(bR|FD9yyUQtkhiU$i=OUC$+pfewoBB z%;qkM*k~ZouX^y_-k*m)?_*rpEqN$qVPWL#x3~JPY^`$g==7MxZJ!eDxJ*JzE?V<? z_ElbuN|)Znd7|3OTY~RhxjyNtI)~wva{*6|RKHpMmVN7f2H6v9D_OS)tJQaIoO!oF zCbW~$w@u4PT;tsLPacn~6;?f$axCHc@6EROFnh}--RT$Pc6_v~UFOldc;R9tmR$jw z3R<gGRkm47kiN5H+V3xmZ!vm&oVHYS!PmvpHSU!t>Gpm2epTl7LUWIN|LI4Z9=LZ& zdp3M2Ssd^x^z-KQ8Be%kdSq|q{8`ubOO`R?bEBu2z=1Q$8k^cB4&QR!5Zhc>ae!ak z?XRtMqTj-_yvbH<!m8@uro76S`zllRs^{NJJmPnGOjy+}v9)h|8_wJt-7IdqLpsrT z*F%@P%$FQ8&S)>WYw@%C*xt^bmwTJ!H7EQqZ5DWT)7NqeL(k%=rhmD1`5$_0bx!Ka z*=ZfzElj)xq8vu?qGoA&F_X9XzK{>H*(fyggy!X)PmbRFcDwv%(ox52a+^yI**-bV z{QGysqy3_*mWEwh_4w7RUon~@>yDSKuxmf--G0M5aD{C2MuDb$-7E9?rr0l*+n*&; za`#oWFlTJLqHy&s!=`sFcWd9vta@m>sH)|+L`SJ!qpHZ2Z{K!Ttb6gl&((56z{!`f z%I^A4Hky=QSr%*~Uv7DJSD^48<**}ZGruf#cUSFhe39{}%WbmtzLtOU{N`-FCt7-9 z-;O(1CUZH(B^=gRP!w$aXtB`oT=t{Omn?W4+Gr+zWlHyin~7}3_J=i>R=yM1)ja>6 zC*ORwjrX<0GUO$Lg*iND<X=`WSsgWJM#Nq%hs|x=k+P~Q@2z@wkHs%&*L5*HFTSFM zZI5cUDV6dEb=>0%C~iFQLgmNn<sw3}=7i2>`|?plW=_FXtF~EJk6diz{rE+M)jeQA z!sXfh3M_|~-@LW2;6%v5W9|hfmL5r*?_JY!e%h>>!=)RRuYcuU{4HGV>DC*p$Cm#x zpSf__q(Ad(O?ova^nWY-ePa0*ugMeV<!s<{u9RbI6#AOeT(j0J=*$I{uGj^8lkV)u z=d-%5>u!2szs<+=dOO>-x1Qb)aNV%gwr$}Z*?lo<KUS;1VxGUYBz}58E<@&$zu$i} zi!HHlUd(egu<?P!!rYgMt<Jhe^}iLiD%ng~W_yLnVslrvt)2Va+)u0qqFY^9X7<|0 zy!rHO`-@WBV;x^zotAOdGTYzE|9tVqzXxUq3|q@j#jn4aXfb66!}*C8tZ|8)suenn z%MP(DVPR%5PrtE@cgh{v_=#s$mS+8z+s(+7_g-XMg67;-JLWalEJH6OZA~uX4R@^7 zH94{9)hFwRN`H5oFYkGGLS@1G8zC27KPox8?u_n3wH|9H%MWXoet*k$h0V+NRH0Dd zv-c?{xTKi>Mr?>^J0sdBwLN{R?E=<WF=0#f`ko{w-8??gP=RaKd0n|RLOW#Idi|B7 zMQ`M9(42edOp3*g;Paa_6N-NbHvB7bNS`4qGHbp3Ee|b=gJS${V%@Fl#GF5V-Ou(? z?TBVN@9JXK*J~IbE$;FOUQx}`+@5C5dg<~bW`E}8cbvUSLo0XAeR`YmzhL6=m%{vO z&nxWCmK9!DQ~Ulv*Q}ySXI-U#|AqeK2}`mCcr&wzFfcH1Fqp?iMTuUMtjd&RWC)OC zWZ+@oWJoMc$;=NZ%1_p-$O{c&Wng~weqTBRtHZDT5jhoMh8C_)3JMBF1_lNO3JMAe z<|&2-TJMq)HeFj7cui|nk%EhDd!F-0<285Ii!JQ37Hr7~(~(ruzyD*sTW3Vw<_Ati z+jhD5XIxurw#Gj8$obCoyYEeZdi7<Bfxx0UF*jTmIKKMnnBVfG`7*;IX6fsFaXJde zWHh8Nyb1A}ZMJC-|KZv8>kEah@87O{vim9rk7CcssM8(dh4&&Jh(21>xagxCx4xYC z&9J*XVj_LTynE)VRKKcM5UY|mKN4mupIdCu@Ziz@9j9iL>$ZQi+<ZliTjiM7jD1Cd zdM0VB*Wcl&{&?t=yIR)dixXyi&+7lBIN9Wn`MSE|ezS`^s<=F>^0$Z0O?tYfZpGb% zTd^6<U6L((v{yBV+&FEKWp}!3^?$#&O!E!3u3eccIEUw4`??vEY%XqVcJBIellPEb zzzLBog`nuG+^?mp;^+U7WO%+IUf*sRUn!H~Iu#@3OEWDBi+b-)@h@?H-M;O+IA`hn z55Ht{p7)4P5Bu=*{{QK7*L*6vy1Rf`_pI9AB(aUQ5t)qHhxhhPxZ`8Med*wJo-VnH zZtgW=X&YkB-Mm>Av_11D^P*%mUpr6R)4%mSK7Ls6iRsb<!RqW&O>_Qd{8GFrv@u1x zdB4BV%v*x0syqDlILze}^>|_UeTBnP@jX9Z7wH^(qn`GJqkLvf{j59X?zy~IbX%W` z+-&pS|G$s@nEK>R4B|m4PXpS%T+)`@SC`<w=+rg6J+l|yKKSp@k6BA?o*XzJwr%Iu zm6zG?#I2j6S0O%IdzW%$>*_<=)pKkVr|;t2>9^!cMaFGQev7l+*(UbSPJWb&I+MIu zXx@bbO&iVBj<!uS`(gRnuwT#T=RP}`q&osZOF5cnr!VoXy&ucpD^<pKY~B1d%1JXk zT8p3YaHW4;(6#?=m^RaoyH`z4$gQ7xqGpfO*LR#A7mb?REI-e^B6|9a`~2OEhD@8L zN9~NBmBCSa@M~PUk^j_+Lhio&>GLErGUv^b-ssQ}^<;u4*VS8LJg!=YOV7M0j{G7N z&vt3)Ru-K}3l8dYz0%6A+!5@y_@3$_i)%-=tv;h_;`#IYQq_O6u3a;F#=I?w<9z0l zuW`~7c)F~n3zcox*?w4M>S}wrxYzCPrU{EX8ori!&;D%Px@fgIjW;(bPTcm&q+yRA zd#i(fNqN^)&cf=5S9?yc`zmG<x%T;#sWn}ki{z#(vAP-bjUj7g+jHp<XN8Fk*_qz2 zHJ$&nO7))6QC$0CrvbZ>M)!_A$FC}xRcn0hlHXs!7_wl>-o4u%8r)hGST_Ab5JRg$ z{|vT0Q^X3iwo7vUTl4483Y$4)$5rc=%)43f;pmeLJGn!}mOOHPLjPRz?-<qh@#*~! z`S4LBIrG)+ozAsZ&%S()x$^wHv`*mXr^c;}JZu6ouKYDlF{=#NYF97y%;Gfq@csDG zQ+vzn)A}Vg<Yy%CY|uXWyySYt&7JN1|56sM?4Er)u-CNZbLdkUlg}%?Joj!5kh%17 zhGI<Pj|yjP#ZJv*-u}xMPHtNhTkrJmd|v+Ey_(t=8sFq{FFra!GvrNNPJyOi&UVo~ zcQdr7DLK!0Vi3^GtQ2jvuj8_f&mV(8u^k`X*g{k%UrI4MogO4u#~`!NBk+n}e~-}p zKNa3nEOuV%+2a)9%ile#;RxT=+Ut88x!luD*|?`3zQJbg@mpi>pO11oCi#f`;$I=- zUFv@H-1R%LNxlmn9^s3$e_mGhJDf>Zps*+<gZZZ9OEE9DM^j#IVb?pd?c#&D{{jU^ zy39G88xmF|sd`CoIv#ySDrK8+y|l8CzboUs`go<&`YZf74kWI)>6lu#cm?OSbDds4 z%7Ru~<hPV4A6+GCr?70<&Jf#s%9q-9i7vHvxL#>K`J>cr2loftU$;*yeSTq;*v|y- zv`2eFI!i8fEUu00WbK^2+%)6Zd{MTUyGnmY1>eiR79Q$+dPVk@-!We|tL<YgjSa4P zc=f5>@#BxC=;nDRi~h2WKE1|ig4NpI14ViN8x!AJau+1~R5*W{vC_tFQh@4-y<rwU zE2plM+`}$er+DV&joVkFglA`;x@Ne2Qf9N7uKLTx&9QR@Zcbm)vRYY4fpc^3|Gd9m z$J2y$UoE}2Vj+LayIU8X+P7ZrY!|W6bBUF#d}(A|$n#ya=<CB~x4n1uzyI4W-mpLY z(&elrp`Dv~-)`ITy8qN?n*+<Ab=q5s@?ZNAR?T*`pnlhs9ba$GDajX`SQso7nr1$C zWmeecUtN=THW=p%e}67E%k{9*kG#_tG*84l&$NE$5V<J0sr%0wqemxpxXhn;J0RqO zX;kxL?sTrJyGl2Fm&kY<C3ikqYJ2ytNYUMwS(qL?bgxPiU3sl@L05is>c4HL6W?#R zAvkeO-!JJ8DH4Gp8Rxxo<MZ~3PyOm($3N@VLbpC2xtk9br5|rPeofN2Cgo1P_UA1+ ztKLgI(P>{6xn#=I=-u7^`?;ST+Pb)ffy3%))A7uQnmguh;gEFVFZN3Q)cIjHi}v(~ z#RBQ;Pq#2XE;_7v;=ZGt)|s0ZvfOK8o{E3E8*b`udBOermkTStb@Uv)aNgtXzUy(0 zyJ9c@oYy2SYT9k|i+Mk<^@6Z(>5Y}umo8_{{TsjG&mWW7d51iOrvH=QD|lk*6ce4x zwTVX6za6Gl*#CL;q_AXVT|wx!puBp8g_mz^Z8ZNPEccN?(dT>Mm3i|-I=2f>QMWH# zu=3HZ=^HjWi1H+CIQ@S2Vv(&WcT>K)8nu;w*kAV7=rqg9Li=Ws5?vM3X@0p@S}{UG zTH6k^MQP}$oP70R$<mCvE^eE7&GC{?Y~EP81{dEtvhZ05XKzAz+x{c}QXdo;z3K_p zSjaNDX7z>_#m2Vx<k~)&L|;2{`T5+IPrUAmLf1pf^4glFZON$MnQQ2NS0!fd!^M`% zy~Bk)=07{jYBeb^&+zajC#!p{Yi!;}_5ZCDn9$gM%0unVvMrvDzusJ4`P5_Q-{<Ms zQ<E-rES&geQt<TELSYwf7puG5oGy)8wM4_QYW}}D5p{L`zf)C2T_63nC`?YCxa_f< zWYKaH(H_-`UHUgqn|)+SYM!MUvge9$Zsg|7_g|*1m?c&wIc<rP^*g&Ck9ZtzFU~b? zyQ;kW+XFX+R;gUW8$RnN8}bL8{#N9$@bscdK{qb9I}3%+aCj6h@|<<bd~vm78VeX! z$?nngTyWE2VVHg1+==;DR3fhb>R79?Ri(+Y{~CMnWfOia``Y3u8lUDaoqY7cLhpqc zH|362=<#f8jj?jC&f<8%?XqAY?^E5#>~kNqq9(aqOxch;{nbg&9eF?d{;bY<cR%1m z)?Y^k$x}Z<%U)#^v+cgU-osH%d8yT}%ms&EzHH!saLhnt@6t8@_cohPvh$nbpzg-Z zH+SOvS>4R07hj1-WHYVhP>%Q`wENl5|Ez*ik67Kqm74|TrgtoQXnp<ip3vWNn_|}* zPdYs%<gIea@(Sg%J}nMhoVRvu_#Ku$G4UDCj<9L&GoSq0I^%7yjnA)nm*pSrk^FU! zN$ykGZ|~(XM$QcfLJmbSwlp!XyC7PAty8{$`~Q~8otoN()75x_wkJfkWF0stdBRCF zyES`9$*ZsxW-8i2=Pu-Yy!?(OH?(r^ncENlNgdho-%#n-)upa!N#Y0BOWVD@Ew|#< zEuo1W|DE)B9&Ffgdc&XRKKjRAO^}yn_F5b;)4prA&7%POvW<;Z;-{)Po-UNp&+U*e zc=(awY?{(C{g}VkdcLTCeH1)hZAn<`xzIV<(-wAheXq+G`JJ!3q26+8a?iZK{W24@ zYL4!kSn-G_DC5d$mu<^VL{3fTp79}q_1gD7m01TFdo|NNeykUGcgS06bHv^wN_jJz zPjRkO^gn$rO0~UUR(i$Odat@0%SuDGPb)MOUn}ykr2lO6l<mdgyR-VfPF&jGVEjn_ z!hz>a#qvtu)~`L>6yD^-!>!J#9QCQ)EIoCqO?dWA?VR1K!j|s$V@UkpwSDDV!?`LY zXQ%s}(pWDy;bYJZ-Jm+AZ5L%$T)ltthpNqwdtYKa%S9KT{3G&OxLr%sIBq*f;-Q(W zA6|3Vb?mNS^x3!K@#>(*na9efUkbio5bv$K;M7B@#drDwEFu)&<n5E)r2CZN(>3*} z9)Z7?oBePuU$Nip;i2gVRc~0GzOw$<&3PZf{(NdK68yc<`F>4ql*;ecJ&qS`f);q> z7B^Y1NVSoyJ65<uCqA|;=A22|+f#iz3f48d6}K~Q&NGs2Wet~k&dIn!yk}9-0k$iW zUSXzTH!SD>5_lc#)ZERx^u(q8b0(Uai9h&fk+kQoSyAuaf95|z)^47yb?fojr|t@` zC;J)gSbBc0&&Jsdw@QDnTmE;k?!8?x&8ydO7jo}Nl&Q?Cy8cb_@DaI0c`c*Y=R1Cu z`B~kp6_ZOz*xSXu#pPaJV`jgVNP%`?<ge^T2~CxI4--58NiS1*)NK8~o$<}@nEIwo zhd3KQ#;o07nsVme<#Pvbyid#XuAh1Ran+Ya$Im%W6Rq20erHx-o0fzumza9d;(WXJ zj1xC;#$EaJY2#99X|MAeZT2#7*3J{X{LsyP-XT|ek2xV#B{O>yS52I|J>G7AWWbZ& z4%dqH4N6K(qPA{39<~^yE}O@*v`Mx2(x+u5`<W)4^53_>Z%Nf5D}_04Y94b}W-nHE z`FrcC{*(&-))Z&STi1o3D>Y>7`nqE=@5bFPmLy(2`FSSaq_44}UGF6POBUV{6SQ2> za{WR^fcu<4{j{D(%8GlZ-;}(1=y=}wr?#KHL}jLCM%xKJwCGW~eBMa*_|BVxx3jlD zO<nTz-L~qTvmec3F{|qO^>9Jj)gMKHYfgM}@H!w|zN$d-%52*M6AO<X`dU&Fn7*s* zuBUb6|Lwk(%M~Y;GiSW_3H`NWck<=>X!*6#mz0^l$=FPK5&tj4YnN1btMn?5bg3OV zCe77<4=>%|cJ9(-#WczJZRPWPY|g!Ckyp+6WczBOm})e`$*-KzM=$d#tljwi)^9e$ zZEOZ9H(FUYEtz}fTFS)E@UqA8Khhjz@2W69IlHH5LUya^D)I0mu{&?QZ#%calE-ZI zfAvNC<jQ87gx1KZo8>S?&N%+v{VT)Kzqz;VGh9}xXO@IIKA6Jy)_&hkd%4cWi@QZx zxPoIUCmEf*BWEky{;uiIDL$9j|Fy<vJTw)<;-!T0OTRCle&t<{e}+ep+v-!6OIwuo zyQFA(1hgIbt9Fh*XYc=}mzQf_znlEHeaGg^*?n%^NB^Gazqw2({v^MH^Q4ynq8|eP zJ~?yp!Q`bTVVS{3S7p*0cD;#o$?d<eVD)yLv#U0jlsy;KS+wG2-0y1w%?I4m!$bGi zef0NpY*_bC+*oPWw^E0gdH&oly?;FOoOGyZ`-D9@k)8c|Z6PHeYcH%ioZ0s?&$p$s z=+gh08#-C9Z`Ap;adAeNP0d_3%?GOID$Vk_HY}6UU;I(0sA#9X!wkdY*YkBd1-3+O z`+45@tL==3;WOfVG!MJ|EPQK`w74(NzhAL-XQ%qd)92bIPc0MB`Dnd;vA;s;%_Xet z?O(lBC)MW8U+h(J#3hC6OJuY6O_K_zD?R_N_`TORofTMd?Xtu1%Pey~SNwE#G@1P3 zUCO~+_R3H>rsTux6K)+?`_uB=T^8e-J5N7L2vnbWJ?HZNjNdQUwz)HXlsJ(0ck0P$ zXN1z*|3@VhKKpMtB{R82S@eQdNGum`Tj{P3M{i%b`hCspIg`t^WLL1Ct=rKwE1&7_ zRFjDsvvw?WeSSc4x6f3&=tiF(?JDX?H%+2h8~irvn=YCyw(HkYE9TwZ>0c#_mrPUO z*Z$_Z>ZVn~$%?di5w+Zp_cPyoDzMhr^n7i^?`?P4%bni}b3DAy@_z%1@1j2@0^c`o z+pMZ{VXxo^hnA=%mu*{|A36rF^}E97p(MEa$MzM`@$A1Q9&HZgn)gzgJ8ddI_u1h8 z&TK)?ii0n-Uw$FT>2&^un>Az56j}crSG+oHkDRVGdUxu(x2j<Gj^3+s-R&z*roRtX zxX>K`e0`kafvn^nr5)4rzT^qj2FAyU@+JwbpP=_M?E2s9-IjvYJL^LA#O8k8%v8(% zB5tR=c&0?IYTcP-ykFky%-F=)5)gSja<zXZ*KtmEkp)3ZCZsb2hwjVk(sFq7r)I88 zl=xCP?Z2hmY`PPR|2V&0^FU8>-|F}46m}`!V&PvKwfw}n4fkrcOF5fP_CKw$IPs#B zVO=xl(Z~9aSLeQHnfqHoqd0Yu=blUF4S2lQ*{H@GW;(y|@G6Uk$9}l%O<kwu=K1aW zJ<F>9zDMQ-<^Gd6aQLKB5{Jv&SMrCd^4%9N?PYh|^?wq}*+gGA5w7D4+LYI`?e=ev z`Fn_K#g09Rj~~>h#JmW(wPu51$;WP!0G-cn3}4LEPA{Ci{>1WoLEQ^C-!+UV*!7>Y za@JX)83j9BE?v_8vbQG2CqQuewq=D~ifJdy-mHlI9<nluc>=F_Y1OPR0e)RmyJDE! z)I?gNms?gY{#hiD_g2CBq=7rvSMDd%cIt08eb;zhcp>{MlgLdktZXu#XEya4JAUca z3l+SwpW$l4=K{8rS(T+bf|op-zevwcN#n_N#;f-u?-pw;W&6a^;LUB?`%+(7gXeB} z!45{*oe6imnib6V#~yBMzjCf3-_KEgjc@BzE$ef<jvGp)-um<$JiqXUxdo5VoTbMc zb~$jF`F9o1{&D_q^O>lZTC2GKxJ5YHrPNxQ?R}cS{myLuMP6?a3HS2$kF{&})qeUL zl>IKJo&9&qrU>hU&zjF(Dt#W%bi;zRNOR@J3O}{e#=T4|p4+O+)_%NiyiqRr&NJg5 zg6|AJuP}VX!SQ*T%FgS2QD&<8XRfb#Cav~H=f1~}`#u6KvP;cdm-D{L>$ch$adz8; z`*PQIO?Wb4eNn8u%6844*I6w*R!zR<x3PXhfXADhe0#P(p&za-*lgKlvr_H*>A22a zdG<M)UlX2HlvH)t<mlgA6V_kz*DFyqtM5F^{`JwU{3}a>a?VWHK7%)d?Lqm>`dQ~C zI*(1`&kH<$i%+GjNM8TuO3@^fo(Hefjiz~NPHWqJahc`6@>KCtcEt;~-nnhDR9WuG z|7dQ`V5_&g^95JF?4HgzIrjWE*8h(_$el^7zO(gH<eJ+jMN7^ekJc=_$v<<AmgPg{ zXs6bq#TWgZ{pWrt2v<3l5`AmgweXqA;px@fY-b*5J)LnsFG974f70w3mUT~m<fbnR z-&n#W&%B2xl-=w2xzfqAxvQ>iKG(B~x#3dqc8_T7e228CPdo1_ZixRS*PrLSp)N(o zt6<(@8;;yPF7x_K%*r~GcC6u^kQMg$o6;e9W?3oWt6x{8o@r#g=FA{_D)s*cpQu+m zx4)SytM|b4&dtB3b0RdmtYl_vW?7=jzAV^l%Ha|#71K(yZvy&FKi$sDmtS`{<lA5T zxHvec>e&>b$W6KX%TC0L@<=on*Z6<E%XNKox?bCZFK>RXs7zbob>LV|ubE@y>Z86} zcRW19vX%YJe%bb<YqHl?uie${wwz(cbv{WJ-h%CXU$0nB;Szh`q@ldf@KW0RDO?lo zoU!FM=d<K}S9(N0Sk!`LSF?V`ix~y)Se+$nUyA1*3i)#L^$}BhsmN~)=}CRw_bpwH zexIHAZNIuffmervK&y??HPwm#m+zC_^TA$y=HB;KJ0`R|+1kpheBsT~>9!?PuQI;v z7j<CkXS@}9KIqD!;!np?cTeXz6nn5qo=aTKH1zje$BSCITLW@Zo%E;AXE@NUsI!#o z)nS$^2PRw<J(0+A<8<Vv`)>j^@hqJ8OLj_8IiE(a-qWNfwb$)G@`p~7IQ8t1P;mK% zxf}AtCD<w(^YWkl{G`RAbw_{qrMNu-ThAvhZV6z2t2SlQ)9)*~P5<!ze4cBOR#BD} z@cG-ht30Xx-k-_>*DG~@i?fmMS(mfpm8ff<OZ)~?rdrM^iQ2u-7dKyiz9=cS=k>R& zf@b!x1FZ9_WxFQk>0K&*-Ns>F`F@t`=N&#dcKj~xY25s8XD`|w5)pe&W)pkQtdFe^ zD_Qy#rEetoXFgGrQHc2-q`bGx$ab#GA%mL{Ewg!2_x*W$TU%_;;n@O<#f+w(ZBJ}W zyWw@@EALgS>ulF|%~s0r<QJT@YO~&Ab0?*Gm+br#+U`37w<~eFbYxw)pp(jPKe^RJ zVE=l)?R^IFbDSEt+~m_x5*O}Dzq?87uLsvU^~t9X1zhTvvUr`!-^BX&PI;sYhvimp zo-a(A_a`O2y<O)O`_<&h-CC_}31;<)?;qaq4|#17_w;yZh|ake=heSV4}Q)5P~eG1 zcSb_;29rf@+E1^B<sF>Bn!m4p%X1l)#Cwa^cyriZSoiJ>-%hq0AA1h8G6{BEdR8TK zEO~vO_?-rgmHhc7G3&2RKcrrHPcf-;SLWKSUZ+jAU#s;zyO&?=f%2l&&VerL%gq}C z<C&wE|7Y~zC{0;#X;XWsQ{GI0i&Or5JG<ar)m`6}v(jf-c^uyKPH4&H=`Z?4a^-JU zT$;)-NqpspRr*mkGOZjVjxL(0E&f7Q=4jYD&FomO(2q`xS3i_V@;96gtnjdkI(|TV zj+nV@@|FkZqIa&avEjM?LuSciyX%}D&w6;b1xN4s!XC+=^IDFx<Uy<D@4^Q&-*o9m zOsiUQzu#%chDE<_vKQX2epFV`b?S^-lK$dn8EZf9=&H5e8o^faKX1#uroh?n{w~`6 zenZ!+dFj7bWwo#S-`+Cg!=<$3Wlc*DS-cdLuKzpv?)%&_6R9ogvkT+Aq-+k~Kf)Dj zdB5cT`a`AC>fQ&hsqYQeWGGlLt>}x&xhK2_C$;tQrK~UCI;&_gck{xFo(y|Fh)Y{m zm%R!5_|xd5_^d^%*De>kCtVmCH@~+h<7daL?s;#G{r4LlEL_Xqw))S<f>na&=6{-1 zqqE3lcJsEV=vS*x^Ue+1viWXku*5W}rB%P0+EwlzpA~-k(waX#PFd!QZ4Otk-AkNj zb2C<J+xFFm?fM*qj%c-dl~hbx=v49LUpnKJ2St(rElS@C5|_Py`uWCRkN;^a+f&Pb z<zHA}?`$pc_01V;sd;yIGDj$W_g&Oj#;l!~;b<PQJ$&=JljrJwHpbge>-av;?B>G) z*Qphu5$At#FhA@5+}ClwSM{WpinFNx!bOXG)*t)Ic=k-QsfuRs1pZ~pj(5_oiaW+A zvE`in!?N`Bog{A7%Tw2GFs|F?>@8NmCMqi9_sc}bCXRL4S0m@2*45qo#OlaWbLIG} zADr&jb$?kHAfkTc-MI(RJF7e9>Au)~tKTj5gPeY;?XkM^*(aDzHCUV08m?NRUh|9b zkYL;C(4sf7!aM#lz764$joY$#pVg8>vW~0c3$6+-6myiG^>O8ye$AJ=O|^`#Jp8qz z_Qr+$M23IwBtK@{lY4ngc0oOl-jn9~HtXekt=~>m@ZR_G#m44}%E_|pJJwvgGhMuB z!2>bltTn=}I>{+N3@!;Q>Bu?vxZAB^am|Cy2Zx_M%?=Ca3eb9@64yJeW!~$;|3U3f zl#<xFbLZYT5ITQNeno5fCG~A8>U+1XKW8P8C3Ssg<q8eMMY@+9s~TlC=U=-ZY^`}( zW%j4rMZN9*YFo{g7oR9ijeEuH8*5&E%xOV&bH|m2r*)yM2Wy+_t52V~>ikUq`c~1e zj(;`14JORZ-g!#jd)jBc2j65YIM<%PaOce1w!<g)rCmQ8>%8%?M#){lKUt=Vep~`? zmZrxs%xjaAJ9ue9WA}t~lkVC4lT8EjGt(U}ANaLP#{bZpyR&7@_<vazCs-VdHePq% z#!k)9|MuBDjfY#^xBS!+m3|am{q1|QjmP`*`yJYtI8yc~fBic3>DDa&XE)uSHNEw5 zGwC{77`an-rbs|?{@M>x1uB*%O4ltuwB9>1zhlGuqUn$Qz23bLuYaJkI?C=rQDDN2 z?i-&!-paLE)U@r)iPW-hf1iB2*#1p2__h>pOJBkN9jhKC-kP1Qp*+9NF8byyZN|Ap z51UV0Or0@r0V`+Wp35t8CKR0CdDC3lVf`D6d$#t~`}a192V5+?zJIYxv$WI~-dXP+ z3ZE!ixK-qN*OLQpX0^IRT9i!YkWV~txJ}`TGtZ*w527sBTsl5yDQ8*LGP9@ZE24L9 zcKfkhrt?lv+`D<kLS==l-wX<Tg1;-ImI!34s;cp6Shz^8Kiw05x7|i&@xvCQBdlM; z+BTFm$`p#M=(?O%y6O5Zh3ETX8(Qu@sjWG%)Pf_*`EW!~S<bE{4gc;7yB*q8^!T^x zhY6{+`x-)mdii&W@|}EV{%&rju#R2S>lG@u+$)3o%Y7Bk8UJedFzuY-J^OQ?_!8b2 zvvYO*vWzV~dGPtGj-=ViiAEVszTB&8GCSg~<)_yitg~nCJ*p>pA!Al6d-=B_srkKm z$~igb>tqj@vt3tN+p*)<i9KQ)9hk1awDXr$5$OB$viJI0huu%7>wXIE*{bw<!HZbY z#)|&Dy$Y$77g~NE`C$5d($^cuZ~E@FGy49s?nT)P^`6qZ_r9FuzNez5UYDi+aNUuv zR*74jXI++bnZKAU+OYbv&QZ%C$4P-Td+Y-bJ&f{v_UYjFef_eYH8y&ZR(<w4F@JtN z)-R9W<<1#a6KM9iY<}vWSASmxea~AVz3lAH0F?>z*S=f0T;xRavJd^Y6xaT_zE@#| zp_R^Y!Q!mQKS%FAxO=MWz*WUrhg#35cCJa7&F_Cw<4;O!s?~N^r@Vr>p)a>7?eX|0 z)jI8Ulf${B0Piom-Ze^1Dr@7@d2dj6M*sMx_8U?wTNho{`1X?R(lqh&rGNX+FPQV* zPWS#B*Vh~QpX`}E|MRZJjn~Wly@J9oExgUW>4gfTKQp79gx;FN2TpfeJe8Da_sv_9 z!Rz+$?vt>&f|nY%H9r2N+%6q2E-AHr<<6R|+*QAd1eXSBn?%+%W}lBU<BY#Ntw?@% zK=C5GEs^`%%a`~0b93?c967FhY`sohW@mEz@iR>~%+u#rNbmd*U#fXSVOPZ)<%F3L zCO#fK{HBF2`;STd7g=zjFhb$auf?l_8O07+a-X$#Gn<%k+AYl3ux0Zf?j411x>jG_ zpLM7B7Sr2LA79BgZ{F9lu|DEhyoq_H+w=VTB4*tS&K;r(e<%K!cO$NH?+x$lpWVW< zd<s@<YIm8-mQ{UG_1MQ58H+`3JWyo0>H6v<|CuQVPEWbDx%=vq>SK$K@cdIYwD>H% zLwcjbxuUm89y|U!cNy3x-g>&4XPVuPljT7^p&lmPmsO{n3VOL=le1Ps=kEfeFo*S8 zuAkj){nGEK=^k_W65lIudCh!t76D22b7y3~N&AZU`iQNZ!EWI(eS*nyA)U4TJO8|& z+Y;BgVd2#)PJB-c<9#(Z>#r*A+Rj<#_2b>=M!v6$PwUjy-%8RvS-U^`BICXOk8z#7 zhErQsJ}BEWC$nR_4tv$K+btLTCtq-xa{f>#=jS6qeN{Wx7J72L`?>d&@aK@f(b1o5 z=iQ1po&GZM-^*34R_}~#D*GG@mo(nH@-5_+hib20`sCAR)FxjkR~N4KS}iHCyyAI# zDm#1NVcn!t>jS5@?kc*(=v#4X>ii8nOSe68ThY`!@yUXHXUg4#i@6M+R?ITECUA~j z>731@e4i6VE{mrWNA8RJt(UdlW=g!F$9v<yHdEge^>Q%v_}{Ugd*9APR{ZgzlnrmS zEUP|0zJ2hH+T3&3T^2H0dR#la-bml)!TY%jyp-~GY!<jEo1nS$zS`Zs1r09Gk7=KH z5EG++F683!Z`Lg)$AV(MN$&r+hU@8}sU;3vngSh8jvmam?<GQxZk=oLY_6`(lyB2) zQ~Hy)`_3!OVVC{*Qz5tOBeP!FM78Heu1Eg1|Ist5(c`=Oj5TT|o7wkWTwW~Ps}yv0 z{1*Ci@p{ITTlaRiW$#GYEICuwx=rKBq$e&tJF4t$7VKO$)A(cl*|NJ6CGYVi1_mxE z642>1m?i(}^~BHpr<27#FZ)zsm!Ng?s)OHZ2B`;Mqt^SKSRlS;O6;M^nV0<9PwdV3 zaZ~4H*~5+Rt^W(Y30jyZFO+hdd+j+b(dK#w-=rhK`+v`!wNCQ&^P`oPB3_49dKcAw z@Ho1_=dFaQ#t!QXzg?6f4k)!Tt(1N*zTN1^o}H)n-7hM5l+gRPTq3*n=7p7&e{vqC zG~K^)T+wQ0Q>5Fywr^57ul!ga2~8LAx$Xb+!xawanTh4^c6`)4si^lTW=4MWQhCmW ziYMQ3-`=j}Qj@@z`#tn^ZOh4LSATrj(|&jR-9JZMwnT*I&%Z7*%hTn&=*yBtGmkEA z|8c}sZ6cR|vC=i(`R`)Hj=G6evftV-er0`h+6iXs(0Jo>Q%miXulpTwGF;ug{N>Gx zSsLz-XKb>2)%@C!OM3DTHTA`>URQltcusFVBS&DeME#o%<L`afpR|81nJ6dglqAbj zR4H)hn?m}<4MKc9sp3w>_Ku7_W{Io69=;o8XBJmscFT5qocdhb2fni-H9!1czO7*m zPib}Fr9&20u8(;PE6*x#e|R+XyWN=;GE<bEZCvu{Zcw_C;3OHbJ)NKJe;ZcW#n#W9 zyoo3Lx5@<TNQ)>J#)~g6g>TrJEtD@7bZ+O8(EA=o1lecCOgkVjWkZ{+p<<TElgOkB z{&YT95sTvd$j>YG$+7QL5xnyDc3kBO&9}ee|MD+PacW*1eJ5j5pt$FmYlrkYHvVW! zzdy0zrO&z<O4G$&9euR$n#SdW-G+~YCoEg8^8fMWrs!9li?`NZ?Gq9a^j!ViXXl4Y z7ia&P77*Tj`=Uxlow4K9Uy4j?ZrC2Y#9(?VN>_Ti&Vp6-b02uWe*S>{SBm{t6FJ|n zaYx(k$h+NSNWWIKH&A%f$-~TtnZp`5_x^dpXn#b_cIQ2<Qj3D42TQ*2`23x!xjUk$ zX|=8fi(^Gx)-69rqoCreW#?=Bd%eoKYfjfLSIzd)5<OI-CjR`qkWxWh=euL}pJ&=F ztcX1FRq5x_vXp*VgX5N_+fN*Ma#c|A`nPixj=!Hc3U8J>BU8dLsqIx>t%}=|UmH$u ztz_^sWawDKm7kuPa`#f*MBNkoM}56k->BNN=hP=w6+yqcb06nz={Q-HvHM$7TiT;W z(Uo4Wmwqk!(8|`5s_p)MLUEzqyv4h|n6Uh4Sm!gttTz3=<=*;@)-hqvh1|b<HI}${ z=t^N%n8>e|^-eGO4=u4@UG!d-d&jHZJEaBh%soy{QoNa&e9rCp!#|7LPP==5VYaMM zK6Cn<s=eW=-!%*MP6V}lFNys5^9ak)!;SknidL@>7MUj4d&Ss9q|nUOO8kJoYwBC0 zLlb)6?pgO=TSdQN;VpNTYL2*r@3@>VU9OxkGg~cuRzO%sI#*i$Bb#rnOQy5eUYw<w zU22%QpxMUltZbOS>%pWgp1IrBwb?UBoCq%!?I?>`{D0=TMXo9A97{4d)ExiobcRNJ zpXMK%;xHr3`|V|s>#n9}Jhvq+ntpJJc`DZw^?Q6=PP;T)Z&my`vErE}v%&nLgr6+S znXNRE7p3gCo|$0ZZ<0De>Sq9V{S3R4oAhseb9EA2kQ}=&qg(6NXSZt8bD@$9HoGpE z9NCeeb#=X0|3afz86WPfzJIOLtjoq>zh>~|mrv_nKH&WJKUT}>^@S}9uht8wuC9)$ zy<vZPmt~lV?!B|u%#Ql&xAFBC9b4MGm}zHXcFH;F*Fq(Jo&~$za(A}8@sj7B*wU1? z%=matjA6Lk!(*lm7n1%}EWGn3_|eiIf3AHzv8bcqP|Woy8~&t7IK6+lra7BuYxlk^ z-{<?a&9a1jA~P1{&3!8vY?d^&-SONOZhe=<7B%xHN;_P=E*KM}sWfY;c$TEv>*TX9 zJ3fjl1s|O59;SDXJA2clE#h?-)>!K?WU@#ro@A+C@35hMnaw=T(CtF|7+M-S^<&N2 z1nLrB-gCZNBpcUrRjlX&^8$O05~jCd`B#!&`J1`iYhTBlwraZh+?D5D6R$LX6^QEg z&wo(2a(9KKPlrZR`E~ov7VVu^3Jv$YIv}+9#N?HIH~)Q$Tx;_;`Q^9H`FEBre5%;9 zby0rigMaII&0qZgC@0tU_oYYIo)4O_E11^Z+Egq5?$OfDIIeds2l$T7tyq5X*uCWk zKdzHBbwAsy%&Rde#-9Dr&rj=1BDcN&I`7Af(}p^Nhwk_nPrfW`^x)5hlWiOdQr|Lu zm));jWRSI5C#Zb(t-10mmj4P_sv=aYzq{l!V~6+b-mU5DeJ-*;ef;aCZ@MnWf|*xY zeS$wpGn6iVzU^b@?sdPzBp!vnUmj|(J7mJX{T*H|8?W(jdHu6ecVS*W#eeVj)zftA z7qL04wXbiI5=^i7d1c0b#~&M|`<`a^&YAY<-viFF1IMf`hb*6zJ#o&5lOor39Um6G z<UT2Q{Lwq5dHl)}1}TZI%kCVsW$>NoTJM^7(!wdHfL-XuHR1PhWp_C;gv6M{`jw7; znYDY>nu>t5DN|a`tbG4xfBAW<^D!qiLlnBDeVk|Q@P2pXzl>?b^-sx`EV27KEq6ay z*0QcD{LkuY?+skl-?HYF9GRefGXMFXO2%XI5BE%+A#R|*EP3MH1)^m)*qy}w+}d(- zfA4Coyz)G*Jpo=WJe{FaD!$y=D%vk4A3e2B`?9qUgLXh+z_g<+%vmNg9;+_hs{Q|g ziRhwBk+bi%c36m+Yeh`I&N%(!VuN6pz3EeJ@6SxTWY+F+Fg<hLVVR3k&)KFqNXYW4 zuP!jVtkuFV@vq(NLAw7|2j}&98`NFD&ek#9^IiWpi&emd*Cmmut$jBqZaMr*^}71S zIlAv3R<3y<@X&1z>j|wloO;Ks-<B3BeEp><F)wwef5W4zd<k8a(_N%zxN8gC@PE9a zcH%u*e@Qk6yEF4$0zb0{9$6-6>=MAN*yQT=klkEAR`2>5g_VK-dssGHT2b-8d=m4i zZ=M@u=J;gkXP#A2-ST&?Vv$eZqh0yi`8ngRy;Ga;Twdo=>GoABdy;oYT)uli`M>l@ z&S}iCXE;Cox8~tL@NK@+pLHu8*9ltqUo878vrX&PRW^xV;ej7+m}=KKX!~y6Ra3wA zWwcC{gwUF8>$`V;D`8%ly*8-#&tcXJDcjdEtAG4tzHt5Kb<=z+f0t=BlsS1NX>m>d zpP}k+d!zR9aiO#ge_DKQ3m7Q%FfN|F<3c~5?YVV_kEO<}F6KM3acXHy`97DJ(wYky zUN2&vi0dSGna^7GI{Tc+{@D&!u8EWi##elAYr8sK;iT5OQ?I*Kc>i%s_}FpI<Mn}s z(t8d`OFwxY#omzd^K9e)3Ju)@v+w6uM?Agyn(_4WMPD~Deez$kb!(W&#d{YIo!aWE zF4eK{%?<XPi`gZ|YZ5Nx+-I1px>W8|*oRZ?i+3J8^IXSqvc!pwABy2q{+y_lTCKk7 z)j4r}$2+}|%cV3FUxu2WH+l7)_x{^|hIbD&zFWF!wYY&r-LvP5&(Cs6<P>KMUpkHF zjjXLp!!z}`RW}_s^;~~yGUf9J^BrQ+lmGtqXqPrVxMYo_aY)It%WYGf*}4`?SM9l@ zZNKIJ_L>>a7Zv=Q`?solU+<ofQ}TyrQswiyX4CJ!bFb~^5DJ+RG&Mem<)qFedx7=S z=TEz3DIk%hs~1=1G1>9Poqzq0rSsq0wmz0gx=_2tthK9dDQAMZQi~o#O!hZf6+M{= z{fDxOo|(^Fv#>^HO-+C6k7|avnFT>j-Km~ad5Tz!@^YrQKWA^)pdiL*F{f#|^+ZV> zp=)ucvso`(-njOhV*Z2~Dm}^vdZ)g%v^TN*VQ%Nhf9#4?N7(CocVl;+ll0fTH|y@! z6WuEJ%{^~jQ(IV|9vLd=H$OB%`|iDfcgvfWu^1Q1C;hf`+tSzb$>68jhYeyvJC1Ts ze^4<qlKcAf?OU3QcAwtlT`Cv*xbJM!mmr%X^B@17n7FZqE#LUKzTDe=bqD`Ht_!UH zUmU+fwtPZOgTbL!A-uX_r(fQgt$ZTYx@d0cl#4$NF0XE$ZB^1(-828o{|&cA`tPrv z>%COrc+hUMn7V1Rh2MVEXt!&-o1%Av<6!P}25$u`=Sg=zN*10jU2|4vN@aSNoY$J; zP5l0DF8e%04~O`ac*)DX^8CMAM7DTy6RXDQcgY6X8>B45npwl9FMFUJq5EcHEyFI~ zh{;zI?{Clv-`^zpO?}3eq{XQMO>e3%6sp@i+G?`Ygx7%0@kIT4mHm_Yg&nN<ucqy| zuD1K(=bbY&H+DKtc^KZQZKXKlh34(8Yx!2KS2T6`d(wr~a7p#zx|_2;=&iW%g~!{t zdhP#85&q?K7L`i{7Wy1&y3%}_;mvi~LwDWOw(2CT`E7J>w%?8JzUd0$rG|xUw~lX4 zJhprHmgXZi{xtzjlUwI9{>)Y`{ByMHnUnjr<(t3p?J?S`m>m>1cfw|_NT0Nq#jgq+ zS1)c@z#kcZ?`v9A;l#A2?>>`O9gqp`61^|B*60iO#qC;7E~^uAVtY8^ECQMpyWH2x zsaHv7)fEMCma5ENo<6&8O7W+KQ*4Zn%wqJ(KF#*8Zi$b7Z&t9{`pnaUeR=nae-?=K z+S*kvj1GKO8J;4stpDAA_i*8m=ydsqEa&fC{eB=?P+V=dR%QI`uhQS&UFWhoIyr59 z_n)BeU)#UN=<?*vI>`28_YIqi>lddy+m~}GuPwa7VcC1}BT9315A8Y>sFL1OYA9hI zm(8!qUvY73UvbO3wtn6x0Xbik1y4Vm*7)q}vpqAG-;^nHW94+z`Bm<tX2bTlM)Z8p z3*+|}J3dVNJWDg<WZqlPC|@1R=PT#jusiMa`1rj!<qDH!gRK_45md`?Ui6lsxm@FX zjM$=+3W2xh2u1!emgIOZ{Qoz{(v=Odvg%34E*Mx?{B+&0qi*T})&H3Sr(#u#g7W7~ zXf#*9pmFWB*Uvxm!sH(%?KcSAcm15nqO7P{xr);b%ig?~yXN$J!uz201&iE!Hyl4- z5iqTB^#%`~yFYZRzwpet%NjX}q2t1mE4vJKeo%^Byn_8r^QzAGe1BPQY5BEpF+VA) z%B&W2hH;q(hvN0iGw-VDKd^dNIbrd}SzmkK?L2fp&idNk^(WN-Hdty|1?kM(v(0^j zxz+}=@70G*&PXneoxLFb)u+oP4tzJ3Otq5k_S>*S)nS+DoFB8p{+Rp`H|PEx(9S7v zAUOVSp2_8NXFn`zy{+bYbCQ+u`l6#14}MvRnq0i{;gaFh7gvorYxUPHG3(no`OS=L zmn)0)H|pGRvWs1E?{>i|>lv4NK4|WpFkPl$^Paj+q2;F<4r?2`I2j(<>tVR&oyvPB zp1Pznr+TE-lGdzb`0>U<Cw{}?TaOz$q$)M`PMjGRXyb5iv!cDjlOWrwo!WXkxH_^w ze9k$1HMn734aYIwkfwkK#VsWtckZ8E|M;@@of-eVUZinm<$ZJb@^5+3R@-eCBRWG` zTU!<<SQ@(hyeIT-OVIlR*&W7{)-cccyK}pGTTbWl<F)Dfo)ecUg)dWAd07#)yh-2U z&XN<Id>=N?uT(yz@Wn%Bj*0!r$Kq_;mK@u>j<c%5_<<PPvM*fKiH;|4?_A1mr+2~1 zDxGOJr!|jqo9B|5OT*;&GBU5dy|C3FBhYk}cZBM%uTSRY%{n|sZ%LG}{I8n+Gt*f` zU$*N<%?xSXdwWCcG|n?$zttUg?>RjEyo}(LmYLk<-S(}sOR_KD;(jQW@jyrRpJD9P zy7CNH$;?BAVj^;fdsaS-+2MZQTH~OJXxq-|_v*|n?)2K`tL;y&HlEhP{)3<0HRG=3 zfnbxq&n1~XmLDH>o^<37GW#QMbSqyp`?H%^nX**zm!r)+Z-2`=nNBvC@uRlo-Q}Wv zHJg7P(qdt3u=DZ0E14@DbG~$95{usXPI(QZ{fxndkp%~;K3zHCk!1Vq_;IE8=U%?3 zTqf`FZtFe6E4SU7&b{y3su+^Wy*)H|KGUa)>~(#M);K&1J0|5)Y`1jw<C?X-XExpF z{;)2^>w&XEO4z3tPd~nrt&lWKP58?2UM%RLin5vJl9NCFUU_`y^I6MlI;~m`4bDez zC0m^|WT|=HtniY%H2v0ZRgc$q7X<|>Ityv1$_X#&uQ|5tzqxCFyqZyKPhqW}*j$^t zU6%#oL#}RpFfsRTbV>Y?Uku_w9PU!_Z@$HfAIwzI@!k12R6D=L$9;R*oZ~ak-To!} zL!gZH;W8oluIo+j(@OZh@|5)3?_BK2%NhG?`A+)<Otn6oPStx?AC@`(yyh2o!()Ai zE-tfW$Gin6?V0>HfQO&)?){dMO8qJc7rpSrogB7b*sEjS7lyZQQ|$hu#<}f!&Zp}C zT)~ll8>ese+gPHLrs?+Qa@_>g6B>Wj-hX&+GjDpv|5jtZMcoX4rn0vg<uMl?T693~ zV0ucJ;PqW4zjeN|9e%LU@xgrMNb6EY_8T_Oedey=39Yg`DX`jiD(6!13q9g>^B+vi zj%6+q_?A(e`#I)a^4|P=ZFjsb?X_Ic`Tmi>%T)m%y6PrW#(tcu*|W_1VNBkSxT26` zJN0=IRrdEozSyQtV)GK%60LgTmG|qd7xpJ!k3IS>@7xz}sW*PJ;(enRKXjaN^8Tl* zQ7x?t;-+jViqMz(df9bru!Px#%Tj^nEK{u17bs+I<+XLa-0S=M&y<_S{sM3N9-sT+ zFze&04cZ@+w>>q@jh!%gt?9XKk6aE%uDr1zx_sKSgVS|ir!sGuD!Gv5K|yZi?wzXn zkL_zVuhcBO_~y!&!2JizQYKmX-46~bzAv^$LL%aBOj)1mMTcKMUcSAwvHzrsMy%DL zQ_{T?_jzCX5O`CLwOj44muK=CM)UoPI$DCvn`JxicLukdn(xFPZ=D&*dfDHgW3Q{& zPR~F?&Qm+JRQ>%Hoa{}1ZdcoL?k&IIM&%hiaU4mZheKjc$^5*vxjFLx9C0q4rGY!e z_j`Ze>)PTnEz;)Xk7f6tdkE^~G~{3L`&Sb<-EO0*c}EV%k;LwrPl3Jr7kuBp`BX^T zo~_M#sqC+hN;6+D{F~<?b<(SRZTh_JarL(IE+#BpDmlyN%YOe$oyTK)+2T{|!Z;Rp z%t<;j*VH^u>H9WCgX!{JcN?ugT@de@XK}ky=MwWDrxgoKr`(vwv1ZG*qt&-JT%Ojy zV!HkGpN7$;SN?{2PhYk`E_B_GB_c{Mzu3C2Ta++e{2$-tRa+lzTv@iDnaf|soI!(k zroy#{Ujl_U^G82Yjb*58OFG7&eSb#ws*IkW{{)n~)6ACK_U%y>$U5`r()PxaO?}@_ z>v}$Yxp>`rHr`_HO{}VH-)`U0E-GH#x<Y@g?#bZvmX6IWrIY;6r|O)kxwx+U%^x-E z&_j=YTGXt(e8gAvd?(Xv)8z+iMZa@?H0AX-VP83q)oEE%+)Vw8aZ|oz#U|doA~fUm znN#n?SX!)Q^0)OG>gC3}nH*3`wcwJz&RsCm?_*~c1H<d7>%UAE)!ZfT`PCr7NHfmZ zsb>+VV4=Tg`xd6z3zRia=mtG}X;`!8PtJxZohfe=l-49%&vg!CU|8M5yVfS}-S)qq z-`~uSh|5`U!dy!D9Aiar9((Bh#Rl(Mr=PxgC#*L*b={>69s$SQSNbiL=9k`}tMr)t zq_@?iZ7rXUFIX`nusAxexN8e@np!>Y%xAynxPG?@sCn(2V!37$N9fusRe2fS6IK}4 z{Lb?`Wc+5ofZm41sZG7=tDHk^6jPVxoV~I3x~vml6W_Y}_f-olx79yq{@;)$^q^Dl zzQUBc`HD4+=RZx?<~qhA_knSFk$-cE@Ridqes!d_+gjdXP52f5bIuzv8@&goj@2&i zbF`W8R8g*f@8Xs}Y~L<4%$WFDwV+PW;^M)^OW!tqjgY#&LGO1y+sS)hZ|<12lQnL+ zh43xa%3WtK9bR>1$M^o&Cw;e?R@Arb*`VCUcVuSriK0cu8h`j#%~RR)>~FuVV#116 zA@`EhnUx>4#F7=Jt#>$E;9;}j$afXCB~6#+O`ImHuqVI!0%uF%!Hz9eZXLQ`>k@@( zk38?md@*m^{mELg(l$$$C0yF1E4*>G{=o}JJUON)YIGFJ9=vw9Z_+2;Am++CEknbb z*PBeb4)Abtxo+&O+4a6X)M}#j1g*f`JnC=k4tExOdRjikqh8$7s%2(hds?EMWSh&* zM1|tZDXqdW#%lj_l%Fh~ygoB(ny$N4=iM5fx>-dtdR&tji##TNG1)oYJcr3=@vimy zsTKMnHd$9ClVcU#BW}m<*mgcQap}c|{nM({*T)EkXw5nFeTv~swsQH?my#FwHS|7I zbP+!*n6lfnJy!FE(yuT{bq`y<&pwWvhbq|wGZaKF7S}#m!*`-*<yu?AJpbxmVZ|4L znpf1?Pkphx^?v5Ta>M?4m9O%5JP2X_{DHZ^-|s)0?aw0&opl%A{ws~%{o>&2V5y5b zchB&3tNyD$tmE>yG2ruivz(o;*CjIXGvD0y|J&&WoaIaATs!aRwDI`#&(iGr3*}19 z@BVvyX|i-tN^6v<?#1cHB=@mj_6YXn5q;zHrn@EfmeaAl&Dk3R^lx20AfUmwkyYjz zfBDB1((@*N<P@`fb&aLva%x@DmgE(O@)zx0B66g`IyrJr^Vge_iBZ<>&Vm99{zl)H zU1XW?_+Ra=yWF0;g&sDXtXf+Vae7M0l$tx6<QzM~MLe|c&ia@Y9{!#Ga(ec6m&Ui= zUoFp@xp*GCD)efD_Jmh&UUNiP**+-|2s*j%f$Z$Gp0{;uUT)vd7wJ1`Yp*o1C{%5~ zq2tsO=a}I$<zmEDmX{Ox&HmorYB7Q1rtv%j>B*lRk3OBguls$X>aus7GlITqt!$}| zc^9|aXs!LGl5I`DFX%IFwVW5UyE~ildKl~J4cXWCy0pDf+w(~>Uqx`qg}D;#7u;E= zUb^4Dq07*cPdB{GK+MH`Ypac1%;Cuo&hESB#3b3Wtl`$33+kz2S9{GrRsJZn^x2!Q zd*|zm+jmcwpYv1OV*RzrC9Ch3P5pwjh+DUBXYG<%;;pA$cj8bqvrVdy(c<~nHbtAg z@wTYlms^p*_~zBlq*JQgJ&}wK{BO2vZ~a!O(fBjvMrgtQsugJt_LadmD%|$X(foAv zpxf<z^KzaC?<;C=xH{SR;0}3@g7)SepQ~(AoGi}&XEM)UCKgrg^ld_P(eo(<$N%J= zO-$JFEhf%bwoCbe?aw`#^*hc=ayxpST_?uz=i|YZ`9HKWPcT?Hi5r=0-h1w`%(8<K z@4F|p)*bzMeBSo+s*2Id+9FH%?JYVkSBtOGY7$;+H}PU2Yp!an*|*F46Hjy|WFD=w z+AX<&$Mo8iC#=)kHyRZ@=Ki$nLfvNdt%+|udY4tMoW=3ou4JWK(Aq4y<p&omxFh}i ze{tjc^#{wJ2VZ$pG57Sjkh_dN>8ql8i)#}V_bh*LaoVw<jIKz>$y?ru99y$)ZTzJV z1#J1Jb*C3qRyTYvTynyC$Gp5L{)r{~j4pXUydpN2*|a*z@$#;1`;5;%T=(JbH&KCQ zoICHSd7UeeO?t%riL2q5{Q}Q#_so2xD!Up#UhvxKXv4|zyusN-xBBD3rhhuS19qq! zGgdykWSzl+>TMO*wKn=!d|CD-_uH!Hdv%wbb(K3kb;B#}J9)>Z{!)C$aH{0l(~CN? zT0RTdrldPOwL9^_W2)|lpTZkgEGWEuQOdyY{E>tMc5nV)e_r$E@LrXQ`(+K+=XCY# zbWKnEC}ov@A?vuWp#9$c4Vm2s`xml{y}oo@e^;7}i(!ID>h7|-6_=Jfl&1R!rnldT z*k~8GGr7?&=!M#}5Z>}5tf_A-7^}Xn^W2pp`a*Qy_Abdwvz1!69+|d(cj3;7r=J&D zINY}WxWqz6yqBSIIp53o`yadhnldB$-jBuiuPm!o$jfzje^JHqo=RcI(#`KbZL@2C z!fx*K@cpy2I+dc+5j-`!-`bq`)3fONiT9?=N=p-;zw@2oyXvi7>&|EI8mAYW>{->C zdi~hKi$}ct&n(l*ag+|3!C<&2`{0AADvsNErJuZ-D1X3Vn*Xh7#kxP1MIIG7ePg;r z^MWV2!Z)0^?>fITX%08D`IaY({a5YFmgKK2-^m@jYD2Yxj=1AW!Bc$Ge)T5k?s7Bw z^`15J@lN$s`D*G1{?EJA;UxN8?UZa-?Nq~(#@rPJYi5W&>tHySx@d;FBC}M?<+Auy zSCSVi2Uc+0o78Ys|LS$4vvFKI3vRj}t=^aSxpMuH*d+eV{yPf)28ixYew^VxE&bKC z0u4cTwoiVs{~pg>{{Pm=QyQOQ@76AP8aH*iHp7ku%Z$W!m6Syuu94`d)ssFJbagd< zzSyKiUMo4Zen`yIcb;z%$)R(t{d&_ojm0g6EFtBq3vXA>SjE0-*Nxue@oP{17G0b> z=jrvSf6YwG951OBdTw;f(hAs;wyCY7-(c;{WfK?7WPfU~_Sjar%-2&{Pw&bVnHbL? z?(ky&oNcjp6PC#u?eCS(-dW^)>+Xf^Gno!wW;waK;%uff<7M6l8x<U*PWSB3d$;)D z#eYJ+wXZiEX?&$PH#v3N-hHRePG+8ceeV)xrr@4CB_f}E1a<A6{Mz>0WJzX%%%w|n zHmL19_jHbt)FhM4<==|kPcz#zaK&)!OLZtsnOptm-+m#rr4mJF>bO#8PCIq*VI}*% z$iM*m1y_C@Smtitz0)n^(X&X8oT;zR?oau~Ts2R|MyvlvA<z5rgm8tM{IXLGW*C+~ z`xh|dO^YSBj+5Y|Jjq#yXBM~=uQ~hiK)27Ef|Vb%K5dKtePzM!mkPFPH-$WDx)c@} z>3b_eV1il>XH=2#(=AFVI?2+if-i;LgT93Q+q;vam9=!g!;9o<r8Ayh^Jc5Chs@t_ zy@pR^^^vRRm04HT?r4&+$p7+ME_S&V^PcA~r>v=8w@trZ`&Zth*xac#drR+pX`1`~ zvq^hX`dob(lZf*iFIjG!x^TER`Ac}?M3!?-IzRgk{uVuz8uU3%MElT#89i>Y5%Z5p z#902_*VCxnnebZp?q|)Z$Kx6k_q~(1G!A&;v)uT{1o3qn^1V*Kv99@_IiqG%LTTKS z1)kD}WSa8t88RJ_-pK#=Vbs6v+GV?fgE~&t+<sKrYj^ePIp#&yPO|$fR`xf%k+dki zdv~Mzw(5nc0i0`DQxh(QAMs6kr~bv+$J#{Xj_|QdekN}v560*S`<z?t&tf9-O3>6! zcsZ9^sp89m5+A12pp}yXk8!`XW0u{y;^E@AXKp#ZkpG{vdeZL{!>N}it?GXKH}s0Y z#r#)`%%Ya8ypX#_#%IqNyF1g07tC$BTc37!!TuYwu7s&HoHSqcl5d^tLiNYnx}P{- zwpUk^m}3_8{S@om)rK#4I6bbu4>;T?cgyR=hUGVpKD>VQctI}LvTwoMGe6iZIP^Kb zTD0Tk>}`kV{wjN#z^d}p*<*%p@iYFdYkzp=t*w0=6tyi#S=*rZa{SUY8rv7&dcH8? zO-<>ETjpyX{HwdN+iP~g%>{c`iCmcQ@@?|2|5K*xItD8{CK`UVn8B1Y|FMc_V|BRV z%I|8)GmJT%esUTuJXYwpZ;KZjXV&VeNjJ@Ui+sc~j?9y;<h60DQ)PR>bJKXO=e)30 z?5uiI*KKy!vhM4;%=7iD!<>`V^JBlAPZLU<HO0~SbyJG4TgThY7n$tdELuA6z(?=S zYj>{b?|51;b?pq<OVg{aYM0l>W&bl<aQ>y5%=`J<Id9$+oMAX~(i@S62NRuSx&;)g z|9(Dms5UP+%(r2|TaNGVtX_Ycy!`u$=sSzm-Xyu)bcs~ZIk0F`?}adfT|HKs85LEo z%?vLYg|+9u{jR=P>1W1OiTge+tkTu36F;g(?&X!`$*y~_V$FL#PNog*EeE^hUMvfo zQ*|+Q>;9F$XC&v(n=t#ygnitSYFD@KoVUm3Xy*$?Wf{Bcp-h`5b*y^Nw65#3(b9b` zs@>{~PoKFWv{km^DeL{+7V}xvVvqij$@=)<){Bfx&E}bR{oc$p+mI5T&eJpdb{0>N zK%AO(;3O7_oxAea$Sg8_TD0V}_l3Q62dkQ2O$lY!k6^f~Vw=o+X7={`->PMP@2t`= zx+d?s_jntBxNr3$@2~$>hh91OBWZG{`bNna`}cWTetw?yz2DjAOccwyd$XigpK9O< z+Ag>K;=zS~j|ACsM?IYxCa;!Y*u)z0(&}*5dtvp2`$xsLbj=ED{4aXz?&Q>O3-_4E zdPmy09o_45{)tk_QL(OhMa8VmqLbZU{g96K@K#ryJ&WsX=Ht)zPkqw)`(4{^S!2l! z9j&c}CBO8ZPdB|7*4%k)|JJXcav#^v{&oDuo3kP>_!lbup2B`ksnqXopy&E4JvA@* zcApVC(yAlhJCo_h=Bq~jRWF{esOI%O$}FW*?t8AeR{9u+jF!;C{%wbZJ%1TVsmxt- zso!>uxY?n}b5}L>>hC)C)APvx{pVdL?Nv*@;wh4{xp4FQX(jGa7j!+oOY7~Dt86Nd zS;%<Pv@_Fk`m2W(Vs8ryzyA8DfARm7$HzAIR_s19y^d4R=hdN(rS9F&EUGMQtQib1 zt5iRV<ZtQIQQ|z@eD$@FdA7~!b8oMg_Wr6Cuy56~`8G#?Q}vq6vkCiL_vs%r`Ra3| zMs4k}F4yVZ4HXfFTe#Dt_CH^h@NKQgH*Wp!iy6cG3U7;E6+IYn`kdu@o$Jb6U*_mA z`yMIVIY)ER*;_yTD>GhBQk=cu+p8^wd#4u3PJQ(}@WO;I*I5Ezdp~K>`5Mpp%Gf+q zQ&s27nO~{TUq2`?{_#{v|H1KQuO8>+JS+Q7W*FTU)lUw4z0PB47t6mA84jPT@1NLT zzj-Gs>ihxm2*-o+w+}thyZ<3_MLoN&?%(yV%0<GTtw{7QVV6Ab_~7s6em*sk2SJxE zhoAA=ToSmi@PPc=b5TnjuHRMo?iQjmpLtHmroRUc{9!T`aG#cwXvXfl`qgQrcil6+ zW3InmTNIl5`8>Zsds3T~#mARoLKPO#O9h<f2qe5(@u)3h)z%lBJ&jM<{;f)yY^TMg zW6$(0*7ny1rdgFmv5RusCA@yk_;-!(y836f<t=|c2#4kHcs`nYQfGN*Th0ty^RGGl z-iy6XWkhUa)N)?B;KI_QlR}e~{+ne5Bwf8FzjIBH$?~Z!Cwh#xtXGlyvbFQmuPY5T zXLItWTc5N#G9}kNv*m}j`=(N1p|H1Gx>@V~zw65GZsz$Gz48T55Xa8uE#04LjejzG ze01CR?S`9=&<3VoslwZ4t$6b1bZ=-}o1EbMip7F!e0LdNQi}QW^)e5~n#JEYC*9%r zI^}wW?xG#+xup+^C)qAr$)v1ZC;B&V(+P&9f6T8}u8n#o;IQ^g-|_Y-Oa5%I=C13! z^WfdB(>l*uq-(uPB&TjT+#Y)USYEhxzyAJb%RP-Fw)Z<+IODo6`P!vv;*smbcD-@9 zTvxQ<zQIL)T`5b!=yRoKFPMC8>d2CQCGjGsce;|j?;Ev$JkuAH=bJy-^SMUmaB{}W zcU=PETMliCE}O@(TYN^Rp^4*{J%*cqs_0%|PW}B#Q(pX6sN}^7XD7sbzCEAsIiuJz z`>HUN^z3EpRdn7-`@2RyHS5rfnfl|3cr#<&+OusRT?2nEfA%C<FIyl%`4b=e#tSc9 zv||+BT-+?6dD(8t6v-SJiw)Cz-rv8uRaoS__>SYJu5JD?KSB84hZ@c6Kh6LAur&L} z{HjMXJ@@3B3E3SXzeG}Nq9T7Ta&Rh&))t=q<J&hId4X0Lx9X@9(}VeIcZx)Ze2Cxf zd@sMdGl4bu=&q~z(*3p?Pu#?(7BAZ&)+(fQ;o0YtCw3o@+I8%|39H@$g>u)&oFU0` zo6ql8OI~s%Avx+v_SA3HCcBqc993rdaZc~WrIxGd^IoO2tzExuvdvM^?9SUQy`_`2 zHP+g-NjKKtxaz>uy*N)hHn-|oD7)swy`fFIf$wJeFYB1Hmh0i8#V#UQlK(&bn64e{ zxFG3N%D-a;CMVk-2&V9TV5@)K+|Lq{&Ml{L*11nX_1E8ZZ6eZb<+ohb%9uHCh9u3~ zbw=#6^D>tezs$OBdC4p}`Hmqm|Ay{9d)_nC7~;#`Nz|H5Y<#%K;NGdP8f<qL-8bIy zPPXh4*Q?3P@{hba7x8uDtoX~V%UAzu3D}(6?fBx?Uz4-vgu+90Pc6TFN#I)3GQ;;g zS3e$0es$p7w|#T9jVkABPFk_xOu?M_MM6jYKTJEW)xJi9=lnLFq;)LDPx{_2uXUC$ z3VW|GC2Glfj$f}{?9=%hU}0izf6g>*S-PX+Yz?-5{i$vLe52F8oOhVL!(qn57s;zn z_HS5{|7y#|IlVuBe*aM=e5Qbrd-{t;{tXNMeVg3Ix9!m3cfS?q>lr$Ha^aX*<H=n= zrK$R-#^a=}!`DA7$d@zAS;xEL(wDF7ZZp&7MCh!ZnAi7(+fbV4n{P9-R^ijcbCTy& zzR%-wS^IEnVgC_Zp|6``+^&30eW-keXWG4Ye-^*%dRX1FLQ?n_ca`f-w(We+*J~AY zPragUdf0sJCdX#8T{EVvII~2X$JXu;=f=8O)(p*0c|u++oAJPCm4n>ZC-rYwjH64p z%wA?u+4y_1tJ5UjYg;Fk`mLFM=6n0TZK@%D`o{dsY0LWh4;D__Um#w7GBvFIiDku7 zKDSf<1<O)7I^4hL?tQ+~E2C{%4vX$rU#mZBS07sbU@3pGY`?+LkI|=@cX0|>F0s&C zFSL2zQIq|ymN)B|Sv{f}o=l7N_+9@yEpGdQq_5L-Za&JpQ4-hnxFTwmy@JKp&&RIp zwDUgEKJie*t)`FrC*<tA!~RlNdeQ6C<xWy3w+e+Nekne3&~0H3@0wQ!H}8}>H)Xrx z1j(Zz`&Qpodie5Q&bj}sI@{xqT{$i=$>i4Jqc-BN;@JK?NNyM1SJ3qNo9`T-PI1To zdX~9u(+*Gm(-3o8<;q2!3F6hf-ikSA&L_&=*tBrNR4tZ$E`8zWoGag6-B|v2(jw~% zk7vGR)c8<RaA0~w?c7CP74i;y^){ty+OTqI9O$xO+4^^%$+Jl{3yO5}N|h^8`LwH- zF7ZF#U|{VPuxQF%lezwXvU;7@DEhyd6TGjSRg-7Oqphh8Yk7?Y41{IQwHmcKUb_)i zerTC(z|BW8z1i=(zMhU-IdhT=+lx~|AH3G+aC<oHF==9*>mjc{=k=z2*#h^fDs^fr zJrr3wJmMcdInBWLQp53@L9=>VV~0+^{@vFh7fjDv?r8JK>0pstP@UhoSABU)f$Qh6 zuJacr-mmQ5^Gww5-Uo4&B~864cNV_R_$_^TUIu$y;d}ihcWWMI#&d~poB3(Q(H%EV z%<MBgd7-&+VR~xjUe_l*jt>@!Z^*j8{M^=!t5y0!eKxc#ZW7zk+;#K(>|$BPL%lcW zJEW-mIe5v)(v#uZ+H3K_zh)ntU9FW`DD*k_gsp$nm!hZVAGN&QGU3{cp6=^6mVFM_ znl5ayT;}Wgr>{LyZ>(?M6|(n$@sE2~4j211ZM#syB|h2BJ7DJCNq(HO9R6}2d>A#o zZx(Zjiv03Drkj`RA8zwj>Dbf!yyeil$;GeF--+UU6Wf{-$#g-5eRtb~45<vS2^S0J z%CA>?ZJ6Wk#=Q9ClFq)(Q)Y4B$veBn;N*%|LL&U>No;pj9!jbFnttq%*?uO^2_6|L zZ{G)9@eb11_j&OWlP%&7mu>#v`TSw!*GnAdvmV>5Y4{*MtJyvAwwmr1vHKSHZkTSB z&j~1dRM^H?^glv$W1pU2*4zBjjO9g2sdJVWPr80u(fPo{>2_Yid(=BFEkAzV-?~yi z_~`o=p?Uj%l%8}uUU2yQcHSiwVf>G{>aFv2N;q#!s_4I1!1uL&>e&P5j+E{5HjrkG zt3Mm!!ucdIULovo=+>luUEkX|RZd?X`<&-4WOj~i;ul!Q8z0_({?Y4Cg|ku_&1W~{ zy?u~yWb!A=H8v;NW*xUabJS!`-I15EyDb(mukv;^pE-}SZ2dYnr>FCID;@XtIIuKH z9G>8^b<T%^h+5`p=NAif=FT|&(B`%j)5V0I$Db>5Jg(1onPV<Jf7d?!*PCt^6|%3o zWqs?rM9R)zuiYE{wc@<9oH{d1_c^N6a0CbTO_o<<*Zw<At^9q?@mB}-=s#`RwnZmD zMr-#x&n@<kt>lVp*Kv2pyb1f{w#?W;%VY1tSvU6tN<96XvOTJS^JY(J;*}XMCU9Q! zi(&tA_H)AZ(uFOL<@D<6lz-0Qc;5Pa?bSCK`&(8lt8Tn(n%g0@aW2=?h0}$W|MAJ* z+uu6t@NB-RtM~XGk$5)i*rglZt9;hWZTOhqdSZu`a9xWDZ(+3_kJdxSEo~)Rh35zd zye_-BWM1j@V}h^SuXnY)FlCMxy?36|SxQiF#nPRH76vVcweC*1-n1!w*ZeI<mTech zsblbTmJ;8|)qlJvv#iQW7G29yd`kbzw5ffca!yYzXfk`!)jiLY?~nHf(@pn3Sj_M2 z>E3>Sf9Yh|^6$SI7=o5BNm=@ay;ky}fw3_A#o6y~1*?2`pws={y>_3Dib>=_$J%K} zCUquFUjMKvtjaa^e9)P0^Lbrcihj+B?{GOCb||HUNiU{{zc2h~{G@M|F_H(0raSc4 z&fU4<i%-zwWv<)W4J&?3pJ(*I>B6gYGnLd&vsNhYQCer|74`B@tnPDWRqnpZXI@$P z?sL{|Zhx7^$p2!xZK1(RRmCM0JQ<go6Y?e`ugg|ie~#y8gij|!;+}Rsb5XAYp<1uC zk7%cQuj*LddWPqngi32nVt4PK#-AmtnkMI5IXh#`>o;0;rNJw2ulV)SRrj#v+r7>P zePV){9qg~{w_3<G&T0?amCxckbM<C5fd}as%Oq=GN9Y;Y)iv&|n;p!#!p<o0u-Ttq zs#>j2{_L_0&-y>{&nDvy=6uXwUr30rJHu(^cuT%&*IwtN_p|*otfmPmTTFBEF_^&1 znKau?_zQ>Ziyim5LM_j1W4&{({qXAz*214O7|+NZeQ%*(aH&Gx?h|9((m>TmkM_AQ zz8iQs>$tX((M<ouR?k?emtqZ3kGL$3ET3%r-gNE@oAmj)%l7Y_X(#Bvy>;r;Ta(w; z9-p};bl<&Ktb%)aw#``mke&bYw0@zV=3m+u{Cdbdtv#Sj?eyxr3s15qY3vhI>~d8V zuua#B`BVAYfA3>P9m&R7Kc0WyJn?QP-#r<#<@fLEZ4wrFCf!kZ>F$9~e@@mc61q6+ zSa?prv-octgP(p6RZO{L|2TctYOzk{Mbmfh*{`*!@EA{7&BIs)!M^hS417LIN{>%F z^KXTi&`yznMIX}7N7SEAiHq-^H~)a{-6xZ^>YLBMUD#xrqQ!p0WkUg1IG^pk-BXqK zaY&rf%=qmouT!??59_3}+;;bJe{&Xg{xezbGLh%tzl96?svQHw<FZs9Y&KZ1Q*7eC z-IjZO7M*#;{IBZNllG^x^ZBoCd9b^ZIUs<&V|!TWk`~Y3n_lnI;GD^GVQ1UYo1eN? z-dW(<>bIXs;^|$Zd-^4ZBflQlqs(iyR>kLI3~#^d!mQuIF~4{mdb(`fX6F{0s!lx` zJ7LQ+*Z8viw*LGtrZ61rFhA10_?xTB?m`diOXqjCo$YUMoBIB;<+kT*SDb&{wrQ`{ z<tt*6H#K#$EH0h5Yo5F1jldMKcDC97+#jjGnfh2+Q{v{LC(jvz_4+vU9!y%9c;P^d zYz15LiPq`aQ4{T~7RCAdtZuKH@~y0RM}CF~&)KzxTu$GrC08xq$I!4|JF@0c|5Jr$ z2dAH#wAo2~`VS_j-O0yyW^Hs4us?GozEDGg)%J`pyMp!HNB1PI$6Pra_;BAP?-l!x z?d;um^J}kIq?=L8|NX@h|9|S<=X;y9G37xAi(<^%hl`r#m)#OMn_%5namMt8|K3)M zgSMCdXe`g*`7(j?%L>h<&mL{HbnL89IwJC-LFNCwjQcO+mM1Z=9%VYb=dyJ?<2`mE z-M?k!M_4vDf6md?S6W%y=hj@qm;L4X^Nmx58_hRM{-3i(-0;&rEt4h3R9W|QJzDUO zn}3GS^|l3fIs9sZx2W&6m!2)p_~1zWkp_zxx3a!N1_d0qub5ub2$M>0k6U;3=^ooD zmEu09^zFNAPab=B{F7#k*B92qfs5p<&UB|P|8q4*dc%?AYuR6!a*`}}?Z~+6deUS4 z9u~QYaTj&A+imf@UFmsg-_lbtKRayYP9ItERo|$rx>WyuWY>blJd5g%obyPmsEKi9 z<v)>N>;1*}jf!(Bo3r8GJGzhUx8>N2-3g0p+&)$3%l6iD`jZ0Y9M5^NV?x+ek(X2L z_tsk}t^Cn2XJ6CHtqgmw3q4cIbNvvro6B0ApIIU*@yJJmSD7iNZn!Skb^LexrWrdJ zoA}CC&hB$h*3OtvRCA5TTt&{~-mE)CFF$cH{EA<?#i8N!hNJASSSQ_odiRcLo4ow? z>~rnD9p_I6Oz6+8PjzPe&n#&CCQxDTPT${-Qp+y1UP-^sSK2-A)i&>CemUEx8z-`D zEIeLU-{!opdcKHku=(jO*N8=%bGW~KO#Bn~Z`q3n96z==XMOLix$&UyW`*a)!dEIM zMY?`X+~sAacwX=QkCWYB{`^Z^F}Jg1{nNneGyR9JolVeIJG8=ATYiPp|H6B*`#P?; zuQXVeAvGbH_u+M=6pzKV8M*)EL%$a;RS*?22@h1Pylk*j-B#BosO;x*>6ALhQ$NG! z7tAW39JASVjkYD10H-b++w!)G3b&tk&SVFy`JA(0Z)ktzewhO4Jz~WVZWbL|8y4(2 zZ|3fonW8Ke++yyjx+|-=)p`wejY5M(nbvHN-E{6~SC`J_2amqKOD(QuiwYJ`7TEsr zlKc01ju}r4ULWodJ!@_D%STZyli{eEzt^sWZQ&nQd(GO}`(wZHBl)&xMuqHO^{o7z z4|WxCN2*${Ia~BC@xybI*O_cF5%v4L(=5D;S8P6ho%65AwTTNH&wSdv(s-uT`_Nq% zUO7K*sVJ>Z*{MB!h0Z-8Mjzj;MxR&S-MDc7Ki^k|9c(r0Jwo2^dRZ6e^J%lZjUDfs zUgQ5u#r)k|idM${$@#o2v^b^gVZX?uD~n_AmE~8LHSw<7&|vsLrr`0r@>_Dx#6#A+ z)r?y^&urz%4bs6!_n9lHdDbrRT^g|Vqq>&V(Ve2MYm;l5A6_wPzgr%pTf6tL>YUF% z1he-0Z+YhC+LtVv8*$lc+ldoL{#;=-U;ok1`r6lk!s($?wS>8a>z&$#?z}L6vBb;q zDd$=PVP_TVutl$#64GYwk2qibHuOjaYeVSq%kxt0Uhw=Didj|2`}SAF&rspU%7f-= ze8EzdGd}!%rt!JMaY4j4h9g}I8k_DgnD1wI(ZAvT;BAn6yG2W_U12(-%G93$XL&Dt z>VGZmaE5)$hC91IwuYsuMm*%|zkh8x%iq`iMSm~;T5ByMtWwy#v{ZQFbm`F9>c8(- z)HHFftF>5I_bj8THc411`RAJJt83E2QkGv*@mj~@l#nq|?Bc;|S0gtISSG&QQg-yj zJ$<1s7tJmyM*L8`b=WH8`@*0i*7m0RcHeqM9a;|7RL`DoUGYFbD3j|iSIeIETl=N- zemuRs^6ROS8;!MBEl%Ei*w#g1Utpt3NTl+<HSB*jPs?~<;l8R#kR`1D%6G=P#ukAb z&C~<aj01n3h!9d~ZhVj%n5pd}z1vT`RWWM4{Q{Fj*OdPYR8%s4y|7)%c%z@McDif? zzemsR-G-kk9<IIqaMiZ^b&PR4)@*2*$<|!rv*y4wp1t3uD6vl7JVVIMGGy+R{Sz!z z7gjr%t;#PDSASt!sJmpD=4rMfuHL2o90poPxx(+tIh<cC5h2=T9wTRU|NJ(lWuZPV zu75enmzHyY)A3@WRqo6qZ~R==^XJW9t)?1s?NxnXz_Y9Tu3ze!mEHF;c+APNJUeBV zM%zR2wzn^s9=Tq7dwurhIaUYls%w|9D*UyK3r%G>&R2DYz2eR3zn2zGm|oc~FFjq1 zd6%_}zVS~*-NR*<TaDhdh6YQl&bjk2^sC#hb+;Zpk6*uGrNUwdk9p~5*Ls}x36ftN z>HOwo{e)tBS+(-lGrXhbzg)Af#i!+7`h&S;-dfY&9O8T*I`w~(bKml3)=~Sts{1;! zI~!J-TGS_;(R#tr^mES6PhXXOEuUtRH7ESP3&VrRZ3=%gy;@bXrj;-x-B_U7aB)jb z#>>=&jcEnXzO4A|=W1geHn)2X$Nky6`zw}n`BiQfKYLL1dMS_j;iGYDzX)?Xb^4~Z z*)M6z_m@AjF7j^OmI)>n4?AYbI`H?M(+k{^c)K~s{mkMsu}Oy`IWDC%RXgkP-Ddta zgY%Exyu3gEX2@1-PK|u=h|zK57T4ZCENwNa8P6rvY7!)lp63?uDPUJvdGYSOU_M64 zKlcyoBxY@#Q0o1w{Ab{ysjb&mJ*d3l8kdrB{oI9rd%_RyTzV}<W7c_D_ogE~(e`O~ z9IGNVG+(JSEfZKGTJ|<CyI)7OzG>NC3r*{=3Hg1#D-Tb!wNkv-@Z<9Vi^co=4&F() zxyEPyg(V4B(=r@GUC(Gp{10WEd7dpL>Ow)NhWyFvW;`obUidKSkFm1f*$+}V2V|RC z_xv}#vGww!yPXniv|nwCOp|5Yl>h4Km4plTAK%x$xJKiH^YzEqU&TATjeg^|(0k8p z)+AP!$1?<aqqa+a3!QvBWqaB4#k0H$;zNAi+tkjf*w+`{7$x$aFQ4K3KOf`1Q|8_s zDNM)P^hJADGVEHRotpLcbAO|l^V>kd=QAcv@(p;sB#CLo`rX~t{HtyHn_h_U-Ay{7 zdzPh>VcFfaHQLP%B`HfDXYhUQeSY=x(?FB_FBxZ#6eh<ldzkdgexAnlGrH5lH*;Kc z%DH=PZ%4+5H5|{Lbh=+}TzZA0cITwiKYGmH6vXl+gxKld|L3t}>gSj5ub#<KFn@IO zdVsdAg5EdH^1pe8zh($on9Phe&FEaeNpGK%h3^cG{<Ltft?f0FR{EWqFB@{%FyHg8 z-q}gD>q{={Sl^4DW4qntZoKTYGuiR|N0#P4J8sIz{Hy;$)yw2RsvA}w&uKIKIf*y> zouYXwYh&1Vi|#l3?(hjq>D$}<aL-*A)_0VJ(O<~<;z!FzH+}X`t$NeXknJEa?X_C$ z+cOpm_Q!5}bWc`u&8(S;tBUi_fBqzG|LA{v^xi3-dpG`mTiRanS}JC$*~EMHYc-m0 zDmy*V_;stocZ&SA*xmmcCNf*fOaC=p`Zd_Q)O^ZOKi-A=+1l$KtZ8F0Z2yqC?$JW$ zV}aKHTlg~g>>sX6n|*xkIj4J5Pt0!KX?9NT@;)Bt&@HFV@ib1Hx2fzD_p}4A|9dW( z-fsDN5x<x8gxmXGtn>M6_%d^Gv4QB1tYtxd>6<!uek^^uQZ>Y9wsF`j%l8}dzNsgy zuDKhv!s3s^RKFK<zG#NAoL1WQepTAtTBGE+(CohbbsPoz=bO8%$T|P~)I!Ddqlr)T zl=4+V6BsY<a`3j3o}l3EvS+rrvD+>ACmS3No!z~ocjDzF=j;zwf2N3AxnJ8*C|~d~ zlQ(_e4d=OvsXR+2d3>2T@#lq&%GL}1rzxqFXQ#w=ANu=n6W7j+NnQ6{9gn@Z%fWyA zp5;H4nB&XCJ<q@Jlv`3(8vZqO?_@8nm)6`1zRcV1%q?=QW#P+X{tmnHl7;z}aQrHW z-&B?{dzy*O4Q=b~Y{w3?Kb!JS>9tPxLnDuSJTl6<mX@p)f^Spri?zvZK4)?0paZj+ zhDX!|BQ1u$DY^%Plun7Q672oEcez-m=IR+*)wgB#TAlgO@^Sf=`~^>T->%<Oxg*t9 z?R~Z3+7?kZPN5CgD-T9%YFBN0rn)=1$l_iMzw2IsX|8AYC<<x4pQZZk@rH!8*>kV# z-6SerV3jT@_g?8uPRlWO#<`1vtA6P)OtHAIVsi!a>+|7v{CNdKyf*&bDI4l~gV9}M zkF}%m%B_#iKVG_gvU%@6IS$)NyiK3qvt@cpv&1do%MHA>!^X<Lbm5zomzG@Dusv>X z!8rZm<w~1P-2s{(CMG<PT%2Do#5wVK)@nb#_v)v2Z;8rU@suxr>ehYNqk8^T8*83p zQJHhDmVMK%kpCT@TtzL?w~L%@HW&QS(BG(IzSg+Z?&A()p%cH3Jl$uLu~zVL!b{)0 z35rdBZ|fYFJUZblXT8(I1%W+RlO!08QdV$Z@??^jzTSk*=0co;<E44=E`@U*s2k~> zytDhS+1gig3qu70_O8g^UKXd6tIF<GqRq>&z4Vq7i}3oAV$%)LYn%U^J%27o+<WQe zFP&X)HpqA@dg(2Z=~}S0xNyC$WZ>^}f(uzse)nCi5VGUuxqDkePDu7Ix4u!7v8wKL z&Pyf62Ofe-yvs_Sa9DO6`!uy!{oMa+Z~L~lXYb+U{uQ*`vdmGTfyKDlZo^kSz0cPU zIm`3qh>I>;xuB;en>py>x|OvqPBVQzPdT=JdxDaIinyrf)FZQ3aOUhkz<90lTIuWs zVJ~0pO)afoah&OK?!=~mr3)TPFuAKe^bb1c`nGY|%C}<K-pWxqt0up7<F!fMGu88@ za*VCjC!TN1zie85$lj(wynJ%yOD4WUHb0WtV!q4!<%OGs9aiiUFK!LwHnO-oHF%*^ zetV4e>iv6D%$rYHO7u62oz7t?UUFc0sAcw?&O?`a-`&cv5bd}dCi@_?v!QU~w9Iyv z?@<qa`B@fBv76<%*nYES?F%pU=*x?@@-y6im98tNxo7*S8B2CQp80ZP^q<!=KQ!I? zV>iM7o1IN%;Ilg>5*jvFs;;mLbJn$72ol@=a@CrC*1k%;L#qYm)gRFNCoFd&>47m1 zkM54I9glhRl`RbH-g`dzu_mB#+4_ECyM_ZlsvXp_Pbk-}k>|d2RZaTQm2S6MZ=nls zr4I0k`+SYhzEiaOsl%R|PfxMvG2eZh@gv{?<7Nw=E}j<Ou-b|9iW@`{rha~L>(YYU zPq(=?+eyAFPkg^{4O{g=wwMe0S?phbuHGkg+2EHE6Ic6!GunCY^Rll$Id=8gufKM} z`E?t3gZhF6mYL|@7YmPPxo@)P$e%gK9S<Fi->F<zUoD&_y?k|T#v}&510~I3D!a;G z2!>7KcJl5yqvw{bV^}Zx!8h6N&%~vFIt2J0i{)4Sc3h%(`|{#FyFF)R?zkGA{$LwJ z_L+5&@4cSCRt?*2>U}<3^=9+U-2L~bMIJb)I$P(!>rdW+N*-zpcsnQmC^?|DwREPN zkH2qFXVl~;2Nztg%*fr$zD)Oj{<*_b1FqPF+Y3Mb_V~qK@m`|_23=9jeaG^yMjX9V zw!*RI$Z?bU*>WfAjJv~L^lpE0)m+cz3uo{tZnN#28mA_xt4e>&ue-Yb_Vfx?x7e@J zhh-Kq|Kee=^)@p-ap4e0)@8TLXZ22<($KSbB74YX=P$J-_B(&uy^_3Y&FhkSH@4en z$NnWA(>~o%_3U<%_^bQj$d$9KQ$3^XH|ie{cpxr!us_z%bm~Xbx>ZLxd6{=s>vLV( z9)3JnW!-K6c$bgA?7JJ|!oK^byYxyHU;5p!Vq&kr|3-6p(e;1J4^6(hnxXs5kNaCK zUu}5%_FupjsacmQYCmcnS3jNf|MbJ8#5>-}Q|5&nTdW@RZ{M$%4f~f{ENA}r)l~eO zb!}O8>aRa-PnOT)Ry_au)aI6x^S<>ZMXs#%sPZq2G57UTeRsBW*_RFTjoy57h&Gxt z+w-Z~vQykfUk+YsJaJ0@LGO0O#~huqW-`}TU%6K(efPP&;m3d}5;Z+NJ2V&VI{P3q zxUfoT;<m|qU$pZ5G@r0$-<+wpI!shG8xHZGyKq9XJLW=H;?f_QfA|YFa4h^85x?hz zr@Xa{Yxe0}-<?tWU#Kg8Uy-uut<(8J(W_e9I{wzIT(<he>pSHM(VUmANN>^IP{okA zW7__Nl1*OQen`si>G@U7^l|gHr883wI+e2TDllSyCl=vc{_-B*<EWMZ(Pe&jy?+=z zHQ?)Arhn9J1*ebho1@;-7u<JV7JpmqMeLN!H_zL@Jz%e`*qU}Y^XcZNhHkH)pFOO- zr*}zTWQa(p)UFBZo2yST9=@sgy8DSo;_IHv@78Ym{q^F^e>p`*bk*Hi4IEsQlfoN| zk6b%&D15JNqN09JvAcGLOJ|=|aN;AkvKd*k))dZqtnvA^$*B(~SOi}Mgc+yLPP5H_ zqr2{Vj)b}Y%M)TN0=EBUJltmya(|hZu(G^o)vcV1aW^-*z5F*}o<o4gmxbZ8#b+5t zFUwx*x-e5D#e@HQBD3e(KXZ@$HM?G5x6=P&$<fXYi_fT9{!psDVSCd`_gW)Qt-^;! z@vX+KoqJs$y44w)UJATruEgULaq~nS%cPC}K1p4%oBe?4Yxlj!JAR(~TXtt_(iPrH z^ULoaCS`9~?|Iq!UUj6w(tpnS+g$GE{yA^AB4j~`Q{>zH@Q!W&UfOjo{jufbnjYJT z{rL*d_N?_dqP*eari4|p%{AXASgKsMm;0t}_}}BgtAuM=m)+F3maFf0w#JI>&|&f5 zSNoH^g9X*=bbl&&d-=@xWxyjnK~&k~$HT1M=jyuMb@nfNr|KJ;Iq^`=|A3;Sd(A@g zH>O9L#~UY<%ntgyVp`szoys*C_c+>Lm*@u@#4la({|v8p%@T*Vf|<u6&a6p)ZNAEQ z(@)ptHY4Slum6PXv{j<=dbaUL<)}n?7A(9O_I|(ho!^y#Cx3-*pEvLGKmIo1io@rx z<m6a58R^ZqFR^>?@jDi4=QAwbcCfVL42SZ8lY1jx^F3vq>75??J@v!}(=Ovqr{Arf zGmp>sm}I;`?Q7)j9Yq%}C8sMg=!vLof52=Y@?)FKzO9CF-ggttclTbCS)HeU^3)>Z z3p>2sn=X9LH<;A8c(c0sw6rVxqJ<CJb1Zx5aIUDRAh~fuW94<VZ`Ysw+r6{Hs4GF} z*VXsCzOjb}N>s=cXevwoT(pjJ!{@BK6ApP^Klf{r=G@>N_aAQIxu9E>^zn^PMR?b& z7YYw8&%Ih)rzPGwA>8P-$>-0Def~Y3X`2w5xR0;a>CbM7<efI>?-(63@B5ePYqrz= zb?T>C@)uJjtKRtetl3>QXD8bi`|t)iU!D7jzO!aO+<UU-_VpJUUhTe>pA>fPo0qAv z{KaH;xy4&;FYtd3JX`0guz2EA5x3T#5<HXortW3Te>Y*xfo)2?bqPj0Cb&i!-aWE% zjnIb@jXupameV$0zwIx~6CczhdOq0Ep@HY-%0*hwx*2A@e)n&8?{=Zt*G1myIm`{L z_uvURG($%_rg`GWjILSBCTC2ZR_^xhs<r&R68o!uyKXkt<i{*57i4+TsQdP8R-L!L zKj-Ag^D|_smw(Wj854BqORdfP6zPlq4{tS){C(iLR*s+GteGNKA#x4AimGx)yE^a9 zKltGO<&#~H0~fg7Dd78?`_p!ohL&?4vpBo?LEU7H7M4$}y#ggOiv6FKytLD}b>`2} zvj(T~|NMM6X|Y3MzC+TJ?JGjcmiqfdx-aKuU(GLbch0%G{G3}3{g)3Z>wo?+?Z|rW zDb-c)ma&~MTxsz&wt<JaV)G7G{uj~P!oQ!Wym>`u&Qn#M>Zd39lQlbK9A7N)>M`4% z`FYc8KFxWFKhHQ!jQI1^X{X%$>|FPC;u+;U$EI$0BEtBB-<GA{|73%VckwoX_>G^L zP92+6JtwpJMUJH7{<iYK&Xb9c&S+k}{NJa35yv&v&SQmp43dBLyNdm@3|V|^?`)lw z8@dk4eb>vjoENuSF2X<OwbGGi&n|dhz5n>|`>8T+GhT~6yY)TLV(THx6vI<zf6Q6y zvVHZb2d#%z^%<le{&=}E)<Q?ZzR&OzpPq{J(X{4&rzS6YpYig;%#7W8F6KW97k@tC zN0reBRo?T{cgW1@E6cd>QB67a=E;-dS32V>Gb&h*E3I)>GLwk9E~MUm;Z6Ok<qNj0 zPW1fbdS|5#v%djPan70Ve+sg$+`ez#n!#V~x^8OFz23d+E#K~6#WsuQMVi7@Es+z2 zH;p5cD-JAK{3qe3&#seEN}Dh0oDbGjl{kFfD2L&1v-yrD>DN#1EZT8Fo43{I$J9I> zDYa+GpZiw^AAGf*^W_4&`wbNiAC=}GxiafP$c9|WX(lX6AFiC=FUYRHVA_%?-Lto^ zs6JM`&e3!3eop-ZkC>#da=lrv=Mwkr!%hLYm(~e$Ja!lb)PMUT{&q*J{z3QlDSY#0 zPtOZBaNWT3E#utzu#bzM>&-m>!8Q0^9gF6^DT~ejY`rI9b@A!7*FPt?Os>09_^4jM zW$&8uqiyMbMJ}~&4O8d&^5x;$If-F!&cFA6SS59E_l*gEpYt{rmR04Qsti8=zl)#o z$*aBoU%pQF|0%rm*L{xff<cY111~LD|L4~0l*L!NHzn-yRczv3btGHltBz%xe}~Vt zz>JL*%3Vtt-!GV`Ulc3)!SA8*M%g``&c}0-Co_kieY$DyG50qfyz`b^(w><<Z%Xpk z(9(C(OP{{#`hH`Pl=a~setw%>Cfy4Y4vQ43lz8;XF1+lpd-UG={)PYMT2Gxbqgcu+ zKQA?U-Ku+`F%#|2U!6QJ?NySPrm&$g)4h4CmEL?=E1p{>*zxIe_f;87sivJyT+V^Q zODw-|o&1{j{lfj;=LIn(U$jp8NyG*|w9#33^y~CH=_T=ck6fjt4!>Qb620R_zUCgL z+svmzSN5I!!)q|Bb)!-U_r>Uk*8Hbd3HxPQZ_Ic8oxa((P-g3D*@vMo)ZO+mZt}Wp zRsO^-HY!yp$4c`~5{K~Ff~7A-PG&!L`&cw};^Husr88zci>iOQN;vcHN!K$Th8&$2 zChR*SbLvH9u-4r(K077~Zt>QfZ2Z4WhpW1)Xi5FGlQTG8th#0@vd?r<VtUWi_QsN( z6U!ewZNFRVQ}y=Hn+CNdk-HW;2Oltg``NWq(N{CoQEA0)QPa<HbvX}qZ{zyeovhM$ zP<g7dtwFh|XZQSHF}Vr;0;j&Nno#@UY7_Ipm%&YbQ)_j3c?@}O|F`=x)uC(G(E}!5 zf_YA!t%_B)dZ^aspwr?1@oAUUO^G*eIvTQijK6d1ac?+ayf@g|cCnXAs8(K0wWCe+ z;`y`LjvWoG?$n#ae7kFY%=tGPH$J?c+|*Ic$G4|vORAbFU)a0q%byM#yIE{0j%8|( z`F=I)rQxMhD*J`M_jm4$Fp%^Yes^kjL6Q4mz8d|)$5O$?XOG7vDwVH(yZ%+%3YYmS z{sf!nGafEvh~3b6#qE-Sbl|+5**<r+)g3#yZO+;a8@w7H#yu*QzjG@8)(#c<;_DR! zzkQ6>7W{t9eNm>fZS|K+nTB;Gt5{OfZ+}a<xQ=<9?1J`06|+}`ypfNb6IOG5-~BE# zz_03|nX?!3mdY1D=FPfhc>eJ3l8=YJhPf|1uO+geZY@i{_NMcl=Bj;K-mjPyXPlnM zazWR&djHhK^ZIj|XU)9Zry(n}dS22VzBe|immJb3YpC+ran53@IrnnIj*c1ip<2n) z3?f8?yw{&ev(ky$Y;$nq&8&@UdnTWHIpNl(<8Q5h-g{#BGiTY;^|k*}!`7yB6tBJT zF~1;4ZOSs4e`$Vmzt?I9p6dQASnj-gVhVfq(x!dd?;TGVC(inBtaq~a$%z7)mc{z3 zS4z&_@^ZbH%WSs2se6~*c(bga^|Zkmxo`8|Xf%GYFPfY!_POHhrkk_UANXpkY8bcO zYRC$zt6q1xU+m+tui;(-GP_TA%-{TP_PMgBOKN>CzkmGwa+K@qP4k~jdsKGv*B|Y9 zUUK<9l}D6XkG?&0MplT&W}{pP+pl+zURW7iR7{txF|4nj&91>VpF{YQfwARg-3Q#S zdxRKe?nq7#urU6&RB8hMf_e?x2;SeBb5a+u$nINcpuV%=^qhcIWd~)Yr5d|*wdRy= z;k+aH>5*JXf8rH24FT6D85@pGj(f1;%ape(Hhj&mJ;Iv(yTu{Tar@Mz>}|@G(QkjK zzRPG(modKeUUT>C)uq>D_J6v3%4qkQ!>4X+JyH13)LVYH@pFf4_RPiX7gsj4DkS8; zNozj%?C`{yg?AJb-%PXp^Saso$;C(U&q5kDUB0u*K5C;yzW<DdOO9+l0!{AxM<#Bu z>3l2l$M!jM-DazMyXw`Inp;a&%O#}DwB^osGtEt#+vTv($o<aL>A~+NDn3owr0|Pp z&czKj5gyEECs)<;-V?K)W>|XAb&B1E`;JSes~>pN{g`7(_@DLeQOnic+h_lpekuOm zzQw}58+;#rzH-ax@C&0;MapyUa9{lwBA@QXBe9;pRpYLM%BiFkOSWxzQ`-7@OaFnI zl<kEtmVC5W6DC?<<3E+<$9&&?lGj2{IZVAjv3L2BUcs>bjEVn*&p+)^Q0<A^5x!b` z)m+Dzi^m!w<NucF$M31tUDx$A>>Ag6>HSZ0xDH;Lz#%?Wd$-x*A3HZa7V&$z;nOnx zE%Fza9c7UDQoFn<t(B=bNoZYEsatC9VZkqNAF;VcE>+&D?)J`x<z+?6!gvubMds@( z*GyS?V`K9jrM?}{`wbFP_Ia{?&VGOHp#IW6*Q>UtOY9d<_S~!@&FHvTvPAYE_buP; z$?P{S`+j{Pe#z^)i_82?o(JpwCTr`rKe=$!dD@1{?2NhrXWoahEy`*v|Ff;cJ$l_I z)44YPb9U}{^xk!{sp5)Y-Fmjg68nqT&J@d?kJ;3_<#hcl<2yH3-qYIkwJXl$=Bz}| zRUdQJ0%o21Al&xDUU!cCF7Eq!8mtN8FSr)>US9dn&vk$770bp8S2urb2o9YR($TBf zYZIB?IpxlhxhvlE_Qy^?qR{m49pky(7o$D2bo<Jyo>^sd#Td6T-cFg#BW$wy!h;V& zao&qx=7%SG)wJ_|IJE!q`;sjuJiFqiT%5dr)ouf=?Yp)vKO>T@RV<q@<&%A8cS<J9 zrrBDLxBK-O=C5*2Ir_}v_54rkV-|&8$;-TBY?{CrE$tC+A+D96s2%6Kv*&t1U_q!= zzQ^C$<pvRJ#CUR)YuZ9T&v;T9Vg1N!&w`mnP4<hf`pflgih4EY)t6`0Pg-TChVALv z;avOsvCAXx^6=iB3jFWPH{6@={;u+@<-$G8`nMx4neqKRXyHCpA);C&_<;TM=aXcd zPkxiW95he&!NRR8Cxka}Yt0c^u&r@5yXtS&JyVX|x-%!-Xj7ACMZv#dna9>&A8shk z{CH@K!Nq(o_l7$&Hfmk>&A<QVjG*3fzmhk8pOuoH%uxC4xJ2{BGTq5fou<xIRBT8! zeRZl}vjXekCwvcsgbzI4({t;P#KIQ6*(ojmSzgp{t^OrFyJ*74w7%XG(_d$WN$UH~ zS4b*qIGMqCBiB4+C+}7M6Fq7Bz2DY^C%?92@en*dXF}<dv)2zBoa;`Pbrs{?u9~oD z?k_bjSCPF971xjMGJ7HD-pSM6mEoiy`Fneu>|ejhOMf4_R&`k8!K9b3t_$*K?)uod zML;QRmg}2azjS8Zbm`>3YwxrAnvK!L@E<XIx2$&mTJ+N6=Hs0052k<THMBjD8@zYL zt*xKBqw>O*_pLs1cG(R6946kM6Atd+;(RZqa%Ri#gK?ME^$Ub~%CuH$msU-<w*6W2 zoKU9>%|HpUi5C{{-7F!0%x%LLi{k4!FTSL0(|1;pGR}LHd~oTG-`gurWnEBmluF<2 zwI*2lEqmss!1lc#tuw;kA8>6c=xRB-T|RL_?v&Hc3z=?Q-fpYCI7%eAwcyc)f1X#g zZ+Iy_KQQsr#Xt8NUDXmrwt8uGxF1@PyvTqz_R4Fw>p3h8#hjORou60mYuDp<m%guj zt#N%>@7LS=;x8M_$-n73_g9V6ry%~8<J>R5wx(&!mecgpt$#m-V{c2W-~^ZZ|7Y%7 z$h_~B<jyaJd(E6ZoF-?S$eCVPm8AG8XZa-cx_uQtQX{75M#ld<uyjUj+2Vdl!MDd$ z+y5?EC!G8D*`8T_dL8x*-R>*5pTGG0)GYY}pQPN3&f0v)tDAi$ZG-E+;NB(E^m>YK zuh92hv#PUd`clsx;j`QB=DmtKarbydbkvK>+r;%eR=!$(J3um`JDbs{<^7BePa>SZ z-`U3Rab~3fm#1%p%&Pkezv}-BL@!hE_+RSp*89M5YR{2ixt3Ln_M0Cp|L}k2#kn5V zYuvLB$$Wgv!n@0VsTNz>XZ!W>S_+m)%zM)!e{DbOkuTTw@9c}m2hYw?So}U{$%$p% zJ1)&qxXE`wIP5aNi&YF~$UcqpytXzyJ1&?qf44Wi_T+EDG&c7RsYyMRnp?wnZWmB~ zRM#ZD_ei_4t$$ndtrrKr<ZX4lY!qLY8Qs08!nWHh_QI^AzqsNXH^nWkm7DIq(JgDa zSB$XSyWg_ktW7mvWvrF!d9y=5Jn69@oAQqODktTf45MoY-Z(#Y&|A1L!RcX*Qpu{2 z%EhNWrCg#<t#P$m%$+A*CZ4#5{f+u}L0`SEIX8rO)%mnL^85T|Ok8tAK#e6`=$ZI) zNw*u$94o?3?EU@g+wwo+dtI)j>g<s!$PT-BJ}1n7nlR7ui#_g=8(odhO)K25a&(<1 zlb(y6vPOGF<d%{M2l3r1H|vBtKe0_cpZqu~@?**B?QF7!$rT)OM!TJh87Ce4Ht|lT z-HIvCUUD1@D-@19bz#O#|10klPN(y!&Ne8#UhTW#w{H9XAXV*$7E)Fz*DqZBcaZ1Z zv9_D5w(40}t=f5KLGyxTL43dd+5L-_^|>Kd;<s~h%`DL^8(z&@I(NZBLzkb`wmrdX z8I>RImrQ>y7kw{y(Yh0s{pZAckC|=1$94WD^ZiGEr%SQ~e{xX|TWQq(c<zTs%9r(H zwfi-4<DzR`R0c2_UwAytXKuZF)ABV^Vk^9k_a45MZ}8eU>0^~rnLt;JT5ezH-b8)= zb2pYa{=FXL)_kt*sQ>n<uQf$?TlQ#5JFegOA@2Dd=`e`{eG%19xyyxa>~TIPd*z(Q z51HyDo}92ZdYrB09EW86xH7++{pq~-QuEtF?ceKmiHLqbag#Ob_*JIMZQ4^egm=yr zN~tc9{Z^F9{y5ZhZmF*^)9cJ1N0wB6PMq9Y@#ECA>R0BrhbB+-Wu9Ep@aB`SmYSD; zjVrU{#>^>uCpx*RJXTqvEw43a`Py&Oe`N$bXOV4BE_}Ex{D!E*t^DobGvy7kt}S)@ z^Ihbogj&&ykb5czCx78Em~!<%jT5W)llK{yW}N%`eskWDPlCtP=b2T<PCI+(AoGSu z=E!fs6V{xxx}USQI)y>{hG5^H->*Wv7T>tIU~-Yy<SB9%4$o6wudpwWb3YuxYxF<+ z$X2UNp{xL(rO`JIUSfaem>$?YA>hl|=OPRjRCi6exHw<?(~Qcpz}9OKx91mL)tH;= z@TKJ0t!76z%N0MD2KZS_i%#Cr%E$KROyE0D<48%VO$)-CGrl>Wje7Iz=~geFUV#gT zzXf`(`XF;GqUmyKq3%q9-#TA4G|UQhX3P+MnzT!QLHXTl(>yv)$;zE`{Zc;nJ)in< z1rFVfOiLL43tR6{j<4@k4-NS-i}C3m*(=*WJ}mhv`6uK^r`*#Rg>xHJX30Fi%y&d} z{q9DyZL@mzTJS~7{?M2tr&E1~J0P%Nk4(&hz!#hMC&<t2J2_o@br<LJZOabrRc6~$ zHh<EzkaE>0fqH9{+dog+?2x~{ay!eqp!_2t>~X0_)fWEV^U`we&F%NrYcRf=xborE zj4Gj5K`RoBX17jYcRfGd^-Nrj%5CPopI?eFmE|yh*7ASC;Z}I&r`MMTCeCkLr3I7Y zb5-7dYY-{iT<+fS^2&>tf@$A<)bd1keXVwz;kEYviBNxAjxVi7HM_U*>A5i7JFs$_ z>w%w@UwSvF^Pg_q^W68_&J#MDcVx$e_)a)sTNBcM(BtFt%Ud!t_*H(cTBF^&()W<3 zQ|8_Fe9^Nvx9#y_GG$C~T)kUr*_mT=_s?4&S~k}=Dnxpc1OJtB*YllwPV`!OW4kQf zEIH9&mTUN#z2%~d)~dR8Gx}d_J*UE2vpynh?!-rOYW8Nur(RxX|5Lert>-4SxCD(S z_y4XH`#jxnZ~I)ffR3~0PU$RnKmT;P&t9Xz>t6p;QY;1ePDWO)`jFC)v)1jSHs>4P z_Q<atugmXs@+GDo^wyp7b7S_jNzAE2)<?r9_J>_#sSe>@5<T7j{mpl~zMOq_?s?p? zy>+Wpe(e0Rxm2R2@UPP?0n^i8yf*J~PFyDX<mAOaz2Vo@edh*UUJ*XKYuTBISyGW7 z)E{4{SG%QCc0=V<N@P<;qV5tYUVrb?WjhLQ@Shd<_lws}Zj1SJ346cXHl^3`P10)l z(uX{%1P<vp&u1=IxE0xSKVao2mswTQAN*#T79uWNkmjH>)346MI{u!~<mJ!R&&>^2 zdio~bllAq?&C~4T?sEo4Ru(;IKIqlhXF2ut{RM~LU-<k$^RQO#+C(=4%hkRvg)wo# zJ+@)e_kMXK<SMmn@9fqLn)G->Xlwbo*AIH$T>GN>!6Q<kB5&4~e<y6;Kkm-EQS990 zEnGG!@e$WN%`g4i4w^pYKJVkQZ9{OpN9sW>hhttdH7nJ8=N~fp^?#%P(w1q`8B3~H z*0!Aa(KgxtWuk71+2P6A3|{&RHz=4+l@j^-PNl5ok%Zir3+(sGy6X>CcsG7aTmIsr z;*1xUU#&T4vMncoK~z*~Pnno_jkbmKVL1nd2_3%w%3iiPolSi&G1q=^Ws$@;)iRrq z$ti0$&kBF&DsZhyDN%KY_=eLS^>$A0>fTQBlagK0{W)AE^xe~r@Baz}9qWa+Z;{@( zz9Z+MT6Wgmb6Y*9u;2f8lX2RY$<fh<r6qD3&cEOK!J@U|s!3w)rk_fhva<uNb-T_4 zO6iJdu<c})ao?(W$v|Uj{!I-&Zfha#+s=#P!!(}u{<SK3nsDre(X7_}+XLgCx9^&8 z%Y4H7txF#0Z)6k^Ow3C={yOl|f62qDhb^@m`r<3P)GW-E{+w3rZSj7=dxnA4;n)5y z*WCPN<@c`6*!og^tNv3p0lx3u%*h+RKC0y3R(L;N)Y(uic&g?Z&bH_SXZEHibn$Wj zeD~Po>qlpmNm2nUH@Pnz=8gIOiND+N(XJ)iE~J};T0Ys=ZN4nhs;A+sTSUF@tG7Xw zSMR^n-E-}G*Xx%DnIGO%bIiHY@ma7@XHj>x|MSEqg`T_qKTItCU;HY%*zd}W<tOHF zU6JDGJeTR9>n?nuMUIPkmrwf@`JZlkzMbw}@ps)4`;&95+!q{dxy|{vTJN5^*ddt} zS_S*xO|#W!Tk!8=k3ZLby+_XJQ|za#(>~H&x|l`b@VTi+!Wk?t_HOU>$ygsN@mA99 z_(X|bzuG<Ka_r~$Qw=lTeqO%ep5>W2@2jkpR)?n?nXqqymi1Iy;ZLV}JyW8iJ$A3X z*Bz62;`P&MKK$XzEYA-Jy*-if@_;kL3Hh?p$A$@<erK7t-hFZGBy-2~`VT8BtD7SI zPCi;A*2*NXN1{CN)uz8cBF{a!%<wLG<t~rSofA!-wJCaev6nC?H!V<kr@L}-%txW1 z9Vb#+ABVnaj%ZTbzA5|2n>VK?EHoDQpUo<^!X(m<e?rt&6S3|C?LB7EX2I=W_DSEg z`ZK$HdAQB8&(_un4_t4W*{eScJj%Pa{ON@|r|(=py{UBH*$Kv?AL5$th8xdpUsvR| zV(;Qd%~J2e3MQNXN)`L`*#467adpEbmpo$T{QbN&^UU^T$~oGvUqu}{`R34->Z$X( zCGJg_Y4AEEu`A#8w!-(~S1-;kVqw^~#bC+Qi>stB8oo;Vv1Ix&txHe1mzJ?et*nv= zUn;O$?3Lsx8P=HfMOz9!#OcPYE;yga6LrdzLpnFQMCI@Lwcds6gQXROueCar*h(Go zm42%x`{BpsBe~jhc|KW7rQAAax@GI9&&z(MS&7Gd+2t12wt9A<kcD)f$)&0Hm0A^+ z3of-2^t|S=zeg?1x-{uzb$TlQQDJ?R3ETD-EnV^WtPGFQN%68(T9eD>tZGc+%$&_S zyJybU7ZTf+dIzg>J)9ouYcnZC_V=mBCpYE#<<H8MU7!&CwbSq2@7lA^YMyrF^Dq<~ ze#dqqaCYR*mQSl!Y8-T|Ke%b~+<%u3u}_~I#(44nB)K)JOnuU!AA&FJJ}efjlfKpD z?v8ZLUChN(3)W6Qx}N{1^lG>6=hM|rXykk})ECaPyq@s)Ec2{~;_=<v*<^lkG-dHy zxA1Jw>M%I>OsQn!shTDG?Ov;7UOUyHah_}93uW_S>rLOkA9*7+@tpkI3vXliZcb62 z_A$}xbLpnS$o3AtMH}WWKfA?+H~K<x)ttPq-<bbNl)S25#iy~)K5vrc0`52Rx$<vj ze0_Jm^?~5y=#@N)b1OHoWwy#B)}AiiwC7+ii{&2oHc_3fm#dtNB7<_Aw3}>2ORwJh zy_N0Nhu0@n)&(i7_fmH}A#$pi?UsM%O$D`w`xm-=F;Y2b-rjQaibdwl`!!P-ikR!Q zpRUP!_n>!m)?B0M8-BV?+1{7bv!%j`wJ*qjLe=xP4aYp9_De3=$+5a-a@kZLMe#)4 zzh1L#J8D$9|7zT0{=YgY<Kharpe4a84K&njyQ-6-ZBP96*`RX&L(U;32cD}ZZ+lhg z@i_8zO3#jB^>4T&$(#Dgi0MS$$2q^xxX=Hc5pns=A%W6>J2wvS-MqW*cxZ{`js1)J zzO^d3oQN>rRxH?-zo^12W=C6q&W?z0sf+hKRbanfWVSte-kcM<-ya-z(M&w3%3nKq z&Ag4b&M7Q4YM9}hv6}CaOT>LC`R!XAw(Wf>uFG*iPRz3U$oiNWA<uTd=-kq9Bu=S? z$>CmX;S0}Av-dlRPBZ_%`GvWX^6WK-ijT{ra;`{Td3k|bQ$>2#qFYk4)%Sn#y0qEw zF`xI*HLDhQigxAZI=8&h44>sONo<{RR`mZnQWtF%j$HpFUBQ_rvXXsq%GOK%x9{BL z{c1C-;l%_2Gq%j;eCMMKOAaodyn2P)eZx8XY_=b++J5K+8?#9ScYxVn?X0l7&q`Vf zMOWERbpEsaVnNL5buXA){^=da=$YrS$70(lNxi@oa%|G7>ZM0dvhD2?X4G_JV7}*2 z|75nqsgolA7fq3VH0}Os=YVJaySLd@zrFhF-+gtaFMp1dh#r%kw9DOm(OvhqbL&!t z-|u*;n$Vu{pf!AxouZAxlsy@o-a#4q2Uc2~(=BFe`}xSe^kx~mW{C37#ElnkeO|F` zLq$XuJ5PRE%nBx#-z7(@p53au{NcZom0R1Nr+laN4bqms{@=ZF##L5{&c6!<s+T+$ zW3oNotKBKTuh3=c+6_yacl>1fu<5CbRn`T0AB&yq)OdBgly)z_a=dKQy?}qWp6s{( ze=6wzty~d}B>mF|P9A%--)C9wx_gfl+a><}+!AA_bL~^)Ihh%?W;`((Q=60L)JL(& z+i3lG-@Tnj{n+b1NnQDcFVfeBFla}gaICF%zi`K7*~L9iatxTRWx5rW%@=$6<XA$q z@E6XAdl$6+^Ka{3y@Ok0|C_T>vlrAfn>qg|zOqF5a#CaI0h@mDWz!|6&J#_GVp<*W zx_v^?`zH7Qg{JTJpLVvM(Eei0gIe*MlaHK{njgO9Ql0mU#_Vt0(pUcW*W8-7(c02E zw{oSfw4I;JADbo8UoG-h`+XPL9P0S0$H?)gVA0c$p&s&?zh_pw)L3hmG4ptX(Ui{| z3$=8<EZhF~=;p{`gNR+P(*91qdi0n0*#qrbn~(8+bI>`pjHA6hpzn2x<m-utRd&vq zvGg{_<vIUC_b;5)oNX_hJLBv6bCP@4&eD1{yF1~y`1fbpd@H5dm{<Igh+4G!`3!FV zDW|@kt~mMQdFd8AT_u5;#yg*<eA)KqW=BVd(ue#b)%Wf{+O604qgii5_s6O=&-{I5 z<!w?6Oh5F7eNo(Fa4R7~)409qO@iLrjcy4)1h#EBlw2*N!rXVTy(M{8XHUsyHrcYM z9}Xr)vo07l?V5P{hk-S7pXd29p$jtHFCLvrd;Yi2*?HC?$wKFsI`ga(0%w#R^}Bp| zS9zuR<W}>)t=I0vayivZj_{r&FUGU=W%#<wf~#}hP5H0=()P5}$C;UuAx!HR#H-pn z%t;iVFwOGy8u9B_KObP(mK9^WruSN_<l5{{szvISJyy0=-y?s1KGJ+?dQAP?j=u-a zXI(Hmu<q4YPFI`9J^Xh|LrvGemVB)osM^27^XUxD-j-i&+Dj5HZ4t5gP~~8E<%R!+ zMy^DKb51!&ciTD@AAHc5HieJ3Q0~NrV?tNXsXnuuzV*1q-r4*1-ZGqeYtgy#P@$Wd zyPH$WH_LyR?=#hUS&z5g+P8YA(to7|3MK7eRGgB!Fd#eX;(x~p98!N=Zr)69JbY#S zrT_apc}#Cbr@aV>$-YoL(^2oxs=M<w*5wC98E(0E`}VE}1zp?@@B0#8-*|Cz>4cfl z4<yY)Q|9HjXHVRGY|;8T>kdvSd3w8X-I2byWe1<_nJD4^^vKK&H_kqspglRO!%nU) zXim)m-o%-QBR`&~Nt)}<`#-1B?9K*DnS;GEBAKS1Ddp8W;u3r8XwX+F8^P?>Pw!5C zZ&6ys$hEq4hAZFtZ8BFp1iD>oH<^@(v%KWmsTq*+NklKPB&_`pi&&5O0mIs}A)AES z0u=UTvER8cHS=+kuWf~iX8Jn*DcWL6+clL|T#3^SJp3XzF_Zt+5B@Fb{4sZSaL;Sm z9hSKA=8{<_T9PJCnth}CTfCCSLYJCZiTi`MF7FkSWEcN9=hj{eap&o)syRRYaXj`o z=WFm0nbhA`lEiy=>@u2l<=onP6N8_8+;>iqCw-RPt?*w5vnOPPZ@YX;q~&8nDx;&c zTfDW1NS<)UVnf5dFY3=RR9;<X6Ur>#?qQz%t2#d7@f4|9eG_K=-Vky{)@P%CS;VQN z0KVgzibqm@1pPaB?oX@O;s6b!mHk)sv}DY#*ID@4#QkIASx_auVdkx?<(J<$&wjUJ zj{F(_h^%ePoA&*4v-lorXSppvBxL84q~dAw1hz#SeEnv=Q^3<TY`t8{JHNFwf0(-C zrk%C_8OuYe+j*af-3jO7_Ib=vo^9UNRj%soCVX>~+O*JXfl5n6j>(;y*l;>$;_@AL zuXQp?r^nqr9dB|y+2zVC%SablU+q1-uOD)Sc*Pop%LS}iX+8JKbxv2O+6#tDGs?pL zKTLQkwBz~FyjGUj-TJ3z?!2!cX=R==vvsCn@}ZCG`>rtfRq0fG$qk(DTlKB{!{%Fe zmoQuX7QVCJbkVlxl8yx#DhnU-IV44$5aNBLX}xdN7w>O}<m)8sxc?c<75%WSJ!@sx z6Q_BqKLp~p&uQu1av{v*ob3bIo(<ls9v8K0xAwf2{N?sMq-t6?FKc~-qLbF~L;=%P zxBTViYprtK6do379&#s8a@wTG8Bgxrt>}3BpUqyEGo<OC(aW}PN7?(Ag`a!K+8z1j z!&>dTGRKzfs0o^@Sv)QGl8}*trvbaR^e3Kk!B=<|^zKh~KR9hyX}Tc8T>0Gx_jH+b zN{L<Pt{3-w-*?ql(um<1uh;!gl@)<2MZbh(&-7hz%6-rJYhNFS2$_6jIaTdq@iEQy z(8}gZ%--t8+CTK0?C)}!PdIaX%?5!UzKPG3xn3<`bey>GPf@nf#0od@M^2jW4&Cr- z+hUNv$7osTrWxlqax{N<yY$yIiHi;keO9UNx;!&0%So)tc-JPL#`p8H-h9*%6ju9~ zRT){H9A!9LwQcpTP1%q8j53eOZTce3*`X!9zc^6R)wxK{@|}G3lf~b;<xU+svuJ&* zn$pi)-*Z<y*iY`fZnv?1_dj`&orz0bww!SPX}!B>(~QbbnfrO{Vr(z(ozTlwXg<wI zT~zPP??m0dJ60b1zOlmX^E$N~(vJ_Xmj3XDIqvqCwjVKz<86E!SaOaotlm;3y->dE zn|+<9+m4@0Wna%~uX&~TPto?fBY&&R7IX7zecJ;YI$SQS|I2O46Wz-qapB{#7vh<h z7V!m4ZK!YSh`!j^b;4}=*Fy1s<};P7eb1<JYJGfoGfgt+$yFi7sWuy0o77vDN$*SW zv(w7kcV}bkgQJ#xvlSmKZ1(uN|2})dDeDwH6G=f~Zo@Z`b5}UE3L53?lKlD9*h1pi zhw|Q^hW=Y#{b>%lm9c7SQf=>yi^hu6p4!c*QoM1q@Xh8YN{y9g?>o)+owu;0^0h|X z?|Ij_wyd<8D4-R1?1Rti_=eB9{|o}lufAJ*JRsoj1r7sM{vGxURHHp37-qh^QN$2i ze1_Y^JJDd~cjiqw&2ywK2L&+ml&`puqp>DhLh<AZxzhoxYyTvbbnSZ-uA-eUZ+6hk z+3?)DA3wJLOA~o?fN849yuxq)Ill2-z8bwcK<Dp?iBmKetdFpH*Ic8uLF|j&u0W>6 z?K@kUu5DJGz2)<<;5$=xGgYtgZPZVgzJ1o@)0=dX&q=ZTk(X~XJ0rR@L#xkgQEAiX z|8gN0t6cTgg*+5lb+7l{#HA_jx@PlOgnIYd>EEyv*xI&sdrmA@=F>MDyVPf1Y?Mgd zedh0<Hjj>}X%b3yMsI@hV$V;o4r<S<Oo-y}wAk4AP~};K>=V8^cIg$-PO`f+zDOtR z(8|eKn4Mu7veoQjnAXh$^Q(I+%b#9naNc`2QGL>Z&J$Okye)buJT+}^L$$r!iuWR1 zWpBRfBrj8S3wC;5vC&JudynVgT{<T|pSOOsT2ABGgU>Bq6ZwP#jpQZ>6rJb#taWAf zrlLa^=gXVj<~;NGXu(0z1>WgQr*aox57oVIVlY#2`m*~IT>>L?G>ac_9DdK!^kxa; ziA@&K&b;nc9Ff<5OkYtR_l;|7SgM#qo7K7Y4;$}%4A8K?@N>RW!W4a<HD>DZoEO+D z{yqKLQ@QL+koN}8`OGUBOTX4{+9~U__21$&$;$`kZ|L|xHCj4Z{#_}H>;tBbZoiEl zcO9A^*<ILpwR%xsinsTFJyl-gJ*hhSj!XU07F?*i6_)-)?N`?<A+A3SWr3DgLj&@T zHqO3e@}=GC@s*8_7pO2kbNQfn;gL`3WA(yV*9K<ws%3j-aL@X%BYk5jr)&0&)vFeK z5>D6=%6jBC^U-(W(^GTI*RC(tzuIpZo-R3i&8-mq9kb+0TK84_S@1h+rjBy`8MoTA z4!6Hes+@mi_v^#o6PV(2uYKb6W%rWPT=Z$;^C?noWi1y<Ja&7tZ;;WM#89hSm{{#^ z>V8~S<`ciqVu#OPYJGc3xuzI>jSCj$zA{JXp~B)FGbfa&FVOp=uE?~q`u*-j(uZrb zoo>ASy;I?fsrC!eD||2hJ9$m~v4?5jzja64GUintny~7=u;5pPjE)!I4&CmzF!}aI z^3fzC@7YqNPuC<RX$0_z=*ygRd9ulHscdxEl_iz7e;@f7*aTg2n6zm5-MO`zea4!H zJ_Hu>bMm;)t2tr&G4n+9r*^H*JC`nq#2$ERn0e}V)amL^*Bl})F%|!Pll5RF%TAf! zuXhLd{ZKLNj#wVBHd^JZgu~Pw?^a#fRI=-}w6%TL7uVA_O{VYi+CTH!qtrP~iFN$) zYC<+<-8@~FHKoi~ZtXoU`g4c<t{b9$d1^_j(aVxG)bH1-n_QA>Fe+>4S>nBS?cxpd zk4~BXR5oFSS+6y7+|@<r7978Ippwm6#X)x6Za%)~9rL~$u1h|%sM6(S@15y?v>#5A z6I5t4dXRVM$ESlVje#`}pSxY&lddE`Yv1H!VW|!b#oIE@TxGs!qvE?**O{d{IX!!4 zg4AZ4#2``8M`HiaXuMf6S8V%EmOoM31$HxrdMrJ6kT+ARz{E4nU>ifILu$#r@;SG2 zzFha38PneKwz}oh4~?E>35g8M74~J1wtUGr_jv(>g65;`LD%m&ikxlZ)t1uu=6a-8 z%kl^R&Ka}Vr_B(!Z>p>qFYr-#hm20eqS{}!+a|7iIW7A7&trPl8HZf`qAm+~&N&yY z{?|p$_JFck@SjrE+qOylVJ4Xeg??KZt~b_5FJ1C!<`lM9u`Z$#u543JOWj}GV19Mh z(}&+bC(WCCb$M^$qHlNY4bG<?x90nzX}GRp$@64)`%CRse%+$pCpT@HeB5KMa7RF+ z!sXj#92>5AtO@br<z)z85my&>Y<5@m*VBCaF8}=UyI|9=9S50PqNaFVJGx`Wp_qGe zy8E75EaBEQz0jijL%;s!t<VeYj5mD`9d*Bz!SThr^>nbkXspE6S<kgNQtY;esPB*d zB)(o&%k1q}t~#Sqp5xbMaM>xD6h%sXWBvH(hW>*9S;=pA%XU1xoqfv7M_4pd*0(Tu zsha5a4;!p57M@NjwPMcB-M9YMB#}v`65Iz;ZuLz!I5RWy|KYa^KQ?sCxTZ6^gu9uy zv*wlE0_VdWb{dbYA4Yz;Hmm)}|7jXJS2d=dZ_jzUd<M5?&m<K|2mWQUzDE}=edf2# z)V|cabJLC_?bG+fBW21PmND7{oj<0#e5;Sq(`)r&7Yw^jr=I94J5h7Sm($yG!kvq( z`!xz4bKH@2mB}x$Q2Xy*bY9c3e43ENYo?EF>9US?`@eo(IlXlMr;`sJR2@sM`lB%O zZT;34QzbX0&F$GK_&2iZ*!qKaidVZi>L+hIR=BlBN3>eW{41~6)c(x|_ntl6dQw@d zzH|Sq&a=BN?D`%SdRZnr+V9m{`>yoKI)0C)uGM??!nvmZ?Gv-^>35yyCCoY!rs&A8 zntosJ*4{1pd24wmOUoLpQd8Y{dr?^Kj+yTKo1+g*Yw-JMAb-i?TQSSt14U;tqg*;R zH=RwAO!KTV-#U3~z5egLhn|Z$H<#X7lCA4@?DrHQJKMkecWljVe^3#3;eC<jHl^!v zXOC>0AjX_=OV3dzpVef&*r^p)4%F}O3pjB6_>Y~<tD3GXogpvG*<Pizu<83I<Lh^y z>lr27Sh3EicQWhIzdtur`ti2U?N}Y!9iQ|fR{dU_|IC#Z#qadReoJ1@`f$cRe$JOt z*PAbm4AKR?ZtoS=$u+5{s1pkDeOqel{N#6@R|Jb;i%95`DNhvnPn(~rti4vEA^B^~ z$uG}}N>-^kSj2M8ja;pm@x;E%bo+Eu_l>f9%C}Uu8f>vuUgw(g-~V1`h+Q=czw^}t zcZ@2o7tFq*dV0UIROs`fWv4&ynz-ZHquz%-QwyH__26Hw>2gp};f`p-x5{Zu+)p2* zN`Ky}o-@-x>tN9H7d28W4ndbQIW2n%|K9e0y-4fluOCkFM|iHCunm1~{>}5=)Dy)4 z0q&*;+avW~OcgFF2t4P(;=6a%>v^o&t{r<WeR%dq^1;gtt(?~%-$e_|@-5WRUKBd< z(pNF@N4vK>zGCM7zD0v^cKyVM{p-0dJuR<);(u+)RsN1g>Su4YMNi%^*FS#CWZ%HI zyEky`+jNsfZR1;&PZx!jX6ha-pTqm)`Z|GquIA5|o6Jd^{c7KWKgyC{)K6x-Kghk+ z%=Egxps(F^hPg4@xN7>^bxuDN+<8BTzsvLDYQYIBqwlHD_B!y}dNM=3latR(f5CJC zXQdpLMFCf<?@UT7<7#O*aH7KO#GMt}-YmNLykOJn%!rGhJuc?x7Ok*Yp7}JP^P|(w z&Wa7uTu)O{i~5zn+<qEyWl0nV&v(IlKf?~s*w1r{{nz`KddIdGMB1isRJz6Nk{7+l z{Qpneqc2)rQ@mN$Nd02z2(nvc&RMcn>Y-Yro_@h%udOG4{(cekAnDWIdvD~ImFYHD zIkow&yBzuN?tO)6=C}S9C&xUQ$sUycLgZCeTjQ~*o{T-bd;jEeRRr4HwD_og?0=(f zjq}V`^A39co}uM2XXCCgf1X$2R==3s!ej3pnB3X*^ZczD^-uoa$TACS5VZb%AU;_B zkAs`iyj5kJd|CAwO#^FpudH_$P%Kb#N!iq1aYE*&I$Q0Rb7p-@?Y<Our=~AB>z`$P zEppY<dA;u)jqFm=790<15fb`4<;3LoA8Q`iA6-*o-d<}k`B}ANV!wqV^DUn%sZ8y; zlS)>9+WfP_x<p=cM&GvX6~*eCPpmYly&JjR<x%}(tz}Ag;wujDH#*->oqyNN|3gAX z{pEaC9?#xm%7@F0o740<W=-I`)gAEm(#0huYOTylQ!lqZ`sXeGc{+RCX3n(Df6q)5 zjJrHVE&tceX>1pawcZ!(Z2ucGWp75ea6v}l@211Kc`qLFWF)QBF)&G9-%-D)HX<hS z%GSFj{|-!VZV2(Txid4}yVWMw*r#^gw$%?Ol^hBF?{?~e%=%YluX9<HMNHgXy*JD+ ztrqT1@8i0Z_~%fEAwyK_;b&WmswzKp?W%Y9Z)xAny8Fgsjm9ft*9v!p96PnaD00$2 zv#%;%k3wgCZvG(Ed;Sr-FaN>wTpBT|QU@llW-4G<cj<0-X4zzh6=ruIMC)m-e^z*4 zLg1T*bI<uFHS1OzK9x%QG{e-Gt7b<-c~tHEBG(?(g8_RQdH7xbUs&tDZnBy3J<0v0 z?>Bi=ExP?SpxMRu+#Jp=S93GguqaKs`Dfn03mVSTPBrdiobv88r>2R*bdGa>r4Cg1 z`&Fxkuiatt#Z{v0`GTvB2Tk@wyx6gJ)&b9Tu`87>sNNP}?tgXvv!2X!b1nt1_s)M6 zWv6+ZJau5vS0$xATmLN1eylvXMoZ~lxaVnCAKhI)%j_gs53cFu=8Oq-J^yz;U*_i| zhLbg|dmS%XCnmj<&2yeQ^P~2u^E*>Cx3N9BY!|h`tLpu~$F<>iovj|fvN*$Hmdn32 zdBgkdGt8H+<I?lEWFz0S{`pdGrlU1i+nD1Y+?HPR;KhTW=4;c0RO{5Pd@YgSyRmOu zy}(3&qcDB_5K|A02dBi8|NIJ$IO;heZKi9uj8{klkNJaZi`{!CzGc*0K0)(&(o<t4 z!}uv_?~hpQ+q+o&S+}Qv%8Vl!4*iA??NU2>x9zo-T|HB%=G<xZc_Q(PcU-itDA05I z6RloQ^~+f)v`)+TW@L$B(to}cMjx{`h<|-8-1c;<+#HWh^%f!AHfMhQ35Yi?cKK<k zwfV-chgYL-i<T{Wxl2_1<XJ;^X0N!$=RHlmb_*Vvr3UZrvRB(x`+;>uvHBIy>jw7x zy;nC*IOYA|P^N5$eEgI1FSh$t*u8ln#Z{AW)PMRhKmD$JmIuDd-kaYy?H3iF>gC>W zn(t!$jP0SOK`d(OXCqr~HaBLuZ?l;jpSj;;8iS>c(E1JEoH^9$7tdP2dH<@_I_?6q znQQN>-4ry8ed*}FB)4$cwWB*UABWkMZ`{INx!>}#e}WO`T0>u7mODw>-?r<9rn`OP zbNDh}?dsIjpSwK%$8)4yidx4W?VmF%MZ2oKZ6U|r2}(zP^>`gwv|9Du1V(|C^N+n= zG+A!HGuHtQ25I4J`M{Nhxr|y_R~bJzeiCk&`C(FHjn~GX5v(j0ES(&8Ogksq^P5jT zR<3P!X%XkjvMn>Lj(qV*y!Wc=7V9r%PMxfI8-HAVu=(G)sJBVwyY`vbKDW#<^9`MM zb<>&8)vsB1JinOyvnlM=SK(rpzB_?MyMnBiPMF;<ROtKc*0Gu2nnP=4pK7wO%&=wm z+&$-0lvdh`>p#nbk9~e#Eh%fib|DL=&W0|I@K&aY7tj8zW4<i^L9obVgL>}8MYFZ- zPkp>{Q>b9F$Nwb-&kw!r{8RJv)vmYKgrj6x@}JjnZnT+sFz@Sne_rm0*|DtMPehNe zE#WsW<=2~j;I(w)<Y^*1UAE5<IByrDs#<4tZ(h-#Eb017`&nuhZc>%eO)U>T_`<+9 z=2Yl+_voFvCNqQP+`4k$>|()BHv(5qj9B8*ntS+1Lb{*x9nGyj0>q}@DbKQ)uhRY{ z{HN;W=0kc1x-YHU<0pPuWK!+&$unX(R!lziW8L8<{o5vPR@XHoBBNOSE-2K=Y!#Ay z&~Svy$*#|QO>W)yUrc>ppFMi*;lA-v4g-hjYqzx#XUf;UxTPk%BQf@*?W0Xbk*g~z zJ+4(4X}O0l$kDla`Dd1>iht?7iSvG3wpDmHS0Ezn(YdZP6_b<G+CBz5Y&|9M^0Ram zZ>{)hnbR-loD{7&%CqTgVEJ56rwo<pC1&6MXRBvzo>qGG>sDXgwJYYDbbMkpeid?h zUxP$VuH#ALYhSE0GPf;O=Dt2B;`z&C{7=KK+uv(**&NB8#aD92Me1$Y^Cez4dAff2 ztlR5vv*|{twRTmu>)b1aLH#%WJ$t|r-conB*srGSuq?ae7N)g-&gTdU|65%9+-#z7 zG3S$GwU>{SJb1g@RA`GL>%#S$@3l{in5m&F*Cu|oYfA5hk8Jl$+cO@jb=pt+%~GuY zEbP_~l`YAe)7PDFn9|Y{aPQTRJ@%Z<*$r-XR&0#wyVjVQZQm!zvP`nGAuicMM}Gdk zX&%2y5~^oS-^>u)9CBf;tQ1RLXvBlQPmLEv{GV={v_>u_l;!yCsJ_$>1#G%ux7t34 zc)qk-@L134@#R<RE>?QXNc=i?cemv4ls`r6$&YzUp4nNfi+g8uU3tql6Q$ZDKO5~6 zE580z%j=CQ*igOG>-B^7N}J*xW)q%Y*4n(agiUyZY3BJWGg#(*nZsr)@;K#abW>}l z{DLJcx7fJ8C<nfC;7~htf8mc0KNszAI`)1KquY(&BA22jZr|s8;_!{<YeKse)_OTE zUpMcF9Y5o*tOLpAy31c|=e3;t@$vjVDei4@=UP7{aKyarwqtX=!ZYbXaBlhOhs*R= zUe<nja~UhM!i$b`Z=60wi&iM!-%}v{C2~jFNtGw3)>wti3UJ*w<x1}HXD>=~>Rt%m z^?xDW@N@1Z1=gI>Urp*R<#*4%y7qz9oApue+iA)6*8PVfcRpfWB&~8Gv9Z)+P522m zjfn@Im#=$c^6(D>_l16cHt#kIw_C2uyp_Jq=BlVT!y39#twTcXh|H}<uaISJ^OI(6 zWR4Q6YpW^O`rH+6<1WbggK?v>q(eMYu8aKD#oJcbsGMbfdpwNQS)`<=(?5Mn_`Xv+ zFX!gHYcM^-`A=oBRXMZ3*=2U|GItF%=Jv(jdokzGMn08^TUT|6`7nMFe%ZTl$F00; z+b%RPT;C+Dw@ufS&-+90y<?s-+2SU@7W-+wbo^{R(L<zl@~^bb+0PzLJ@)MCYJmj~ z>vp==?O*=tiS+*q1|1Hu^D8vJEz?^ZvE^6H%A<~KucutK%I`?~8+r8bOy<4@mwOry z^_o{|&y-Sjzt5GsaE<Nl)3f+&S3M7Mv`%@UA1G$BZ{de`E4%wyOg~D_&sxhqjo(u` z`Nj1Qc^_uaxSi(vL5EF+(J^aX=kNUVk11-k8<r-whUHj4IW7EyMQ_Eta=wmjf`WW0 z!4p5Lt(Lf@#>$<+#?`>j{x~I_t?ZlJ_ggjB%k-WeYzjWdv*v1^a!_1ifMK5Xoxio- zPaaDr)x}@ZoqQ^?k}G*)QiNr6vDZ;4V-qQXb!&XL`+c;V^S<bDjbzKZ+T5=6owCZ6 zX;(gGZI|cXsrA#ip`MxL7(-Wpp!Dwx)?dp!e=dx^Gh@me)zd1i9n-3Wf~|h096xll ztmyUf*oWGhq2FF<l`hawJ(1_mpE5ni>Wf0*#bq4}yr!JnmtpnTrEm3R4#iZ9&<wL> ztG9MAo?4_7V<?xBqg>x#zFUK7uCp!oQOA(^E=nys1y3D)c~NQki?u7JX=^#O)OoJm zl-RI(PmRYF@$(A0eh)GXml!X!N$Rz`_VV8O=NIM~t6G?xJYp8#vo>;nNW!ko%O1&W zaLKAKmg>E*jLmcQX3teGsy{267SGyqO6z;D-oiNtWG5EQdeiXa^zQc8rt7w9q?r9q zdhkfcki~2E%7&xSZjWAP$#btdw@6K83U5Z%<EejNPV!<s`75D_X{ks4ucv>0uRZzw z`SpYPDhp!Py`McT&iRx`UW&HLL-Su;rTJ1>mf0H_Ufx(7BzkHK8@HZ+Q@PaQ**;(6 zWCeXKB6a?KPttt%J<3-(YV*mp>vkBanRwMps8(-S@6%GgAa4GX#f#H!a~~~Q!q<8! z{@Z!`-OZ_O2aXpz<^>5<Jh-{I(~3uK=MT-rd-HxbDnFFrUp0;On3?R!G!@gFzmlTO z4byZ=RcHLXt*QR@aHkFP(#pnDS2!%6c^=@J)-e03aZ`qoMq=NaaGR)A4|sfi=N2`k znf~!i+Pv#J%Y^C!^OjHYnY7od+_El;S@8{<o@G{T&Z2G0%VK7ps#?X6k+*V_Y|`=z zraY?`)!e-7?RCJU@a+^ajz881IMjuY`<S2K|FS%WJ6`tgcNRO*?Jqvm%#}IOZ05(S zep>&)0l~c!=7ydvS=0Sq%;InP+t)kYm(H8#*EgSE<;<nCe}67Nv?tet{p!aaPpPCm z$4^#vO#68vdofGr>*wEh6szkd^rWmw_;f(>RrA7@w@k8^7q30Hs+qf;`&qu-gzTp6 z-TNkFAG8y^w^z(DcIC-a4Q5<QFTctx`q6mt$Zh@GH{PG_5t{mb>)G!4ynDnyetm5* z`H?ZN>D|iX54Qa4i<y<os1mec_0<riwfVN<-xl>9kX6Vt;Amo;I`j4np@lXv{gU^t z7tMB^a;Mj0+wsS)Q*@QSYM%JYxH{SO>CX>W^lq`=yVJla&U4d$ap}@)>E13P58G}h zPVl;VKRu8A_MvO)Z&o}poG2<Ls+O5yd22`1(syjHHtd;jXP>u<YO1S9N?@$^oMnC6 zI2DfBoy`sMe$lx5{epjO#_o(-SMRFMGv0M*^T}(Ij!Z6@$CCN<b>jE)!c+b~KVYJD zw@+{0I|;_n&%f9AP2De7d40RqvQ6i+Pi~rFVOO`?IBVM4kB6pDWt!dlq<NRhT%MBq z6|5$gE?ikL_t09|JJ#Hvs?WOq6`WP{CE~ic`OoBykpUNkax-OllW#7vv(tXh@1Z*1 zU$g9i1^*7mrxzx(cRGH!8M<!1n{tlc50MWm`?{v?`pkaD{fc<d3G=jv6XssBcL`gg z!@P>aM|6hSiWZ&~^Pci*aw%VQ6^ylMPU61v)BK<#=l)0WTq5^5<t*Q9vtDeJ&3w4z z{i5|{v+jPL&)sAo;(Pw{^jni^Pp1_8OJ8LheU9;Q<;{rRHJ4WeEjt|c+M)1MM%lia z9KNO3=2V0j9$IS4`E3(lh?wu<xD$Qad|Lgpm$7@S`KA2s_{tFPEKMWXdw+yO1k=PK z)9&>iSnx;beZ8e(*R7PIn|g7|*(Y~L*`C*3e7WFbQF!<}Z7Yq_%O|yNcJF@n*WIjC z=f{U7We2$ac<{yUt2r1hao^57?8c|o4A#Y~Ur%=2^x__4eAAUb2?EEDt`jlc!_n3l z`SM$1^TW;uaVM6>zG28#nk%RA#w*~j>5Xp>cNApaa5~z4XL`PBq2<&?_jr4CZ!<Lo z9}TGTi3<48DPFluRkiOOcL!(ae;sy-{;kD#+ov6C(|ajd&hTi(yBC>eMVj9&G=DW4 z?oU`bQ8{sT?|dW6>YVBP-m^9>f1<!`^lxQ>Cf}CarxN?WM)pQ?S#7F}f77M0GB0XI z(|`YO7jK@|<=Fe~W!1Do{TUfg9!K*Vo$udt;DpubyBEsm*R(RoKjJN9^4N0r#xa?c zh<y#B-6;;rhaDQf81@^m?^4WMwMgK@G2>N2k4q)X+L_+hMLg1bx60+z9Fe{UyFV7k zPfxGj^R7>d_1g0;lb72z9j-Zh$L3Jeve_}+^WPXUdD*odbou0QC)^_bk%iN{u*A>7 zPPgYUvLv0jyhp6`l(+H3j>|ebjSoIP^61tR(;MHreslaRGkMu|QSx%jnGgAo?won! zy?ah>u>6;uBK@4Fwr4(n@7frCSYZ#ZuZ8!l7s{U)PKdZ2+IB1aWqFtH7tMlsUT$xs z1K3x+xh~cxar@pU`B1kn|KCJ^ja5~yFEdV)zOlAL<yCKR-d~RdkG7cFHlG8>6uEaL zUtl$tFB1CM=U_0$xMSfG&kEL8=Toy1&pX{YmXQ*+=+6@M$bjx67oDeFQmA`S@^q2S z?enG{E&aD=PHMh?EMlsdi#OAR-N%nEm^afqre|9D`QImBT`E2PE^?pwHPa%eT@!>$ zez|)7XxiW^cyRwi;osAeg6^s&-tjd1`JMUVr1+~92P&Rl60|;$*~%fQn(xIk@pGqj zTH54;hYTd7gEyB>m5<4Nza!aCs?cl3<moT#{#;)wD&NVTd?wIXPCRYLx;u(ir5k?z z-ZLdz{rE}+oe$66I%i$iKO6b~+rRb4bh?ets0IZo$GSMzaBFN{JS&FR(_q=nUXA0I z-uzE`uCStL>zwErcRXj8#i`wAKjL<)K$vB=bmrgpE#(Q;VGoy^Udg}4w=z__X#S0W zZ<oy1eAhkn$-N}9D6h+8Lf+NA?9cp4+E4#2o0>k|$@H>Mz+Ja5AJr=(Q+W6PJ7jZI zJNtm-m8CJBg1r0heR=EjVEV@?Z_h_bbv~7y;Anb6c4|k>f>vJMTT7%EbY!B^e{7kt z=tArnrl;q7qy+EOl{|d;`Ngfkme-Q~JMJu7t^e1cveoXAOQv$=Yu;<&e@<u}zi>jz z^GnIB&ueR*J23y;`@r-k&n^y&|M_a?{4;O={c-igKKI`%&P`q)+Rc~L{@aU9#gZp$ zN%elF-h4rue+Od|wthRy#JS}AMVFncFGMJ}U5mJt8t7Z<rskDgB-x@F*JmEQaAL>T z##xQkR%gD~y|{fkLWXxmWANQs2K*J<rTsrhF?hvax%gcp<IPH&iNWn_ACxryY5#Md zA(HKJ%Gb0lKR!Qw(;Ic(T0N(<jG_JHgwoSyy|4Rx<|VqW+xSMkRQF<0yvhGHFSq6X zQtUt1bGJl}-TZz;=FRlm7gl!p@|{jH7N0ipME_pL!(Xncg>~M2Z;@A*(B&WfE`Ga4 z+sZtTDID!Pqi;-nq9WI`*~9ex)0h2Gdv6@=_}>=zky*RvALsVn^It45h*Q4tJ>H;w z&8^VP{oYjz(q~M2Rl9h)QtzsTcjg~`8u_8|mV&>s-Cn)x0kX2aa#gJ-)n<x6VrdOC zID2*5-<6NzUTQO*|CoEZ$KzOZ(JuZ^S~h<ky1ZJeVd~LamT6UN++)r$$E|RgkDEj{ zhj43CT<_V!1u>4txuo)6&Qf~Z$l7<4<NU(6LW>`sSnizEdT3tAyxGSa8+41L)s{<s ziDC+W->OsM?s_y~%ha9s<mc{sEuL#s@2kbEH!UgF({7W<+xqK{i!*E=`t6E6xO>e? z-J={9=0}6dUT*)z@nhn&H;b1WI-Fb5G|_wt+oTgO=KL=DzhF9lgV4dt>D{t!MosHP z_HVIP*4tg9#_qXO%;{)msNgKcUG+697Tsg@yIga0-XrzGv#JdjCh5k^oZO%p)!z8w z{$J_;A_~mCS$bO2-=019VX=7K36)IQ_m}l|m~~y4U&-iur*Dyft!+T4+O5^^9~#TB zt(&MnquH`&?}yof#m_ZA-!sn<dopQB+m=INpNsxg{}OgR@n;L?hb29e?r${<-nX3n zYVygJGEM7n6_Hs_Jt{wZ>}e`vtz5oIDQcPj^;Z(1VzFUwwjJ-j=NaZvvF1@@R+XPM z`|W0fi>9`dwmZl#+xz^<KH26u_q#lg$thTFIxg#M5^ej(V6{Vh)bx3Woi&a!xy{@j z&8E)O`XWU~^W&n)x)Bfk)vKR$Mt>HwF>l)9RCU(vc!pGL$`tp<WnJeFpX{kkIW8CM z{Dz-fB6+ru-kV?RzFS+cd(BY`lX(!;zhcwPiHp8F?%_~8P<N#1csv_ZnGnnK^Ox+p zt}X2_e9m)T{ZRB$UbBemms5Y&`Rp(D+^3pjcl1ZogN$yS%dN{^O<Ez*Ue33*CigOP zWbHeJhxhtlmB-JoR+}NR^yD2?&o195pSI{MVU<>DpE6hXr2g~IZ8PG3iG?0JXCvUN zuvW=?lVf1XVU3s&XR)10v*Utx7K=$8X`d^<b=~Po6Yhx$3uF&R{+PCqch1Yc+*o~C z>5hUY`RQK|#b<ah8Z4GK>T2AQG55d5<S?VXM?YF~`EBdirWSg<_<!n2=RH0=jJr%$ zulHfumsGRy;G`D4yK=va!@|>3o_!ElAR0fBi8(5I{@gdF8%6%HfAv0M`{ezDEjO>5 z_$=H|9er0*?A}}{-|IDOTO22DIKY=Hu=*mOv*DiLEt@xeee-|w>z*5`dOESp?dNv7 zJ$be0t82VQ$ikDw3#xs3_3G!HK4x5TAavsOM*?Np#a9o=R9{wG-r@T=Y~nF@;j(w? zT^Wr6*6d<$E?NCwS+l9naf4^L+lM7r?o7LTNccuvV)*|ZcY3D%_jB6gHQ8=y$dwm6 zCM|q2Vbh_H1?P&?_Z+(Y`09m!S_wHpsk@3=lbBY_U-pP?V@S94_N(Sg8o2D3?N6S2 zo)}uAX!CmC)zdpJzv~ipTd-feJgV@?yCoqJ><_+6s<7@^xqf%?&1p9ow%p$>$TRO| z!GcT2{xS4AyydW5vG1(gLB|J1*$bJDN<3M@;$gD>M#sJf`V!0MU07S{pJbWJ^!NSJ z84D&vuL$|Pvf<N)fO_XbO$&yOpT1r;+hi|&+E5%ke;KRc|J#>V-&}b#JLs<DyMMD- zm_4_C+n~^C%Pl#%!6DYEcA>zoxz0Q82hCmOZuaQP7CF`930A@K6?^{wT0MR13g@j= ze;O|+?r>S2cZ8MkYuZxv54RU@+|y>cuxj4V01<^0tMwrZuaw!Hwr@%(<;vRfSpUN% zAHDpZ<BzS`3)>I$1!q*5H1jr15dFEdrA5?oQMPbVWBbExuL?K4UhBL&q4#U(D<l4r z@B>Mm377W^w;ohUZr}eqU{&flK9kFat5P4yv<KS<NLaF8-uS2~>9a{&OlgAXZ@GuU zV$0sXW8XGQ&Uv=L)_-T*!$W5OmsSg|{<u}@Ue_yEy{`<XI<H-O@jbuuqTBh12u;TK zmv-b&PuamDy!w!QGRuaO4O2Gce$UG^Ju>TU<#A?vR=(v5*LuPYQvX}}?mX%frImIo zMa91SfA9ORCm7aeXS1gqQcQ0D<us?|myK1?-X>j>cd~~&)BpaBpLZs~l1c8wqR^W3 zAj6Mk0_WA9N4)x8bhrEJQr+Se8GGKo%scYzXz_QpMaO5Sgsq=<z?SE=x=D+^n3U>` z;{nekJrCSqIwC23efna{Cz+@IDeAlBe!CyOEdBA4DCZA>MrHfoDzTj{l)P7IKgIvJ z_K``~H98jkUM=zMq>HBL?cNDDnl;X6a@|;5cIrbn=bCWFN1Y}8!h5C$9@k#4_so9l z|9-*usy3E$Sx-LxZjr#*R}|{C?LcCu)^n@n#kTPuHppkPMPFlFDs_CSF~?koB@T`J zn|sd~9eUSu`r@Izm5vt-Y)TvwFO}W6UCI4v-GbSByjMFdy_PFzbHGpK9V>t7?wxT` zDIEVB>kIea`hUApz<j4%hqZ%6n9-`m>-T)@*VOO-oBXtX?}wdM(+=PG5@OPEZRT~u zwbEif;Vw&MA06SBV|Z*(mJ{v$$n<u4UY_EeROR-gUXsSQ_fBRP*jaJ&N6C^4$sa^h zHqZDJ{gLtdS>cn-8QzDRIwxmyo218voLj$q)z%5OY~AkI{@UN+UtlVexW)13GQ%dO zAHO4~8qaVpVmL8<{S-!PuIQ70t0w%5HJUuVHTI`V|0IWs<+}cyjUfyxj-)q7dEU_z zv0izTGpF9m`|PGOYD<pzd<br;-am8I&*#C{9!_?UdsAY6IyXiCl=!Tz?=3eJpPOf? z`}l)iQ}vz=0(b1fU+!vs5xa7a-mfJGuAJ2{*}daLM7;+qOXTVa^CU{Rk8@`;Us4P{ zx6I+t#Hy(}jGlK>_Ng2ZJie>3@RC^9gOCO#`w3Y;(o*{qnHJce>MVTvOW}->k;$<s zkJ<0epJDc2IQNT}uF7YNYw<5;FZ^?*(BRv&dg+^D*U$WZ$oBo}q{ZEiQI1E<vrfi5 zVmRr>_V{ttukz-pD(hInl}{>P%GKPw*zt$^v372id-Kj#{xw>WoPDpyb!pMsxdMOQ z7Ycdu&E{id>I{it+4ryXs88)(x%>xwNww0P&&u-d>Ml*pkko6t+jvZP-rPk@&je*& z_{{w*yh?TTikF*azw3_*&OXt~{q9nt`rCp|?ez_oGIH-Vc=sJYJ@wzM-pf<|^KZZ5 zDd#`2TCi|gn_Bw(RI#WjL4A++eqT4~fOF-VY1Z+p60c@gU)|d3_1@ac%RptHIOmg= zsSlij8ak6(9$a|jk+Ww9ql~ZD*K;pfzw-$l%&Cs@7JYiyROHO&jTQp8wj^I>x)jx$ zm3Yg>QT^&d7dw;v@=t_QUL8-|8ee-QR{d|P{XN#k$!+rmj~#KSEN^En<Zzh&wQ5qw zbtQp0dLPaBbIRC0XB;lDGIQF#ckSnp!qs*`TXL_@t}8p~w*0Bj1ofhV(m(oPXP!4P z)QW%5ohS2_#d)1_mBuNf+k2A4dz#<sr6hO9#T`Gz_3*g<-h{KKT(T5zEj?41oV+F2 z&N}69^wz7lc<c9W_<4iz`d$5Lk$M*s51j1I;wpdWq;TRvhRvzy2JeaAOE-z<UMgjO z@A&?bo3mKS=C{l}+nALY^1{{9x8!YIvy)#UU2khey>qVqr5V@RPUxC(<*9dHThAI^ z9P`qtl-F%hKhs^e9F@n4%s-d%yw%?NRQI;-L`ybN_BRRjXVoOvmuMa|Q=O3;eLQFN zfgi<LuY~yC>zP>J+9=v>`KBjIbxYPM771%xA(xK{(dn->F7XQ8uI`!aVZJRp`l_8& zUUL5YW|6bU4W{l+N!^(`Wvz1W<8ANvT0NI6QaHE#;O~H>=%Y!y?KfD=68Mr=x?!FF z{(Y-9Tzz&UIQrGo53gP%Et{0@Rc6yLL$oVnOVzif+$W~blJdXJ`#sjZJjPWo;n%I) z$Li-#-TCWvpz-0#3I?TV_FMnmo@P{7@Ndqc71IMWj|Q)~eb6!a>)mIWKkqC#;onms zVED!VXwZEB%x51(50rlDd$;PuPV)m#L*`9>R$jUI^v6FR{|2wi5t8PST={*~;yW{z zasB)tReflKPK?=4??;8<suSe}rF#AxWBk<5mQ%Oxb8YdSI$z(iC{97EJkyhRdiURw zXgIJib3^C#+FAeCzfzoVx5U$C(+>5AK^sI|&CY}c%|HHQLbnrp-SZCD)9#-aH1N85 zPjJ7g=c>9!;n=ZndD;t}?0N0^TyI~{Df=V$rzBne`|p7llT<B#n%HiJ85Y-LbHtY( zPk;PK`c5Bz_#gJgHugW3J1O3KmDl*K_m}@njt3u$Hr$D`TM#7kwtsdmv;T32keNI_ z#q*oqomJXCGr<4z^}Q;__xGI*diL+)6o<qmX)pHATDiUJ&E?i_ray1mM0oFJFgWGc zkrHepCeC`_;&|*<%i=%B6)fgn+grFbrfTJfq6DTjN}N9fBDmR?tz5k%gy~3D)0X@0 zU1w(OOx}~yP_p<)=l_TEYD_+c$D8h0?c&?XdSiq7=7?6k0~=@b-7<CR@_hCyxUQ%? za-oCKHam6i=N^C7n}`-^>5AI2_^8Z?Hm`J_e5L0Hn}YHM=UJ2fPc16HcC+c)Up8&y zXP1KWuk@bk?^`4C;Nk0Mvu(DmpSP=RyJYL5HT`ofZ}TSn&}n$Vy<OvlaLlhqp0h5w zG%7F9UTnep@n~(rbejzm)X!{uw1WRe){TP7Kl|gdmaDp~{NK`Z<bCjImm9nhwYAO> z|JMF0lY1xKALTer@4kHL&wy`g7ON^FswZtYc8cNr)QTSycZ$p{3OKyvXr|K7he~cj zVZVDG-geMFbYS&N!C4>D_}1k8GG1`pW%rBMO#=N)vDvrm%)hm4EcQNcn6#?si+cSc zx2GG9e?H@G7@Bc2cKz;4W{YQSaq(<?xxzl{RmIi0Rz)+s?f&mr{5L<VxG<Tk`1P`- z2f3vtZMdw~&3i~;v2p_M?v}N8GA8M!t!kgIcKlWNhj?Ba)2*Izb7wO)8LGV9VmIl{ zra;Gu_bspgz7X`bplHSB7e8H}|6~7VdreMHVP5?bu3Jo2?^|*=uMqq_@m_AxGg}Fd zHOD`D%k94$?BcNRt4rsf<@Rrq=ExZcuFBiHrtwLB63-fmP8acLi_%9%A8O3MTgG}V zbX?&T>GxmF|KvJRt-FpPadSUvCH^xuvIw1U{gtetK8ta<8Q=50hx<Rxyiyo_-DahX z*_Q0zj2Ypdl&kC%`}23-Xyw|X*&3ENIabz2|DW?QY0*E^vimfpI=ciFRo<E?96uVc zV!rX+rSDiByE&8#7dgf^+E4S{ctpcReeS&EqdQpbVpZ<fAI|Z*dWGTq$Nh%$)C{bG zgVMF9iTmGtaIR*GVWe^kZ{WAO-7EK8YDp1}I}s^0-^4m~=DOz-_Pvc{;XHltuIS_q zI_irXPhC=*tG;@d*>)ZmHJzzPd3Q=lAJ0flk5cLX%J1`{)3+u3zs&QnzwQN(Y&)K> z`6VNClT%A}OJt|U)hPCtZ@zC)TJI8SwY^Kq%3s8;@X;)*bBk?Ff9w~$&bgsA>ss=X zm;LvR%a4j&H0M^f&lNXnw2PbORFfXU<dbaoZ}T+iyE~gV`JOvo*jL~tX;#&#m+)6> zBUgUteka}7NADD*`eSU_c8g4(di3T2(@CqAOPU5=kDAW<Y<ZUavi<+B7+uMp@#5&c zr^3}Tk1Kf@CZ0TI^W*+&hP2<y)H>g&=A9_GdqBtX?2I!x{Sw|^)~ip*U|dplal$Kg z6NVXmW$yg{o^!_dEbiL3kS8v%p3%H|NxFM{@G6$OI#<r!tbeKGw8T@w{PWkfI$kR{ zH^zAibEh0=J08bmVzYeHkBj@d1y(Hh=b^U2GUv{OMJlGx4r$yw(z3klXIb5QkE-V7 zN<y-aik7}o<UPkMW9a<p%@eD;=Z_zYQdPLR^ZYg0?qiI{w@?1)6UnWoa`3au1Z@`m z8*eB66Ea=WV_5v$^ygRe<yS4ckH*}nU=r({(Gpube_hBNAM>QzE{7G}9-lVZZ+d%y zCGS&RYNcoRbc0p*_ie1u;_~D;8W{YmBQi66c@_VrlTvY~c)nipH{N>nkyH7Pu&u6- zvpK#cJe=U8P;;o)zeT#=C;ZPYwe2Taw}jkDQG4=lu}w_A6Qi`Ks&nt`AFDYU%5PmW z2#-5GZN;5lX75WT+rMlJo%Ja+=A$HA(EhtSe54QO>*suq`;fJxQ}AR|>#4MFqO4pC z6-;V3>2pll%iLQfr?=b3H`LSWvUSvpujhnj25wuH`c5u~`{*s!7BPX@(?mQ&>RzVo z-!AKy_hshv>m6sylCHj;aG^JJfy~4?&Xq}5%I2y+`|vl`gPZ9?t^LB5Dd|_gKX%vb z|C+|M+MQ8(i6YOT`~I)RBedMUWbjURE6)sVc^qau^UHb%&dw``C+WA|c;BdWOvv5* ztl`((w1&AGE#C&rx@&q~`1E4Q>w2+YF0JKFd*bR+FIOOa)aVZ1tKDDt|7F%TwM($q z{G8qUcRQ;GqfWD;jgsq}WA8W?`x=+eSourx@sc91ZkD^8nv*+?*YU?#w}rf0B9Z++ z)M2*mVQKD+;94%`2RH9Ftxd^zZ*}fn9UFtZ!-M@M#T+V;9xI)Who@)E)XB{Dk4W%d z;kT0~UFE#tvpENMoZomwidTEz9)TO`mN7;04kwy&|CY#CZ=HE~-X_acfu6^2ocY}O zrz~7zz2<{635LO~_r>z&`{{GtXy3bNX;s?P8y#z&O*5G3`YGaj0H0ui`?iUVu5a}| zCp^sivqX7CxXl6Pst@^gyQP&l&#vS1a?wh;aFO@jdbh*!3lCjiec4O5e`Dm5-!=Os z=L;WSd+7NQ#efytEtbAfw>r#n@8eYy&U5T6?|vwresD~whEq*_>e0!Q6c<|Lo7Sz+ zn$V+=-)nm=sA+q$sDrC!?iIE#xjtGO>uN-o=o!~_ozaWcEGoP3<5Zyik?9Z5GOSS8 z_L1B3%d*S5?1zQor_GXI7spy&5S-K}sJljOMMuEaNq5!OJiGhl^66)%KgPMvysxnK zbK{RgE4Cae(3J62mSYgITN;|f+Ad*Td;QSm|E3cA&*m@gpMC5A@2pK9-|h<B7ayM# z&LW@8@!jwb$1bbMQq4w8-fgT-I|FJ|=CocZT|U|E`i=PKnPLHvch3B~_s3JZ!yxUw z_Ccd&Gr_~sOR6-cmQ9e@p^-IpSLMTK>y01(8_)UZd|%3Tvf_tl_bu91Jv7<y_DvYC z&t*f6Mg|w&((~Ik)=m1FctLJ1=a=6NYt(n9>;4P>f8u0@mDs(jO`9UN#fq<-V#m+l zH09u{JE4<;cOF+byEkq|lEo3mqf8U!ZJGV|Uu>$1-lu$m#WE(DQ-gaVtFA{%o%^A- zq*Wyi>;Bi&<y~Sq$a%K&*7H>@#p-?5e0y%B^F*midD|z)ZEt2bSn)<x%r$z`+#BBI zg{{l3rsQsB{o;RDCHS{%)mD#>t}7=Udb(+D=LKOyTjj!amK+b}b(qh#eZfB|)o}IZ z>kr;{e(^QFKS4(M*pcgh)cJgUdh9ArKmNF2lE~XV93S6ouGJB~5VL5mk!j<FCHmnr zwkm!7$9!m;=y%J&<Fij)dcd_MQgFRw;GDj;FW>V-tDo>JWo>9El>hdj?8obc5pnVB zk`s!A(t4jHDzhKZ`O*7LT|lp6KF^gc9c$SPzx@i^^JLGN@-Nc<1<%6^(wFY^+w9SK z{lw~ryu90v3!F0%T@jfn_S)6#_d<@ue{+?$SyxT_GO=86;xU(90;$aR9QB&tK7APV zj5{~Zn!&-tdBTUkdUjL1QVb8=n{!3$)}eV7w-<!I-|!=x>GZx7?)$eBjy~RKqPS_2 zV|BQQ!Y$=P4#!*X@%(r%5xQC}SSN;gx9h^Au|I@D53kNi4~|ZL5L6%0pvLdxP<;5$ z`(@ef)*F4&l76?`udF{&zBcq?g-`FTb1(Nc>u~Q3T3@dvF~vUR>|@Kk<1A&f*UkJ} zQ*~#l-c~s+x%YxA-WeM;n=I;kd{j;QiQrUw$>zvYN4M+VR>;h0XLN0JxM}8mb=LkR zGSWrYZe)F*f3TMS$sMiRe;#a2$(zdj>WSmsXEn|&uiordt+(9wdFyob-i?ySHrn3% zHD7o4Bd+wb-YcW?T{<o{23@?ne0hPy%l0>s-N^=N*KD3>N(j8M?Vax6Iq|C5#3qYA z+W?vF=5X<<lJcwibsu~8CtEgkFO!o}+OGdEJbdSZ*ar_LpO@NWAkdTgbdf@++e@vl z3jfb1B-<NC<vC1BU324dnQd0`+p6lNhWA@}B6iq({OR&xcm1w+bB^lN7zYG1u>aW8 z!lK6^80<g8PRhH{^;VGO*A4pGO#(G<h4WUVMgFr7aJ|O$x$lA{KSy}8q0O$ZN%LOI zr!yIxyW7dv?Q`U*#Y@iotH%s?oOs?A$~jT(#Qq{bMWt2GSSRUq{$Kj+<m;&{aeI9O z&7KPMtVn-<@@I1BT1P1pW2w8xCS3Ty`2E1Mr@_-r(l2S+&iuQ^FY@Gz{Og~Bo?1DY zoBzA&l=dWLM&?zei^7?2gH(A}>x8XIQz@F2Cg}8Z-^=ZRWnXs8%sZfeq}KA9?nd*B zH~dEf5AT|I$~5%EPQlZstc}(*2Pn*qs9R|D>JYE|ZpqmO|HI-0n3aw{Z#r6F>-%($ z`J=zVI^6x$o96A-+_U<6Wa5b(9jljRF}1JJ=Dc;$O6{%vuI;w&=Kp8Eoc-%)-nB{I zr{)(g_;zsf{zR#}d!n*_{Fq%{ctJyQ|H~t`K99GG3PdbCu+lMia`A0LBhDq;8!LX9 zJL$LXEt)O6KWe#J!R3y)-Q9N{byWCX4u324V!fM^%*sYju_a>lC+EyKw(GaXyd#1I z^;K&BmrU(_zFy*X@a4D7xiYWQtI}`9e-nKc{AjKIbD@vX8&}wDu~m`(Xg1O6w4@$; z#6NqX>57Gy=B;&m5$BcZ_dxU}zeT%u_>_XG4>hu7Yc4%p^;zNA^hrwF%jEpje-_4s za_G(cr|y<$uc|00)9fy6r1|z%#-VE6QjM6+l_h&8tX$T&?&nMwkqh(n9&hYAur+qd z7rxbh?0$v@8_xgnX0!gACw7Jle5_OdI|bg{z$|ihbJ>dRe~sK~p6z{icjXt|itY(@ z!QYkFb$8g^`e1PSD90qRDIZRKU$fJZ-T73D-{J#X+bfhVDm1^fFDaG$((9CZ^$2%O z)YWyln)$JgJWCH>xuu~mWv!~dZ_DBtmlINgbKgCg6ceAfVO>hl0@Gge^zQk;ezsk$ zy_&nYM=h5*LoKnx;}rY5R5#!G-{tP#$p5G2)Vt?@x-(A_$84V?d5RXM3)W5R$Suy< z^=Ed~)M+O=FLbS#wNi6QPE2&3LCEFu{yx^=WecXCls%h}YUq^cn(uP=zi;rgN&D<; zragDq#r0&D<COh!uP#eA=AP6}i<_30RXmSt&cgHNwKXEVua>-ad3`%(f@{g&@LAh0 zJuR5*{abgT%$~EeguP{NWLvL};aO!{)-x$jjJL@7;G(@JzE<;WsnEW5dCF4#Rpz3B zIfdE9KMw?}^5@2V`FJbzUV{07?)M%-XRTRNOO{_3$`X82)4*EUu#l5o^}(xSnJbh< zrk#=h{kMm+e!FhhW$AlU%*2;2^=Zmk?Pr;M?d_!i%b@MN$Ih93NSvb28u_L#X`<-1 zAOE^zrtLqbE7>d)eg4pAwSzjs4h1uReQ`Z#XS2h%ylCG~3!U?Gl!J6$H>H?pb_z4< ze?9K5vRNWnXGh&nzL2gSWAk?rxBuF`FS44Pc<#&Rnn%3%ovyEbvwePdjNJi6P4VR! zld6?g>)0$^|5AUS)Z&%a$8VHm1O$AyYFzVKCg0B@{L<~+Vr<q|!Z_xANnvm+G?=|C z_531}^Og3Szeld*`X1)Gd5zU^|Ls-lrZ>BN{;}F}&StN~|AC96{c^c0PhZsdw)K;~ zx@O=-Wj*E}wmV*}-m$7@5|e(CB*!MT7vB_{{+fkMH9l;;v#;yO_c>d3$!*~-)c+%V zRY+ES-h?>bKT-jT^S>zkO~@<#%W&njLQKw1oi72;T!NmtOtobe*l8`a`|dGDqeW&< z??2da)Y?tZ|AGB9$$N@FawZ$S5}Oql7(IpYNz?K*Yjmnw3s0r)n*HK9|FUG8!y9;5 zm(_@h>=k<(el#+X!?>a)S6Nl_RSQGv+WYUUHg!2n*4q|$N&CWU)4LDuvd!r-y{@ip z!eexG@6W2W`hG9}Yf5svg)02q5qxBZ{d$$jFXTSfHm7;LC=%WhE9=&E#N(#Q1iP1y z52ZD<{P9k>Et6?2yXCs^QxCVtteQRXXH%U6iY~uT?c101PF^)R?(fG>lQz`<5G;<9 z^Sdhe#pIR#UT@2{!4nR=WA42#FZ$K7DDBgaDRr95M0J^iG`0wzm6v4i=y|ay?&eEX z*Kc1=+?>+BWZkQ@XZL<Zq@G@pnd$r|IYlr|P1<5Q|Dw+-Z&zfPT=koN`PSN32G;qe zR$I+p>PB+c{JZq))%`l%W4c!P#}uZWR^*b>ekvO9g*{Pj*K$^iqHL>KU$Zk*B~JFv zuFcIlEp;JrYv{g{3zx_GwwmM{Tyry>!T+n9zfCUn{?Y^LtM;y!_bH2fqiOuDxx`PP z+^}lrH^pWBJk}eR-}Mbv54zeiMT+Z4R^s(0+fFrq!`J#3XDxI7du3<7#i|AK&p)ty z8`jm=`8D^4dj3b3SFN>Qf_7YF`x5`WK|hiq_o{-J$<l<z{<?(Hso~)gUyK|Bf9S7~ z%&uv@wwnK?a8#;0m*zFkj~iuWTDN6asGZs5dZ=DrSAu831qBPQZwL7%Sz0yDI;`g+ zX+3-Llq>mX<0Ct`RnqqFyz=YOx<je^{z+P2@HmsWzR9-k+LB7ASd~?ax{?bf$NaT0 zJ%4%1<>l|}vVtRu5`G;n{I>Oh!<FOr_Lxj^+x}~6<cq*gOSPV?+40*0l?7Sj+&(#! z%WOYje|P4M&9f>eUcI(E&9=owD&qvpmXx$N)1EromY>_L(fa<IPq7StkzUR^DLwud zyHXw>{imjF@m;Q;-MRc<!)1Tx1G7ccH*)K#&Uj(a{`zFphrC%$or!OvS=}eJpGm&l z`=06N{AEYBpQ@T7$ffT$F<XXT`re~`@fY`4{hnIAA#~2#g3q_(3?>yNx+NZ$t^Kic z_M6)wU8Tn2x^nf3e*&8I@67AbSg9#9`P1(SE8RWJ<_rA1w&=q0E8EOhU94Fs|N7Ie z_Ic;O|D5go;qbA_MBmf})ds;Yr7jse%x_g+TTpXWW&(f9#0BSXah+kwd+xz){q&8^ zk7hQZY<J5Ad%Dc79TM3pu`MI%?UutWkL(3FyE~Y=zpmA)y?MF&QtZNzSN|EOihfS> zIAlBfspaL_f7ArzzMVhujw{9acB<KY-{)<IUgpmU@7hpy+v?5aMO%9X54m;q9j=uN z+2YtAc*SVhyQ{kPuNO*RwKQ1Qw)*~pgEg<;_Uo}t_|;S~@%iTS>O5vo{#~>T+{v0$ za<}7)d_jHxloeYOG)zus9qmuPP&v^?_WgR<&(jk3XU~4MQ0R2;W!vv^*PpY^{>37G z?5b+_1J1e?XF^wepXfM$Q}5hcRa39VWPQ?FUHf9LQFVdSVa|+PgLyuoM-HEQV<7SH zxn_joz2J3W{w@z0nil<DnD|}W_V$FYQO#=@F2^@`)x5U16jAq5k!TdvOUl`3x9j^2 z=aV}P^>n>2oqxGu%^P0B0<N9&8*@LMe08nH{ut-~b(WejiYmVMYOPB1^ey(Z{Y)^r zdton|si)V?`%9jtPu#0}r9|j+;WCjj!-<-m-K)x58t?Q)d9uIQrvCc1!}szN8&~Mw z{I&1UGszbf2G@M6Lu#|dX9mAxxbWV$Y=)gh*xLUZT-J=sKRJHa4hs{yzfRig^7NAz z9am<ETiTVS=}U)wDYACtc>S>Z@!QW6wnZE}@x9&CXo5Vq@{`c_T7N#*%Wa;N^I3DI z|MQ1S7b;g;Z(Mul^gLff53$amIGKbO@&*?_Wiu)mt=DdwnR?S$#dcM6%x6xg@(*9; zy}iGXUvJfs&1}o|%~^Imq2SBBeTmCfsM#s!Ce}UEe!1GkzkQwas!aQ-RwdC3S3I7( zxcs8V*(jZ76Z^!J|8OKl^-NLwbMNfxCtp(fk4<8EV!3mszs*9aI{ymmhEoUjH0`+U zA0p~|LNeyhq*B-L>uX}RHfCJ=9e1{M{}%13`E8*Giu2i&PCCp`ef<7sSK#d1DqAh| zS_I~XuCuvdkRNRw`}vF9?~aORX47A!>8zW+<>K}3X-gJ==ogosaq&6lz53Rg)Y*$% zeA&17JGL*LCZN){;a!g_WBS+Urd|;YUiF2O*xpo%wuh*<rEk@WT%izMxjeA9=g8|d znWwH+J#pq%@apdWd#dnC%5lfLVS1D8_a@CRGz#-s^~&Ycfrdqywe`DC$A9j0t0;^) zt<BwB<8ag`XWqFa$0f&REjW@TzH*cPxu$nNgU`tP_4!@Q_~7EA>D;bU^Ln&hMJ~>r zWAWhix(OVCHEa{N9qKO4f4~yWSQqkcrt6w%y#6d-y|z9p+w8jf&WCP`4j=zH*;zX$ z@cB&c``o;n)xTcTg3D}1<*PU=gY(N4iLbSJ!KS?+rZVs8irwK~1J$;l*%zoIyW{*_ z|BWBH+ni<|a+{=dWUgrc<OxRw>kic~NxEr0TVTC^$2|v$1v^$;;N_aOMA<R&$F*|| zewQz>@T{G3a_+^(o~Acj%yw%nUAQ*Xo11aRlClH;#4EyCI{o&pP~&M6Szaw}mzMt8 zGIfvr?q&Ax#k(z=W80_X{+U0I`I73vrO8V3exEfKe<IQso-jT1r0JK5O;>x5oL~{- zm+t3NEkE?naq5EI&OHx%ydpK;2Cq0F^zO-Ke?PyYF^e8P_;OJ1=Ghtrx6_~V)}%a~ z7wK%~-lg*B!Ri03%uJrad{+%_ZwsGSdr(63!QZQlrMn|o^Y<KDp!w;kIMbI?J{6Yp z_oQq;e>Gdh?=;&q&U)`HVmm8KR~e>Oh}&y@zw&s;{%=c6?wnxT$Z6IUU*Y?3%B}B7 zOQpXTr~di6#r>YMQ1uJrvpS#W{mT{nBkK^xDzP>r;qYOhuMG9?xKhI&FJSy5HT}Rj zoe1U1$=jCC66(%uKcN3(L;w6s&pT3zoG!AurWaq&{8{TNUisXp`i=CzoD;!^|3}+= zTXc5v^px9E&puzhvC_)w^q=KFC*0L<+oAt$-R(a|qWm-Wg~}St(^xETT9wr4FLQim ztZdhwL!nx^51JP)cX;m8+;?JUn2$&PDfaG<&it7zHBoonmsidByYRxhJ)F4{TIDA$ zT%tJhWlBi4lAzqY<*jx}>*i<ZUis5kx4Ck5ic@vDnUhA3n7Mz<?ew?Y^LC}={z-f3 ze|2(yfUxh4o~zRAows}sT{*mU+7BPozwMh<HvV+H?foU2d!oY1r2O*h#mAq1n8UM_ z@A<|;sS1~?7bi<Ty!r5qb;$GorKh?X0_^)gByzaV)iHjan$KQwo?!yRG&5U{imT5V zZZ>nCc3ShgI`+(Gk(9c#ZU%SaeUwYQ(iaJRVV#lx>*fq=xs;vidcEd<9{&97cQR;G zOO&X?T`>;JnRBkCx7QZDn|CW#Bw@MBoq6}4X|eA3Q}-(4%FEaH*}p~1P3GA#y;E*d zT6)vxyfc!bljd-&t^IkDeYdOgL+J+hfRw$Wws&5BKdaFwdeSXqg5I?smv<lEx^JTV zqN0mijDOcm4SebI?cK6zKgxcdVp{a2amuy>UPfzIyPmNRa)^rVdHST~pG$0Xg}3S- zp`ITRQ$NPuYdoEN@`$YROzD_q-is0=mWWx+4QtmfO?i9g$Y%TS+>=GgEvp~qOnn&^ zKkLLlHAOS|^#@Co{H8uh`1*1VpUpwr)0tw2j$Qe)l`~BH#_gSKdcCSgU5^MZbkzCO zo!AoYqPI43g7MVoKBe8J&zes0SEg^8o1{3A*>d}r|DijDU;6jB+&4+jl&)N=9-95M zW5fHh^Y0X1aBbA@{r*))vx8OXW|Z=$53FJD{}!J+y8mMAr;VOp^O%Y>Z5Hq`9f~Mi z^X*5$vcj*dMXftBPk-iA+n&PKTl3E7hs+|w-?dwPn>b3|R~mTe%-yWvmZN#@*wP6( zTsaAg_pCW(w4?U=3A?>g>G4y3Wca3s8dpw8I&n}+P`N}u-0N+{Hjk60Z*rANX2i3- z&hMKYF!^_r(Yy8^wQa@w?jK)h{AcR*@SL0XcHNmE@NR8tp35ax!#zoMz6CBEH#E;w zb4dMJQ??|0XGQn{-c@Hl%w>u9$%#u2^5xZDe|qhkM@pL?3Qq1b{rF(3M$HuGp4IKo zE?XU8R-WA0nwQ`FbWVa#&vV8Ht;h3!ue+r_oAcDo1~nd^ns;BK-F_xMGim?8Qkl%K z>DCQ}XFFY0lvW<T%i8?z<YL1ea?3g=S-Z(p#WG)y`5E1~i}|?HB+hF&Q$E;fdIt8k zs9t=xwXnr`x%k;HVfUX3DP570j%kXS-?6N*km+FhguAhqecC_X-<lR<rO@1K(d_)J z;p>i?EB7VDr~PH=UeginnXux{ckQ}Gu424`NgcY|TBpr@%HjSse(B*p+fNFzwjrz; z$Ld}y%Foid{o%ubXHA=?F?ZJ-sGeizX`yct_Tt~;<eO)+=ZT(jVBtN=Fjq9=f2pQO z?h$U576b9WYt?LAlyyG8+hTmX`g|ihL)cvn6PKn%8Qfn=cFp~kyUnC%;rzE5nr@Mk z0~`FkJom4?S0Bk>uWz}bJceUm&4kvkCe>Yekw>>IO4lo$Q}?Lo*QqSFaQ-Qir`+q` zdN}x!;YpD>O<XUt4m<KR7ZfJNok$dU@~K{Y`nrvpX6m0E-mcYo(Dz5>g}qHByT+uA z@3V_pG#>IFdQ`1G`>}QPIjw?3mzUxm*H75}Z^m%%q=c8rqoCuABTw;H(WE?o9 z_1AxqlW4GEb?fqq&9`<w$m0>q_xkL6ZfOel`_NcXpK{)33>~E}FV8TV$0(#B+asrY zVa<}OT3Q@m4H%4{B<^u|&HgfXyN>kcy$f%y?N9!}tn)hH=g+y@znIA{nQ_iraC(K( z>ExSR7Vp&3oy^W-$uVvIZS9@Xn?G+=<<(7*(rhye{Svq*VH2}Qj_$gM=nb3NVrQIv zV-s(Bo#VypzcY&3Cokz~UN%#tpvXjcMslEZa^d~%M}i)b?7w+6rj?zx*?aZoTIQ{Z z|6X&gy5Ktf<=cA0+x%>K>n|mkOLeN1uF)6R_ozkJ?RnjE6=v_-QT8*AZ!@%V6#4k- z<&E<imr_I?`$Vc}ItV;Zl{p^YIlVNiyy?>HUB!<*%{;H3_UOvm@bp;myr)&cj9PV1 z55!rnv{cW^NptVXiTA8LEa$Q+f3oa{$9GoUo7(%Jq}<%7X}-3Y@4M!%M?srctnw+k zHE*3~_FakTHAVA2?>pt_>-Rh7D9aYZV2Pzm&z?|a)LdkLoT(?7S7JtgL+y0aZ@xXt zP1oq=>NUJ5y#F$2UP|-1Tbz8m-E!on-{4cvJ^Ii4HRm}S!GIcWhos|ME7eOq*bkmJ zUKepXi+g%X&n?w?E?!5bH5l}4nsrt2$04ote3Gr7m99MAAJ5!<j(5%D-6rX~!)7&a zv7WzYZ(!EKO>g8DOyt`faJIKO=<LcvOm+*?PHvyx`FDTkor28~^|rJ6YJTo#F0Bbo zop*87oQ;A@4mLkqaiBUsLvS&ps(t=Djmu}&ntOhmYy4sTyczSS$S6g9UKgr+CH>Hc z%Qt^&_02o?H;-*6|DJN$1kV1a9w#5ib+=Z1=fC+*vwm&*vN`*LpMBz8+bmmJZ9aMG z95%jY?<c%X0mAQikA)qI^jMl57tmXzZ8=?naoeHI<>jvRCwA}Ja`B5$-y6%0j|<dz z)^7SAzgA<HZ=3h$$GO>W4y+D;DJ=0@>(i?Ki`tA#+;9I_1sNt<>dx%?vSrbRy^sEV z3i02PuF3JL%uOUUu4^sFLj!}VyZ@8r=P(Ja7g{=P`7eP&-3?o|{M!+oyD02_YwN_c zwgVDV>~r1<6=bdE)UnEt4z#tY<$q}*QXzNoO`4}}?l+nBHEHX+#Vk&mS{pZR-?9Dt z#JT$q{}y@vLUzv|qt4d$^h+NkA_ZsNn0DdmI^IQjl|GzlEBUQ>x3=rr%w-lxU2hwG zyfp4m!3WLI;-evl7H^5pGdQ^RBF_&0aHn4{|E?=gRSEtX(3PY1-y>7u@+^ltv&wS+ z^tJ6d5K-o7c&Q=D*Xrx)qji79!y7t8rJjZbZfmqYwoyOz_D#hjJ$Kgj_vm=OpPGH{ zfHmXB&8%-1<%NWH#E1(dK9;{-aH*2>-}dx(Uy@9oCFcEoV*cxl#b4Xovrk4jCg?kC z?Af=v`r?AQv5E1&_6JVV4fR@kUd!%dYFg#()#f+r)mEQ8_*yOHKXc=QBXW<<KX+H^ zODuHVw?puuo10InRL<$!`%-vV3+F~(n)qjP%Wb3mLH`{uu3KHo<)l+}E8lO0tZHV_ z#9K2pk1{+;&h?0RzQ8{2Tg^+a{H<%Ot}ky|n&h*8(GuI@WhWTRoi|_peDR!~#m9Ws zRVoJpm2V$4|GiE-@@eap`*!D-O8%EUd|YpJWB;z2E5E(VGdovFJ<HHps9w#k<}^pr zs;uN?n(j1Z@dY{TN4hk3Y-+RoBjX|QH|oBCWYe>ZZx3=W%WC?)pL6HdZVR7dmVDuR zwyBmJb@J<5uA%DhQsq&qA><);)jRE*H0Oh5ELYQx+P{6Vo9|%KXNIS2{Jy@A<jzhv z4!e3OJ8#i;{*_6WH!fY!t>ygu--~IQ2SXDs#M`u;xYZb&C-$Iq{mL-ikiFZCRtNd6 z>2~azmOD|P_`yu~-@&SU)6<t|JU<#*A|7P#lEG_|a-I21-u$)m{R4KkFHthCa7s88 zC|aZM_Wbh9^Xo$&{1>!3FC^2sXZMt^x4wMeFUh%kyL0`+FH+M@>#m9I-Bq)D$+vBR zi?#e_ojmz2@4sr(tBW_D1Zo^RbY_j|hw2^qYxQb&PiV@W{^7oaUYGLx*}p`txB9ua zx}BO^;k`WM^mB&gjm{;fZ^);+#>_2nv%C4MOE1gp_^zlq;<b}bx*d=FwqxGZAF2mT zb&qzWh%CPK<e+^0trwS;&+<R^Jo`c7UU8XnhU%xCN8GiI1Vvh^#1_5(wqireGiN)U zMc;0fRo+{q?`ScdDLTADMegXSp85O4ciJpkYW7lEV_os)b9cQr7I!C1@t1v)7qn{a zwrx@@dxX^X)T}gkbN773t<%qAb&4j7s?G3O<ZN)D-TNiW{q8l(rhMFEVe|GwpL@|! zANTeLCX?oc#+Zn(T+bDr-E(WYbJ8`r=^GuygSUFt$O*h!STJ{~*d&*OoOQMbPP#Kq zp4{2`<y0T<WshTf#XFWBe{1-8#r6qP;_rRwVxIQOl-J9)<k^>^_1e<e`GvPNJl@p= z>4bQHIe$^>+MT=U`mui}H3Ub?$?n;#wY2(#LXxob{=Nw}xmYe{oUzF2uqts}e<=3$ z6RrDiI3vDvx;#89R$FWLy#H~=L$<xovv(NkzS$$r^?$d;Ja(Cwd()5dP4(**%39)O zm;E5|K#(PuvYzPgs};vr?l`PFlR2Ym3h#?PrG}RETZQuKv!la8Zbu!vd*HPokA`%C zRNd{16Qxdkvz2?-aM52QuGVR?!Jq8`*4z5?clCYr`%!z!{<nd!w$gDmkHanpR17kg z{_s{jy<o}Iq@P<GVpi<`&9HR4_C;=fKZW~C4*9q4Ilpp^+osLyCq{SA+_Y#$b>I1! z3zsgFwlVM!Hoe02ZN||9vo~(i(7#_>P`G*WlbMg=o}28rdoFLf%f%nJZ~uST`sZzO zgU?1C#@+^&Uu%6AiaWnupRxMZu6qI13u6?nAHB-1H>)+VMZJ6bMW?sx&RU!A6TOjt z{OKi!UqXC6OaAFQYQC@Ioi)Ahhp${zxufXOHHJ(6d}c6J50U*H&zY8SW_#z(s|6o3 zSMF?kzhSeV@lLVZuXl*5?0P+|q@h*Al{ZdkT82o;ZOJ%B`T6T5qo#=zS8e(Apq*3C zBtxiuwe4(|MNhJeC9IblIZu4^@c8xP8~OEvQX^!ows9sf?hjbdD8E^*-}#nm{gJu5 zGEa&AkY^TXd_FNFutd@&=l-P3?pt2hqxl_d&(ykoC>EbIXWqFdjzReij%icAq<VFD z?(7#=z1@-j`>3mMiGAVp;+hF&%%N8jPt1OMtJ5*yn%=jrXE%@bR2UYz7gXN;I_(GF z(^WC-YpR~EW!uc5`ekG6;?>R`Ht9tZii<pV{gf{Xf0@2sC~w*ub^ET=X_MA0+i;Dc zTW9C?6w8Aj)X%4?i2G<vE6JX|DsRq_;^3W|Qe#;~+uwx!;Vipk+3~tC==!S<T-){D zZS75d8QyQjbJ|f~_0_`W^V4_>K3Pr>KfS0f{PC7&_Zt6qOZFT&CQ?(XW-|M|*6H>0 z3$7{ayj*Uu@<ulw^S&d2BF+k%Vj9d+8{a>?o~bNqywQ$D)<m)X>^zaj{qJ7v*ge6f zwpD<?wYlY<mCu`~Z&K&8<@8Ul;%<Dnp(`d*?|#6eV%cLxshwv{1?BmV6j{99krK+x z_3!sGNr$VC&;EKVGs$Pm;>3_yXNAN<(?9FBu(_~A9qxZw;xs|Gx7u8EiHU{KgFmtV zS=E!P-4svz-1Tm-@;Vc8$L7Svyia<I;!NM?_|M6-a$Q_<r=j$ZZE5=FzM5#;m<3<m zT%Xw|&2PzY+I-Z?B7Aq%zJS|$|Dw(YD{p_Z)HKg0&+huSN0#L`N|WrIKf784r@mhL zSY?Nq^!J->`?hZm{Ji+CaiUG_AJcUENN?95-;aAA9_+NVz3rFAVg2QM&jGU|VSjHe z`L!sFQ+8Lu(gG$nq3)|)H-gv~O`a8U-dj49>HCcTKGl4W*rlt*W2OH`H156Ty87qJ zhu=*73itnaw|Z6h+09E&wY4B6%GB?v<+kQ0Npt(M`W*|t&Y8GXc~RBu=O6N~c=jGO zsC;PEud4S!_)zn|S6^p`f0IhTzdq%g_m=aPYhSwmc*j+J;SnG6`(P%?{KJ#CEvX59 z_x*}rfH=d|3nn`+yF^{j@NeE+;+AqFzhe4dd8bo5^X3U#F5dXaCT)E!w?=V#f2D); zEl$gs>Q_r{{`{MFF>c!$!9<~L^{(=!Nz=|9k$lmZ(8iR!L-qX1&hB!}8f$gqwOJEy zA5<yo?UlT|c<Sje-=`I!jdz6$R?e{fa`!pcq3}iPLJmDUW6f1GQBkgFt+C^crqJKc zQx@$DJ~X#C_>850)>cpBKv~VnBBq)e>Q|$_E|*VU$Gki4^#N`9s;R{#UY`=or~j3` zwxc7Df#q3F^PTHobJQd5cXw=%Zae<z>y_F3f{tO;%MaB{-+TT&O85M8RYud_dY?k< zHy_^I5WV1g=x2q`WhD%KFM4FWWVvp>llktjhw<tk#T_p;$?jROvi6Q&jlukM!}%tk zdsnHQDS4eDu%Up*@KJtH^%{}P^*<e#@A=EHY2vZkwN@Q|-iv<hDy!M~ONDio-e)g| z3qSJjpJsaS)PB**6YWJ_KJ1;_b0?p9u+1v}zNDp6NpIl8I5xra(oOkiIQ8~rZD9Vr z$Jb?YqyNUs5rP(x(LI;WIQSh{;8W6L`dpzfx$xMz^w}Jy@B1cgVK-yh9aJK}s(;F5 zd-J5vAKP=MKM#-$x^gKeLc?gaxztbb*9M6Z&QI@0d~yFa<D#wGclVNoZ`u5|_0{k) z{$Rd-%!g~gZteO&x4AzLu}uB%(XsOJjr<FfJ-OGt4)$+JH}F|<eNlFT(YAzW?m1hY z?^lm`t`)r3?B9(~pPp`8$`op)HFcBRyS!&SNw>N<Z|^Kp<*GJyYMAiuyluyVCWW{X z**_*K>pwN$t+^VRrQtMBDPhL%2jV>J{ofQ!cI@QZzEQ7e`qWb89sfE%AMUeFZA>Z< zTJf$@%l3}ipYP|wGOf2}<+XnK=ajYnM*3Ofxu*-u9h_rA6?-}?l2**$&-C-o;(Mlh z7N`C;|I704@!@G_-IfUdk!9TxIpfA=r_TqQ_?qqXCpRz74%%#3#AFuzs^ZIs7g4&G zLjOw~Dzw`=<@AP1q3Fm9icGcsU#@oC|75@Qtwy)f5*AIjZBx!PY9@Z3c`wN|O>WAs zMYC5e3^ZGov4ia>^Mt2~C#+Wf$cR&(EAw=2c)mz~P2Sq%Csk)PS~)^a>PKi~{mOds zp1nSNYG9I}t;k8y-;NC&a-w_|LS4OOVpD5h-gI5?oa>9q<gncwH$MJ|tCpR+cj2{j z_q1<+3Y&3kmHFIfe%Vi!$W1?~x|Y9}<DIL%=!shaX|9Q#6ICzVzGZaW>HDLNGWNRr z{snV>{G?`}BV3`raFR@gVn|g*uII<=&v)L?<!B23;3H6Y`PZMUPmTLl|M`2dpQT-^ z>E<R$k&5h%=|<AQQ)LoWHaxg=OkFz6l>JDw?w<8l!Fg#9yf>uW+VIBn*0%+^ZdXIM z-F@7-fj>KcPi0OgC)*9pI&X8%hfeEseIqTx!~Z9>Og#Q3QiIt*XJ_J`3q7H8xMePs z>IA5>a$Pb1TX;Bj-qhxVtJw-Q7a#6A(((A=sp@HW>-On7$sOAnR<cO%uy*VdDYK`{ zYMNhuZH=n`!NX>xwL9)m<pX`q`O06G{qOvAY?9)vMKWAdZEdsHx^AtEWqV;bt$f?L zUnS;mWeP67cAB=p@7ZEbgZ=-53OI5?_<}AUC=Ga?cxK+z@8M#nXIl1|Wk=flR@(pa z{9mEv7n5fzD)>%6dO0kJn`^~Chv%o*7kI0Cd~|W+c)j$Y{1wSJC(kX}eZHQ#C$nK$ z!6(lfp^bc3(%cw!Gd*UB$h;sv=ivs!Q<vEfdvu2%Ubd3$RjP9O^pHH8FB(c3`5Ann zwOWt=@+U8Ncv-yLSy_>hg|&3~W)Fk7u$bKpb>C)q=XsspG{;a-H^AfW>foi49h<c# zG}Un5XI+{R)%JY$(e2NfS!+H%KAIqG^)|4mRb1&T%erUYmv^Z<N;EBabFgT;%bY7U zN;7Z0%ay6O<_VU_``yvab3u1OYFb*O)Q94&8>PHNew|y~lO?}~^O1`A#k0pgu`|B4 z%Kxg9WmfDuY14$4Yj-Y^t`wcryp;XqryVEVKCU{b+N}R|&dQB{#qEE5+!$-1uXa9_ z@p|e>VYVl%A0(%zK2=+;bJoA|?#BR2xzeQttLtVsR^Gn2a}LjqM~c%$yZg&5W^sEN z9qd>kx4u-N_(nzOq$QzqnXuhpW|Wd*upo2yEP0W>syg=NCs+QFPKx?ub8Of425Y4o zcV0US%lG{E+g2kZSX-t1#QR#oy6clRgzdd0F4H0Y`~9Od>4s;ej~N8|AME09+Y=yd zaU<f;jeb2JdBtfrteJ!t+0SL0&gz+-ELN`*XK+Q^w2SLg<*nRrQzGAe-r6Q@&g|~~ zUH4k&l-q1ac`pe3`n>Pnp?<yTk_#punRRB7@T-)XQV;Xxi&l8MukERi-}rytZYz=X z?di*<mZXL{FL=zeKXlv6j@@><+h<QMHWMy>qw?y`t*uA3-Hk;)1wO4^oYos`CL7by zwtM5gjAJ#cCb@E-Hk={Za4`1BR_?Wy7oVRqY`2{DW4*pa!@|9O5=+0I_maK)D8lL3 z^4>>h_gQmm`?olr%6Hwhb3*9hOhJ>&f+`Cii+BjXD@?Ow`WnS`YO3}#Z{OvMW+?bQ z*%EMP=GK%)e1Wc}_x)?`-%hWW@z|^qHf`UN%Tw>4Y6!R-DyZkL{^y?j4Y8|!Dg3MF zWxsg2@40{0n_7jV!J9;nGP@;Ocvmm$o@j9JcHULKwH&Lr%|2q0{FG;Emaxbkj{feb z*=(H$QiTp%nu~|CA9{O1cG)RG1*aXeD#Jhh@4K-!S9(UrmbacYjttsbr`B-l?3$6M zyyTr(pIX4?rB}E`%G54AIeX>45p(&Q+5^81q&_;)EpYSX`O9@_A0v#ome-|RJy-Wv z-#yc)qH&YLv>4W_u4@~Q39U?QKFh8zqhPZmYF_{AymJ$uJAGO<o9C@k^6QAk_~#0n z5=AH4A79@tAaUF4^EbCO3eRS(Ij57nw*Twu8!vbsZcy~xKUGeGeb&zNYwCZnzxQ3e zY1PFB6KT$ty7;iVt1N~}8}y!?W7{x+v-`{j@!8+=3~x{8-Tv%q^pQ_KXV{*UP0pW~ z5%MPc>@&%zSALh{g^cc{sPs*6wzkX*Eq%9nX0GjGY0XV5PCi(Z_pw;&i3)#Lk3=fp z^yN2pFxkH9<#F+p7UZ5+TERANS9e;2g=6pgd+Xg*CBGMYu<l~!Xo`trkzjExZcKad zs!`8zzK!(4@AExY#pEB_ot)<6zIytXzeN>upUW@Fipb{p#^=u0c)RjP0;^?+TbJ0y z`wY1Z{<2vv(~Ppqk|md|-}bARA*oQOW$CSbX&O;)6wE`OD%>mEBIhhT!}vVU`zImq zxsz0Get%!SdQo7UpJ0$=%;#wC^2d%dOGKnH-&*pY&(WWL?2OUv%7)18QEM#q{as!r z`9J*}m3E=bIkrZ#NJH$k=IhD7-h2)E^uo+>uG_3~--hp1r8{Q4-%@>*@s!ZFb5}Py zc39N4fBVi|Ch&Fg9JftRK7?rA4laGk_MPGL&zi9My!-1WaYltlvCb(wv(c$~kKVF9 zXQyxpPF&9`{A|;*_+T@Bu2q{HcQ2}8=#k^vW4*Okx_pnS`I!$_ZcK|?AoE~xfMD%z z&eDU2)!BcVgxmamU%!@jLt#v>TjWw6fdx&Ug-!Izf~3B3JenEeFsDE<U{Ck6I|unL zDrV1M`L5Gg^yJya=iVPg-w21UKHJsjb9vsJ`Bf9uLzW&(VfSnIa+=JX!uc+hNiooX z^Y1i|cdHpPZL5~+h(BI*K<Sw7!4*!YS8aP@`Qz%U<Ix%Sw!Ad_Zk}j2e{+`d`h7K9 zj!(KVr<L!F?)H*foAW0G?zg*~>cs2S8_=fElBMtejpN52kJ)dwmBpAWNtK>y(*7s+ zuh9F?h8?jduG$}5+g-l2{QzHnX_D@Rq6b@4tXmbgIk8^y=P}DEep~OFuW)$QxwO!X zWxGQ)etTF59{%#lN<ZjZ%H{_WtCQa!yR+Q*8)H@XDebaTWr-(VY?!E0v|IUNe&zI~ zt|@PJ_;&D54031cHE{b<8=5eKeWQY!Mpr_{6yb`M(@(c)Mda<cu}I*eZPkUTzaGoS zv@Xg}Y}hQ5v`srSwl#Z!TodP|4U2jsLdDZ>gc?1pSlaz-chlTgZ+x%Jnr|3YwN5EA zS&O0TBYQ-OoMWZ+>r2nXJ_zrLne-z4l>yIGhDB!z#Xl64NUu?<QGdUdsp<0bimJ)> zNBkaZOq+Zr>*OQL-Zr6g#c!;xa<2Jh#5?1C;ra6dI>-Iyp6T+tR6JX1E|1kk#o)e8 zH}j_S3NM+y+9WT0er?B<$A=cR3Rp%|XIM_)csu#Z0qIRge5U`q(Wh-@e&A88>W+2k zaT~NBEv;osc=L0v`~RPpQ_l7lBt1zuDPG?7X8JFk%A+TCTTLsO+G#H_<5YA|g~}Ht zmlJ%yf)yW&?qNI=eeL3o2NQhy)>X!tIy(C})t+0JZI^M~-SLk_EPuqzdd;0bFFi~> z(01X~8Wp=ljst&Bm>r*TDzf5B#mc5ag=rEyG-glb$dW&@rebqVWI^4QnX|g4TmJs% z|2JCL?v~|_Rhz|5`}~}9;8KWK=9d%s{YO^WOJ{rv6XR;@mHBr)f9jU$u0M1)2x~ik zDwzMQZ;7dk!Gy!T`<|bf`pK?x-mNuqvz4r7cc^O4IkzHL;B%-4V{xQ!bs<Zq*~<5q zWC{;&G_~BK%aZ>kk%28}{?|``wAn)BFHL^$?oz<@eCihm`7?_c>I?RCH$FY~f8Kww zXDn_qv+pP#JE^poWrs^eV*-;^QM*ig+M5361KhWR)C=uj`X7nU_bFwV>TH{|wj-PQ zH$#WiMU&YwMRws|*C<~W?&)`Is`;Dl-!gNP@Pk7~C+t@aUXrGAKIfy}tyK~8URkBx z)UG|~@OAaszaD>dmM@n1@K++^@%86ZcF69%(V6FM+xX}}i26m@UvK93mYNz1#V*!z znBHc3sbHI5MtJ<Gz2CN4@2gMxRi2b`QM*!-{lcBom2Fcm*)2caeWL%(LzNh1wr^W@ z6mU-5$}Oz;Qr|7)VAtx`*^My*-Ky{9<pV0Gp4{|DCQ!g<!8*Itr`ufe4r~dKF!f<m z$=&R*;YWq7oxKms`WQY&&wej{xs6|svK+ef^EB^#8U86-Ua#-86?bc#EA-)do^-Q* zb<*1CUrJ&v``c<Rz5Dqt>-f6;mpq#@dmmbd={NjOdDF|jm-`Esqmy{eoe9T1I}G1U z)mNNmz2Kb8uZ9oby4+_+S2Y-9*jjnXgowv_3V)r&D1GZ^hsnJ^$5mc8yh&gCQy{1I z&Fnpg|4FxNT(X*3aP*wK&aaA+m3ePoo_MrI;s>wAWBv=dbC^G;ao#@iKP<}j(AMQK zVecLXR{YXV3uIh-_=?-h8N31J0b;i^4liQcuU>rS`JDgTuM3>w|M+XstC+Zpq7$CD zy^}q%wMCUJvSXpI_UxlQ`(xkc3MNc1*?VjLr<no`0y`N}DyLkXHPxKw&jbzaQjUA8 zM9xYaHo5ZTgk7-HoX(P~?h^u^>m>7hWSg8}s#E#o`qRrviZUNdEn*&S7kgdw;)=id zE%EKQe==V?VJTFz-D1b)|6+fbEkaG^z7Kf$XEv|7s5<|QEe9m#b$(>pvU4r}wC}&! zOdsy3IVLcvC-wD&0<rdOi<$oHOxAz==0N7(xG(+7cbTl2(QYOqvir+#lg(d+E`;Uf zE$C(R|E{pMz}U@0=H}Vweojjy|4U4@u|NG!xa$4g%|2TX>|7Ts@<5D>!*$9PaW$Wt zzZS>-UVLV!KwZ=H$A8+oj$b~lc&%kg)aq7+n^l2TQ`$F58)Suko<C!g`y#;wa{ebT zoLGMB{fef<e}x;;HZB$3Rez#G<=XsGjtwOdrIU_o&pY*NX>wf1-hDbnidBs_thzjS z4BS^|-dgoO@xuIv+alr^uAOF1IJ&;R?_sgoHxa{sp9OQKcgW33xOQSw%isBLn{Vq{ z=cHRtw9j+d^km1YO5yV&^2d+X-<5tj;p+AcN0c1qC-o(-7J06GEXK2o(W7$3>PGzw zam9*%G7oyJSh?>i`?KmFy?m+CUwO{7c`r$~Im)BT*-><L`j@o<dn(pRIcDT-(S4lz z|KzoA9zEyyAKpCvrkZQd&He2ER<&+faiv+(q5tgu4sYE_zXGNmsW;W&jZ3*{JyGTk zH`}|Z70oKaKVrDly&mskz7n(Y-v66#xz2{l9q@LJa(`77!De~x!l^Y}+FyiJTGJ9h zD=KnkMBGn#dv&GOdmi;w>fg5>K5upFq<LzmkaFYv-Blr1rq%tmY`%Hqv&re@JmzjY zj$gbeUo+u0r`7tU&Fj<xpEEe;8F<<2bsrablk|i4%$(2KKe>*!a4w9ucUgY2=))#2 zMUDjz_I<r#doW())%*R+-*1e+_~Jy5f4*Z#*TIVRW685;@+&z@#<qzSyS~xApDg_T z{syy{d#B7>U;ew}#u-`n(W!mMhyPM*uiR<%+QxIU=bLguXnll7k4fc*xqiXx<&POk z|G8}-;ZZn$lC)pr*2mUG7GB0~bMEX=JF(AnV!?IUtru@9nXf4A7Zc9wJ9cdPr#Rh{ zpDNO|8dxJEj!VB*V+i{B@yxk1-sP>oV&3lGy?I6H#(ie)>uvd+XP(@3T_$$6j>)5z zoD=z$`a++a>|W&_nCEfVxsY}4DwgRh=j>rWA@d>g1M9x4u02xS|1xzmckyVf+L8Qt zG5g=^7s~86f3Lo4^M&`*+~t3`9eHH@er1-vZCQKde#^n<*>2l==AHGZe*H+}U&_VU zC0atyN+o};uTy!@D3DrtLf20=^=;LahZXjR+EtevOL??P&&2q3qjBVhPfa!}Cf6#= z=92ibNQbYqO>+A@jpRjp(|cB!IZb^l`6c4>+eueULlYP6iq7A1uk=PlSYvMfg~{Qf zi>F_6&ieZMO@XUpjDT<@YjziVY1*ydCueDfNLJR)&5w*|Dts4Sdh48nPN)t0Ql+NE z%(_Q8GAT+%GjH-%cTcWO%DT_)a7pNp;Pi=HJEq>Z;@K=zxiJ0JpC;ABhK!Qm+MfdE zmX!1~E{QJ;eZ1{i^Pg0q9=RaKL$$A-dB2UGzH8R|>ptv#iv-g@Jr#WC%4+vu>b_Wi z!QR(lc06}yh-bV%pIHCEul1#a?c9gcB!5PQdaS&kwBK{143ET_n6zN0e-#M|#;@M5 zRcB1SdVJ$HU&&9W3~sMuH{?<J6wT7GDZ_fA?%ut>`4uf~Shk55WEq@!o4T{CqTZ#* zMS}UP@2ACaZ(|B?ax6~GQk>}dR<ALJbxM-PqZWl<_r<23`ZTxR%|1Z$!{w=pevdVt zEefbvmQ>SjvhSdg_o@YKjh8FaPa8-s{_yWe{^u}O_G$L!-J1m4O&Tvr8@*eZxAVcT zpLt6SqNmzqUJqH3V`4PpS^o=%@UJf`67J2uHh2B)nfmsX;u6z3A80E5>~+6wRX2C_ zZ;m<tnv51a?%@@k++i$~D82mhB#R)+k1O8K6JI+0z~Y;SCN0o?UEMFq?sM^&;7Xyr zch>UiOnURne_OBUwiP~?OLoRoT&+@kzU7^;o6FiM@>ORJH7wfD@HF<X>aOplJJhpM ztp6=~yk8)qu-~MNb+$5RNi9eH^Nxcv>@sRA@|U*$bkz75<((yRPvcAgbFIp!2WGwV zb_q<qGRO4Q2e*GUn}1o#tl6Q)qx@RssJp#^r|aMP&t8JTXJvf+PPoeWa?ZXk{%J}G zcXL*h+WLwwth@4(pXl7;x%$R1pf%Oz_KYj`YmMhL%w1S=eE-q|$LF7aQWs#Y$7sna zqR?3&e01%Pw|WdSj^B&>*K8GdDqMf#oxnZ1``;fG?fkdipmm+X)r7My`8V1v?jEX< zkz_rtsd!MQ_sEIH{Gxb6vw0ojU5diD<&B@W`Rr4AGCN7J`3Z-?l-$h|D{a?LTp}-3 zv|UwprS<i?9{qc!#}7L!GoPm}qoX;+d3Tb!%E4{Y_a%z&I3}OkqAav8SA|zyeb0qU zXB}pyrp>r1t@PmI)a?fiO*H3EJ5m*y$P>o6(LUKmXNHx*i<;jajGt^oglp8cH$K?B z$KaN<2ZNN5o1eurKh3SvXF2X!!+Ip{Pp{lcqm5kR*#bV6S1Jz77ZR76)2n(u`bg!T zl?@RWw)E{TJQ2@RskZd!zO>b;Q;wFnEqZ+XfYp^xGCQ63E;i>fRX2O3eJJa9gv8_M zb*pCh?|b>;!rfatQj-2NWrZtOTnU!;IaykJSw`E3=eLUK+i$)vSN*fSC%E&TnNRBJ zv>!pCcW()-*mGH8cl5cI{XeuSR=;?rZ0$BV;_aT3)$wl)bQG>S*Iu=_(Y>E9p>g>t z;a%+f%xf6?r4F=AU)38`q&~6rwLyOFgx?VxtI9rxF7Xg+>SdYx$XP(`qQr#h4RL3R zH~$u7UH--3=L;nsOUI?5r8(CEOd}d?OxDSBEPc4u<C*uLxP5b;sNFQ%Z)U%|_w>JB zsj1<f!6||YO7A$9UHxD0wRhLjKOF0lBbyJJy?D=GQyB1sPkb+z^5V|w-K^SM99JA* zQTd$Md{MI@-fqQfkrkTpd#b+X2JN%-)bhI{k}$!bZw|lk?7-d~oO?3MdG6f~>6oS^ z6|ymxwPDgU2dO*tg5FWfs?RhV+O{8B>Ho%W`9X(*Qbo4})mO6)9eB9u?(u{MjXP&k zR>l8W<=FQ?O}x;EZ8F!X%vu47hC_^AiaT=Rl=tm3TBLqnX5%tdX6J=gJl0A<8h2U0 zxKCeEFZUv((@3<tRjB)Yto4=)ZHuRx{atvrICRmXl%4gzZfh?%7}@qrBzNC8#v@CM zwOH>?{bW+A->_O&Li{T0yFFTc?5n)JnkWC?A$WJu*<&~UYext_jy${JsPd^TE|NM+ zbKTa;e~ZlO6kQW3w~hba6z#{iY`(R0@myzWUT;!%uI}Xjc@}k#!{%Q+`*rmlr{r5_ z8N=?SaK7H1p)kAq{hH-*SNDqDF;(!$xpK4Wy`ja^Um-rl(|<bOotU)F<zo7SIR>X* za{F}YHj8|4S#YmK{J?h=6?reovTt)%y6upB5ZpXJ%xv*S$NC9@$uHE!g4djHk>Lr{ zQra?CpqbZRrfSC0wd=)yFr8Pux3tACSVDhJsZ^>|M(TBw=WZLgm(IK@d)jYypu)lz zt`9@o-M2<h&RA}rwBgF}_~2}xj>AhT1G=o@;yoB`Cmi=_KK^-*;FP^ClDFJ9D>(N# zsdKy))ROpm)>_c+<cVs|57%YaywzHo^{D>#T*f^@tGv^6WF<P=*q8e=9$#&wE)iL6 z&?a|a-WItv^}QFD+&tNSI>)2?@uH1S)c8a8vUT#QIt6*Bif!0@Wcv&U&6)8z;;()Q z8*WMX<DA>nsaPm>V(Zn-*QXn~f9mV7E|Pla>zHx*h<-!fhBqM`a;0Z8b@PH1!z>o= zI$&_yW##G}b*HMeCoOsLVzOju(G$~2eJ>^A9&$32{#sgF%=tl}Iia1iTPN!D%0F66 zI~T?}t5oNyH@p9mEn5G3)9J=O-BX9x8QUzK^hqbsFkH56R~3`(-mQj=+iyi?E#Fl5 zw_R(7#Dvc?=FQ}aXK7IK*VXka_OZOpcz90IGM=WEsZw(tPW}0R@J;OQS#KU$9CAB5 zCoHsAa(?9Vq6HV9s>@fVeXuNC@|r7X)05u&pN`)3*SITjCEtH?-~Zx+9O4TX-evmH zuN1c>V8QECt$JNorj>Q~&R03=cx9OwQ_}7;UKT=^W-){ZJjmE&)p~HF$C;N_+x;?Y zWsm)+i0qA13-<mTcIr!wp_Je4Sc|Dnj%V6`)HwdiC``It^8L%Z`}>x>X%GIUap;D{ z#zz^VR&f`fz6~$S*;4(_iL)u$tE+Vv<MlZcZQd-|{6jhGgTmTC;h5jAj<kF@&dF81 zn`vXk>KD44)qz{QI705dJ;r+6BEar^@XgyXZ!c@KJMgQ&XiWN^#Xeoqn{DqEkxPB) zEnZOt1&jic@1JqbJgJv(H16rzIo@wBDw-dBeA&3@a>%_k@~$P5-p{`5?JS<UEI8`E z=c1O0-j`161j+WtxV*B7KYGq3b45e#Gp_zcYdNl4tComP+Nt`1N86v1>6W7IP2(9U zn=8+Ami`mIe`1Ob_u8!sK7|~xvf?@I+2tSjcUe${rW;o^>wKNnd&KsBPrT`Ls=Qw6 z#Jd|w%S=LV%Fp8ylw98J)>FsdvFY*Q)mHf@Vh-Mn{`RaQuUmgggJPGn=wXG|uOAzC zHdtp|Xqsqe_+#OouYsa(0<Rh{A7I@P+!u3DtG3<fQ|MIhX1nCI?$<1>Le?gmZ%UZ# zV#7b3WfjZekV_v<onOGSa`KrbhHo9bCQojDeyy{RzjNyGRo6RJ91dJhIsIp!{<RVX z+fxTr7B+8PpT6Ki-q+ii!o{f#cNnw}eorfn)>6pQP0i{!Zm7rV@XW*WGe^v%sjJ@# z9shG@|C{qlabB9oCEs(Es9AD9+nB_^;w8IC;X9cMZru-lyR5>v=5p<wn5lmL*!gD+ zC6dhH=Tbu=#b@4s@Mos(Cs+HJ$2S|_b+qx`ezmf}HMe`hnp4V)GyCU=u3u+6!=x%{ z<xW3`gRDx7{<*x)7y9SklVeFYm)4%Sc=z(V?~=Gx)E$*(R7}6S=cDk<r<O|G=goF( zzJ2tYvfbC>`BHm)7gcJ^k$)%g)#-rmL&<qYPcQqPGp+M2P~nrcnOd$qW#JE_qss4( zoWF6c{d%hO;a~T6?>oA8f?j)s_)%F69oMpdud2(d69m`rxJ{{eGiTYSg6ts4{~zmC zFMGXGh56T}W!oY-)}^j4>$q@r5l6CJNy%sS?ekfl)HYfs@0jcI{Zh$|Yc0mxr#ha| z;9r;#yg@dZ=ahKny>-s!vOTNz>i?Y=%6<2w>VZGJzYg2B#Lq9km$q($*sJ>VC-rAH z8>~6;SUHQWsieI9g+TEx%T<z@8MCbSro5cJq}d>~&;DW4r45f*k8NLgeG%K+F8O!I zA{Tw-Uw<N`ru8V}$M<62?(6HEP49ZKM(ANw&qbfU=F5kVzTl|e&Rg-j`DwK1{-rms z^W56_J8{;#&oONWv=;0-bUr2OyBOmJo5a*M&)tuYPm#BuDsC-UGvV;LhjO=D#Aht( zbnOZfKRcOcl8JuoDt;G+#}}q&b`?keblf|U_5IVb`tNQrm(})8vSqjJYYgN1^C0|7 z*Ujkj5ALQ+d9^pU@Xj@+X9C_ey6Jy2zWm|cvieq-{gZPaHqTuo%&u@k=oHiJx0fEX zzj}CL^I0{f{x(UbDwR!beH*4d>(o3x=lY6<DOX>bKFfB_<m10372;&I-KqWm`K~kB zdv-inJR{i5zJg`@oP*~s={{H3=#ZzUa;zyPu0CFD$+|s}Ti0y5TodBIbK2PthyCp} zr#`dpSn(u}S%2bV3GGc&4rtb~T$QxuH~zQi>#6WxKdVGPnLH5LuT`dDlV~R(S}$i9 z+P-VIqfh98YZ^ix5;Izgwl`kLexxF0d}hAqnw#fK%0-?!<wP2^{A*eH%&prX&wOzU z!-?xWvD;&wZa*aUcHgEy+B3ctItJW6Q?O#%sx&c)WAW1t+-N)E?{i9H>KnPEzt{8f zZ`vs`y)`=V;E9M28w$3CM(>(Y=~QbaERq^<PsqH*IAM9NT+D<9!M@);7fsW1TQ=`v zNj>$UmQk#csqmR%iPS~Y312D%HwAceSikw-UUA?=TUx{Vsx-AKUxiB*YOcw1SGTSR zk^H*l$Gj=K9^{|&U-n?(KP88W?)}30xw4P0yR%8ES=_iG@Wt-(>C?9qT5a3w{#4tE zSX>Kzs?QqjH+f(D!52|%H8p(ghS8-Ng555{(<WW*Tvzb8@LbC)?|mVM(=s0ItIT-k zV*7E9XU2*1-txP?EM7cOeU(X?w9D@<;q1I;mOM)r%f3}x+{wRAZ(V%Pr7%e*SC+0V z*>@INO;oq%TI)FFsMo4pyEfc=FDT2vwMoW%r@9fVwAbgDQZ>0X3e$9R*H-2GDP8To zoE`Z-|LLi9x!%XGY+bfx<7xG1>la?3douMt884h$s<!X#Ob=W6q>4my6G@x;yLUdH z$t+{{*d~!+(wb`cE~4q>Q~htx`1No49Z$;o&6E244F})9_BWF@o6kOXR$$RwgH5cO zT`M)0Wgif}oOb3~xt=}8FXuH+Zz@z2^|JlRXzJ*8n&lhbBXoSJ=bq3z_RpK!j;!?4 zlK!+Qed&Gu$QCU=v4Rt&aa;>*?uCCaUGw{nt<ou#_Mhfk7e0Ae+B|u-$=QXczF6II zXpFhW7%ZXv$$;11R_1Qf;){#sEL#_vAtbi8{B!6R;r&P0*Ux$(ar#bvHs2{m2gBrP zRUbF_7SHG9N?b9s|JO!O@y#{&cx*E|PhI2<5r|v7@l(*;tVv<3uP=JGQsIi(zG?D5 z%8q|6T6_GCp{?<$wiKs01C4j-3<ZlSO~lsM7EQEKFeqR2zg)0(PFwP^)&=^R(*=Z> zj*F}fD~-C*BwMZGwr=H8=DiOp;)MR>Pnh}mCi~?=H{Q8X=5n@8qJO-vPW{wnxum2n z_U;?MPh6~%s-wL*Lc+J~Ub$tCu6*dBpP$7aIb8~P%=m+ST_|f|*W9u*vbE2y%~E2x z^L?Ie;@x#8Ev}zs7Or`*eV<iP<yo(_-ygnmQj6h8(G-d1eGzi!k^$GTQ|^~4O=AVL zzkgKs>yDrA(Ybc|g8l5icHhOLed@)1J$+Rg-=`M#TnInTn%Xq=Z`JIxXP8dk&q`H{ zS6F$Rd7`g~&J+ok4jmo-`xz%PmpuI39HAK_^xA7KSH<5m3=3Ur0{$FX9{5T9&}q>R z-xhYhY;rm7ws-A`WEI~stF;fWpM0CZSGDV(;DlLXF|X#SJ@4ISTEn=e`?9EHlgFL2 zX0sT0MS5I!85Y`gNIELloReMXl|KD;guc%dLm~B$diA!J<tIc-s<dO)nU@F|ElCT_ z>hgAwP?^8`aL*}6lX;(AviF;AP;H)_s`a+xMD(iPlhoJV(rl})=Ja-EJaX%va8lzL zw}S=um~O0`nmGIC!_J)&JnpledG%e*O-vA&77?_bwWap*`ar%1KGXN@|HS-hg8R&X z;HK1MpKI-VE4tRVPB2*{_}EWbWa8=V@f+J)SBEQ}obhOFbbEcp&-<$G$#)(`yH^SK zxu3T_{CZ!0pOM-p?Vr1fau)5$jaoj*N;cN-P2Htww!c*DW*CW0{E>O=U#FW{kW{pL zibU#^yYpwAe)n(B3UPVwO9l>%8)iM14zmz)DXWnx*l~^h@QI=ZhtTdUv+%!9_kVD? zx9q6Pg<}?7j0(|{y9-OJRg;3RZ&#Zm{6lKShFN0eS0z(F{oKu*wm-+HD2`)`R)fRi zrp*b9UR`Hjyl=I}vO_oAvP@ryl(83TY+d%n^XkdGZ>ycTPQL#nICH1v%<DxhhMJGG zTJ=r8{}2&B{Qb?Hr&XM~xrrMOSM1!R$MaOK)hKj(fk1Mlg&NP?vqcl4`lpG$b@5U( ztN6FMuKUznXLh&a8LHbZ&Zyk{{B-NPlqxNzj;l|0=NnnOM?aPfQwUdiQoY)x<kn5O zsWMK+JD$sY74&$#wun3M&D3k}4!84vIHj?A(eoL`@_U%qb^W;fIZ>FM@nT5&rT#_t z;}ZjXm#?_6%Q$wqaohiA5+7b?bWM|*tgpYM)1{)I+5gMjy;DACJ~?)3qDEup{@|jF z%uqh<(!&cLh=r+s*`arO=M2H$`&ju}qb8re^CM>E-TIloYmBOxmnUzvc<mVDzw+?> zt&xcy>p5S%e5~U4V!=P9sb<IJWOR?8OI%i<{X+0}m9+Yt-)gx*&!#-DILR$*^wTJH zzoT~Szx=I}4@89D?`f`*SS0nz`{w+Qjr@P3{3eH)s=rYAm)?4Fmc;w-7n!`0y%Ngf zd;fm^y;$v)`k%X;51Y5}#PHoYcXi?OO+HN>+FU{pPiuA-FN{{!|LC~Pv8Qjj;(Pxm zvT+lfrf!l{jMYEn_vAfy(P7b@x3iVAH!c@vHT|#ebGmfSrC%HLv>e&Yf~AjWv4>kP zxpK&?TvLd_ch|;u+1B&2wD*>0&fFaLGd<~vVaRu0(V2ZNIln_=KVGiAI{&HAnmcc< zoISPEcFK*<vVKRaZmyrs*PPb1YI?@g*e+I<PamoTA}myWS4GLa*;aAr$ZqF?GPQXN zpEbWdqt5IYm!1CO()$mk2j;2Vdv;XF)<*H*>6sGeAHDFnX%aQV|H_3(tLAFm82!sC zpATi$1@!B*Yx}ZQzwmk@!yx+Mj803#DwbW&s|0Qq1+rJZn#eY9s$6}$^Q_{mpI^m| zzIK@}sX5Y}Yj*7Y=2<lpUnO3=(NX#9n9%P(k1}14mKQ#Ks-{wCarI7Gg~3D*+tR?b zo4-b_JN*1cZ7GxOg?G=tyspk<dr{L-D80napzg$hJ26>1k3KqA5%_jfvx`gHjF=r0 zqjp`~bY_ZQ{@<A_%6;kO`@8jbZrOJ&QbE(-xUIGS;HrMFnIF0K1}k=N+x4!tfcwfO z&AFd8Pg<s#RvV(v_j$MNTJ}pvAEm|pxORHd;U$jZ%Y>&&IqCVI|NX&lq4EChiv`sU zCH{wL_JyT>Gb#7%5HNq|vaHUTk$q{#`<$=+tSXn^GHzL5#CA-s(m3L|`kG@~RgP!n zI^}13yDGog9sG3V&jqVk4Ad4BO3(SaOWkVLiNz-EuUxmvJu6<od-&W;c^55%5VbhH zt5#2b?UAs{z245itA8*lKydyQf6v{9CK;dP)1CCA6odUdqoodroxH;Sx9)YQ=8oA5 z4$WeI-*G>Gjjr<i)2Z?^6kji#;dTAj!HW%N6fcPcYx+9hx!V0gcdf(jZ^eJUv2S>E zaF%sh%R2o7Q%?O%_<Hf)oz07;=N9Nbm=!+n+gqmNySEi-eQ4X5WxPzsGT?mp8w0)~ zmv4UpHnLl}*WVJ+DxUu(KQ{Tu^{Z2lB~_GsICYKZM9jwLnOVPTS5Nx*+V}U-?yuHz zai<%zCY4Ni+Lu$+Kf&qy!pTYR-x@0hJ4?12vW9UbZ{TEPWV(~Q<nj5b<(aD{DRLWB zKDzw*^QWD^_9oc>WVu_WCM06ra`neQ^WsAc%}XsG^OtTh@!a+Ch=Av739B@%B)>cR zRkjK!t-IdwZ_62{k52`6MQ=XEp!2A?;`guAb1MwfT%JF-xh24KzAB_4eOtGpYE0_x z=lkdVEkENb_4?gPdq&ReKk?mj7UynEzT0{|H`ijH|M3(3%yK&0mI(%ZYMPeqCbsMM z)B_61Q7S*HYS&iY4Og_$t(vq&gwr}t<$SzG2akS#Z9Rj~ch&q-r+MdlD_`4l-3(q9 z_4J;#McT9G$sUrvdR+?c``WKRey{qlIOh59ck!lS?q}@+zb;<7+gpV3mG$dS^K~=R zg#)gC=Vw-$xY~Z(??=@|Kk6sO8O%IAp<3^M#*tIk`2q?#XTR$@($>bc$#CTasrK1A ztldJL%a^F%dw5VZ-}Hxcwtkgje(t3od>uS(br-L`Rbi}%K4z@+@m%aC!K3X`+V1`S ziOWO%q?m;)Ht2Te8J~E(te)Y*CxyUNMM<fhkMkr94@W0GKXPa`-~G9km!HkrxKTjV z^3xV(Bf(YYk4;#s{fH^(%eKpfx9+}7aptV}w$$)^rWLo2arBwV4}+I_?RYzt>GqSG zl}A&J)TSK1Xl-VfqyGKqlIDPKQ;xR(lAO6<X}d*wYQTod_m>42H%`&+@2~LtkzzLM z^pW@l&)S6olkfS?pO#uC+GyIP75TPpL)Z1kzWJ9v-)3@n)+KURI$e_MN_ax>>52BS zJ#q|M=@kspOKi?^)SOqX>p#C;e3{B`mF&`Jn};gu=2NAAoOpid$(sHhizN%>mL%S> z-m;}lokh;&MzHoy&gDV<&Q5n91g|mB|84Ozgt;^2^|qQ*qIaz`eLMc%x~N{pV6E1& z_DQCSRAaULnm`+#x{GIZ({>x3*<1EqS6MN%KI*~!^#$j|OcmBg6tt{5?R2ob_T0~` z2KB#F8y4uOeHE9zKdZN6@5{I4OoH3aDhW3Vq$gbw;_0p9*V=!odgjR&VgCz%1a05G zQtP|Q=YQ9ueEq`8GJ<>OUAdayuE*Hza5U^?=jLYF)Q;kRD-Lm2u0Iy`Civ;0``c}P zSqN8cJ^3kDGp%-|&Rt(V+1s||S2gRe9$$9zxyfx0*@_*<8)ivb%;Rqherw3Omn)|B zq0a9;3A!wo&)A>l2`@bMV)m`>-Dmzrw(s~d>BYKt$8Jq%<z67P!RG0!EBu?S3JhX0 za+5Eg=`9mEG(~*bcW&d$x?6REt_iwo@4YJUp7HwKQ(0w47EfbsIv_d!Quu<&3uYvp zx>9=m``l>^4wE;oV7+fIktcj&Ze7choDZT$9GTD62lNIe*_cOd&GXt5tG!~S<ZDK$ zHGgVOvKF6x7bE-W>p%XzKVAgz_Mi0iyVvo2!?t&|UzXY$v1RVMFYzGu&?Q6mx`n@t z`TWu!uFwC{-XP7eq`Xk++^)<Rj{Vo3@&-OU68x`f#=f|B`vhN_RTtzwpRQPJp;Y>& zY=$YvlV#6i=V;91Dyp^7`N8wP@cI7}X6}z$x}JHIFfz<5y`CQzBlzf$U{Fb7#Uiny zww*<4{in<iGwzQ4mp5ICX~O4i)qn4a%RaOGc>3eb?W?P*;~iqdP5VQ0^8Z~;wc4|j z=|x8v!<%)#c(xqiQn5KDx#aIL%e!mlDQ@|(CjGAF0lBJKoL5XY^S7;BIDLY?;=}fD zQY`16y?gt6TI-tgftMa%I;|-hwerTzpe(1&3Qr6qjvO~D`SZuTRc{AZT%aPG@3Y$v zD>oKaZ?S#wGK8Z?DQwfe-=`w)8U!2P`}w@s{^^3o*!h_;yZ4to%9+>|b$s5zudf29 zb$%~SDM+0-@8d&}>SawYa+9|FJhfuSLCzQFIhXh7UAVxvNVeeBkH(;dJ8lXjf4s0| zK|qV^$MqktxZh=bSoieYebJp+hXm@k)%^RzCAs2i?6O(x@2h?9yG1N%U9;{&2*b&? zY5(48zjJ6TD(w@9Rr@B%)%&o7C-rmR*SGO67JFXqQGem_Fnoi=f)v}^PP-}=t^dxP zbox}S_|fcROqyAX8XZcm?Z3o-YwHv-qjOW=<xEhOV4c$OaPE&c@*!rEez_dBvithz z@`j=bGnZCx$?#OjS;_vz!M~up^<)3dghTR+{Qqy8%wX`eGjv~%tW9g-qzq5~mavMZ zSuwVZvpC)xWY%j<U~HVl6K+&9{jA0#&cLplH=nF)UQ{<jzqMg@`rgmtwk`DnJAD$G ze=MH5;%vfhzh#-KddKhk-03=fG2h_Q+Y9&J?l);STYk-8a#?J?dbEf{P*vZ(?fm}d z<K(9ptjOCMrTwNvt}x=eeEt8$fvbWaYo)$xd7hxKdh?cdAAF_n?p>~S>99?D%)965 zhm*DzO?9}g&%Z48Fz?HRMzQ^k$GG#oem~|s@ojon2g}v&2oC?PA0_#ME(rJW?s51V zyv0EE!RMsMA#8KxB#w(b@+(rY`9I<6jl8{nPro}|jgL7qm4W4=eA{y!u^l^qX3Vji z_r{+6>j|%7_Mor3AF&o+$Zkuzmm8-#`J9FM5$^XJZakED+MT@BVU_E-Hl=Twi=Q@q zR(f{$y0*CTPkWZr`bqgqlAGUs)8=}1c#pX3+BK^bKluOe{AIk`-pZHjkxyfB_}`iz ziA_!uq}DCpUKao3p=HDKnj7!!;?5c$SK0Jue!>O^{)0Pw_E_dQ+pw_Bla=Xu&@?rt zf1x&KQ=^?s#a&Y|{_D>~(w}WGt(Z7DbG5t}cd%S7heN04lAdEL{)f0t5A9i1@mz0t z>V=Rk8*~LMohta7BRRWzOTKEU8V7D*XpNKDf3a!)d~5A|gEJu-b0$p7l*%b%ym_vV zKa=O6jwA0H)s<5$^3$Yu#ptaIX-%|v`>fj6F6K_?qQBR#tO?x5m2UF5B3Wt2`s(1y zlx=Z~4;p%Xxq2Zc!1nU7eJ79mrKBE+bMo!@u3&r5WXlqVwDf3`?(LqX3eQ<~l^&UN zO8)&>o(al(*_Le&{9s<go}H-FuIV$&Ec9Y+illg%&FXdBeYYcISIf?4DVcWv-kMt? zk@M`T*QGlAZ*6h3P}<1GaAaF$`b8fjt9NHz`!(hsE&kQvs~CFJm0RS^ifIeo?bACF zW*hc=%s&~=X#4F>W$w21lcq9D%InRnsWNM+xODyVPg8@KqJvu_>W{qQ+9EBiXxw>d ziRZ`lf(JfwT;1z4MKOH6fygnW#oh`EDXGZ{AYf>2a3Z71_D^1A-ZAfgp?yE?9Q(Yv za&1p&%gD>||16gOc=ugl#IIS8jm~eozGuol?&R5fPcJ>PWbYrZ<{#=yI|Ey8>{=|G zk(uUs{lr%XQ-jR)7w=!1{6xcTnsUT6R?Xl`l{%r%TaW%Z!^8f+@989u9g^>)W<L7y zKH_B2-UJtC_6#N0y)%4X&9<m~sP{HGP0Uz4$}=+SGB;C1*X90#$nKT9Q-uxYd(9Q; zjh*~2`J`^}>(<@M4ic;HZsb1No|WaWz0^WVM{e=d$}PtKZ5uM)uv~F9Kh2QhVcm1n zZKIU??^~u9q8UUNm0q|WtSf7AVCs@z>X)~7OHaLK)zkL8=;y(6kuHtWD>lFR9Is!h zWxntB2BS-<U5Xi9I(gG}Z>=y;RCqJ3ZCUaz)g-T5Pq>)pDKcI*6R@2x_uX)7yBWiw zxb0d|Ew9(ISQ~|JaSjQQPzmcUxtx)|Mm;ZU!kov8eoAt0oVd2_%ZC2)H(Pqwe2z`d zowFk{z?+#xgaHK1W22&MU9w-ON;5KmFfRiqLt<%4W`00XezIP1Nn&USD+BYZ_xsW{ zw(9>~@Nf+i!;~Dhx|-ABYCZ}+QqxL8As{7GRMJuPVT)#~@G=p<89^3_UJqL`H(d0- z=BB@yCtg)<nUYzp+Tzb<<!P@qLwkj}y*Q^ECcE27^+|c@7VYIOS3jk6Rdup|xQE-i zhCRVYJEE6%M7MTCr*=fUc0{XoM6-58KW&db+8({MJ-W3$I<-C8wLMz3J({&W`e|GA z(Y9#S<7<NsuXQ@S*68qBrNe854zFc8y!O+fwT}+1y>w`8)8nwjhhdQq!*&*~zOf_J zC|~qU^wUpaD+^ag+|!!6o$KjZqsrAD_p~N%=X$dCQ^jhFds@BQxgM|GRIys)o>u2} zu19N&DppI}(`wz$^>D3G#cGawT8-Pe9<2RTzWT>qt=jEe_t$PJU;W~)R^@iCduxlz zSKqj+Rl1$)?pmYr)hF(16>jIcv-VTj>K%8ra<_BcUc0Gm^@_V%ncKN;tu0!X^ex1# zGg_@PnvHX&a!StxO{cbw<wnkTH18Z{{{G^CtwljU?;U66?<Wq}8Wi+%-#N_m{l~YE zZvo$YzIoWa?R?Ytrt(eUo6MS9hbzA8+_UW}_kVP^x#GLbJ=?Z&|A%*-E57sGvu!H( ze{h$%;`^VwwsqzH_wODq|NiE#ZB@Ddy}Qlj-|yVDEi3oGd)K-A`<c78Mdki??lPBu z-*eYCuiXFk-NR+y*W9(uD)+y2x4G>5oV&JZ<^DJCI+uO#xoewL?tkMhbJ_QryS8!V z{@3pwF8!YKHREf_*MzSzwr@ASTKQ_>tC_ompSMdNZ<k))F5TWPo!&0(-Y%`)F3sL9 z{k%>3c$>8P@!sIWz0QYwjSu%KAMO=C+{=8p_w%9N$A@|^AL?y>d@S+dvB-zVb{0Oq zv7^u^U*^ndscBRF3pMiRoRoI|bgXkvp+f$g<I=}J9?RTQD3L$ssI>dXW1f2o1@h+{ zmOlRBnC6~Bj{G?XrQJUq<2=H6<mczZFRQx_mp^`U*P_bY?_O_n`QtlxEy~RO?)EyD zKR$EUqR8CuPA_x$<2`pR^345i_Z}{LyymV&mbu@p-sZB$bM9KCnfu-BbuN3{bJrrt z-0wy&bKB>o-?pgnzCE+%O(yTn84(gUF3-|mueWaPa?_~Dh;Y*||Lj#+E3%elEy-Fm z@0!C^o2wRAO|BZ)u8mw3xXN>t<E%$3xiuFxJmOTJw=1KmQ+0~Rqm|q$eFlp-l;-V{ zaOzNK`0;Qhw|v!uM0VMEyG}5+OXr;Uc*T|1<cxwdkALie4^7;<4=P?YwTkB5I8pP8 z>GLKwztY6Ybnd;oUGLpxdY@NVzJ0^)=qq=1U%V45F6Z89vBP}(a@|`uu3fn_Z<~X) zv9@yctt1~Eo;R;Ex!3Eh(_On<chiP-YgWzMwqVwzuBNJ6k5;w@cP|t3(VaGLTfnYp zmAkqV-p$l}C#m^vrp7x-jdwHE-$|;!o2m9rQtjPL)pwGr?`EpJlT>*(Q~8~w^1GQz z?<AGp%~X6RsrYWD!aGTYcQfVRNy@*QDfdoN?%hn;capO2X3D&ilzBH(`kkcoyO}cI zeR#j~@ERN2*m~{mzwmDH=HDmY*lF$VKk;ty#@`-q?6`LKA9%NT{qGa6?OyHb-|=qo z+TR|p?N06L-|%kn>fa|`*{#~uzvA8EmA^e+*>&yeU+`}6^4}+3+GXwPpYd+-(%&90 z?YwsNPsm%WnWwCow^$=jStD<;dY-a+-eR>pWwpG;s(H$)d5cx@lvVN;E9WUI=Pg#s zQ&!4bteB^)n73FVPgx;vv3#DgeBNTYJY~7O#j<(IvU!VT@|0!r7E9+TOXn??*&fKd zU66PCMV{>wdA0}gY!~F&evy0oMDFc@+}j2FZg;fa&S<^;;#h9VW3y9xdJXaypT51~ zSZ+#Y$j_1!ALX+4v`f5Sc(mH%qny{Cc7gW`4_BY~Ag8sbo#Xw&gVi1%<hb^<GrV88 zzxu>`xmUZ}f4p0`x7y>q+^OB|AKoq8U47!6+^XH}FWxQOS?%#ou4{MugLezJSD$z* zm$ke7#=C`Et3BSzdF^h$@NVJe>Jx9|w05_jc(-t4wZ|JduHEei-Yr~Ted4v;t6l9o z-Yr~P?eSXf)UNgo?-s7EKJiL!)vopx?-s7C_IM@NwX1!>yM@cEPrQ`N+SNYe-NL2S z9xvs*cC}B)Td0|*sF}A=BTrEyZ=rggqI%v!wLC?&yoIV$JeWF_djuZ6^cQgW^T3(k zzrNyzW4la2#joOKUdyZn4_}_=F*?F<nA^WTCWDckTifRE&cgpUcGMfamp}9S`KNy? z6C*t>e|~W{68d!U<4b?#$BU94U6w!MeAwyGa{K0{#)f+T`akdH@B7{U{@=~r^<Uo2 z-}9UO{lA^N>)*Vazw3AVyMHrx*FSkTf5&h3cmFDP*WY<Jf7|c&xBnt{*I#)zf6H(7 zxBo1SwUwo-yC#Pn+Rb+_^uxNwa@IYs@5laqcdI;n-|lyJL*K8fEI+#Y_1)OF@1nwA zzKWT;y3k+yPVD`6ugb1(+r8;->DzT#<-WVMZ^fQ}msNIs)9y)kOW&+ZD(Bs;eIs`N zyH};x*X=I4Tl#ukRQcIm+Sg*&zsoAUzG`>S-O^X<g35b$X<vz*|L#@E^<}$_?v}n> z=T+{zOZ!r+(a~6?qp?CqW0{V|emWBS=t%6PBe92$#BMqgyXZ*lq$9CSM`DYP#3mhy z4LTC*bR^d3NUYM4SfL}aOh;lr9gcl;IQEh=C%;mqQiW2vQklQ&E0-58&s?6kJet>a zpmR^>j?OKe8*D`*g#(2>g&l=0tF@BUg4CSUjMS9Ag{b(j_&o7G;=SbcN{;C#rgcp7 zn8tA}c%rtT_Czf~t%)m_D+MV9Dg`L{EBX1m&T^UIGR<X*%cOZ-3avhZO0FIviUBsf zl}blCC#X5i;D~&b*&(Fp*`gp=<kBJN@7%?qsFcznpy<?8A;si!f?v_D<%__f!<Q$> zI+bvoRAgzNAU&_`hQK7{C2ayyPH7wy6aDn~-f5ng9W8zPR<OWUlP$)Zmn)>EBqt^M zJ094#XV;E-O*xsViLth<8&@rwHK~eAi1q7}D_=xfI8!)XI8``VUcOvDL5WLaUPYDq ztb`;^)p>TDpaQpJxq1?ZViUuom-QbOvdfiKRLK?{c*rI_&(0lG+8(}qyg=gLq1X!U z;~%e7mRhgPOTYSd$*#G<+k;<~x!v_!ar<Y9_1wJl%WqS5&Gp_M{IX2#uHTZ~mPhYS zd6ef?XufdAZ2vpH>hIEo%O`j4-g)G1$fG>9Li33`X1m|<ReqNySU$O9x8;$$B9HRe z3e6jL%(lPdEB`KyzkG80?wyD4UU``JtiZf*$87UEzS8f~`gTVizPsdM-njzv)E%=8 z@Ayi*d&XUUxpnu=LwAo9nn&)Kt$pXLbou3DchBsZJ)`{dr@U)BW=|{M{3$Q!-r1A8 zH7m`P->W^jTeD|&U-{;bc}Dln9^JjN!d&>h+M~NRduF$lZ~l-cWX68`#<eT+W-Ym^ zma+Zh&D^9gH#4<wS5)4ZG4A%=mnXdb_LA3Pk-~bD*DhDeUX(iN>lK#nq9CJBne0#Q zeyk|BxF_3N&i?rB#v2Q7OuW%}qwvR;!y6WFXx@;#!TCd`djfmH@%Z+5_xqRm_Vw-M z+uOH?Z_jes^78h(cLU4IFWi+aE^ohcSFpVNz+Kt=^7h+zFP4>WxGS4o-hS)u#Io`Q zcV*Md+i%_tEGwUIS2nr4{l;Cvvhs$zvhn5Z*Y92|Eibq$8!a0p8z~zh8!j8>pLil~ z#ztwkH*K7|6A$FgSTB9-b=%8bi97OUtd(|q-F9+U;)c8#tEG><YFoK0aYf#YmC|mn z+B$b7F36j)T>99{w#;3LGxBCEm3DjC=D90z!n^63@5D9VP1krQuJLZV`a5y;chlA0 ziL1SvuKG?~_1$!pcj7AVrYpY_SAI8L>7BUJyXlJW#1-F7S9m9`@NT;NJ8}7U)8*cY z%e|W}`%YZ;-E^6E;xg~1OTQDBem7m_yEpH5Zr<;wdA@h^eD~)0&du}vH23#z?(g2* z-?{sKx3>OHZT<c9*x#hbbtm`48@!)>`uEaff0HWrhuqVj^8NIaze<(+UG_O#+puOy z&8egyBcV?pHMx(w9dkXpTt`TdpO<_7CIuN09+sL@kIt{}&wsDUz1L=s_3q_5uU<TR z@@U?shU((%<myvNzPh|`-)M4gHriykak<VRQ0|(y>A|f_rw&z}dUR@4SJo>{?v)xE zV*WaF=55MII=JPCQ>W{tU@;G!;0+!Jg;=>obo_0s>|!sT*s)^9j}q2L3lkL|UKWKE z)ZstAeti4VHg;lrd3yNw64tw2J4K7MAB7#Tjs3XoP_FB3u5F^({^2X`YF~OMn(@7B zb9L*@y^G$&IhL)L*d5++SNqUA(S+|^>#JL@?_Kmd?qKQq8@s|Y?rLv(CmQj+Yjt(& z)xC>e#W|L)pRp_4<F59iccKB`yOvkCUf#RtW!%A%^%1+mHSTIpdME1f-LG)H$al9w zeUa~Wh3kdCn-%H{f7dHqFZ5llP+zF}NbuB6(XDU7BzK1%$(y<%n)OZC&t0K=@}{nf zZhakgb64n=ys2xVSzm|k+!eYeZ|bV()>mOOcZDv=o4O*J_0`Fho~<ueo|@D($!ikV zB@zGNB^^v%>T@b)U1AaT)|fcy$Yl|gpgseor5z$l^Oo@xF6Ss*&QiFXp>X-1g5^I7 zmVYT&{-I#`n}X#p3YI@9SpJ}3`JIC0Hwu<N*%6$zBRFG6aN3UGlpVoIJAxB-1jp?N zj@c0$wIjITj;78XP3=3H=I=zL%e|h>ZK_-zb5GOsoyckHrJwv3?g>_VFLH8<$I9il zfdT%0zW&uSs-{&<shU(ZVcsVnMOTpkn~zhDcdE^ZaOzxc<Ec>CBIs)KRPoU>$w$uw zA3fuI^o;S*v!4&2eSG-r<-=zWA3nSJ@Y%(O&rUvkcJSe|oe!UFeE95S;pZy_pDz@A zK2z}dM8W4H1)mQTeBM*=c}KzLEd`$+?5K>`Q5m+QGVhL!S>C)ev)MmC+qtJQ>yFJd zbN{Djohv{4+_RZv?*HU0bLD57dp3RM{*TWduK28T&!)?!)273w-KNdIk}F^C(CqXN z&jj~WGUdx1nEkx}_<PO1>%EslSp=OqK7LW__|4Yw`&s+%W9`3}wf}Bwmn}KL*uLD{ z{KkaEY*O>?ff~^Udp>+Q)+$!8VZ))zv!%cH_WoA;yzk(ie7*OxrM~w*tqrccA8^ln z^7q~+wZfJ69qyU;fA4)<d%5Di!9DZt@4b&|Cs*88xM$w}z4u{laK(Lrd*;pGdmq#a zSKMc~XI}rk_kQi=^7|j|npc1Cy;nQA{QiTx=H=geV`cBoxpR5;j^AwW_EqlAzw>VP zw%=`U)84whReQ_!_Su?GE4fd3p7c1eTu)9`T2g%et^gkw8<Uz>izXBV7<^dCeZYRd z-M-~|-@bhM@NV9&4XYQ=o?QJ(iSOOnwQpB)Z!_I$vSqp6xihCu9GkaGfSdXEr>a+r zy0g8tU$5lW5f9f}6BDv?LDj3IS7nN&yE}HpI^5NpmnZJGy?fbh*2`~`UY0E^+3m3_ zR^hHm@Ewz&J0^j5Oakth_}?+{yJPXPhv&Nw&vzc4?`OEb_i%sr;r`CkSKZcHoz`0Y z?3iux<9R3dq#L}SdAfSpG27(I^C9<)r+h#2#8$cTyvse~3E$5=wtZZ2-sGNf&-XKr zY!_FYSGi~0@%_w0+vJM#BKM42{7eoW5%RB{vZHp=j@k)3YWsH7_Ux$b+ELr_LGqA0 z$9#pFhKg68oBR3gY=3-su=#MXylhR;hl2;7v&l=(`}O3*%7g7}^CfFiDqaaU^Yhs0 z|Jd>1@}XdP89SXHYaSFIK7K5CzK^`meDC>EcGLW8wQ4nL)oN90m1-5{O9kF@o4kGE zlU$KXX~%nR{o5x#&b?A0ZFtYEd;7#kxl<~n74NyVZ=d)uH>5&Z@Sa=q_K6R2MJl8j z@43})pLjp_O1bpMyKdFnC*I4QQZD`Qu3P!`iFb2D%B3&fbt~RJ@lLKtx%9!iZu#3M z-p;*JCcW{lTlV&ew{oYHNiV$XmcD)B&D@YO>4|sUlDAL1kt<Rr-FVk6e*47hxmQZ1 z3-7u`Z=ZNAcS@;r;$64!?Gvx&hLlPN-gOJ!KJiMfNU5~rT{r*j6EEjpDUmk3>*l?E z;-%avCDMv_4VLXl^e8;s@u-dQDDUG=X*XeWzt)2;f-XFYM}ikBH2gT&E;o<s<A!EF z%LgAqUa(0QU2!-ZyihWwgRxC~9@owbOnhQt6<Q^S-PE2v4PGcUZR(WC{;ev?it@7a zxlE1pwAAcGooq~WRBE(LG$c5Fd=ELXzCYjp{mKBjdvjy&1}pBe+-b36xl?|gQ?65v zQ?`HWm6l5_7h2A>oSFA1WZ@=*4f^Ys+sDR4M@9PAgZiB-=Kb^e>+#p+ufty(+rNdo z>Sw)^U-mow<-fpP^^@MoFR`{dDm(R2pIf2(!X1nK?*yv9%MdP~(rLZxh-~PiKD9#k zi8~g%-w9NHmmyd_rNi3lh^*+NKDI*l#vP08?*z)f%iu4c(r&%$u<X@`ea{Nq3wJCw zzY{3^E~C#n>agt6hkfS?+*5b#zbKJV@vC>=hbzg8^=x{#6}T7P2^4$xf~)+?vDjjM z@$U<Ex_4Kfx#Rpy&hUG~&f}+TW8PgX;urg#u+!Z=_NP0iQmDb<146y3!gC}XUpH@I zxKME9TI2GojL*Y1vvf;X?KtAWF<19Y!h?qwFFw3@@nc}EZ~{XE`;tj#H>(9tKKavS z*VT~XYacF_t=(-o^Tz~7mo<?uN)EDk^K6J!IK>nw8|=mNW6=R=juH-rnD*cZ_u#qg zOHM3k<2$-dSj5!9nVV;p-*Vw4FKf7%K9wA)obl=-*!YSa9}H8~`8S!IDOqPSr9H;# zd*hMz+3bRSe;N+z9%#7`Xl=kC%(jASLb;1slN+0cZh|jEwR4fIpO@x>g&(FJjNnL@ zuCQu|<zZWC{I_EB%({j<GtKU=G0<^vde!K-X{ABgt~>K?6$&K;bejBV+Bq|Z=SD!H zMPYd50%r9BhRL6qm_>Fl`1rA>wJ@l$`LL@!XcRVKU~UrDOJM%o$P>Z9XkaOEg29JJ zg73@$26iI>My`qjOqwwaOo}BnoDU8&F$gd&U}rF6Xka{0cBQX=K}whAvKfzj=PTC< z$v#q7a`^M4-Cot8nb~TOTXBm6!vyiT#)3aLcAPz*YVye}&~^U8gSUV1d}O?Om+i#c z=WopHo!k@LqqcW)$%Y=axO>d~g~v-1r{FniD>xUZ<T0BZ@#o>+&Ut8I+X9KCs2#oj z0?#t*bQbq-?^I&DcZ}Ub->Q7JhV-r6nz|E<Vz+ljN&33>&RFsx@sWwG+6;l@Be@0! zhnb{y9N^^m;J_tyfPvLVqJdjr`Ok;`lCB0N4HKE&c<e3ej22G~Jn<swih-2G)9yn% zx(`jrw(jnkr@^yj<K`0zpRBrj7OvTRLST_qch7?5n@=z_Ei4g}v=5x)>NcfQ`5cqz zBOO<3fvX>;3XAXiRQy;c@XY2PKSJg$<@luI(wQ!4WaE9|eq_UkbDx~cr)g|?t7Dgy zez7^JOw2AmUGSEbx4_lNx~@6rgyufdv2*pAle(f~GY4zoGzGbHOj91~*z3<xt$M6u z=Q1_@XQ7R^$VVMJ=f?D(7p=WZ-s#vm<)r^KwD$h;TF1^YBK_w?EAJ^CFLmr30_QwU z@7(OtZP9(cpFh3xS%KL67d+{my9&hQ=bzd9$7i0Z)e#9@olnZUq??4jxP_Q+{aV<? zXZq8lQ0#?J`ozE#7lC-i<c{|B6BJ7h^XT3AvGSwxO$pZ?KDX9Ci<~M06?d(;5FO&N zR;P;To2aDi#kK2C7;OB-CF$xE7(dZb<;WLNN$21i*R~15@e>8790^Zh5d0`AX>b4H zgQ%pP<vyWi&c5|O4*v1F@=04l;(+Iq@DveNLpE79PPVVC4_VK$?ras9BIYCz$>A8U z$dbt#+A6>z>U1H9BdCSpiVKH>M+<|A3x|VU3&Rv=4hPc~1{P)kZbgQ~wuB}&Esgy~ zU2>w_jE&zK-ZVVA(Qs~&LsH;^bs7R<7p7beXA=w%5{Z{|@~%l`krX@<evF?#Uh<;! zKBJnn4}}l47calJqw73Bqt68gSKgCuM^^LvidF3jXFop8t)WWoTC3^PFH>Id3k8VS z`?yT}pt&feWBCjR#(8qWJ`Ra&>=p*iY-}0{PX4Sq0*pL99~jt-3MM`@5t?zZQLOF} zBcss)hm@ns-17gpu!{H@JY*8wae&orT_01(SL3h3^88CCG;&2KFewJwPh{v7QE*@s zt}$=`3n}@xU-DpNQoFFCfmP|lvhZc?KV~dA$j<07-R{w6mtHN~C&{TTuRb4fPH~yK zqf5l-gUTbV6p_CL!jdjlPj+<jER@JoQsy}Bo5GNK&*hlE>J#6T7M*)8ZdVLGsMjc# zn=?-Oee(P*|3#_xf}GqxcXjsrUk<GDF=%|!vDn47p^>BI$qrB6`coZ;K6o8sa46Wb z>BI7XXOT7yj9fDwG>Y&r#AZ6Y-DuOzGk>1PvDGfseAO(96P(z+zO55l`a83Gk?gC> z!euK?ObZR+zbxXXQYa#6t13_^vOuoy)8fN{i_AGr3LIg&@brAjmy7M~A2q}}9N67N zxZ@lD#MQrxTU@BVU_sb7siz;Sz8W?%$vil@i0dE=e?kM3qOX1DCk5NtJ31!_w!4)# zSctGrkX`3=Yyz**mW0Da>P77zKWMqMgiCVm>6&mawCUA4rYB`n=Wkx^a<pw<%a*29 z4GZeZrY#Ek@xr8Vsz5?O(~(d=2S!GXnvQU87Y0U+JMH0;c2<^G3Z|~$wzHZU?&!cC z)ZlP($>Z=799}AaxFqeZ>Z{@v54$gar=9ZUK<!cgTj4HeTaGksYgkpcV3CiVm1q3K zgFTWm4;C<MIyf8^iC0v9<s#(bQoF;8Pcub<nLmJmN!4<lQlq3y$3u-k8TXHdjZ9KC z2N*>HEE1o5(lFJLS9+{I>0`hLUDvvN#Z%R%1MWt*+_@*T<(rtortN<gMC?7v{z}hQ z)6%E><IloJx)+u2JrZVpq#G!E@6luD!_kX$X9zS2TPQG!oZ0S?z{akz{?YS~T8lcu z1)Vsg8(896S<(s`-m5v5nKM@HK6(C@@1hiQ!v;?2ngj;kdD`Y~A#>KvE=Xu&^;vL$ z-Nqs5NT7;AGqa<^0cP2PPX$7f_U7hy&J;X8)H|Qy>W|JpC;1=yFS>YWJ_F;wC(oC? zSHH;DapSKGld*+Bxe#Z`117(1lTT>uvfM4W`{k~KyJqex{I%0_S67dCz@CUlt%CYZ z4<2e6b;aHJkklrww`a%4MaQ^LiudXZ#PjU?a9Vqka#Xd~$(zB8Z0%#iJEQ*E{M_U4 zs?#hmh2<i@vjsQ>1@y|F$XIlKK|ilNm&^$VrkoGY);)U8SuSeSa_vl=60=6nYp+ww zUaGqW|7ls#IiE-K<75Rv<vJ!6kt6<fE+s!a1NxjBlPtcde-4m8<y_t3Cd1Eb^~X~| zKJG57QnCHSz$f2CBwaH7CmOnZ6OnX^_n#=Z<g19JL#Szhy{Wf-kcptHl9kJq_6)v@ zQi}{+B0G}YG#B@WPYhi2LqyWGHGHBYONFSUb9MMc#guYUNyozQiHt|eL?!Lh!zT)g z?(X85uP47|^@$gniLaKoNR>{Vw0t>(Y3<YWm)e2ip7Efxp&nfGRK07v%Y1>XN4ied zHLL-A`9F6))V(O<XtGCj#V73swfmohe@=U$9Pl{0;oCkYjrY3t<@uf0{+`+URQ-*1 z!@hf;E`Ob-aW-s<+H2j5n){eEy0-tZ`8X{@*v@;;(c>!Z>lt=E)U~&~C*-^Ep{}OU zo~6fGgniWZE3wEtIKU}ru<$suM2CZ?!hPRGmF5Qzuqj#`WHJumn7F&MXW=&IRE~wR zTFM!30wf*c+E4vBX%*r&<yC;Boqzkb0IMtMFFKtUd&<00RynfpqHI$U!`=_c%3d!o zS7@-h@$jEjU>4%~KPNDq<Nue}k8f}8PPP{Qd}>qItjt-CT}_-SJ{>EJoH;Hs_nq-N z;X2c1m$~UoBZHZ`GgUOE89dT-aH@HFynVe(>4wC%^&)#eh$@NgGn$gA`E#n0yX&6y z9kT*$WtVECz0`0CmPvilxtK$@aEgLTA5%z+fW6GpjP}I>cMGN{O7$tR91d)Xvf#SF zHPf_D$>ish1;>{&=qicXE!EiYUc<Sf&|grh(Aw+b&ESp$^Ngl^6<Metp*Zi+W@la_ znWY`A%LT4hoDz5v+#<yKzfWk%caa6VmjBsft2)c<Tj><VHOo0XZB<{SzSMLGuz9N9 zx!k4w0b~1ek+UB}lw|xRchz(SJPB?Ro6i&?@nX9B_hn&h+fqfJ9x`ba_7wQo%x9T& zU}7Za&J2kY<?7el%$`kk{d#4Q2&=F=$3<p7lRX;_JzbKl^l*Nh?44PW64$4O2y-t{ zpQ6FkA)7N{A*-~`w23|KO|=!pd6_BkQK11k-a#gfM+EGi1TgdHBm~)Mi7~yqd3594 z&eB9*%e5+w8(Rb&KNNr}{}qZiJ1oEs`Zi4?QbPP%*DCdOk2W6>v|JaYa(McQ*7X;- z?kk+p4@gaUyj|lXcZkG~GSLO=Vka%)RH+L}Is7!Vj_2i(1tHUxWH5Cq)&+ez?B7;# z;-R{;v5Z#B;|mK;J2xuv{|&S;v8q_XA3yhwf7dlWRVC|^6|VynS35V)<74%5%sSNJ z+}>nia_TUz;h&a_R_Asde$h!bCK(?uWIT+&x6rk?U1+1MRY=*23D*}ohPVH7kd<-` zta9R1Zu`M0C}1!7%CUX^k1HRT`sRxy%6XYoz6f}{(5bzhja80oOZf}`y9+OF-?GuU zdk3HDDyxzcF9Q@aE-iEnl;OH^WTCyMjFhfZhdiI|qlW=XGJK+^3NtS7v@;znc){Q2 zym;o+3GHIKa$YSJF9IGebZTm6`zy<Jr2K{dy@i3hEzLG>(9_kPtoq9Hs*svdh_F(~ z3N@oWvm6-bt>9EMDNLEb$f?OKANf$xTuzC3#j*GkKPLX+mb`du|AdFa%|FED4jky= zJM*A{Es5<v(~I{OMh#!;-t{RzcGr7VCL?*#M1J$*6CXIM&-z?1O!;!=!vRh?2L|?_ z4-Q-=J{*jUY8491!ZR8hd1eGKuoQgQ^k`zJ?}oVKqOSsyu4+MAGk$PMy12`@9-AQG zK2h<?;lLD@l?NVb*l8K<cVY`reG-`BQX3*6=gKB<lK+0N&y{^j%2903oHu<HS+IKj zAD>#)UtdJ!MZM!+GR}IW?do6Cn$fwQNA{!WLdAWTK7T$O-c|O4EAUI(dY90II@d2B zwC&VN;~TBL1aAj+T<9}$DHBoLu$ZM(meu36hJCnur%<5mQjS*|E<Wy(t32EVn;vO6 z`pU3+bT0lgMW%3ypi-X_%aP!wiV7|PKL4MZCqGVE!GDs+U$g3=hKsU}Oe)7`4O^#4 z{(@4KHeP~{f;*Z#yqM-2iG(crF0ydJBp6)1{0GZa2iv9UD^y-;ItIxs<;dt<F2E@G zaf;#sxp_(~DTjlb8WJkFE_iUebN<@+QDlMq>E(a^IM}KFTKq#~zWkx(e|i|?QZ?Rd zIu-kKCRK9<+zhVUGf(JfRhR#j;HpI`zW$oC9%;Jx*rcxLSkCeD!xROXc}ymUgR4B^ zb~tb;D_ishnM{f_35qmfiZt02VUiSKA{1eADBPsT-9*XV<dU07lbeZ=o5>?rlS!^7 zPOc`OOiUJ;s0baMz#;6c<j&K{VW@KH@B|J%XC)Jk&OHh$o0=w^SUTavk_jD)CwMHH zz_D<`iThn0_fo@LUg>RCVk)00I&tgiH61fz(_B^F7-=iTOpg@Yx$8_<$CcDDmsxt7 zm6}RsPMx5xw^?Y>k<>7!t{rE*I0U9ga^8Gsq^)Qclcws@c6v?AmV%j61*E4(a&Fvn z#>?YLYM7IR{$`<~ikVXd4xV1q@+CG+mF2yWwqo)0NY29DXS_UarG`13(AzBZsZ?^l zfbRSWTJr@o=1)+azg)!byjsmXk2O4nGZo~Uia1s&a<nOkH5oK(G|M%LG;%dEKw9n% z^Ku-{Z=S#W#`(>!pLc%!Jm*)X)nA**bqjt(DrLEtbZGEjlwM@)65DaF<JyObUoyWq zev$n0@$<>g3qLbf2LJBiS-9!^2@a;;Jv<B6o<H$p<FB5cj4SCWKQ=TN)E;Y3?K*!# zqUe#4QOl$qJv|Caddkc%*k$#Um1jLRGO9`1(bF?eDCJ8*LL0l410&}dj)Tl58U~Gg zGX$8J!U|&Cj`=@}PI1w`uXLFGlde&f*nJ`0KY~5qe%id(m3?u5hi^f{Ay$n!2F=WB zEe#AJySEEWj6J%%skXY|+*ekuotHwpmi!R1{3sgqNIK<+xJSqP^Zoqy=gG_NbG_Q8 zA5hZv-oN&tv~1HJt_kM@N`KW>*Q}cSRy+BG<`W*Sm%ltG7QfVTP<4%Y+TOOB$5ng( z#YxW|gjQwU31<_y?Vs{z&R-X|kT>c^HM{DR7B6R6GhZa|Z;Hk%btgsN<@0%b3s(pT zx3h^BYE0m7|97V#!=%l*_L8U$Kd+a1@UblhU%lT4?J&_5_1+$|+C(eW>Px!D6XmY? z0=%^vg)c5#I4;o6#asKQAmhRXc0Ol$W1iYA245ytW?a0${&b;>vwUwmA8)OMoR-O# zkL4gW_b#~Kxo~Ttol;6WUoB_u-y`ZAuhm^b?IkDu>I^t>{IY*dlieh~x13dvE-VmG zs|!jwve3mr#%jsog-)h2QeO@&bTH@pyV1skt8zvEqlLB(E$w1oWxZ0$UtGAo&?&2( zZKf=jO4*D4>k9+dI@hk_d;4>t)fSCc0gIM7x2`G4xFFKb<XHHEU%1_^-{OjUhjaBJ zTbZbq!wX$3`DAB33{aHd<IQ>)ut1dW?@1ezsK){Ff~TAtrRw<>H`&$MM>cRODk!A9 zS2s48z{so8z{pjyfZ14S0wZ6>0T$*RckZ^bM||B-eS$|+<3Xdag@H4(qz2>eE>@!h zjQj}-%z_e;FDERjN~vsMl8|U*7Foc!=~7^%^^zT3>NzW9ePdi&LOT0v)|9*mu%58v z!~{Vn7Zy&&FO7UX423Vwvs)QFI=oPQ!GgnqYza-QN*5S~r2Co}`AZs@RZL}4nS>TF zu*dxr;ym+!;Ypy2LIalo<JlJttU(tTPCg1u`6FTH>Sppm)2QahJf+3UTXrx1bHY}2 z*EeS|*3U1iy81aq3>@A3Ipq#Guy}2oC$x1}Cretv0Ty{)t3`Q-7`X}_FiIKgJcwa* z2z{vREGO{DVFFu&LBR`tE@Pd9MrYp{icDM)4_LS=8ki&&IK(nK%>6ipFF}D>?t=rD zfWt#=h84UPo&LL+8mtaE+e~m`GjL!OHgaGT39?X3VP&}bOiS;<BQ2Q?4V;1&2UA!X zwjRCCpuRw%Sd7hJA(L1K1B*|`0Zy$jhJeI%MNRB}4hxypTo_t~L86-43@h}~CpxmJ zY>{zk=4W~!$`B&P{?UOQWQBzUV>9E72?yDg5*#)$HS8*S(cj+}(9bu~-(TdJ`mV>! zpBow36r9+UGZGpE_%<*x-q-zjtcA(r8`nf0{t13&6PcGWC>1cVq%HU$y!C*g!$Bqy z4@M@1j6)5Aj?GL5M7|yn_|@q6gju-vP|JfBrUMHMlo@pv$<?|T-2Cx|!L@pk?@XQr z1x;Kc3JZ5Cv9KIw=V<Ha?+FM|zqMFc<Zb7?8K6~v8j>$p>~dgXIS_Dwoh87*+~h+W z)4dD(rC*gh|IX96&wF?G!YXr<_ic~vUf3<2Rqp&cPvb7{+}#U{%uU|49lCpAyY#Cv z=i7N2w|QfCFU&GGdE2(=?uE_LS!K?r^E7Vq%I;p6WNz}Nt?BNC_0q3Oop<MHT<5*J zYhjeR$?LYHyBAhVXO%jy&eOQcJ9pQ@Aaj#fZBBPDESG*&;ygP~<1%mTu7zIaCNJBR z?y3jhQ4hMK9(YGR;EuZg9d*Au>b`f>eeS4x-%<CvqwaY}-Q$kByTr$k<Lz>w1tiO@ zEFTm!^IFb(b*13-g@V^-3SOTmczvYc^?`!ddkS9fD0sc4;PrzYr4c(y!*-PB-LW#u zn|mgj{qwb*drGtJSWVmR_jIju<!c`=Hzzy0tA#l!F(EapCU;b1MEnS8F9<LY_^|Ta z{CN5M_kv|1^Q2a*oz0gkFBkqE!v5}B<?hlud2_c#x4*p>xx4gA-rOzG>~F7G?k+u( zH+NHX`<rW$yGxJc&D{{q{^r`xOCPI#DZQ<0EZc9fJATGp{ZsG6Q@(d^{M~xvuhN@3 z#<KlCcEwlR)!+3_Jm!1%+TX3${wlq$Yb@QrV^@5{UHw(>#6!M!ul(J5<*(AKI>yrd z6}#ds?&{BaC+_pTd+G1iOMjJK)-{&g_9?vWQFz;>@U}zYZJWZ|7KOJ>3U3<}-qtC+ ztx<SerSP^w;cc11+Y*JhMG9{V6yD}3yv<Q~o2BqJL*eZ|1-E|`-2PH<`$NI)HwCv} z6x@DNaQi{Q?K=gxZxq~qvLiQZM{dTB+_W9JDLZnLcH}1P$c@{P8?z%fYDaFt9W$Lf zX4-em%=4tBxBEWJZLYi>bI;5)Px`cUYgX{jDQ%sz(>iCnb<S4noXyrb`&q~AV;!@X zb<A$-n4Q)!+pS}^TE}d*j@i%JXCG^yy{vt9Tl?&^_StUjv(?&Xv$fBD);9ZC+ibPt zzQKomoe%pOGxzfN*WSyM-+p`f+i!`xYp><WZ@sPl_M7AG+H-mGn{O|F^G$Jg?Xf)h zjknd`d}G{QyDv|E{q5zizdhVlyDd+C?QQkf-wy7oU6&`n`u6fy-xltwU6v=m^0xY` zZ;iWZ=jF*SzrFnBx5Qnw)AHn(-d2D4&2d-tvK`e+c2qCgQN3VC^}HR`b9PkE+EG1Y zNA<KF)l+s<PufvEVMle}j_RHr)m=NPJ9bpJ?Wk_qQQfqox?x9k-Hz&-9o1Dksw;L> zm+h!7*->4zqq<;6b>5EZoE_CgcWg~B7&P-3+PrOi_^$HdyTXU>G9SJ>SonQI!S^)< z-|xKG_;JgMk9tXay2air9o?<*QO{{lH{W}u!@E~}&{Nve&GugD;BJi%dQ5w||GiV% zzk9`dy+^ydzr9o1yIbSE-l5&y@7^ix-o4_T-lE;z&)zBR+^z9WuW5Jpy?096cdvM> zm$bY4+B>DKyEWeGIqmK~_fBc^?iFwJly-L?d#AK<x5gVirrq89-YKo$z2ddrqg~zG z-YKo!t?^p#(5~)v@03>WUhztA(XQ@g@03>V)_A4Yw5xmGJEi5jSG?3q+SNVnozl|X z8ZY&nc6Bb>(Ya(t=b{~*3wCtQ+tE2^N9U{^oilcHPTSErWk=_v9i0<)boTA&?9ou- zP?*Q|_klCN&6^J<9_=y(I}WxjceKn}kjN%EkL@VKVQ#%GA51uo%N{u-yXBB<$z#4v zh3u*K9A}hYcq$w6m~YdbX07)Mr>rwR@lD#(tfVL}D?OjhP)|!u$&S~`L`OxYMoLA3 z<Hz&wCM){${q^5x3f!9;D|`3y0?>Tb_T`RwxjETc{>_&zoI7)B9^1s;PS)1DoO|25 zsx97M+~Z!=-(UShPVW1Q9qxPGPuhCCGc4ktSlx2xaV7tR>OW89)xW=pm|Q>O&f>=% zyAOBlUfi*}xnp;7$8P72-O3%inLBnrZr^>leRp%`?&Qwh&YioJJ9jg8?pE&F&D^#7 zap&&Cox2xz?p8j2H}G(7QEI5G>DMbRugp4k`7X$ty!`f&mu8u}d}riMUV7W*rJ3h0 z-wAo5!FRNl><D!!T;1>}?BkKOhdQHOg|~C5O$$)sRGGKR;!uZDhs2|mT%h$$?DF$g zy=Y_?wZ7xoR6hC6U6nF(&%3@(<&)3cRVgy}yyMGMK6%ewl{|CL+rEd&Ca<}xl4b6B z%eSd)@|?RWY380ceVxiC_uN%UGWWdU%TzYG=B`Sdx#xA?L#2~*?y5wYdtUQxDxDm2 zS0&8c^Qx~?>13a~DnaI+SA3aDC)?ar@iX_l?0cwWvd&!<FLTdJzD*_lK85`rh5ast z{SJlwHii8bh5aUl{RV~oI)(ijh5agp{R)NsGKKvTh5aIh{Q`ykJca!nh5amr{S1Zu ze+v446!d>7=>JgA|E8e-MM3|Qg8l~u{dWrbZxr-D+2NkG!#!h%d)f~76p2npJ-&Bu zGdrTCZ_m1Qc>-wZ*rw%*DalER3I5KYrDNOXwPmCx#zxxmu3R*0QdgA})7K|gL=Js1 zNzruCRMBL~>{{-x30bXcHygBeY*NK9mq#4RT?&t07IT0Cw|%)kbg`~oF=+KzkxlJ2 zhr>KZM?QSv1SQ#q$C)gzCbNo#?U>kE8M$~;QIOE5iyJ?F`1gQyzE$UKk2`jcwh3<U z-r;-XtzsT`p>@Y?hdcisZsXrxz2ofRx3YP!3#{93Tin_Aa2xOT;ydden(=N=-=TZ> ztwi48g5RyT_3q?7H0!&qb0_ZMx8B=kdFl6#?WwKYeJuC%?Wx-}^ZoBl+ap^k{ps7| z+~c=<w@c>h-wWF_w?g{kw}-ihZ?|se%;&!+wnw%?`op*Tx%+R|ZvUD0{_eHibIYaQ zf4iHz`*!K}mwD&!PTMV8F8%J??cD9RbGP5jTYopK_|}Yw8^MwrKr5e@8%1yLxu!e0 z^mM{qqwwuLS9OC+PY2vJ3f|sxMOV1=w8LE^|Lr}ObuX8kHn?l#y}jp>?qro_lU9*H zo8HDpoa(a@9%Y^p@=|F$BIuvovm?1{M{>uG<hC8jEjyB%b|g3KNUqzFT(cv&YDaR# zj^wf($t63Ii*_Uz>`2brk({$5x#*6e=^aCpJBG%042|v>8s0H9xMQe)$58K%q3#_+ zi+2)Y?`DX;n;~7^(`&8vx$oefWWDz@q{@4q$_7^+54dMIxxD9ztZ?OVhhsK&r5pFe zYUPWcx}Ex|Y~r3+rF`)dx1WA2E8G(+l`nqmcIwBnz&)`-`Qk@zKmAZ<xF?n?U;NPR z)DLBXdt#aL#Sh$m`o8RA@yp_e#W#yDR==9`yy<z-^Q7lN-><ZuOFid$PW2q?yOpPB zchB~o%{}|{tzdD}=_cYP(~ZS9FV{=eOVLZ#OVUg9k3A5xFJ@27u9zM3cIE8M*qO33 zVP}kO>Bgd!MGK2&7EP>rCG?c(>8B@;o?QBJrS)X$N!OFACs|)+o)!smVLU4AXJKZS znivz}<5F{~C?mqd;>Q$M1A!0c-)nly-=7zEFIa4k^=_+O%XNy23Jdc6BkzFLhR@ry zWZ~SIQ*BcfrG>eft51D;diChmuTxyLxx1fs9et(gEy^u=TBKXV`%18w#x!*?^=WEi z%a-eS>v-vS>Uad(#7<P=(wJB9=%tsikIq6DA^-3R5td0UyONH~%|5c%^^W(Ez0P;E zkL-24!+m70{hil`_uAe$eR!|+oz;i;THfhCyx06r_Tjyj@76wylX^GzVVvZ<-iL7# z?`j{$iNDKz7$@_6Q^9+#@Ar0GKel(~_oRE(mHEBZy6@NSnf~eC$!gX2y)h@2tGnJ& zce$hPd`I2sj=JL=b%#6Z_IK3n?x@?|QMb9HZhc4H>W;eQ9d(O4>gIRU&F-jMzMCxd zPFU*QWXX5JlJ6!<yc3pqH(C6hu=u;lV()}yzH@SaKgsp|Wbf}I$7&~4&QG|fKK;9A z@9!g@>{jma*LXkq<ZqWxcAb0t72Z!i{`<&ByUacQ67MG;{q6G6&U25y!28LEe;@f^ zr@6<U<Nf4=zg<4qaqjVFct3gn?<4Q+Uhek)@ow_o-!AX%PVV;q@NV+%-$&lrt=#SZ z;@#w(zg^zhb?)|m@NV+<-$&lsW$yOB@ow_g-!5<MJa_wFcsF_T?;~%PyjkgYeeL1W z*Ex5sqPF{8TiaavI_9ob*ml3GYn@A9``on(+U|E{EpzE>o4Zzi+x;%DJzVly=dP94 zcE3w&n@gTU*L<14)_hrdLe_kpReW?#^3gfLN9Q;nonw4-?&rgEA0M83`S9Gshv#lS zJa_Toxswmi9ej9h=fiUwAD%lI$jobe;)98Ln>Blz_48Ki<E_@qTdmoT&pmu-?&d>t ziyxocSol2Up5=`4b5G|6KR&l{&5}7&YGw(~WS;qX#^V{6f0(ozrW?8&s(<v8K6N|o z)3%9wa+UI>Puza?aa-Y@T&aBNW4F^jZVTL#E0iyN<o2@<+YI;Qa^*`Ox}El6o8X>Y zrhMrGx1YV=_HlRauRQ5}x6|HlySO{|Q=T*=jhhv3@4b^NTz>n&-kn=F+HQ>u_I9?e z&Ps+XY*piVb9(jbmAu!dg^67Yo~^xFYt_o-W<h3w0cQSYe*U?$@}!sDPJ6j6a98f6 zJn1F7)4F!Mb?sK`+RfIr`&sAiW1YK~bxNttyL6=B@_~ZOdkQY^D7d_(;PQhVnGriO z!**om-7zuCn{{S3+vjCF_he?>F_~uW`_$LD^0Lo8lS$^jPkfmxFWcNR=`;6z?0dN4 zvd%q|E_2^UzReYvW$u}@nfpHUb*{L~bI+v7-1mVmbH(L9cTMWdeee4oF2DTdu1S@- z?>*n<^2>Mbnv|LQ-t~1ZzkKGdNs+nl9be}1%X{vc<eB^4_B~v7dCgsuEOXymzRhKq z=iD_(Gxxpe>s)rZ=dMYTx$g~M=CaE*cTM8VeXsi-F1?&{*Cfi^_nL2W>E)QaCSm5j zSACsJFZ<jz2{QK;xpH~lnceK4-|gH}opr}{TKSb@vS)je;;wA~MQTq=O$n$c1zNVI zqXJrO`sefOYN@BY7gv{uyzhH<H*k-2Rk{1ycNuqOm+oF%TpseS@7UeI9oE0f-0!~2 zxGkHydvSJo$lJbUcLTRrSCzS+eV1`lR(1E{<noX=eQkFGH(38Fb>I6g<GSqAU5lg3 zLtgi#-3?r0T~+G7_FcwR*`>P{2bYJu>T|mrxWf8ZiTm7l8NpLtY6=RPUlcH(C}18a zU@j<Nev#jNBENYczqug4`9(hSiG1dPeCC3D<`;R*C-RyH_RU_=HoK#3c1GK5kG9zw zZL>MrX1{2ieWG>tiq_d2$9<0+^4)UCx8$+frb6M=doDA~FFy4Rd91c+Pp4MC(y7@Q zpVTJp=~T*BIx+jjN426ool^Nq$7W}IR14bEDU`2tWcG^>YDRlHx$>0`&Cd9sCbXxM zDPQTp>=*CVKJD)Om8Z0CcE)?POS?Ni<tgo%{o<Y4rrn*d@|1SX&UmLbX?N$NJf$78 zU%XW-+TD38PifoijJIk*yE`xCDQ%hk;*FZo?#@#kCl1VGtF0_8WX-kZy~K5j>k!v2 zu1!@^A)+p#CZZ~$B4157v|ebQ&|IO}@iOy5(1f6XAc0FF{*BNzs$5sVYgCT}D}WZr zb12PY;#G7JaQO2<<OH<m?Evk0GhKx=azr@V#A7lTj|VG=h3!aG{;VnRjJKqc`Ra~F zo%{u-q&td0i;*=iEKXJycsw^=)auEH5LUkJUDA1?W_PrT?r3H02)$6Sdd9;r#lvfr z+M-!`ws(o=iR#_airNwSU`ObNg4GcZ!wMgVB|Z)VwVw`uTG{1w%Jbxj<ys2zveJ_C zS4D*QxY*RJI<#RzLBNNVT@DBA_wQS-^&?NTdOO$NXxDpdncjyLmapEhJM_w3trvNs z#oM`dM!Viw%k(bnW7+D0-Jx6VYTd{a&EC!h*;$}<>cp`lhvuzf2>!VAkhkk}u64_` zwuKxh=-*S&zoVdkOF{nwiNwT|)``-}X7l<|`V#tL`mRW9tg#BRbh0$ERI(KMS;A8E zr0_`LlERjcFHbz`c;xYj<I#zSmjw?`JS2E%;z7ZK%at3I8<gvn>y&H#-G8`!bNk}< z$?e0uzB|1)dav|e=sjaAJ5jn*x>34Py0F^nlG!1%O=gSCCVeYm$$gS@BxgxZ%bS-c zZgkx6xWRGb#P!R9>nE-gTsLv8;M(QNk;)Ou;mTpkq5keG+?Kg5aa-iJz-`_<ZJT_X zTpQ#0c82q(pO^AKo&NN)`qSc1nm>DLoa}1t>}2Qn`X77#=<@PM#veO>*wjeb)r#41 z&TsZVnEv3h`h(B!Uw(g6{mHiWo$c3omHvC4-@Uy2?&f!%-{(}HvaLO4`*2>q|Mv9T zm(_0<zg_vwr+SfX?L6DF^HTjcJ-=~z`3>VYoZtRbRoT{-+3uVd?Y}nt+GX`?pI>Eu zT~ifgTkB`LbY7tUiszRvFTcF`<;gEGRa&-;@4w%@zk7YT{QG@(|L*vm`~B*><#*k8 z$Cu52^Y2FOhTrku_r5E?dwy4c>HJr9S8A8swmkZF%A+#3LhFS)=KA09Q_o8m-afhW z_Rb@3Lmri>6<SZ+G1vW$pK@Nh;P%NKw=Ivn6?s&~R%qS0W3K%jKl!|L{_T_7Z|^+( z_KITra<|>JWw+my-OSx|J9+!jy!N|nyZ@HneqDAwcirvi?OXHG?;hJ#TYCFd+11=t zw}ZDY&2zuow(D=n?U!YjbC=!r-aa)?{jS?C+hsd!m+Y`zw8M764%>M<Z0GE-owdVu z#tz$QJ8Y-yu${EScES$Zz8$tbJ8Zjl*mmr&ZQEhnvctA%hi$_S+qxaLH9KspcGy<z zur1qRTe8EpXoqdV4%@sPwmCa&i|)vo-jOr8BWHX^&ghPu;T<`HJ97GW<n->y>E4mE z$m18w>le-Im)_pqdt3SQw*z}@_450rwzofh8(8^xiD6&4&tuuc6~}e%8FrQXJd$m$ zI4*O~u&vzZp{#Soah`jIP31lhWSJ|D|G8^eSMGCP_Hg;}H+K!I%6;z1HkTj2bJwt} z+~=;WbNTTzcMXfmeeTFImmlAA*D$Z#=eF$Ovg2#+8fKOI+>&iBJ3i;GVOqJ*O<Cu% z<2`o`lgfQ=$TF85ueoa&SMGCN_HgO(oV$im<v!PBn@f+!+%*g<_qi(TENZMODlE$U z)#TaBzQSF}v))N8vrc>27q}~V(mRPI(rI1NZe7x9UD9k_($6}jk9A5f>y&QmluqlE zcI%W@>y&2elzzq`p&T_UBXLn`r<m%zZ7LU=Tuuw>x@{5pxTUpYnqpK-2InHyj^(=c zTYg-)<jl>lYi*NzaiMCvm`qe&#>R)4-hI=gqB1gOE_7{Mu4}mEz=cmv-Q2pmHn|5c z9&$R(rE4CQW0QL_=U~pxoRt@oer{R1sdZE8Cf7}>pE9{qPA7LKdna>0zC7)y*wJZ6 z#Ewimyj-_Uw^g@Aw^_HzKbk3;A^Km`pQvB+wtd+8X6uWsPqsd=&E1*3F?(h9!t9yV zx0J36U1z%f>Dr@fm%>;C{lnV?lsFXXDt0{r4UQ~0e0eH(a74yG96UIZRI!T*($jp& z#jSm3!^EE@te-cvr9QnZdJ4RvT)V%ox2M}bTtrxqpLhN`5g|S<HoI8HKc8PeuHLn2 z`SkYk?<Ggq_2v1!d+8-}XLj`M%c774<=W|K+NtI59`Eh@Zg%f<#rsElyS}U4>#lhJ zaBtgpv3uSX?;q@K`p$NbyW;)*y>;Ke-926Y{@&iI@6Yabm%qQex9t11yWZvR@9Zu5 ze(WxH`TN^@^S*Dpd%EoXt-V>_m)-3ydw+9p+V^R9z02O;*qijd?JjrO`|Eq-zL(uS zUHbmo-l*?sce_j9U)>w_J?yS`>H8~tgTA}n<t}}Hd9UAhv%9BD-e1zny`<#(F~qe? zV?o8C&gELZ1{+(1JZ(Z9A9XBOPx*fGMy*oW{Fb}w3Exj%uYFWHzvQlZ%=eSmY8REx zPr0ie@%`l0+N9F?A$N_NzxO<_6|OkXaL>5@d(VB_%jM@k+%>MQs;nsYPk#g2B{T2b zk%N18Znd487#-|A+p*fJ*bLN){8r-jW*+D6^aJl^uCG3}x;xwZ^-G^?w#}vIW9}M< zg@yzN`KK>>C%K~f*~@u_yV7T+%}AS;HYIJ+ymJb7je_qO1>G?UbokgJ>}xYM(J77d z$a2ee%Qnjve_ONk51D-r<_cFlXSiosU*30r?&b35AMRRKm-pS9JGuP%gS(dH<$ZVO z2A4m-aM!Z9yzkCj;qvDP?po%T_uZa*x$OCdyO!DIeYfULE_=S<u4Q_8-_5zfWzQ$v zwM;JWyD?X|?0Lgo%lPuX>vJ!cJ}<ay8C~9YZSLgK=LvT$!^``w&J8Yo9&pz(xV-Pm zT;bB^4tFj6%lj_Ry<GC#;I5^2dEceElS`f}+_eb4V-a-6BJhqyz#R+!I~G27EWGbn zc-^t^ykp^U$HM)Nh1(qq*E<$2cPyOmSUBCWaJ*xYc~+>rSE$@qsGL`*{H$PkuVA^a zU^%Z~`B{PTUV(C7fpT7f^0WNqz5M0A{N=p-<!AZId-=+J`O10u%Fpta_wtte_U&HQ zw!5uucUs$Sx3=ACZM)gpc0X&~eXMo&vew;f$72s4irsuDHu>?olY6oa-p@L{d)cwr z<jU(I_e`ghpM4UmTzTE)p6P`0vyWpRS6nx_XWCPK_EGHOit8%(OgqZYK8#JSxGr+f zw59y)gIMK?>n!(78_Lh#k9}Nz{mWg`n)0*vVi%WRe{$EfqWtXL*yQr-SMHjYl%I|1 zjq#1)jX8V!vefoj+oZP5+G?FSFHdUu>}4;PCGN_cmM67zw%W^Oj=Q3L3b#*qlqY!f zE>qX;qr&Cf>hHvS@956h5v^0WJ>XH^#3Of^I(HuxEaz5!C+2!bw_`^%PvLffM|pxr z?lN`kKFVLtE&oo;_Kt4Fj_5Z9+b=xKn|SyxQ~U0tyye`|@5C(MiJ9Kf&DarrreOPo zhk1gB?=rRRKFVFrE&fhS_l|DC9o>i>(Q68}?<m~9p>X?&J<$pGboJhgnZ6U7R^EMT zH|x{8NuTl*E4O#-iFUZBEB9Wkue|%%Zq~<llRoAxtk~|cCtBg2F5i2xw({;nyICLJ zP5O|hSh1ah1=QZZ)wKTTy~{5y$%gLgpRl99Z%2R6j{dG4{T(~{+jjJ~?C5XW(ciG6 zzs};*!ggi3zOss{C5LOL9IkCSTw8LuHsx^bl*e|f9@;H>XgBMj-K2+hT@US=9@<qs zv@3dOm-W!@P@(+B0{OKC@^^Ri=iG6h`Caf?ZAqp4)gAph?-!r?-SNq8)}DTq_lr;b z=J;e+wWnX^{o-T4J3iV)?dcbJzxc>+j*oU$d-{3aFFy3U<Aa^lo_?11ix2$f_+a;I zcmJPvi}(HRcyD)WcmJ1ni}(EIcyG6BcmJDri+BC*cxN|jcmI=ji+B9ycxP9&yZ_F+ z#oK;&ytRw64yyJtDHHCz>yl_Lc*FNgsc_|8msoSb>%Ln`g){HEM4Ag;^DQYAj=bv< zYA$%y*Q8X~^R7#vx!@JwFD1g3cU^qV1uy$<DG}DZ>*8rHc*)k}sIAITTalx-EJtm> z9I<_J#P-S&+apJ8w;Zuua>RDZ5!;p{wk1bwQ;yh%9I<sdVrz25R^^DT$PrtXBeq`- z+desLd*!h0k;ArI4%;p{Y&+$!ZOdWXlEbzshi#`kmRt2uZqY-zSr6qVJ(TNuDA)8* zuIiy&(L=echjNDs`8O8uuPxxeyQ4klj`Pg#0?%wqD*3POXxDka@KkljC%IXB+Ev~! zJW<V2^rG;i=7c1rM++YYFK{~Kc<{h-hlcvP+8X~x$o3?rn^!NMJ!#9@)m&YiUCni5 z+p=kG-$Y)VS<{pAMsq@h#Et3KgBO5~9$2&7AtF31G{nDg*^)&I=FMZWG-Who)MeDP zW%Ximn&s4`G4CI^bTs(*C7n}slEb5p<@RnOjz`W5l&?CmD>UJ**19~=u<c!|qFJx5 zO?nlkSh~7nSE$2Xt$BH(e%rg2MYCRBoAfelVaaNbU7-qhOS^V^6<*hP$8|Jz{*Kkc z<++d6`P?b(+^to3UFBWZk=Xtns|Cw-AFXq_Q`)hctMIzQJFX+K^*dJcm%n|u&gM>O z`|ei-*Ja*y9gfZ4v6{F1Y~gjOcV35M(|4@qDerx_&fw11*4?`bu8Y0vdc02OPHF4z zdplP5?oPWW`gz^-^0kHGr+0_loBC<p<npO|vY*5%zW-Gbetfsty{RA9b(e?k$$k_o z_`a$l{BV@TBa=%ehfFqoDRIeE$z<_N;S$uG*X5$f)Otc#$*;rYV@M0<L{*n50-jDR zoy(QnJ0ui?S~vuioNYt}om4mk6<q2BK0aLO!LMY~@kU`$vj?BQYk|N{$0KYLWt2>9 zL>D@yun9`KBndP=TzP_9Nv~szLX&e#>vARSjynPy6(?yn{S0{$w4|+LsmD@|r@<3F z1wAKv2zpFBzFetKsaL5-savVb-&M#}z?ILH$CYb-mp~U!7e^OM7lWPXPvMWkFNGfp z-xR)Bt<>nlsKn|a;_tYCp_1tc=L9u}85LZcSUQ9hJR1}ki;e^fC_8j9C^DsR2q?~L zVqs)rIl-@B*YM?oNDKP}S%(salZ-6w%N5KUZZJ$@Ucx3IHLvLmgA%g`kAgwNo)02N zSSN@%6f|sL*mNj(g0zB}gOQ_wqu%_cD-0W1R<bN)nOVc7#3{td#QBNi(GQU&f-Qn6 zf-Zt8A2m6YPAGOLdMI){44&X9;5flSz+uAv<qCBQwF)%~)e2Sqj-MPpIJ|Rs<M7Ji z#XPIR9dpg^_(|ub_uY;>{C3I1vU3I2sXOKx-tm*jd(OT6a_jAzhu$73w2s^{SNqO6 z>Ft+~y*;yM?u_l9Kb2kEF?ZVb&7aDG?wvb%TeH$yIbZ$B+nPOd`?hcXSY~wZ+|k=B zE3Ad{)gQgJ*)z9o`{oa2Lif%cyscSb&780P;O(E?bL+NmeqZ+K?zz3USC(6U&Qrhl zcF*p)W!pEuE4y^}+|JvY<<^h$)bG5l**!OJ`{uW0o7S#ey3n?C-pr{Jdu>a*EDz5~ zIH$k-dT{#nw@-G>jou#ox-897J)i&NZRJnj8ur-A<?|oEz4+s|ggv%m`TR$3D}Vgv zu*a4!pa1af#UH*Y?6GCb=RbH``NKDcJ+}Yy`1jvl{Qld6-L~KI`1jsce*f*jZrgWx z{JU?fwB2TDyZxl~_L0`xOImNU9M3&;D0kDL+#<+EvdNH*WWJD%WV1|+X6ek*n5AN8 z>S$sK+Dw*ZR56?5o?7E}&Ih@lo?kz{{reS{_hu(|`+mrq3>vN8xm>MiyXT!;rt;Z) zX6~?U)qK>Z_^3_tQJdhSHqJ+FjE~xWK5YB=u<hl;wucYfZa!?g_^|Ed!?uGD+jc%| z+xW2UWZ~f}1&1#b96nQU_(Z|sBL#;K6dc}DaCk?-;VlJ+AM8ks*pV2vBQfuefmz;+ zGtz9I+jj0r%(`PR&D`fHuXE*LpL+(A%zd8lGFKk9xo6O4?(>-UaK&Mrdj?(RK96{t zD-O%tGiWpSdC2QrahT_xL6f=9177Be!+-7?)S3I-=RI70_|08|Ds!KEyv^l@@7y&g zGxxd6>s)^L%w2;bbDulB%;kso+%?EE_qok`xa{zny9Qb2KDT(A%MQ=EYmjD;nv<QC z=^uRow83-Uww}(`##-ClkFOrxx>R**Qfp~y=+`Z+SzcOPuQE?h4i4rHK7Hx3*wSfB z#Fl6-sW=55m|xVnTxUjvQ>V&=ic{eEtE7(QIxQSb9r865rxvlxm0eJ5U#<h1bCof* zNp%EGU@;sH7SrDW+7CEyQ$*uYUNN(XluZXx3O&ufmALBgygB{)rI+~iX<^qci>?6; zoi5i74hjtL_Ya>lYev|#uqpG_sjOA-Rdf~c4~LfFB}bvtJmC_7;QhKKuF!Kq!aqPZ zB$gcIHG8t6v2D3_+5|;5iMWbghgu;!bw$l{Qj+5AVgnCvnq;J_tLuOJ5(q5XqN@x7 zGp&t`b}CP^Oo)%2{rKqCsaq|hBEmywC%c-8ZnX>wu=Ka|o&EU8l+9BnZ?W{U^e{J? z-pYH%`*dpH;z_Jem-2F-Of@|DXydY;-qzDhf<{Jy7Yiay)Rg4(x=(O@Do=OcuJi3x z<c*ChqQ&Y(mz;mE@1Ng)vhC>YO&eA#<fbR&<R*$oi)DV*IT6vL+ifP6rE@J}!^Vu{ z#AA<oSf#p6#8P#3Ok<Vg7SoB?a3TssCLC<airkQJu!*&MdFsO>N}idWtij8ArFAA` zX*F@~RGMzOWO1KhyO4WPq1tO>p-on{GUCrS78Vvthzg3Uf80<|$ivCbEbcCFA;0k7 zuWw@Q{`~ocpWeMGcp<ht&rk1NZsCJFw{Bb!OV{OnyC$db%!y+KM+y#zslQpBUAX7f z&Mji;+OM+;H@p%)&efh(xT;{;A~AQaQ<;VHW=#`o=XB>}&n%pjcjLs7JzHX?Nll$H zdD4W_LO);J*s(*Wr>nF5bkm8X6HYsHTE8|oHJlcTm|s_0b9HC<T%F3(i^As@mX;Ks zRtle=Q;?r``cl~Z^z5w6(@kOXb&_<_bW(LvP8)^I*NN3h)Jf2ZKm90lestvNMWOS3 zLaz3$4%o70<Bya(vrqnVKYncQO2^2|NM@s;qD{;C+o~T&IR9f{{{7)wTmFO%0tOrO z^YedjaR2`C<(t}u{`~xWE>?C<?!O=<FTS;{Z#%Pf=E<{jZ7+U%w$|?IrEh6`dVYC* zYtOD-mVfo<#<02ON!5$Pobz_&9m+e9x9{7r?riPs{4E>St@?KCRoknyS87@LOY#<E z{VKV<#oX_!xsSP5_2!WI=AP!?*jC!*CcN`{w)E+`D=U^vxij@-mH5O-6DRC`w9#(k z#KOXGjcdY6a#HWQ4*lBic<?|hW5jw;d8YmC)$30m-j%h++REIWb7x)0>iFv7?AxL_ zU(>QOGj=~(W4ER!Cnvn)YH?$2<=d`xzgFw6T@%|Fs-GI_x>bAGtJ#Yd%qwdR{>!yQ z)JJ<-n8w>K73t~bIVpM^X@7-NiX3%vlasfafk9w)G6)>rV&?sIrkSUihk03UOjuIf zwHsUKv@Dr3<xX!&OifA7om3x_5FeL2wkkY2Dl&IO|2$^?^ZEAM%e!x1K7IS>>dBi| zFTOpuIlH^L`gUowb8__UkX-Y#Id9Ia$(gQm##@Ic=X<wy_U=nhZ(W_#)pTpt@?W!- z%vvV3Y}V4Xfv2`CELzNVa?>%@G~ZAy$?(=qZ7N!NVp4K^zt(moEPAx);ll^_S8-_R z@o_P&JN0lu!h=;hN@`kqLLeot)^;V_lZlO$x&84|$gMZ;K74xe?8U1`7s6QAHO*<w zoH;jlZg%LgSD|}Cc7;9lY4us^bJXXl537%=kE>6r&r|QCS)qHhJgx@2&*r+c%7Aqp z_W?WG{dW6GH@1gQZ!h1j_vYDy7teAYJLSb5xw^c2>vrGR9j!NZWJHVSe4SagP4B|7 zQ)iA`W1T5^^TsX>?%z@33DL1}QLmd$cV}nEmZa`HP?We;Z{3m=s}@~58gg~Ym0b%~ zDd{bUu~^%^{8vcQs+HYKN;f`Pbn@sV?xm#%pD<}Yb@O_*?8XZJ)2C-G3(5296*)6S zN^{!mqDWUY^H!sxU=?|D)=h=MGOvwO3xg#@d4&bdpBgMRP&H^ZNG%9vc`dxb%J$!? z&4>H*gMYocob0c!s{b@E_`@sfH>)P=S*dSz4D@&O_VblKBC;e!SwoW}GczwmkN51; zOIKFBU$JCL%&ZfyzEtGINVy+-^~NJc%2n#ftST8XF1@n}38iI!ba@kMe|`NJn%*8) z`bgI|uJ+Q6s~68+-J+bA`Q(-GyS2;ma;~o2W|Ezmk)CFf;vF0|Kl!R=*j$qYZ{crG zL+8rI#$3I*aq3o+D3b`2Fz@2f`EsFGZ#ss~l?yTnH1YRl4xR63;%nk#8XL6AkAKNh z&s~X&t*nh!Z)V<nY*Eajh_Ik*SCWG^Ez_9L{U~B?Z+B<+qKNq&?HX+wt){9XF;fm6 zusg8d_2X*)eS2TITy#DdJ!Q|YEvub7qeXU#Wr5t3a(hvZj}Fh7?C6@(!ratcZrwGj zSLG&NZCd4(+r3gO;I`7Lqru%v#r$+<ZDS4QR+ZMB6s4g1h0R1bi}PqpoZc2URg+0Y z!7rXTsVYj!%ZlIHQL$pijsO?ugHDH9`PjZO{?onE&!6v~zp%2rxU7_W>Ez<>=I9sE z(Nec>IbFSQ;@larD6?;>V%q`?^jCz5Uw7L6s+iRzdtq3r`R$q8ewl39Jh!vBy16?z zIyu{Wwcm!hj;j^oBZK1NgZ)>{y}4@ps!;3CriZUS{n|Qp=~mO?(94p`=Wbl3XzXk3 zY3yU{ZM`^TzOje8*|#TdwPgv{PD@?gv`l?Ubx`E|$^DZiRx`a^apS}e_3qBrj`r## z4-N~B9qNts)pfPihnA;LZ{Mz7UMju)CFk9fcjleAdRX`NGWGn~PRfe%ve$2dPG|wG zc=WK)*l`YgxP<NAJ@%k{@@{Q#{#<!mb?-xJv$h8w@S2?wKHs-w^%=D)(Y@9;C;66S zEiMaXY4bJ7S{&pr-MXqhQ8@S3ir|vW#Xes-539}E5~wP9X6nf`{{2!{Uk2_pn)cOG zbEnbdubRx7hc!NHJl<i{`*ml;T-mP9tD40-j5@w%MtE3gaO^N@{90eDeRzBLT-i$P z#o_afDvZjsi^JW+gJ<s8KDDtpd$s26sM1AieQwQ+l)M>n<5Wi@XsRo7?U}H>KGS%n zotYZyo4P7xSy-Ewn-<rrlb&svsk2h1O_`K3A@9l-<znBQE9u8mOJ`j%*tlj+jPOYh z;S+P*j~_eQn+Tdh(zzqye8};j!+}}9a?ixe-=8OU@7R;8&*#SazJ8G<rM%s+_^Tv) z_+|6$Gq!E*O$_#SHoiRrd``}W^}URrUp-ot*>mk!&eim=(xlj{TMlb2TeU1$+BtN7 zP{7rjA4BH)8Tv?H4w*0KZRlm_Y3O0TYO`{2=#2w=)+9z+?$pvy1x1Qvxa;FZNlK3r zAFeV0PoRE@7Ok(XsjjLlU(IwLG(gLj7kUIVk-c{5S}xNq#+!|dHijCCv3+}bb?a8G z9j`V!bFa2qzh>3stk96F&qGSfTtb&~Y0O{lbf{I5=MD3pXbF3}J+^yWPwt+*d3Cge zmF0Ge9j%qw-pSF?5~e1bj5oJxO8*w(j+QXcmsqQ}uJvZq$)=S}2b*?ANoY%GNodT_ zlvvr?*;LsS*;Lq+86}}6p(<_QY2Xo^pAwZ6m$x=BUeeQYXQ<Gmj~5HGA3s`{^e7CR zk=8agT>Tk7SHafQOub=cZS>!`a~CdUMIGC!pJJM_`jlt(>zA6WTlK@g{#5!Zv{gS^ zKSDn;Dq258KU6<VKU_ap-|M$hsI!vl{K?UiBIi$?@_SOs;wNmEyn}kZ&&)~-OYcne zd^|1W+618^LdPZ@6*{WxX=9>tXVM`@#{;^Ye?C9HJ!zk<?H=8eyI0>9vbNf(JF~m` zwvdJSHr>qVVCUPDwip|4($$m}X1+aX!}?iOQwwrE!~cd|o0gFnnR_zy>Xm5$SC@xy zUYX`H)y8tFL9S=;$sk|vDXTWSa$cG?VZww7A$pu(@MIE*H$ex&?R*H~vOu_;_aWRn zx?r`PcOcv?5H9B}2)75q?Ys`*ra-uyS0P**2)Fanq@JFho{)DZK!D>(ABfkZ1L1Z& zgm76PT#ow??wz+FwI@36K)72VT#j21ZV!apaUH@<fp9slLbx^%ZpWoQ3p+I}Jvpv* z9f^w{FMjms;e##-D?2$Up>-!7ElhmaWn*M!We2Lq<$kU0NW3o_7bkn?=dUw4E|0=m z{PO$PpMS@vzrHWm^@Wzl%$ql7T<_{wx^nHzxxKY(J7(OvHACV?*PPzY*0m?3qGsKa ziUcX?xYpGXI$@2b+L|@Xtk-&k?&X+r;&N9?XGCXAXF_L6r$?txXFz92r%R{8T8@yn z7M<<9r$c=sS4=zF#oB$eYiU;Gx`<^Fi>A4*vYS0G>(rqwI(|9<I(|C7r#~IpbY#(y zrY$<2GtBa0@;sjP`kdk27^u9Y$XQ2OT~pEAWm1q)k+a%rkK@UuRTgaqc^=8NN?O-S zHp=CBB>jD4C2Vij;>+=<O(Sfgz+r)d6AlR+;+0%;-r>NjKin^G3+(^J!^z2c@isU~ z?BWGQdLx9hl{e7Y+L&AMw!lV%4eQtOeq80xzfO1UnpZprxi;RKuzJEOfmH%4d1rEU za#h|ESTSK4Zy{GAmm^msSKuuHkK?hGIVmA`rXF>1IUH-bMqlQR=%HUCEO(|J`1R-Y zZBcu>y|Fh>AKrX>>TWBmov|~!n~QHx-TrIa*4V^g=i5`aOf@#%6ssw{dS!b=>9!4# zjJKz5n7V#eRYmF5EyvPQv%`113f~g8DQrX7y0A52E6SE;rDmySg)dn!FKkZOtgsnj zQ_4~^RWn&%w)LJlU7G}6`O$M{xyqBp$;yi#*@=T@n7G-$dxB1ed47ER`F*x~ZT9Tm zReS5=*^@^P?tJ@sZEvox?%SOC8EK#s{1SfitUmwz`sVEU=bwN6Srhg4^UoT)`RA9{ z+JOW*xA;#uDK%{>w^QVM4N1<O3jJR@dwaUM7e)AYRAlT>X#HB>+|<a;1ZiL^RDUh4 ztSIMB3g>q>SKqEs_%%B}FPHmJSpKqUZQB&mzb2-pBy%f;ooD^_bgM$_*YN15NbV*` z`&uFRt8ZX{f<O185dNkkESt7yc3f)8d7E`LI59TzZP-<NUr*P!PFL4ovdX<|^j7a` zdfp|ayEksHSbt>CnvL7nw1XPe?$`9~tjyj?zUCDb7X04+wXwCOx%yz0-2wakwef%7 z?<?Q?{O!kAZ(qH5merN~dB@(ETK1UIvK?>lzuMfJtDCz%?0sWd*f#Uk_BW4yOaA&Z zbZ$&+X!V-r)~jE_ey>ZHUA;^;&TRj!_P-}z`utv|AN$gydey2W+H1W+_Htdmv?^q! zhi_o0rR_?Gl`dDeth6!=Z+#h5baB%$Rn^CzKW((KK9n=3_w|xB3UX%WyiQ9^pEzmq z6w|*AYtHr0<I|FU{?JN3yJJD3;zKKT#@|eg|F6B=-hO=h^6lqrcJH*_y{q)n(VZtP zALl%7iGChEd-B<p+s<v>vbl7T<=HtYuXR?-3v)C7eigMGG#Vf_?W)!KRV$V)Sv2n& zXXt!CU(-?}%jsFKLW-2uUOuems>hla{OHyFTdO9owYq-E%oTK!?zF2@)>s7}F-z4^ z)nQ$;Ofz0HcJ`yt`7zN~Z%%9tohutQyJ%|ARHvy%Q<bJNZPg6?`g6*rCs(#;`e=HY z1x*T?u&q-0ve4(E*``{`n(Es%IZyt0vE%Hqqs~W<<SLo*&Tcw;NbR8+`)g*#|9@6( zUcYm@uif5Rr*4>h+%_}3{`#8R%VKM*&YTQg?jG|wcXomAcC&4!rdx8C&7C=QVrOo# z4$qs_*|Xzfq9bo#$x1R^yR9<x{*qg1p~b6yUYU~RmMgr<ZnodqWwVTQO{cEfyu5SE zS-u^=!h*M(nQe8AboO-CbauS`B`5Giz_EZM0fz$iiLstp-JQ*y9k}V$<;Pq{xmvRV zSH0StyvoXU$)W`T^8#jx9bIW>J#EUQRl-M)Ei*T|F7&zRvQ%cOueHYO6kls4^Qmk6 zJ&w5__mvjs7Y)z3bi`MXmzA5-Ty_n=&u@nRW?xr7zkT`eZIktO@87(7u_`$4@=l8# z+h2XjxP4`<o$ZZPmy><hT3KJZuxj(+oXeYkz0p{Gj&IYeHxjGQ^=<Gy`pTHqd#~Bw zRsQSNzPhsNT;G~kKI_enZt@CV<-7dV;suwMOU>Sr8NB?L&&+Et6DKcQd1;y1g*94h zR<}k4I{JF*n!MuN_2kNy0JRm9cr%1VGVSv~ErWONy{9}*o%}nYC8eh1PCqzi{yLb5 z=&0Q32e<J5et3NN_T}6Cdv;sy-t~6@sEvKQfBQC*ZCn2aI4fI6Z~SR+yMNP0{f)l% zhrThdv9sS}x5aLk-Hva`+}ZY<)_i;1m1Vzb$+u*#Ec-=szCG^Dw4Y@+<y*4mGv-Wt zFTWhWJKvV9t@G7gyG%AC{p!oWZR%-XJ<Zg_!Y;43WnAsQUT@u6;kCkRY)`KGzGKrW ztA$oGS7lzA=W$j2%H-fuv(V=!FU>Q!y8M#oCC*E{(lcIzPo<tR>GjE9Upm&D<2&)H zrX*)g=J7|fHrj~_3ufOcjF>CecJ-y>4!t%0{f-A;z2R8X@OAz1W3}1)e_e^TelD3` z8$Q3Z<myYy(+_V*<jIE5-*$J~w%jdSbNkok-p<aw9hrT5S=8-smv3#GdaXD6QN;Y7 zt1mZ3%<VEYQ|ri{RFM%85q#*?8;LdN4wwqE{COQMy#Lpe%h8iTdzGwrnXX)YzHjHN zHxrtpC-3-GQ@r}zwpS^KHyQ>;PX@1W+B9oc&sxsN+Om$&boU$AXEjuo=C1AZ4C9ub zyxR2OCZm<BUY^#<^;veU<&=xoDV9~s)cn=_)O@lprEE%>v_;M9>&wQFxpL;KE+2L) ztx5@7&Yo6UbtR(z^sg;z{Q6J5`m$h+U;oKhUnZ>aJMp!nX3ffE<;O{nsx+=C%Snj| zajiR^P^<7@e*FD=RUfV{kCVF>TUvG_G@bo!)s?d+uWo7WWxrDeYT|DAm=N8+?bnwG z(1Oj?E8TBa&8VDkbxX334$qopwq|m1uRn&~S51DrajRU+*Oj4j<sz@%Y%EO;z09}j z>xxz9HCJkA@Gt*bu_}L+AOEsfUp9P<SmkAU^rJ&m|2#QqQQy^vR@#LctaJ$4xWp+l zRORlDEfaUj&M;Ne)!yD5bjeVt$XZTXSW<kuv*5%J7YeMo*#EOIZ)d*XzrH`;`rD^h zAKq;{+{c&amuLOx-nBcowl({n)#1&xK6mQSiDTQGd3s)-&bHpQZQYhl+n7&#t?J6M zUbbk~0;_r34zJwTypnlk^2wPjmltl4_1yYcxu&AJD*E%gXK!9@yL58r(Sy0Qp!WFf zRbl;ZWo1dhXSYr3?QCtlDsp^TRqo$au~#FvZ!5aGyxsTesY9D?RfW2$ZY^Eqc6IsM zfUjFKR|nS`<-SS`o@ln#dv@5;wVq!!4>1Y|9BN8n;J4E_Ahdv)k4>S0*WoXlc*cZ- zNePauB1cxpTbQ|3KS^X>Y-U}RlGCv*!$admdgCHHo7<ZwZ?@X{@}h8Z@n(y!a%N^< z4<CN!`ZvQdc(&ozpBIyvW>0Nh8GdtF``MFq6*np>ZY+6o+`Yg3>~Z(=oxG=<URzh$ z<oIM=KJ4cDHzP54(^fP0X(}nM4&NgG8%dj;tKD_>S2gdgk~Qvce+V<1-O-#-e(LdG zku{oq`DU!|(;nPq*)zMo{PO#}Z+Ct7?cP~#{`Fnjy}L(t&n_>&{4Vd>UEf{1Ez8ZH zzDt9wSW&w@D@y9t<!7L-;f>47z;le3)50gO-g)&(R+?>Y(%rMGcW0KGuYRX?_3oTq zvxCZwU*$R7J-dAO%M$b1@6;~ejoCHZtK9fyp3>cyBIQ~~=eiXx54iJExct?Txn_mS zeeS#zDqrOyl)ud6o@P(^sYi1cRV-Jzr`b_{>fzj^isd5rG+W9~J(#Ohv7F_eW<&X@ z`*R<aFaL5^v!?viy}66Zmp{3ySy6uK?%bsE<yY=%mXx2mGgqm6`H{Pt1?8u1&wW(3 ze9K+Uobpq*<}NB*zT~cEM)|3mbCb%JPr0j^Qhw^jT&1$*Eq65&%1>RN`>1qz$z9Eu z@>AF5E-GD~a#u5={M6OCNu|p}?rMgVpSm(vsdTx^UCn^<Q<vvHDp_uFSJS8b)TOzL zN|vkK)$}kwC1TzsV(ukk&Lv`gO4z(h*xXCloJ-jJl#qFskhzzTIhT<6DM9lt!R?%) zOFNd^)SC0(KfAg7^NYJSmFE2S&K8${zH!&4)SUnBS>y80C+^x5n)BZ|`?>7%j=MIw z=KQzMZZ7-0V(G%UGi{%0?yfwMH*e!?_czZtcUK<Bo40=U@ztM7_Hrh7O1BA__o(Da zc-%4Q+mR?yc-UgmA%#b0C&|7Ol6^Nx=ADqtyGhdTgrwh1k}3D(E$8GdKgm<x$y4sh zv;BCCZsWtVBAwQ@kNkRfTvmEFrNdhGkzebM%L?yAI;{Ub^sC)*S?=AGcI&$j{YrOS zmU$=AZoT)RU+#{}GUZW+=UysUZhU7YPr20LxrYjt>)%<)U4HA(+($ct#om>)TJJ4f zZuZXPv!CJnFQ5Ff@2q?}H|(C~)46{4Rz8{Qc2D!kT)TTKAI~+rr}=oU-o2HN=BnM( zd^A_?-pYq_#qMc7oXdA_<%79w_cR~O{dafe{kh-nYTlpw?(WKabD!PSyf^pW-IaIe zUc0M#cka2nEAPxbc31Px+<kXf-k!VduIBBz>+Y_+HTT?Ak+;4gN_kTh^F$Q$rYPiz zDCA9%&l8c)n<AGdB9}KsHcv!0Z;DKwh)muT={ynXyeTr~UcBa9yymBP%)5BZy?D&I zc+5|6n|E=WdvTj{^+~t3N~g9;KRwo)^w{m>o<M{ADW|2E9_vl2TpV&wV~Y8yC%sCQ zi(T$%OfWz7xc5=TVv~CsJ?5t#^)9Mdta4AI!~E34-lU4fBKI^}%uhY&RjOFba!;ed z{M7y4N9BvZ+|{TtKXtEnQTgI0cQq=^Pu=ZJDqno%u11OZsXM(&<%^Hp)hIAOb-VXb z+2SpCHFC^P-RfObws^^1jSTZsH+z%H7Ef6?!S?CHU6tGN<krqs?`H3Q-gUfd`72HS zt7nZ%KYQG@2{h-wa`tn{XN$WwzUKUw&u%XHtZ~=I)13d(UgM*Cm5=TfKDw9r=-$sq z_C7wc_wtdwhmY*td}Qz9BYP(w+1q?%Z}E}6$w&4EAKB}CWUuj&y~;=S3Ln|ae1zZb z%Ynm}=Yr3Nm7ezwd_HVi#V%!M9)mp(4qcuLo^|S7Zf#~{sGn_f7qV|*m+(&Jou79+ z-f{UyNqb>>p?jfvAY&=}$764ny=i-s_U2{p4c{BQH_l$~y?%N2I_Y(@*GjLQy=J+2 zgn77mn0csqh=2aFyd`;y@)qRHn|IIRuE|}4yE=C@Z1;NZa;!2u%B>ma^6?9+i2l5a zhex{A;tW0}b($yg6do3M)Fya@m$^gw7}(i-{)sOpF!Pz;_#ooeCzUb7v2D3QQo}=5 z@u&(eW_E7vI~zWVxT!r$<vqRJKuc3yReAoQhMJO`lp3z&KtsWgA2fLmgO+V9H(+A? z|L51dLl16WK7F{F>+!jDeR=ORd3IWWu8c6abpv!|#32W3W9`|i4UXN@seGtc_)stN zq29s5=^F~BuPK;*XNPyr9o3oToX=v5DyLuB;jQz2(y84opY&$#@m6_1>BMf9PkNPm zyk*``I<~vzqh915Z;|(tj_hXnsAsvyo9F$cL%Ul(=t=JJW_ds9z;2cgdOvr2|9LlQ z-|m+8dN+4_e|a})&u*6YdOLS}zj-%l*Y1{gdNX%>KY2H4$8MH)dX>Aq@4TC|ZFkFC zy~y3(SKdw9vYX|tp5<=uGw&vC+THR-Pja{Sk$00e>}GkR_j8x`o_CYh?QVIkcXOBb zmUolZ>}Gkbw{w^Gns<{{?QVIcH*=Twl6R9<>}GkTSE*H4Wo)}Uc(b+c?%++<vb%#f zTJ!D>-eCQASMYl4x4VMZS>N3iyw>{cuHZG+dv^t|wqCm{c$M|sUBN4@dv^t|u&&(| zyxcl>SMW0H*j>R(t$lX|FZrF^_1n4Yw{q8S=C0q5JAWVU{JpsIcXQ|O<j&vDoxhbk ze=~Rfe%$f<aL4b(9lx79ekXVQcJBDC-0_>a<M-qC--p|OFK++c-2OYc{kL=bZ{_yi z%<aD)xBWic_FMV*-@wCv9S{FCJp5Pj@L$2he;E(|{dnl_!$W^B9{St(_+P@qe-RJ= z?I^6jvBTczJ^z{Ck3aoeQCJ^wPk!om_NTE;zYK3)Y`A4u`uz0czmF>Ro7~gy`F{G* z-$fPsRqp9`d_Vp0Z&Jm6k$d_r-%mgIt5mU{<(_`S_tW?PJ}Te;<*t6s_tW?OE-K&u z<gR|j_tSU(CYA5Ma#z3P`{_G>mCE-YxvO9B{q*g>kIMFMxhq%vwe_v<l#SA^Z`?R{ z2Oh|qvR?Y=YqyuX0(az1Su5@O+U?}7zzum*R!bj!<+gHH;EKE{E2UjuxpnReT#z?q zx%APOZkf9RXXH&;D((8x&2v}aguE9b<{@3uQb&1PAGO&PI?vs)(DzP&THXsG^N>#I zSx0zXAGOI9I`{5a=z1qWDer}Vc}Rz})Dd3RM{WNKoNIS1w7nA`m-m9tJfvNE)?wbG z58Lh)IOpzIXqlJ6Yd)n-+UhXx)Q4@y3Y-&nEY!afAfA`OZ7y=0H}zrLx&r6?I|0(> zCZF4q?p-)79rd^^>fVJ@(q5I$>iH|4@b>OmIN`$a<<{-r`yTEMu6QqS&${`0--Erv z74I4DS=WE>yTA8x`TGxdt*gKH-P=34{QZNw*5%*(?(PjPe}Cbwb@BJUJ9~x8-ygVZ zo&UY>_TI~7?>F4F&i>waYwzT;_Y3Y?r+@Fexi`4%{e-*L$=~~K>=iD1-*DGD{(Il` zy_ZYh7u>au{@!<O@8r_=33si-zxQ3;8(jK6;I4J>_r5E8g-hQ%+_m=q-gkNL<&yUX zcdfm@_g&gMx#YdVU8~?bRzY{H0`FJ_+_Cb%W94_p%J+_y&mAl8J62wItUT{ndB`NX z2)fu@m2`6Fn5$6Iu)@mz&d=I~E<zqMAHTE;x(XP!2>8ddFoMe04_{dMtw9BqfBc;U z=RT>7ieE`=l1U8@TbJuYuluMi1TPP{EN-f!t*JhL-vrPuqMBceCKd)7etgLdx#&Zm zjWwQSA4AQ@?_XN)ckdIg(f=0r!nSr|`ThgD<L}(nfAdbf^gH*i-$(EKb$VAPSiZku zcl@5a`gh)m=YHqj_WNj5?ZR)*u0OxHapK37=RpJP4};|)1)yCcXx*BB?T>FYUur(p ze3<v^&Rx5*?f!S)I+y=GbJwnDyZ;>iIS~>!t_MrRfzB-UPfSUSjSRJIbu?8KW&J90 zY;v$LkD7$Hf1<`gkETYGRuTVh@OI%@6;Vfy2)TNRs0Owixx7fZt4Bj?QAfuj#d%_! zh2pG*;*5pje+$Ha7Knc>5dT;p{<c8;Wr6t90`Z3h;&%(gZx)C@-O-)3qdQ|qciN8b zlpWnkJGv8gbjR)Jj@i*2wWGV>j;qccSM58l=I@lG%LAXqwp5D8-g7m5r*wMvicflr z_H?VgS30>{<C9*~o^H8(fvkzjH)llL2v&q_*>#ErT~_4Zx&|~-Gmp#F(bm$`Ry0W{ zN+?LkOUS883bZ}f;p36Z3hGTwO;akQL_|bHLXKQsut4yNg5tZF!v4MOr)-1XH3kR% z3%pb^-QcdOcX{Wf*hwYR74E78-%$y=qY`*WCE$*V{~Z;-J1V|+RDABJc;8X+x})NG zN5$igiu)ZEw>v7XcT`;Ns5swIak``8ct^$Ij*9&q6}vksws%x)?x<MbQL(zCVtGf! z;*N^>9Tl@XDwcVZr1FHM@+L{<2}$NnlE@R1$eSddCnTOXNi0uD#+;Me{3Mt8$==yV zj`>cioSbk^WxBa%@9ZO=)K>2C)X1N7a<<DSwaz`B3i*?c&pz@|Epv~jME<0svt2%_ zdG7HP$e(n0_K^>2ntMDs@+Tdf?eam5bB`xO{-pi0kGxlVx!dzc-lV;=UEcp&FzE^S zKmr@yOwg@0cPgZkl!ZTUI(Av$wAU%mlgkw+PU!FJ^>>yK7Znzq-zFm^Cd6mQE6Bt0 z=X15xrQ_R|PycSh^8VbqzIU0&V|Zs)e|G-#uVatB!u$T?zYl->m$AoQ;(h<o-_9TZ zdF-(lc;A2c_u&u!H1^nYyzf8w+xf#kjy?7a@B8=vKK%aQi{17=-u3VO?fm}ViQV=e z-u3VPefZtK6}#<UyzAfj+xgwUj@|YT-t}+)efaIajNSG(-t}+&?fmwi$8P%z@A^0Y zKK$mN#%}u)@A^0Xc7F4ZW4HZ*cm3;sAAbGs#V-3D@A}vNc7FZu#4h^{@A_B&KK$z6 zie2_A-u18i?fmLr$1eK?@A{YjKK$}u#xDCA@A{Yic7FNKW0(DeJpaPzuG@ik+8%uq z-adE7-6OebdHM@mu3Y8=ZJ1}5pLgm@!RZeLr{5Hueo=7xNx|s{1*h*6oW4<T`pJ&e ztR1NtJ5tkjq^9ghP1=!~up>2YM{3ND)TkY)pcDIfrTtSuEwTu^sVkSvnbK3E#r*Td zjT1jY(mqZL+><JlFL@;T*@tO{ds4abB@acXeV8V=CzUB*@<8;n_tQS^PW_c9xi32H z{j`g_Q$Kxp_vY2SQx`xZ)3#G9i?frXtF;!lm8E?PS@vd{;_lC>Z|eeg$6tCUzUBAR zH+6=)<4?U4-}F26O`YKG_(Si+H~fD3y6)qy_+9VB*Zod?U3YO;{HAx}Ykog{Rkv|h z{Hk~2tA3}xs++hge$hMe6~CXptSj6VKkJ?NvfrsM>jHPhPkJZ5<aTP;ZP%{bs$I8P zyKX=2ynVFu_R`MVt(~`1J8!#o-d63r&DweUX~*rO9k-Wu+-~i-o!W8Rwd1yG$8FY* z+fUnXA8o(AwEcE#`|Z^B+pg`mRoic~w%>l*cKc}CZPnv%gATuSI{en?@LQ$BZ-oxO zWjg%!)1kMI4!yl}=xx*Evc!jFkq^su7Vf^WBi1Ni{LJmApVS;mS3YzR_R;w`#kEsq zg2kbZ<vOmQ65Y`zmGKd~eAR=8ny2}!o-`ch^^eRs0owa(lR6Q!POIRdrZ=}mM8v~m z!D7-gXG}Mpw<#hl$j{3zwKJu0&T5^0`zs&Y%<csolipR~ESjJ3h}U(`!glj3AKJw3 z1ssyLs&HmyHe;T!DzpDA!-6t~K;{amhRY1I*bbO9EM-)A%P@tlA*5vS3AeyB!L-0$ z-Ca7HbXMss(wU{xbw}x>(h2wD$7YG?<_RX(?h1I4P;~a-!&%J-Oxa6cGa9U8Z`dx! zaI=ab`1R$r=eI4pxP6)8_GN}!mmS=qmRRJ=^mtaw{;y0Mt}$<j;djWjZCLX)cTHx% zT2F_l;te~VDdhj%_%}8;u24RFwtGx{LGAvn(YgBy=7-H@zcc;nv+v)Mw!X}X=HK~t zeO9qXUVi9o=ls`-JH86s{&#HNO0(>oHxsiy=lSdBvo?h5r-!?5XPU7(zq`3QnsLX~ z#T(bIT+49j)$wy@PK7a~e2q&=Owevv|7(rjy0xMWVYZ^zre5V*u<Bo?msS=lL#RH3 zDx(GG0#61X?FLmD1DBcF3`=G;^xv^q8=kes^F-8Yi?;$%x$Ew%4Zm^CZ%e7~Bfhy; zpPjl>rJDOzC;Ga=y9trGs|<F;Ro-5>>DHQ^cNVU@wPxi;=g6&*&O4n$w?-Q8bPn7a z$-L9qcWdP59nPLxJs0QtF3#~iobBtJ>FacP7V}Y4_M+&?k+W4I)@9CFn_06qGhwag zj+n^@wmxGhI?H(PTEsOq-h-yS8%%kfq#~o0XHMGUyX96+QeN;Hv)NN_zgczm*<-WK zS({hx@Lhc?M=WphDzo4lQH!^%%@nyV`XTr8O0(b#x6~#D)duC3Stzb7IL!8J)r88( z!<!}r6@|_KwF`1$VniiGg$4O}Im25IIf^ocvmUGxWeEKde9*tuPV`5}g=o=lAt!<v z{adX?--N6PPRt8k5bWsJY9aa{q$2pBFYC6@g}$w(qE|vZf*E~VjYUs|u^Nk>2$2YO z^l3E^JrMFCN_1byi=amDR$b98At!<!W`!OII_TA^CAuPHMv$Wy>xxiCuU2)@IUyNA zjh?NlqLac{RYfOc+*y#=%=YZki6w=ei`jU+rKWf<o^(uw*HdbuiQIHH6<&9#UK5E- zol5~r8h4loWy)MKSQ6;bJmHu^?}4R?9?TPt$@AJv)tdasc%j>CD^+RoA>)Q_?{2GI zmQwlN%zbUq(Y~cOYIc@Axz#+o>T24ltINW-c7EEiZ04<yRc4o0`OeO|+&+6*Ls?pw zo7y(bo}~h8(+-9E27mBs%np9B>U`hYRiVLaR<H6sxXLbgffu7z>q?O&X00nk=4HLQ z5;9j)Cp4YQb9Pfu?XrfF<hEYP8P>|1|4rJ|_VnC}HU1}Gfd;wzBzvt7Z?qF%9bCxv z=$yx#!ieC*uihxEX*OmmKNeqF^&>RhT|U0_)!UxEzm9BPeNM8(dht5D^fPBGW-FR2 z=cOOG`kZIGWR~%*v<+{2wn}CipGsSB*5bBgn(>LWhO-j06}K55N~<}0W7b2{#o6fv zXD`f3G*!-KyLN8JtUy!cEVipXE9WY1HC|BWcDem}UBcC8?$_%gu0A_+y)NMDv!3g9 zC2!e7a?cgKZ4b^(GuS5Yvvp?UjXI61X>5tV8$)L2XXoX1GtHhADRpa6L714E**1-i z#=_c4U9Q;Z@TkacrfE|}xI#4obh&~xToztn`MO2J;_BiCCN2#PT`r{#g(i-f+D#lv z9STlQyA+z9Sh+c^<LK}0?CI8SQc`-j@L^Yg#Se9f9ZC$pUVi<!mZQ3|w4z+QNmlmG zon-;i&n3^Cc3)69+c7NH>AM;8np-VQd2HcZXF6U=V+frssgTR&xAkXnvT?$yv+~lj z8-sG4c7JQ%WVSHrw{hg`3!DE=T;r>8`=86TG`6VUmm_A|xBT85G26cR_vDD#_D#Qw zBWBw-{tk|qePPMpi>rNCT>kgus#-}_{f8{!uC4avTYm=cv@hQJbMZF&!mU3iZ?n(e z`m=ePeeTwu#oO$&xBd*=Vc+%pbLedO{@;&7XUos{eK~Ztef96jp|kA+eiw(%w)g)X z96H<H@3(R2Y<u6|!lAR}3$2qEo7ul9Q`>B2|E-K|vza|Z`Lj)C^@qyZHkqZ1mAh>+ zOBX3;+hmq5RDNuuS-L=Z+eWi!i?==rxpf_Hc@lE#=Da-<pIf)#ZBKmexjQ!`_uiV* zd0TSNtvQXiC3oMNQ+Zo**R45)w<UMpYO#BFCNkGav;5c^vxPIPgTrR)PAY3#W0uZR z&bG$PUZZ^3Dzk-U*3O}`FC3aXbCvIkN9R1QKI6G{&f@Ab_iMkF6s1qf`uwEGKj+Fb zp3CzBu07+ZsQwf&Tlv7-&ZOMF4cpXPw^}ycoX2qO8AoFErtsOy1#dfJbNdRmsuymx zY`QXU!_{Y<Pv%Xz`mFQ%yqc@eJRi?XxcZFq(L9%{&paRfdXiteXuDnG?a51T*+|AD zUwhVhKWdYi|EFu+TPvNmtF1XZd3$Mmu2o(y<0Y%Eoqdr}zwfL~K5@-3;`NLbrX2Bx zS=qZq<6nzLy%r69EgJAz)H_>1I$PkhsmBwkmO|d59c+Rz&0p3!ez>MkoGq~3)Z>;^ zOAha+t!x*gnnTt)F1V)Poz3`SEo;DbF@`%)4B6R?GuE;SY!hR+9>oxz&FHa~^}-f0 zhD)<v6#1I$R4a-RR$bT0aowdpTWPOp;N4jqdA?h=sToBJx32Bnam^(>TWO_f;N@8y znYqO~x6O>XZLuy_=(<@;_U!#zd+*&^mY2)CeOqMo?HOxx4_z~h$)3G>Ywwj?%QADD zx7<7Z)o<PP!o8iZRb$@Yuljl|dVAcCuj|%c&)J*!`f1ert5r*{-QE(n<g5Pm`7!qk z{~r0udHsKMe12^5)^(jx*A3RrZOvAHaBJuGvRiBC7G{g*XNxywi`Qn0S7eJ9Yd1&E z)(=~@X_=X}a^z)+m0SDoO0n$u%9@)!U-~uoqHA_RuV<Vr<#}MWELXO9Ti?T|)jKv$ z<BW-4u>G51^mmuFtM6B(N?32XW?P*-W98cktIqbVD($~3bu80*R@P?bth>V7+dOaa zExA=wbj#r~+YGY>TcjgaonOMMaC5~uVT122XMU|Q%#7Tr9^hr==Jbveoa?J0xqcob z*Q<kb{aeoJ%F>GRYEZ79b7!8w_ifLvZByT{a^}*7Z#mOb6H}6_n<ONzPrp9z!q(EI zQ@5%oM0$n>zU2)5s_ruP!WPXdm*+hQsb{)u73$}3OL$V!n)dTmZ*<tdHaC40i0Xe) zb>d3I>ox4>;sWenU6Q)G#b~W-1V8f{clOsC4+>r7XL;lQ_eVnItK$r2%j+^Wn15AP z%hWaA!KRqa>vZK;)1lIy%eR>JmiAxS^>1zHns(N@*Qd7X-J1GKaPby4$86cpTec<S z-!<O4t|43U;8xc9*SEH^F=o{=KHRnL`1d7sOL9E_t~gNWo)zWzxb4aoremxtGg-S| zuUX03Is4j`;%pv;>k<}g4QJfyn|15t)r)T#pFO(u;NCZeyqt{etm=j>n+-Q_vSpY# zBV6K!+=JEH-Pzgv3tC-EQ@66Om{m2Y>lU+vrL4nC24D7sTg*DL3Ywo8Jj|~#NxzSj zc4kawd=}MkdAm)?)=tJ%rK~TeJzs0)J?)zEjVQUTx1Q{bnz#Pelfr1Z^|zkPjGnjd zmd?khc`I+}+>EY1u*Jr2YviZx-x9JbCuMzZ&5t+A{+ya0uao_mH9uY@`}5Phc$w_a zt$Fbx*`HJM;(4+^v*yLKWPg5|8~-QkGV|$D&e+%d2d>Ghto7ayRb8;ttSCnM=G*SL z+@OS=%M$W^8*j~OI&8{1J)7&sx1;OK><glTKkQhRkQ2*vbr;LJt%8sCow%yT+L+C? z>Y9kiI{OPz!2&y%9mw-_yg7?$y(w#WHrKRoN7tHpOMFjVV^;a$o9i00%3t49*O*nZ zd}l3+-;s5hvFr6*>FhcCO}UrUcCPZ}QCMrgA?ERiwXS(@xI=QS7>fTo-itbNY@f~5 zXY6I!>;dZvC9W%b+!0@XOGNOt_|jV?4o{_)7yf0uBDFlvTO(W8z0g}NTljcEuksGL z#)s29uBn~7Bb8p@t&}~P_2x8_Yig{X*)j)o9oL-Yy0WchgPFF+ZJ`6V)-dFH|H#_h zv|0Dy>a$#Dwk=s_rmb;XXy>gp4LRQTvNktu)J<IND|bfMakcNf)4GbQedkF<Glk8z znHGI0bhgdZ=t-foZKgygh0eB_9BmXj+h$TUQ|N4)%;-ZQvu!e>n?h!{MmIiu5auAU zLzLs%^ZQ{85%X)K8|CNU3)|4XT{NU1JXkD8YsGD@H>*zE33CXaFM1@GwMcYF=!UR^ z(X4sVjJMhIe>2XBmY#j9Zo{;1jJMd+<)^%5PXnFu7XO=ZM!5L(uxoV-zA|2850{Uq z4fuNSN}a>k#w+ZB@-}bT1LQ4C4RtkZ8@9-++}$v-Gcs9o#}?3WHZ3t+N}v-)#D%9b zxq`-_oDMEcFcA1~zCT~{1!%l{_v&b_HyR5TC-gP#Sn9y{?rd(ZX2N2J-X;^S3mO)S z5A-l?TDqX8$&l-a2FGHCo+f>+UDKHK*Xgd+(OJFp!K!&pT3jnMW-M~(Vp_3Op{q%q zYmP?7qJ~bUrAre!o0iN9YS@yTT%PwP<z37>zO-7uYa7<wkv(-{?p)aucW>UjnR#cf z^Wok=31zn)iAHG<X_)jOw@1P-=}1T6b`UA3+;-<!hagBQ7ph<ZRDt0(sDkTI1(8q% z0Z;`Ww?GwKI(FgW#fukD#>j%e+*4OUyo-$>?%ZQn1J6vnvv|cV8>QQem)^1wik2^3 z+rQzO$JWX(TP>$=tz5Fza>~}qkgb*zw^}l7EbY7VOyXLa-`!^-*V4}2v1-qo%e>vP zW$Vn%TP&-$&Ro33vV3dgr0sf<QQaQ7e9^hnOx7BH*dph6eU|IvXKSved0vuY_IWLs zu{P2muP-V$Y(ZWx<I1z1t9`}H&D7VJMc%kEXWgxo!kw0pTTd=J_AKP88uzKQovVDs zCeOYcGF#4Oo%fHZ=>=Q$7_;6wKDpIVl<Tt1(sS!e#z(ta)|7Gvz80EtO-^H-Z9$YY z=epi2(_GVXr#WobOU#OGy1T1oX(?xJHb2{Sxesf5jjp>DoIbS4%zHv~wZINN#{5{P z%ez=MZe`EU=3g<bH6^#IVB5EboVSg)Hd(Ck<-c)FZh<cM;agu8<VK2IQ|r4erJmiJ zyiMm-mauwmZ}Qe-oY7(>TRWY%$uZv8RI%DuW}PYD9jWx(-p5;Yj%5ii&+RSVcI;r3 zSkl(c=55CWqaTOpc5nR3acCNAMD8;gz3wHcg`u-Ao=H^<oo&}~deKTV*B4<do6Nj- zT~iIrp0i|Y$K5WGYiXVjcOA)l%XlMdNtP=|_GXoWSflOV7G&!>ZB=UC8hL5EUSo9i zf~{gRqXZA$I+GbKxc`>U!ThsLJJTk{Y&KaJDR5n<Ra+>0w(6I)>ftIbdVKHB_U39| z0B!6o3)?1Ype!xS&HVWm7ibZFW@>CM>xMO20ilemxO_q#Rxt%cH?H8a2|ciq$yYRC z)qx<^N!ysbzD6=Gkv82rF*5j!RP>?L+NiK=Q;Ie%n=~QX>B-{c$B(8RSTUm`V~47Y zm=NDIfgP$G*O>qP{2HnrUpj5UcGWjer#6HiisdX*y)xBcyXu9h3gJ#MoCT_TralN` zx;<?}SW^^dMzm8@ZP}cu31Lo=oGGe3Qw6rEc1&deua;IV$V^R)4b9~Y3kePi^p9o= z;q>$MQPr6`VT-E9)#(i-huzfDdcQunv<Y-#W07qI=xnPsE7?0=GbWWX$sW?Utsb9y zNa1#RZ0;eB+smVK4>8<+9+`XS#Vz%S+<PAHHm^2IEO>i)m04oS+s`Y_5)<AEuQZcf zaHWx#O~sHQ(|SkX{HZghn{H!1?Qzo6>$tnevBPf@0*fYn5}YJhXdN+UNlJ{*9X<s~ zanbF}r#w!2o^k`JIruhVW77{#Hl{rG`kKnxn(Et)_he=7&AnR|p#I%VU3|Ojft?Fm zx9;q$&9M$x{eHn3=1p%8tZm-Pcf%^<^?|j`oB1wSdAxR5%e<Ly*S6+OTuobFT0gkO zx2a30n{PYE#(gI?bQE-Rz5bjZU{KJ_@cQeYUq7~Gtly`{|30t#&8vqmo;}&7v99jz z*|oXdH(s5+a_Pdh7m$5R-A7*SJg{%iwiT=YWqE67cW-#Lbj_+2+cH+|)8u-U**)h~ z_k^w)UDLXzY|}V->?yDG%->9xi#D~TKHayVr({Y`%N_Clp3d3-Tp#ZXI3waPiD5#X z&cxo+UT02Ad8b%%dRl7kbeY)K-QU;iePl+)iWwa{T-sYe3oN}=ejI22@qD{WZB==7 zRi$@JxH@Q<#ih6)x3D1J`^mPpW80Q(bID9gPESkqb`g7~D#o_WB|auHHYVD8iK~l? zOUhQ4(69c%L9>Nibp=Iw_nLA}%oY;4uHv)S^22SZled;I?ofMJ=zF-pH#ysvInS5r z;jET@rkqL9k0WNQTv_WmA$oGdcGKpqo=x{=vFu(exW-rL+cvcgX01Q2Ii+VS?poWq z+IQjZtpYcrUgTy!$O`tzdmD3m*4A4hLDAe#*S6l+_H4CT>$hu8rP+#`*M3~(tGIis zz@4a!oa|j$!5VpQQ*KMGyJd6jTEf+5taZ`Gp|iysa(jbwfAQq`2Ia0gu$C)1cb&|- z@Nd_g!m~wphH|bsE4}vX*-Nunwr};ivWnr_v)-$}*fM<&-HKw(3jLS$*)41Dq@8Mv zxobad(W={OIc1wx)mG2uLS2=uVo_1MH*73R+*xM0Q!96?XL6zLku73fQM*@cEOXph zHgTs`&eoNOwrFK+)eK2~J11u9x?3WvVysoeTUVR4s$6$!SiLE9wo`q!;@+(Rx1%(2 zL$_pYcFPN0lQr4xaa2au+egQ49eo<*kr_HID|khwZp)Ts3wMY$MTsuGwdCOru|-kb zmTSF&a=Aj+W~!{?3d+4EuugchS-9GD?UroC4O_kLT1jUYKP}uVv_tGw)anasCvP+h z7rU-qm#w&V>!~|d;@QEj1#{cCzWlt+Z$s&~tIPZ^TYbzjK2|ulc&p^*?S9*D$xMn~ z9+i8|WZmaAX4w+gJ;P>~N2Q17?#rATwzYC;som9QldoC2n1^h0E-<-vX<D1qt(lQG zZ(LG<tZkKwGug2%Bje(UMTJ{UHoRI3nm1W6&FzXXuey}4$&3u0iyl!@UM3Sjn<m$6 zREdcS3-WW);{=_&)9Kx$^k`w?!v~WbJem|ZK~qkqs*U-cpjO20XrT{pUOk(}Vr98Q zRq@^0T+f2!;Ao+1m(ERNG1+XiY2yY}N6;aK+1Z{CE-5i)d!A5wcxqLbSC;3DuBNIY zrN&Ip45h?Q7FD519uAu}%G^0|WY3zwoiY(YeqL^Ndh8QGC;xPwZiG0X$N{u2`}%g7 zA0Mxlr026;o_zUS#;lDdhk7Nwj3<?`1?}ZMBdhrNQjz<LKu=3e$)7uv9j>LfCnuL` zz2oRsRtS5(@Zp0#2Mq}erUxALrm_d~S@y{;TyJOn&FzHr!#wu`(g*WccF8Wx1MNU{ zZz#@A4!$jT?b3y;TZLiUlxIxrY<$a+7#|lKZOt@2EX>zk;$W8hidDhNE^j%40+bDG zE#GqZD(l>paLjC3BFlKvt=H$w-3OP7RJAqBn@|3FbK}9qjfI8g2_Y^vYy1xLa+Eh8 z;$<@zSd;l6{(jzr<L%p*Z<l^jxhJP!yYz)lh3!g5_O9KzbuC9uR$69;_Myl~iJLdN z6xfb!UC9xzRI!#LRw<`bp@}0>n`v68=+!AxI0Cetrf~TC`T8j7bWYf!q;a*oA!M$s z`6}aL(+z?1r4q6Nl1+E4@dr)uoVcp6$<7*lQ?GD1^U<m!&W8`ZQd#52%M#w~$jij| z_t&>DW;@7&%&QCX{Pg(Vt<5zpNR9?=9E#>W2R>wOUl_B&dcAeJx@)c~tp4Z4tj)X1 zWWl8bujUn39k^bdT)A?YltJc#EmAs}6P7Su;+38`ox3HHpCv`|@z#A0@@g4x|6-EP zmOr?)|Mso+Oxejh`!ZvW%dAWOa?P;hHTSP;cJ0~o*Kg&&c59v4-0f|veR;&LD_X3* zo+Zq@+mvlu_U2nh9^}b7U9wtZW_Tbvxghy$=xm86YYkUy+_%b?=fpLMkabJ5gqt^& za(KU9cI(K2oZiM>(;&7))33jF-Qw9|Bp|&ylPzNQ!Em-{$&AzqTP1r!1y-C@SY>Bi zaEoW2QNk^rSw;c3c&5EF4*E20>g3;(Gb25BN~+x4F_AHd&C^uR&{$Xc`|?dqI`8<C zYt`QMC)LWm<4=0{PlAn$Pw3ZQ#!q+Oo}c$X;i0^O{Jno~c!fT_efpMP;r_gP{{%oP zzWw~m`04E1^Kq=5x8--vn7{pR<7!#`M2AbK46ZHXd3Z|Z+Oji`PcdA3#yUBB=DMjb zSNlq?n|gA!ujHnw8&~_zT>F)^U;A^|Y@?)XfoWlEYt7v6g{iGE+n$}eCF?Rvaq6<H z%SVo#GPt_z<o#JG*PgLPWluh6I&;lg?rqo9nzAoN%vP(*Zi<+#oRuvUF<ZQ2t$4QY zudK@|xw?zD>{BT6{gM@&mYLhMZJ$Gt?&0lfC0jFtwy70t<z%|_i)qVN{wKFuvVE^) zU0#yqdo1g+N>*;rPPO>0nMpgQX-3aBS$i@pcUHjKlcBl4MAnsO`7X;UUXtTGBkMAA z;oFBh)I7JoG~6L)nsqt3&{iS)^71@erfqVaTVD!qk6Zp#V2!W*#;xvms@_~(cKr4& z^=#W0S;dpDF|RXo-*&Bet(onrYm3*I)lRx57yJ5Q=xpV%*Be7;+xfpvUdJAqd(2^- z|4uo-t&)p($aO~XFS%tSZLED;;KY$VhYpyvtY4?IZY{4Pcehr{YJoLoEvp2UIaP2u zYPGE3Wn6Vnvt^mU45xrw0+XB^c1?_&JH4ARtu`ttpp#LRZBn<R%96)PA37KxCtc`> zxZG#S^GA&NsPHtufLp?o@?vhCh!HTz_K6D9<Crq3Z{h^*L&?cXj~_KH=<opT^AO?t z$C=0F^q`4>qe-5lO5sc6f$a)!8Yi$j$#PUE+|lJIE8%A1DB^aC`8zvW`gYW<rUha1 zGp^oDRNJP|P+M7gRitGtt0Fgxj=&nR7A=8gVl0{hiySJV1Qs|HFfHU{Q4^RV)}ksf z$-!Y)qVnct)2khy#wAoTK4qOO?hz+Y$@qk|uliteZE|Uuj+UC-JJyc2*5+!)#9A)4 zYa$=kFRQzHvzYOI+=X<<r>VVL4@?p&3M$g=SW_QUk`u!%0ou@ez3FfjH<zx$mV^sT zj@%5_n-2W?@?-OYeBB4PSNm~)(K!&!{pr=`ymRaFbir%iqq*<tWNcPA%VfrV?HZFQ z_XQn=%@58nZQi=zOp_t^J{^J03OTwAn-833(&gTz^B{_Qo6d<%3r;cVaId?@q|LoT zr(sjVDW;WM6;3s&b5GML*t8&1H(`^*$u?F`F@@aoy*}P&PQP6l2->V?JL&6$p4s1Q zoN{dzHDuoAx^d;iu1i<;{M#xz<7&7-7~_qw1z`sxSu;eJgf0j>7{Qt%IwdqAtTBQ$ zGTJeMb>X31n{IKfS~73hl11B?R&Z&pSirPwZNf^s%}q<VCgkb#^PcfM=6&XvwVr>f zEx*e7$Da~DMSQyQVCR#APbNN@`DEdfl}|dKIL64G;4-Snh}dD%^0lt1vElUR@cGw| zZ{KcH_0?Z)*VCQ1Z{Cc&GZzG84}&_tH*a!6x%(j8owq@fb7glzxS3Gy76?}o%3TlP zp1cK7yb8jtgmRbOEd+s+G2$RF{ZwgTVPTB8tnA#QkWQ~5sM9MeJNE#D`!E{RBbJ@J z8^WCk<!*y;9iiNf5bnn)h~hO6?m{ScIfNS+HFp{aoXO1uft7crO%pqwo47M(v&y<i zp6fb2+DzfIl>)R6h0V6;d@ZQ3&LblCk<2>xf*nc;TRWY19Ge(Das90$ozagstS#Jk z%_3U+QRr-)H(@TT&Afj^Z%$bkDRW(?D|^}r(aKf6Vy8?`Ki<W%&(ynDv~bl~A%^QZ zX4iD4YF`SOtz&*o_03w2;M`3PF@j5Ou_#Lm-(I}o*INDc>uevb+J5QOp<9br|606a z@hat2i&xrCvTCxbvMRF5vWmK;yi9qi@)BFGRp+J6jP%XfH{V;_ruy_*$hGG_&s_5Q zQfjTj)SoCRD?NNBW9OtKCzi*j{Al6BXAZx<zRl&EA9wHWvj=CdUg6X0UAL^TC~NlR zX~F5?m*;FfJMnbk>CDrKw`F$AY?`xi&W5vspAT%9uwj{O(`!eC2lMaWt2(fJdV6{J zcDWl@m$R41ojG~*;MFV4+rK_o3))m=8{llM9nF8{#F1;w8#k=~%5bJT+xEq+Q&Id| zHmqB-YS}ert(6-53v4PrEy%Pj_|$NcdFj`Llg%pp6Y_2xh*=EY=rK9lMN^XV=Zzh1 zeLdY>o$c8zpflAacDOZx)(%x?AAvZ)t*oTDs4zd9<@vQ^+oo-E%gRVkb4ztg&UQ&t z0qxK|y45W<8noypG&{vL)g)CVRV0-q^~n}De>YzrZ#U2EB_a7OCCbHxIak#A)Xu5) zJ$-bgAn+#R^=a42CR}x7mn{j{DqC^^#9)9iHf)jAxSCwnP;&U#p%ZJC?^%<$(?Cl( z`_+$%9R?z*>e*b(g^4zYB3c%5C>JLBgiYAzaA1Gy!|;C4&Mt#buM*E3+|iuKzBn)O z!odmM)zK0KdAZr#&7l2xi3?^<?bYQm+PGnTE90}PTelkQ*s^Jz7~3?_9xaJr?q)Bo zSDA?pmZk?Iq9l9_rX;A`jgas#H_J21*Za=4*-l$GyJXK@jdy3Cbh{lpTDuUkVNajy z^?x>2=I^Wtd*{W=-`{s*_4?C?ci$E-`&v*^T=ZKB(zA@;aCP>|r3>G7Z~nExXw$}8 zMaYgl{avrtZ`-oz8*A9!DWRfQ_sv+9-_=xgOWg0P{)9M#y%ALlx9CsGiMh97;!bS? zU3K%diIF?CHC|_PZ8}sKZX+ZpC@5&Cdoie1El^1CQt#G{g^`_=k;%C_>WZ4`s@K&n z6@I+9u`pLc7_@uu`m+fJ8zvMK<g)z#!SMgjwPpVAL6i8oA6`9q^XkR5G`@AZeD88| z?_9fZ<Jy&LYLG)Qa!(xEbL7y0YtOp1S9fP;=WbcIV#7MKzY~(Rn^$Mfj`nRoQ>Kxt z;kRyCy7iMV`z2;m%v!cN7da=y$67xD9a!TGI<Uq%#nn`Ft8-9*zpwR^BPLr+rfhNc za`rGc+J21f+0v)iu7FM)nRRm338@oO$JbV_iCm+Zl=R@5fRUM)5LcdWlH$V$*G$4D zSX%B_yL0vWiK{zr&)Pd{kJR2-duQ#E+C6LctevyAuAR7AAu~B9BQy5<ty!CXNo|<5 zey!(f!_}6n9anp<7F;d4T5+}JYR=UMZ%J+7GTQobYUtH#0ave!EIF2$J<sC8>D|$M zA3#T9W}R}pQ>1X5@y=|}*lW>-X>Da`VP@N8CUh1G9AlJVkC&+^Jg`-!q;SL1sYef9 zEounO4>jE?6X5A;tIHnn^<~IhUl|=;cAu{+L*~ldiQt=%ldvw}+0v(6S69r5QS>@_ z;<#v2NlMJZV@HpO2DzBTEIjPwq<BzNiU+jiNzs0vt?eGsQ@b~>o*k`drD(a(LeWBT zyJ%H*bhP5OD$^~ZUe?;tikp9lC<zNiFEm`ZVZA8R=SNWs*R5S6dP(Sz(59$`tF=~X zu3WKPw5cdd2(<LgD@svSaf!5<!FAVXOS`4?w63=<<@OSv^g3yi+@-=;n_USd8(%nG zbY0YaxU^B@hq=a%m+t3}vlW+>?q-}jCtBuC-iK?`j&0i}y<*|Sxw*R<Z{`JTJ9vXF zUb-V!V4HM9?t`t3*VtmE3*NFtf1L?BwP|jsw9i|%kRWM?w`_r5<@~SiY`o0ov)l19 zo41#=N^V1ma&dD`Lfo|tOYSTH9eRD7x2Ys2=8nQK1=l0IUTgS`?kqTb$l=gIULlqz zzdzqzu<zHM-Iq__R@n1v%j(6myKgI4?l9l3u#Gp1JBmAq+v)a#Ex#6QUa(PN^MXyh zN~_Orc*Vl~>DGevzrH+J6>WNz>lD|nTMBD_EopM%oONq~hQcW;$(;_9CiL}Qy}5CR zLytq3L#IQBL%TzpLko9E1iuM~3J1#$hen5bhiZp9hg$9>>@C|JD!+2Fu&{(|cL0F` zZWb06mMz;rY=<;3M+Cx&0dq>WIs{&Q`EiRwfP<fduY-?+w}U75l92fh9=bU>-O^uY zM$Vm~o0Ze;bu!oRrjpR7iyK{&yN~7`j93pE8r0?E=45By-m36mzWn`dFIJzo-MdF> zwpjUX@L>t-T<>g~u-bpe_E$L%uPx$Te)QJ1gzVe$+qeErT<veVX`PAb`uey1k$?Sf z@Zb2i;_sZl3;r(oyW(%p-wA)G{GIW)<8R7a{|MHdx8&EX`nz$}`S~mVF8tMbOMd0A zmY`iVO^0fp{WG|Ff5S%m0DE71KYM@s0DDh+FMDr$AA64}*2RmPJl>s2sdakiv*htP z8>4qV$+dc7za-`O{=My4@aWM3g$L(k__)~Kol#0!^hhaj;lqau?w#WZ6WBBN<mTHm zt#{2`x%#~1&bc#JpEuuOv1zVjbhGvvzx_vMNy+j3(`9Sw>NaJK;o*BN-0aB1V#pE0 zBc{y}efzFh^R8b#&DGI7pFqdvWNDeZhlkHCyxO@<;y|dt+O^MbP8YwPWDqt#F1Gui zBwI>qn#7!|B06iV?2oJ}UQ>5fgn9MR1FPEtbVb;LB|LQ50>4VwBtB?iyqt6)q`y&! z&C^_rdC7|2jI=LBi)Vb5TpP1|f$x-}#gjvioLAG@sQ_B@2&y7G+S^)Nnp0x~5B4&} zZ76rV7q<XZMT_6Ks(v@d_vxbtcfK`k=f0(vu-hS5Kf>GD+E{z_OV;FS#+$53;w`ZZ zWe2ab##T3m*XD&jXN|ntnYvXx=4!fVsIUHvT@Nz#8+IL7+4-_*DfbjThg;k^KN8mY zr`7(7`E}*P#xDzF=AZgCXN}*<S8qC6YI0)ak5?UaIr7RRW<F@auj7HQoPR#Qeti3M zwEW&*M|N*sea^~i=hvCt&DF)p(et<ciV0qw=`M9^rvJ@fGp?%NoDm^0qyPG^nx7?C zucUjQRzLkbs}?l&ljUXlR5|oA--@rDtIn%0pH*h^)8OhA_srU9uZ&k()q)0r_S}&; z13vQ3wD*q0$zLsN&doh8JX`Mg+sqi75T848;BztFf=}hREeAf8<1P49j@xopmfJ0M zyak`iaa#_2D#u&!sT{ZE4D{FPt$TZO)%jJ2cHNR&wNh@S+={oItIp4xH*emuw=WxC zzI2R|kdTm=ak{m!v9WPx42UCf9L({Ik&rm-dw+4#Wkpt=o(G3`K3#Zt_ol9Y?BCmS zV(xr8uyy6mts8aE--`4-d1}`*b{^fe%WUFK2OkqY#(eDaR+~)Glvk%#WmT?NG{@}e z!-tJgav(5o>C?bRj=LuA)HgHG*ZscKO?By$eLikZR_{)Ojsw`IqoySF?sTH!!Uy|! z*!D5(`|<X4y!`xo``$c#c=PS)yWV%X?{?qWcV_RAy$AO0czZg!cH7*>R@<ZBRM-A% zeUq9Ke=F|FwHuqx#KgoXTt5l|sfDpIAix9Rsun=FKdyl!k3P+VaGyZ9OLHOI3lMH< z4urc0!d1<Na92RMPctFhDc4$CT3T9EiakBlrXSg~bSZ05bQB1PZC?rkM++caCJ47R zAHsdN4J5hLH4nnQ1>v&hLb%5u+@skL?j{JgH7hzQifOIhiju>!Le1)a9-u2585kIz zJ(gyW=ql!0xM~-p2!q2`gEeu15+?$BntUWv(;89&xEXj&&V8SKxc9T+Bip|QPU6o6 zrY}}sp%?D3ID6-^m#-4Cd>Z;ccN?94rM&3Hi@y9O_x~R(_dHiVdiK>Kj{N+|OG<=& z*$ubpW|rKTb=>ED+5_KNxi{x``ptgj7w<d8n*W*Kj&*SpUtHkc_SQ|m=IfSYhZG(@ z{<v>X=cKed86oH2{@LW1{(tYiyBwNcJr(L(SMFe)#K*a;O`#;L$E?{$Nb!n{geiw% zT0!Rm4v9v^r;ko>NOX!XXFJfcg?06u(>D)oX};JnsriUoWK{OjqFL!Y7XmlP%$px| zTC;3#L-*zE*hv45=tg5!(OrCU;<Lm|H)kD~9OCNd*bt_BYSy|hd9PBeLUrzjN^x%4 zV!BK0d$-TrrS~PD?wq@Pvy_RIT50=i!}XmCJ2*G!Tzta2K--m_clvziE6Jjpdt9A^ zUw=BBbs?Zxbm#k$B>k>$pX<`C-FD7+!QrL8=lh=f$<p$E6PGExZjF6j_W4#CZ{w;2 ziO2lw>euw%_0+w2@!{;Y#{V(TrY`>}wN8@#;`bl*JC;tHcmI%>=;q#i*H*05pTDE8 zMaj(Zq~nE6LJ@0)<jvd5oPYP1Fx!03%bds_S9?V~h&lef)d!wkOTyVE>oA(UXcU!l z@IPc{5OVj%y=kA>W^|vscO-PWlfFdA#SbUvzP8!-?pEx^Mb~aVdaZbTwevo|fO*{M zC(C&H{{Gp%mM1TQQ%*B<29NE6U>2_xjA|?me5MXfd^dEMlrtD5nH-c?>NaS#iOqT9 zduNf#$IjzL!BJ~&S^oLBdPWHMpH1?`YajQ0ywR-S{bR;M^K}c$--%sart|BoeD))* z|H>k-drRD3vhL-t3tsT6+`2hhRa?IP--6ma!G(dFGv3sj&V9f7Q~$&6HkGrk>LvfA zHili@-upki^4*CYW$9)&rs=lbHoR~DWDfgcF)h}I+FIGmoGVstWq7&ErsMaL^kv^a z?>EnTs`S#>)Zb4)e$#r@&U06D?i~GBd+zJ=UDN+x=XvIIM(Xf(N$K2Ut`AF&I*M%H z6RvYVD$O?{bp9!wo3H$8&)L+R{uRhIRaPzYr1NQW)_wi&BtbKkQrG#mw6g8#KKStD z{grKZ5B-temtwbkzeoGIMSpHD<b3pF^OL}d^IrB{kN7`BB$`+EpPS$hrp)ubHP6@W zFI;x?z3Q#lDeq@|T`p3+JNV@5L$@?sw;PJY#I&C~qWfuk3wtJijQgftw^U>UHFc8` zCNaD5XFiCtNIf>4HJxoz=J}dfThCs%Pu`o)e_E6MzHwce)~p{%|H>>sF&lYGtXa_& z*ZODbqWMKuG7>HR3ztlbKH$cGFH^*4!qJE=&5g`AjSgJY+P-by+wF4o<!Sem$`9pM zo_L<v<1K8$s^U`+%+;98ctrBg#BlFfvwUvc=Dwl4G<ww=-jv%P!-cB)GmZN1@qJxU z^D6P!9$urAolkWxU+bUg{V4vtkV<InL@v+h|LZgJ>T^#iFx-lr!>w@LMWQgFu2<^J zZ_9-x7h=7n((My3zT2bn_t=NDS*G$|R&ESE?(wX5<Gd+(i*%KbPIK+&nti!b^DbYn z*GAtLZ-e(L8I}6!^N6unE$8Rz>@iqmeB14|%*+Vc)m~OQ3swH|#Qz9=u%=*@XrMGt zRIavD^AFYD4cyE-r9ZSa{n5H3<xqOP_{zT$JyE}OzCxv=0p=U#>FnjazgG9`B@TuC zN=7eV-PrWjddbhv5{i2ipRgvKC}<Xbx=M5F$?N9=WcKkk%JB3{6s?}EY@f2Hduhkr ze?PwR?PQz9#Cg@mjM<KHn^!KMWaO$cBW3UN|35rAG5`6m^B?qo9DXOD7_-nSW9669 z34h|-W10l#9px8u-M=v5xysGhQwOh<o}Ti~D3WdV!JsL%^EcYBK5{m;Y|rWmiYW)@ zvzhbU{#C}fVE$@9pIeIlYGo%ccBTvMco<l;|FF0m*TZl{gY@9YLg%TGsV9y3pR1*B z`6JJ@&#a^`aW5y6d)k^xeOZ0Ehx}QWmSu2SzVmf9mhr3d&38I~Dk0>q!uy~9rxoT| z?shNRG}B>G<BC&z_bkus7UkY2yQ<A?SEcSz|44zVY5pBnCQbJ>cJnd1O?Es`B&u<f z`&!1;+A=;BL-V(Klc#g7toM(LXyLde>F$ue{HRBj!=dB8siIR)>IE&^rMUlD_Z71y zmGuSr8w_0z?0dJ7;Y%)~|NZP^7XPFDI@nSIA84o~DZbyakRjsJl`}3Kx7Q?RFWa1D zWj5oB{)5(*=jY{L#PZyI8TJ0iu7ek*L|uOIB8zj=ZTp!8dv`qPPAV*3BYa}p;bMz? z$JH)!1@FTB3d3gJYi+Wb_p8BzBiB-J{hwt<cTaz^{r8pc+5e27M;RLq6`%gP;{unc z(HV!;6E>gmJRX%5H|?f?V9cSr`}0=`z1jWiwU563s^;|5v1vC%TDCl`>{Xbfqbx4@ zW%gagI`8>ylWVmbO|+ff`TF0Ux_Q@@qo-<ZFExj^)IV>%)8+By<kcOw-D4Kzy+3zZ zC}_jXt3McB_)Z5fNQEBYl{L_O-gMb6wM+kn?Y6pB{hK^}CoQ_RD7rsl4GS~3kPmot z#ZZAurzF{P_W7w3m!7E3Q_VSjmvgS{M3KdX(^t%C;aYb1SZi{`x~V64Z|q3Y-}Nb- z&1v4p>4nzMGI|RS&+K2G{lMw%OQS`Bx)Kj}Z=34EowxM?J5%|d#<O{Cg+E{RetR5f za(JG{<WJ9+NVqM${{GxE@0SOj?^F7jb;C)Rt$G#5ngd#*G0GYh4<77Z@nt~`V{-D( zF9ER`bvqon40Sml7YhZ;y~w?v$;GzXt$X(V$EE7ok`p(!T~h!1Y)h`_6}wX&Mpe55 z&;45a>}i4G-hbB~oqtmFYQm#CHbtpFpY~<m@1N=YDc)aLC9?J+m+#K+YhLs3&Wcrv z-y!t&jiSF~^q(s&B7Ta~_djY;lY8qq`Jjb#vB$?#(u=41Z#I9tUtV1$^X_7i>5`ZC z{);K!n|b9ygl=jczkSMWw-49MI(Ak1*=0mAX+^|t<a^<Gabn@Z;AQXjvL$<F-nzfx z@Orm%+^K6d_Finrj!rh|w9ORRZ!x2N?J?f!eRD5Nbo<=hBv}_)>zA?8?PBji&ka%O zYHxhq7oTIwKELa+zSHTY$+s15{f`Zhtu|OXQ|<Ab9a5eWCl__@trg8SS#XKX<;$#7 zI&W^4FfB8laO<%_%8#X+dH;vLTxXzf@mY*JX!@?2uea(p%S1@Hl`L}BkS|K-*7DdP z)$N-r;4mTFM&4;{?3`-vsa)lpNfY)YubFdN`JTC^cG0iC-A593Oz5lgZEBt@BC;r2 zNmBAfl=K2+J;`r#oen<|%YXh%zf9?(;d$*zhF{GeU0<-Unp;49dHddG>vN&;i(Cs& ze!g&e+mW|BR^}xq%{sB*WSVxDVpXr6?%XVG_8n)ZUYi#aw`<*xz0IBZQ=<j^G!_== zEp9qp@Z|U7rKxka_gy(+w{Wf4QVzk2GVA(S_6d5wCY7<9nV$d2sLIbU-*Ek<Q!HAM z^3KP*Z$G*kcbn_pz1{vT3s--f{$xq{hj*!2UN_dqb!;;=*W%&0S1%RTYxXGl`h`r_ zA0M4JNN_GacUEkw@ziN|ZVQ{AlexDj@Y3&}XHP!U&))l@K6&%5kB4tx*0I0qa`|IV zuJ<kZZO;t<@0P6ioF4t{`SQyT?r5ks-*wbjx>I{fVBUY(yIU>uCaw}GoBxmH<-xUK zr(9;wSHD;qaXKU-GVSjUvmd1|W@c$f9~Y0g@XD-BdA0J<!o%W6M4IQcR_r<O@nqlK zsvRG#g!2Q|ojNfqWI}<dU6Sy-uU>Ym%6CVuo!Ix|&}B*2BG;?l`Igni?|e1$Up#zR zYIdMqG(b@BtHDfR2ZP-=d}8OwbL{alpOBGQJ$v89w?S=R3c}ZfGey=2<nZ=K+de&3 zQ5APvh%Zuk>-)=R&i;A7oYOPxdxq=scb2FB9$#lR{pP`fy&S4s^U{Ahr=Q|u*O26I z`Zp^%HD{6b$0={$@$MJeyysi+5vkQN^`T*Dg*&gX<}KYSxO7hDwM_kM7Yi@uCTdJc zHhxpKgtakZ<Al)rCbb)tV_&6gn*62DZf5d_A3WEs_-_9E)w)#6c9Iu+a#lq2vZ+l* zENdoDPy4}@KDF_*@=c=ySpj;MU0al<{YaP9SlH1%!&l6Q?S#~q+VdCn_=WY0_gHr3 zdFo158T?`i{(4>h{tv5!mJ@tVi>^(H(rghGD!KHed$w!*%l{|l<Z*s_cI!?8SAc!H z^nr+ZOZCqwpP0Gj$HsUjJ>EGE+#8v@mRRd9TH>R%aPG<w=j;im#Err`j(_0gW#M2t z`r`Rqg{s%CUDGTpUn?1FJuf)7^y_Wu7i~97yfnB9-e-r*Up_^$Jg4Si+v)9(&t=^x zI=yqIg2AUM_BSRU+T+{za2=aj{;Bj(jQI(@;LIH@9}moX?IvA1Rdnrv$alM^w=m>h z4tz9u`Ol)H*sd?f)CKRK`nkQP*!|bTvTYgJjeSiiN~SXLXRbF!WVv;yKG^-<%Ka9P z?A_is#YzA4>gMfP%9?xVaMTU|mqC{!jekf9?{-*aT;80OI8|=-!dJydADsU1Xa9Wb zmDvX8+WrLPRHkovb@|NMAMeva(d}_`**(+yGrz~1rwae@=J;*2uHN|HlDLF9ygxk( zpUk~@X!*?9KkuJcSLu8wC=ze@=F>gPYip;8`b_f3c%f;NKhH_^y!La4zTY#qbBP=N zi`keF)m{3$+yDN-%AA;@yuyz1z2dGV%(FSC+w$rwe?HaSc46;q)551S=R6G)Y+A5* zKih$#3YNp188dh$csu?v{`w%d!oAj~tn5J`<0M7p5QE#^JP)T!Gf5xPs%Gv#QeFR| zc>Q-<BZXVCKfkZG4=@&vm!Bo;Q1JG8XRxV3dy7Gnf=%M8R$Yyq1vV;vt^uliC!fE1 zmOB5X<k=bh55B*gxq6R-PVn`{k|h%b6RnjOKJ=Mw_qLcl-S$rA*W|Tlul<f={wr$o zj-`6F(Cyp(oAw-ypRPF1oVi&n=*^WI8F^dYt`PZ>7WG}}cHH7Lmg}+}f{Fp$jc)#_ z-@1~W->f?wc2T=*?lik_#YN?8SGU~iT5xT4bLNh|Q!5HKo(%496}+}iQp3^Y(4O0K zT0}QX?O|s;c#vs@)l}QvdD(NSi`Gl<c1>QZ-2B$z>&+MC%9j}59Qn*pUsT2WP$;#- zcgX?%p6y1K>NBqut=*dV@zT-<dy?axnma5lp7(wx*U8pfOmX$9OoI6y%-6*&Q?Cm- zOx1iX(yQ~}0GFO(d|cDny}zTs<!!4<JUemkr$)bU(SMudE4Myw`|+Y#;PCqu%4|Lj zT%vl<H%nD1e*N8_zD-@GQmWq0<j{(jFSy@1SZlH#JFu`mE+vPp)%ELX4k<H9{ms{J z+%VWMk>`i%=2ap(%lK4uZ?2g7rOo%M&fL|jRy;oR!ScnGX@@jZAFE$dykK==+f3=L z9AeJe0(O$S)@{ySaogMfgNp9%k83YD-d*NmQq8{N$P1s%OQxzy^Y8!D8P2Tq_;Twy z-{>=yJnPSJUA=m$?7blG5&v4-PdTfemtUSNzj}|oq0NWyw+|mt&z@&%VI%RqHtEUr z?0eNqIWC!32>h!5@$}Npdok7_V!wZ8skN)uFPAFg{+$0~($6{nlw_^CKj|O6^ytk0 zc)wP!Z5up;FYkDg?YY)HxW3$Z$GZ>rBroN*=JmW?IQ#!cmYksEC%POvxXk^xEZB3F z$E(dZ=M{hQrO$>p9xTga30j%StCDx@w|`pkowM5E)0WRM%>6TCr^^4YF-<BL#GMlt zY&>Uu$Dn-eca}SU*rz7FWtKc4-?YGDn$NE(`(Bg=JU@}p_D?y(y#M1;@0cI&AD%Ir zo;7h++}RCx1UZkTXFUwt`|@XUnBT><p3g4LUhb?I!PvL2-Zqs<FZ&_yrlsCX*=tu< z&X-NP6U1`bd-W0(KBt2$PcvVr#~3WP-;k{ItH1h{O~Hcq8)^l!7v#VBWO{V_r>T4R zMV<<!C?C1%{b6#_vETZ4b~d?eE@kBJk93Oq6{;X`X`Zq2-u&%+-=^J2JpcS9<A=P- zt^uXD-4f?qiP1EA@Wd`Is6m@|_nj#h{_RP=c65TyuTuYghc?H=Z@%-!^!JgiX2(9y z;dO{I{Ly()$gt*ne`n#Rok6=7x|Rf}8&+mHvpg&0i`ceFd;R;=XwKrZ%Z~cm^2>S` zuD02+>}A2pO}0x9vXs7TJgME_XY%-g-N9aC;d#o_S-2O+t$ec1?X<C5ORwS4CwVNV zm)=<R*7D^Rjel3J`rPyo%*_rvl^Ikc6mGqAu3pr)IbSZT{8_R#?3MAvjN5l#F7Mp& z`D)znbd6khnKd3MN-j(HYKP~snRLC>wZ6Ogq1SdLyF+U;H11^YX$qa`-~3{k$Wn`_ zqnbBa4?N8k^=FU}Gdp!<)2EdCS|PW6w>~t<5YD}>UiRvtX}T`=yQz}=7dQO=pIYZJ z`~Q~WSw&f<(r*>572ZT0`?_jx-k~jz;~0`}JpG{GF{@x-<!zbwkIMo#`c3GXca6*c znDm+38qU);&oS2hGh?sH|F0&FL6^jr7W5e2|9!6Ly6@xK1!vV?maz028?7&MDQACq zZTj}r&9fLQSH0ZOF3+xZpzoFV$>Xjs3S;><AHDSa-iP&V^WHi>HW!*xZ2W`ud&;YC zv%{MoZf$*Yi$$&1t~t>D(p<h*yeqlxU9DCu>9?9K!=kos#!hjTU!lP~BK?91VoQFs zmP}PwpL+bT>>US&{U;pw`aAmOd@tCsaS~I-zatW{J65c9{eEoU<qWeU!kJrToic-L z*jg=euioOw4rF@rBY)!2guJC;zQTSz^CPr1pS-KlU9P-*Z}I8ZRrCAiawfl5Us1YY z@~*A52kH}f4&<;{Gc39tTouA9DSS|CjjzxDmHCy<jN4{jZB{%IzuhMz;?Gj9@PqeG z-oB@kyM=w8(aG(z9d9X0ZjF!HzNw}n{nif=5w1gr|NK^Yb&0dX&GlPz)W5s3Cljjw z&9+-RVVAqU^zn$WV{@Kn&yP_Scyh)g>eoMw(`wVd@QB+y-TnGxd`SGF?g_sII&@WC zQqQ#ZWqeREmvwKxqprE}qv`>>rxurz9hNO#aQE+r?$6pK4ClI1{F`dxBm($~8P%N~ z4l$lEVc2%~97m1?(-bzwcM4Nm8I~$sI4BTs<wNZx*P_WuLfdo79<%>Z{jbl+$+hzl z>uN!@OEaE6*wv@IeC0&HOY_^<VjhUD6IpI!c<YBrvGM=kJ6lvP*tRXo%n^CgrEpaD zrONZG-s{d=yj@XRb9Ce8tNzN{uD+iX^!@d-+uw_Ii=J&{nm_TYeeQzx*0*U30_L`I z<+MLJ{>9N+{HETAMBa_Z=P&MY@)qg*-BWUzX`$BcirOBBbe6V{sgkj+-@gBzwP?zV zgt|+c{>{!TZOHfevr9U#H1NowS@LPmI-cw~ulGLx>GUtr%0Am=SKZwpvERJ!OW3Om zwK9G$R?Kwmc7MCFgk#NuW^dP?RnyJRTwb?kzO}9547EvfL@(}^`L#E0nKFyk@zx4M z(b9EN-3@!M?eXco8MIKr$n)Mwv#B-$cE<if43R9UvnCtxr0>}_W6y#(y~le~m1ZYs zd=xroW%oT<FGe!%I{W2g>E&Ek-kksU_r=$@oH3kA4|@}zT`IY<Drx<%U#4fY7EBgw zVf`Vjam}^q{nLkQJkNYo;Jx{;qAa`MS-ZjFvdo*Mx@$HTc|<OF?Cq=?F+c04?&|2; z)T8gj;=kVUK7HHwdP4p6%QLRHSH5wZek1qB*+&kw-4!y`zixXvdsPJ%`QDiETamvn zSWk1wX`7W>n!-96v&*0O*Y!>jTsM0q`&9i}Z>C8vSEZMwe61_xoyzQ{KhNZ>Z|m-x z`9W`4a~FH?r>qw(xSR3qQK``4(qk^BA;;p>v(^0O&350TYd_!kt@0#){fQTz&(pS! zT4|Wt_c}&F?ZwT)TW&XBpPzNJfxU6A@P!-sGnQK?>|;)3`SPJ9^U-Fl$3-1VZ~1P2 z^)pg#c_r$w_PoWeCAW{wSrcip^9<wDlb1fmED;gle|q85wD^~?obQbSS9&Dhxt#Z? z_g4ptbvoDCSx+4&IVjW~;th?OBslx_wcN>P${rW5nv~;Q*LGcC{w3`o;WWp6L03HH z{Ma3Ma)Yz`?bkXLzpq$$T~yzn?(*{WuZL56KmXdCr;+>R`O>pGe*5N^ywEwf|AW_a z<G)^}IbzT5x2R6H{GV-==Kd<nP;L6tNqVRK9$Y&utSuiY5UXRdX89dcndAQ}H_otc zOeyyN$;}n^%+haN*ro4}{C9>{&Qg2w={MWaiD%yVH+L>qWEQVz)jq1}-(zNX_Kr{E z&zMar0g}!eAMAK$eQrkCivMeu%JM9<zsxTFVHwN%S@G$iDuq!w62+$@|8o8Os@u)P z^?ZTzj>)Iz^F*X?+_|KX*=mMU^09XhlOhFn?YeM#PJgVfhr{%jJkM^F@z3H5y|?8` z!CAHIN$&5%MIGn9^i`j)#ATM_w?oP>uY2Cge96X@KY|v|=Gb?~)_wYCy#pJ6?PESL zi#<U?K<>kACC(((vrH43{=Rn4xZHO+cFh`@T8;=2<Hf>f1Kc9=y^=Vm*n|tMf9z3t z!p=3fsm%7T>C>Bcd0%aOKL5SkYhQ`9n}O=D@{WJn5?h{pY{#3h!)Jm6J=bl?)~>I7 zX7MhV!@fRQMmsKRnO<r1M9m)?Pf9;(Tx(pgJg<NH@7&q{FBadLxoh3kX>Y%EiS6G1 zdyBDs>}u7YH`SwZ>)vNRR@xQry=tBNmS5*$)3u*m`>?t2PFBPeWrni}mprnn!@XDS zkKVF-eNubdGMg7)S+bK{Cng^bY37@Kcy{Jpu6VUgxx20ZZpo0>T-{lmtZ0*6v@EGj zvf|L0BsWJ94i1g0F6<_aJAY_NJFdIA>7j&?*3|<~Zf)5q?xY(#!(3s)n#o~70fx5( zE@~OCb*a0}_iygb$@MjMg_Bl@J1+F;V@;i{yvTpH!mpDDnx34xW~2YAecIW{zDp0D zJM>m;(OcHit229*Pb9s%qmiJ0R(5ad(|e~Fj$19%(=>WM{ZJTJv{GvK(Tkp%Yrb|Y zpS;%OVdcdcn+{z+5I!gA)8v(r7Mw{2qG4g>N&&0CEaxwrm9Qf7?$Hc2B^xijIVpT$ z?^iU<j*fd0=kNY%e>j(fUh%IY?;`7@!msO(G)(26^X6N?!5x!6<SM9@yneLr=y{)c zcMdVlt@SwB@Km_O^C?4DQpCazwRJj0Hm9$-W?%TV@Tr~g>cz|K4z*g>GFz)H-hRcw z!h61j;d(F4e|tAh`ZOgVZ=&6YNkJ2j9>1a=eNX5{fc*RL_Vhgss_UEE<{#tN{QgB% zYUlj}90pT$y0-6>&Ir}K&speuaqcq4@Tt?gPJh|0`flTjpTBJ1&*c_6eZwRvjcv)* z-$o~o-C_DtK5Oyck{@ZyZH{j1Pw~lpBXRDg)||za+__Q-?3@{MpZc&=mA+=l=4C7u z;oIotzt-venoRS@H%uq+{d*WQr)TPF;dS%&i!|-padH3tNmm$VF8yb|F5`iLd3`_A z#yri&tC7FzJj~=L%~j{z{WEC#%S095ZIO>^7=n7gE&g(LO3ASYn@{;h`R#~h=o3A1 z_Q1cY_+8e&yDHXbImx=cIO^u*|E00G=#zta18>WOniJ2f+>36MO}Z~T>+kG`84g>% z)OkGH@vW@l09R{sk@f6jN25d*u5sl4lbC)*MRn42AAZeGT}*9T&R>~-^^7-X(Wg6C ztjteW<<8D}{$T2h8K)kapV_}V;?x4$4XI|C1{w1`JkOa4%;1yS6t7h0;%-ycQ+%XS z-C#jWlh&K*>*min>(N_mWES<!H^MYG-h?}0-F9!Ygy6&T<bHMK2<>&4*1U-MSWYUN zi*BFD`8PMZR)n0{X0W>U&;RRZ&)xa(UG!d>^|i$Ui5Cthda@leiu%3lUgl=DgWED^ z-(MFwv*CAh&XdWj6GLKLFD_f+enj2t?El7z?uCpuJ2<#nRqKDT${i5WIsfSD;=s&p z=c4zu)Y!f~r!xD^rlfy{O?kie^UEo3d1)pgkXx<P>hJe(sq}}w>0XzvDxE$ywQAeX zzPY=UXQWKiZ)505b5Rz5_FnbJ<j8bSev4;Iea}4Im~&uiq}z@YMLsrO={v4SUzBX@ zSK}~V>hjO2NiLSDxP0<$^UtC64#uWxU(f!?Emk()A#qk&(fnAi{YlRmYWh1Sv6tqT zIwo>mT=4vg(K_|QgKNDF{SM3z5-atdu;i}#+tO4^``DxB-0Ek|)c=yT>CwsQXI#!{ zcd_rP3K3RW74BT~l%-qJuI%})=-C_kua&;swSD4;U0QELJSTlSTQKjvb>#Z3MTOJ8 z`le@Zo%AV8ymYzk{ZPN^O*Wd5SG3RX&p&-=i~F>_r`KhlK9oB1d-|_8zqe_IOxv4& z(`CWL6%W?07Uw?Tw)pq0oqKm&nSAly!#VZ2*M;N4(<*&<lBb>h{_OivqqG|}`F8hp zl#iV)KU{bHh{Ki7If>=(`RY6~eR!0QofXgfzs;y-_OVNKCa2H+Dfu_IWbPVm_UZRN zz50Ig-tV`E%dYd*O<VC`$D>)b`FhUtXFos6yZeq&#sBk%3e|rk^P0w~oJx6C`RUl1 z-4ARGt74|_+kWie`D0t7#k9S+j<)}{-Q{Pr<s>KX`Sz!CAAkJoZL&KqOz!Zb>2F1B zEuF%@O<EMBb7!ko=<S*J-c&^%)0zG5?ECK2GaG*XlNKt}*3_Ej6VH6wq^8GN_xJRt zO_vtU`0OF6S+V*4b@s!8@m(G#-2!z!8qJ>PF~jDuqtkTPzGlA4mG7sB%vMOhV7Tco zuk)9q4u>?~RiABp-lgFBr{Is}HVuiErS}uwb-M1?oVZhcH>-50jaE!`gZp*w=#?)w z>xmcT8Py(~x^(XI6=%&qCM|8VzHGhYGJEd>=PN(=1iElYpKm)~RzB(GhQgemdj#Bg zc+Y?Pc|2QbFN@u^n3y*z$DJdudsIH$Wj0~Oy6ojw)=0cuw$62T?Wf&QkEULKx1;jQ zD*3$gV)Y5#d`eS~uG@d`=X@K%=UN;tQYP)<w`M6ki8nZ0`&z&<|BTWZ`<%nO{{QZ~ zx$}KR<>wFkf0lfYsQrEWSn2=olADX~e_Zgkq;-qJml;|;(|n^3uTgTmc6V365sq8m zmQHrrvg=#w>P?zQW2Wf$d}Mr~>z#UZ<-UohCcXdaHS4KJ>MzeiwPs(@qMiCm>wg#h z*<60}cFOv7k4k^)X4r5D9^*eeKfT%PN08Mt-hyWN1vliMKU{h#^jdV)rHvc4!Y+&P z{w!0t^`6Jjrb=f~J+n!y!K0TuCZ4Lgw)g)pL*^+<Oplk${;juVt=aPu-mO~9+Z+_n zls?;}%f)pnruxkGZl=e-DxJ?U{myuO?ah%&j(ZY*ebHt;!j5&zBoBNvxbxdE@__BT z&&l)TON%YCzrEY|>)ZC;*Z<yIr#;{I`03fh|DRpHoBZ`{zV!9K_tVdut37^tcKiRc z%Xc6D75=00?DMM2ANKyN`5saE`#vb7WI-YI>Dj~j&oAL78N*FFyzgG+WyZ6+&e>=F z6l{GY^NXuotZVUx57)Q<US&|bZHsL0#H;rg-EX}c8T@*8Ppo6(;^)h!&NtbSz3<c~ zVNpFP>p8!dCjAUm-MRb7#oJmJZhOXr3taT??vB$ew7;cOe*WmnV|R`JzmRVz%MbV% zVD;k8#*ob?TJL=gzH&eL)uo=swaVvyKl@<+`RBVGRp0mbJ_Y&m^1a7j&n~Qg{`qd= z*LU^Or~lqBKeKG_@z=AR|DSul`|z!B(=|pdT+d>*cR4w($$d71e{+`uh*7e7KEH^D z#^y7-a#>sFs;y@z>wX#V{>lW#AI1zGZFzT#BnvAv@+8hZU%q(eWal`?qV?Zm@_81{ z=kB?AzI|Z@_r4j`^Zb5X6D@kf`+nh{ttlp{5A6ib&RaKYLXP;s@&%Ks%$?MCo)(iW z7L&C5{FHM?xXua9M1O&mdda(1czG>4H*H?XHRHQJt2|nL54V)Q)!5K&>g#j8k70L2 z@{!WqHP3?Tq6JwwQ*U<{8mh&ag=Q@Y%gVfU-~3+r=Id2^zyCX5zP)Vj=korqyZ`6g zyf1tIwd&p9`S0`IT0MU+_qzQ5{fc{U@4c?n|07dY`S$()dw1?v@7+`V|M~9iyU+dH zeg4<Y|K|J3H-E3H{r>BGd4BoK&*k&KZvLNN^FFCs^30D}+Uz&puhOj(@{Lf@?(_-X z^YHT*L59CPvy8w0i@bG8I!koftY14>Octzso&HYrfp@Cy%0-)Jzqq>4Gb|@p%Sm>Y z>-W8$YaSiaxxo>zC2H1fy(S6QE$*-8{Itk7T^c0IxF~IP>i*{+3O4!P?s**+sL@rL zu5ML)ON@mxb)E6_+*QAX8|Piw)D?Hz?5psxAn}QlXU^k!I&G`z_Dj<0s-@nkJKVDq zreEA;(H8$o{+mMJyKQbaE*;Qh_@>X~l~eZqf6e!@>z3{x6<_DYY9z6o-IjK_SIOzh zAKPA^tPo>cF0NMj(EQU&HI#DRdQP3$<I(qM*ThpvuSKhpr*TJJ?%l|-*|*VY@yd<7 zcP}xf$T~GXa!>kulO?a!+V{b7gZ5wMSG99|qT@Cm*uOSxNx4vd*4^pb($b|KhxWZR z`|g(!oqKM{)oZ)-eb1gVIksBna_+mi7q;D+<GFfmY5c5N!II}Dsjaq3W9^)jcfD6B z=t_>M&Dy`5%Tfam_J&T-49F;n{%x}|R(Q&ly{qos?&n?op6mLT&&><}b3J#P^m-NN z(y+i_kKCQ-n?k;39=A);id;E6bk=Us$WL!FL_}|IYYFHNi`<y2&G9v3l4$hou7LJ! zwJVp{-j%NMsy_ODSNvR&-WhFs7v-LGoABuT{pjoUyC0stB>we}8@J5SyPy6=O}wvv zw!p2=g5`9nZL#vK$=|yDH^<)aidy8r`L&#T$I|lDrE>$fESn|2_2|k4VI5W?t%rW| zpM1Hmc(U!MtV3I_Ci2~mow&}^bJBxjg-OxotY=Qi2yZJ@KCt$@T=boYJ)*PLeZA4X zwXW*H)zWLbcVw^G_c#CWu75~n_6B*QyKCNs@@>4v75aqpS={!fgupeW&q}p9#E$x; z$3-tnP&`wr_HRXR=9|i-8;1kaR|wRGEVZBi>ZNebjs2CEZZ5md>lSCH`=236{yv9- zUJYy8o5$w+{z`~_ljmB>73`#xcOuzlWx8)xTywC;t*Drz36nZFFGPCro?4XoNPijY ze+$v4Gfz(q{Mi1|#N*G?gW1PZCdYm)sP652pFK~l|AqJEGxENfQSA&F32OXV6Zc)3 zD0#j6(Sf`<YJVnPHgsLRHA(8<=9g(*U!x3S|GijgWck*xgx4pk^~=;XkCj=oT8g@( zcRbo@^y90@gQ&%GDqM1kesC?BZ!WqtuR~Y-lFRI>$yt|tS7@4lTo!Tid@hUcYtw~+ zwnA!rUmTM&TF!Z~EU53j;c;efBj3V^pO)^nPctX7?!2-<<Ez16ANF(irc2H|>2m6i z^iRpRQ+bm5s~f*ne>I%{xOm!%aPhTAveYc*>~U8!vfVt>X7a-;)kelLwdHBd%iqu1 z`RtGFOoq$#`D)_n?}PmI?YFGVSKIshUcvJ<D!bw;cT4VAKKtQ+z2BSP+*ds~k9q0d z7j}zwJDVJ0(PRITAy87%(p!4)A<JdibB+$Lxf)}(w0K#tv<htCemAT9WNgCCQ+k`$ zoV05_&LX>2px@tQ(XpH>SyN8yZB@8#X7}$Kucm?UQ}5Yp1CL5wIBgrDSMbv0$lv6; zK4m3={iW;AmL*)}U~&I@b$hPQV&j-sB}eCn++ODN{jKrm<K6PCcOR*9TP^bQE@hc7 zw)fek?{DjtT@Co`Tk*Yk_g6Xnhx^v;)Hhym)HdSRm#Z?-As^y<r=}a(FR~E7WO)1K zl(h-XUXK}LjyC(fd?6wev1u9W_s(t!t*@fm-M_co|NeDjfq&)>rg<kLr&(=rNlAQg zH>5@D%e2~yx%Z{}o~eC*cz5$m*|{1@rDeTx*MC{OOPCSMd^~ZZO!4JSc6Xz{K6>Na zE%n{3W#*@8VJ~&VKNdv&TXRQ!hC-?v`&)zP`>&LOcuyYtRnk8F-la~T^8$auww&H} zwtmSo{k?Y|mWy~9&6du1u)O?V!@By@ZQuWQcQb6i;S_cL`{D3^W;GYts!LAhyj@eR zcRO<4OP{oV{$6ggb$7;uPru<euX+aWl|sIkaho^4zZzhoQ>OlP<wQ%f-jns$I7`o} z9#6h8F=OZMMh+$3iANY$HqN{BGwwlO<#Cz*wb$+k9QQu%$)%|AC~9RV=lch{1>~x2 zH|Fhne)E{$julBE7b;eCRvw$cIqhEHl0~Y#mT#42vFTS8COUod4=-IJa(nLwANl`H zL2-uP<~B<xd{9|#I<I8=sZ9Ud0(E*tf9E~E@?GKN5e8@7o4c--tlF{9ZCZZL;W#gb z+}7``pR+zqepn~yY_Q#%_4UPdC#52H%UsLxzLt}vn`XMZT6pD4?UmoAYn2;R#WIM; zJg|FLD7$@!`##|<nzuxJoyyPWl-1t$-g#$P!Tl9qm+~ea+?!(@^x&LiYFl^z`Dunl zmjw&=A8A|Y`$&4lS)RU|Vp?|}GJfQES#R<re9Ef#y+;;D3jcq>zt%EXH~ysjg;cJ7 z_HCcedh#v`T*0&?XI=5m2);cJ_c#7soFccwr}Y2JO)G_*H2g{q2Q#q!pHoyU{M==u zOu<({^CKTD*9ER&wwa*!=slmH>Ke6K5v}Vu%0tSO_MB1Yb1L*op8N5`heh3K>s+>m z*uQL9mi{;7Te5ued>zsJ!>>J#o(Vm)aq<7$@b^2nhpzi{T335TZ}KM9BdoFs71oRN z%9Ru?cUR;#dc?Gur@fydYj!uYVdWJ8-~E-|%E1$TAFY_l@492R&+>_~v#TGNGD)&2 z{<hZGe%|cblN1}@$Q=J~*{7|nZ`wJFMNg@mrgYothb3G7uRW*ch8<>in9BRp@%}5l zXpfz-4b3$tGuEeP34nsOFU(1K@!X4k8Ae;m*34MidSz9hka}U(tOt`S^1nRX7`{O$ z$fokGZ0px`6ThD8`TG7Q_kk&^*X+N;|JwcegM(KTK0WyK;$LOg8ZW+yt~(T$=D)I# zKd|+#MlgT#rrXk`H%iWaOn73ZcRBO=UG~7tNlQ!vAE~L8rV6gPXT5Xg(#g6CCP~j` zWqo6K{Nn#J%^4aAtM+{jd~S8MdhY9`a;2;#pCcvDgk0I8BcC9Z<rlu>M!|23w!Bug zokgJwLS5!pr0PB>ygX&CL~XNg)(*qdTD|uYluh$yZ4zB<KEqSjJZ+~)Hq)-NqK|`9 zjthkbT3!3ASlnLIUQ*9?uu$yQ;w3>>W`C~D;;vVH;C*k$Lx!Kvj{f@?|M%7UI@UVY z`^z(bF8SHIn02-0uHgUoKWNn+GxW{gH6b%KN#^zG+I>u?A6$R&uRJFwaKS}BhP7P` z8JAclEQ?R&xBFNa%h3=k*6w^=jQK^Bk4%Z7n1i0oH0J4F86MpFf06svF2*SrUZ-td zmGxxZ<kfeV<Qca1_%%IgQ~vX>$je>JWA6NvvwX{cyePk1dgbcXi+1r}LyAqGdNI~A z+;yzD_1Y|wbK(C5)*oLMU;MK0O5yCjsbU<9Yl5EJF1`~Qe4u2Owblfagyy;YTm4FO ziZ8!paww|g?efc=I7v0_;u?=BpD%MP-oNb4vc}D+DqLQ?B`1#tg+(mbzKS_MJ6dji z<Ea%D*{}1&dQ+5UPYjj3dgX46$tjm@8msSJt=MImF=?&NuC?A*SGN={x#96RrE}?; z4Ga-ewmy%!oFj3>@XBT5ZI62DcRlO2dgd!z?0S4hTZWsG$m2vA>EDdAwwLsjTRn4? z-Mmb%m^08#UgU5<jq!qYmHyXzE@i&CY^wiiR<ckolcV92Bhu|9XZT9b)a-gTy>H{( zzDnhbYW^b0-g`E>U9VWue5{q>S>3L*sp~$<2Bx)Y96M+6+4Xvb`|*k;$$D1|U%xa8 z7fk))Y{+}eJo(iu^<Bp#<{ndvEnc|JQtqOfhKO_Ap2~pZZ-S5eTr~anVnL$df=b6l zJ~b<jh0obIw{K_Q^*1Y%^DdfRdm$z7=~BF2r0^9}-4f<HGnTSdoNq!H%f2wyeF<v# zyr4Tde*WTB>z!lgUrwH%oOa*c<3D?1fn0x#9OG}BPhV}<{gQk1%f|GZZP_<luEH9g z$B!ml`7Uwe`HS`ECtm&j;rjC{8_oy%A5ZV@K0I$<`=Wiv5855Cs_k2A*S>$>ay=`n z{9QFWUfADau-0NK(_$(6#awrbsq9PH46*w>vwrnVvUuFzSLm@_GT{22<=J@)Om2kd z{!nUo*dO`tv4f>Vc*O(1imZ}?I~f%QTdcKBC!IOwX!!jTtI+%3&3`A%4_f&7*Y$fZ z4}S}Ccz-;pv2$Vov*)dUS7x}czgzR*O!dWxhE2D8AC{ftIU-m0H^%r@Y`M)jxyXO_ z4}PtlaDelWuiHj94$H+2TR9%Io|-RQG2NxIwb;RM)A#rj%7GlZ8$Ci89A{h(y27GZ zs1%|fwqd_mq{vHCz92T=jR}`nl{$0sd$06Qzj@-*^Sa}FZRZRAJq(`GGNbv*R`)xG zix+8@ZYo>YB=~HKQ-zLgRIFmCav0Mj?l_5;rG7z-ylzE|8Q%~3_XS;Sus9wv+stXn z&yuTuIn=AuJZ&_#-DgaBYB}LffZOCFOmSh;C$5mb{9<c~`$RJ>wz{JdhuN<7e_6gL zyx1UF#r!Md>?e!<Mt;5XZ(Y@~f7_}O-?GORo@d;!tFKtiRN(cL@;y&hKDJqNsY^l2 zwu<xZ_PgRTyFN~py6KXnc|zT<`P~lLL#4|v?|2v<@p9gzjutPrFt(7i5XXm{O=4db zr7Ud_+p@rT%aob-xgu7!JHNPe=wOncgkVep`xfDnr&~%{3uAnjElqHg`|{EKvh4}m zxS1Z?_k<`Vz2Eoq{@R8TQ||4l6P|t6GT<}e{<Em+{t<&#s|3eIg2(hdR&zWKxn;$E zS0Y@vN2Imf*JrUFtH;G;t#@Cq?p(L)O7QV0y`x@Y5$9HI2-~=735(d>>Q&eNn|8-N z`u^#fK-Kg6|JUhOb>4p*zyF18`TP3Vjp1+V?p3kA|Mc7T_0heXzyHe?+I!;t|MmKx zX6=8!f7%1tvi<pc<2Oxb_UDU_(pdRhNZj+ubOz^l`?nZeVh|ShkeR_SS?AyuBOx~7 zc#kfF#z}JyZ4n6K6*iyr#-Oo}=g5{IA$2vqNgjrbZ86=Mu1gHm^d{^uY?O`c%Urm` zUd?WjKnjzt<it!xmFYe)suxq3Y$c~;KJ-}Q6Qkal%A~VX|1y*3A)gq<muFZkKABu* zI$}A|{EzMbDpvi^zw5HAnQnisQt;DA%NFlGtt~D-J$$;hxcBtcdScVVriY7*PuJF4 z6S&*uZj+VPEBzH47v!}@scNZwzS?^A=<3s}Pq&_4dV1^WZtm6H-KV=xPd)7|o-N+( z-QB(P6344oNAJD4y7$uRy|M|x^6uO<>t-Cc+14*-BD1<f)X>1(UU1t_e(N?HS;^0B z7aRY6YS3NY|3e@lBl42v?6o)TAE{leQtjcld{Dq{&#`s>uKnv~Us`kacf`317LFRO zrYEvFxRzgKHcONAdG~n@kLkp;`6X=ck6%0SgiY}MvApx&p1w`ITpwMn{N2jp-+GHB z%|&Yk-n>|uICpaIm)Mu5ta4AT;$%=;y*q7#;Y=whmU~yKGIwk)-?nm(L{%2grp~%O zJ-g@6Yl=&J()x2@OxH5sVAd4{-?ipn<Nmt*uh9>c*to9O>%Zt4X8h3jeQ+V~zMuP) zcx2B!SrTusyDQ1J!_pzv`iSgeh4)L{_H-F*MJYXq>8gmAQe_l;tK;gc{A03mh>6RM z%q~}DrxnL8o;&+*!u|_;#mYV`TXtK0a_jr{{{OF-j)%T^6kRsm=j&sKC2iO5$m*xq z1h@xZV4ov+-;eXX2YZL}?i)vbsyRHId_CidSjt+F>2EI8nf1TuyAo^7)^JM4#kt>P zndRBv_idT3%s&{mU*Yhps7JRG{QoRbPfB;qiD8){xkBhcO~>_rQ{oGnY=w4Sn>SN{ zM^LOVy|_ibb)`7>F~1eZ)8DA(Fx``Ht>h0dn4~h*i9Iw_Fw`|9G*r+fbm{w5yMFyz zwQtqCU#s{1|M&m>|NsAgpBIR2?OmaDKqTWUM_YsO1?SW(@2l4LnRhNt){6SyuRQI{ zl<B+Vt30C_7x91RTgWH7<6XnF<o31J6Q161e9dQ3bY)U9yYBV&6JG^)+XdyZABx}b z<VVww(^F*ftL{k4es6noZ_iyVh3`*K)pG8ZF1o|pUhaH1ck=H?W$pj!G|zl&JHA$H zk9o=Wv^QarJI(X9cfH-$aCf@a_or{aDcs|Yc*iDF-rN_hwx8o!MRIw5(Vf|Qs)fr| zcifpSTYmWN+Xr_Y%632fb}DyD^Z{$9Yj+vS<5%1fm-~M7^|zFr(!1(BCq4GPyEk&T zx%Io=8*8<S;y=CPzJ0f$T)RAe%^kV*zdx0l_uS3exwVM<so2T88=i_QTkiYuS4ri# z)`9Bg^7x`Zo2EbZzN=ql876p7dQ0`?Z{2+|@z?4aPk(fKn`d!*!&mRy0=H}vEWcUo zR1Vpx%yIAV)a3q2)=c+h8T0uZ-f>tzYfwF|C{xa|-`eS(%(5ppK9{>+nC+a{XX~`C zb-gvyEt!rO?!@wzEtX$)h;4bc;YrkkU5pvCohM}{zUf<F#=cLcdR`2-MIOVQX9voe z3oP1q%d~IHV<@;IwCPNu-f_hZ(hcjQnI6e}$zu?De&NZqgcQD1%WpjQg!;}f>KtFV zG5XNtXr@V)1y3!mlzMCIQDw+;^zO55+TOA!y6KMCh9@_YpG0ZwR$h~v=+);Ovz<k5 zd&^E;k!KbnW!@hOy%*eWO6ap~*v=vw?J+6&{DkPHYhpc5Zfq|1R@kkYlk4cwXX}&4 znYNu}o$iOH7Aj@l4!c!LavR<IY;E3g1ia($-c~R@+5f(*<0;w3lVZ<`-Z&Py&nR-w z*u=VBrn)bNJH5PRv+jzgH#V1As>JctU2nR#HKAPh#9g_=ryD;MWfWPm#PPZ6vF|^f z_`c}EZkacF>^n~{d|TwPTjqpb`})&~ucJKnh^>p^ZoAI(aO;I9Q5<{3y6*5q*@%7X ziZYOxa7s_;hU=qU4pDjn)3{BZGKt119ORzzgh_IzgOi@Xebz^Xjqmg>++i)+?T{tD z;tf+{tirn0i=Vzq*l88K&hOz>!97-<>-r|HR(|s8L#(V^`15zK&cw=YU%j}j^wc`O z8&@ChGKtdTo#t))^pZ%N)IsmbPcBL9G;z}7y}$HfVdgu%vv-yj?l#GqzU<AVhFGa} z*-dv$7rvb}q0Codx6+DO!Bguv62!Al9AzrnSQE2GR^0peSB7%iFR}c#>)7|aZhU8# zy3@b$`^powpLY9Kf6shtH}mfD4Zp9H_HK(=d^nq>qPHVvvBLBtw{^XWx_j;@DQ@q0 zFZOYVYx(w!cVav5Ds7DpDeFFvCwTqu#iIHRcjjw;U;g1A$G!O~-;ba8D_B(j;~jth z@8a_MXYcxN{|(%2pZcBs&A)<J8C`Mq(?@3(6>{nKJvi!FRM-<EqbT0~{?UgW7UdSj z?GAGiE7Aq-N&3F)Ibgl`#N3DF`-^e{fAp-Ci59r0<lUz`@6IEyK3#@<LDl18wc7>G zY-GKe`|<H2jiSJwSb;FTjsx6H$5|Q69jDxN^6b;CxwFWlPj_34NKoF#>B-z0+ZiS) zhfa$AaAKotU-W~gH<aFr6<Kc6*v%T<r+XkTahmefiP1GrI~JYN-BjcfXu)+vCQzX7 zkXN6^ydEa6K8*=Ii#+=@I(nE4+_e-J|2Qppv@h_@(~d=XB9)dwAA6hh+(ptBXPg#v zy`gcgXvrf(t_?DdGJQ$f?gAlf6II<;?RNAzDR^X(qrfu`rjrUYo_Ls=cPLvn{V?p< z<M`&O$5XQoS<9vh>6Sj3l~>pvPE+RC<hbIgN2poHMw#>%cO~1eANz32VyBtsI^K!d zm&<d%tn2N|eq5G&VV&;{g<VB+8q3oocA5pO^PQZn{N&c39cC5R-QL}r5-YtvSEzUi z)9qzmeIYToMV?tWpEmO;n$ws%TiNp5j7`1qea2kd1@EYxG%K_`XRzsPRG)E6j`Os~ zJo|DBo~#gg%H_G!ru25o(~QHVtutcIOiB(seN@X*Y-3E%q~yX=uIiRz-1>SaH)>P} zdiHt6O>aMORMAqbH%4{sY2hbI6J)wQ^?se$sPTkLF>ao`c=?lvCxxwRV&?7Xwkp!O z7rRh>y81h%n7*SswU0dcv?2D)#N>-_xfnk!h_&IKSn8~>Q?V|F*DtSoTji^~4rTL} zercxTR~yO#S<W3UkKMPfO)0$jo=v&sxf!$5_1t+Q#ar+2ZY*~e*r~W@-RViMH<g<T z?3AiI^LX0g)6-rvRm8qq$9?Onz>`G}X1VLS^G2;ZJ@Iu?dF(#Djzg8FimrSpj}=<q zJ@Iu=dF%nbtM_dZESnbWR(SR7;VD6vTdW()vQA!ncB)g=eYVE)DKfb%=MTx`GWZ{q zN#8Tqvq<DnVe2M6|7oX#pMG-LY2&ANe$wgYC!ctB+BoR-_n+SU_|uCWHU-nwk3Y5C zZId*e{rJ<9yJkL3n>sr%&uZt+Cnl4UIn=^CPx@{T_UO|rpE~W1K(qOIzkM>!0{238 zdwJ#UyDMW|`9$K;N#7l_GtE!twZ$$y@LBJ8&+f=FXN#R)o_UMzvh03YdhY2Amvh@> zick0|nAhI9Qy6@F=d@%t%d<si<d;YE%`C5bI-^v*PsTZ9r`nvzpNqD5b7+@mNM<%3 z)pOb1a-gWSZK4>%2JW5-t#Th)P80=;B^vB_u|v-?kNe2&q<8lu&M6rj7jVeqkTYkw zH}$~vsSVez22{jOh!I!3?)qj|Ni1(&c=Nrh3(8EV#PSBMV_WySsUmhoj7Y?~ww<?~ zUf*+>$vR0UmTCRz39mOjZhNs?@yojIJEfkxbqnqYB!n}?g)>ElGe5j~pxpGxU4fKv z<_W74k6+zT8oMcGy5{#~1!8VRDIZl%KYG8Zz^Gf%(yR8YlSiKp+h(0#dfW#i8BPXf zWsB8V{*u@k8uYI1$Zp0re7rmLBj0h~yle0@Ph*cX%lkH!^5%)V9Z%dnP;UNV2WwHR z&rZF4c^zuoS?{|RK3Q~Nx5g<w4ju89N!*8yvnrN5&WROB3O}r8d}G~3Jw>7SJ)5%^ zKh^qs_K|y^<gK)g%I;zrn=4LFbnTCPFr)Q`&W$3Qk7nIlWQ;lDBnmbwoHjgsO=n-x z8HIB12Q!X_^+_JEn>gb!=RtpulM`F7sV{nR;?Qg1fT9$`Z=Hu^A`jFi864+Ho*yvj z@s)?V56cZz>K=LY1xMv|D$f5=ec+n<uPnCpCnqY4Op0FoWY%Vx<uCHiPRu@1w8il0 zwLh`V%I?}*qA!=9Wj^sK^$la9y@O8TfjxBxt}_?dG`wanu}yf*7!_UTaBa%8l}A?U z_$&G)?lcO0s;SLs?3$W&YRbbYQ&wsOxB5HIRGL%t>B?lsgK9q0R4TnZ6%-~ZyLH%v zrkt2BuwS9ppYh@C-wzkJ-wU?+RQBNR&6gFc`as7Eo_o7;yV*9G?5qs`rM`20XZlX{ zo#@-^+iI(7#%lJ}^r>lU@~Z5t*P81z*REWn8zr=vr*ww+I-b^}UZPsTJd1f$S-lh= z3ad9c^O_}Ya`6;8u#hR3jf?Y&poVgQ>w*r4zr3a_UK~^8Lj*Jw0%`-Qoj>+|V19YR zq`)#Nb*D%DWuBcLwU_5S<w$+1^mT@tj=P4UIA^|;jHTb!Se0Fwn~GRIsULYS;V5*> zUH<+(!#b5SeR4Km`X){{u=?=sO<&-21JDsb$2+`d$mHkc9#8O|vDtL%mgEJhJu@OD zZyFvGVsn?caouo_keXXq&pL@1!)<*Fm#Ur?)9qANOj=pg@${5O*fN)epH7P$p14HB zs_~Pz;zJLAmgzALQZk*KQ>Jm7K6SF)8Q`TCGLf70iPOtijosW+A3M#wt0HIq@~zs^ zyGu6CZh4$EHCAVR_SUCSu{*;8*G+w}m1$2{{q<GHw{F@UR&d?xZB%dU>UG)5A8)NF z%C*>OHZ4ZNNsnhk^_Hh{lJ^#?e}8epR;Z}|)4Pd%+^rS9Q|>O-{{G^)Y2ni<jyo)y zWtK0yqj}JpwPN|dcUSJsy;ZpU**lTjbB%Tf$Cs}<)vC28z+G?3ecxS08_(&9-8gz_ zS6KV?Qy-!%cZPYc6P=j-^>Nh0SnZwJQy)i7ja{uR{_366$ykkTvzI(oJ9t-R)9fdu zxqo6M(#73w*jnvzZ~5MF()QPG_nPl3-Z>TCU2<r)$`iG#vBG=Saq3=o>(5sHcxXjY zqDY*Ck6w?m__2QOspSj%?x^g|W;(TX;S;&co$f*JCQe)|J6nLwRbavffv(98k{S`- z8z%KUWqHk+s5nKfsoC!nYd2@u#EsJu5)%^>|Ms?mz*TcG5D4qvxB&z{96JO8i=?yl z`1nreuGzj#CV6Ax+Qg;3OQ#t`WJIJ#q(!7gq(meo_a?R`HYV04Rwh0?@JMPkcQ&^- zw{~{7*panD!D^=!r)h_+$O%pDS~x``fMdH>&7+in4JRk9ouT0=#L;o&M3{S*faE82 zl@nogVGf^ETSV`N)oMMcb2@E!{OziQBIg62E=TlP7KI;u;2&rC>`JU?i+$$P8J{1= zsovx`v3EhTvbLoZYu*zT_r*HWyw842**Y<y&$9mep}THwMJkMCnJaQtRNRHir9VE+ z5ZM;kFVlO#?9j%*>uyDsQkl6=rY#P<=9X<a$>Yuo9e1G)>E5Rm$;a1TES)>=j&$*N zw;Q>)ie^vC`7(8J@TAuv<#T_myFBT2%QnIAKEI%K!V_PADb1e|yIlJ_+r8XFMxDBr zRxj@^lD~fPL}}M<zr^dAuf<Y#y0%U}F-e(oho{5IkDSL<xQc6pX0s&r`KM1_FxzFa zO!1Mtiw{B>pSCqva4K0oyAV5b+v-Orc@<Bqv^|-@T%OHwPk>MT<MhSCQ&%UQJbSV* zROKFbn3|%>ae-TE7pEul-40#&)XI0K))b#ddhVi;YKc!*8SD{X=Cer8T{Kv2p|ZQs zJvG7U$$?WmH$453k}8yGX%{-vC9=;yL``to<I7V#ADsAjvOp->($3Q|-Mh~}@SNkB zkDRA0BZ_Js74l7$ng8Wn=cLEM_bmO3YHk(!O_rJe;auRP$CvL~Hdxx-oSUq2eEF{8 zwkH`OPv<CDN(EY`>$@-3eC}bH?%wAXcy8nAi7eBKpB1STK9$jazUFDhm&Y=jWIRJG z)pgvJ<)7y~%~-PCXPQj!r)e`MExvfy$iHaMf}_bP+j}OhRx?lgFhj;N?#3=duRhDu zfy++yS{C(0^ciKCKYK4BV|lG&w_)w^l&3qG!I$w#Sp}u}>BVOqx!SP$W7y)iQhBB~ zJ~{Pn&3+Q#?QFdJSfpfR??$P_>jJA8zda3QcIRD}U2^rts)eD-+J)-69g%!%--NVw zJ@gA+;?fx8@gilpdUKQS42_$ao|7CLRNTAXq_muvV6Rx`P{wuX`H|;ao_{%fvR$}; zZk5GL%cqua3l0@;y8UvUAN$=oGI#t6^Yi?2thYXm2=+cLzI^lC3v<q#n!~hKuqgXS z9&dkiae4Ngyxv=DHFujPY;Svg?7?osiaQeJ=Ev^zJ}gU~a#te3ob9^nrJ{+;VwN20 zR;idcDMm$Eyz{-%-Pk2NyPq6uUed;5%)BU@Q(~t<&@!hp7u|AJX<43oU>G_@CjE-< zQx*4_E2lj<&9iCcgeNzW@>eNZZj+eJ<<Y0n<MUM6U8Fqt?Fm8Fn;}+3EQP6BJ7gSH zW@@?jDOCBCPE+pKvGPa}OQM-*pG@v1AJeCjkwsf7KlNx?-qNUan<A6jWpmiG&&*-o zMiqByx$_Q_7W>{cZhMmP`5TXd<*g;Qhu!-^BIXrNSH3zW{m4^~&>uZ=<!yK8Hdf@W zh><>&z4^&4iJfMS>wNpOlgo1-tn0nCRdToSk#{|t(q}yNQ2o)fQD)`37_GzJt`#e1 z#jHBu9r`5bXY8uI-b>3@zR{a{XKB{%kj&{_Z-Q!LR~^xpv|rb=M|<(RQysgFZmi?k zqMiKa)Q4S0Th<9Z3f)vRbxF)pb#2a*7t>C7)mYy8uy)FJp}StW+H=<~nYNhshF7ZP zxf9WxI>#lg-u0}z{piCsj!i=0eNrs>-Fu{&PV-Kzh<+2{;oT>7B#(Q&^rJUf2}OY^ zI~B|Bh?SVTJaQFGRn)Ouv|_jJu65oIc^k`355)4ih|QYxm}gfjS8>V3!sr#T;&SFk z?q2=yWShjM2?>3A#n+#nD*d@z>XRPFk=991Rx}<j-B=X+XI=O0(u=zkX6amcyenj< zp5waHw@YvC5_+&p;fL6+=#E@PIm=rKkuUVzr8T+FKFu)RF(JCotdV==Qw!zePN|k! zj61#T)?Mmbz2vdh!PuoT;V<84b;hd7gloR$O5N!cx^BW`?JZBZ6n8o~teeoUz2!02 z!Ptc|;V*I&H^}7v6MJ;(Vp{$@+0SRr9yaU0Y13qRtLE%vmE)4u&nkE8PP!x3_L<|P zpxcX9b{oY*0S7K@$S`8uRg|(Zg{k1_38j-PH%?7teZX?z)Wo9`IVVh2?%o@jak6lZ zYtp_YFJ`eO_33TiFiBr;TOOCLIP2|P#_~X&of<VUB5~qJrg1x+Vtx3eNu$V-WvA|h z7!f}`t{u0T-Y$Bv+dM4opo%+hLwNH|-ic+gOYR8dZFha(>iG0rLQ(94b>25hAMO%5 zP`L8Ux;BmLOHY(C7DXrQ)L3^%T5I!$qQEnE1v0L?e%RF$JAK>ghaa+L6qz=}iff1; zozk6j@@hd@`hy)BeEA$ZS0|pn`r%2I$8Mn)yF#M!y4S8Y?>->pm3Qf0K(b}s1;Z=h zeV&$iZHE_bD*Cgb>{4Q%{1rc=GasFAdHNOoX(*d?)6=!cr>e+)%3Kze<NPV=e@}dD zzUFCN^k+iprI<d+ZF819RoN_KtT2ydT5_9?dhXL7j_(z8EjL}*t#d}Nd&B8Pujkz; z@;BJ2&hVa7>ATB>>PN?ICzkt9xhtITo#p!34^I?ira$|zV7IsP(**~;nV&8=*gQq% zNoi)E%$6sY{>ZkJXa3^*wac-c{p}9N5WcFSheb!Yb~`q+^WIZXWpBK@K$+cir(-?) z)4L1yHE$}~GVv+f*NWqB*&gmKe!_Nf@8pW+d-n<_Jr0>Dz2zI<BVMCwcF(>5=e&R$ z*7@cuUdt+2-g4Mu9euBIw{`pbfJ*jL)&-V+y!$3sluy~?{N$ZXCHuQ~fA%&jyGuvC z^Sf^yX&H6&?p(R+EcayhWUqSC;abi+Y4_){-pMixx80e$HGA3<j?^dbX2dF&mmgYp za+h`Nb%v7{v)*0H*?G*pFU%|N>_b!Qq9eC<nTD+6++!NBu5tFIU24at+%znDlF@u> zEvL2Ht+g+$+9LYGobr11Cx4MuKGAzIS>>=abN&)7OQ)}QB}$ZCPZoN;Jys!o(zDNO z%^its<+XPu(v%fXUd%etD`<9Ug3Q_tcO+t!b0s@Zxmw9&y7vV*>IqGqSn|YT>SHBG zNzELUy%WzBZPAkP)Nx<4apHm=6|;b8G7H(*S50~>(%*PQ@6v4t8@)@n9K4IRG!;!e z!{#(eIqZf*pkB~*hh*`L(++k;Ek#9*eC)R-J`U;Uc1cy(^!hOqFW(epcWq5^kCP7R zmPxXbFY*^`;=W=Y5Y`u9d+p?;$5;BfTekPzWL*^fJXcoTGRk+SL-uQ(lY-oDnP#qa ze#+Fk)>!5E%FWzYw(?DoS-2o(uI}p}CkngXzmi$!oGL488TE39Rlv2xqEfc&CnqXT zn^Za_X0Gz<j;9u1xAXPMEc|ut<f+0{$4w%OwmjNpRdG4w<i%C*US04NR&`&!J#)^J zj@HMo*7$B#IlfXg{CJL(iltv^?A#5RZBIC+K6zE*%dB#IrS9b)CoZy0>o&1C+b6U3 zhTfz}nI#dTGuiY`9`}vf?P<TRS5ds}n(d;Zeunpg{oJDElZEnyCS@OfBbT_--TU3e zd#zEs1ES44Px)RdOjL-I2+`v?#C`Zg>&MdmId_!zSG$zks_YD~$_u(->iFT7$0p9m z@63<>7Cfz+QDpD1Q-01JexG;k2eKcXFg1KKYsPN1d+R!7uCv@Sbu9C3h+VOh`)b+3 zf;&re&0oGdbfYLSW~afT7>NdPwp*==W&K?511DFTJdyi)ck$-gQ%d_M+)>^;JLGBA zirt<q<|p5(ExfC;arTu`-v@=cCt@Y)#Iuh38Ws5}#7Vc#-Y_jWXbSh$(#dP?s2rI6 zrF`<6b)50n+ooh6KEBnlJTYUZ!I~I}0KFbH@wO?}N1yn~?hLLgKXrWWuHC_f<ymk2 zCi*_S!LnH*-u&1#SFb%D;d+xEx+?AQ@YM@?;Hp(L@sHl6d#;yudz6WLzEfHntFo#4 zOX<XIdI67EcNI1A=nFh#%_?eS(hr!({iM9{o8E+btebW_6p62R%d|9BVH5Y4(#CD; z{2pE1Syalip6}t+%%W1J^?nmqKQ1r*wyy8q)s4HYio%z_eYGT3cGK$5rKQ{Sd><{{ zS(M46&--v`W>F@SzVAfu$K{#d^m^|t-MHJNX!^3ZmzKmzZSwwHnz`+p!1TrJI^}Gq z<ZcvwN!ZrDMaGulCUaz;+@5a&(-yPKSHCz_s8+}yX<2pSqt7&%^c6P6s_y(&^9;`v z9y@8=YFSlL?PlQ4pI!d!!#S6oO7GTjY^dJ+bf${sIfKe$9(~4*<;UKgTXA>hw)B=K z7R(jtuip9Gnyb0nIPd(2rxwiR=_Pk&Zm=$Xa&FGunH$q9o>+V?OP_E@a*MTdS^A52 zJU8Zkta_$*TvF-$nWq-UPtGyyG`7==?lX3M*K>dF&E3XT=Vv^%5Pl-LQ6|04=JV9Y zKKG0*isp3gHqJiJAX(VRY7^0rY<rURz?Cy6?#`4cZ+oq-YdPt}@0VVE{+@MCe@?z% zeo&^j>yOHe#TO^fpYp^*>G;x%kC!W3KC{@Vb)oLpX~Xol%eT$1dh%n+<K;VL=KrXh zbo!&`3I9S%JISA%ddJld&A(B!=acZQ%l*HXl+8c&PWVRcqg`%M>jbA|w>**J-Rb6_ z$GN?GM$D6P_B|OMec=)BT=bYGJ!ainJ)yhi$&AOP{|f`}xt59_e5#>b(Z9Rnt(fM{ z7w^SncY5d?U%kJ(;7P^8_lwrZ6j%Le{@#CGchPTkuRbHcyo<N(6Srr)mET-H;Ymek zMfah9l9L{{uB#V#e|}p1gLmg2+FKW`S@`&0z)yGgJ|nkvH~vcgaX)FFZW-se)874` z;OUP}H~#+ot^V=fm%o#zF7~<e_oboVG@0xxcjfmKu6m+T{N&$-Ugxs<d&fQ&)?Ygo zIqC7#DZi7=_$JBd_uQSop>W%s`RfWBo@f}C7jEl$tbAOmT>N>#99hd#3~@4Ak7vZn zXgogfv|?*{A=~kj6CY2VRCumvPe55=Uyt&%<a75eEQ+SQlvdY1E@iFf*Pp!UiAHm| z&s3T9+w}PE8U_~axl~wqudi^@W6{aoo6F8j>P{}>nIx0CB4*Bp<hCao#bt%N`WPoA z^KO^k{8U2T@)XNX!}jBEPJHZoeJsGz&Al(Y)G(m0v3S>RgNpBNj|>_ut$26(1*{W( zP<m>&U&-~(H-{K@hP%Jx-B;cG=H7wA%#58TYht7V^mq@<E-ouBh>_F{KXz(rV^Qj? z7)h0Iw-Zx;7N&k!*K=p8=We5<@V3|2HtaUNl*hX%TKTn=Y@GVm*H=orh4Lp(jTU(t zSFv0C(mU3T)s3&?a(9M@zYCpGty;0Z=gw+{@2igQ-LyNr;Jeq`xZb;~*Hu6MaBo3T zrpZo|TY9~lyq#a0rS9}?-M;c<u2j+NUwOPT*E>(P-Ym>K5i1q9J@ZuVr9xK^$z>jW zmYL?yUq4HUmDCA$J2h2uk5OZI+KH(TcN<lPr@cKjAy!gmJKMdrisf^e-nZ_kPJA1u zuv@&~&Z6np#Mbe>pZaibQPKJdceEA1bG?r{d3UwU_f>D=D(_0AZa;Q??ZVQzEbE2) zqL(}si{0tkG@b44(uZZ44W^2GkIfgo-uJYwVtG+}k^CO*o$@>X-~Ij0_FYB!uHUz7 z-{zI^?=Fv>p)3CE!=Zqk1_5FZmE8sX*LAF~UYsguT_j?-y<?Y*t45?tOrKJb&LLfQ zL3go*(-wDZbe-{3<59j~qGi{EsFv_PrC(wTr#?RW(7e;K>%qsfQ)MDUelGLs(^*kt ztm-ZnywC8D+bQ!F%Vi1WOXu7X*`pWO_gcBay5p|Mg}nB)+EbtY;@BD5x&81xbKfGB zq}_JS;aMM+H9Rik*lq6o?nG&A(H)U<c~hs|ZhT*6u-n`|kE<yB)rZ*!Wb(Uef>n-7 ztKa|ev|{rUX?e?i9zUPy9iOi8{piWs$fEcOHNw*$pPrn5qo^kFQ*UISe#8BSr!PK# z=MC>mejxi^ZtD|^Pdk(!$Uc?Z_{8GcUBUN#uJ3Mqvrs)AcwDyp&cze5ci*k}7BS=T zigI_A@|N9?@5o+%*YQnb#^V{~$Cb;M?0$S(w)oD+H+`x7o<%b4G4co8l`QX7*m9lx z$aZ}1$z93QtiyIDPqDVz=};si{J!F8Ut77#p5)2ZpG!A?y^;LB&u-n6hi?P6@lTSe zz41+O(&GMGcJ@UoQn%Ul-RCR+KJ)a&<L&38`&^Qya~xMawJX!|*Oa%((-!w%w~MzF zs(d?nYI3`Fxy6}@9<TY@EXx9l*wrn6DcoE5CQNasdDVBeclVau)lx4vn3T*eUoJ2y zxm~vW$HZjz1J)0oMwC7Yv)yT4RKDQ!M(69YcZztXcI^(z3Fmt2)f>BL%hGvIG>(>c zR>UanSvuv3$CJlGZ+8SaOw*c_JoR2son_e5yQ_9Zi<Ymxktcd<?WbL#QQlvlMx<^J z>6cmkWZI$A8&~CO?vh!3X<F6kja@k_x5}*EH_hnu#;oj>8)Rly#jM<P`b%l;ggboI z-XC}PG)&h#k$TAR@-&&5^J3;J8p}RA@x@$ts?5weG0RkpRnKivabKowEO~O`$`3}Z zXH`_(mu>N0lB%{(rgK}2+9vOkR5dwEqrzCVE#56pg(T0FOjj0slzM8HPwMoHQ>t2X zGWFb-tvy{**10NX(P3|vr$V+fPwKj>t@WPr)M#d`lGb#MQ>sNpoh<1=rzdirN)?)& zspGDuWZd}lM934PpRvpKo?cQu^Nn8c?bJ!LS8BU2QxH~tcH&F2lD4JMQ(qNT_hq{} zIf_%dW@i|<t8MF?_2fq4TcNkH@;f@2id8lh)jrB&-|D@@JaD2+=P$j${@2A%f1QY3 zw59V;kxG=&#eSKIK2xqA2)r@zad-c2#XOOcCp!+l*>|ItDW*?)%e&M4ffjr8%NG|+ zd)&4)P~h}NTQ^4cKIyVM)At3QIQ6mheO`sNmUo}N>pQlMi&s7Q;q=7p;|dMSeGEI- z7EPHf6W?@4Om3^nal4)R_3nx%Kc=2|J8^N_lN|@&X((9c&9FY@-Y0#k@7Bb}ZEF^n zJc-b8Kln64)BWj*jh^qg?**n??kkXGou<rX(|75VVC(MgLw8&czFoASERdmE)6iYy zRGihBkEyR0EhrD%uv_CqtjH}ru1s;(P2GpCyC%MNQ@y8gSlYE>@vJ*54oHVSar=39 z#a`*9<%@6Sh1~LPvs`qbbn%uwPgLARcI91}ChhuksfwjrVr8dCUtn<DtJ8+7KB(@M zS<DiDX<~B7r0G3-TBapWnK1oOQO~35Ozq<ua{Q?$4PU)msA=hT*4AZ4@|4@o-bFn@ z)f@)LwbZtsdatNt8OCX+qH<hSyL{yb#eFi<Z@s&8Gxk>D^iA(3OqOnatf#os+v(kf zsnSzRrwhCfy8gDYDE>lT$c@-pMbqcqQB^JPJRV!M+qtz|<HNTLwnvnYFFmk(O8N9H z?=DQfUHnv!^WM_^yHmb7eZO#*XKl309#`${8c)UM-%*+z&9cW;X}iP|vHCkn_jIq_ zO}eAI>8{c(-M+hvu1!5yp88>j(Ytj$JGBqLJr%Iq=+ru%4cg7GwGwxF1+NQw7%H^K zt2TV)@z6uNy-LDgzSUYAtGaphmD1L2F$)iCyFBG`-09RD?r~Dos;Kqfx`6wluL@f~ ztP{8^TD99LJN(2OuF}|fy3?P3_!O|yCP44}gQtN-m3=Yu_Mg67URf0*x97BTdF4I5 z^S7QF?zTyszWnvGX|vnSSKetU`usla{#iNmk9n8wUfE?_CV4=Q>BiBcdNO4*1B=rC zz4N(0_hn)Fhj%^KYHt;B$yhGqDB@Zt6U<=Q8rJ7k7oPcE>t(F!?$uYyre0bnbTjnV zF0bftjgMThJDu9XJ3erk?sRGlKk=UHZLH#6?UeG?C+h-ki+b&LiZ^eT?Y}ThmZweW zy6CChojZE=%A_*vbSgDptK+PHT<N~io1!U-sggyOrxJGmOp(mBJjGGex@X$NX^VU0 z!#%PkQ!G!N*lp8s`p2n2x4Ta_Zt7VplX@gpPE%X;nTGOft&Oqs)U{(z25O!Tb=qy? z6J~TKaOJ5`sogdW+JetCl8<}&7KLaPwa$tWh%9I8o61nU<>s!VN22!>b+>KzsOa|1 zGyEXdeP_{q-FJ5v-P65wchMc)ZFiGy=}x-)=(=uRtYmGtTfa8*`%?>c8{J#ivsL@> zn^PRSjdrcOG;MWB#ncrssv6;$1**!Hw@U6lnig%d$5m@P$5S!({J@jCayyUikAAcJ zsND9B^6rxDKgznZw`Y`fCvMj;dDr0XHX(^4A<OT|--Zn`{ull-te5dW^Os?rjQtba zt*XcQt>+!8Uggy%zs)9e#^d(g%eUA}eX6NsS!YqRO8+>2*t|=pKRQocUh?F}!4I0- zW$ZmFxpa^7xB9m}{n7Yg<-vuUp8PnNw?f;pPCzE>WI&T;U4W5DLZ3WC`>)d<nI|p$ zR`e&pJfvS{@}IUtr!TrqUihNO=hQCG9Os=+E0(0L*dn9<pyBz7+!cna<&HB<S{ynx zy1AT3VSC#<iJ-i*w+&04a2zeyf6%Zz?X5&XC9Co0gD3ueD7R<WDPI>O9DCj6nkeJP zu7+tQDZ=**1a`87_er$HC~3RvC{-Vrq#QQER^nO5*JS<{%cQ4o9Sz*q?6TE4dGYX# zuN$_qACR$~6U!f8?sj_a%A)jnZyxHq^SiBM*R1|AadErib>~~6jc>X>lyCf{7uMh1 zTE6kcw+Yi1v#)#Y_=Zg}PI$|=fa#0bm995mGi7`;>qgP?4KW%Uvmbp(%P2Bd*(up~ zhsQaOZU5|r@6&!18h_X!`5})@*4+8d*$ZXHYwq%7m^<G*t59ZKahE6hJ7e;9#)R*T zQRP}6{RHDy9OnM|SS|JLf+N|7u9*ftWsBYE*k<1GfsOT^!hY#3<;`F6CfwznwA(S) zT;na<#JdaD?k;}%PU4>Iq1~6Cyc5}JU00s|{@shavb%R*E-SzEj_>B(pS!F(w?8|z z)^Lw$%l2g_*J>7J|H(UhZ|%k1re)jR-d)>rS87xA=hEzLc|7~2liwe^u-ouW9?wqc z#cz*!?3T1SAl$s>1)~IyTk7=%r!RKAk;=84G^40@tIXVA?|9X&-`MRI(dU=H{p1^~ zpF4c5*BzXiJo#Q}(Qe-qYt?5rrj*Y<wJx~-^_6Xtr^<Lryi1v}IQW|C#O;$O%Xk{x z%slDmTBNctMP0#ilE)_Dei=`OcPY~s3s0K+ph%@KMSYu$=ZCjjwhKq}snoq$GF6#V zUR?H>N7HfF;3AcU<${TQDt!7vXFk6CV8?h*UCG~3FKW}2>8Asqo!tJk;%jL<%g-$` z>&xzl+t1TEzFPge>%ID?6$fAMTk(6XOe){|?j4Cj#Zyie#&6&)Ry{6N{GIidL7U|% zft~ut+7!=R^mrpx8M}C`x6^4>hij^gA14(&on-LTN-<7d_PXZr(pyE{Jnwl`zPsID zYFLpuCsrzEyXL7}t)lEdcctR4uRK|Lt1$metW^B=&QrO!iso{xzdZ4^N?E=_oK(y7 zV-vlb-<rwZQ&ZdC`M%3wk10=k=A^|J@0mU-?ESEAVqdmMdGD=t6K|Os?KX%JXUG?4 zu+(F?pvQ1RkKuqG!>&Bu4bjQ3tz38dHD5pZ`qGV}%$S`fi(;f2r?>TaKYn}3V~=lG z-sK0mUPZH;VwUS%Kl$d;ja|M0dBT&llTNKQESvjho$z&Cr#-Hrd4f~8gP+{{QJ874 zve3*Yq3_{Y%{`XQ=ItlXdKMK=xHCt|-2KGam%A<P^7`(bJ-FM_D$noES;^f-dE41; z>lPJt&x(<d7f*X9#(Hm&()JVY#opdk+8dox-u)ym@V2hkZr7CUFJ6n~-kpEsck$DI z68Gc}{l5I<AIrV@O5cya|M%vu{NCTm<@HbA`QQHQx!XSF`}5cTa$@Hk=`McyNFq+= zQ1|60k67a7D2X3`|L9Gu%--(g^1>&2ez%W$?zTt~fByPW&fOVDq>G;(lei~wNc!@V zV=VV(D48F7f9%a&iM`Uv<;hR-d~Wx8?lw#@fA;!V&Ry~P@2>Z2FFxLPV7LB@cir26 zC%xTguv`DoyY6+nlTODLR)h!a)Lw8$w7I->((XqmVn3FLf4M7a`;KeR?xuI^Dt2pM zd&jkTx6+$+FLo(!yCc|M&N9`S>6ENtg}cU1<-R+D_2n-2WiLMNJFr{%#k-E})=6*s z45S6Oamc$kt8F@cvHgwE!r1w`!Y7}4EHMj=?sKtHvpW4z?S${c*L}6-t-D?eKlyd% zE}x@b;GM6TMb-{GWxMWZ9e#bdG<ZhQ(!M)<9ow6)P4(In)N(!j_*;XgWfikIbdFzq zUG`zO=7GCf+TmIs?tO{X)c&6KUg%(~yxMj5_hlM;WM|yrbIIc>4)1#Z>%|V)2YFmk z!i7&GLZ9|otn=@`t$6C~fwFvt^&wNWSu1Matn<JAR-ruqM;=#+IqP<9)~8;kJMC)A zmlRpo#42sSt$6zFhwc2+ZZCXWCb8SxVI6;;^{2ZVUoTtmc$vY|Ukp2CXWbFmwN7NJ zcI%U0SMKr^et&jis@5Kt{OjuP%Or}dpTw?Iz3zVUtL1HW?>@Wg-vK8t9zSjuQ1r&2 z!um|Cyxex@sjoMe*1q^wcw61GFUWJ9|Lv(ldt7R_Gv7FS-_BzZ$NQlE-7Mwp3+}8~ zyZcCK^&PogrwvcN(c|6eUA+DH2fmwkSFGC|QZc>e&eE;BTORYd?zAl^?>rUTwcFeE zUC`ZFq210QdHuJXi!I%z-d(Cup85XUiMva+$~#ZSUMgy5dEb4f_t7qIw|A57#!BsW z4$V7%`)%ZI+cf^2PbI#VPG@+3>ALKpqQwjDER`?ke6RO(hj(hZ$EmlSyY;imHQ(`R z?zAo4u73Qj<8E)qcL9%Nvx=r~xwBMfd;00OlGPr%$5l1UJKyVFysNswx};+9o_7-- zzD?Zi?f&l4-B_jF`kCflZ}f6^I(xngxc2s9VfDE@{+qE(Mbj_66L=(>R8)OPZqug= z;eEEDa+gjOa-NQr+G891?&756(8<xNPv#w#Sv~E}?48k~Px2i0w0reAJHAsqvr+wo zt#8qrkA?mTea<Q0MNSr;crCYIrh3Dj&&tQ=X@7rnqVUCW+sf}-o?3*I`$zRT7k;1e zk!Ryw<ztg8D%Zux9X{<`Q8_DS-htD>Pd@#KowxV&;_}Kjdi{5vX70AhoZkNCQ%&r= zwX-|T*WWSObMr~s#MyP`-}7GG-LhN1<@?bO`&jNN?zi4j-u~s?guAknc01>mYrNg` zpfGYytXR@?*1pq9ujgeH`Lo;;c74aWzdGstybHV4&%Ep0P`&7Nn!+B(h`b9^q(ds2 zS@HuWNvAwv<J{?3W8QI`ch_#mVsnqTY*X(ltlQoE^qtR6>(+Aj5ARfVT06btyMOm# zVfnjv=kDAs+-;pzzWmL*hP$%sq8C5Cmax;bb-UY#YbrZU-T0FG48&66KCvEa>S2|f znY8Of!~{-5vE$PVWsVo>h;=`<=zDC@cXax;+~1!*efkunBX<1b)1^nbj&iNNz@;nJ z{rJbHOGz6ebi|Ht`~i~fKAN=Asg+B#>u6G|plC%`P-K^}#PLFz$t@qBK2`P1xNYVs z5uXs$;SuQ57~CSbkx3?F!3stPXE(_y4TrDuELbBxLtauS<AF1`%^4R4c23st2`Ud5 zDy+FaD_?eU5mamCnIy)c;`Ol6fU$-9Og|5Yig1U7HY10L!eK|DgR>ch+$|1v_j336 zaZMN0v(ekF!f9lra3iuf+3K(-<8;lo11TPf!odu@n&%RD6r4kS0-ZRWxjX`yx<t%d z@?1Ox54Z|*%#@T8Q3!r=(vk7$7e{B#z#x_e!v=;q7KKc#{XIOq&gLmPEqg;&Okg_d z!|5!Ovf&t4WJz=7#s-ll6*HNXye?6<Rg)S8YZfs3OuHeHm}YV#q+#YnK}Fp?2X>qg zIp(^I<FQgO<1yD`tjAoRZFCaInczC3yE*c^g7$%!g-)$pDUB;x_atZ~Gj3RIG$Zck zj$KTLoLCHEZ!J2=lk%WRY(oO8mIou3UqTaKfdYec!A90)Mn+B>#FbWZFY`=D@=Wwd zF>qb9sjX!S>oOzj#oP&5hj>yF4sj-AIdLawB|CBTojTW7Frjr~XK!n-B%|Z%?AIRL z%Z!Ya6Wn(2S1e$bxp6>Dx3eRXZ)40vrlk`;bV8PNX?O}{JaL-1Ly&Kok@zwmt-V_} zf@b)gt&O#nr9Z!VbnBAT<|c*~`5z0|ZEiU76)?EC9b0;y#eBxT3upH(IC<hkh(c3g zB;#YH#Z1Rsm(5ssqFL?^!!jcy8J!vhmQW9azQ;eDn&gcGswyihCF3m`m=|#6CSGU| z7wR!S!Fc%drzY7ICyE?(7CnAs)VxYWtH*T5#RJ_u`@$B4NZgoF$S_^We`Ca!1&XKH zS}KaVB3m>W{93sU^a=_@lN_!$F!yLL(Mxb^Qrw<on91bH`Jwp0QI%57Z5B(IczeVG z9;Uip>RoBId-Y1I-9la)wy`zO5l^|wV5EOE=^~S6o6CY74U4!J#2CC#N@3tJSir=# zA<Kb@$DvU~p`k%KjMt-qO>+7{E+ern(S#VS9TH&$l4~9?MI3ZJF_&AWTXM$|(bxl8 zJ5t^*h?wKps>H1&;u)Y*wZO^HyLC}w>!XC$Mg3f^ySZF<aJg>ba^1jnbWM_gPJklQ zQPvO(hm9{5F>-cK;#zXXm(g#-f`v@|XF8TI3MzCJy2yIQBS0}&#W40j4~wW)$d8p1 zSStjbJvdl<7+DnDj=6qdJ@%Bzr?-2Z|Af;Qk3O(fd@X#dsN~_3c@2++FBO%1e6q{o z56dQ*Sd}>OfOXv4{ePUg*gDn!#;J?0Q)5$3Zmiy3w!LV3?)R4M)rW4`9(*%TU>moV z<+kIxci-NAdu#2@+#9*qZ5O_qCs6L6V9oe;p24<m4a<27+qw_QoK6;Rw47J4jr)L% z|A~#<DwgvYws$LAhUJRe7OlChSMlV=&e#`EZ|shJ^7Mt$Nxe5kHjc;jZWQTU*E>+Q zaa!`}vW3$YbIb2GIK8p<dh3+eOvm*O6qP7$>s}`l%`-2_yHEF=-$d2pB1y{=P8+h` z(7aaU^RTpQZE(-i3gwFYNq1(iv(DT3_@V5|JA$WV+25~t(wAV~s=fWx@wK-KSC`yb zC9|FD_}Wvuf-KgBOg;UjeC98`;QrGs<uhOCUA~>_Rn*xNqog=J<DF1z?4otAH$VL) zbC1vJ-RTFlfkp9sccyRry{I(s#BPl%u_BN3xN^i<w{#!6;d=1(q6MXk1@fm%l~#S~ zX1g=cCr{)-uTs(CraLR-&9&aUUA?<vhxF01#TVWMOtijI-d=S_agVi2dHc0@6K=kJ zxl3kS3}5?n=BcL{PdzoLu+-Qo(-*^6Ki&EM(+iJ_4(yhBq1V3sbmH40gWWQR^xD^D zC!XF~P@x;Jk>P2S#2&FJG2HI!TK8u!d>?gSx7dqyt=qE`-}Y@NHJ7-@+fwd4dAH(+ zybrsjzrADI8{G0VqPS~)P2$Az$SJX63Da4x+b(=MFQUj_VW)cA9bt!eobum~^i?;# znfGIt`nEhab@LOacqbJ#&$y#-K-%RA8|zL-`@Dd=yj{B;bIdv3vi06oSTDV#w0zAS zS<Uk2C+{W}l{38eo3Q)y<9AQ)&fT^9a9R0<cjs>0{kY3IYCGG<YY{t5{quMyM>AJs zcifq!u>INbwI_F*mTp&jcWuMnSsSAtmu7Ft>rpU2)-SF6{@9A$hL7@iwn;O;Jtnc+ za8Dl3+U}N$iECn1G{s+@bWJLn$e=GYvHQzorKhn=c6E!CPrRWgbjww0w?~|~=W8a# zI0bESk5jBwMU69J6b^8^JYizp=};-Y;vLh`ScPreEoE%FGXzh(X3C6}J+eCa=_`+& zR{rbw9$Zx{Ds76HD<7`@{?(P(xjR-LE-SsT?%a*5A9q<rO=tUfDPpHdkRI=3Z{~{3 zj+j{r)1Mt*dUCf(>2$Ssmo~&oZSgiP%Y3ko({deW`gN9#+Dx}Z6W??_DBai+qqDF3 z=;Nfjv1@j|PJCZ=VYl3yb?iG|FMMm4xYOU`-Q@|tS)SPa+~J@3z4MJ-?cL>Tv!_(_ zu8Uc$eEr3Hsjsm@x!XBDhzag=b<DfiA3deK`&C}x9bKp0uF2ayUjK{OY487zfAVkU ziu#T_^A)~7KmPaRZu`>j?Qj1rxI2I2@5iO}0{VSZyOp0lve{|jqsRB)sA5rJQ_LKB zarO6)uEfsS(S5kA@PgjC8%ICxvWPNg`*<v3r(saunaR?JpB!VjC!u8i?0E0V-G-&% zFW7Ai3+)>YPI&k(Ex@>eufnS%GJw&Cm*LJnr!#^Kx$%J~1sSsA1)p&+Y+XO?i3iie z@J36f2X9s@S~4AYqrFdt(coKXTpxqL?=C%ehML-|)07$Z?6W#8$Pg3X_>`kz?fOYI z%!bFMZQk+ju2z0`@5D!5ZSzlZ`7d^u-+RZqHQ(dp#AElDetaz5%y5B`pVMWZ;0*Nx z7nV#Y<0`aVmQcoJStN7RZ=ud{kw1Fe`-2%yZ_GNanelYSp&OwcmPWjB@`q19DXl$} zcXjgWHBTZ+D@3Qrtez5MI^*$$iL054*ZkU@<<aNt@NPoC^_ItcFLyXwzPoTUwARvy zHEx;4bk7f~<SdsRC=-qA3vyYv=yYJ$`&HXzmR7`UowoSO-Ow~kud}hD(-u#;9U5yH z<<RZ!_4Xor&PrX&vJ-_%_e^s-6Zq;Br|bt0$w)5mT^R?j9NBPJVvDqKS@NE|o@=#= zPxd|7tzU6Ry!?CX?b?N9@l)=KCwynU9^3eI-Hf7ej(eh>@3@r9TPN&pdcW>LVfdZ9 zqFLpxH)9#g!ZUU%Z@42E{I27WwbO?_k0N)8oyskD1Z&D!`mG<m>zlD#`OZ6z4c1Ms z*FCj+8du?8WL_j*^nCZ{9p~@feV1Ck!TNgZ#iGOwF*7v9mwh<I5jR6c-0g&F<C{qz zN<9OL^uOHYZU|?YsI7ED^kMnza_REZW!+`3OIMfr&)BWL<F4?Pcbuu;SvI^@dehcW zv~o?1mge+VCzmD_tz^&_o#-w4B<Syskjm++-US_v)!OFWTDJ0--qh<$FYOY$@Mvb^ z4~xU6<|;ltS5cI1u+x~~J&%&&i-vSJ0o@r=JoU+{Ck>hJp8Zg2$RVk1aGa;W-1+*^ z2j*f4eG;>JLRH*FYLb6G?NB-%QEl0D!Dw1sp90(QO{WD}CTx6CWFnZNvt7n@hEZ6b zjH`i+wywLd+cBZj7hNW9+)-rmal2?zpIS?ftE#(jQMBN*45d8n?Q46UWGu>Etzg-u zkgB~;#&=Eb)ai>kx2}CrWKy^-G`dgi%r>jj7mwTsOR$_JaNA4UT{!->#hHsO*Ufl} zw_M!Ldq^gCPpov-^|tF~vz}xuetXMdx7m?(z3XyWo^d1}Z&lpht7zHPkRn}U*;SAt zU1{0nkRn}X*=3L-U3EL+bYRP#+&4Flh4(2v$aU6s7kt-NIAbwK*<ph-fi1fdElviq z$R0M3VVfx9>mkWDLB>~vui3p%X$|k;9ElRkE&;Qi9WsVXcn|Bk^JE;hkammebGL3Y zoc1{I`c}p#w{}Rg^~o5{;7!(cm#+BU@ml$aOuxYUi8tLti)^ZPyQgn=nbyDHNd-%J z|AoBH!~HD9Hc`7(_t|ls`Ka+$F7juGN1uDvca1mGR(ukiAk%*$uTxY0>xqvZZ>Aai zd7*cF@y2MUQ|?8UNjE+Q_Q@DNs12E>+_t;_joqbFg3fnUg^G_Xcq|lAbi|>YQ_E5= zH<qV(ddDPXu_@jnaY+X5I)}UsP71z0smfF=vhcN#dyz=vYay2+k;K<RPDLV)uZ3zY zlO_~(%2_6L6mjm6F?86;65A)irT=l7GTR~V4Np5Bo>aY3bmYMkp>)e6i9IZleG)w} zN<Hk(6TK^za?9|r&6L}JpfLGEU153hi@Jr=A76dYTlu>+woiOl-kDqa>+VQwj$d(i z#)kMMPa~Ay9V_|Uq~k8Bw7=l@(XhU7m$->D9)~{Cz7>}^BiZk!cGVuHH=>P}rv&yL zdM#RK87I0^e@ooP>5r#Q+s*V*w8}EBV7K;~Jx-^zYwn2p#~pkknrV4T<eq55`i|Y& z9eb2cXm8uJZNsDE%0k6^7|O$+*go>=v&<-Wy{r7~UDpoxp1Yzs{TY)M`%Kx*^j5IA z{OAp3hWA|S-G4ld_;TykA+ELVZ=O~-zUy<SX^HEz%qnl0>VD&?hVoOv)bb;f-M2i| zNUm@<sAPGd++p)b`}k^w{u`%09_sf$W7z(>@5FCy%TqV*3YM-fbw6U8*k_b;U*-7f zE!IqLnTz*G{Z_J!i`=Qa=brv??u_!5Yww@VxLoR9vsWhd-Mg@Rerd0tdPscgRkWP+ z;Cruv<s^Y8(zZn^58p|bTTV*&&bw2_bH(qrzWg~)J(%vAA1G2ud?y`cIZ461_ppp- z$LzMmK7)eG1(TG|+%}o=gyXPTuaf1Y0yEzIGM)mn+2Z?D9?WR#mvPpZ-4@rUIKekL zN2=7)?5{7g-f^|9)y^mPJ~Z#$D&s6Ln=Pu(yyecaEtwrpZ>W^bezxr5)MVlQ+b-p^ z59M8&lpNfDd&y(7#=9k|$CvHC{iJmEjl4;dl7sK&X6^R1$SazZJo#>J(Qe;ZbIp?* zHQ$-#-c3<CuC{jepQ4<m-M*3Le@_^;?3(?dh{y4`Z;@r2L;2-9zUh{23gwq0`V^1c z{W3L~qriOOU4eUH8&!{UM}`&d;L@>-JFuxs%Q9}qCN53ONfWkq9g=arv58CFvTZ@C zsBaOEVxg#K5l>@*s9O=w!;@Nb)->rK7hnrNsC-<2C48guae-ND4(S~in6aiw@3_FU zHA;HN1^$ISoR-Yt6gE-$xWJ#ViPMrf9K#Z)J#JN7%@NZY)+gSj!(@1zyRLi3q{pp$ zT?0-AvfL4E+}5FC*|lJ|(v5W-o3t0bzLyf&lF+Bbv7Td(wo<mBN0EqOh3k!2p;LOC z3Da5DRVSX@+wde#qDcQo#E}Uyt|D=Qnc*(|t_&vwTPABidUJ?nr$LqZ^0!tuV}-J( zAGxV&Sms$1D-=7u<=WYcMa7$*L`1gmuT%`rdhhizcI6gr*KJqsPyJQ6^c7!+%!(t~ z{LD8kcm!M|dYl#5ju>z~SutfEBeR4<f`$uUTcAiwlQpwQL#COG<dlv^fs+mlhZJPk z1Q}Quju^1;Ffhu6oik!!XfmmA+d7|V4xg=+rS~~cx9uysZr8rMsy?0RrK+{e-47eK zt967iib@<g(3HR!V0g^2W%13ab0rIHMIQ-oF<9o6(ENGwY5tv)B__{0@cf`rb-%MN zQ{_?qyN^~JoX!=v$$JNLpA56KM${he9p{u9XM4S<;9O$fZE>*k>Y<e!vr`QnyxVo` z^L!Y(ESV(IeOdQybTD;eOj8v6y6bSHfzOH+|2V|dmRq>~6Rw$Q6Wdkv>wT85HA|Mz zA<Z0X$CW=DOhqj+?p%M+w~6hC<qe<rj{`a#)=bzOEwc4PkgLlhuIDYP#}kyDn1vJ9 zHFN9=;$+uZ_$5?ud4+L9g2>0sQ-gBEjs9#^Y_#iUEIM(R`>_E-^U=eK3r`CEo3&}O zYQ^ajr)CPwUoh8DR8ZPRCPq%n_~`Ha=1=GLoin`Q6R@O?LreJkOrfnOtsnYY)mtx$ z@B90~!t%=$x$p0fFt7Rkx>2Sp)!0Z{`81zBpN+J|3AH8O5uYcRNHEnXUVq>t=z6NM zVFB~%)k(`MHU~H!=$d~+$y--C>4$Dd@$Rb{ev-$Zo%{1_p(X1>U#a5hrIstc9ORR* zRVl4`aw1#mR;Iu`7K8H0>DpSq6%)Q}IP<a5_<l{s@`FDn&q(s!bML%u>nZM<DHo^9 zI<Ne%>UPWh;UeCvBGM;jn?Bv~%y+kd|J?}x9i>kFe8MkHqa`2u{tQ?B|Hs>Sy}P%e z!{-kdT&jz%<^?ZXyTRFGEw`76Z1E1KziSc_t3P*{6|wG3@_Qn;p|s_ZN{jlnMat13 zzB|^`m(TlA%Ez>gVa}AVZs|em{hcb3bn8;&uLjOOFwaJG?(Kzh1+JQSt;>CDc*y^C z;QH5pBs+g^Z!&k;Yn^}WVRTC#@8!jBM7?%gc^vv|=|=hNP=Rc{m)Ui~GaJO_zuxOS zclkVzYp>R&_9O&Uw6Z4MX{lm6ntE>f9F9BlxZ2$|Ihu&yUdQulsq$5wGl%#t{`i^~ z5i)z}|FXc}wl|r|R=r^;vCW+LFl+K`&SU=-%h$137|q?}B=&zrrA~MLcab?W7CpW> z_hb?GLaXD2v5l+S)?9pa-az+p_vud77w0loa$bG#a6#AYJ(CROS{B}F5|!+`8F8MW znrU*-zS9kDE4kFPw!Zdzp7!>a`KrI?$~TE0;<>x@LJZ%;r+wO|E^0n>I4KcYKaIC- z@v`mJk61iCKHhU?de*z>X79|z=(@X4mQ4-zKkqfI)}S^l{oL0t(HGlRN?a*)SuAL0 zeq+b<sk~Pw^uAA=c0JZh;up&wS;_C+%rDvY9S+_j>83Bk6}WVP+JcEOimjJ7&0lVw z5s=lB_BcgHm-o8DiVZ3!dox2ln9b%X@GW_@(`0AFm)dk8K0S_Ewog|7eEUMf?C=Zb zgG_}BTr?)GDJv1_U;grLqpicL!XxSq|BA$`zg&^{k-@kuH7Ls5NMPHQ!g9U2vu1qL zJNahrjX2+*r{897xyKg!x9oVv;_`N%sq58qgZAuRDm1swT&#~R?eWQyQcsm%fAe%? zcztH1U1Jq^@oP&OM@{Gie)Y?LCwaXptKR5fV=+7Yyw0t+={hpJPeL4oa$;A$%*y+F zd2O#^-Vwd!%Gb7*A3f2s@ARBC{<B1RR$RHxYh<PH&+*)Qj%6(W;+j(5JeE$osD5bn z=Ig6u*&EG#E8kjZgjx0Vr15BUmM)K}VUMZQn)2cH)!hYCw?4m9>T|2e*+io2^0(PR z=a;R`7LQ-Wd?R=tYgUXeqiWv!B{%tbr}$?CM7$5)zQla7;(t3&UHiRCGu8w~|5Uiv zJVkVo`%ZqR&a629^AnTzOkEe@>eFfduJy>ru+pP?N#1`RiXU(E{;RLE_n$Lk@4PGL zm{y&bQ>6Fo;>*Xnv9oWj)SJQ^_4cgN@4LQ6><8Wiz7Jl%#PqT9evXH^S-(o(YGph< zae)6&)$WQ}udK}O$W*nY%9XueH{rC&<iaQAejc07UrDZW&Hbm|JU`WI;&+ZoUngxz zDlSj?d9Y9Ee&pAvReFaNX0zqJx0~_K>{tC7;fI{B`s;0`hlNJXR_vU;z|W5RUg_PZ zk!MfoBt;6a=<eL|uCBYUG$~rKp(^>C-2YE<mxG1weA$=$a~hY(5soW|mzp>}k7ZHR zFtO!N>)%wn<n%lFUrP51Urd`QY{wn*oa=@k`-8r`3v;vi-<NPstIALdZ0>$MC1v%T zNyVS%JDz#8*?n*0*YD4kD(V!g$TLcuO*EA?JP|0H!@MNyQe?o&Mb-kL&)7Imrl`tn zm~B>c|B<BZclMNb4ua2jxtj0d5jo`JE@2ZZ^;?N^%6Ef#_0Ji(Z5XdV{XIu-i(z+` zSolNfYwMZYY%BI|O}lXN+iFAWq?uONHgA5|xBKWz>-eTa7Lk%0b^88sTOSwWO?(zn zopLUtc=e@uk0-@GPu5yJ(S$?kVR8G}D)F9bZH`xEOS5hTZ<u%P%ki2W70Yh*h!zAd z-XW6xMX5wOnayRDXmgO9zu(WTD`RCMjugtUym?ly$aK*5_LGpR<U_J`kCpPL1mC#( z_WJUIZ7-fV8sBYG7eAlB;<n9$4Px6?E%V)1{L-)0yJJeW`q}N3cW>2;KVP<c`!7CY z?%a9)y;q~ERuz5UvH$Gi{Jrt_UWRh$uI{T-^%viGwU2qjf6ue8cf1X~V{rdIyZ#Pk z-a6a%)`M5R=ReMJx4d@Qa)S6G!~IR?PORR!BH&+*<N89a!-a3zBYc!gOv554>}8$O zc=bW>%|72r-&uD>X|c~d*x9<e?8fD66=5E$cUrOA|A`pr-pP@F$h*br+0~5Jg)K8$ zN<?QJo0Nab=+3?jp5?-M%G^HF%6@DRG;f)m)aGIL>U_j@&SF2YB)g#XzOmbX<i6eO zoKn8!`G@KUKY1kjIxfr>JoIa>A;(2$n{PM%uD*F$_Q1=A)LUC@)w*p$E}Oo(z4Bnj zCEL$a7<bxUbzdWCvSs_f4l5^iL3=~)V@<azY_6TLRur|qlwp<9cJ||(lbf}ttQCKL zHnjR<vL{!w)WyluCvWihecVG>{B|SLYWw8XeSWLH=<F`eIv8O4&wjToqiTb!UD)P` z>kU^JAA8hHl9@Si>GHaV51wDQT+VJV`JMP>UzgsMA)U1y$^l{RrKcV*>fpb&avsa% zN)_IuZ;#GqcU9TfGOP*;U+_^nNzSon+XSwi5yBTvdyCqC_py8|r?YWdRsXLKDVr`Z zaqctRsixfUHhBB}^QRq5-qoylq{$wq9lZBxK8J)wdF=e)Ji)bly;2h{y11NM@ORFq z=MAEQs$p-^;&i8N{HFJAdegEj@#}|{b)+$Ot$n)ADP=|21m2qquCql8emonu@@<>> z<D-j;_et%m>inxSt47!)e0JD#?i&-O`db6;SjX~TKOGUQ+v&x&{oYQ+^_}w{p18j6 z%N^5zjjraIf20g&H3`{2lU!_e^6nnFsm2<90+$?_`x=@LRtDa9KiP$&qcxsQU+96W z#Q~3+v%MmV^L9Cj&;GW}o9%C{z0AG7UzR7M=XK@X+1v7JXKb$4G$ppysaeeLy1sI) z$jf#;^5>6)Q<lN5GY!0}e?OhYKEq1NCUxqD_nd40&Dz3Zv3A>$oxW_@L7eL1u{*A6 zoxJ}3Q~9|)rSH#H3U#YCcK$BicTfF&=9;*Yn}xl7A8vh7`TR2ax^sU0oO%mE;cQop z*Qd>Ao_RX?MN4!4<0Iayk3FuDcg=6S^6d5-W&!8)*%5-zru~)C4ifDtu6_3Tj+xfE z>z5r|u5aJ?wyn^HWBwMa<LsI{bl)^rdgV#`{t8*L=1uOZ4&S9NW*K>#j%eSw6R>&F z+oeep4OmZ^@~|EHeOX!5^>6vorrZzuPnZpvC0?(NsH}XEJiExI+25n~Sorqa1!?v9 zVNqI{+Go}ke5(C%>*|+^C*r%K(xR1Ka7I1RoL{E2YoA$t=e%>59A5m4U3K<V=ikPk zVOrDXy4_Ye^uh3T#0uUhxgO;QXCHr8vtV76Tk!vH?EZoc$r>*9YIn=+U1Azf=>_|Q ziznA`&OF7mLH{Y^x|`=7?(^C6GIP%vTc77ArZ25{mA<-Z;l=aaf{W9Ryh&NinkaqP z`np^6n$VaqH>U@;pTzEH-T$xY&|;5$KNUM}9Qe`fJY|oMUDuKic6wVRFHQA0^z%kR z<%WcnMe{Dme11^6HFQU^of}upSCLN(ukTnZE7nwTAxZXgY=*_l%{w*jUH@osrIY=b zAN!vzhuch4#3a?vnm@d>KKu8p_1}NLU$RkV`F>R)7vAZN?`7`I<?&{)`?E>mzUz** z)VCZL@^4xFep&qc!?TmVV%&a?c5Y?CM-|yGNcV4EJh}SG`T1rmwOO20MbktY4(}Cf zcz9S!dGF)0#KN@SH#;7Do3Wxk`OA97i0X$oN>`lGnXz`S^W+W59S5^oq)xhK+}iUw z_229dYR>0lWtZ3KOm%lmh;-Medh3yA>GX1;j>(Rf?2kQam;9X+U)X*+WQXRB8K1Vk zpJ5+q+qy!(_r*S;EsIWceaXnPykwUvBAb2sTg(KO(q5q#%wLn=*CoG+66TQD{Nd!B zb+`6=&HT}ROa8*Mi>|AmEI)gm{ZsKFyV3#%Yj2a_NW0P(c|X?3-m#kY%OgVU=s&p| zpM>_@{~jg({jjF}*93j3JzqEQ%vx=sBh1D#V~^S@CL!@0)eC9ty<Lqyev8?s_}sI# zsj!xxW1H3PY<hl5slak=Z`M@q?4S)%+af+OEHmmq{oQAY(R@SS)us|0^Jd4b?g({0 zciV6e+rKMbN=G%0hVd32{<bB#M7!qRG{&j`KV7R=eX%+2Cfa+Jv)(mU5zNU_$hAz_ zvp)1EXD0J5%e)_38@K!LCgmmOoSeRSVz2epX_a=jOJ=N_{LyIB0<H&9YqITD+|wyj zui}~z{W!Tu_p3d}@|1wkf0GX=OJ`(#d+7f~ow1?xm)60{uU>mTWiw$}dRUXQe#O2g z>R)|kZultL?0iqGdwT^>*kiq2I-$Oo%6;>H8VB_J?#?oGJ?E$vS(5ar`NYhSn;P@_ z7Ee1<yVhil;Rz;Z&eFons<S6|T$ZmDw{8+B6i{FGCSmu=<M(C=3l#=(ZW37Fx{O=H zso*_t23HW@nLFEdSa8WX*t|}>`v0Vm%ZfwdKI|+N&F8A(Fa9=iRot{{p@YDcqU0r3 zofcU!^EclAah=;VLFKz*>pia%^Z2CZYbj*iR@lOKXZNz&r25)laf@_%w7xJ^uYPtp zbY@a&ue$Z`k9U=C^Uuyp4LGrW<{IW6#pRo=E8Qv>7Op#3@AqNCwi<Tl<~en<7b!)w z@oANAx%lF^$60Qsc{g}iP6x-|HeF|V=#|0&&dKdhmNP8o{4B-2=tY1xGm8iV0|N(x zd2Ccvrj^`$l`RYmN4799@G@{RB$lRR<_8qzC+nrAq=kmCGBCe-zc0O{DtUsMf$*~% z+j4Jjv)z1Hc7O715Ht7oGrsaSx8Ga;*|F=jSLv0?Nq(h4FJEOu?atm%)YUB@D7ew! zukxb*A`w13E2AX#H<&CoY`CxgWW~%^r;l4V&J0_ztv2xHH-%&`N4ZRmBX;Me7!|!) zbk=ogirGqE-=^6f=gx`hi_G4~YoPBq&C$j2N=swt&ZmA-tGCV!+B9W`vX1zQB9&=6 zQPV6E`a?QimV{k>>c7i++nS3Wv(_BHwC>G(9@(jrL<H1ZJAZzdut_;-^@Ku0_BS6N zbWbqy(O<UWz^x~DrwZw}PQ7?VeF3ld#4QsRG<gVr6gqU%BivElrqQVDeCyH92}=+B zlZtrPF1}ysK#%IAUgPfF!mAqlSR?(sCv^)S6!%l&HWz!nU3rPf?aMJ=QYt5?hgPY1 zO-^5-7{$hQ(L>xg{DBGU1S=s<_35qC*d??cs2o|qC&v7pkMUg4lMfS|1GrB(xhxIT zYdw0{(<x--?e0&H-(Q&JdU56EOc`Bg?yJfCPp0fhVt>CN=-|=dn@1)#PCoIpyngEp znQ6kB^1r#OjXnmR+`!@yxMYgOx^|frnmsoa4)=y89B2u9+G6zBbCJjc)i|AqE8*d( zo5Um*9`F<r4~sW2F5W0Dnx^VFXGX?WgNL4ZSCw@PPcGe(?H{qZb<@4;v(t8FMfTl% z+-vwed_hLrOd~@UsinTDZ-QSoStn}V6kgdHsp5D@e`feBxrtAr<Uigp>GhU3_I*92 z-6;D~KGUjci@!)J9oS+pRq$jPPoavD3QtM$HOpgc%}!S>>sk#jbKcBnZERj1XX!P0 zYV_v=zc(;OH@Ea$KDdc}XTo%gL;s$#ujEzH66rN}dGz^i>!E~3VNM>+pP_2`eFwKm zO0_g@xF@%6or>!+%X1sVBsRH}oMU^u>tPQckD|`CWmgUwlt|ssnzt>IzeHYQQP9M) zEZsGyc3qv6?|(q-Z#(ZhAGw2**u7R7Ul#E8?CfLQy|rn@y?IS)Mo$h#Olp~%?e}$^ z+lsOmUVfbF(btyW+gJIl>1dT_r?z{uY4S3Idf6N^K3Ts_j{RJ!vL-4k56B6MfBv^@ z*7odenHhQyifxl(Rt9GsW2isDcy7;A{>i#01d9K)6>mBu5L~xshY<I&T(QYUh047~ zc4y|WGrdn+;cD%BfWi3`qd1GK-V?XKAukp>?p>ofW6^`y*b@eP;fXIk##-KRQCg@k z?!h~YX~wqW0Wnc(_GcYWUyzRuO_e;!B6a3e>$O|DrmMWf=R_z7G)?)rx_;KQGd5xt zX8MMi+U_Sed<aNQ@0uN;dSj88gj)3?1wjRegDs)CY{z8+0uwdfvL`*yUlF<O)k2|% zq3!%)M>3U-MG{@?1bzf`Gipsq@MX4P-;tHN=4RKLn<;*ca;Cg9Za!0-;(ti+iGY*h z#jR63G_6vT4_&X!VT<K1DSjpGbn@=*Z_>P_$veI!o@I3X@$8|6g+#^ElobzUo(1_G z()||sY0;6Alap1YE;HsjEc&MuCdK;9|A6zeNY%cq$hSe)GF6>Lo`obev{tn!*via4 z?2u}pb>o}w^wNaqN^`Do-HDo8$^A{Kj`@uM?~lnRYQC!Js)lWm2!A{E+K0@BPj&SZ zV-6NHEM=I=lNy@x-M>w7^@Hs)e`+qgaZ!xfWVJ2G?rh0QkLx|h8mhy^m(QO4YMSqA z)kMyzuZ}WIvS13lo)mDvapLyXx925K&*;rK-<kb0_RWPZA58}B+Dj9Ttz}v+y8pf$ zFE2|^hQp79y0eQa-B^C?5n`?v>5_SSF}{U~)okKP1$pn2S`*iYtP(mtr^i($-|qID zoDa;c=d}V?$^5XH?N@apm`_s6jaNC-Lb$*GmC@W5-<G>^JdIgSGiPSSM@qK7%C{2y zbE-eVAn3XK^3E<Bu2~gzJC@&OW?%iFBHVwGiN(I6f*me<WL#SoPx!LfgmqU!CGXVG z2Q|KFB?}bA{Wuf64^QBh)M`)I8F7_GmBIT(dBVI~iB_{GvG(pywTrAtX^2p46j^j7 z;AcSxNA@b2BQH+>IUOB&eOf8g&V=K93SHaU%b0XFmdvnxFvUlzxo5t|t{cLlpKin- zlGpcqvnC?K+q1{UPi>Ndoy8;$uHO3%%(lDCLT<1yZ!(OUIn#Q+5AT(b8BSb0RxX`$ zHM!GVPN;~=J$(J#>8mx*%21P@`#+YZ+J8Crvi9|vm3mK(`xf~gDb+AJ)+G_XM0~Mf zv&FA+!Oe9So%VF7*OrC1eVjTo^4?nR8xC*Q_0`EZOjv#3?0Ip{H!HapUtF#C<kc;< ztn(}XPW!JYRXXjp4(G+ofs70ue^>w2`ca^)v^aj-gIVg9onM{b)NtSUs<^=HAJ6Rf zCtoSdU3BksQ@dE4b<F2ar#ybEK5%!Kn=EtoaisJoWiC~oc)ma1`1fn)^I3f^+41_@ zum1Dr&Oe`I&l;j|G24ELcKSY+428fQ?FV*#I6VEp@zvMQp8ftUPW+g8;zYYQY>WRr zxM`n|^!{%So9#qZeOJk>{gY2lzr4CMWm>=csuND<Hoq-<^8L-9Zgcg|2QJONxZvII z8jm`S*6Z`-Rr(&KxIB6=dtV&OT+dFWq&r(3Vy<hx+WdySjN$p=c(J4P2PCUK4eu;F zmX~`bHvOQB@ci}P`Gan_*B-2&6gtuBZbbh5x$$)|*Ya~1|IhY2o{(@r*wLxyX{OqV zDgPrQ?M`{BA5s#&a=4z2i|zg!pYn_Qe*9_M8aqEy`pki8j=yA==8LBZ2hWhnUb1yn z?|xVP)0dZR{<VKedfKw(%a=XkV0}Dk=6=`n<>|Y2r+Bi)ACJ#+e>JNq<=?`))hUx_ zEm7KYW8S-#xf6sgc?ex{u<DrCx9!I6wx{0{zq~zEzxBxZnsvNYJFYew_f27+&naj( zQ}9wy;)1hHy_%O*rERsU!yf!iIyO;3ggN4jwu|5ehoa+B+??zN9Gf_7=KXr}s8f4! z=lLtr+(!E<=j8kP-~9V(Doa*Ta_KAS!`cr@cbAradL~t?I-zIp&w2M>|K7Rd@6UU` z|4GjN{qx_?pF5TN+LXWDQ<Jyaz0>W(>#W0~9D9{{=e@sq^XAK!uYSFF<rVz#?Yy6_ zRQ}jUb6EV<|9*C=NWjDcc2b|KT^|*0+ilDG=U%Oq<>wQ&-an-3=bkSr_Rh}sF8=(X zpxSeX{)0WLwnAHP-@Pe$dUB3$+=FQwa{Ig8-ki*MomG6hTJDo{yLH8b+1ay%BMiRP zxh`3zRj@BX!!vB+LOstkxuaH6M~(Xa{nS_NbP!}$?ws@f-Mu?^zI=OkDE!aq{C~Qy zj(m~7ee<XP+n>)$u9v6%y3bM_SEhemXU&?XV*a2j%PyX||KDfVg43saCx3moOzf=5 ztPjr}zSQhnuC9Ihurlw9y>pTj92OKQ=3F}Z_I~S$Q>T7?m>;_RlCSB%c+J0W_gk5M z`t;9#&Fm$4{e3#->%~(`jkwcaU7o4Sy+(4{$>WzNwS0_=7k>YFX8-m7JqceTuYTNm zczKlBk;u9K()Y_&>VNC63uZpEH|)rs#bN9f$8N6Kd}qp}jXm=!?)-l<|3HQGYK~6| zw_pA=W-EXGdNsprBa8p7Cw{Zf+jaiR?``eB-x$AH_x#QMlL8m|FWFlcb2IlX)7Ia1 zR!Hu+kRU576B842(ov>O>Rv3|M>Mkw)f||c4yZUQE;zjCppt{*A%~{s!-pIj91l1< z9dK%9mS)p?;MCmAwBGyJeg-~`Qx`35B3!$dFHKRH%x|a^q${1F#VYjfxW#3;sXcL% zv}5<|D_t44==tgutIxSL?|Zn->GZ1d6U&cyUleG$ZouYUBCh?e{Oh8PVq2zpukOq+ zRPRcATBWpW)1sOq^FM!i^KkbQi;#XR@4cOC{WPk-SIn4^P;}Mg{eK3hTJ1d%J7Y50 zMa+VXZCBQ-dw+fQ(%<`@M|keNmlmOZzrLr2{7JPeH@wBZ;YLO4mQ=SVTcg!%J#(tM z<_4b7I#DI0x<&D!=9~(iDb;DmA02d<G_ibEU*M{Z6-#Ol1iWwJnEvF8gUS*mu0<06 zlpdZ;T71h_G>ql)8sE*qMY)DTF}%80-1Fy!$ffUD{6j!#n^?@x#-gd=OP8$s)w^zT z<+;a<F8WSJ*F@~Un^qmWdw*4_@1de1wZ2)e8e-O_yk&j<W44C)Z)@w82JugQ8LQv> z749#ZzxoODg36|<-LEb3-`z6QRJ^&+A-d!0HWyVcqj~y^?-&P9-(4|_D`Mj6liQBp zHj7D)TGr5#m%QWWoZLxzNz3$a9a3gjc*8$qzSZ}wQcPE*EGtT_M731B4+$K~4$@5b zzp=db!d$NW-wf|XS2w4Mo<GxAI$tL3?SWNpr>5_ecHPDuw&%ePGv1Xi*_Os%*HAfm zrDlRhXwm&YiUmar&S{%Q{kqXn&E(Cb+cq;Lv|@7H=4Ve%zj5L&wBK!2^Y|fqh17S` zn03F`n%qCNWyg(8^W>i|F3IK6v-*|&Zs9-kc7>|Y_eM`lUNZBPXD*Rg`OGTiee`T) zu{9^E3;1(x#QF<fV`;q?6`J|rrM5F)>%!`ar#BwG?mc~Qq0w5Ygd?w%)jl2K@;rZ1 z`Pc7hzUL3uUU1~#s^#T<*Ju6F|AMV|OPELV9+CUc)?J<GZ8%v(=}FSu(|6BXJrq0e z?n+VJZQDsN^0dw~yx9BdDUW}ZgKUWM{UrDOyjMF#nzjoad6d2<@!OA-q{I7i4G$T< zI2q3A<Xe5W*KM_HQNlzCOZAm~*EU5PxK8>kZ`}M+V?y`CtrctPrgoL+>^M0wpz?Fa znsWPtLM$gd7*?K2corA1w8z<$f8Ht)UwQN7!T|q(<b6SfGh5bXxPSW9=5l?Kqf+7m zCz*u(<~!TOl!FWXcqENqPwK1bR(i2k>g2NPM%9uxJy#TX$S!5MB0D$EGJ4%^?agy7 zW|RwtT1|1;ny?_%XM^}`;eE-iCCcAp>MS$=efk&f!Xlj;CDnBHQR(wHWwRr#cuUsS zWFLR@k&R)to0Q`G<sr$XD}G(@`egN2<MM_T3zlz`I(%JA$!RXL+VWqU3&m&I%G#=K zzV+keqnHU3)s|L$x%8uDQ=fV(<8+l3FQ%uNP0yRQ`k8S|dLh#$0jD)G0b6JDPS#i= z@=e}mOP-+capt58I)xLp_Eril+<dV!b>~L^O}F-HXPZ}WS>2QmyJ^oYW%6L1vPz$z zuGln5W`~mt=l$Jz_I}*C*qs-)XB}jARb<{Cd~&x;|2@qD_Qhrk-n5ypvVLae6EkR< z{pEeFw~W+^P_>-7HU;vrJLDwKAAexZ`QYW7ld>E5KNl;d&$_$V?3n&ZtMmEuv_w;H z=Q=m8x|ZZp(^#e<b+XC4epO`T|9d=ox~4xQ-q+_UG*sQxx}o;5RK8ZRHOg2eal@3_ zih`K?FP>H%NH+GHeJIR8{>9duFZXJ6B%S|+-BrK$Lf>5V6342_rI9-~_@{r>N^kGI z{;Ruv>h6xj1ATr1S_P{P*j7KA?RC`KV@W5+)$Ho`AD%zk$n&E0_>abn!-<yMvS+kk zrp%~Vz2nDEIqfxl&wp>xoxUU8uQ>C~zj+PkZlpf<?qof9tlmR{RnvBwn9*6&#i7Zk z%^SBadAo^GMLGOsTDW}PZbQ*4me)Q;?G~BSW*$53Uq#84)aHJj(p%vdr~9+o3C5{t zt5znocYF*f*r^!hzT*43Fm~@J?^q^Woc>epOkB>!DZi)MMJs*y=b><Lweq|gUu*B? zrR;ukGoEdEocf^2%y0fZu84NwgSk^y_8f1N<jvc1A!v_v=p>aLO^V-nqP0@F-MQAx zTU4@5A@bAb*IOA)U2>lo8Z4d?sJ0;{y=eB~-E&lL<Q}Pg+O%WSg3YZldT}3@oS3k1 z?t&0wexGLT?K3vjNls8Ydpq<~`cln(*A4U+amTjUR)-s`Pvtv*&}8FE1L4xeAwGt! zUybgDZY<t%`^2o0ZL*WzJJ>8Px>miUwkgJO!{H+{es4as<i|zn+lRV;7fkv#DJVBd zJZa^gjbBrCbJb7W@bdYM{cca=#Wx1nFdNFWyez%Tw>SIMy-C&<p#pD>&o4@2^3*yn z*E?m&6t_q{_1O}0u1wqQ^~7Z_&(r9rA0IF6vi%~)F3+U@Yu9B~pG(gSo?rTVcGi)` z9hGNaL|pbxl6`;IYAbWx*&YeYYcA(M#(wm^XVrFhsq>wwO?KADH~#<my8ll8y8gp; zv-!<g-hSgxk#3*rHqp>Fxa<5j`;#2|?;O8rKdqVR`X)K!Mej6buF=qXSYzUPD{s!E z^(m(r^;f2e&vKL%SnK}VH1=`Mm*cM&XTRWCDm}qD;pKDpxV<vl+;tm*nSC!$*C~xQ zb=>{lQiDB{H7_G+>Y*i5-W@%1=0QuLPTe(8jo^vLkH0jMx&6`H{K8%Cdkw2s&-u4G zdGBR+d-n!aHgnOib_elS$4*=?Jn1YdWRql=al0&NooAzr-O2MamUdo?n_uwlX5Q{8 z?D^*%(!xxy-%k>rtg+;&>A`*Xo=rM>`SIa5%O_7Q<dq9oXR4a1-Zz>1n~JTP$a2H7 zFN-viLgSto-|%@S`gy@i&&j8hzs>u@qRh!K_uvhaMc1m7%=%Yu;yY0Jt0duxnO8`t zu3XiVg%31iq`o~~e6{cHPIK?0|GIy^t58lkaD#QNRA##1?Z?WT#|wWw%bb+WYN&YW z`I5$h{Rir7KXX;*r`2$7dgb2v|B>q_vEn-?b;DnDOwTH_{yjBGWWxkb+kNc4O-Fv_ zEecTXzVOj^;=G2V;=6Y*yt}^o#01-9pUeC2ZM%40cA=<cnxd1{pBR7E`S<ISV?qu` zyfmx6G0*wpg_aQM_cw~}J-i{IwMyG}rtA3{jU{2f7GDbfnH)8J!Rh&SHu1(YR{hXT zw_Y3e?L<{oz3?XPTZ!j)dNsx8c+NUg`=nV-X=3o1W2<!}zJ8i_pTkbNGwwrh_uP8r zOH=Y*6<=HyJ-g)Q4xhqD{OS+g{m$k!Unp!-*C~7&5<9)4@z0N}+gDU?@$A@JKS5@i z6#GN&uXFlaf_BadTkK?zc&%Mo$ah`KhD#f7iQDG?cm80p@2|E=MXBHIuGr3hD;e#} zRSdtaU;cOLl9OU5jcob#-vx8;y4~94<a7S=^xi*y(<}a5Xt?8%d(g-E^?TQnh|O<4 zhbKI_C6W6!#lS@OgKY1~KRQJleEzmP+o^PB`l7E>RTEZ39cq&P;n(z0C&u-I=G9vh zjOMIW6`Sz%_#56`FP~oDFJPvlR~qp3Ov)K=vDQx4w4$}H%C`5sd?(pyrR`j8e{J~` z>3K7rKW<Zf-4wsu{><bVH!IZry9}5QU7Q}G6|(7+;^!GAR)sg@xnn{*X0tRuzu)=E z^`!5{ql@mc73oH-5pq=e{n!1p%(MM(8$T{pjNK`5XhQp)$}XvW{qX_D>qBq<t~2KQ zJ69onrs$l98yI`t7SDTlySsSxgDLBtt$)TAvVXqf^q-XyE4bN{MLjCzZLA;K{#&cf zuuvn2J8jW}lHcAOf32tbomCJ{mG{xVW_wduv*nH3Mv3PK>?9KB7e(FJ8rbN1*~yYW z$^Du@TDRaOwL83g?@QOThxEKi)&Egl@c1X=)pr7$@~2JD5;-pVXKu9o!_&4=(<DTW zdoOsser^20m3rrk=7~z)Js!PdOZi6^_an{qW&7G5znn9Z^ZFE}J-ypSxzAQa+JA1g zU%9qT=6(2@Xb<72DL?m^eLKzhf&GV?VGB!%j0gwU9?f89AJMx%A1pEc*3+7*{rY6m z(a#Y^dw+lWe1z5dh4M11bSY2czL`I^PvlWERLHGY2;bA0BQsGXS4)PW*hEo$-75E> zn&<C#IK8rNzPC=pD37)2@Ru`nY0jS}I{bOJu=}sO{8cvB?KvGm70N}4Y&`KgHTwhv zW<HyCg7eddm7jug6LNS;>)*Gwz5ngA#9QXD!~0v)6d&Gg-?sbJEkTbjFBFVeGovr~ zah4Z7G}h=?+tkX-INf2Nefiv@uf9L8z2j+`W0fM+l{l59`oXV=%?www9$w5BlMQ}U zv~!CL-#b>j{&hClDH^J!=}SKpt8P92&G)yG3A@sXTZ~`x=DNI2TGMs#?9=7nUhXqm zzkd7qtmk`*{pydu-@^aGdR5z>oq55te{Ao5d@Jg{QeN!!Z<oW5YdzAJU#^<0wOm5C zcri<v!{q3&69MKcyBt#wKeTv%e~HMP#VanRhOIity}J1`=jF>iuU>j^8|$uo_9UzO zLaVHx>e?CE*Equ&KS!)x?fxb7%;)$Hn|*(u@;Ds7k-{XN(Ou)RcxA$ytZULyx=Op{ zCf+|Gu6>N-X3vpt2W)l<+?G0Sf50r}$f4>}FQ4^99Bs3cQrNRN_KZ>5>ab0kP3MF+ zgxNA5YL77ez2)DFV~iQrk=j$Alz!Hk^{1`o%K7ve`G+pQPRX2GX3bOn=61pg#>sDd zB`-{QCH8kO2h+Y!Hm|xCS=pY_6OnZYiA`S^YRA8IO+_~2>N_X)A8K0qIdhd@)wXAI z1cdGiY?`BQcYck>l!~&4F?nALPvpJ)e*0v~%+SNLx7ptL_4V$IL%%yEez2+ub8057 zzd!B%_j=<6>aUIqZ2z*9>EID7!N?xX<37A+4)#Cws8sm!>+O_3Izft;oQj1sm9I>l z`F}~m1(y?@UYfQS%jGWYwXkBhFSzr&hVz@)*~7P7f9`d!wMo#^d;09|wtF+zetnzs zqe^zVW?!%U_lo-|l1i^4uV-u&*%TKjuRiszdAyVCd86yguSU%>y}x?vy=s}bd)W{6 z8lJd!^r$E6lHa?(Wb93Ct3G@xvB_k)&d*=cD#iC^F1kJE1^dmM=+3q!D`n4|Y@WPS zzIiI={Lf*X$|_~5XH$6XYdG`{o-dX2jZ7;r=k?vSiB;nAf);tlg-361p5Oa%@uPFK zHT!mQuyOVJM18G!ZLT`y?MH*+rMnELHd;$nFE?kD`oZDNEutPSudPz!b1O?U-b`h6 zR1Jrf{i5h9LBU^wf7jS`N84STmHzmR{+XQG7dy>AO;<6mtBqNc)w56js>xT|lQYgg z33>b}>g9Hif-v^K3E^dT<tp<|iWyB_^g6|Gj??j`lB#E>Q|k{fWgeN4vYkJ?EMu?h zqUflH_SgO}ZJo9CUgY(ED^k9<*e~3$Dm_i^!ezCqZw|b9!5SgFs`7=%?+K#$u9+?s z?L3*!H=p;7*qE$+uf*NOWp~}9--mp!%jCpoq`Y2lpD81A=u})#;Wjnb-O=om^(qxV zY~-?Y?3%yo@2UCKKF3;5Pl#ko%HE~UkSW@0<DRxK(Cdjy?8>_a)%geQLna*Mlzre4 zrP4L$*+HFoc45`N$K-6f&g{D0ubp=CqOUsVCCdQT14lkSbGd&i;7QDl#l3Z%D{lF1 zebD{bxYzx9>yJOG)9ml1^E{eeQufNLyZVmX<+Mw;r#7=h8-0EFk$r24=f$+MCRtxr z9e<vbzi`^;nT@eU^Q2N<Z%O<#(?eEea%5v@tx)tk!(DfL*H4)eeK54nFyM7!+0M83 z{l5D!lnQ>Ax~HPhWLCF%)}{O3OJ}==H!H{a9SdPR?DpeS%v0{#EdJ^wSwsFEKeQYA zmQ;qGWO=`1rL5YVtc5%=#cu4W!SWj6Tv0A{?@leM-Scmf|GP=^Lmm0@FZJ!r6+961 zNz<offw4Br%COr`%yTx1{NP<KGAoDOC2Yd1@@M8RUFtn%Y@I*-N{!mnknV3zc9R2D z9CIJnZvOagQ8UB2RgJrK_g{?9uVg>=PeRxF^7N0he;!plp}#}p(khFbGb?$coyv>m zCa2mye|^WQ?(f^OExw+0lQjG}|NA6(FkTJ6Ct&j~psD57qowEW?x>fZtsEyMw0Y;* zT201)AW6ZmOPxfS`gbXLpRHc=lQTi>!BUBRW#6o<SK7SQT+S<_w`0ee{r_uO_Nh4^ zEC@c;U@;{tij_0=9Sif>DmhQ3mWA3~8gZ^p%YHhae{jckI$I8Rc+$a(zI(O>{h0P- z&D*l@kW~iJfAo43E@#`DHcYQsBY9U?<lMzqYVKj4Q;H)}SKRPZnY#7@KdbEiD&8f= zH*EJDxR&cM+f?QG-YH(ezK5%}`5$(>a9iB@k=C7EbN}cqTCu_L^7L)CVdj>7O*ce8 zc{^TRC7Su<^Xu|OSJPjLF8wAnO(o)?ljq9}CiCLhjHCr}KH460rQQDR(BhkJbX}+J z<kkGms=F;GOB_x$HBQ`;@!);Aam@_2HU6jdEx+05hF_~;Gf@<I@Gw($fl=I#DHjAc zM5{-4wl3WHHG12e?@RjSSKL-rcoK2^$vV*s9y-6TzHfg0)>2K+B&=|f=q$m)7v`TC z%1b}#9nH7+6);glAo})?tB+oN|Gj<hffV;epJwcMbTPF`t3}WJ{86o`X&U?q2bG#c zSF(S*oL8!F>5z2dsbtSK(`!Dr-zr`&e85%vam!?f_iZzzPS*SB1het*l_(gfgmsy* z#FjTIPAT4!p;LDMdR1K7W5<wPi`W9TE30yMm+U<?k-5g~N9M0jn(r6vuQ*wd?!EsU zo6zIs%||9~w-y#&yK=?^t6%{JmZzW2bjLG<zV|fQrn1UGnS(*0O2M}Bm8X2uzrPXg z=2v=qU-I4m{Le(Z<8hD0*Yn%Ihl}%RpSgcLI680Xxyh-*6E^L%dAMV4LevV2(h9|x z?6g~KGCH*%-*;;~ap@I*<&m{3c-x*p#iHDnb1AF6l=o{gE3=!dI2CW_@nOTli?-MG z_g<LbV*K*e74C+Gmn1jV6g!A~;Y)b&xjE+8^Y%Y-H?4%PO`E)%S$9Q1cn#Zg#-?M} z^cSyQs?YaIy??g8$G1PMHs7wTwLbph;%>v=#fol^Y9!--r^pu^sc_Hy=5dI#)$_=Q zC{K<x$EK%kk1J?uaJbg-|9JELpNVV<F`o`rYTK^s@s#`^_xSqeUkAT!WZL|zrSzLP zZ;0@*?kJaAd(z)3-!GSr{c=-Oa978i>+uO8H@Ey*?P(UO{c~6CsU`MKNnbz8?I>qe zyA~-Rs&&F_k@2$cN=<8(lYfRz<d#l9a^+iH)&~pkem$-0`(q|G8(w_)mLbW)%==?k zi9p_z>)tt5qSLdUnzQfonR{jJpEn=X_v}A-w~S|!_T*XzHq#x<^9?Fbz2-j}r?={N zzQ(;2msg!NF5c6gCA#!Q?a=xtRwKmD)otGT#DDFkHJLLFbp(HC{wy^xTCz$fRGrcO z!0R1LWNivpm~(7=Y7rxR;#sqJ_OqplQ)Fi8+5X`7(#d~h^z%*H%~)aG%|d@-suET# zj%tmc`^A83i%D{7+4b0y+=na#nz%I9Z#Lza!<ft7&oHlpx#V$zXs+J(Tb!Ra2p7+A zpV&NqP5G~vms%HHZWj+aHgVPwwZe*r>KDBhmn}*1pL~ej==Wjq11t94IyUWJuyvI5 zyYt6h@+z=3ieE|3$UU-1O>V=M;)I#z7ymkDUOB_`l{4z~>5Y5|ea#Eqw?6uDN%p_w zi!*bdDTeM6VzlsMFinowle64Mdv)TTg{yxw_xY^+S9MwBHJ9G|usk8BLtkSJ%XN4U z9GA1x^sc!!OZnl%*zH|2F4mMU_S|tsP{`PQRh_>@)JpSaYyU(0YbM>=GheD}D(816 z{l$Hoy52@TOp2bdykp^{UrdKz&S-zAej~;^z&m%(akoBc*?=|2p1=Jh7(XG+bLBA= zzNl-b|44skfAML1``c$(5?@(&TV#d5o)bTPhPCZRw%Y0gCY8!RR>{b_o>?E}+sG*L zr9-SL`*~qI_klI(YF+96CI7G6Ox~Ac-MFr$(r8h&mkWPDjEMK$)9;H;mTT{u?>}k% zx^p*QP3y@0uilX}Y5tU~digVj_90Om`}i|MH2nX3)s5&nd-a8>ctBphVaNn!>%+E% zF9a)1jcu>`rX=qBS9mskQ8Dioa|8P&x`I=M9?dD&KDxH;aqY?TqD#(sI@o&Kf4HMD z_5bo7RgVL#H}q$=I9@Kz+<oz7v3$<jZ416O?(8blO6J^SoHyH}Y=_#s3o|FHHt%MB zwEJ1B^p^LR#O;G;_^)bPB;WhV?(ftU#v5Mk;aDZD*{}P-V3|%_+4ZtDW-RA^&i}Ok z>}K9xsSk_fMdz(QShTLWOfS}Lt7J73>jTyNz+WZt6MW|cJkqS+S~Af-s_a(lN4~#} z(i-wlKX%t?$|>nr8L>VQaCCU@vA2Ams=#^UXO}NAuhm$$Rna-^pPr4qQ~Q~cg1s$Q z{?z}iNK8)Mq{epaWYeJqZbqwPSo&DsS^es`{BY@UL7{hNcZKmQhfnI+vrOM}nOW?T zX>4psOfT8R8K<%JG0v;5S8|aHxq9=o@jriw7yCCVOg)v*>YOu=!+85^>42m{QG*E# zii;||9b_h*KkHlE_K;sc@4St?+p`%b4$nQ&yv&kO<V{auW4jc?<M~H!p4E)Le12x% z-0qB@LDLUh+4A$ejJv3jOq5sKjvM#RZDoBBUmF_zCwD4Gx7KALb}qY+HZ3KGl!bQ1 z&$=EzE?ZxE_3E+A<y%W%vy~l?y0Gl)#_O}JN|UFvt_j)ycoj3hlGi*tosbLjp73S7 zPALh|_b4jQ{cXMeCQFI6U!`^JW^=U(Py5bXS3P#~=!E6L<z@$F&t4ch`BwS+Yo+z~ zrW%M}TpalHv)Osu=MQhKUfjC(_V&+@)EaIci2VBG=ar)tix*DL{IQ(P+^wO1JNJEN z-SizRU(eAgKR$(%n@>r@;bB4Y^ZK_J?U%95H9n)|*I8v>eRbL8Cn0kW)}^<lAIoyS zx4P|d?7?eq46pdVlK10!H(y^?J8{jQ^`)0W8Rc}@W^u9ftoVQ6-oG~1Mvs$o?3DM+ zlQ2mUVED1|_9jIiPVG?sc_N{_+ka;6y_dE1W|LCcyr<_*aPXHG|5jhLUo}uTK*Ld> z$K*u$G+Pth<Jx9>woXoFxA?nqv*w|PUE!Y%)?eA>9ragE)O2#{TM_fhM2DVWl`SfY z6ZU?1@wy_b@!pKm#a*X=UsWplSH&9fc<G*fS(j%EJx;y5`KR!sbq;4^i{{K(q4oK{ z_KGPg`q#c5e1AbOXU{v+yP7FmMX&DE%JbYJHuXtn)X$v`4>!NMpH?4NK1(ib&(41n zpWKeh%w4(a=W<VN5u^UuO=heI_imSbp#Ds&T(tX{f@n7vuTrtm3x1BG>vLxviRIb( z=fXj|dvCui7u}&I%f|HN<`2jHc^VuRURz#1=$**SemJ!JtBQEsHalLeqAyc27R=c9 zkmt~hiA6dZT(_PSO23)xln|h8qQmLD>R3WTMRIXh<An<w?a$c+v(Bq!mJ+?;>DX24 zv`bHRSx&=JtB%Zt7ZwU`omA!Z?_d0)hJS)0>`K0i3d|}_E@oU;Tij8w?8QRHJVBOw zn|W9~CVh{}?%gGS&i|dM+@3df=L51Hf4Mh(@2RlDxLfvLx9&)vs~6*ww2(6=jc>CX z@84_EcAG^_mf!T#>I*TivEH-rkbLN_4+}+w-bCEX*|?x^VYF05X5!=pi{4x)N-_99 z^R#b41cybV&is|meO$8`O@;OpBwVmlT5!Q&-m2I>M&&gp4D4e&SyY2UCJ6Dw>14R9 zR1Mkfd3>9PQ3&UM_1{-Sj^|tCtEVzw*qpR|`Q6=tmjjiJysu37sp?r-pL)7pv;TMC z)0>g`kJAlny5d<ixkA3Yf3s%=$N$%?1-W7CZq-TJ8ZS^<?&`p*eSkqxG1hT%cft3c zJO17fD4Y39L*lL3Mje+A={HLaCp=qp?(Mrx_v7zgG5A+y5wZ7Qu=XJ}x2Nw;{p8oO zJaEQ0KZIW?W8qbGZ??>LYMUyyT=n|$>folszXG4s%#J?&cFXx|Q=Sw&UzNLj_sip7 zA3wkQ{&{-3arXNBbzjdfdmW~I_(*Q9`0M@a7EL|4OfUM=ow>7Rw{~88Ue)=~(cntR ztaV;IJEuB4y>5F~c%g>ivrOG3OXu+Z)f1|lmAc~0_HC_2)#c_5(uRC@gBO@stbNv? z&6M&&`-p&W%mn7H-<I5WCJ6O@QZ_Dnt|Z9E>Gk@MQ_`|Go(D~q{u7D6Y{JX>>Cl=c zo}-E9+GN-L6aJoK{OQoy{9s<jMjO_1ksI@_^-J0C9thQ#Wvpb#=UKG0bM>@c;)<W% zo$FJ)v48dfy?47}cvh+lF}SewDtECSX*H`mpmyCw)X2Bt8GmC#lY^Sup$503jgCyr ztxT+qZS5@$Z33o60`h)sERsq#A6_|CGv2A&u*6G-Yl)Wg$H_O^??!f8|JIxTBdPe` zkGB{8S?oT^SukHz;&79p!Zu-{t@Gxx7a#N2R$A389rR^2L!4Gn1IMWs3t4nnmYhq{ z|CY2jIpdwQ=kD**+53}Rc6PnmlO21K<7A!Lw*<ZXUBwe5Joo2M;*MPu&ZN0&WkvR- zUpue6C@!A8qxZC;YyU>apCTfAtuMWP*AT?QWudmgPLaKJ=7NX`3w~^P98!PkjjHP3 zs$;jKM7n3rXbMS`SJ-85#jmT$Z7jPx*^f^_!bS0aN;9(%-)bevlm!>-K6d<V-WPew z+MY8h(0NCM!K2B`7s{MTzngpg{mPh)hmv-i{1jG9w3fYh;Ki}RtLOjneSXdUYcY3w zgHgW6TzQ90oAdt8dA`Xl)qknat-LIU&F}4RFE-@Yvaqt;EN}TMZ?jg5)Dgb5deIB+ zzdxsYIPBIsOXX&5zq=oJbiKUpF0|nYsO412nCkmt-D8KReu^ocH!nZw;}q}wwJF(U zi#ppTQH`!c%ajjo4y*F>d>Hyz@4oU*fo1oFT#M$X?{$*6yuCN?hs{!cqkyAZk1M@8 z$A8BB!s)c@lYeDjS-!He{d^Oru!yO*&W3Y)oTqkNO?-UQe&anhQI89I1r44Cc;qhr zGGUMU-{Uf0Ykl_~j(gdeG&}al4vmXR(HkGItw`rpx!dZvz|rvB{28-7SJ*@;Y_Z+8 zxwCEB3Z|LolARVR$V6S79O&7U&$H3r-D2w$4z=`?ubHP$Xb$jv(iRrea(8>~3f;YH zEq}ZhxH{qA14&T<X%Cs$?F(P;Dtjff<#?(@$eNe6`_A}&E;*s=()g$~FL937*<3Gy zJt7kNCX3hR1}nUIpBkfPyUqW8i^?RIo--1ev*s_IdfHQBn)ltL8`E6Z#4A0PnY!AR z|EBT9ciC^4f6PqE6TY`!;l_=B-*V(5`#35B&nAnmoUqfGA@y>|;d9}SuKc~YxogLK z&M8R>lCxId(KB{4O8B96YWcZKJ1ZBxS>v|vWP7Ja`;ALaPfXnZN@Yj&zv5FSUv@~w z{qu8VQhn?HC^nx-Mnd9w%cnctLDv^J7`>U=d&Y03K_kcJmtR60K6oEDc+&e++1S01 z^V4FF(#~(vmu^WMm2lnp{-}Mrxy{}QO!LzhwQsJzb4gyGIp*VZ{eIsIc05Am$BP86 zpSoOqC{B6pnRz<OmC|xk6q`gjjAn=#txVD9_|_r5`j|k3=*Bxv``Z6(6l&Ne+>!Zx zn*7&4Yxt}RSFPcZa_9NzCtPMKE-@>3>ii8IK8vn7@*5=v#%-&x+F9ZtRB`JU8|!Vk zgAN`Cas-o)iFq!)!_hMD9&h!FivQ2gOenRR-t<NL_><5JrW=&D6g1{(=U-jcxM1dU z=dV*lm*~&2e)96n5u4ZDYtG;OV3Gg$Xm<bq1L9XgdCPvTy~O0rqv+3idC7eFnOlAc z8~xuiJ3gXw_iWabX<~<r+@7A5isZe%VxL_|;KRGV<*jyc`;<~FJq7L6QiBbyT1}43 zS1>!{c1q)(`Mq@!`gR=8);pTJe^!ZlrSXbs%eBD0vwnVi%l^9M)TZ_7PkQ}xH1$lp z6|-FyU;hxbD^OWKaQ7w~Hx0>je!uCu`<UhxB^*B>XecGCUH{(U>hHdpYqUO2N_IYY zR#dC&#BPok2EW!6vwWCTY@HsO_eXQH)Q-Bfnt~zA?}ao!yx3(jee1#E>uc%`udS-q zJT3UqGQrh*?n617;{2Mklk0BZ^DJsScQw;Nt8PwAb%VQb{7yE;?u92?&&T<nn6o5g zN-bkY*xoqB7Ok|4B`a2#u6o4go|<vEH|?U$dPdfV8#I}Hx#e~2%3>6Mr<!e@sa(63 zZN~J?yrFI8Y)2L;Y|3pFcQ(i_)V$yEa@&L@OtOdL=bi4-)#4N5;NaN2H;AkDp}AgY zbMftaUvG%mt5hveIvV=kTr9-oTU`0G-0PRTTOztuR6iKhGo`)bY4^=cyK!>L_J@V9 zO`m-isJnJ#@jbg`XDvR?;#a$|)ybgywBvfNRa+*R<$v7QR6avv?Nx?<-IG40=Jwt# ze7DuJH@8T2PuKLt+fMn{ol7b^c$Lqn#;q*O{O?-N?HglvpX_~_n6W#{agkiJ&pPSn z-RB?vS&}8#8N)Zpaiz|Bi_S+nV(T29H2z5_OYnPI7O$S6{fy5gXPa}x@_f^8y8;%n zAKzA&v|s;UQ!%$s;!3{W>*v4rOkVq94_|_R`@I>@QkEX^C}DB*<XJY6<@I@<xn8%M zUu-JU>z7!`=YD*P-L^xwmn~MEZpO;HV&5&!Zq0Prslje>jOL%aKhzvoNfj!|$z~CJ zve^8_rhjvKs;A2_8{L218q=^c{M+jtFLf_O$#_<I$!7#j&z_#<Q6gRw(7aNi;MX}8 zi{iSCORgqYcwMu(nH@EaJ+?aKnApJ*7Y;q;!X%D)+YIKsKAT?8-InYAf$!KN{R*SW zpJg%%+iQh)el7_N`?bWPJ?J3UwW|*}SMWXZ?0ICy>dYz_S1R-|j$h|rOLydsBS+@( zW$jaN3$JxF|9X<|V8>-u)k`n$v6!8HUaXQeW!tg)pPa6_t-lyq89Jfq`uEuhuS+g3 zwXdyUt`g?s`Zn`Z$=&{U|L<Mc8&dMwR9?47dB=Mh?af^K<%++`$A~@Z5;54(bM?Sn zmU=EZ<$m|JGuOge<Ex)lGl$PTe%k(O@eEs=CAlX=CjEIQmbT_gQ>R2i?T+Ww;T4-s zCbFK8jW$2WP{q1xe{ao)^*6HaWJJwe-!3}shj+i(Z2$iAnaP1O&tK2HB*Pax$>q78 z=B=+UwfAx_{IR#$<x>#f&phqdao$nV93OW|ufM$EZ&;FWe%*e7>en`pe&;Nk`19Ys zlWD(9?}q-Ey!+-f1v%;7N=EBNHk{L){1}f#Hm;6*){`NYvDM6?_2WwpIW}cy=WAD_ z!|on+&2_0i=xKRc|3qxuRGYq^$2VtkFI_5p<<P&Liq1b<Zyt25l(wDy{cL1Waqh(V zEctU1gtyJIQH_k<l{4-3<9%zzr<9zWE;T{+nb)KnY|%0^SMR+n!0}@8^kWC^zC4nr z!*r1OLX4b~{f?H)bLF4yGD+i*4}Qhj)t5T+<JlckrrXA@+?Hu@uTo^`;-i6Y((4cX zU~a6|RGvQZtXG+x=-Mu?wJc9#?YN^9t5yfjKX=KZpJT(6KVAEu-^g-Vm32^9_$kZ0 zmaIaJ)!C(@JJxcvRvwMY4lUX>OSwmRjrUDQ1M|u+c6J_1*&hn*<xQ-1yLYoAR;0jZ ze$r?A*Z<Z{`C<D)*toVksCu&e6;FwaZ|;fi)b6>i)m6&PTXcTL{4Wb$Y0H~mZ#ZuH z>)OQ*A8YG$*98V~4Y%($tI1yCTp9UWvEj5)Wm5Z}@=l>^3U%wl#WRGJ-LLHPZ)EY< zEF@77<(|MAzyD*xvZmzeOxNNX|Grr*9CSW;uQO}eK55~Y^UmS1+|#~P%FTHHN%~yL zLGz90Pp{Mc8RYYk$9Bn|TOQS|x_Z`JErKuJ9Xh+|Vc;Jtey^Bij&F<wm+pSjBfaR# z@9pRAvoAh$DV<M4=w7Lctb~_PLjLo({h`(EYv&!xm~C>Xxc$bN=|`%?i==i=&_5=4 z>0MvYVJjs8ZYL4H>z}9Q>mEC=^I@jv?WeXgj^whW@7H0qd$Z!#5>DwCQfwRnP9Kc= z`YiX`&hXvPYZ=&)#N?^x?o{?;eaR~SEqBf=S)aQ^uDGi!_WG82D#>y3($k%~f5a{2 z{uKVEN#&!g<N4Gb5&qXc{9p;2!m*Fx??GRt_WsA~-BOwF3&^)6-q@!+wPgO}sa3Ce zo&IN5@=U5*$*kpn^KH7rit<IPnD2bHl)f~_>&Ve+$ughqm(ORdIm&G@LA(0qlZ{8b zV=52lh;NBLaoLVhqDfEe-TUhs)~{(iv3SGD#UTdY4@aj=QOLj7S-rvXnn<Mcw91a7 z^Ot+O|9{hPH^}$bo~&z(HR1a%XwRB6KUD4&<0p>`p0_2JNM+oQ|E9ve_+W?gi5oq+ zTTJ+JZ`a&CVRO~KUf{{fw;6Kc4`umf^45j(9Dns|2k(J+6Vs(8HYendL}%B0RCRr` z^vDLSvwyg=mA71-`h&%Ff99dJ%O{J+nQ19)-Xpdq=<EGxFN4T+T{6-95-O&yUz8`t z<WAH#l-A;~<*Mh;v-DPfQphL6(6KT6!sD9Bzn3*-x9(%VJEiDD{1L-2{g3fqYkzoz z99;VC^pBqzGFLy|d+E*n_jA~)OW*jCDw3KW+D-L5r+#w5-rxETO2zK+Ne&T@qE>1) z9jV!V>fj~U`m~R~FItChKQHuPs%Y6x_XWJa<I76l$ZS#bTTm-8Bh=g=AmaYiJLVpq z3xlK%o;x(BcPcycFAt3ead|;cB|6PcXuf26cUfi0VV}BGafh=7PY!Rp61c8qro)#B zheQ@`XwGV1r@W%b?Do5)wHLg5cAU{%Dj3C?wr3rey~6&VE4Ef7UU{|t?6ezSJ`~0; zyCH12Maz$4i<v#Q$$VMAs2xYYB}*RLzTH=vBQ`;Ldtr5mmgU1$DIxvYOH6s5+V9-| zCXHQ9bAt542a}#ZS-kao#=$?W4<hyz-in?1MB%bzRF?0ONbb#_okbhYuCZX*Zd-8h zk&5u+Z};!5SDP|%`BRY_SrOZ2Ho2E2Z#>jv#IE`{K4O0RtfGV4?_Ds-my=7=Jo;Kb zkjr<W(T-K!drBPaY8@r62wCK1dhDxE{CF;T*1JV%e>bR{XV|Y6>9+X$r@18|)8+Ci zo#OvX?%3uTu&T9s;p$_Xg1@fd)tam_wf19(6L*%l!qw0PZ`WKhU$H$)wD<j-!luMS z6$dUb+NAz5(oH!s@7P<GLcQavy5%d(8uLq*XE(i0yOmlw_3peUQM>}}t*bU~J$1vQ zxvGtIVv(`IdHbXdN9No8eX6_Rxm@EFf5z!betVW*U9a<R+j7=_wlxnVjAcaUZ(;3o z6WY&z^U<o%H<Hqg-p$dMe<!ebo-;XV*{`bct8;Bx%JgG@zK7|Y|LIc{eYyIJ@YlcH zXJo}M@_+WZfA8DPBbN7PZ+_+JxA3m{iv7FNrmgh*9&Il2#wESz|Dungn)Z@6Ub-AP zB<Gsa^ljVP_pg5k>P9N(>VGx9;*%d}>UOL<YKBX}<=PJwmOt<PS9+vB<D${o2Yj<P z3AcruzV|khS)tTy`Tq-BuQ$zbn}75uL(mzM39n47&#`}E>X7W1d}Q<cc|o~?D%xjc zCcg9!3Kn~G(Qwn@Rm&g5GbWwCyKzTv{K`;K@!jUiaopTm+m1Q3{hjil|J$S|vVZP) zNAva1JS!IVCh%kF{<p4Q@~bW92dz(UUnuskPkFNS{{Lo=kLdcE$FHkdaOT;?D=AHS zrn@ih@#pHBb|SKiY4VGCHPdhTH=HjyTw_qI&1SMOs^~+~wl$kKCbX|H(n>V4TKicd z`uT<a*B&xPEeneT|2H-;C!SHx-p%c|Pv|I<#^#IhKW6e2ct0+y&E{Ub&1}jy&)LkM z=apZWd|2cvFZ-3Eh^s4gMFMw~Wt@vDTRCgC>-tmu^A>+y^d$7HfVrt-T+*y&OUA-U zr@r1jEu^c$6q>bJ@T2Mu8=r#YH{3Co(}YAL?X@|}kDlJJ;q%++Z!OPFdlED8gyn(| zCyk5~z4vSR3m*U5*B~7IboJ*Q`+QD|${m_*Y{90mXK7yEAr70G?uRFPn7>c`?0D`~ z#`-YTV>5o5UGQN2=y$umwP9t3+sn1e-|GL|(>$<8V!_N~yt7Q7s|l!_y&1MjNq)Ph zipECvdAIAecJN6{o1A*J;B(95Z^smFH#+>-`SMvLOaAH&nF&IUA75)$=P0xofA(2p zw)drU!53LM+Z_s*6)i$mt@~~C!F)l!v+!24cQ^6`R-Mh06}n@i$k+A$w4q5}p88~k zj#Z0Iq9<#-ep|X}_Ujk3UuW#T`|fvIX}z3{vaq=CZH4Fm-|n-T8@*!UmX<k3WjcTH zSgjH~_5N1i;U#%l9bFTyYKO$QN6KlgRl97p{N>a9=~HHTU#JY-UNVb6|Md)x)z?-v zpV+>}q%f*vhMk>g?6ZtiGqI?>LO)imZI72rv6f2ld8@w7p{`f6D<jAzd4coC9OErN zW^Xxu*&`(PjF6vX(DzFhUk2K+)y4D#Z(7swEa=dR9%WuePm5`tF*S1HS3Q@O^z7{4 zbJ`>(@Oewfme^;Z<s~0@j?DCyUa1%6mF4WaY4_W@Q!jI(^53p1%3o3L^PnS0@?g2l z<zM>S0+hbZ+cbwasPVf^{TcDLc~ch3Us$%peFodh0>y`wZ)Kk!TI6NHrvIi~)-ipq zh}Mz7yV)Msn3f0ZXqQNo5~>f=kSW%mv|FuUv+S+5Ri7OaY}=PyPTjR6&64rgWY6kA zJ;t3^gjciNds<$RyJluirSKUx6Bp~M0-sg8&l^Ub%*dVdGqbQ~o5Dk<7ydgY&e>61 z8+g`hq6!b6hwX*i0y-(odTRb9>)j8|*rB^3d;P+m+p0M;Wj=i=p1SmE->bSMH6i!s zUpRGFZoQwn^)j7DJO19VU*f6zcqe1ims83<k%{~3UasU;cr;_#74M4|1mxrIZBIzF zHZ0nF`A}5&tg|64N6PKyuCMkD$@u$L|MB*q1^jXA#AI(y4V`haMI_?ou8nGXY!5Ea z(OMC)?$b^GXvRaOsaxki@Db#gn=y0lsb_octvr4#+kV#zGxieE9S<j&HZQa4zWBy; zM(7^FlT!V>J_Sp1w$x5y6%|@&(CGU2u;hbj+qb$c<vkPVERdwg|NZjL$rqZKa;kTq z{O}<9TJwbd<x|{eF6f>f{X0=dX=%|k;lBp=PPQ?KeUUpSo_5qU&|3EU$Ln(|T6%Ux zuWEdCZ`Qg)$7PGJJF-2WBfi{wb=EQK>pyd^P51GR*zUl8SbFoEzn{<Ka$mPIUe|Z; z_jbonrM~M&*nOMaPa1a~uq`YI&2qTZTK$J>Q=;R#$xN@s_MX4Iv-W0g<sbL+$+rp( z6^}iZSUcIg{Gj9Nasy=(>7xE<yPa{ILNSS~b7!{KR7^fvoYQ9fWV-FF!#M}lp58D! z`(4ECsfNSBuX3q6EJ=y$61{%9&S-OMnaF5ozUOVpwCc)$4W|Vre68ucTvoJxX9TC< z{(p6bm)ve^F;^XO4LR_%(dt3P3z_5h%VXDnaC^dTKRq$PG3Ynf(J$Aoes0+od@*It z>op2z|He*DSuR+R*t#{w+B0(Iy?YDy&;Pbism#~n^nVBOb}rFv($9W7ZV(nxWIo$E z&tjYU3xnf(v!qiO^)=oP{qmV((#hb@6Q|4VWuB(WvqCw|bLDJDn=|s;YJ<~nUO3JA z-9&+}g584QK;b#(g_AiXMWap!t$b6*-lsM<`p=~uYZos0W*m_kQm|pBX{V-L;=+XB znRVaJm{du09hF$Sa0{Q_$=E(0u0OM1JhFTDaXNQ$7@uROUvBM=lw;xFbYg5hR2ug) z%=+Qqcxee|SN}mFhmx1iqJR7pefYiM+_wEW&z)n^Vs>9Q)>@nKZSrUH?#+|!&xdI0 zO?)nSFlo`n)r;ob`=JrL`{(;u3)9bWLO+CV6s3PVduC+{ll-P7wHAk6qIX<ASsFDX z_#_*%cjw;a0sr{-woYO@G2`X*EqlG*iQTrjxV8K7mw+D`p8_Q}Uh;EGNfCGuc2@Gl z$HYa|nPK~qrj(TH6sWyE`TK_WWZ{|1ue@Gi+)<;sH*Lewc$u}DNl}xsmVVIaW@&ol zl9ZLddSjuQa9uWw(i>jo!|wUV6k8T)iGR_)y~b&g;HSE9!*jO(W|ch*d(-x#XTh_` z6UQE^-?+V8E@%4}NpG1cms@Oi)y~=&K6#RddWcBNp_JtNkCP>i2g%+0`6HRR_`}Ym zyGP1Se0j|M_+f8)JKxjQI(}>$9<FrDSgrq|#Oh{((3du^Z%Y~@<^;aG{bcf_l&RMg zc_occ&0c(p`OAzoTN39zZVn0gU8s8h*jhVj)kO8f1&lX#{gw4tEF!aySL)h!=7;eg zXAAbcP8N*Vvu$~eputtsKWXy2cy{UP`>H$ISUPRk+#I_2`yH8MrsuZQ>|K6k!G+x4 zHo4kGzNfh@(o$EJU)yNtliPNraqm{$rkdLcUK?K>s<BDBq@mb7HFB-}hAGc9tb=c4 z%PWM+Ftsr92f4(bOMDYpd7E4JOm34?fl|bg3JtfD-vUm4Fgzh~;=~2h)~Tsaf2QuQ z+rLq`|6}o#gHKf!s)j``^Szd`TjDiaoZph}wP_YUS3XHE-;tb}_UM3&y{+BK%bO>B zmA)@|V3Xc<n}@2OeOAv5^7$>%#3rud{ekz1e1h@DyT4z2Ir8R*o)EthgZz}!%vQy- zDmTQQPh2EhQn|jpX6@SBzdluDt{0p>!*GL;k=>_%?9%Tg=5)W`!+(8S?rqzrD|2t# zZ7s~Yz3ukyYq7V>s<UpF{r+Dwzx@0e{mnOLrc6E?bN$Rdk23XFHYx8iw){S&sc)MU zm$SP&-*{SW+oqIy%l3=+^z7xtzI_OK%pvBw&0?kg{#6?CmcbqD!P}ZF{iWLf{Ok>W z@aT*7s;D4=H+Sk+x_{2!6f60%&NJ<#n&y)RPr1h*bww*Xxo>Aa4XPCS;?BqU`_+Wf z%bK<`#a|a?ziU%}er5kOrjkbr$35@$aWUB6TrBza&%+(NCpaIGDlXgdC(!M)ZrbbL zx{2BQS2vWb@9Wr~&N{P@d!_f2t?a#W7TQbF7jRnr*PSe?XsYsV@;c+)KkrVc%h>p6 zg~lnSDv?r_1TOaJr%QHjt5{~9-2L*FXW)WY?8>Kgj|BY?wyD^@;Q7CW^IBXNo{hOG z6x%jEvXS#?^)3b8e{)_mvK!3JnP-~WqS$4YDRx)w<~RLl=d%?Gv76U<9OTMVUj04v zQ=d+bcXr2_0M9Qr@{uo(>}h#y`6}VxnY>3wpIQZqF>F3meee45A~su}@;#G2+^GH# zS7mokv(#UaJ+#SU>DS#>wo2#D*Tv+mb?-0pFzv0Y@q2!DX6mQJPv5fqjxrtT_kX2c zf8k%!+NH5(DR#o;AD(D;8BeRyV-=IxzjOMJbBoQgD*8lu?}{~QW=4GZbpLSu;-p>| z%iL-msW+Y5?k$~B7*b`hFn1%zTdmS5qL(BeD&Oq?z9x6}jQC@0sow&ZS<Gmxe|AsO zLR|af6*lf;rUL9~)%UlqQ45i7;^U22{CxHCReX~sP7Qg<$h*e3zels(P4`(ue75gu zcYmI0xhV}=k{wlhGxk2))&Jb*LCmC;&NcH=xs(sJ^u2f@yjc2V4o6l-w9ni4fLX`t zQa&2aOI*2x<803Jw7<`t5BzwWmYuarcgB~56>JWF<S!R}Tjc93X!wVH+WAob6?Fy| z=Bj6`h_^8e|7|6;E9F5|OikjP^s7oz27)U@Ycdnd4SltAMba<S&;6!wtA$VK--fd} zhm8eX)VwGBit$r#%lF7%bIrAHM}?Kh;(q_#rStq&i?gjveW>Ny`0?leyrVlrX3r4J zd>ptpYS#oauCkYl9b6x|@4Xq~b@?&VYVS7lH9Vp-w}gZ&TjqKD;IfjZX&1GwOnLdU zMBmCeqHyV5@lU>+rr(s_cvoy5hx$AF)V2?L`km8OYAat3?*DjTjfs5O71h*G@db>Z zr>R8A%9^eVxBp>omJn@xY2NMp5X%dXmeq32JMez(>bFnT*8bSSw|sl=lw<oIJ`9?@ z`0lL=3)ZeB%-@$j*i#?0yX^SJtCPQ{sYkfa|IXIkx_)){`!`E8uJ5eBQx(0qux!D4 zrs;JL{y*^hpd51jPwJF85}sF@c>3PFfBv~m!t2DhiT<pw>fX;uO`4%IdHN$~!6{A4 z4}Ly9&+~_>(nd~ChkYIucX~{eeIFmX#JJTl?|mx!rlJs+o1X7$PwUEEQkn5)LxZbx zT%|Cp^&++8d3$%r)O@@sEbd=*-}G2!iAJH-!kX7J1uh0Es4e-q;7n13VepRZ?^_Ca zy}P`sH@{%;E&U?8J+9j*@~ohg{W|tpoCjmNotH0qzn0^koNns<O;dN?k!yXsT=ovv zyru$S`?+36^v<j~y<4l}$1|x*GVGU+PCeAc<{TyI#8h5=RE?eIrQ{qAw&#LXZ)7>M zEQE5K4~nnZb5~QdZ^49h=T{tl^8RHe^My0@_p+>hJ=q)<XSwm7j(*-0#R9hzIc&Z$ zEp4Co)XGhjVX;b>o3!QVw8m#b)_KOyj`yygP<&t?_r{Vfg+CWE#k($OD&1#mloqh= z;?KM10{tykoLj}PS=rIZa={AIYLkq6YdSN6Z$IITX}{8PXI}8~mvyg17ENP#m$3TE zA>+84hcdYx)E||s+<&F*M%%l;{&U<!6j)su7kU49$nx*1l&bND?|;m89XGhBB(m-1 zq;+#DG?XWuI6M8E?1~i2F0s({OXppfc2iD|vwF&G#p8V%W~R$O>hAj#r}q1Ivh{%# z|2C*5d;GfI`^Z7<GyA8%d@<jm4FX%F^5t)TKPbanT_b-%-E{em9StX*9ljx)I>~uk zhH7^F(k)Xa8ajkdyLIbfR;_J@f{#Jtzf7|hbIu^9lk?ur?f!8fZ}$4-OJ5&c+vzK~ zyeFu;;>?yqC&ND)o-uFs<z1pOQ~uxl-DPVpw#S+CG)8xe{tnKSD2<2;e7NKB;<u9| z+C{T2|DC!{;~rB^`<fpS?|(#=t=Sa1@x}rUIWDCaafyc=0tM%G?YgC`cI@I&)>{6r z$;V$9?q8L;iEGxasb1BuJlpa&sc>qF&1Jkj|NrW~j;l?_cf1xpqIN>8wdv@u>o@0I z>*l$vE1tS1LHA~HpWw9Ye@D0FMRswep43kY3GrB=FTfGNa^d{ON5To3*HVl=zVKPe zC&w;0N8MNX!SCbwm+mgy`o-J%*N3W9VF{}urYW79weGB*UwmcLEMd*C@c%a%gBIwm zXS!E!*YHc;$86Kjt)9u@?{r<(mf8LCv3ZcGW%TOwto@HlHQsc&dKson*N<Cgb;k7I zK{tkm`PZ}+mYP^_FnK+RINZk4Xd>>(AmKS{_YWqfDVj_n1xc+2GdJkQ3o%9rWOVFs z-&ML+xANkvP-RPVfx{|g+wC`al_@U0sWM6FB+vF=_SIW<vG;p6uk~l{|Jop_llmgQ zqJPFR5!q#C({84QGhI1hCf@CNh3&+NkV7kv9XNF8P@R;Y&NK;Wp$sGSsww#oYgs4F zG@WO#(n)a==bR@W@2eb^*mPB5nZ{FL%c|@PEsOn3_Lnhc3LX^b;COKLfVQF#50lu> zop#gqJzzBO=+!TeeDExt@85zhzxSdS4n=M~eahEoE_;9AZbOxa_A@+1{&VVNF7oEd z%?xR(U$SS)n!RsA9{&1RZ}a6=>8+D$xkXEVXGk`#Nu4;0r77{@!BZjo;+KXlUnVEP z%DZ~S-BQW)I?Y|Dk40{reN#bH+tZV?u<bfWw@X}TK#s|T&nmTE=FW4XcQ^~y?R>4T zr@q#D_SGCOnVy9v1ve_bzBs!7_H1<_7e34An99e!<-s{Gw%+J9GpaU~WfWF5TemP* z_3Cs+DP0ELudBY#|L)oT{`>#GiXZl0S{k<de@S-n%!a#1>y;H2ml&0r9RB~nq%DtO z?!WCxtkH|picdD_bNZ@fzOd)sV!(Bz{!s9?79$oXwrQ&tE&R85$4B`?64q74pD!c| zmww$n**qt4P2BD<x6JCh-<o^M)tfH<_rG&#;rIHfx<5~amc0tCoAYh9lW>#Zih0JB zf6N^hFfG>!Wq5IB<`fMM5k4MiK~63vXR~$(x1=L~{ZF2fbbGn~hU<H?Q-Kk=Hx?z$ zcox?zyx?w=(!qbpDobCKh0I>@;mOoO)ddG7j;bUq{32N7s~{=w(;}*@{YO+zZR%sA z=D@!9VXKNR?Mtqonr1EcylUEGqtKN71tRXRr~PA}U1Y?&?Bc4gTE|t}zV7E>V%S&S zAe||4M@@u>;q$8E?Yw_IpXX|B6bkX#vwnK!{POlEp3BO1FVo(Cyl?3e<x83BMP?g? z5;o^Wd9J?u%<IvzyGc9OF8Y%t)w;&`pN+x)WncT3_r!+YIm4qJ9_e*yUGZtlKl~Tm z+4X-qG0SEDOg~(!{8E6!GC#6qTIaP3*}2!gsLu+t_kY~EKy#+)muS^2rVHMJ)jQ*q z?m7x;m)4mb{-qvP?W}q#$MVzVyn{~Vib<Pwr#+VO+g%}RqPInfwKAQH#f5`q2`4)X z`<<55qQ?1V!6K5(EMkIOOv3Dp(qeraa)NwPTx@J?;&O~pb8IHGv2hj|1f2BHQaN18 zDbo;}7%dxYXnt=dyLIxGiVF5Wk``J5|E_m3G}$eGns|2Sws_Ip1wzYe8P8<jP}n)~ zh5uQ9@6fz{x!9n*f2}zOJ-b7bp4NrUvSM5wwy^K7yWQ{UY!w_&a&D^z-OpGay~1x> zpzrm1k&5!aue*Py7BP266nMPc+BVH1z3HV-ra@U=+&wMDx6eN>m;di2YW?cmo=*Aj zD9LcC(D_1vza%8eq)+_g6{=huDi|`QyF`A1`o7hFZ`XhFR^fPia^sgfr@mQ#U{OEr z+MJ{6893#J!gQ~7UgvnHmaM<I_knTiV@}N&w@GUcF3TzoSM6zxUe9S1X0yOx(E@qV zui<T}eXUQ9tT@Wa_ncWQ&SZr~s^FCsGlbe}`mCMnWVfWsI!mU^Pm})@wws%A(hkMK z!@=^F4M{f?9&ybOND(ty#%5$Kv{-xX{N@)|oBchCcf5YB{OZCpY2$CgPbR3w#%aDh zqBeDzsqrh@wnVw|2r2tztM+AEhTpcc<e4XYu~qcmU&EhWPlbPMJ@5CNk#+j%=3V~p zqrC<H+b+!NO5P~M5iGh|BU3}@nuMYIy!hZX*GzAojBL1aw`s8%yX>>A+e_cws&Q53 z|8%GAu<}l${Z9f^rdD15Bzr`q$K=n{758{tAI#5oPKaAoUA5xZzx96>gx>6G+kVr< z?y<_evm!ct-kj`fG<SuS9S!3UWc&O#ieo~r$<_wF$XiKa#!N-q5|nI&CYgyo6kK~| z>$-a%3au37YQLAx{3z9_wv|KMSZY_&fk*w?dpmdkQ|($en>l_;s_ItBCF#p;GMVLG z-K>)HxL1DA%-l^opo;I8r_$xXN7D_>neY8*x%udD<<}WK%PwT8rT17l9@j}_)qNs+ z;)>^{5aAf9|FYH+_t!?h;XZY1eql$(hAp>eO+9?`{-e9sa>L9yT3&4z-<!g?IHJPE zfOkvh@4XV|V?welZTJjAjw@VGtZ8gt#vFUh$~+_byY9keGY(HJXR9a*XRcK7tO)x4 z;PO-(z3}bde|T5yQ(N?;P)Z|5?8n<Z%llXDc=yYZ<)-&X{SB+06f`xqB&U3O80JyH z=qsBQ_2i-3ipy(+=W$N6af>*1DdgCPoNdx)jXf^kx9f|Kjr~`;<k0@TzQS|nPnG`k z?sv~5qj>McLp`s{ug`kvnX1dWp~O_2o1w0J`TF{tssOL$wo<(DUkkMiw4WR6K0Nkr zp=)Al6QhRfd7jK2qF%164|7eb(fMYz+efdEa}Vo_wy4N^Uk<jUx=7W3_?^42>z2u; z^v8cWS<{d1+?=xXsCJo!{sRrpLl=e8TI2<DmhlBPO%4s8p{eC!`s2r=n1yS9o-pB6 z)74uy|FEl>eZTP6Y611UZD%aI19ufF=$=+vcGq&(E0f0sO%f+n<XY$QH5`1RqnR{$ z+lF(gP0Nd3hn!G(aEDv1S^uo+s+%qWc|nWz&EM;HDa45JHBZ;f*PE})aI`MrKb(I3 zwXI^f{DRE3s43p2vJq|{vvwU}jNjP9`z>0^US{I8FWQD*BR{vse|p^%=<cDua&h%5 zX|^W8?l&*DUEFLxrJOI{>Cl}yOiDK;4XZ-BK78^y@<nmVo%~r`o?rG%RXq6h{pTY- zyN;!2KVEP5y?A}Qds`{%+YQ3I6niHw5&j-2uax(tWt#cxcawPS_X|ke`PgzrEdJ~@ zk%_8?o)?xpJap+`lKOG!|Dhk5m!IfU3fi#7!bx&vepdZWp7Mxp`S6>olN8VT-8>a} z>)G2jt+HA#SCQ(H4sF9Dvpar=st5fM-PprjV6HHI{!+GdroB5O+eLoOc)U6BXnbc+ zSfl;#r}AgH5`)@h?ghL&ulIk;SC3U|w#?>hR&6w|Xf!$UWX-$-t`|=Kd%N%5lJ^tW zeA_I<6_>H|-;XO5f`PmjGOe8-xX*j=_G`#FjYn*+W?h^bz4&yqLi!=)<sT~8KYJQ{ zEED+s<ia-N@~gLeU8T6+dMX6|oBCw}M@m@a6^Gs8tGAwD)MVV+w4+Y<gf7Eeo0mO? zOV9b&7d6K#9Y3J^=SAU%qcb#qWQjif`^;uJ*9nG{V-|8*`W>|)JEXXUly34WA2~YV zyW8>|wO#MU9dD`5yu-G^*+Ap%8f}xQN0uK?&xj56ynRw%M>thD@1L!B^vS6QkJUH& z%?c8Ju%l&p#A*#5xfg8Lty)x{ei13jwih>UJpav#`(osbA3BFjYAkdYz7dyU&Plm! zzIvgNqEM1|=Y-DQ(jMV6zRzBjGbblLigW3I6Hp@bCbVbeQ8h6J&G*}1{+SZE@9W$S zi8U{$m!G~7U}Nw{GWO(>xOw|x4Gv0f6TJKLw&<?blP^o6_+rnyr+qum9`@4nzC&ZV z(5#(%jYX1t>|$-E*Jbkkd35Kui{so?ov+@$kKFd=^3L0{x0UxBH9jeJ+`?nNv-?ra zhb2L$Cm0FHWawCbI)2JRW98rJH{}Y>IsBZNx5u%)`_8`^b1a`H&wSZE_xsuV42vg! z`y~5Z;_{(MkM?^C$z1tfuscHWb6eJqO>49|9&z~wtnEJ3E77bjz9&ANop-wHkC*Ra z=Vok7f9n0Pyr1jv-<z_P=H7lqnH%P;;JdwQk({E7_*>Ow`nM|nS|-o<dpYpyW0}u+ z%KR<85!X+buM0}{UZ5Oev`Xeh+@rot%BMOqS)N2)d}4mPIqR3z+|2JAbES`8h+?<V z>#wu_k;Qj>aoF5f^}dycclO_Wbp6GdUDxXBBV9haznOU?&TZ$p=N{&~Yo76o?JS*Z z?X>Sfcx+kmY(e#cEXRMJZ>adC3#fiq-sHDXu<UQxiK7djELxam73n1TGr=Wm{i}oa z!kail%GsV~oJ#fh#r!@jG-uPNwd__R+DWf_H#*F_zi#92RSO)NBi}Opb(r&_#O2lE zm2ay3-8K5QUjKh;+LxtX&fniZTYP@IL((iK)tjyFH4eu%_Gf*0*R!?psO0udv9B*b zW>=YIXmfx0shJ0!T3_!t-=p%s{E415=Wo_yJeu?Lj2DU7_-6c@kj}4E&9dZ`h5z-< zhb%c;F8qu6_3~BlgaiMkr8sr{%Ju%8`|IG+iHeElpTC{>@cm)Sft;9<{Hi?y>3K}s zwAz9#PqnTQSK@!bZKzc*+BGju^W#cI_aFNbw?6#qFMZw2eC`BuKl%CVEo?>iFo-O@ zJ+I^KPD3e&*mu@FTjtjpM+D84zh_pL5VQXOD+k>vvwF%N|GfEmcGK7RqI1(;_%_@q zKb`e{-$|ZBj?C3Ozm@-V*{4Yvd}WG1ecvRuCu!vhF8^uzR&x*c&C<AY{;X!=qBl}4 zQk#|PXKr5LmvWJzFYn}*(p9A*?FV1`_Y^IT<>^0XD{AC4Rd9xK^#8VL=jyjdBxcUe zo&2uc_1a3G=i9dLk~%26>A7Uak?YCKJW&%@*e(6!G<mh~%jxwVOEbByxifQIye=rU z^sbHYF&#JW83Fe>e{N)3zrG<a_Wr~2%^mf3xgGEG_9t=AwY?P|>)d}-VnyW19iA_^ zE`NFwXu;5R|6#o&^PLq}*FG`7)ZcZ$C%Eo+&_S`M>+~%qX<4c+$~?4ZTKNpMoBv*O z9=oS<`}-kRHW}Y8FIoEr8wai@Ay<X3y4+OaUz;y>xpLp5o(j%yKf{jMi(Gjx?=p|| zUE^ZGJiBi>tdgFA(tmOj_HBP7zKmnlwu42U+&>rguebg=XIr(A)gOk{Zzc49cyG1V z7uCM;LuXO$pH)KN${juV=V#0?nO)xT;bCNI+fJ`lb^=mykL>;gR?0nlA@xBb&!ITq zz}KZdU*Xk(l6-^I16BD9(>emJPW7wI<-9z@eRk$UwJH}Uktu;w0tKciFFG-!N;Js8 zQstBB4&8MPZEmw4*r)lM?M%C3ms_xuIeqEBlH6V274<fHKl;{f)|L4DL-yt$0jh?c z3opsP5P770+i}PFjHa~nQ65`lO!cPDnA<sRPK3p!(lyRfVpDY3(pvA&(YmfZOH9!B z$qK7w+GT4VtL;w7{iY=|<<`=RP6^kp`{d2nt!!P-TDk4rbd7c9er7RxY_6-E47a_@ zm-+inh_RlZZ{Y$t-ks5*?8g+FzHW6q!n=6pjYSuOw`?}s_GD7?_pe)DKHU0)i`T+= z>bK%~E-C&x(_|V?rfIvZHNTaz`dnU4T<+|5iWY8R@7F#Jk6JqA&&1<#t#%SuR_Hpv zcKdF5_~E7->$g=sNa=ee>lc`v;xz5r-Wvz%jE>G+`0mRgo`S7LPq$=#?D+FsN%%`p zn__?La_74dZN*=EmnF{0>F{OPp7Qcm{ElL)D6unn@4kA*oLN+RZgMB*>&Mp|4nLg! zqnB5|e^-amcYTA%pjOV~8{P`>TE`V`nX-GX?*-lS1=IfYefwybw>vkp(j&L@p_eNE z5B)&*q}Tm#MAsc#6tYoA@A1s%7QSnutgf}@xI8n-Ze4L@a#x4R`o^#+Yz%h4dKbUG z5U@8iEV)kW)$zTL{%Leyne;bnzJIv-5sA6)n;sh(Jd^3VwyP(rwqxJp_06-~SIl3z zc+q4|^Y?O#S6X=a_Wmn;BENsp`ku1b%mas|HC@9@BWE6468Xw2faApU-tsMn)z^Pk z5;}JFv;4+4mp9)l-xdFBuG0<C=OXC|(b_(-2K*nM>pf0!>&v)&ZSvmzS1SA~_d2ht zyf`aqp<BoHwNK2V{sx;adnwjG_2cDBIbY|RWEyAGzEG@v-7;^}MbXkd-?m&>XeS~% zchiEsE&lnF6K&b59sQ<Wd1U3c!gbGwP19ukBIeF`I&E)2?6;X|rD9Xt1ddC$v;~D& zztNYtY_jVp%k#^(4$j%8cd(rO`{KFlUODO-Z1OsNeAB15e7nTtqS#7>bb`!z^cHTp z!29U91oMr=pK<r@3n|VCeyfr$r<}h0&ig>gyBnJxK9SB>@wps!ZQ;@TY3JkLE}1cH zN=NjOUS8KM^$U4YdckGOH>`Yg%_n$YQEch@66S@h_xhFo?m5Nt*)M!0tIV;h%e?|5 zIN!#+m72A}rmm}!&*<NhlZv<QFH?RM$Srv`ZR6BGJd%&z%{jc`=B>{<YM*@#_qn*F z=v>|V<xahh^50rhH(tisRc1o-=EVi=*mn1|%(<#*%MMGge_`lilFgb>`K#sm?$E;z z@~%Eykkzu0yDW0e^19uAZqt?e%O)!IOFq|fy?ns#uFmE98|+_xPF%7@c!sW)DO)0U z`h2B1i`FmB@L$Khb57iq32Bo9Qv%H{$tB-fWWVdqGIoJua_djJ&vcCYTHpFlWx*G( z#cp!PZ@b-eT6bWD)%{;(|MDhHK3P*N_wkcV==3QZ`gbH<R&LtYW_U5#c;?{(wm@@F z17pv~O#xo!jRLZLKavmcx?mFaoM&mi)ZrvS<&ZY_b0XSd>!v%rRxH~Wd#$7_?X~ap zx#=5sSU4|LaSr7<rFK9z;!1}7(?XNxlf7)gQpdUO3r03f(R^`Kby4K6$&+3b7@ka= ztbJ*9z=;J>flsI9{;PXjo3t)HH+-MRjQhq>?*t5Eem8D*zPX;&@xQ)S?c##zOKTDj zC{?Ff^!zvaeSY`p4Vp!(uU!nDGqo=9eA((_uHCC|3#tT{r8yM_UgLUu^s}V;yS9QK zPdOX)j*A}oG-cK56YphRtG~+cyg#w4Uw!WDxa*Zur&VuwwQv7+zkSAkH!ytOy!xd4 zY^HRx@*VN@_2++yZQQfk|Jmybzu+p?eN*nOsmwRGtG)YJq5Rg;jRji`Kcs{nyqIsJ zE!bnsyZZW#RUX;gbvu?#miT?~{l9nhY-$Yh4Kozumuj65R_-X973uhmv(o<UYBknd z6H4M2l}0e!ZF=@TbJCBL-|Bao`Of)^W*+tat^2%U0k3|K^NU5-^;LzQ+dg5tuJfp@ zIMRB?HJceG`*{;MLpR-)nPYLxto}re-1;8Zj%B@?CHqV+?g-qYcgV-!Wt(T@h0^jV zj;DF8k8iq^_g7jz_u7NtHLG`R_MNQres_O=qqeF_i<P8LzSc#DW|@i~pXSe6ptG9u z<1fEIo%gz$>XPm@Y*FXD&0>1+lHV1-xFoBGVYBozO8=>=)~v7CG1d1*Ne~<J-rmDH z=1q1VT}uLWb+()MI|W@2x*up*X35>FzGo{_zF?G=Xm{tdN{905)ISH7HeFw_cCvQq zmTS>66Q8YBDycT&2|sklE!t?AKF6mo&aVX1S#Ryx&?jai`s`!L(m8j_L=AiR_8*OZ zujknFbK;$;ze+VD59fL@J4cvvT`S36&69SZ)%R14l$ybd536feRj*|K7~H6`dGFG+ z<EJz?Xg&$o_H?{?ea@Z=&fCwnXia=nw4;jg{-?8*nMq}NESfINLf(=euiX%6o_~DS zao=B}R!yG+HSZqjDxWZE%lf@L6+D$LtdpL-xBTnd?@i9Jm6E*D<>yxfL@)jE{LCcf zJ-^wXZ`#jW9Jw&D*JZ_llOb0#Z+{QBIIp+dZ++LUW6}$sYZvo$KPz7y?<}^A@pNa@ zj1S*i^}<7M->Wo>o{;?Ev~q;s&U%-4oth;JwVY<Ye6Qy6ptDBN&E=($_#~r_Y3<Ld zzpmd>dup0-vagR<V%4k7d*hl5^*ZHDzE0Q^d^J=nMb3V2D9>vCLk_j96{>E#CR9I} zvgc^BybH_Bm!~u?pE!T|?52&yQ>yHGvW`4WHWS!auv;W1uE0%=V+}`ua9I1z9ja%- zZ4SvkytlhLF62DlHpg$bs!uPOy!Dy0weV$z<pDkY559(LXgo9e$v*#CynTco(;vwd z=7sV~D@{I}TWmP_9cTOD&W@SkEBZEnHcxo{K-RA&fakhFM?uvR3v1T<Q;zNmI({#` zS~zCYiI69gOH+^gripETvY+wzlDSj7mudXXK7S#NeQ$!T^T#<K`9ql_Owvqz59dFd zBvr%K(H<7}TC4HlZ)TsD46ly=el$mIvI$3u<HHd4RKxYEaygp5GoR}oyE?o2&j;2O zou5+dCzPih{b~Jq#z~u#(_?jYw=riwJhA!>_msowN<smhTF1}M=v;j!&TQor^+0|z z_BpbDr+(ix$J<iRr;6*>eBHZ#=7$_^Y};M-J3BG+wK{i;<Boc*kg2lDw{B-KHomRb z*5J6%l6ZVWXi8AnlN&CpHrXyvKWZ@9_eszuJ(dp_BdmN@`<G5BS!(gj`*d8U%U5=* z-!r+kANwNy=I!p>sA;uIR_pWEKg*P^*;Idf+FGG3K9w++|8YI5*KU3tm(naze3UP= z>{!C9t-kxc5Br|bdg$o??)|YzKi__GlWfbaUG)9YWZ8?VOCl;G0_*>l|2FGBb?Pj? zf0o77!=ACZ&bbz|FFrI*sZn8D!EjiK?Y>vK&1HMbjmbqvE^78W>MaO-o6K>1ryZ+& z!vR0tP}lboag&$u{gV2!o&R+0(&Ygx+xP9dtyBA2VjqX)i{$7P8^!p0<5J&c&e*`( zIraC<dfSGF9~beNbf~OK@c**F-g?pTxY*d$^8M#J?tILTSI^mD|B0p9u4DbBox#Cx zj_}2qy}OiIk=vjY!f@PMT}EJz`L`qNe-_yrzA{_aU;fiH_~9PMC+i*exV`I)^4_#{ zwfa-Xzwtk&R2tbVRyu5XtXy8=h5lm!=Pk{fmlU3rIucYBU%Xq<sUkEcsB)32VpjVt zrGFu^l`5i2VNYu=SsvVdfB7jF{|$lXCt8R2t-B@hKuR?DTjKBUC)TXrJ2{&5`{TC1 z?{}NK<?jx4dYcyOSLCgvJlBL*M@UfjaMW6fxhmd|?VqhZc=pM3#_O@7S~ZM2GuHpx zRJrKFJx$$zk9pR3S*|w}a(Vy$-^xcFAsmxdDleR>!rgalkEldQ$Ay)9B#%F7O8uI) zO0BqR>q_IM73s0vlOwjQ+`2jDUbK2pr;2^O%i@1L>#UU<lud(Xi|w$HTOZlFN3-ui zY{RFpGi(-HHZ?ogG#|_Uyp~@q?bf8dq8B(zeRZ5QZ!KPIce}Ucx_x5r=e6}K0{FdV z)G{`0?A;V)@%d!cGnS*DPUczkuvM;WKm0%PQ-JO6Lt5VyCda55-+o`}=I4HWN#oCa z_Dw4;rB~W-YZH#zxiKtr{XdPHjFG($4xQK99C+`?;x}&VPoG?P>CZW#=?~WZEGY`g zHhsKzV(XPPU-$m?o2e-fma(tdnrWlR(|?8sk5zohzACC7ARIYW?ChiFwU7UOT%DyT z$oqKje#`gnrbl(^W)%9I%=jeJES<IBb6WDW>nm2|@A6lP50P5oxG1wRW!-=0ZI7#r zL}%&5`DFIoWtl!te%j)f3W8mg9}RZ#gg$&xyJN20{fyc@!93ZQ7X&?8&b-O8gZ+0~ z^Zr>@^Ug#Zs1$ZBt##Psw3sDbXvY!xi(9_8%a(3yNplFUYWcw7xV`MlhJZe!zMGZ5 zPkjyHT=F+$gX9{+V`jUVvfWrGN%%=rl`!A0{&33VS-#EL{odgP4T}XB%p>0Wc!#Z( zFq70-VZ7V;-|sc|6#eh*C@RfgdD8rso5upqi(aRGxgBWozrXm{?5a7_-mfw{Df@wS z)q`n{dxOOlbYEBVrlwtW<oYDFo$LO)#WVgrX<Nsl`iI4Hmbr%%LyD}5g5{Gd8=QEf z-JS}4y%Xxwvbuc1(;G%dn%=c?Ye+V;W;-eW_3RJQu2>@<RoZprW7NS-YXWwr)$REz zcYfjP2dA>+UTVx(b#2y>%Uzz5y4tCYW<^}}=bE3LE=^+>$vW)s8<Mi(idmAo@S~Qj z<J^8b%kRASG_#mX<A0&vniHPuS&p!z{dx82pzW&Z)pH$hGZ|Gnb#C(8wWX&~B=J5+ zToX^$jSo?K->!dmc1h>r87+sDT$Z{QWQN~kE;w}XckZ`sp0l;`Oy^%vS|xQk>w8g) zl6CYGBjr_>K3raRY|$*|#J|S!Rj>bFysJ?(O*u`Yx600AdraOT)%G=U6_=V`ZJged zU;Ur!ccAReO=~sWj_Oor1~I$;e`Oo5u<6`I+f3OXQ>%BWFbD;od@@nD)nt0~l>Pjl zo}YSQW3>AH^dyFe|N9L8?)%BeCv4`=r|?ZwM$6LgXv;~N_^Iya_ij=@mG1rfm25>J zzk}T5Rqx~0RPg>UyH>^j<;|t7Tm9bNQvGgn%bHU<>Oy6=_1>I0^X%`;`Z7bhkwYlT zJZ$$8k;EBq|6C5dINgI~U$W@p+1|_f{>(Z1TkfB){4t(~p@u;pUzfk`6b-F=tu$ql z>bt(xKN_NWb}dLT<6J#A`sCmIt5LVDEnHvnII%uaahdUi(Ov&jM_{dB=P#Z^En1Tb z=NWvH^}G`lqM~Ry%Wm%ZoK3#gZ`ZFgylNVqnCm)qzuZh|^^$p8&L8>4D}DBS?1i`M zQ(c$-t}ZItUU4}2Y(msSBd7mAeyr|w*(b2h{FkD4gt*K+#sj4rZd$6YV!CzO`o#wq z?kbH<1=0BiM;^;7Z@IgFVfVDYCpFxr+l!x^mHFi|Gg$iEYsvieYYwU0`TG8}=9eu3 z4W`9?KRX@Nn_8d#em?Q!l&8nHJ2dmnth`)O%RJSx^}yX?wdid%zB?a_oSzz=GfDlz z{r{78PmdB`obYk+DsAZu8OQ(Udu*q3R(o)B#PHZ#@t%~Qsd2>aK8sDK-fQJ8k8aEK zAK&kBKa4xMT{}9u=9%znHD&=3g|vxT3se?_ESPj6;Qgz_wN*($EW78a{Qlj_d}z&@ zx=s7)PuwW8v{@r;HQTWzu;*6FXRC+n#rMsdx%~fhXP4i<;%|wT#>KbuyxJnjq{6_W zw&MIk(-|xrFPM2{I9mGJL?kmV2tOA0GEfS>Bz$^8(m@AzchBa4)KaCptII=zAN_d8 zS|=%*!*KM*N~umkx$^ZpB3cYI1U5Q6+rstF`l8{&I=>bB_ZO~P|3JKb%GBioHZn>= zVku4>DoRsyB$U|rcv-pCw1g#{ZIA1-<&-@6uyEnAyG&dafgB!v4E(waITo_b+Ao|q zd+G9fa&u?ydKGW3U8c2bZTRP3OkzUcUd8{@j*(?bNx7Fhk5QDV>W`Bq%eK6i6%o6l zr%Zn<b9^fI)QirZ`-Qf;HM}ia$~*PUOhLiI*XO65lg><jv#5KI>sljiS?L|pYts+# zF4tW!_k91~Fz$C}EQPg?e(cg+^V|E{EMcjrnHF5Enft3><*!t!lX>?j>C>Cvx?Y)r zC$Aps7qRln<k{EvJ^QcG-y9bwpEK*zH$Ba}eP3$UN~Wn>r%t^ovNk<snpI}9;G4VU z(GUN`G|vpa$MkdW3a;1F0=cc$ylrP#G^6kGamLV@WgAbexw+Q7n7Qmo#5$A5&A}2i zC+lmKv-7Ja-)wz0<J0HqXUl#(d@j1R-sa}LV=SFw_l@=zeOP?7JKIF(cIhtjn(_}H zc6JKR`pT<YQX;=#N^|P2&3`K-mv_wnbWiK(Ea{Xa>(ycbn~XS3r%ly+r8g}tZ5Auv zyt@6LEo{nf1uXrwVUGE^+tYH`r0tib%Kq4Jrqj|Pe9~L?cas}iWoEJ*a&uNxmel-u zms`?0ij}?jBpbh8Q>4h+?xmHHQ9H8Iru{v4X*<8Y+(P98$DCY$w3;XXdSksQPHC6G z%Uc!u{)ta~|3vX@;ktG^OOq`UJUu)m`{OM)xbIjzx!L(!!(P2d)@H)t%Ad7AuUsiK zVY=zT|8E}^7k_zlHZ;!W#J;Qlrr*~6d_p45n(IK==c79Le-AY;`&07UxN@zSZfcoG zLQYOde1L;<BZECBUoXS$AKh)tiYvGG%=Q0$;70k9uDVSt1url%e8`KHF=b>h6*ifi zDt!H_zt*wiAD8FvoO$Zks}uK+uQOl9-FZr5!c2iF)pu8Z{ugzReV>-C3&&Au^~5=P zcPcuR0!}SYHjbN|bjs&ak%FtqxBp+3@BPQUD{9M)otoCAx`iK=>~<M<Y)*K3)!III zWlWundGV%FCgBquHI{~PI~BwDR@EwR`WmiwWf}X;h2PdK<A3|M@|bjb+idS$(#-lN zL--5N-#qvI=!{qHeV)_T>^&R9{i-PD@lo%}Z;v*eae1y`l65!bWy(57LtkMPzmO}H z3^C0WeD&h*<5T8OF<5Kh7ry4L;Er7Z8$&$px_>;_GVx}nre1fYFK_sAqtya=K}Vd9 zl-8}ac{s;&`rE_HqYpGKx0=5E*QLIHpJt}5=-xHEt)k!R(X8g<mm42?|N8m;`1GIW zuk)Y!{etako7+~|kC#;|*X`35kIGxa{aEVxs_I<L`yvza4l7wNViP{mvdm|R&kC9N zMBOy4!id&gcSI%z)ZWdQ7k2%}QN<NG6GR=w{{=YyTO_wuS9eYbQ(o8MMkeDGEsE=6 z9D*M=-&5BSTA|X?EW#+5;^N#Oa7X@tQ&U5WkwS_Kvv3PD>%S8Mt{*w1xJ*p)?);q? zt?brYth8eDK7(nG%04f<e(0N0oKJD)h6ww|TQpDKZS#w|QxviPy7t7!zgv!EzAuWf z7u$XI#~<zG-8@Q@3|?=j(>=!I@q5B`Rknq0dGmAUZJTzgWS{$!bO)8N*qPIl>~|e? zcp3ODx@MW0Vf_8%cc%|jX>Sf~=yR_(ocm#!=Z>YHgO6_FahW4oJfk4|<DNT}VILIO ztHiors5~j!wf?cW%p-fz#Fcy+s#ep#FDiO>XvLknA8r*71XGfZ9h~{_OVNG(cXNX* zOu3I<C=>ge*0cKPWR>YBwd8%T$OrSKSlqo_bY5wNj^ct==ktk^rkqpoFP?kq<L#|w zo{>)#o&C-UPU#Vu6caRAnI}5hruRib>jK^tHc|iPN}DfJl57fZ^ZuLlHf`I1)y_sn z>UQ(<6;|F1=<%}LTVZ*uBvi45wP@OeY<<tSDxCIHPTaX3xNmAlsq)mvSzB8k|Mr`~ zAyzNVzS1DVXIH<L_lbXPRj*Io*YKVqrMJw$Q_m{Y$341Q{?DHi_XXWm__WQoeOk34 z;!erB7|pL0&vM-!FHW0&Bw1Yly533SmdUbzLh2^3`1ou7`|e<t#v2t=Jw4B7c6?$_ z-SVBo&nbsF$zS%-;%PrPULSD`xco^x<fXr6YM430T6eLHMT&(fB97}fep1R-YV&ls zEV;iTG%PB`n6ILDpHj=gZ;N9%HAEf$S_+#k)-f`c+Hzpu1Kn3T-0J+{=VjyW1?4%v zF%`e^mT7I?^+$70DKMR~TGoD*H~ZuD*zAClQ@4M*=N<X;)Tz#+eA>(Vq}JT{y=}Ft zK}@85bI6^BkWJr@R0#G>f8J2)!7g>?>1W9&r`~C<GhMHg?3*p2a6R>q$ofUmO4%2F z6--rWOkJpQ+tye}RVQ{`MQ3Ds*3|BcUCpZ6ZOO~m1S|dE?=uz8Q@pq;>bH%j(!Q@v zP1mI#8MKwm^H?h7U~{E+`-I6V-b&ha8>^Qx%&)OJ9r3xfbXSJP%*?YjNptL0Y-v;8 zaz>$yL(F5g!b4Nl$wAZlmWpLwI(|xHS5U!G1OEw$hX4J(hgzQa7<E10&)R&+htP$c z^W~p&hc~TS@%QPQy+3@P^X-U}diCMyqTP1U(E<BI&W7e5ni8p99q&`d%u-sew<tbi z*{!N6!E3LGSXNJ&{`dIF>s<`X^ETgl^P!Y$Dbt4S@2Vy)cH5!#cl&Wqrs+58I<BV~ zO+M1f&b&E^{h(Ok`~?iUchx+c=l^o4Zm1DGY}qGa8{#y>*=PMTp>8pYrfVTeN?u!n z@*8+R&)Ave|EsqsU4GdU>G>+B?%qzUo;QteyX60X$z|<NmS5gg6YVu^Zu6adk2j~b z&)V_e$L=d`j2Tsi$yfUdbT5W1n#mt7AiL&`+0J{n%BLp>DuqP2)H%=CYjY{ME6cF* z<B45DC$6M#-*(6K!#~zDJ#I=zZm{YVym(ah+q@yaz|?dGtJ?Cf%ai$+OnD;Qo%CGe zmg%pe17GI^KVQ_eBeE`~ywF~$Xx{PlzK-85J~Vg#bu01CI(ICEd)xaD9qdY`o>R)E z|L)wc*!k0#`%U2Me^Jx9^SHYvwtDfGG@as6o|zS^xN@HQ3>$|vmu2UQ2fO)mtqtT{ z@UOUtNuBpsU+x+o4(;}{lU4Z+DW!z-*4J;d&5X?XGIQI-fTNzlQIC%AXPBgZZ9(>q zS=Ub0?0@E$Ui%|qGmEmtHU-XkKlMKGT#3mMpCGtbdbVMf*yFd0Z|$`FoVBAkhi~Z* zbJmp|>$Tl3c(zRYeVaQ^W^r$EM|-Hh-iG*cL$;YJ>JJ*(y>D;mSR`MwZDZHE)GCKt zZi?=G8DD!QY5!CBkhS>kZ=c5V>-O$HU(9d*&@C#TajVg~0;30!%!)HRnOP%3G+hN1 zN**e^X)a|<5ar?gX1-+V&3w^wS>h(gKI9sFbA4QVZ|k*<E0_Ha<NJ8*$(3nS7W>>w z>H5}Vw%_aCw93au$ELhazwE^1X8UT};<D5qQykM>|2}lIt+D#L|F-P!^tLGuAM?M6 zSpQPaQoU4`V`}I5n)&<Al=cVx&2y%QnQor+qxmXx>)P1RC*7gbLs-74>@!%}T|a5v zsl=@*wug44r7Hj1_sVFaxzw7s5xW+Os)l$SR=iTRA=q|f==6K@jXs=;+4+pIYQptA zKHd4gYJbl8JWJd0IqhxOv6a1<^Lk?VZyTE})a!M4{Fy6E^v)xNxMP+}m@gKq{?0z4 zwlVSH+%V?U#mgORitm<e7ZQ5Po@%$J`_2OeCtGp$*e|BDwx09cq7s<zbz#BlO)85o z98G9DKlS0XMQtUAH7<p+yq9Ho7H%-X`m&z&Oxeb0&v>bQyXX5l&fOb*Zc3tEq1@ay zwugGxx9$sjb=PIe-qXKl2eI#Mvs-)1Fx+>B)%Tf>4Xs-{H6?xvh`1iPmp?~-F>CRX z-G-_~^%Gdx&VDXz|8{xJlZX>yZ`eAj+pq1}t1)qA_4Slp*JG}23rU>f_wa3)VXRi& zoh5&LQVq|WGRJ8Zs?2BkBqQ<W+>ImAi%<SIV7+4I!}h|!j{W9U4_@BMzhxXP;-1$W za?w?MTHb!P@Zt=YZ5*OyL60AcES3KAYqf5y<U@rUW^7eUUb(cJ1<h#D-r2K>H7=y& z{ngyRw_-N?PQAB4|Lu}z+r!Ean}$B;-(;_@6Laz1`vp3g^>@4H&Rzd0>!3@}2CJMm z+ZKLc@%&Yym$-`Orsk}-U;I2j{J+B>7~r||_S5P=?c!!_z8*79Ce3Ows(joWsq8cJ zeLdeEhh_3oeSse*hiKmW@}rjBu474-&5<;D!BZD@O6t~}3f*1$;mCTwU$Zw?uVo6% zRjyx|Bkqv=I$ZnFR*N%s_TFCgKMYfs@ZW1tD)-HJUbS;7Z%&ljewKUYihEju=D%FA z*>+{fsaIbW&&`+n@8M#;mCH0@<`<oNJFBzvH}d^@e@#ZZ+tD}d)0}8d6|Y6>R_xOG zT0Ob<@R6UNEU&#;rsO%{TE?r#)6dPfKb36%IOoZ}$5N@mi)?eU`AV*~oV_?fW0~*w z&5Nsfb_NUGJehXEPxqE?git#FycM5#r%snkkP&{~%Jb-3d;Ps^fqy<<ZIiCNx#?9W zovros^oE0-(#~cZ-ro!ikzMU(b7Ir#&E3!S)~i`BIelw+@q>zh4F|QYJ#Ne}yBBhH z$r^1#&kIjKxiqy%KfZA+eNmG7!PQG@T@?0sc?-^HJSCrTL!8m$z)gwsMQw+eL)@#b zcRz`GaCV00l1uqNjs>nVU;I`6zJ?&z`hOxB@vMR26Mw6pRQ$&+wN-uUiJMiwnQuM% zd*$O7#{Al6a*4r?{?ZA5w4W*UOjSCw_4qU=f%&}Ujz<suS>x*L`lot9=&ydoR{8Hm zd7e@;!!~W2`S+yjGclECUxo7%_xz3XoIF2VKIw>O|JrxI6xa(p4(vEIcj=q#v*!1O zKSpHUzpzta=@*~yP0TYEnqBjG;F2=EVe;$`_7!r47X=)jo}IE)`Rt7X8GAK%-7|hS zr{)SS+u5H!eR_kmzs5QXkG}1PIOL0k>P}vjfBK|&lh=#B1CC|-A*qwvy?5qV&k?^J zGEeoT`jyE>Ct0(MI61R_xn!yv?NN0PjAov5$7jp7I*r}dp7(A%Rp2yn5)jC_RVg!B zo9VmEzgJsMbN>3*>#3}qd{K+<@`Qkvj5mLuFWb^ta5J&DJ#Eg<i;gCBlaGaQTYe69 zzbx72Wn(n&td(%twzh)fRYA`lKQerh+?qZ8!r|sM@)s)0wuijc5V60NRg|>-M2=b4 z<{jMiXEF>MQk=gZS<AAj=?}-cWfyPHs8OHqW9>O%VHT5{tkjXEXRo_3p8Rt$mwoZE zASb^`QT3rxX4mX*I;;(Ny5#Pzm#k6mZ_3o}nm<=z*ZL=iUrc%-85c9hW37OG&TAdh z=i$stT12)t-|a|xw%jqvrZQ>9FT0u2OWU5PPP@ZBOYZ513R6F`gZ=fZrUZRYn5lOs zN?g%Fu>R+&7SX$HCxy0_dRr&XTpOs~`$L&mC*9q%ut#dm-&2#X_IWI5UY@&j)7y_v zpMN%~&@KyH`~FW>%cBm79;Z9)H#DMbvksO{m>qM#TPcF2`PQs(!$T~KuSIC~7;?@D zsYsmgZlPbHfXF}3)63`ee0umXJ-2n{!GqR@yQclgRcYCu`SZe_$IG~sSL{)GoO@L} zhCwViOlXFH%`}S@1*|I=Ki)HaDRN4B{lB^j?t5LOoxdMO_N_5a>^N~E$k*%CPN&+H zyxSk}U!2<Ub>jS;>J{8o`aC`lzQ32wSl%>SJYGm+p?o1<W^Yeez|Y5Q=J%xel8qNU zW%5WXT(d_s`rcc!H0Q^aJ6|6EH))IDgOfEKE<W-tfwu*`T5lSAS|0Zm+h*}WqHvC* zmy8}$I8&$vPgu{*ppxt93$hPy>nZ*sDQ{<Ss5$ic^Yv>UAG>&A`5ZZp8viES+{UsG ziVL(qf8WNj^Ky^m?AWw9Mz+&F>h6`FHYa_@_Ubmn-^*3k?T%XRbZkTCE#VDPhJV)X zW1jc>UBT*gHXmEQESNm+=>IIP!@o;4epqbo3!R=?Uo(gGQLACwrs~<Bu3kDJU(f1y z@lf<x!^)<H|1)pj_%?Y}j!9O2)BowaYcuX-E?X;Fv+QL$1MBsU;Qs+8?`pm<dx#gF zIXP>tCV$Ow6$>dnWgY*CV*CEupInsWaPzMaXO$A`4fP#I?iUL!I$Zp2Y4P<=rPqdf zZx(p2pQc|j^LN68+K#JybHh3-cTBjoJ4O1%#GK7#XR_aVxW=rO&MK^Czjdg8A$Q(I z-n7)NrBzmGm-k3{6n<^lqLpla!hg<RvmJMPy>z~uo0ItQ^JKx@k0+<!UTen2D)eop z!>#D5Cv7T6R=3<Od6;zf<-}J;vb-xQ*p4n+6nr)1$h%b=uchVGHSaf@_V%;rPyX3k z(tGzz)80Dm*~CwKCZtTi^8M+5lQT)O2CYY?91xY6^?UZp&yQ3>f*kt~w=^3r4*ybp z>^rCKJU^4D?|&=v7`9Z{UaYRw+8V}KmASJ-@j{mQo9W8p+{uv_AF(IREs$AqJKAU7 zZJkY0OB(-GS(hGXsffzuh<TWk8sj*jXyPxKpN_2_#{bK{2z=@7QMUBI(t9}6;2~eY z%<_lRq-8Z`2Cr?obz%C7#d#km32~cTc(kSZ{CRhSXHx`J{v9}V`fv5Iw72|%FHX2` z4Ek`v;0@zB&YPZX!lpJ4e@_UxZ~x+FabCcT8S!7j5B9Qt<4$XsbHmnB+<)&3-LseX z*~Q;k`>gVT+={#fnz#P1V=pPbR4u3+;=Z`-*s<E@o+md3$h62G4w7+^@?Q69z2vGE z2Kj$AF3w%DO3fM8n{EU@2x;(LU43A`^wRc&j~^cURC+gjqTFtscaagoTN1WkmY;Rx z_#dCQtEY)g$(Vnx{CS}0diGGBBU2?_OJo`z;k&z}tKG;m`1iy`(NAZEm{s2X5czw9 z6<155;*<%q*3GSIJm=H=EcW!N4F#TmKMAjx79Dxwyd?M8T^+B}5B}Y8@J#j0<>x1f z<>hAWl1l1WKDmP9*3-Vq{SO7=)3egt&V^}&S2<o3_VS4lTUC|9>Abgc<@e^@6K^W8 zH%`hDku0fk_A$7pePJ%+%?!Cz@u$Z<j=X&y+w}kRzRA<LgSfITKQ-ql3D`T|E>cI> zH*ovc8-MKf1ZA<;?^G>#G%Nc<%0oW3ZJJVoCp=U6ati)Mml#i~5R30`w%}G$>{0CG z)IDV%#Q$1=zxJ-f#CdxyTaU}$_<uWMr^@=oqilg}{U=(_zj*g=Wud=c(DgfS-rDef zv)cc?!E$ftoXo|{0x2qcUrc+kLhbRB^Rx64_PE6>aUJ%PJiq3?<A3Q!qxC^qcP+x# zPc>FyZk?oejXyc+!m10;UO3%+YpwBYw&S<&UB_fhXB7kp$M^|v{&;`V@o#Tpl}a2` zTFZ91Ki62X@_tlE;r`?E8|<Qz8?s}!-2AwviTh*CB=;llW~sXV<+i`zFE!_m$R*1? zr8EADp17v^HNA0*_K`Cy+e{4?q-<Wai}SP7(QMx0hwH>I|G#%?p{;S*-z>p|s%q7s zW&8Bh?n&>zYg5m1*nP@5>!@m@Su(20j5=n?x~dU5T*U>>dpv5sSw1NFG>_4C`a8RX zU&kFHpFElux4U@<`|o<Y)Y&r%m*q6CaeuNvKzrxZ#>vwcPik+x7i4*f_wVbpd72Z0 zHN6EB?a!TQS-ObDmU$<G;<+u$&a*8zYHQN<$LkqqyB~{Amu~&)b1oMa8=grH`S$*8 zpo`q4qurfbJk~wP3W!*7YVlN;&5OhOLkqVWyX~&}_Vw*o{l~9fTr%af`>^NY#Jly! z(&o7yxKOlJ`PYJQri3L&uTQ?Bc{F0b<<0gtK0kM?dw3#nuFvWND++r0OxsFR+Ky-W zNEG`@RLV>hQe3#;(}R;C$Ceef2rfF%F|8zCc^c1-n$tRc#)%#$SE?u|o^<LpZffFK z-msc;tJk4MlUF^9r%#x$@S9-C)`KsO1aG!E-*+gWBf&s{ae{`I!U6-06doC#KVhuL z4ly50yl_EA#-P@XQDET&3lWXSk~7~(-nh0*?c_X*Wqtn-GfJIVw(#6ZxwZEm*=_0b z+1=V4#kxY}^rZ>yl@-75{FLpTEooS>cJai9{X84Eto}|gnYh4+^L|!mvBV-3Z@uY# zehV}Hu3Ef!cKz(F3k0I;|GoK{=(hLw#t&{s_xk(ac)m_6X?Fj=5T7kP2bHy>)3Rpl zfBxaC+bQ=fR*%lwKWX8Pv3ugeIm`~PXPh8%R-t1y<IC%pHKLMRO$~$n?R9US3^B|3 zIqA+b)vsBM3=SbKE=OLT@893r+S)Q>#tnfB>n`Uh_d4CGWUg>M_oZo;-~V|1KGj>@ zH>NI_aQ}bdHA8>qh|HzRyVlQ{Z6msS$%LC{-2Q(Q>fd~0`U{Z-N8|Ngv$@nb3tR8~ zyInN8;QmgN`LQlf?9cnE$7RM<PODUx_WActENA94Ial}O!wwFvg4chYcvHe7S&%z3 z>GZ?XLCqp-f12O?zh88#{pmo}`88kWq^P;2?#T9vYTK9_Q<$j}{c#h&#p<)}Is2nF z@h`X&YxGhhV2aG@?&M?hlK%WD){l*waXn(=B)>&BJgjoMMb*o)t{>>x@@wOi1&PHM z7>#l)jQeiy)i`u<^BU$-gR6nd{wvpb?fH?#VsW(Y@@f^CJ%{_gzW5ZNUnNohea(;H zuQgYbFY3u{-10oXabw!=#Q$GEGxvJ1FuPrsXVRZ|<m$c1S05YC3$eIgN)ut}JQcR# zwtv>g#<EXBGwV8qPDUN6UB6Z@tfl4c{jHX!VNwh4d+u=4i%to;!mlTzaFd^})h|)) z%l}su%OAwddEon__v^83y~4M*<=#HmnG2$}RVK|*QTO|{{r=Hw|5ksS{XD5^)vjNe zv0tu)oL#`7VI%XVqa|-nUh_`JJN0_roq~2Q85_)2u3ouP@&ERJ%5&$>ocVKofuMA5 z+1s+)o+&|f%FF*h{k8wYBkMAil6+4|mcBXie<EEbXurL6aq=w3jWQEE3N6GZ^t?)s zHT&gJxN-Wi+h5jie#2rSRh|0igucFllfpy}3&$_^-_u20*KU97<o{yPkNz3|Ur$)| z-}lSk&&eO-yZ?l{2i|_W?XB7F|3O+G>^TgRCu#X5RkjzfI3~JmV2oJc6>`~m&4kv2 zVi}AVHcUv!@Ng*6kf>nsNYM!4$cTuL@bIw6$l&0p$k-s@sAE)kMt!2$3Qf(hIo(IE zM{QYj^n;(n-gjqwL>GK~)oJ>&MLy`9Tfypu)$aGMocjL0b!mZ9#01_ew$FcUy{C2Y z*Uh86C)kw)PTeS3tUpidd|}$1z4pF0)vw*%KHtEp$L`+G6)|hQ`&U#>Tw40}CbN{G zf5ZRC?FSFPSP*~PG-zhc`RAvUoc~4sjeb&cf;sF&y65S`2FFdLe=HXE7m9ahKg(|1 ztx#Ge_-DrVvt?`I%3I}}BvofVaFv)N%zx*lcDLofzq)T&zc9X+sGIlP`_;ecN!Kbj zznfsev5d`E<0k9D^X$$wd*^(0pPv8d&P=8`_JP~--@HA{R2g#Qg?R2x>C+9D-YB(R z-1>07g70!AX^ZRWx*Kn<c{E>H|HEzl<&wOwjka;WtmAyfr}r)@ZThMm9#b+E?@3u0 z$nE_qJmX8)+~lbd)4v{={K9))+5Vl4wf^#%8T_pc_u6Cqw)F(0pD})>KkwP*8F$sg zPH3&(x<dHahBvaWQ|*1%CvKawR$%+$Z7<CvJD*>loOUtv!_JUR&I^*=<ui<|-30P# z0##!7GDS?vtT&i_arTurYc}pW_du%Ov9j*^Hvx(3rMDM7|FEck#jNLZ#0@#_K8)^J zeckfC{^eR0iRy2s9yE3*TUj3L`Jkp5<-<31x2sfwN3Q+<UXH2z6(pCxcWvCi=2Y&1 zhWYXzIrcnxAnoaWp!?6^4)q5io^pTkMC91F?mN6_-KnUqb#9{Xin8l-7L+{njSG6W z;&-mAoqyYUZu<<i1<w?{%)eFsF|~=e_qJInuzLOd6B2dI@^2q}zP<4I*5uL$mtULL ze}5Mu{?h+qO6DH*jX$p^6~0<4ZSi=r^gY{Y=_Wt#{%YsAB31W?rCfi`r~Qmh%a-3V zRqxS0u-9|hoSSnuztZDvIvLcEP?;pBIXPWjuI9tb!?*i#W6pfpcsiOV|H_ds3&qXY z79QES^RlTN<HC-Ujg!{cIqmT{yjtAeeT$1_GT)8uCKK83{C(BH@rYBcqm6U9T1(p} zQNP@9F|Mtz^tk+Ayu8O{=9)3{+=SA{U1y*6xIC=9#bkT+bB><y*Ux-ySzlJ}>tg*c zlI`EICvf>;li9+@H6Cm5$o5^#UaIX?XZY!7Fk`eyDyQtuOG)OLuWJk^_1fAd$cwIG z$=}ERv!U6u;6w_$_z{0bkCth39zQ5K+ru&U(A>@6lFn$d%kvb7#I-skyZQ5FyiL;o zb$IUO?cqFOmwVPfYL|8s)DK|}es}E)!=s62dzCE@`qWnSHTj>eRO(O77n^nd$(1Q@ zdTws)wut+FyVPdcy|@LxjoY4vJvw_nwQkze_ZF?sLe|F%pWbIGID7tvRUL_|!&lhP z434`xWov9j@5Ncl8dH@jr(Bm_n9|<&Wy1U!N!fj2{yNE%J>Orl6k6r*hkcg%JLR?e znO)YcIr~0%`PJ2*yH_4odvq>kGuNq@wI{b|7$~f=nyh>H($2}H8}?b=Dw6i!`c`G; zhR>Bu$zrTuE-%eC%${A<?0s%Ynnd&VZSM*b&*Vn&M;GSDyfoQnU$3zzTy66gp-#(V z++N<#p44^ia{Yb!?2Kpf7P6(cXHAn)J8ijGX!WCOA9^-iuIgJeW82pD^5fI~-rDje zvbz6_{X6;d*WT97PJeT^y>72`mDt_Ix^Hju74@&(ocnh6mu<(F7yI7+@~SqzPkoBo z-=Fte*K=7m<R>t)=eYUpF?rdjV)Wo(oW4TUfhCuU!Ycib>w3(3VK_NE@@4e#<U?n^ zI2x%3bUI8=Z@Tfp(d1~yuJR7IE}N6V+k}r#a%J~^w6(1F->yd&Pp`k5F#T6&vi&dR zE3R|b39@&XoT`*4-Zepb)5A-z1unlm$Hdv{vgDA&f=y?(_H6E(bxnVEp;eILyV<|K zP2}<on^Wb%>Bt=abU`v}oXZ)j9RbxD{_d-HHJw?oIf<h>x+#$3YFW{|s(1yb8zTIw zuf)!Z_4t@b`AD<4Nb<Hh9ChR3oo2}xQ}o(%g+<hZCp&Leo@j}Za!;`lZIU};{xD<X z<vhixNoIPLdlv7FJomu!lEs7LcQT6tqV{`mxqg+ioxyv__OhBmaJhaO+mmwbiJjp& zS;iWg_ih&{gh-cv($&&zy}$JDvDKy1LX?aHY_!Tnqwce~=0qgAuQyEhUVZB3K`&RY zEi(4OPEy5HD-sk}C7$xJ=!y@oNM537)T8N@m8r+Je#cSOYqsXTCw%@UWi=kXFR0U0 zk;3m|*z;)mqX(1IKHc>dY+>SVPjKANT%vLI&Gd{ZJL)=&8rE@^c5QZ&D75I?Fk`jh zzr4>{V!yo?{O6xFWAhxYrX9S#>Fw#^DlzK=OFmef3;cYv@~HW%=_W?s>s~QumFGm? zF;WTNbj7;iv7(CC^{x{W*EER=hnBM~I<&j4pob^2^hWiicRAH|ZpW7X=~%P>o15#* z@+0dSgl67UtXRC~*1SgHxi4n&c3#pHDT-U`r7sba=Q|@zsz1#n)3MFwyvjni(?Kt{ z+<dY_Xi4qr$WVXtw|=n_XQF*Kt*KGi8?ryLs^hR8_nmpqPN!Zz^~5{-=gg@MX{!!c zc^h10zx46tvD3SQv(tXa=NioQtXN|6reUk}Q6<4C8n+G~JJ)jSOvY!QS1spvM1@^r zeg1Jz_PhvZh8^3~pUV~MTY4#8^YL&lEOz_y)iL4O%GUmC>&i3#t~`D0ihbKEoh#D} zXYp0;nOAF^^}6+T@TI$rSrXo2Uz`daOe}q&=B=By?c1z~H8OKQDV<=6I<5G*gsZaX zkiN>zidmgy2C}dJFWY9;<EwsycW3#Bmpwat5^ik|es<SCn)8{ry^r;SP1y{sAv2k# zOU^av@4TZ`%k}%}@z-jxQ}<;ZP>qe6{C;|?*;<RoOX|4hnebn3$f>pUInimX=XY_k zo6Oz=<x4(&Za?OJ>fW9U_SvUqc0d2So9l_B=meYED%})^{X71y;AqO2;(ozKCAOUP zQ(BP1ywppFmEOBHh-*|Phj~rf|JCE#JjN+6R&eue;5xAAYQyr);fH4P-&MWnI4{!b z$5UsgH$7DxtGKl{x~=my4No{EGkt09CK0Zw=4(z&k>ZnMIV;vywPKad^~>8^LbByW z?|pdkf<Zv`pRu|5wK+vy4=fm3v=-GJt@#urJ-=f`zuV83+TQ=CHa5vxsqPC|6g;)+ zoz=y?CbO3uYUL~1zL4|W`|A=5t3{%7czp61!^^@#9&HfKT;wZoQrbQ0z~cuuo=%B* z5)$^yQ}t~9(J4oGlDhX7bNYUKv3#OM&c`Q7(dy^+H6Pm_{x|RE^?yl8d6&3crTC60 z@yN^D7rfr{FLU*;AKAy|&70@TZ7t85z$~U{b%(vlMS;Ki%0W>^>yDC3o2M4PWLhw@ zriP_i=S<Xp3)SfUHUGCp+;-JiU25#VRO~_M()edD#h#n(Pz|eI^X|!n7jM}&9JA_m zvh3{c-|SXr?>EyW<I>GlUpoXRJJz?{YvY@<#*E>-;|`Y(6+3zv|8J-&{A96F$aCc? zz6tWCo)WnNk#6SJ{QaE?2Xap<XG?B-kfx}-ZbpHFL;APdJBtI8Ev!7>a!rt8z3RPv z|9<^@I){Vo-dO6CE;xHvwPpLxl4||lW4Sy2I0#sq$?bGJsgx3Vn>oBVXnJeZpR9Ao z_BjdtX`3T??XPCo=k3A|8mm(^0u@ppPdNJJ>`LCchbP@y&6`}5UGxJsANi2JV$rI; zqanBD9ITcUa_DX{+;Ttg#17A2Il(U1D>p_RPqPa1x0$s_kaOM5n_MeWDi-`>OZ)h@ z!{6<ZiAhVM=yR(*<}9KG;rn&w?oUnU7tSm=sJbL?;i?+*(@j6GxP>xwt&$h2cxm%d z>h)%gOK)7Rzk7N<_D-AZsvg@-!pz@K&CxYaS<oM{?{A&1C(qx=f}b4IE9)&{PYZ`n zPkFZd?G>TC^P((#yLE3IQ1>^CK6PN44o{uWqn(=!6xPXZU_a!w(s+JCgybXHzPl?c z!}*ho)!A|qj66F9KPi;`*}ipu;?j~M{7zYumpw_g?!WE5?qKn@^YOMvOIJC65IQ$; zPNIwdq=i5K%}C$LvY|Af+5NfkoojF9Rr5{c+n*hro|5})&c9__zBb-XzI;+AXT~%g z{#@P@2YE#IcLxSZEDz=9TkFxs7Jqa0<8Lu3e?+qMu7@tH4pwe|*mmQcm(h{i7T)ao zo&Ow6-gA_^G~FF=PH0-3|GMdheGli}bm{IaPEAs}ZSM6kdvTVR)91a-8AsM`oiwQ+ zCR2Ys_m#jlfv&pu-5hH^T$s)^<^RicwuKDyMf?(;+AaNgwDO(yuHL(vZ@;=<f3fxO zqQ;UxOL#I5R<USn>y>!EdFZ3MXLq)L{ecPgmA7UF`lhd_ow|>47Pr`k%a41k+_M`F z-`JpGYQU0YTKzLp@XN`Jy2<K`Q+glW%1xTJaw_-5?&_5b+CSSd94tS0Yr9>kimzMw zj}{(*lx>eXkNw`Vsw_Z#ca@#}2CnViISQH;x3)LtSN&aHu~lT*n}{v5y?T6BmLGU7 zvG&<Q&pGQ?n(kztRIs#Hu0>HmfMe^I+fJs!TQ5&HGp;SolTY+d5LXmk@R!pq^Zr|& zI)%CaB=;rn<GT7+;Mb%LvY+jw3peD|Obhg>?RZdP8Jl8~`t-l%Y<_O1km*&+-*UHA zsvcN>alyNmbFbTblTEAEA1<4=Y+BX*6@Q-Wp1e}!hOa{TjmQ%>+0W^RK6=dd-D_U` z)OmbIk7Vd=G2ADl*r4+F<AhUnXOH?$etSmmg}txOjD(xU#pjkf@94gq>)3wLvZc)I zVWa2Q(?Oh_mclkBSAE4}-0B*ZuDGH-`DnyH?ztB>+~!n2yHqo9(#q2_*uJhjoELaa z?A@lzx362JFuq?fd*h?pPh~}wna{<V4_rBU$9TJ<VvuI!<~K_hdKj&|@maXb>*b;< zjU5W!TWzmYwpj=5Dp@M@!$$Q{CmZLyZ4(+dz0eEVzq<b05rdZ4!u=Y{GOP?fC^&P@ zD(TDOlwNw-BV>Q)D?cd%$DI6#O`VFidvfLP1n#@7dTq-7FyY+=Q>KYrT-@m`xLst< z=|?fG$D>x+Rp_4R{5(VA+-%jEF2}P=_VHSs%|2Hkb!N^n!B-2G|B8?oVsD=AX4m~) zB5mIp!*k*8?RW21E9>s*+Gl-Rlq*-Vv{+Cv+)h*QUHJ-8lhRu^S4AquoLsW|+=b$_ z&50%9LB&lT2Ndgbg64>2zOKL7IsesGDHVm|FH*8+9h|a;+tR^`edp(WMH9Ifgof$L z?^Dk&j}nn9DAc%B^t$Er-UpM<&1YO6ZG69)bGl6E(chtWGtRERx3^d)OX!()+^?C! z((mOSKd~0spL9=}Dc{)MJ^AB=I_o_Lb4_<#y))(b#?4o5GOSqp;?dl#P6>DW=PcZI zIaaH_dhhQuMKhLL1nj$e__*ub$j!X&w*rbd=d87sI#}X7J+eIfmVC{&m&@xavn{q9 zjw_0l-abF~eBbJgf7;)fW}FZ9I;~~GbgR-;N3`Kkcs%pOlk6*&$h0y^lqAetRu&NU zdB4aqGrtXfA(x(?xv8^n_vEroOvgm$)X5u*{fyM|f2b}}#@~G~xyx_$JI%J|7eAP2 zojd(B$n8|Ikk2#?n=^0vwHCa+TJ~^?-qp41?w4kN-?cg>*7o4{UE-@_Y!+XgX6t4< zQ$Ow1hCZW)x{k_r#qybJB9i;7I{!~r?%i6r^>}N&_<s55oMO(*&fA}+1XRsg@mbGM z@ksqt1=i-9!nZal*gesXTpVyuAUn%1=H~<*@%UR4xw8C=-iuu>&D42wEbG{sze39V zbsdt=a(r(_rr-JVV6pFZ>*~L6PIBL~-+TSbL;l(K_7|W0@-Slm?&@RH_O@o$UfP$K zZ*AWq*!tUCo8#}kS8=<mwRRdr77093dZnkaL+Gx4&C%UI=W1o1I`??K^}}^LR-c`g zpyn)*=d5LQQbE8}?U|>tjNuAqzn&BGtroR7Owj+e=D2d%0-4FFF<CxshqR_X?r$%i z)8;RtpYc1V=5NQv!)K=_Pf*`<pw(%+U(tqX-K!0ZC8ir}JL>*bf1<9}r<Un99Xpo3 zRL-Bz;aXr(A9v9{<LCOPze^l@p9IgZJt%N5=I;FP<W~;&w;QjVImzAP@~hOJeFqMf zKEC<w*Wy~k*A4e3F-^X`R(e&Lv*vmiTQ<Jk_o`1-<Or~&to!V=MZ-93M-h`jP}NZ} zu?I|*xAQ)@Pm{cN<u$V~&$2~jXRqlw^?CPuKMy^2EbF!HU(b~{yo$Fiscc^!YI}Ww zzwXzElf)VP{X=8oizYk?ST~&^SyFLr&UNjtYBR2+pAyzy=*hA~xUKN&v(wki^glN} zy1(o0vr@H_OO4wDT1(!!J8xmh-F0U7mK&R2e+t)Eo7$pqHmKudNNK&9e^p#BgQC=4 zx0s~ESKjfq7}WT7bLd#?5n5ixG&%D6^GddditKBax&F8`^Y#zv;O2dwm@7H8xA|EX z@HDLV-z<6h)c5y6Z<gG8%j^GXrk8D>XsfkO;NM9tlj`I;`q#=QU2VM1rtwF0$G1D} zI=@yf@6~U2?oj`H$>KnE)r(a@PyROxJ*;^rJxlT7iC@2UBJ;T9>R-H#n7bx>U%<Q> z>tBeaEHCu6So2u?ZvK{d!E;}ltOTxI%#44wJezU9<6HiwkSFiAXD)O-|8T)#IY!2i zTPJuUl;ag@Ps?B0x3u}Ba0|mU$CLU2*Z&<mT_vTr`uOr737Lm?wk!S@Qq#5JR=l+L zJZJH?hTUcRJ0<2cbZq#Z|Lu(A?w@&^^1KsDSuDO~*4EUzE#DDe-RmI1sb{xf%Gs|W z(-(+ce3S8<N3Kd|x~6C4BA(~vI{R<z?{BYYe(aJvqwv4^2AjaYGnd;Z?@!DPo9??f z?ehM!|GPF=s>W~5o;QExw2Ot-Zmz%N)~5UuZF<`4pnJpZn(m9&Z3X{R7u<O(@m*+F ziD*ss)$K`%TwL=Et*)zoTRVlPLE#eDtLZF)+ZTQ_J-6^r_xEbX^=m>j8uz74_DRTl zAj2y@|J9@7+Sfi)thT(4{vGI}X>{=Y)aBC{J#yMz)+GHj-KbgAeqaq(6!*2@&tmmc zUFy6H!o3SM9cIsRk;>lm=Efi0585B?Y-X6fP2OW!b6V6Ymfz6qvFZycwr?LleRAAl zruS><gx>zOStqhjA5psZ<JAm%-s_f9N2Qj|xO~OZ*}~>#x`?BG>s-!%Od8V`e$x3g z-T26XpJ(5=l${7aR9P11cxL%#hk0Lx&)d7j{;cV`$}GO-gGsLP5qYJSGxy}SX{#>E zWp7pvn~-VyNbRpjOIiN<-z6_EasQmKbFJ|ut-^n2d&2B`{KAVfxg9H4o>}v$(9cSK zd7JF6mye@g-!Z+AywzNPyVT+1kyUzzFPFFW{>hstaVPPX+{fl$evcE*IPaeFKjBlP zYX^tywDQ|^;pJcb1dL?0f}UlG=)da!B>Fw-f9&3vFU~I$E<`<+%i-|9dQ|IO+$Yt= z$qI{~SzDO}>J%58Q`lJ?b-Lly&HNNwxyIA>>jQjcCnZl&^wv0ewsoTKliADq+c~xK zy7vD0mLd^R6#el0+&f_=*Oe}w;@i%uQ1{%HchRdfolNicuV?082&wxh95>stX;M|I zNf+ncYl2T^l-kBfEZ)q^`SD$~tovq<a@*7k&&B)XtQ!}9<h;3Xs_%u!9=l~Z78^KB zj_q`o+7@v$Q<+!X_FP?Kp468;FZYKuT^4)){MdKaHVMxxRpV_E75p1SXX+ZRk$1lP z^>jeSrOA_@UfCDtA;J^;uh3_|=T6&$H_QLjDW$AQoSb!EbrXlf%Qsx*9fG^W9x)_a z6`$Pva+aar!MDmk=T@z<J=>+W$RRZ6!L8eoH8#gyOP;=7eyQP9)w2+d<Y)6Q_)dM+ zarxPQo!=i<J!D_;jnl09jIQvbj_H~ogV*i~C}?^Z`O;HztKTXC<2TnDIF=N)#?AY4 z_p#=8|5dF$oX<>_?|IN2v`<ynIM!w1sUr(mcHYqcrazl)&-r*M>$(T8J>{JACU0kY zT7TK6#;@_k0-nQ@=biXDdD8in*Am_ab$^T$)t(j+>1@j;+4kpq=(VK>rJtlEs<jv| zUZ3B+;M?of2X|%F@tgmM-G8Fh?wg_CV}7Tb;g_XWy{Z4b``G^GJVxF~o1Nmp3u{+z z*PeFo7}LiEmF=R-ALPyae?3`#;!MfU%dH)v^q8e=6>nEMOG#d8_x`=^sH^KwYv;{8 zJV*FHNIzizvAlD~O;xq1i7xueS-ThA%?iJ}C3#+M)>A1@^|^72Ean+L<cU6$!IPC- zuuyyT_B*A$&UW?}e2yzlby42l5^fPS&GppNH$tICOIB;=A9-*s?|RO0W8)~V0|mEQ zkE(4AIn*(eFa7!3mfEr_N4{V4U4A+3H0#dyTi$LCQNF<3edXEgIk^j4H^y#XoacXg z-Y(nJ?Z5Z4R;^XBT4`c5Q{~f1mEe_XM(f_ZU2yKk=524@N?K3*cEfL{q_t?|)BlZ2 z`I#?HOslfa@%)`*w07zA|9)<F%Yx3i-Aw5|y!-EqvkxcE^196N@zkcHGhRHov4BHI z@8Qhe!^XyH_aD#ynBUURl>X1yUeeyje*Z3|bDmv`lqEd=^(V-rez%|aZr|SA^lSHa zy{^0aY~AG@d(+k{>wT?H&N=d~<F4jz=e+hqa$94y+I{3&jf^T?UUlevd@A0#^WBN{ z9?{><ZkrUh^1t#y76<8riwe?uCjRi8Y39Fe;{6j7WtVnuO27R|=WQofSJMF=Hm5_3 z61J?oj0y|g8Y~Sf6$4f*Iw0@!<k)PpPoBF|j3(TAbm{V>^KT|DeJJ3zc(W37-ILPa zTyK}iUeDpWkk1@=@9okp2PP{fzHn41^mzKg=S=-a>6!f!Gue612efVv->~Ix7i;FR zz*at9m01^d#Y8-D6=h*G^b$DY%p}g2^2CL?iI0hkc|*qmcF&H5&7BR3{F?%8eRU?3 zg<Q+~mc)^{+I97f+UA=U+ww}C4yGwun=9Xul3J}P5dT2!Z!g!({)dTW|6Mo_awwRs z;=LD=q2z7klKS7P;STG*hBFK5g31E+3EdOE!!7fH?+1IuWzJL5nx8#1BR0&}o3c2g zd+h}?$Emp{y-NSDNe5@Wm(zamvT0Gp1HZZ`$v-xgx4mpS7Mxjj`CP*GGKSo#Jc;X! zQ>$vv$7j!A->ujmA+B=lK-Y0jzn@l9KD;ivbD>SQJ!aPzjR5w*6)vYg`KZ)cPYrbs znSEsW<@lAg$+s7=U8~}|_drN;M_10;H6JZsoNki*=lNuX)9)AIbEiDseo`tqpzNXk z&$l~YY1x1Gi(qq_r=tAb&Gr9=)UWUM2p!wO<>|%hA1`{^@3ddg^S)2q*3%X`R6gKU zVBf)-tolqPror+9=OTtZ2O_jz-zX1Y6Wi%h5^byX^Y=5?w_Og413z;nZu@dtY-?GV zeP(&)jqT-{g&V3~$Zfpn7S%pwgGpH`&s!-oTWOC4IaO=UJ-u#oFuOJT(eHhY589H? zaO%G*{m@xB*?R6C#vE_Mi{|?+r?al-IBewlCPQj7tExb6(YdqD1%VUS%SmnFSYcuD z>ijm>wHI&COR4DzpBI-R{fVP0OJWO;WnTo7!{vu>uHFv}yw`I(UMqhQ`zD3(iK)rI zzw1ORi?5tL%|G$|!821Hbl&Ed(%WavG?#s&ig$C&0)2;%qJJl@_T8ENqxRgz>Sxkh zKkXH{cZ7B8wv~EEGuKLmxm?a|Q{`Lq#O#G->+ABUthBrnt#g?o1pRmRd(Fvzqr$@x zU~_WMuDlXf&O4i=q83@(-VG3A?h|JHcJf-%iD`ZRS2IK}(O6a$^GbT--i=(bn_Yf| zU+6t>E0!sAOIm2#&PSa_4f{Nn&1-y>!S5FlvwC&+%*Pp5S4V$TdBs{NIDhvJ0qIV? z)DBL|YzGTNNzNDh9_$pj$-MaiPYR#KQ6bhPhN=uNj&(YiK7L?)Dbw6^Qr`K8&q5a! zztGZcwia`jVhxt%YU*86^<9v^ob87E2E_}@UcFd*f=@U3zt#2+v#s7OQY}fD>uB6t z*|_xgr8x>=38LINXZ3}?{E8INIv$hoqL@`IImqDA&RGRs2Tzt8OD#MYcm5Xlg8vV8 zdx{+s3jP`X^p>W1&f1Sh-gn+QS~~ad&&DlT_NK~*Lbt6uwld{i*SV;Jp4-}wH^{n8 zJi0mSkM{gG8`dmT3O$+U@34>Oh>_Wkb0I2x{--ij4Wb1%HAPQ8aV{mALA8VNtNV{` zXCv>LFE{e6UcIii)otjSm!9K!pW|!w-JNejG9|AFdtd(dbZhel{cYPGoNrM$^7NvH z>r|TyQs>qzJvPbEgTw#D!Ss&xf8@LWuMSWZdLGuJ9=#|zBG{$fMpaT$Q02DC%iT$D zo1L`YZaKy;<fpw-_SL18N!Px3TnGv4y{dS!r}$EWpuWA!Kd#hcTlQ@>-hAQIitX1{ zs#i4Sik}u%?w|E<hn??nl^sIynih_&h1Yv~bPLrz9PLUr1+NyeKWbp!+VN<sz|IHW zy44)ccRy}>^UaRA{n|aTJ%ZQLgU|8i@3T~&U4Ls|;p6S+?;PyvpH}_l#cSpAEyorg zwYGWn@egZ2z1iO;=j|?Qc&{>q*X5+x>1_I%xbxtwzq5C$XP3!Mn)Gx>ZNZmcTTPv3 zFQ30IPcwt<2LH;WqB(XuR=rJrzNGb4zqg^;a)X$Qzbq>A&)z$K&)9e~f9EZUMDs0j z)8_o#Rhx3=-&$R1?tF%oiJxxRWSITVyuRY(WBzy>Gk43Fn@(43{<r(poBf~SZ04pg z_vqxa3c2?;e%zh@?!>Hhs=vBbSMnYC)z+Q6<I~!gvPQd75B)u%RAu$L^zwwxyYKBc zZ)8i9GV5R4p7w11Hc81J|7V&m|Fg`)%#mUK`ZBNF!l+-y-`t*TS=_vM;o+4+Y8>GQ zzZuk~_}o7(Cp>v3zsuI2!8u#3K4(5VxuquQYR7GU|8s12zFcsaka1<Ix1Fu0hjy9x zS=0Noq;*QN()OlWukRIX(lNBplbbWIa%=XT8&;2RR4iQSRBK?6;Ng&2sIc^v!h;7k zzMpKZ0xvWgNPp=6AY&6ayIg5m*tUgNeHPuWh~#^;qM_LTpumGWUDa2NpY>>-S`~X% z=tr&n=4agv%25VK+9x~f%=<j)z#Yb|iL!T91twL!*E3&oEIKFiZA`D|uT6hlmi_yt zw(p8fjk1i}s=5bzJziXrUUO>cItS^@`KgLCGYgN;`x5cWCF<pzW%BZO7)+a_CGFmF z$bV%!Q1wH$?OCa^|Ebi5#}g0b7GCz+6!A-Z(yxnQ{w=BVukLHf{d>tP%kvbgh3xDD zQ!d}Ot9TXN*Ip=e<IkCMH;bnm?G;J=A6>_Pf5o{KKe{(Ezt%|jq3_3~uV}KW(V6As zA1UdR@-vkSb*JBoEA5KCR;l#qN38bg%}*9vT(!To_e)xAMymD%pGDoaijM@?eES|h zS|i_77;f#;G4;Zqu%}OFwk_xP`rbTgIct$E*Q(b7?!lXuPcMu-y2RzVY=qFsb*FRh z+*Oz?zG45%8Cj0=&fR_aJon61_S5}phci=m?tMAaWya;Xr!rp6PM+}Q_vx9{PBSCB z&+jpPZe4h2rVDFQ>QVonvvohk{ClLi-ZepN%?CgG1L8S)mgPn)k1m^Qo=sOY_kQ_o zNz<Ro>?LCD!f825PYhqU{Hy&Yc6#mqIo{h>hpw6P!cMUJ>DLF2Zl_(&wO72F=p455 zpEwiOu{CZHyHBmtW!m#Jq4Kfnra;qQW}&g6s~Wh1+itRa>^i8GnY^d_hf0`*@p4&h z2Dh}JeSePxYpn{Kmejn;<oi?qqKy;JPg!-pM8Cjy8N<7hTYc?qlfGQNb<ObOp{$l- z*@D?$pS0a6KlejTag(xWbj^>RD-(Xao%2=CD@<rv*DQxq@1}8EtNBlR81{%uzUj?b zkE?IRVuOYFeL21gvQE9+7ImZPo7S?nC%@9N&#&W1n#`FnC(Fn3+H6-XE`wOnNzYDv zs@2&2l;xL@ALoAQCs&tU*x1||l*p`qLuK2%d{(z7moH4c*LL|t|Nl4#rqw6;FRBTu zul>34U6i0uS&mM?@kyJ_Ult#j`QqrIqs_CAcg{Z__w0@H&1;?->N|cdD&g67^ua;B ziuA%)eHHRmv3!NE{)q;iwB%aiw(CL>i`ZmqCH-IezkSYzMy~BvxUlT*!|k470h`=6 z<u35qx3~EA)4s!()Dkwcbu4*jEH`sc4$F<;_KFEHIdzdscO75tJeBEp-o@s|OI2;w z9R40vvE9@2f=gJ1QWsA=KmWm=zUYE$$pMK$Vd?p%_v(47YAg5tt@xqiTFiRnH{XP} z9+@ph^R8YlTlL#lb%DeLhbzw)xG0$V$m?zIp33}rgVD9XRd$UtU)b`$ZJyU%d+Pm3 z$#%aO9ftKO2Wt(lc-lR`T%7(v$VBtFXLIHHd9IUIa2A@Wln3ewii^Y^wv*2gY-KsV zsY%POs6N<FC*)zxJ%)m#7Iun-n#y&mKSX9e^A_=cZ`F1|*3Y-(P}R}BGh?1bD4%QO zov{B-5O?Yy$wbdOGrmWfQF|<UQ(xK0ocgHadOGp2OP_K;)0vC|yBAnb%Bh#pGxs}W zzsuyi)n3t#mB%9gY&h~JB)3dbO!Q+y*jdlFc_$An%_(fSw*Ow6YsJm*i?Iy1Qbpva zFR<jQxN#toKQ>>>IpJ}%dgz>oCk`GJW3-!?<6e76Xw~Y77fUwBG{5>05W=q3?jdC7 zenh8yi}{3(jmItu6r6u}At{BSf>&kc@2LHS%NIYKKO<sw`c9r$UZ$KRu?UIRDc;NL z&aJ7}Im^}a$2)nR;;*gibe2S~HFC9mYr(i$_S{@U$A5v2LGv29YO{9jx*cih#oHbh zHc>65>4?y_D=!&-2)C@dcxUNPuWJ{5>)cQJe`a{&+w;CuWbd(+mH#wmZ#P*yl{4$= z8<s0l&;D0?tKFM-GU!(v(>>+F!&96KE*2zn?&o^eZ+`2Q{<#MyuNu6pvj2MXVUOwg z*aWL(tm1DoeiXh;>UKDF-}+$e?6*%dcCX&CKSoum`AOQPYgeYU$Cv5sdS<@(zwtIV z2LDUR`upP=PAhHu@#NM^JyA^?<KL5#RIVx<eYc?Gc44x)ZMW^NHBIf)-dt@xQmdnS z=3)AOp=*I=2Yr8Z8@srxckf?xVy$IlyW9PUir1G`8ec4(nEv4JuHajr*52Q8I(>Ph zY1xc47u$n(@{^6<EAG_hH_wg9cT5)EbK2auC3N!nE&l(NavZnacx?YQX_efYhY!6) zzD1kd{c$R+xyn>UeCL@6la)_axZGZEDYevfr$C!|;y#Wq|0_SMDj7{=Wmq8T$S~hN z#^b-eRZN=o0|q6fV(s)ICA9*v4;m@A7jmyKJ$fq9Wp7kbsMn2&rYEG&o|d{Xmz~wd zEoD(tq{dYqMFG|8E10A{?^x)jaPNiO^0RIo3dS2f)L1x-k7X%3@^N<Pzr3_ibH;it z5uIp9$%+#i>lZxG`D6Zc)uo4iY9h<6xIcFYG&3<U3w7NQQf-_&dr5O!kVFbAcl3l! z8U}wSy_jGv7&zmau;cgt|GJwxCbu4a@xS=ij8@Yy?g?Q}z1e2$?MV+lxqq6$ooSmV z_3nAJ;y}yMpTDg;eY7W9<|aLTetbdb75)Tn1J;<*8t%hVhPNxCWwspdWfS?nN1^7@ zCTrhId+cPVDa~+-|0_1v$VW-hy2s^2^S4PQVqQT$E!&h6gZ!sz3!1;%eS7nnCu>p~ zu3ZSecuiq_tM9c#+k&D~lQ+Js<}^L*^ZM(97x$(#KYzf%FZcbN)dv;l6w$+t)fEX( zbS9g;49lrLf5PYb3LW2{b6-4NxbU0Le&Jt#KY!l5d-v_zcklYjEZPxq_oBk=RTK3O z`dz%UG+aw>E~k#7(Bf_BYnc=e>Ixm4c*K)yQ?O>`1m6~urTbo(K6>G!W?i0Zw(?4D zu(bKD;LouIO{r-+AJ3cpl5OYPdvhIy=G}O?ch%wEyW3oDZeqD5ZvF7ymtU**zPUBq zS$OuncRMqG^i}>3`MbR9$Q|8J%`AFfipzKJ)()?9eYo*dT9V!ANT0*IHU~4U&&kMp zXKoyO_ipUvyQaaWp>H27T{iI~o3vPq4lCn)3#XDUug<fr%nO~uY}5{|X_1i;HkZ4j zwxq+U&4;N`rXfJt`{SnN?-bOc7^M<pB361o<YQd$y5q_sPyRNA^bDq|<dg~Kx)TnZ z)scME#UJD-li&MWbi!f7&-!g0tk$KcP42w?Emd%#%_1$W`hj=&u{|}b8(HUviu`Qp zR$q9KZ@2zIB}aLqWxYa@=Ue79FXYe?a&&L-J}4LH$gSweCe*6P%Gh~)gHKY+p&edD z2biS32{WBB_?DQ+5m`9J&-1{8rwdyrOBeH49d%vy?!{7N{gS700=`ck&d=JhT9ad+ zmw5H%&09;If8LC?{Qrebufl+Lwo$U<<=f)TBHt$M*J|GB(|;{n*gZHoxAMV`JrkFe zd)-|2!@j14@tvTw&{maWHO)Um*Uc`L5Wl2e)GfVbS<dcX`_)#RI_18@&#lkmN7T_H z`~EKss|{DIc){SKu4fSS_jH2o#LdYnYGNCb6yl~99uhcza>Jnq+_!wruJCTJ`}$d8 z*6Fk6*1?i?o7rS^UA1DWo6PDKZ!LHi@=a9pvW@SXVBOq+NinZg)O>>`ua2JnDB#7a zXpc#*6B!Sh{<d4Z#@J@<1X1AxCCQ}`@zXssb$&*2TCNRBkX<yDJ8Ibl-uYX+)v6bB z_q~brxA6OP`nsp&yDjPeJv0<+pDw#J#jd!MD?FsC(&_Sw<KoL2yUvMwJC&y%ZRO^g z6?dmAqM<S5-RkDHf31I?ZuD;ZBVPGF@65Z9S6etuBbNS`pK*TMl)j}WN`LMVI^i^J z*69OQ(qAPvId6GqBXKWEov+Nje%;5fX5S511Kgx8S(<Kasy`xE63kN=@%gSs+zIVx zyH2y-^z~($wbC>0VujlB4e9|gIiDup{gu6J_s7n;!46%Arsr=xG}}73>H5_JXDc(; z&z&<ThI?~Jy5iffUz1Z!=J=&ESl?-Rx9$l~@Sc+uulKFHqSK$0EpOCn(j2pHq3H5p znSEUR|6@+cqz6iFp1R@I$#kv9cF!mD&(-J)eLr7P?tesqa>ju*Iybo&DsnOJ7QNcR z6x`k3yWr3TDd8)PN%?VXif8Uuh5Wdbx$;oLL*K4>M}4yI>F?^2n=!}R>(Qm>5kEKH zS@G?C;JhToiuC)leovBFTBmfelPCK2v5h@~0rICF|N4A}E6jOu%c}36>#y*Ii=^on zG}pYDw`uZ%zN1@99(-fp=9Ct>$G$8w{^lm%gPHC9hi3Dxm}y{n#>P70i{;(4uR#eX z{kAk|dE_jekp2C_(ztzBR(oH++1_sAWa=3)?cA3K=N{bNSD1G{@s9Y918Vo0?wKYu zosp9;OYfJ6cX>7SX@GKx{o=I``r<q<Y~owuEZY`zXqCk+9qFIHBY!;#mHEE%qUQSN zjM~NTEmkQs&6Ludq%Nu}`6KSu{MFHluUU6Ex-VZZ!zQ^!f^+jO{VVOiML%}6xK3N{ zAhKf@lcm<0#;Ym^XEk%5d$+D%Q>C~!k!9fui}Xdd*VnIm?%8D#e(ss_OD_-Blysw# zqkR?sGg(s4u+}~aiM>5BW!kINpKse_3lv_xJ$dijWwxij_@CXU-1ckPc11gbzV*HP z7o5#o$yBnt^vBK3Cf4d5W@n$Oi9LETBi=dsI(y~Bt=v_0%)X1Id0xa{E|~PX!ku5? zQ-8rruk|5vdJmL7uKrdg!RY*8Cil{#v-e$XC_ZF8_fb||($BBGF8fdax-xg4)28|7 zxgYLtRatzn_3NshqI}zs@}@-PN4hW82?_0dzIP`78DZ=Em3~U9obQ({nOlFf&hJEz z{Nph0)7-Ha-He~QzW#se<W*78j9s(Y&;3}$CR(lhK`}~twpfPsahqGJPqa*JIbFk< zm-U~%RJ8U?*Xi{O0%V>q+S96N7%!}Id{x@x=i7xNe(C!x7V=#t<XYjQ`ljs5k%Wz2 zl0gpHajT-d_ckv`QA#bz+xGqF+>mWXUVRq4-jyuc65-$P3-zVVvix+aq<Z@5s3!{# zOlb*r+NZm!^uW$c`|0cbL;`X@=3etI=KgrUVcwhh;x8xUdpX@)`AG1__LmRJxYW+; zd^&X3(b-A(%A}tQKJDt6A87F7m(zql$In;v>$IfqTf&$4heOb$(ABcjY<HLMtcSm1 z)Z-t=f7lab&>a0#p!DCH2j|SHc2D?o+CRnSd`f_{WJv8hb*<>=&$rnvScJTiOlPtz z{a44aJL&g<gYF{F?(fr@9mi__>ZMQDc{TI>UuFlc)E0G3=_vX5Y;J?~!ew!63p8)Y zoO&NuRFZwu;=$iFzDu82|KQ|V`{0VH=chLTbK)$+XNc}zvU<t_-*N-_*U#c#JpLpy z(fzo5rp$`avZ^C*=1uju=PY-KCtSSk=wg+S34T0@1-ttF<WqH+KTB#De6xG8EphXu zo@bpO(<ho9T{cgYb<d1HnR0QzL=IUm&wBPgX8oUz9&=maj=tp<^Q_NYJE(oo`&iO~ z)93#mxpb_R>z~Pj-lcm4R(FQ*uZrOIE<SqU)OEF;`~hZ;S(gfxeYIXIoKx&b^$6Tv z^FPro*mz|`L73b8&Z-Y{&n!OA$vNqVshgA0YoU3!WfSBS98XEV6A@@%^EdnSZzq+! zm;QIy8gJTP^ZI-!dyD9{3+k`0Kl-@p@bgt~zN}R{eB{RbjrIb|SRH@e)_T&NW4-8b z!jiAI`NcCe*JZ{ZWZ3wqS#5Fesk4?2t1A;kOby~UZ&<DM?IkC#&gK{HE4=??Fdn-# zt!Md6k&M~e^CZtmZ~A!sombu*`=pi+`aaKA3RqTt=6G>@XIq}U-0nyT@okqIzH=?v z$HCr`e#Y=;VBphrkJ+Y4Zn|_dwCb#ib-Z22-p5kzDn^TLa5a9~xlW-iRsQ+aY~@_W zt%^4#-*|BAFSFaIu<+8WO`k3w-OteNti+sR_9Q&mmg92UN%p;_%bL>l4K^&P?5$W8 z5OTo!sqmuyozh$jS`>fk)Xkf(xgeZ>&Z;Gh4o}W~uw89$Z12!5Z}NN6-o)0oYYobK z4qfEc+NWTzpOK?D?H6;_c4PepbN<Q8o08I={?U20Jv!J_rnX3YM!d{P22-(^_vMd0 zY*z|2&e5;D_-O4356$(drb~=H<~e<d+Pi0SkLR@9c?YGpzFz)&ea`+iXUz;r$vsz1 z+$X#Bc$C*aaSxSP`{6{*pJw@k$}M7?GCTYaOxu+0x8>LBV~iX;j}CmVkI$KP|2RMA z(S?yWLxVbAB&~E&+EMv|bJp)u=hi1!<tW&1vpjT)`xS5DBD;N$W9HgFxm|P0deY&= z`={^h3H8`yW}Q`1&NV+s?C^5k8&6x7iC3q0SbaAWdBCmsX72tOj(bk&oxXC}l2d)l zr2R=xew5nqtL95h<?`Qkgo|;nfl!@OL(R7y+rAs~Ex%i-7rM58*v}B)&CDXg00QQ* zQBkkFAAGlDU|;}Yeg;m4#L|?^{D7kTWWAKMij<_#5LO1}SMT?wYi!m3t6<g2$PmKB z$9v|?+IymG3=9ks3<?ZP9E@xncXx09%KPr_|NpzcZ~My2#KFkS(2(G;BQBK(W*r9u z$U2G8h01~q3=I5WjBH(UPBxNtj~~~5&wFbdXvW6I#>vLYhUV%Y3>!af-p<GX!n`Q1 zE<!Q{<mLs+oh%G<8ra?~^LwnPD<H7`HqYtIzbk64wI5$~;r_h6&wdx|{22bwEy$r@ z#?M2U8yOP+uQfh()YST?!YSR4dN1_s8~z-8%r8@a;Ro|Ed7Xa_AK5$Y9sV4A$iMB6 z!)g9ae;7{lulsZ01AD8z!k@;e@;v_>KCn01ulU4#KtAB-!71_{KM&sL|5JD2d$Wf9 zgWrr(<lp>#aFYK^-GuMVd*tu@J#do$O<llu=3Vk<{yMy4FSKv?eegE_owQeTJIj~d zo%!p`66@f1K0A!>o;#Ah%+~njOwIE?6=|F1t}Ii#H8U-Jm+|B`K4odEjDz3!Y%sn! z^JVEWOXHpARMMASn;DTFHP^FrnT7GenVO}`%#9bGE3uk9d!|o%ma*{cnb*!NNn2)O zJoQ{l+A?F~iRVnLCeNJdlFl`kvt-%%nWxS?u@s&;^VpdymXl}9v`D`-NArwNLfWM{ zFHif-G)_GCBz4*8nL6pOjD)Ap)Jk78C$mWH<V>aXra3PQ)sD}UN>7@TS*Uh&rck=m zoR<a5bd57-YM%CqNZVyJ`Jqp%vEaFs)MW=}vZQm(S$WE*!8mYc<|&_`v|qDN<}cef zbJ3Y2=E75EeoLz|ntacv()i)o67$KEXTC{WHM=u!+0L0y(z<4I<}KSk^G@8W+RpDw z?@s@<XUXrNciubn@9sPDe5tMe%Sz4j-W741YFB<!y)`{8e%I$oZ@kOmR(%e7<Gn%u zV&%)POD*+x?o)Zb^xE`@_^4XXuS+fT4_0b^U23ksa9_!<NwcT>#AkgLnmzs6o+Zzg zn&?m6*Ya$svHrw;Cch@lobD3ORm=Hh>G|oW_B{D1G;{i~Jy(8CnlarX{#K3V8SjL+ zOEoV~d(YHQ-1p?^($mv*;$M9dnm%1Ceo;;4C)JbFmExOfUVc<PK3yt4sV4KI>e1;! z@lG`_KP=VN&#cru?Hv)f>(iu%-mUtA`%<1RJvf~uo~vf%DengTz{<>1-a&D{s!zUO zx^McTJx9I^O_}~JuIkgId)}4$5BHXQpEP;;o48fgo$r?Joc<)PtD5uO((TjllwH`( zd{6exy9MX@O3EkfX1*hP=Uu~jzHe_F&hveG!*HH2r#xUc^DWsk?;f1vODRuCXD=-i z*v))H_QK7Bulb(6IZ(=8RCZw(^EKHu?;J|m3(FYN*;iUuY-YY9JLlbjvwS|~7t+{s z$~L62XO&GzV_$0Ru({dTTH)@&7kp>lIFzt2v}U+_@HyY9HwTK@Q_2j|*ymacY-T<u zoAXZL4Bvq_4#n*8WfOKXpOLM&dGINpPWgpY_L#DOoy@0Xecm|~u}`#axO4C^pG^6M z9n8mMb>2A?vUgfL+&TD=Z`&J((|nuWFr4OF_vSzWd#km=oyMuMJntL|*c+`^Y+^nj z8*uaB6j_g(2k-O!DZ8+}S;P9lZN@3GZ{9vQ$@isf!gl68vUhg=;BpT9;+CD=&7JES zer@}T6OEH)Z@gWQ$6jJR<96d6zDH#Y+ncw`?zp?)JKG-ZgZC1?v(@G;sBXT)w!?hK zUdH*-Z+14$oBHd+x+~SqHmg<}pD1Fs;myepsAj$;edgYSbG#|}3D4O|(-uftG8^x1 zbYwPf-XVG7V(ZL<&%8EkU%a)hC4H%laX?Jwd9RwVU9p;FOYclANmsCB)-i5~(G2xG z&zlsURUDC~>f!07w@f=WY1c`XSj`gEix;PQgj)sA>WEP7o;x|ozvwED@y(ek+OC>> zy+Tt$tkhRpzC7o-d8_utxhbhjt<=>&KC?X;)4Be=|Ar5zJR_d0*;0OKnxm_myT{4N z0+z0Zr&BCBr=9U!px*lEqvOfjyDwL7O8CBHmw3xQPvh!IcUG-^t)2hc(=U&qn%T;{ zV(-B@(mU=soa2p(cc^B*CcWmK!&kP#Jcj3NE6pp4nXic7*m>|Jch=_@YB%S;v_1KD z$#(HC3#$*#JAC25zGG55b~e7`y>+MIEbp~D4rh5U-C6L3EhDes8QT(biM@?8r918^ zd|_K){$r2hOzDby4QF_d-C6LNEh+CnW%F6-mYs|<q%-b4IL&L6-%!b{Z@yzs<5S*U zcN$LfYUMjrHlLLCxTo-mZGw5lp2o+#QuzlenvY6r+*A0-)?se3=ioHyjGd0tq!V@? zoGLAGZ@~w)7V`~7%?G79?kRj=3(aGwVAe99QN*li{$qFJecoSr0#Dg$%o~cD_e$IB zY`n+&DX*ctdAGF1&c?gEukswqn|Df|xU2Avt-!oucjIlIs`LZa&37fg%xrweQ<UCd z-F#c}!_0&8Bwx&QoG1BUX5(9)taJzK=9`jtW;VXzd2?pLIi93+25V+3<BGWl=Sc22 z=WvcED*b>}^Hs?e=M>KIJUF9J%9fwjVAXti=Lw&jR#wNv2Wf1}j5Cax&5R?AnN5v7 zjGHe>E|__6rsRZk2_<Z4X$n@&7bF|bJt$^NO?zO;Y-B89+<Z>5V<zKs9<y|TG`57a z1(wbF#wX@5KI7SQ=0OqLG~*XW%zDNv<}g0xQA<~_Y(63BaPC1NTc2^p9LC2yV(AMk znvX~-oO@8f7M->rl`S%@A(bsWEy1Gskfgx51E+Y{(iJS44@id0WPHH0;!MIRo+W1< z<g?WoznJYfMe@U0hEqJ>(mtfHRT-a{&3KRJUD|^bwld=tvl;L5JWEpmWrqW2ALO#- zX@6aJWcQ_aUbSJDVl~TE?@Y}Lf3*41ys2N-Oi5R@(Z0DZWVg^;ug%(bBR$Jht+lU4 zYL=<qnEGPPmtB|UOpOVjv^nUt*Bb4$>z3>anltsmnkl<3&7K+%ZWVj-tk*K_t&yE) zy|TlCHV4g`>J{#^`O?g(9^q24C(n2-(r#VHvg^`wuhg(lF`Z|;lEYrbc%Jc^qn*1> zBu&*oJ9FKa)TL*p8iaq^bm^(rH0{#J&eL8~wFB3g?40z(YofN}x-UB>J@)F=He9!5 z$D~JIo!W})N_JeDHg(IIDXFSD+Od%*3zi<5$`QV4)1?PqP1>yMLQ+)^OkJ_YBvn;Y z`|sK(+k@_V1%^F}<~-$9t!*3WnZI<;)DL01qEDXmD$~BY)@A#pJ6=WFN7u4!zjWIx zPyOq>Bh{DQdDi+}veo>qa%Xa$|D)nd^Co|pGv&F8jrz@bA=N@}JvXc0we<X^Vy%AF zQuCY2jma<Oe5txLXL5}Hq~f60o@><C&RbFyG-vXIIa8`G&7K_KZ)JP(tmiWIt(Ki< zJ+u9Sii2iN_VRZszBF^Phrg8V$upjd)LZAVR9$-Rnd<k+rt^$vvfnEk&oiEL)N|*F zJX0}H&z$$=>5?;(4g5b9U3%&{O}*5z^R(ww^}u;1m6M)$PE>cC_oZUeW6xf7!+BdO zCOz`(R9Bo=QgLb8<SlciJXO(AkF`AcVacJ%9R8b%E<NyUQfHkP@>J!(<P~#Fo~mf7 z|DF4!Jm|h>px+~F&QqS%>b91i@0aYE{K0RR^~sZ-W$IVwx|CnK<5{GBbS_KzrQ4o) z`Y&o3-*cD6UwF>COaDYI<2&xW_yf;btK$|tXRVBDc+R>_e?=|hTkf>@g6FK8^f!EN zveNIUWqiZ^V$XxGti^E;elc0<XVfyj<_?Qr@T<u}zu|M!74bcljIX%;;vYO?U8bM$ znaNB);xm(}zQ^aLOX3SE56%>yurJ{YYg(Mbuciy)4RUQ}-%^?0vWU;*+#(tq$=ua( zs73cjjpI!5ihT`dxR32w@R>C!?!eEcv*In4j5EYD_B}YwZ4}?|lSyBHM@{2X?p=Et zPIGI;JN#@qDekdP;S=iw{fe5#$J|o!2Yxgi71!9O@R7Ac-=gN=H1Ui|$7$jTl?SJa zOYB?lfwe_{!>6W$;vD-FKCp(yG5lcC(x35(NmKtvb>n^RUvUCYS!?tgJ~izXx2bHr z$Ned;;d|3=af`~vyWFqh9KJX06hE<7;T>y%enoZTZLX^D1G}5<ihhY~e8*K3-mtsr zw&;h*gY!gRL^{qBeGu9BmMbgVVRzF_(L0fiZ@Av9S#XXkDV$+9la+Qw?7=yrJJvaz z<BAGDu&e2+=!$g;=eQoMQ7C224{O-fbXjyqWaCS&TWcE5a$Q^FaF*-Rngu1S8DRx! ztV^^dVjE|QcC1q<VO^m8BgS#2XvMmQGhD~kEGTA83Olf~>8xl=B;yRxjCBuAa~Xv< z>}1l{-VxLIlxx?ThSOYH;SM{SPKtW0Qz&Acpj{Eu_?Sy7{J@T;qoNw?6be~8v@K!| zP7}?Dbetxd5P5K_sKmMj1*|RF8#Xl^6y;c_P{0}*#;}7)OMAv9CQa=h(T(@HeuW97 zvesxfY--voYO|C3>}&5COnXJ2tYye&E!QsC#I#%V%G!oJ)?)1m(T;bx9)x|^#<X4d z%e;o~EW6cT6f@lwelm~YJIi+U2gOac>KBTeY}5}FGu;-xGOytq%VzZ*#Y{JaZ&*6c z6+SYL;Tubd--oIuOZ5WV#yP@U<~4j}S*;#m>o`aFfTiOr&U<qhzOpP=FDPcZEWE{% zaklUp%f?y4ODr8<a$cD8;0&jSzd;p~vATe*;|op){|}W-M(Q7I7-tIiSUNuEJTj-@ zGs|psj$$SQ^$Ru!rwgadWBAMx=T}h4bXvH?l5x6l$h?M6ER)qI*f>7nRPg^$!E{{M zWM0EZmTvU`8^=eS0{#URO}gqAikfuP4-_?Ns~gxjKIG)^Pk74GtS(^V_<(cO9EJ}p zA$|r=S%UmNlr!xY{xVnL6z2~=hNmpm>J>#ydxf9OWq8k0u3k{Yv|ISf+=h27#p)BR z9q({H@cU54v|aE^Uqd;|ZsixoOm_vJ^f8pPY*&6@++?eK!MMpr`G7IgZNV#j4P`8w zm3J63-4whb={Q&LNFPHPONrYDt0qh30@=nnf?N6;N?BGb2go|k5j-I2_=@A+oz`nt zQx|Dxuh3vnWV#|ar|-a74j=aqDJ;2e2hv!w-4>*=WV!`dF_|b^7&BcG?CCpjhCRn! z!K&$kU_;-7VwP052bN4m$`Zy+=L9<>8J}~QxeKJRB)BcGY|>XgA;b8LV^7b6B9>{& zFN~P<lvl_wKIKqzSFmh4A?VQeppd0cIYWl=F^8D@0*j_2f(m^P3Rt4u7NoL7x;3P- zgu5kJG#wHYP}=aRMEHhr(?R2<S!xHY8AETX2W(Ohka2v#VkH<d^T1mU2El-t2gI%m z`gCqJZajQ&-?4@qK@Z7;_c{K!T`+IbP<|lII7RSH?}L*ZU)&~`Gwl()(|h0~#~Zf* zbEaK_XL=p-SPGRJqz~TaxMO$WH{(72H+2invzORU_|15S|4v=QdG>EL4(HiF)i9iA z&#@2q&3KFdOx=TX>?!sM&zVc@1b#E#;J@(mz-#trH3z;j7uj9-#dwW>O`XG6=0ZD$ z=gceRD?T$`;h$4?;4Hh3{e@@DId&VKF=yFLc*eX`-r;kjvAn|H125Rm)Hr-$UMSD- z_rP=ZQ#A)ZGpE=YJY$|KFYuZ19Dh!o!Ws4hH4dMd<LxH=WIV%P@$<k_b{+c*Pnl!v z0)8@{;`ga@_{2O>zTwY-$Luop7k)4v<JYNk_{iKT@9^iqL-uVo4yV~S)i9i9UsrSB z19Pjq!k>nz{5*9IADA2ESA1eTz#s7Qz!ZLup9k)<|FOI9y-`E{!Ec5s{BLR>oMiuE zH{m<u9{xME2TroTu?zUlxQqWxt;0L!LivW@2X3?9F}tvv@gDD+yangkO3WwhX1v3D zC$Hf=+qWEt^K74T7|yfhm<Q};yv2Ja@4-2?6!V01=2A0(-HbPQFWfxvn(bN6fl}ro zvkSWzuko(Qb0}plG-F6-UMXF%nehtmoV){P*?i0|q%r51ZAfFzGMkXbyj0p@bEC1e z!rcQe*v{lQlrS%pX1IIcIoqk61I5fKW(H}@bEO3~GoItk$x}GPb|A;0m^t2T!cN9B zycIVOJY~}{zmUotV-~QJ@f5F5o<kAyMCpb*2OhJ@m|xhzc#Kyk&!LdHQ`+Iqfro6{ zavV;xZOUOd&9*M*Kml{Bw8EW+sk}US4h77O(knJG9^ehQd0+~!$IS!x+5VVa*xsli z{oppk6y7(v4^FauF`KZRaS!jE+yf`s-k1e!XWYemCf6a4xlp>{_JP}Mcl0h)Gv4EV z6Sv?zYl;4ZYQ{U<cj6k(vwn+lIM4bihT%MGj($Kj<1OwpaSzV1rsyX;XDZbbsAjyu zePQQ;*R0QC4t!-Q(z{T_c#V5aoWob9LOq7(Oe@7JiW#qP&xt#5meoi9!ZW5Ey$#Qp zvh*fAV_GWiP~2!NuCVvO3)V9+4quoSiZkpz@SOEj%z@8LDS8IanC6NL6f>UV&WTev z!+Idb;WJab-h@iVGu#zB4?Jbn(ZBGNDMl}#lJOL`Pn^RiritPWdk#EimC?UY!FY^Y zC(hv`Q>VDYo&yhAx5YS|X5AFSaGG^p%z+O~t>OxM8m4md#5sImY7}2l#CU)^VCR7; z+#Wj*+-LoxccHvdL;S&RhAG@{VjrAj{h~LaoN*8Lo!A2>S>Nadlr!$)J`?Nkj;T<* zVfTUCtatW(udM(6d(XS)cJJ=n&A<P-%I^H*%G>K}Z_WQ}t^d1h-?`&<Z!Z75KL7JN z{pZp1zlO(ueQYzw|F3!fujTKb`R+fv`KRgnXP0YUF8*ok|7&snGgtdBj{iTO-t&C& zPs95^ljeOkw?ETddG`OOnf*WG{(aKjf4cbRspWr8PXBYF{m=38KgZJl91Z_-#Qo3V z`=6rhPAC7=&i~Qs|0AsK1FQXq)iw`;e`@MK{eSQMZ@W{UZKvG-t-k(yW&ii`_fOvL zd-t;L-BY`F5C6Trt9HSf@gC<JzXj)6O4KJ<Gv496<JWMW<(rSgd6rK;4Ch&L)B~&; zZ*iXSdvJ~=MLi*%sZ>qCn(+qbg_#Flvpn-TP|8%KcEO7A8s{26hf=0OHHLJimBJOq zj8{14_#HUQ;-h{cjVVWMLmE?-+JrQwrNR!zjmE+Xa}T^=IpgC{!n9DBVeWzFET?=9 z6f>o$8Kg1I6&5gNJja>ir*MYlfR95lQ@q*)OU5&t6*CV!WzkW;kjfOJ7GTMEiqpr> zp@?asaKoGfk6C2YFIX@h<J9qUC}ipsc9?VEA<H%&htn*Zd>Br%tn)cgz|<<NFsETE zCy$>)0aK&!3M0k?oB=ZrOyTsHdEh?FAGHhSjT*uaW;0CTeB=AzB+D1I3FeG@IPdr# zILY!xEx?>{7v~vYhdic2;fC1<ZnNBxyYQRg9{U@+1?QPdu6sRnbvV~>hy8)wgKvyA zavPpAR>@6x&R8Lr@Vns_`vtp%Z;a(~3cnew`B!{yxWRtFuHhVWoV>wv#&!G?J~v!r z-(Z*Um2nk+$LAZ<Ik#+BYbX{SnW4U86Pw6g4J|7tEhPhnbId{VAD%I;;J2u4n9V-J zZoygR8#W1NnXlL=oMrZsZ+OO-F6Z#8;UfEt%7z!rPVx-D8qTv%scd-8e8MK-46~KI z!ZSlL%`~0|H3yzCAF^RM!)zk|;VI)x{sT1))7fL}4t!#aHIueZ6us8UrnW3Jq{QUe z)<Z6!;TDaYY@S|+K*se#iE0-D)^Hd*hNQF{NmaQt@m!Be+LEA?6Sdqo$-Fc?>oH5Y z)l(+3Xvw*WN$y^<o##B}EB8uvp7EF$d!a`pUFGV;F!v%^&vPDYrz@|O>^$p{>t-a& zdD<i2%_&u;^SsA)<y=Y6G8Jp(osuihd2}crlzjQ+oJX45E~87&JQgV@_PJOFJ()L= z&pk@U^R!2$n~_XrnaYic4(^AHC%yI9qTJdSVlDLAqsr}<k<g5ZGJAWTq^M}hAFbQ+ zJMf+R8u^=jCO<B|buY79^_SzkdyO5_=ZkOLcPK~x?D(d9cOrxRuTO$A`=jh984KO> zC|Bm}Q!y8M=uxVCu=j|0km&A-O!ie*ADdsAGLg&vl<}qe?&WTaJ_XM2e^yiS^Ww^v z?knV1)~P&OY$PA(Rd?j+;v@YAlJ;4DPJC3p*{@*V^(W)g;#2(s_M1KhPVd*T|MY3% zBlmU67i(R9U3}rbNWQg><=4gM?(>rEUj6Af=iV%zTPN~N`E38AnkiP7?sx>a-I9KJ z(xXaVw65i;vaWpY&yLTFFZExl+44-;On&Rn6KCDi?W#Tt&gu`dZ<5t~x7bQ~Z|#-e z7w7d?tgb2fG4X+Wq}{Gh6BQr2_sd_*ZTa<Vv8Mdl+AZG$@4FXC-@M6j&aKdH(Wbyx zZri2b=C%9|oYKD_XGxm!_5L?zr|x=uSJssNdeh_U;$8joa!PhxoY#NHY|`h6lY2ka zJo$d{zS~~;qq!!#FV5*rGGBD}MX9otJYycq?uoN|zvQTJZx4LywnzSB?v`JH_uSUV zzsyb9EjX_?%D(FM3%(h>PUcK^JI=Tzo4vZ@amH<qbnDHGV&(I_Ugp2<oH*mQSbAdK zl{Dqcy)Nd5?r0P%>r2<(JW-^qCq4CM##y&mGq24TpSsPG{&=(Ftjkv6i9e$&CeG=8 z7UPnxbiVVH&lgL<7q08XpYD9|b<wr%2>nw<6Cb!n>sjsTF#M==v|CJ_OSD+<x$A7< zwKqLZxm8O4^j(tnMafS5;Y^LQE=#NQRuu=na@{1{>6enGWFkEAT%1dF;9J*i(qDZ` z(iRztUyXIyeo;j*PjFiIC%sFzcXOO_tr2(K$?;BUPxn8)Nktc@bgzhEsR*39uiNT- zOi8uiJJ+4!kvlU!DCvsV?$r3Kbf)`_UQyA-yKYm(f5twkxcJz0f_UXlk57wEc5CRb z+Oy*0B0cfQxRT1iCoapSP4k}YxcJzuTiP{G#eCxIP9OEG%@d!vO_6rYTVfG7r&GiH z((I1@i<5gd<)~OpeCx7Z`0UIRrAn64J9EEm6TIVAD7-gsOL<`a#CLAR!VB|4wokn4 zvTBd`+qf&~%Iea0bH8lA_`t14_~1;9(naRd7kx$2lnjJ3<BmLAWGcRLr^Z>=rNXr{ zGl~{#h%emv;*9GO@k~FL;~8GhT$A;Tl3P+2YfDS!g;)sc+;<Dm%POAu(lt-dYVV12 zZXs$?b32Na424VMTB<J2?)_l4X_MeXm)Z54|DziJSpO*hwEoHC_#>Sx>Rf+T|0w^c z|2TgC{?LDJb=Ci~{(b#q{$>5c`{wm`x6JG~b^plz%zxAV9sLykMgQ6TGu<xwTz{pX zy?+*eVgLO4(>@_j_20P^)i3=M`nmjUymh_p|Du1YpUuma4p|t~=l}mSQ{!WP!u|U? z^}heh{)PTs{d@Z9`=|C#$=J6(Pxzqk7W0dbUHa-X|KIx={k!~Y{_FeN^`8IBW_p}* z36}Z4>1XvX@lqwT^na)R&7J#VeWB8^PBHbW*&5z+_iwCE{C{bt$H({c_NV<@_V4I# z>vW|9o$vl_`X~GO`=|Ul`{Vx|D*U^8=~?GAxv0+*X0<;1)4C~OPHTkttk{k+MH}vm zkuSb3G^v01@7Uj|zpcMdf0sXZ^8RxE-pUnu3-7d+l*@NjcjPU+(HbHi^|$-Gz)R<J zIj7G7FYl|@f0w&dyW+g_?!EQ*<+?Wi5_s*rmj7hsiLVQ-_-|Hvd|PPEzt?WbPk|Zj zhita|oG_!^O8!($#~J5&{Izy0zb?FRUbL0}rd^BsdFL9rS+yM3-!8nczpDN-le|;S ziw_HR`48TW^e9ub=2x`~d8&B1{f^C-r;6v=PuaNqzA&%7Nd8l`hd;+x{rfTtZTa`w zKG_!V-l<gX64UPscbv9!e~je#uDG+cLcB@&$*u`=+UMD%Y!A?0@a)1=MT>>=bL4id zox`*F!nD>cIxeY-2V3vxq@*eyZe6GIWXFYt7p9zOb=b(`6{&IBsgqk%Z_AAx0!j~^ z`neCpuE<y1&-y`cLHWY%tamQyhHRg3*U9ghSX1<g+=V+>-*COuU2xvXU+h*i$0?_p zdhWZn4c!dZ%v$^k&lsoh&!}m5!mK3E@U!7KyM^6?kBmM15j72un1$pUel#3r#f z#-38iFpWK?vf&{!m%PId25o)`83hZ40*9&W40a8tn3vf&oMQeb_uzZOK6aN%hWpG5 zcy3f5n9TmdR^dHk1^<rfhRN(VY!|#^Ea9I~-EgO22Xld3!e_<;{)*~`+a7zBKlW|0 z4w^r)#Ql^k=XZvf7flCTE?R4xah%OwD5vsF!I=G^tVsEUJC2*#_sX`EPl#hU*!+U+ zlCgk%%-y?<73{BUPrO~Qhgm}|;ll!}YYe^REORV7u6qT%b6lC7!mnhbG4YJ!Joc%U zFFq?=Xm;WkvR(0c!Nq0|eyidM&m6;a_;=ZyIPExvz1Gs>lfs#u%|`0{yNU#+HE)tx z@^pbNyR2MGMZiPHE_PkMEzcJmYnI`!vf=o&z~fl+yf?BgPZc!ST`e<CIo2?*mDHGY z_Km|@=9zu-7A@nu(sn_hd)nb6ja)~0wpd<}IDfv;;W1ANo7am+4!z7fB~N@6-PV`$ z)}bONSyFbb4_`^;^zTo6*Oh%2n`+AQvP{KJ`K_eqd5=mra5cVF`C^}m^`*HJQ`|Qh z2hE+B<lY2oNvu~sD|xb1#Zq}|-w~@zuRK;MAC=@h=dn_GuOw&blFJkC^rTn?&7OFp zXG@xjsq#|El_e@B%5x<<OO{-mc&10iD(HpB0_EDikTjL^6HoM*q%Ao&@kq}R%S+EZ z65W`LCq46scRMA+S*)V39NU*-88m(3j-C)pp{E{`l|B1JEQOwU^ebETU9k{)?9r{P z**C>P=#fV|sJU|5Bhu}V(WR*qdEB*RItx^^m3jMAEP@_*gt{@wbe{4EcAI2$>Apvu z^4DIGRF!=b7xZi~zchK`7q?T=ocT+3Pn^?}VjgtYqeS^^uZnrl9ghO#y}eJq1-^IR zBmcJU%5&wr{YCbN{=WF8Y$yNrr^k8sO1nd!C%$#xDu1!g<oCt7{VDdFJ_pY2PqJ_N z+i}i)z5LmqC%!6M%5SYZ^6TO&_f_&oe{!62Un#%$C&$;tm;3M3r2Gn;-G2kra4?l$ z`g6q>WfS?iKRdoGzSw`JM&(!F3-<-`wRIuSl+X8{s4;oA_+0;ynj=3iK66jBWBNSt znR~q5sXrW_mG$Lg>r#FOPVe7Q6Y^8=srzJk&pMHxf=}H0<t^*3{1ANX-Yu_LH|2-m zBlmXs+@Bt&-6QP|eY!ZcpT}P7PsaykZF$~0l^=l*+(Ye{{&bvj54M~1>EeC&I{B}) zB2Sg~^)IN|^8MoE{x5c?esjEEyt{u+P0IJcyY40OXKPiy2i|cnkl$PTWLw~Sw>{Et z^RA>T-|a0jKXms+nX;Yq+nXNe-73uvZJzknZL9RfJd@oQ=k}(UZ`vF<w>QbW>2Ak4 zxAoFzZ=NVswv^tQcVySaS8l7MkAm7jE2a0|<S1Qyx%W;^%C5lKy*F~Uq$!(9FTJ^< zMA<}o?#+&p#TR?e<f!ZleBri0x;8H)P5FH9i5!!(#pimD<Q&;~@tIqq8Pn#8&)nk8 zPTk=EwO3;EQg#MT@7<9TvQzM>+hl3aJdvG(Pu%*YE%UDI5Cqldnt4-p2tIOam(IQE zaoR1??9ir*Q+s*LweEBjC~Hge=BexmeBc&p#&oCSlv}Xbq)iv^yVXg5%@s*i-q*Vz zXUq1BlY76Iox07Dzj$}=oSc;Hfp^_Xq|fH6Y!AHSRv^7M_eojcdslEp|6J*Acai>~ zy)V8g*@?g1>2cn*Qtwdl#J8?n#V^L0R9~Fioua>~IB;%vl77?Pj&rW-#n0|M@m0xE zd~4j1s*A5&SBW3p$#KqgrTE^R9A6h*?!FU~QWZG6`$o)`XG*5xOLwmLqGTdIcW1|! zMHjoz#HdsSzHnV2UK<zkOzC|0i5Qb-i_Uc)i8)ev@tJF)9#iqeXRh&jr}l7sR?-)b zjZ3KvoZh`7CZtmEsq18M&p44v!6&Z$;+An&Dg+<9c8hDqO{oxk<k~KtyVK*eYoy+x zqKi|zdGxjRbbL_K7UzvqsR(@F8mh;%r{k1sFsSi$-?dKsYplpqrG4ECVz!iDoZS6I z@6>LN_ltIS&xuJX54`JIB7Qbjr9AMCYk~OQ*e7Oz?_Kr?zxBJ4u5`DvNd3^<7iCIz z!f$7KoOh{IJ7hfZt;<&7i+(277w2}SsBbb3oZFeC-ZZ!4oXdJ>LrZw8-w~^euUu9M zADzi@&Sj<W-kBVwi!OKG@ky}?oZWfDXG@xrsqoU7D@v41gy+ueC|Pu|^Nf#*Rp1Mk z1;Vv{A!$nIJ5TtSq%AtvdBo?4<;7<%iE2#76Q8-ntDTy|QLLmd9P5{2892Rjhfj#5 z;8T~$!k&I2mV!@Q`h_k1u2={@cIg(@^qXQK_{gPQICrMUX_rW~Lq->;cJioe&FLsm z(iZ0RQ?Uqq;1a6FG^gW~OR(A`ql@=l>V&`gili#->s;Wo#r)#r&M#`GW^?2(+TA(F zC&fJQu1ksVSzi_Nz&kDl!h3z6eB1tA|NZ^D`)mKb`gitk_wVoD^ylxd{`czV?C1LP z_HV1t{(tG;+`rY&*T0Fku7CZf_b>PF>F4fW-(UQ1*T1Qsw|~`tegEqIRrQbmw0<`K z`hNEQ74`oAcm2%%wf$_oS^f1tp`Xpq-oLaz<KMiW-oMJfte?4mQN8p3rhk9`L_b^q zJl?4O_@B3bw4doezkhar;=iV!tABn!egDk<8TEVr?ERDdbNlIdy?X8cLI39d(f(=v zN&m_H<NN#SrT-iKi~Y0uhxteSNB0l!kN%hSbM{mHY5T+fN&VCP<Nf3Msrv`_H`O!$ z5BtgeWBRH4n)OTn{Qdp<`}X(wQ})-?FZ^@&_wOg`@7>?CzoP#AKdYbF-?zWhzk7e@ z{-XNF{|^1F{r&n|z<cLC{BP~9JXgHiUL=30_Qf|vJN~zo9_O7a<qmzG@YZ=N|3y2K z-xub#r^s*m95A;%NxrGJ<DBz){<D=QzA9SsZ?!w}>%uGNRs2UQInFt+<lkG#@pU1n z#g+0aV0QZrn=Q{2P5GBruK1#8!aujN<IBQ}?PqLMeg(X6Ucg^#7xGN;eESI-lV=Oh zwI8uL^7Fzo=R`TC&l8?G$IG3n;rOhm&mU`-@-tw1`wp9sp8`*vC-ZySiTo6J;@r<~ zX?Nv^z+>lbeoeb6KLj2*xAW&#dYpESlsok4!qj#id99j`4~p9Syml%-0v<Sr$}!b+ zoN^A9oAl|zedjv<ueKsj75B9-u-Wqc!sPZZa;K^}-Y?wUKF22Id%#`i68^KcD&GU{ zI2XX$hkLl+>Rm}!yxUqNekk@unW7!{+enY|PL*PZHcxo#w3Yj!p2_YDb6ZoyH*F4> z+nOZa6x(smX+8JZ$P=ZCmfTzQj_kVd%4rq%(MXPSPAj?hMsk!cybNkP?h2UQdP8SR znxZN9(#RDhiYDB1BRfhKUTi(1qp~aDh0_A=TD_1o#q+HvbWG9~o@+g#b7bd*XHJP? zOq(Y>bBY%`6~j@isLvg%m$EZpdg~6IkevcgohEa8>WS<Wc;eL0ZK-!<hrnZ}Zf;Gz zDLVunIkj`=MtYofiWEDv>B7`j9&xRhjsit(ZeBf=9RUxVLdBS3I!-wSi%r^e;l5KH z_g7t!RK<O*3v{+@zc9J=i`c1Xj{JqYTj%JcY!A5WRKk5$S7m#^9j5~By}D1fHQZzU zpqEh2SSz+*cf%dl2YL_67;D5fq%&5DO-N^~5KGwIaEtYVUP2jTxtPLk25asWn;UMh z9?)wz#}p@Skj}V{d&1_1Ypfge5=t3Yad&KHu;BKHJusVff!>1>#yqivT@7a337Z>C zxdS#gm~d;vGR$I~6Up$B$xS>Vjd2k-M=Zk&COh$fG{$+{3Y!}YxnIOI%wR3hODJYc z6k|wZoW=cPQ^Oh71ib^Nne@aHb~fm7KiJf8iZwtlp@?x3cSj7v6DB$F2Rj;$u^Q+l z6f$;kd&Dq2V&W6ukjfY(HX)TULaZQ_v5i|JhT$R88l8j!#wKo#7>B8>40;Wxn3m}{ zoMQSX_F#L%K311VhWktlbQ1Cz{lyk+Z`i~7K=(l&qn}v9_J&=o7jzTy7>l?&q8aWm z-4lCI)^LycgIvOQhFZP_)eU!;AILrU#!$nz;W<MU--PE36?_TR4Y!yt$R&JZDCbkC zX0T>oQQUBY`G8!*ImS4CgXawE*e4V>Tw~rKm++Ng6?;c9g9W>X?Sa|M3*;VrVaVf4 zsA@1{Pbh9MWe+HBFk#oQWthc0$CBYCqZ@z1GloU%9JUNE81482o-xd0S14{UWPf4P zFazB5XGr8@c*Zb`{YO#58Ri7J1E(4F_!BA{^w=L1HJoA&kW2W)Fp0gxhT#dL9RGug zhGWbIatR+9y4XEz7#=b5@o#v_5XCp)DMJKb!Bd7db`2Ybhm31v5<W0Av2)lsOl4+} zYdFQYOvd39<3GL!<qi9oT`U>yGcJ%xc+cR^x1hXX5Ay@r2k#jC_!7z+b}?U&O?byp z#NJ`eaEI|8--EJ%_l|qm-^yKiu5h=xi2so7i*E{c>~AeS&O27}9V(vi)^RKQMLCn| z3v-)O_%{^?%xzBMZ?f$;=eVBztmTQX3YP3!<&IQcc;&c?{ir3!Imeakdo4M>F1Xx$ zM<%5zV0QBjnJv#0Oxc%OuK1#0!amos<I94J&1Ym(ssdg(E?}>f3wfq+zWIcV$+HFL znvcjFsl4#aF_Di6)K!V+J7vT1SwWvYRxYJ7V0!ZonUG3>r;d}^J>^6y1)ezevs=nt zsStSV*v+mfH>E=0kz+f1uBFFm$4I_IMHi+v^YClgbbL_IX6KbtsR(%B7|O?F({ai% zm~T?ih5L?m>|bR?o+|8XULdoj{KDksFMOx0Io>bW-8@Gor99xSV+s3NS(WmDJB|hH zdu5-P1-y6I!~B--O1i?`#v=AZvM<UM?3mw5dYpHtWIJR$;jP0~=8JqL))(eBrm$}^ z4w&1R#NH&^an4~q^I6Fgr3#kJTltPyU3lfNiutG{$2o_U%zGs{N*7#iyu*`X6)?N; z2G5o>1ykmwk}FCSOql0Nc9bl**m#CV#VX*1!vf}7zK}G9^NlBXOwtydYdpep#PY&3 zheS3e;|b3k;@M8ga1<-(Gsp6!SO!dQ+`$uKDe%-`GP5V2h^4?2hkj;DzAF|2j~%+1 zHTkAk2t0CVXU>)MIPDP0cF5?$)J7h5Et!r21#M<tJ{60A2M(cZOfns(9D>;<8C|&V zP{;h0S0q(oU*iIvE#?;{H-2F|CC!n)V0Ysjo)q(dyACDHXL(i31MWB!Fz@Ak^3DIf z{ht4C{#|}9f49Eq|G~e{zscMEfAiD*ynW@rgP;4~+Hd`T;h*vE^K<J{{%`#3Kes;V zf8*cwbN1{1pZR(GtGwm^E&mSxI{(Uk)&C<u+0WUp{J-ZX``7uG>+k$Y{^dWr{>Gop z&*V-2FZsFri{3&duHE(-|13ZAzqDWcf5uPuFY_<dJN*CoXZacXdH*N;Oh02k_kYLF z_RsUr)*t%A{7n9A{k}hwfAT-GkNdat&+$+5_5K(9T>eS^)c$`n@4S{jS+DfJ@z3** z^2h6?{wMxP|0sWS^FPl&>>uYJuHW`&@zeP_{}X;bKV{$cU*w<jkN&CkZ2txSsDGHR z^`GIN^V9j7|2=*_e=onk{@1_GPyF}o1ODCo{rqJAJ^RZ45B?ULU$*!AxAFJ#ck;XH zpZ%NoTm7B<j{1B53U{CMiQ6=3a?cZG>7BXTuIp{O<s!FfLNm`3g9Qfm-wOLH_aw_c zw`eG=NtV7o<5=cm+hob(636uIZs&+k_x*Mwsr_!!hW%+9ug9*BII8LPv2*9WsMl+J zW0HjWBaSGteQdYAlgO7Jaai)$hgRK~#5L=;?aErUe416(iuq-`GP(RC4hgn>Xr38& z>mYC1hD)CM5eGTlJ~Z;iJW&78FwySTi$$IP?6zEB;w!k|P`)rXb?O7I+fvCBnR0tv zxlBOQ00JU^@BHli&8GPBC6mT4QK1PbrOfQof-+ea9S#A_4-UI?a)|0AL^cGtxcAAr z^l@-bVr}GVm+F-=>AG;C$$`av;#P%3fgXmN50l$(vNL-u%Zm!wAI+#_Cmxt4IImk_ zbBAH~i<p)ft_6BY#e#`qjA??ix_@lyIOCe2cj&Z|o_ONU4!!ONn>tRp2IwUf2~O(n zh+%o6Bq#oGN5?T&1HGg|!LDwP7?wv$eBv8Z1*60!rV2)g6{ZTdb!)`1JXBhvlT;ws z)Xfp&GS!tquj!Q1G99N=O8>+jZtvLV>JrIvUul6(Qof+S*uw1{dt4vrKFSmH6HDCQ zvCH*>Zc?6LQFli)%N?bAVh_tY?m2&uOZqNQ%eSz)<BszKxkujwYWOxj7pUT!_*|fZ zFR{Agmh%O<q;CS{e2Uc^*6k~bJ8n21kZU@p7{_n;Twq=MgyN2C&Ku;Cz6z{r?<nT5 zX!o!^GTV89+@mi7d3=dg9cJwb#T};Y0mU6A?HaZ$vz+Hxvb<Du<4=4hu&ABGmgR+_ z9e?06fqCr;#T|z2FKk+7I2XtzeHKXMV|*qstNlk&#~J5@zSe77k2bk-ibQ54B&$#8 zlKRv7LFkbY53i4pw}W8IVS#DwFN!$y+E>`HJXKWVSFG$f;p`yy=%YYidxj0mV?{Ck zg%uq~oE79AeGrJ|TliEUlCSZpKsaAwMaLm$0l7n`6xsL{D>@E1hgh;aP+TFCbV_lF z%%k@Lb?q;#U8XpHkYzfh_>J%56M?Gs6V@#E6yNbZd?HZRzQUU2uHrL3#qy3F&Ie>4 zy%or7ej&^9UZITrV!FVt<`c3k?-cUb52g!Lvn@;)sAOwQ7ueRkLYC#NLK=Hvy1=I9 z4aOZ-%^k8VZxmkeJSr6^W_xJGVcDD^%ko+wjD4Y1hedOPamN+MJ(4W16#Up9rU@)- z&M@XMYmPAHFm3iQ?zrT*K=Q~;#|eB%B?4(|idG#L92@u^6$_-YJ+$O7YL+nWIOo_Q z$?{ymj9oBIAc1Y6Wru$A2^p4W3VV1SfyT~W7;)$|uaIGRs-VWMXxVYX(Sh$#p+H}A zh78MN1u^!879B?%75E+%2t>0jOcjV^YfKdgXG^r`IOHh6cj%M?8@r-K#{tI>NtOo+ zD|nJlDJ<c6lrK=%{6gAgisJ`frc(;v*gmERR5hQFX1S;Ej_qNJKw0w&X_mVR&)5{r zJ9ao8;C+-UkXQfWFY|l(vi}#J^Y5xZ@t66XeBS>9&-ttWEqKmf`LE$Q|F-%Sf0^IP zr~NN@&cCUC!{>IZ`i{TMZ{%P6dH9vT_}_zH?3VQzf0<v)hy7phtKFi$;dA>H`#nFI zU&;IZfAEZdS$)Q5cC-43&+Ml49-rGU*)RBcc&7b?e~DlC)BY*^YQJFL@bBSg{?vaD zezF_YOMGrWXW#LY`MJE=e}QNG3I7)SY}c<p0V<03{CW6^e_H*EPwaa2EB-J)l~?<( z@U#7dy~DqUANl+0GyX6?mKXcK;79urdxd`wKk!HYTkw=W@?XPK{_uYZKiUu33;a8H zN}lb%!jJX?_8~u+AIPuxlXyyg$)AVs`RnRm{C1vV|KTs=Dfw^zK0M*Csz33Y`JVi{ ze-EDUm({QM&3sq>**}Hv?K|ub{C)VAKd<`5UFP?4W#2EP^Y5xYahLg>T;BHs>HO8- z7Nql6errhQ-&VciF7sQtwC@G!{F|ybY;L!z?zqeRM()L%ho$_*-yZB@x2(>%%luj{ z?E8XU?H1Jyo7=D0?zzeQO3v^5gEanS)ft=F&8j0dvzu0XY;M0~yWr;GnYI(&C6@4~ zeN))ge!;fk-NR!3)Nc=VvKv)PY;Hei+i{cmxt!T|fi(VvZwq#|>sOz+!~9Hc&zpxu z{L`vmY+~1|UU7%{shrw(g`MpuY#rV`EadO2&bY(;SWfKwf*tKgY!%);EZ~p+wjh;1 z@>@eHfB3hA9qor~1>PMzCCBz%VMqG`+mM^g59C(7NjxRD<juo;{<`WHx1Fchet64x zO77dY4=Mar)hBK<-;;ay?Li8ES@nwB%y;FUeN))pzQgvw+lRUQdF3zmGQXECdw=0M z->&i#dzs(K=Dk1goUi)bg6Djd?;4)-Z7W}~m-(%1+WUg%e4ENQ6t`QIckE?;Bm3gc z!>@eB?;cdKTb5_+WqvIi_I^QCyG40Jar+hPJv*6S$@;y2@QiO+c}6k2S$RY;yJ@*c zar-6f1v?MVw4QJ;@e5ztJB6zD3)T(y9)9LaefOY}-Kbomxc!`U$4=(wvS#lEp7ABT zTTt1qUw&c_^E25!cOHJ?n^yj!h+VII#UAFTvTE-YD%($3JKTHtk*}{jV-NFVS+VyE zD%y`&E8KhdfiL>qf~S0u?;4)+g}+OvXg_2vaPQzLS+@5I73~MCLv}JhkX>;n@s#Y6 zI}hLU)s?^4?L5W$!(GNxvfti)c*0jzequNCJ=u5f9z5YID_^mj`L67<cM9e0JFE}f zefX9yulU7W=JzsX&o89&?J7Pom-(Gc-tz<LeAUkur1MoiYe?tYR=i>^^IMs;=LP9} zn~FCWw_6o=%w>Kf^Wx0IQoiD653JZNi!<gjzm^GmzQC&8qPW4h{fgzDnar<b{GLBZ z<6BmoVa#q;9AV6ETI^xme#vsd%)>J+C!9+x;Y)j_VAX!Xvf<prV!qU84=mY@iY1KO z&slcNWPUDV_FN#1FX7n&%Xa<Z6LXlK$?Q4vu!wJ3@e3n%z2X&fn4ik1Jy)=7KVj)` z?qMNcUvb79=EpK(&lgy<AF)(8_ppF3`q_e1zQ|_{seIwj5-i#eSqhvxcuI!txq?Oe z0n3n?%nxK%oJl+-v*gUfe7?Hk7qgwGSbjLmcuMBmvkxhJRmCS}GvAYW_v}FmUs>^r z+01uko;_1AZ{J~g;OxU(zP$Vwwao9O%kE!z&burBL@o0>>Ad>~p7U1UTkxE>@?OJp z-fj6SYMI|kr`<1j&bujp!{;`u{Ek}YH_|WmJp9UAeDA?8Hp~2sTISc%VfPpOYO~01 z_}q5Id`~6wD`~&`51#QZ%g^}CW|klEnawoc<8#|3^97ZMXPQsgm-vM@?ViG~whQJB z`yPJgO}+QvC!0~e#OJni<{g#H&!x@o3q0dZxVPYEn|}U@8s=xxd-gp1#5*nj#V0ns z{1r9KPo>rFEBtIbVeYW+;YZ%S{EQmr$I@c=7yM{DVy>|7;RoL6dkdcOM&4_9${T(! z;YZscbAf#aPf4@gSNPF(z&xaq`GNF`J&C8Jm+X1?p0_UlMYZ!3^ACF&Pf35f_u&a| zRsM-;=6llb?mc+ITb93~n)$BuvwI5P+jf{A*!%D;Z(jC`SmyUqW!EpH^X|$%5zG8e zD)0J%bl&P~3(|QjuQjCeZp&T~%luX<?Rr5v@22bxo7=3iJ7SsNNWEC|u#~s>+Jjwe zmf0Dx%&(=wt}octW|7^nx$TPSo=E0bQhwJTr137x&e+UmmL0K~%{1F%bK51;1(Anm znod}kSi+ljO<`Bt1=EIg4~uzIuRYkwW|S?lx$T^3M<nxeDYNSWX}k&77VK=(&pr{u z{7h=knukTa)3RS|V$;iB5ySjcO6|JB&bAY#4(lEk^7dtC#4taW61%=&N81rog>?@L zc%!c^Nac;Z){x2@el1}~+aXhdbq7yLv0Yc#(RRQzB$D}o)QUBUr=*swd6>^zm;EBz zd5Y<WwT!2vzFqr}!dsPnBAWT0)VpgBQh3X<S41=4m3nqfVSC#S(*tWC=JMvHzp!O~ zFIjf}!gHQo=_hQN-$~}3Kk%HV`rLx&JeB7fp7U%=Ut!DqRx<5;!E>HX=^KjMtkOGd zncqmhnDg)}Pw}}2Rcw~&8Me%?CBx1ysA{uFZzyiNV!X$a`IV&K`3KK<mZfJDvzetw z6tkJ8dla`_GG1VLc&71$d5K?m(#|PVwOuf7nD_8APwKe`m25`o62)!jj5{oupG%sZ z7kI{#aBe|mn|}HU8|G({d*(d+#4|1ZMG>1``U)H7r;=*t6)M|K7(2{+_>reCJ;R3i zv834f1r=>aj1}fR{J;}^ZoyNY$a4)(dBV>nRJ0v37MOSNlqB1Eg^IQV#vzu>4<uL2 zNjxRFWX{9)Jay?WtevMAf0)a7O7h#e4^Mci(oa}3-;;cI?!gnDvh)?!%y%W9ol_`p z+hKfQ?!&h{dC4zincqv49lwyyvn%<8Eb}{wyyFMbd8&^sNav|M){xG#EqR43^IM6u z;|1wFo02yew^=23$TGi?c+vB)l&ARE11mPm<P2Hn*AijJ7g)7fBsUnhT`}Av$^1&f z@A!i>o@L1y#%yNE5yot$$sWdSmkbw39-e79p)aw7C+(PmRoex_hQ5czJgLVXSh5)< zOBlDEGwhILelB5lTp*1n;n)JpHvQxiGR)5;_Vhd~;+dBG!iY^Td4&w~Qwg=>3YKjr z3?2F&7V`8ZXUH%=mJmC>z@qJlp+euo0-oq&3sQL^k2R$7gda<=Xgg#m(0A~Z1lw^1 zi?#!XA(G4wBv$k!o|0J7^Dv*MF8PJD^Ay7my^N<Mz8(9J!c&!eLYn!W#Jgh;Qh3Ue zS4cD8m3Vec!MtsU;ep<VxjcFCFa9#U7cbj?;W_uN_!EDb-ihb!Kk%Hpdf$TQ+?D$p zo^x-DU-6get$5o0g6G_u;x~M5wTkcf%k)P4Ma{#n+{ODI{9?6?&-lyqT0Ct3f?ur` z@eQ9_ujudj$@EIxZ~ud5+{@xKKC_y|M|@^AjraK6dP#r5&qFiyC)6c=;ZED9@T>KL zenZ{E&)li|9{glAikJA@dQQLNC)0Crv;6|kxD)m*_}Qu-f8r0*Gx0q&4?l5Fi+}Nn zRWE+UAEu|`YWo#_ww};;sC)R4yDvWD57T3DvHc5vv>wq{sC)Q<J9^)Or`(bI8lG~8 z?@Rd6dPrZO?%*kLw*3k}S`X-l{A79{zM>}al=zaGhwr)T;$QrBnxg-qmhqJMw|yU; za972j_|0@r{N26>Pq@qCSNvwWEB<Vs!uQr4`Uh$szU9t~esP!Sy;#}y3+dduqEFmq zdMB2*{XjZ*^|l4++?CrJ(z&-quei(fRxE9MK|1%Q=nb1&t)e^bGQAOdk@K*WyLj7! zU96VT8F!gpi-m1pu&dP~x?ywc72Q2InO=$cZGVu)=qkXc8zDHSK*KO1XqnK|AjR#y ztm^ScqgLE@x);7S`atuYraJ-cW#JF*Hr*C`u<gUP48?cazTC^AGd8oDg`fBwx?CpW zq=$8Qz}}|UQfA>2d!6Q3hp!N>ZnX?oSh~k)hIY=Rk0HU~iR-(Bb#89_5c7~rY1&Jv zYa4IGF!l%b73f^wn6y42W4hOKuBzmNaSzY=wW>Fk6#K1Lu(&qcVtd5e6-y#|qfgo< zmELP%>lXI*33)7bEywY!*rl9>CEOX?3evcjL`&RlnmOBRyTY#40PY1bIyF%mn_186 zPS~m0_av}<!o<p+hW6-n5pON6`bs;Nrs^Eou<GpU13gc_nTg#A&u>$beRU>eh4Vtm zRVLq7TLnyX&J;Ah`Z9b){8GQAR##sIbU3T(`5pZgs=a#p#w84CeWEj-t~#@k=eUxL zcfpEtD-YGUK0h5YDcDgfZ<gfh{8dIN7VTQb*X~DzuDJ2W_iCEX-t|9LIGM)nT)Apb zSGQ`|otFW9&bDcFOCz^hguV!fRBK-}$LouBj7|L0owJVa`rTEw#`5-hul-(+Ekz!N zY$@Lt^;vZG>L>ez{=PCU(Ymp6--3fxM&CncuijI$^;Fc?C#!6(uYM)7KI=?T=+yN` zYE0Rtz0=YNUy^(^*44FJZk1ke<HfmMmx{HHuP<w73cg(_Dii-cq9phupDAm>nmsE^ zR_1MtIT?5N;GxUOPgmO}^VWq{o@_0eq%Zh!_0ePQt9EO9Z1*!<5?&jWu;xQf>hGxw zuCAM=ZM`dM<=LeM(|2v1<T_ci_4iXv-=H&w-bWW2mwun}JUBmrJAS@X?p~`qT+{kw zoJ|)mUlzF1TT+g7SIFX*F?WL|m%G1x7#;j0Mccw#GjHlHkw<}RR|M{kURkI1NbW0F zwEMRe)@$5%%1zyUW#QG=VR_q(?!GeVTRms7-{}fDQ>k5|600w+-Id^W@}}3B8B2dn z`*gdjCsb;+K~T^fmyd2wA3jdg^f+~J@6s=8jHVae&MM+g-qyu(Wl}2l+=x{k_ckh| zanBJm+b(d7X@+jbslcsIH!ttioVCG#JAT`QovddTM&0DOnzrKV!jPvtN41__E?J?K z_p6ObM(abqhNG49OUH|Ur#x4;*|uwu{mGx6XZ_c$x1YJ_-xR6m>R0E7)hGRZdDcJg z)*q2ymuFp@f9B1%e^1(e1V8mp)~Nqwq$&AA{l(AVne*+oTU`9PGM|6lI{r0_=FQiu ze|5+6`|^WUyR5g~d-Ck8UrRwqxz--euRfRM_WK+^eM~o*r+m|=^63@9`S*M`Mt|7U zdRjLkZ{caN9XSh&xTi$V*wcAhOl7;kPSz8;HhBjNxqGL_ZaZ)yJMm)EBQc@v4Le#7 z&o0}(;pnzaJxkSQ1e^-8?Vdj~`ogAGo#+FbTD23!c(w=ZU_GQ8ar4lFfTV2-J6aDc z)Yu-7s-+*?na5bb9kR_Jl{;wLhwZHUb-(Z)wVE<V*G2MmE_Y3I!=~1~8<)hay?JQz z%6B}XKSF0~9a}B6eZltDJsbZWTlHz`GqDf*vhE(b8?we(H}Bv{u{YZSwzKZiJ(KI0 z$6XlRaQo10u{-;m_By=}S?(+w*Z6()jfD#PU+p>cPV`rd<M-7!wV%W>e&^aA{-C(k zHvB?yt4;U;W6>9)IqL(eS#N2diF<fXG-Z9lbFR{L0@bWHv@h&D^jh>;%)zf*Me8n9 zv0l?&6X*Dqt8g8|bFP))6~(MqwCBVfJS*z6{=ze^oOK(Xab>NW@QiC|xI=NPak#?X zLoY<n#5jK8S{Tl-_t10EQ!xiWbET{^c*Zq1T%efsoOVu};u+BcF^-?P;@3^6WIdx@ zvGdSVQJwV{o^r*k3#ep0rR@{v_=#&`c*CAUk40tHU#MU`rmYj__>rqK++ok5hoakJ z98ZgGieWr0x-RD62d>s|g*{DEwRz$kKX5gMuP9<YpdGOD&=hTtorms={#kdSyj3Io z!EUB0+HYbXo)rDEZbCWh9_>4^2TzK=Sr<^wx=Z^^tm8Yb!tjROhi;4BIrd7nyL`>v zjlX)9T8F)h*pYm<?`ZlO+vJy$+UFxGj%|`%U8ZwuW7_du#?#(JlpR}T9QGz+L-Iw* z*QIMLlXv#1rmwlSG2(cXtas@ei{yin+NEpElNa`tT1}h1(dT%UvDoa5*Ls$wtuaZS z+Si)4#yEMR+}847rE3}QBhrou$!eGAT-a!LT+4Xc^N5sVQpJ}aN6dBST$IVRwa94N zjJ0q2UP*U<UUp+y^cvqa2FZ<*-o<P5lT-UzEvHT2sF$B)Jnd;j?6F5iVNWBbB>O5= z9h|i1)Y*uM?st1xcLvK{dmOOE`eW}?i;%Ywy-h(f8zstr-ClV*qB~i$Z|aL9JFm)# zJ&I_Lh%S?o_2!l`?iXB`lsfa(>5z(Lr;Ml0+qj{pG<DVOg*$w<rs*8s$aP$4PS^Q} zuwzm(-UVw8tTbAFNM`k^h=$}q$?Q`RLC1d0KE*xlenf4ut7Lb+jz;p^SXT4U*$b`K z&zgOzbd_bY?ZHdCz4BI^U0Jf6%Q#H#>a&oXWkSZHGgnp|KQwz);i`KpPsMyS6IFi@ z8nWTtu_B{scOy!ZFZP<6U!A$}iO2CSY3{r=+gF<$FWSv@CUkbV<IGcMLTkcZcdmM` zwSV=$Wm11veb>IX`o+FmbG^Q;whOlOds_K(>bvlr@elo2(^l!l->o~EzQQ2>?aZ!E z+P1;2byJ^f+l1%-JXNM)5T3YmRl%xD>$CPR`kPgzad!Q?8ddA5ufofgdClcI7hbxr zOIGWX_Knpa_AM$7y%!L@uF5Fn;nam&YQFxuI%l=S@=e84ABR?lU-jMkYwGLpqJ3F& zyS{dP)zXV!8~60-D*f=%om!u@POlHy-}PB^&O(p<UVmSm4PW6b>sM-ZW#;NLF{)Lf zPr{dnyT++j{+v2{p;x<;vFNMtW#NH*N2@}g24wEb`Ybwo{k1zYy$TCf*#%$ZbFBz{ z9G<n$>vQPKkO{#z{k~dUeH~J=&*}5knd?24H~r1}qHP@C8Q01u`gGON^$zWy{=6z) zVbl}6mG7#>l*i$z`#$~YIuoAU)-;Ff%j$FMkHmyNU8NIW7$;gW^+9NJOR#6%)}Ns> z)*q-@`t$1a^+xM=eG+{Z&>vs<^VKKq)9ZKD9DTa_)OwBmv;KH}T76=@!v3m1r#`Me zwq9a?)E}>ptB<S~m@c(B<au!6vZA2hQ{Dzw%-i+%)d$VHEAK3;n%z~SbvmTnJ8_nx zGTYgZx}ar?j6@$yS@~w(s?SsAu6!`>(x)ramh;Vbs?qwWd33qh{G^&yA2p9JPbr?? zb+p#&d`N9&@JrvXDXVPvtz2X?_4#Vu_{^VLr^6%m?fNwJVR&o2U|nkJ%Cqa)_6z;d z`mkCnp0UpL>1xe*&!4Z}YwutGYhTwV(fi>6`)>Vybuzpv{%LLN_p5iq{q}A8z3QFz zuJzCMP5Q0%PJ74td;5xRuPWERx3+BitIbp2h3$-fm{+=6bpG0JIZM-5+xQ3OwLaH8 zd2i_<8`GUr--d0CzL;ma`|4a9?VD@g1l(M8F1X%*s-5Zbogwdnc6on|%qrKsw=`>h zQT3}_%{$8v<k%hkIc0{S|HMkJv(JMU__xlg%=)5vX}R3|Lor^ZE3Yn%n4VP{n{_T| zz5hX7*4-g*f=Z`t+C1e=Q2Df3v0Y`FR{lqARkw>g4=(Y)YMc6f%H-wmrgd$eGH2;6 zozz`ZW-s-d?iBm#Y|u*YgOyzGS6*5Ez-DUNSIuk7qgLCv?g)Jowqc$B*~(X6R~}f- zwbrKe=}O~>c~zUAX`1<OE&P1tW$+4r$2`~Pnm3l)?7w3u*P5=W5xrNg)k-pT*Y33Q zK{ei@uk@Z)gv?(mH{B~HM(f>*>uaCoJl!>Q&f2K$Nq4hKSDsj^vOVao*14BKQ)Bg} zf7&EEXKm2-s?DNVkAphgcb@XR`|69vwY7J2N_R|o6u2{brrcM}UAsbOuf378HBH+z zdg;woCE6y@b8mK)tiHI|<6=%~n)b!TcXC8`UAY&yG`dmFbl27AVX50b-RU|Lmb~rN z9j`NCbE0$eM4#nzJqQZj#<Y3rv#|JSuVxqT4w-Uutx@juMN^tm4twtweHIqCZP%Ss zMXU9q3vaF}TIrzf&70@C^XikJ3h$?adP}!YeG)b?+A;6zj;W8sdZP{Vw(gkvD6BJD zF|TyT)oE+D<V;P~)`^b2d8%Obp|u>_H*LE5Agn2xH7_((+remo_12qRUNbcguU(d- z`gFySwg0w#y1nZCvI}$1<%k~b%HQ-!<KWy~HH@2sXRKY267@Fqlv0%K&d%*8r<@O~ zihi2gy8Y^1-`&xcH&5wZSoKa#Kd`zx`eJRKY5C>HVMSqu(Fb$CZku{LbZ_{_xUJQp z^H-NJZ#9UUS}po6bbI*6omuBYtJa+=7M-^`XZ@<ZUEfyST>UOawR-B@)vsbit3}_0 zmaMDVn{_U9UHHkJtG;SoTOGDO=<dq*t1O(azWcI$=^4NI)<0Y0SkpD4mtR~Rw7zQc zZnrI5rOOSiEhSfdU$J|3Rr#siuS%9{Yzg0aar5MR0XwYUzCBnx^<`-8x}@T(vsPb; znfh$irPUW=N}p+6TJ3i5zzH#ju$eo(zO1^i+F|{#J*&=y&I_NoGwV#~-0;qwU7uH- zU41Bq^_kY$)%#+mR*F6gja#=%#_L?@wD8iMU8h5*h6l!(R!)5qIx*Za?rX)=$DzI9 zhH+afralVo3|EXRt++aE^_G~aPqlQyV|SkVu<Foij`f?0u09BD3TKTAeX4a}^@<qN zr&^lfe`BAPhu#kjT=!@<*QwBIXGy-$imQ`Xe_3~GH`n`ByI0SNNi7e(8(I>6HdeJf z^iF6&_}<v3W})vx_5{E6yPB?XU}eejQ**h>SKVIu!)I%{mTmCUnOx@sLY8fsyQ)m% z(8`SVO-5H91T+Po^(#%+y1DX=PiVTv{)PYAUd`4j)4H+ph0j;3t8-SyET3dN<#EVb zXG6cER#zScbUCZ?wOU+#6|yS$sN}1H6}rxul3GPt7QsvXzNTrIIxn5cRl34Bc&^{m zG_A`kZ}}WeTV)!&a;DbVkfp&3C07-#GIqB0bG5qqA|!2@kZf1cijxaf+D{pUz6e?1 z?CAH^GIZv`Lq1O}MQ5%&=5y6@>Wq~Z%WuumIunwx?9!Z9r$c52C;B~2)i87}^eeTT z`ZQpcbFSajR4qN{#+j>%v`(#5TRv%yR*}|;m2%6A=Bz5zI<``*{nH$;!WBlrs(!5& zS09E%FS}$EI&I|!pVCyV!z;O#E6q7|Dx^7>(@)eQbm~fm<%^82PFcCc=d1bD`ysW# zt~0yxwKRg?`hHE(+Pm`8vO}|9<!Px0-}DVN7rh%&9DLGO)LisVNPh56+pE7t-UsjY z|61wwedV3y1@m{+cAXEdo_FbU$h_qrY*K%Ryba#sf7Y(_x#rE~Z)`%Jue`B5Vg91e zS6&Af&nv3+Iv2dwf1};jUsGNM7tU+?9P%nSf1XqAt1p`7{xj{ag37qHm0o9qGv{g5 za-9uMpQlut^+nUzztc|im&l9Y`TkQYPkq)j^6#x&^;y%<ztC>$&yX3*57;dId1d-? zqxpwwPMr>(?jLD)^{J+wf2f`7&nr)YC;7YDvHraBIJnQ>)b8kyE02P^{8jB*e_VMO z96j&Sr;usOH`tUu)jYhMYray=sZ+tt{+xEAKSHK1XPCd})0HX9m)Ly$KIMLJt-ot! z*LzJ3|F^bZpJ?t~{%PK!>R0bH)%|bUhJF{h8(i#v(pL1l$erMP|DC#5cZ<9a+U@-{ z(yM&souviScg1#{52~JaX>-WDr5|)scZa+U+TwjyuQXlr=F&Ghq3J7cEKQicX!DiV zLB-RGV!h4<t@Ylhw{_Q)S3!l-nl^{L3d*166#J?~)7*Qe-qkcsGw-#LUT1?cr)kA< zoefH#rWBi1qG{~isVBNi<VDbY@2QceiZzYAdm~pBYZ`hN>TTT_GGplhouxakOkZj= z{ZP!Q(?Qd{BlWJPYU+81>bdT`@+4@Ix2qoO&MS|D`n*l`j_$bfD5%R@Rj+l&m4`vm z(=KfanYMI;PHC#<;iX*Dm10hv3TpP|)DztiGIc4#^hKMlOj)`_=j-+<_k(J^T_d~l zH8s57>V8ep+`IJCv_sLa@-)@GZ|a6_7r7f$?0r&Kbi2r%pnUJ0vRA7`-Use>|7z*= zeZ`%{1^v5hyUqtz_gyLunYZ|ZOloz=+rTaEXXQ$tYusG?Mke(6iW`d)`WF>nc^z2X zS7hsTE^w{;M!Bt3Q(grY_B9oUyb8?kbFzK)MZ?^ErrgzM8fNZmExpbLX7*{>a-9uK z?^CkP`l4a%-YF+qCGsM0zWY?mQ=c`A+<Proebz8^FO=I_88Tz>0hy(hSEesE>OW+2 z>U7|A_ei;`Pc`)1L*-m6uRIBy<nAiRT6yKM?>u))xvLsgB98;R-8J8-RE9hX>~NQr z+xk>P*FDve>vUjvpV6JnBK1>?x%!ok*ql1$m+(f$v|`Ew-$V=R@>^GerFK_`JeXzX z9%{+;K|{;kcW38!4NdpIvQNuH?)zq3k`aBn%;(tRAAL<mot0Pa`E>;Pmn|xqaxbvb z+R~Ei{fgalzcBO{6-~JtSn7UJ*0g-eoxno(gKwu)T)FMH%6#p+C)<SMrrh>h<ouQI zsP&b10ku}`mt?idmtUCcb#+H&&mGRQ0Xv-U@*PcIVe9--QtNy`Mcbyko%t(n8P2nA z<a4#Y@+P3XZIbM%a{;AoU9wzd8dm+H4J}o&S?2=QIiHkVRjP4qVOV>Rtk$`Jf;KPN zS7!rOIM3yKnx=7i;Vqt{X)8>fS4wJ~4Or^DQ1aE8fF;hoe5q*~7Z*CUGs%J)yUvw- zu4yX_olE&t(=^U4G;8NF7MZcor2Uss$g_YM&Xtm{iZo6y+{JS=b;YTL8tt=Wyoy$w zSg6omC3C89#j%AF?NKsbg)5FM6lk}S$vPbn)pp8AWZJ@YJgF8T4+C19BPFv6G!8Cg zYF}j}@*p6jZI;oLDGUF#eUe_4ze2<LBQI;}ioFZx@hmkDxff93e3$oWipK7Rui6$# zXXR<^T==N1N%~c;#`gJD^^g8$eqU~9|MK6I-@@<wx7&aGnR(v7s_xWh;d%3O>R0{k z{I>k&{C9s;eovk||J5In-@<SFOX{lrW}fq3XMghN%CG9z=7-e>{nb3@Ur^@-8b?`S zKlk60XX=;d-}-ap*>Y3+l|MDl`Y*L#`19o%|0VXl|5Bc*U!3n$&-C}@=jBHBmH%9x zEjP3;{ipIw{oH)BdalpHGv=Gr|N0dC%zuV`<<FO&)KAaf_2<ab<)`Lr)X)0k`Dyuy z`3m(_e@=c}er&!(ebgV%kIRqD7pS-TlX==ds_xV$;c4^N{Ym)|{LsI}KJsVg2la#V znd(=45`N$xQa9_<<SFz2)qVQC^8IoR`;UKFo-W@zf8L)Z--GY@SJ>bE`{arG?)k6k z7X8kAr@nLkqq?TwFW;(fpIcS_=x%2Day#pn@22b)e&@H{`s2;a^L|xjr#1`Eo10U< z>TYM*@|$zty;0dcdG6d-Z$x$rzws+6tGb(c&TpOd$(t)n)vwJBD-XJ>dCsq(%<JyU zvwkbA=e~QArha+utv5%~mYZ6yys3HCZ>jadn=jA!EwS!>my)J_ajsK2)7_WF%Z;on z-?^kMH?%H&r;?_AZmwB5*Jj}vb4|*BZ3=$oH^aK}=F1}W({p#dIg+~k)Lf17S$8~( zmY<lbP+oQCWa08-b0x~7?syh1KQdRK-0Du|X}_qlQ=5dR&0Y5<Wk>KszZUDro0$db z2j?=Cui7O1z%Qh1)~3l*=Kd@DbbDp~at-T`Z&^~8@0~mE&64fG_xviX@4kJKqP~0X ztFlG6GxOAU&V5wYbo*tl`u5pX`H%KyeqUy1{_@_GYT<Xj+s!}j%slT~m3OLGc;4)s z{8f89zb(5t``sOt>dA9wzq%t*E&Rr}B(G|3<~iSW<|lWq{Hk_sc36JUUd?m91$kb3 zU!L_{VLtcXlV@s|XWzPW<k>P)^OZX_&-yMkU%2z-8Q&%5z4ua{sa>4yl+U#H<>zHa z=9Twco-H#pFTJPoOzqrkvwW^%;Tf|{@_!WtKl7boUb*w-C$-bFcilPiblIud8u_#K zcz#-TVzxql)t-|dmmQleksr0k^W(B3vjy_4_GF&+jmkT<iF3;aUGezXjM(J3NY<{d zM<ojdMJ1<JHZJU~Oyw07Y&A{X`SO%+o4M#cmx{?#XS3xC?a}<OOv{|{p3Bo^n&zH6 zU%pq{Kl@i+SCR02-+;VZyI-F4tulXlx262@U0=VvO}kgVQ`<HBS>B}Gn(x$h%)Xab zG~4ODNX7CE)~$BI7v?&>6De3;V9jb5e8ZU4Hu!`wt4;8RxlV6IGL{=yw^|2JnA<d0 z^U6%8HzEnk9n!hh2hT8Oy{>s;rqgSYh~*osSg&dxnaMOqbBW)>vmyb@3#?epgAL|7 z&DPv7^Uy5K6*HY?X-@HDJS%d}r?G@<aj=0g>qX5LKgJTS`N0~-tmic+%sezhv&7Hw zjEKeZ4VJA2!3@T%XEkePIz1CHSpFcDYkKg8IZo3x3uZb!713C3V99z?)5VXmh^s%i zV2;yc5sBp+ELe|fs`xP$a<vEFFk;mWK4HYF6THKS^{}RhUt<AR*fIkP)`OZXehW{D zFf4aS<qBS=kjhmb{9(4!eUU{zjrm*w%Ql#|ss~?~?Q~D%!?FT%R`uWwvz_jWyjW&n z&bm|ch%aLvSAK9owbOgyiuoIUx7hh#sC9ZLTrj`jH;bMBjn6E${wF@O*!XX#b$TnD zG2h^Ki?#oR+NQbcS1O&}2q(;Uc+R=rf5vB)>*^;eon8w^%-`^f<*NFTN~SsLOY9z= z6%LqR@QcOV-=NlMw)%$3L$lOZR65O4pJK;&R`{Gv;}_1w{sx~}E~>ZKF@E8k@2~Nh z<-GcY%0n~MOY9ua2wTkG@Uz9hpW!phS@oJqr)R<j^B+9robJD&#%a2GL8a4EVU76) zKUq$yyVx;);_UY?sBwBMEHQt>50<0qDt3$?Iothjd}7h{Kk<o0$A8Bsmc!~Ic8wo6 z!{!<MU^%GHVz=;=FvEO@r<}p_6rOU{`+ul*x-Y!Qrtv*zz`PCLTh#q8R6E@h{xGlL zJBzyihH9s~!Y}3-d}rCIe#DmX9cR9OLA29*p^E7ncDLAhUx;;jCsZ)KU^k1M_l?ag zw%#W;v)FiVh;@1^lri04cZ;?6gxIFJs#hYN-Uua3cSz@4?>%EP%XQTgkxs9LBBpQH z#d1~kNF>u7)g^il&k6-hFWALm?rjk3G+T8;<e^!rD<Yj{sZP;jJS%igr?G@{vA4ly zmW!$_dW<EU^Sw1Tvz%9*5P4{ZYKflX86k`58+NuBcr$EfIjdR|>GVv<VEThp>G8 zVw|R{7DPHd71Ee)u#@Gas*4_D5of=5L5$O5A&Kc5cCZ{(RncQC<ZSo8v57_3`@|*| z9q%2RSPrX-=rtB_hD|fr!E#WQMQ`CLA%^J=shq*n6jC|sy+1@d-4|M<)0od0Fm1#3 z7Ip6n(N6b-K1?gv&Z6$UA=>G#(2HpX+gWz19?@mY<IMLiuy%ScSkb?sy2Z}@g00g# z!GivRY8E^98^tWP?k9>_Y}_~4I=vOl=r^ctv38$e+ca1Cilx&V!GwN?=N#+ZXB4ws zS3Y6s^ja{Ye?t|^Rpld=Ommc%$UQtO7|>r(#bWMmVCytnd4uJlS;{Lcon|Rdkz+h7 zcuuDA3&&!2gJPD8$}Mt?UpVHwYZSAbSDs*bXohl$oZ}fmi~bFjEe7rk#Vlu)Yb>3f z2^#c2c*-%|eS?kDbmam|r>BA%{RWjRCzV~~7(a3JyBF9vJr<Pc-%!DFR9Qui@gqmO z`;8(NUH213EIRHxidYUSi^w&8;0Wt8s9-s$%p$k&lpsUD!&8pnK82?o_3j_6o$d=R zl4*R;5zx1xyhYvpg0<5<!4G`}<t*y%8?2q~3clzwC}-KJd_<P<9Y?-<fwa?mfr|DG z)-86<7i68@2^6#!ShLtU-!Nvebv|LtV&l9)*6FQ4M!SJ^i?#Cv*`~RQS0tU@2qd&S zq;sryo?*;#UGapZ(`$i<_6=4nR~3&)GR;w3!uRm3KtOwe6^psEfvnSP#SM~&W+|?a zbeg3&g^%&9z&W1A5{|{r2F5HGcVF1IBd7pWY>SHWe)!CFTdG;Wisgc04d22u0ygaq zX&lLI7c5x}ogc^?dM5DC?Bmo$D>$;(`0$)P6e!rPo!G{Z#xcwJhf&KJ#RR^C-cyY9 z4R{3f+7m2W^qe0UwVYB6;7csxnB?3c!}LTzuKj^U%P~a*zQjU~E@uxJrbhyN?HisN z=^KDf?{jvI7TCh0cv@fs&%;v!JnaD%EQb^$Bo93hU}+byXwh<JkYSpt=p)%QMez^s z!F-Nd=ME#5eToi}hwcf?%V|7)WQO>yu5~(#ls2-*w=FPl*`xS?_hBA~Ut0qE!aR-= z=NZ2np9wr^6EJ7lp}2?l;9K?u_AmZ6-siXbUH9Mz^F8@D{}!C*FR9<~v-ysE!as#i z>^tmt{AHXk|K?}oJozU-8RyC0__yF2`xg5RpPC)@>}MSL)U098@P~1#e8InjZ+tS7 z-}2w9doV3s&DQws%ufDyK0A$Hp1aaszU-d7!%s%p;JGv3oKdm17Jloq(fI1Q7PYfJ zS!q>sGfUO3&5VjopS3w{nX&Os$6VvfpaZ16dW|Q)@>ycsIrC+Kn*KcFsWV>|FWY-z z=9x1rmY1i^v`XJKoAdlz)q69)d`got7JlZv+qjm|IC#cPv-C$XuWDDG_nB!Nd9K8A z@(Z6LW7e{noLvumI*bc12K@}0Io&RvsaErg>c#0c@kXB~&7S^dkIL^$bEl`pFRESn zP4(P#t9Yr;LNliy*<<oc=%sghoYUu^m)@B<@j<njUzc8<{$#_xDbH1H_1{)@p7-9a z|8U=xUqY|EOXE(}a-R3zWqsjrI{Rkp16S`J%zN*hm(TZ0=jGdvdqq;&D<3?#>G;Zf zqW;yrOLjEhc6HaEUe9;QVnyp(<=ww_F$Z0o{_fGUxJ9=QzVNPX$+|IP-o7gq?OO#m zbtf;E@bfv(zR7;W=VmMWj=zj=_+R{a@Rhx|?!hl+OZ$w!jIa5_>KFWKwy<yb+<ZlT z&rilz{C@Qhp0O{p&-l!2W*_mH+0@?SbMqzn1wRkYl%Mb~;Y;I8`Hp`IU)UGe|M=rL zQ@-L~!x{c#e-?aZPpUicv-zxi%TLA`@)`dgoaQ&GZ}`cqZ@=SD<5T`!e;Q8nYt=ja zY(6RP@lV01VqW6!o?Gb`?2^Apmd{iC&0~Fj#pj+I=?CnZ&l$(fH+(L+?)-$$J=fAV z*d>3JTy?(VGmpi2kJ@9i(-+u1{vw$-FY#B8+4+ReJ*MXaKKGcM*QjNil|HAE?WM8X z{KRLHi_UY@vb`|2n;-a0a^87`&pn3cU(~eCNH4HU{w$d|kMWu0tn)uU^_)phuseL( zSZ{vf&mO(=4?gvrN)ND0{v<i+d`Au26Jxpg4}bI=OE<7f{wUdX-lK-?kul%=jZY<` z=1qJm88NT$sbt%EjT*Lx#%pYnKS(y6=csX;n$BR?e9Cy4jq@qvfAb!G@7b5`QptAT zc!5pwdrAL!3%~d5Nq=Db_?@KRyu|N4yV5V%Ccl#`I^R*vcE|YMyocL*?xlXvOD>nJ zowjgy&z;l<dXLK_Yo={Xm#msLF<r7^TH@}WTd5cHlFKB^rz!5{u|B<GbI*;`1A5Kp zjN+ynrc16nJz;atwbTuI$)%F3PIqkPu{iA!du(><0=>s2l6lh-clDT^PT1UIdOBcp zkI89`ShiWIb0XPZ8o5nROp{!6nj@C&g^}I#z%<EurxiB$7@mF+(>5cuKrgviGI1JX zn&hn0KQ{H8Nlnl@eA-BFdg9I=z0(gi^_)r#&`U0ooOHS)hV6-w-1LV#dXA+U=p`3Q zcAfT!VS8l6H+^HOWYn~Ysge=X3R5N9PHV)lJv3UQlUyL#bebc^ZE7lmUh^rVWjfBM zjQ&k~xV>jzs!Jr>eWL|B$@!B0(-v;;*^~M}_i>)2-?YT-J-bpb=qBe$7M<>hX1il_ zZ`#AMo_on3<dVNj)b=f`?zxlvK<@E3iJHER&n2q*CO(&_=u52bxs`lDF8P~8d7ol6 zkM;2t#XUEY56Cs2GmPsud@ix>_=Mt~YsnkrlD|r<I^I#tV{zQW_So#?1#*wSNaXb; zR`r-2Pbls&JswcpV{%->mTgw@980#BhHm|d&m<Nd=dfjaVQAMM_)KEnafRX@!{aY( z+GZpd$R&T4NbF;LCNb;ykD{J4$q90YPaEp>Csy|89e+^Nb1FGNF8Pzhq~jenY)=g3 z`X5&G97{HkOa3U)b=<>-?U5m0|Hh{hQGFAiN<{P(K9y)Yu3^LW&~S}R@&}2g;~X|_ zQ<E9wnok)nlW{&}_^<C_dC$IN7fZJLh6`kp-%I%SEiCWZll(yT@jD5>zQppLUC9?@ zlix`c9q+JayJL8-@1a@Gy~GcE$>kEYZ40e??j%0odt4?_)3z~PqN;6Tx<o}=qIJ)$ z#0z}MWfJ9Wiq<^VhgTT)+(<mY*L=<(uH7(QV%^~h#y!^(H}EBwN~}8EVa#K3*hBW% z?8F6pk4q%-+7hjL%nm0Q_n00IFzzuqtRc%bD{+n_+e-tt_QW)aMTa?L*<KjfwFjn2 z%sZ@L++%q7g-qLw!~(wLVu{2y#x#jphkqFLoJmaJJAB$euRYPSNAK_hqn=ZV0es0t z5|a*h$gn*zkZXTv(Q_=(fG@dFqU*4S4BI0EzV?l&5>agvQzatW3R5N84r|D;Jv3Ou zlUyLtbeKcNZE7L|U-K!0WjxNO4F0t}H1FA$=pxB>-(Uexa=wIr+d}i6J&6x^ALmK< zwI!PO>`J`Ao17<6bhtyB?T*2{wuj%k@5O)km-Jn{wr=6??mO`h{yq98UQ@U6xp-CG z#OLA_b&0>bZ^d8um-J1%yiV~qxAp!NpSy3wANbdFPCu^R@VWT9{S!WSUyI-HFX^lJ zs{I|Gxh?j4{5?85e!;&-U&Qn35`T4@?N9jJZMr|;bGONUjlZn3;^+KieW~wOpZH9C z(SDA<tS|KK>I0vN&)cu?x!Z96i$AS1;tT#IeHKrwV|*q)YyXc=-Dlzx{vA54uUDV= zvs-WfgHPS3;sgFAeG;FvzvB<<6Meb*hd;WH#T)!f`Y7JD-{TMKBYnR5jZej+>LxxF zkEknrD&DqV;}7dY{WX7*K8QE%=lJ6~HJ;&L(<%LBf1FO~|EqiWy?bB0%TLz(`V0Of zy%+bdTll?uPyB<wkKT#<)g^xK-W7l0Z_+#QqW;>M4!6A~vVPNb>VEx7DOqrV$BUfr zo8xxeu}O$e4%UBE$N0T_d;E^tYriGmr|+Ks^{3DG&3Dch*zf+^b3VP=?(*lzc?b6$ zbDGmwS=J*t_jFWZ^6QMHA5K{v{yg(-`qudu>&$-NoO?dSe)H$Zx#yGYoB#HlOWRm( zw)pOuZ)Kb9W<SjfOJ6Gzmy*7I{@I^rE`K$)oIkVf*|ATXubwxtH!l7e`8s{d{Ls8_ zza(F$&z)cSljpN>sNQ_my09NJAEt+Is@e8*^U?DXr*pRLmVBRHXxIEXa@FJX_W6O0 zzu$b^eDVA|ho3#~H*3uQTKnz$%xUMB)wun-IrIF5ny_Dyv(8_sx%SLhbAG7jAD@rL zC(bL~wY&WL&D+g8&Odo!xB9ov`^_iMCYX2MUBmY_bwi2S<;{}s(soYYns;q?<h-*% zj`rMteZCkUID7BN&o{-Jubz!C55CKDF3q29yUw+>`x=dJJ$E{nmTLB!<!;aBt0l&} zW9K}3`^ap2|Ln6K*K?K~J2m4hk9qT*GiTD$%My1>&N}OLIHzo9<U_SZ8d_6gujD-w zYb`Z4+FelFdY30(yfnr9^Bvb!a&|jrA3S=~CtrNyY|Dw8H*st3{vfkB+}%A*eDTtd zQwMS#L+%_+l9PL^XH@=hN4KZP?pTwejbD^@a_^6=xyZA*`>fNcSc$l{*QuLtozBz0 zT|9HHO^Mm%xkneP7nc~@&3?P{jOi5rmq|CLN1e7vUN<3X=N%_5(Uh1B)~+st^I`VE ze|65K7ub3KeRDQ_#r(N-&z>1yK7Xs`*t5;1^H=`VIh($8{=%Pc&ZIAy-&>dV%=qGY zCwu0<Z$57}nqOJx_H47^{L(tLXU6Bwo7r=JmYi|k#QyiE$Y<#@=2!lF^U3)1`CT=~ zo^C#MUc-L&AD>T~Pn=h<ul{r9<K|=MCG4aB_<Y=a<h+2r^`D&6=}~s4KS@qIzpf_j zN94owmiduCb3Pa!JkMmm`jg~?^bot*pJq-u|IhC8?=_&v|5(fRbo1Wx^J<oTkGz*& zG5>DuvnR&8&%d%;{5$8J@y_#)?3#bSd277=Y?b-ryE)~X?PkBso3>l>UE229A8+QI zPpdLJy;*YJ*&OrLcYDe<-#q&+M{W1axo2PHi0zhqlU8C@eK+S^+Pc{%Z>}jdzIHau zJov88xwHZ^@4Ii#rmdJgH}6@R@#V9(a*m~KHl4llrq0>4rLz~_d~+sk$?V>|v^3+3 zXPwNM@4hMCY&5$v&n<1U;q1~pwKU^%XU)vHH%rbqYhwO;Q{=O>8M7;Iz9}+3eRfyQ zvDD3{&T5#?zT;E0`NUZT^XfZi3O64+D`6ge$ER@fk+TBk)^~DFr$w2a-XuBg?7Ez^ z9gz>yT4qPy%qcKFc$Ue0^(M&&X(48_H_e=K_Mh43+iUVSYs~(b%a*!%@7Z}d%eF_} zORJcDH}_eJ@$R#)%og9y$ur)0_K{ih?Kio`+hf1HYbqDtz5B&x?z^#1-Z7PnZ{Pi3 zbGPm83!A%bb|2WxeLMEbyQVVn&AWGO=Drzw<EHD}*dy<l%EU{`KJMza++A?Dbx!P- zcTJ_@t9J+7b)6G?;HK*<y?bw%O2wD&F4)X{Id;oU*4eRZZnn;fU2@a)rQU@%kIv|M zlpF5iHr_38*Y$;-L;1&@-A21V++m#=+jG<Px!#dCO~vA~cXMp!HrRdP&e7?yDesty z#pB8fcXFSOExE}$JvQWBQ<3=O-4pJ(KG9Ps|G0zuc&y30rb6-V-2r!8AL$8{7w+iR z-F;zGx6bYZo4U1k8{BbysK-&Bm@3}9Ti}lC1HDymm<q&0$_!J*gUUW`=iVRt<*m{w zy&q+asp8eUD>iZOjeYW#DPO#Ncfls^-LY5RHsy&I@1Ahm^^V?yvX9%ix1X-kf4n#6 z`zE{TFXN_FOTJ6pKK<j)ob#zwdZ&ve=bg^cU%j{I+oqeR-^Hj^&zyVuRg74*<eStI zz3RO==Tg^AKe=<wSEFmE!}Npq>YPh0(DUB==4|SU>2u?rJu|v|`c}-bXPZo?uiU9~ zHg)Org*)GzNnJ9%H!kg&(Z$nF`pkRZeBNX<y)w@2*(Ss3rEzM{jLw}l)8{UhoN?Mj z|94U3v(y>WD|f#6WOVxUu9#y_H=R1Ip+9?%&!<f%PAlkF?>X~v)3MVM`q6uQK5ja4 zT0q}=PtNJoD817~lG9GFi%F}9e3;rYJ@RL^4r{l*{^CVS=CQ7>?wM-A#gTVYa&GNV zjJ}+{#b@rO-4@f<?_EB*bebPq`laqCa>tHnH+NO-O({()QD2;0>vJx-s%dt=rk<FY zo!E>h)$5*jXGiG2pCNNMX;b&Zjm5j7)I%;_@?MrEaqgVgg?*0eH>@>ea*e;WY0v2| zC-n3W&-OVx>+~JH?$x{B<ZUw9S*x~NcIo8h+rNA@+HtZ%fAe8u$=PPh^H2DgxfvC0 za`*8#cI=d1SG3yUB#W8Tw1d=UGi59{pE>#D3$@j&-(KDO`Ns^^%s0s!lC6%~i2a)J zIyqlXT9kEdv8MORr-oOLhsh_``n)%^Y+u{mpBwpEBKfhXS^q-2X+LJnI{rw)PVB_> z?=#}#-iaJI{!ec8rRQ%q?mk*kSSo*bb@ZFF$$6EJ#9r6t<ZZlr{E1lc(c&uizIjIz z#GONH=dy~*+6J#m+vIFEKk$c>sORrBDXpEky>n+uNC$k`s;X=(`66Y`tVPo;XZDnD zx_A1W-sIUi<woaEy6IQX*7>|?=jktM%~R**lx@0o@`X>CrR40BcYNBcA~olqeB`st z`sS;YwUc)~@_UxL>F7x{^~XjtAE!*5&gmCsIaBdrO6TOnnL4La8z=kjTyrY5VS3=q zo>Qs8dXtN8-cPNY{xw$YsnNdE3u3mF-<*8hL*Dwc#H{09^4^~#-X_<|-L8FeE_qA; z*~&BDHeNjb!DiZXL)-qdc4@zEyi6{T+x&UPo8;>LSi5b{H=gjkaNI&(xc1DM<O#8I zn`_<}e%R=y-!rqnw$kUbp+SFZWsdOa<Qd!hAKFd(EiwOiko@W+u|DO7SC2oFJ6yfy z+{xtfqP;a@yD#s$@g_OHduCQxTbLxvmtvPMXSB7rE-dwVziH3u4|=<IpE;RYHvMX> zTlvj9sYTO|#<G>)yq%gi`K#YC>znUVYSk{!)hRc+b23l;vGL7$C%^biOE<EaeA6$? zTJmkm=E-+w`ji=2Prf=+r_AWa$rnD~tZvRZ8KXYgIP!JMn#pVZmRUv4Ir+e6n$^wO zCj->2=bky6vTX9!nLTGyvekl(BWIoTQg=4KIrF54y7b&LXHphTZuMicy7@dMRqgYf zo---QYOm+`oJpB8IoD4t&B$PKrr)>JO=nITsDC!P`7~wP<kFcvr&Fd*4)im#ocSbW z;$%m^Zx%Bjr}R!X^xI}J^HECYWJSL+i<{F<Zt<CxYNRtccIKIaO@~f$sBbp9`5>if zGOJ%$s?mXyD}2mSjWj3!^?ha@c|Rpk?eT1$Qz_MxZD;!AZ`yP6gWB%dXHKS+O}^^u zW`6TdO3~z_zHH_<Z>Qw-f3-XI`^LNETDi-$I^PZN9M6+~{Q1Vb<6l4#Ytw(zF6_6& z+vLstcPo9q8Cv&Wt<?Euc;omBn{U5v%sCz-KlyXS>*O{4Ywec(ikNf!fz7mEH)bCX zkhiWqb2fQd|JKT$v&q?V!Ji{Q3CQ{LjhV+i<fTCgVo`sq9ow%P&y!Q-KG*b|Nlun~ zUE^~mc}{<>o!B!&gZ@mrZ%;R#Ic^~T`O}T3$<z8vD|=2SPwfx1Gy6H?N%F*gN4sx7 zW;{;r?KiaB_G8AQ<j#IYyRsiQrXAm6GwrFNPJe9WnGYKe9p{kW{OQJn<feXByRfH* z2ad0>F?(vL+5gw}+4qS1$$@f@t9ec(SNGdi`n=z`=lBP?-PLDKCYSYJwRQV`<4$r> z|501E?>BBI=XHP8JGT4AyQEsN%dtA;hIfwUi9g<aW8Tp(I@8h(ZMtvjh3%Gjo3y$6 zZlq6{p>_AwNS!jn8%JO0eA{(n&e0h0$(tiyC#~sTtG8@d#GIoKbf)dPG5ctMxOMEA zvq{Ukw?_7yP0AJv-W)OOsF%3&<{LAQdWcKMo;j1WsJm5<ZP$(GNvUF=V|vacC5yd| z@i~(;r#n|qEX~lMJ5%pl>c%ri4a7fhy74q=T6bw=&*`M8-GO>$J7+ven%M2A_ie|F z$4R~2hI-p}%y^X4*{!How&TXMqg!;Qr5fsV$3~th*m&qDhxq1AHy$K4b+hV)r5YYM zx<bb+)ljqhukN$$5%-e<#U4lVoJy+hwvF`3-?&GQ(bc6YvFgK}lJ{3`)it?t8Ja9s z5=yG*zN`Bz#c=o0S7M8!bMg##9(^R%9Q`KOaQopZ{>QdC-#6H`zm%I+E%7dKd;3Sr zob!oQe5Z>g<{i%AUv1m-ZNtsO?_|`fXUskPN=B?&;!R@7zJh~C!n^bqE>fBmugN3A z$hmDt@r~Ds#eBuKKIe3k__J+$zKX5ep0Stpb>iywmG_jsZm?)SXsPpcgZXxgy+>z9 zFKAnswQ!H?Q(b}li9ag3bt3WvIbW7{+aHK#xwnYxzV53Jzdn7-TUgGmv3&x!we6X+ ziObrzTK1ex%;pO&j+k}Wi{H8U#>~SW{L;2(&Ll2sZ<S-Ky74?QmG84n&zZzzzSlB6 z=M%O!U*-E&HDkuXdpu=U5pxa(uunFg@j9WHEn1f6T*9j6nS9f%Bwi=1ZJs($lILvV zoc3Hfv1bMb?U{1lo^Ci}aOUtHnX<|mPZMML9v4MCO`Os^Q?lpFhLZ=a_$SM(`MBZ4 z!9y}^l{X$I_O+YxnOWVKaae*s+Q#SOh9d{}@O*n}aO5x_yK?c3X@|G8q+0TvP7G(0 zmN|1Ov8`QH&duV+z4+brclp}b*`D%+Prv?N-?n+L>q_aK_4yli9DK%B{3-nXT=Quw z>MJF6-WzDQ^UA4JL_A0g<zu$#Ih7d9H@WD>{lvQVud-rK4fY*gAhWIf#^l3?zwn*5 z=6Szi_u)A*Y2^`j6HD69%Bqz|+(|5G-z)p9tovT{hkHri#cJ~wR(IctesJ#5wxe%# zf80qrulwbW(s|tvcMg3M+q!+h-lK04b>H1_`X*ME7x-Lk<MxKVtaGFH+)FyA8<Vg2 zTx{+3guO>!>4xMBJ{K#<n^@ItzTIK((U-b+?i~6emYa9*nOJt-!e?Tcd4W~jCfhBF zxi3Zc+&gqe*Ck)Diu*!z&AmlubZzn-pNS>sU999b-2PzC(Pz4c?l7IvHOc?@RBYz< z1AAJhN5|Yd^hqo>@8DChsoNLqIr>CbC10?T`$V+Oy+a?xdbcO+Ir>OfB!6NB_mOCs zdr7Btx7<-Wt-ImQqf@#(`GFPOhoU2P9(|z8k}p`%t+kzD59`!upPj8!qW|1I^j@rX zdq)xXzG#P?NAKy*yW{j;taAH--AC{0&bf2womlDi1-p;l(S4F9SkAp8de7ZMxf|{s ztYE(_yXJhtuI8tFZPqv5Ce*OqHl8u>;3uB8bc5Rmv)C8Q=9F!)Za&GEmcGHN`6wTo zwZz<maqP1}39g7OTehck!_|W!?A^u^a}EZvR~t*bN?6{!Q}Rs72D9dkk~wD+ve>+h zBVHzCuvr^Ryi8c!JX6xAWW$An4(z{W)|^S0*E~@&=S;%f=1$3;;tgjH9^zq3GdO#2 zAI~&PiDwCMY`bO76m8IJE|gqTWN_-B8vA4!og#x12j$p{W!4lL96Kn+9xS6%XmI2p zANy^i8Pg7K;%Q6WpxrFV7iJ;xFd>XhTE?ef!-0dVc-Sl?rXF0zqn5g1|G__OucdWP zCDb%KO6KHmP;Y+8JI!3;UP5`ZrR15s4Z9A$V4E%Nleb~V!3S*B(r4bTzqh~Q|E<5P z&&ThofBLWW_w~2&HUDmXo<48?r$4RF^>6Rb`oHLJ_P6!c^(X(OK3{KDfAk;gZ}GYN z<NnY3JpFZi(Z8&}-Cx&V-5>J5>$CWr{Xze$K8wGKUtYiS=jku&&FVM)%sv~R_0Q{b z_{;c=e^#HxU&b%4pZU}K%lZrZ9sd9Nv-(W@y!wehv(LoOt?&HV{dxV_{fGXrKGQ$D zf8U>}KgFNL$Nk&&=k%xbdi8}rSAWt!wO{T3q(9o9^iS-U`(N~D^+)|<`^EkT{n7rY ze`G)3|68A?PusugPwUh5+VztELVt)qj1T)K^~d|e`UCq{{bBtfK6U@HKdMjH@8AFD z->cu+r{Zhs9e-xOU$0*O^6%8|;`idq>n(qtez$(t{ulpd{q}yhe#ia?|EhkU&K29c z`N7<y?{t2haVi(vx%t4{qi<uGy7rar`?vB+kwtgt5&6^ech*0-m1i{Bz(=emZDYDv zRocXKv5K@r>+V~T7tSS>iIt}*T60@(USZsQBl5txrgJ)R>4xcI>o!l=d_AfCZ)vx> z&%-q{BetAt3O%B%X4rkzch1A*+n@b9qT#o(EBuaWxAz&RQnA7`#&ofjn=6dDuSCu{ zcj&B+Px{3)v7EGxX<}Jv6Vt?&Zgw#4Hr}i-_vj0qGiRJi#1{6SWhxPyzgZ*si)%rX zXpPAQNA`#VPW*RU-4<|gTsS1rEyCP&?~|6`vCwTwXIy69yuoR*M2RUbF*@08?(`Yc zVw4m$BTekuD$g~Y(K&WzQL$K3+Cj_ivym+`S!YCMoO^Uy$0)tgl3RcCjybJQb#|R; zI<2FX?r7P4GScImQjyq%%@uQ6AL~e^AGGK`8mVzksZgwAv&EdF(;_owx=uSB!6Ou> zA@L%-b-jQ8xp#U!IXyhNZ%(t^a<z*{n0a(+q{O*J1!66mHyCvvjN~|{R3H|b#%RH< zwRwgSx8~*_vs>@${7Mr{6|33YVAQ=g(q?AsJ)KW!jpp6EBQ0jO-qm@P=4jr%GxEe) zr982M%@wm-Z^t^hy6&m^{^)LY`FgwRm+z+T7JnDFz53(L?DKI|-%f27pSL&X`>MO$ zW$SP5efLIn_w>1YU%e6CE&e91<XhF<>~nGJs!!frU8;X=Z`k*syV~dC3ch*WeSJ1= zMfKcwPt)`-@4fZrXxe(y>XkRO&&DmSUU>8MnYbm@z3)=f^e^sp`p$Isb@6(m>dJSn zY3mKEOW&!c>7Uzc_ML09_>8?K-+yfie-<~Ry7K1hBK^~QcfC29y8hH&jqkJWco(fd zu~*@H)t%FY>yPb~_#Sn~yKw!Hy#n8@?qr{ii~4qIllZi~>)xd92!9yYQXP3SyFmZo zUZ(G>Hi<uo3;8x{)AT8O|9$&(dv*SLjp~oJibun_6oQ38jQ~XhY3sz0mXw7|Qjro} zhHKaA>M^;p9_rgO=S^w(-MF&Cg7UYYcAoxf#xEOTy{5IHJXFvocvagJA4xxh*;A)Y zYVV2*xUuGDcK&+x@`HhQz2EC?S)`<36<1mP@NMb#>67=q`L^nIci#G)d!Kyky3L)p ze*4}#?_TZg{=V++?q7G7R)@cf-BEt`-qGjlY|CHn)IJ|u@ov-J)!+1P?M{2Yt9bgG z*s^!4io@T;ZYaOF^YzztmgPI|sXkwKZFj``sJ-4_*IASw+^PL_oq74fd!<#=XYclT zp9LDrxOQjhvvnrrQ}4AtTW4H8@t$eb^qIR|-gE8c{<7};?o)T3R*KKueeBNF%IPz9 zTfD!uNBc}{!n;d*UZ0MgS)O?B>C<(mck8@=RU|%rx7Pbbd$K?2o!qVTzG=_vk9x;< zOTACpll@We=x(9+PJ3Q|Sf^W_xl{XeY{a`=MbjU~ww4RtOMSZT;BJ=pTzgiZif!nx zWIStlaq3rHtyhbRu20#$<j&Xf>Gxx6%Uy4-c9Gy(q{PALGoiOLbLod5fwr^-9FF4m zV*}pZ+Wq=uY*qQwyRGHd@5cJQ+q8T2JH1`IpS_#3Tl<~fj@|d(6;->w*RF`)_`Az) z{e@cBclNPyjoClDZij!UJUTD@MWyS!@CTKxZ?&`H9e;P-48K#^`bPWBo<--hlj0eF zb6Ksgs69F-e8)bgbJ|hy2Y+>44PUWO>74e1JxX6i^Wz$SbzKhMQQ7)Z`_`VOv)b48 zIGxqLv}e&5(TuplXQE5iOVqZ`4DZ;d^hI>R`X4o}Gs7$PHJ#BuwrA02(WJP8l^PjK zKX6U+JmY`vjBcb@{KlVM2J0C<bDa&ZsdRm&Z4m$Psp$0e8){sqhZj`3KGoKUH~h(U zGTdb!(<jmX^#wJqkF_P@H~!!{8m_XB>7!`-`Wv6Pbl0Ew#HF)-$0x4C;UfE*K8S|J z8UElp7|yb9(J5_)c*m!r!EuUDMeEmpsCK=ty=YI<d(nWnjo-V}*I%f1y{G*luJAjT z`uYvku6MOx#2J3)+8KUiFVj2G{PhLVuJ5%f!Z+^jvRi#2*7aTD4y}Ul!rfeUt8Z-P zvR!>*GndWk4Y6-FM$4FWFE`GpI4tvSL%Tgsl5l&3>szgiaKqhQ)~hGPw$2T`66yLz zD<Rx5U3C5G8JoGThn|RZeXSJ{zHt}V)zBl6taCz_tb24;D<HgZ7nk{JgIL$up&KHP z&I(--={hTP$~vaATIbd@m5450ZLpc^Vra`crV`Qlt2H)roe!N5d2~i-$vUSqS{D9} zCy!q8UiM5=?QlWaB+cS3t@-VfR^Nzmoe|m)+4@Xt-x{T2(HW~hZ0b548nJHCX{{Y= z78Qw3Sv@1B^@)~JIOEQ)<DnMo78Q#2td59jeWWE6-ngUdaA;0s>$K36NY-hgF_Eng zwYb6^cW`O1mWXM6pv4p(m@3+^dcmeH&D9e&b?p!Rv360uXkggE?OYnGZ$uxR9QtCd zQod-#>K)OolS6N;U6dzUvU)~z>m98}VT{|mwukPRyXd>fp5-@eUFQeCn5XnzZwtdp z`;PxAc8PzMe>5!mB(FCu{cOpO>+w<B{y1km71^<Thb`;;;5U}7^MapPvd#;>F>lc~ zkuA$-*dCo5d}7|AbDFQ_D18&zuzW#r*Y)5tmaVTfpUheGRb<Wb2;0_Invdo%ozo2R z|M*N~#c~VV*4e=`<}Es_d1Fq}S<NeRl+J2;`8PfjN%wQC>be*_!?N{-rjtKoRoD68 zDVD9zHBZb*I-_ajulP)4&hi^Jt}}ugEL)#x?wh0ZS!BlY4@F(4gCpiGI<2{5&Z18u zQ<l%LX?>!p<j+{ybv)Q&-lC5pJ<B6(S|4c&`8QT{9S+X1Y@HUIV#zu!IL5N|p(dBV zV+EJ?atWK(2bxU&floymmM<vk(p)~FsB3@lkGYH9iv;=|Ea%c#e#83c<lq-`l|btn zcUZSh4!$vW(L0fn<uj~X?`S^qV=V959=xM>QMt&T#W!SK=Lf#%Qz{qPv3Q3p>-@ks zlCARspGdOK3%t>{s7z$b;u*3>=LVkWJ9JLtRgY4cn)%MR^LOl2vd{a!=P&d2iH$NT ziu^NAWQl8VP2jTTvdTOovq<=xK#JMXl)j{%=ju&LN}ACt@}33NWP88SP`es)ZmMOM z-lQq{hJA}Ztv$M3NBVkH%;|vab7J?W-Pee7H*CN7xmYC3P0^xDH@)_x(B3Ad(=n5a zpYGjiE&epB=-Q^u)8uro2lC9jn!fhXR<`q(V!KaiFu6PKn08kq#$7R8WbNVv*`u#C zLfi$@MGD*|T6LK(c91>#QsYj~p)bPQcg}xt_;=^Mz#YAh)}Gb4G3S9y>wS%PZi1|n zCUq~J?w`<i=%o6-o<;d0HR?w9PZTb-EqpFKF~=+Xuus{fi!7qDS9Oc4AH8Rpr~6j@ z$+J_BQ`X(F(cCe=qe$F+g~jpT({|iW7o4V~7ah39l0|p=npYZEbez2A?2LF`wVA^( zW@T}&T+%tEXV0=?S?<QHE_R!kCbD#~gK?K}@l?>^fIGTB$gsZDaC1*g6Iryb<U+!^ z)aL>-XBBszOJ$p;eOA%RUC@e4??PZr-=Z@bHtvpTBFSzSEx8OAKae^4Oyf`w(-{pD z_m8O}GugX3{%yO{!}NLW<?R9Yy<}UbZ@<#>s7Pd5{?UD*Kd(*OerZo>nn>)kAQ{%_ zfgycOMIw_IPmpnaqM_ja(IWg=RHWNOi>_mV233`(->==bebJiK=eipCftB1J)@tRm z_9Yc|=j-0tns@!tX0f?}8tabk6nhahKYMEA=>lET#U3%)XQOhiWo@4JII1_>aNX7& z)1F7hKRY$sJAd8IoeO%HKCZnRD6{XXb(ikq3r1Z!#jfW}EybTkcjfEuJ8HqDvv|j+ zu*Z=p=Pt>#K8&2ZSR-b2o=8h}VC3mMUE9SQil)7Y+_hLjru9Ko^J0#e)gRW_EnZ>7 zbs#W6^5~R656PqVHU79=H1E<_{6Ly@O5mH`M<+GDxJ@+Y+7o!E_s~g=H*SIET)P6# z^g88<6fSO%K6+c@j@`xIocH|S)Ga!%USdD-H|HJyJ9SOx)xXs^omc-<!*pIf$3E~k z=Pmy;b&t-er`RVx7cR9E{LOj8|H98BuhpN`9QrC;WOwlw=QaN|bxvP}3+))63$L7C z@tO0A|D3u*XVrb|FFq5_vD^4eILmJ0GvTH49X@v&&sX?+<c0c~8mBM93+FTZJ@Q=r zRL!B!!YOu!&xGgB7x>J1&OfJ4>5Te;8mG^~@pcn`a-Q+8_<7{1x{m$Dr@}FIfj>D< z`TNv4eG;BHzv0i3$Lccn7k_Xb^Vg|!`Y7Bv-{H@Zhw9sEoKCB6s$n{<zOLrb2jSNF z3V&Lr`t#H|eGqP(zv2_;0snxXN2d6D{5*1B{g2(n@0}X+AN*#S;{T@h(Mk0$b`!sI z?(x4<d+4P48@s^ooV)zb)H=NrE}Y-+`^ateJI`Lt?Ji$;cjvD&ORdA-#q21)d+uoZ zI@{uxGqumhR6N@>cXgTGt(|GlcNtHA6I1qV)n<-e&Uem<?&dh-{9z_{nVwbg-gBnu zf<e!U=6autSzElZYi9P<(=n@xkIv*i7qhZ`!`#<r6$_p_*|NNiSzf#|vSsNLC8NV9 zB9F{*o^vkMDtz|N8)vqr>6sQUow>S1&!l+n%<dAwqT=47nKQk=2+W!pvoQV{)7;m^ zV)1ct@vg4%$GB5%P8Rf9vb<;Io}0A8!u3F5u3XY}C)RZaF$p_NcJ7l|bYAI>)&h%( zN;!^!%!drut<^JGD-xH%)g=}2@YEdcVm<xh*mJ3t;nR2SI1_3q{xoKCvFABaOYtW$ z{l%8&u3Cscj_EGeJU7)s{83DMaqdj-(=n0H4jEmax|8R*)|~DFJ?&!NbE+2M4`M=} zG0o{d6%+hylF{}1F?GdX&x)q%?c2HF%vSU3lXrf3c4{_v{<_^e=bTA355F5zQhfHT zs(JXGn1bTHXP<rxdmp_g|Lwl3&vox^FS>uI_VqViyZpD6-sht$?;ZL)?QQhd{EPcc ze_xxsJ>~wU&tY@7C*5zV?LHU1KL2dx>94w$`CIoL{dMhC^s4-$mE7l|SLW}n<o>$$ z^7cD>Qh$Zb-hN}x)@Qn=`AaKTf6+C`pIh1eW$nf7XZEQ63VRW~Ais8B=ri5(+fVE< zeYW=8_9J_a{=D`qI`JOU=V{NP<L{lS;r^_vpC7v~^=H`h?K}2_{uFx}JvrZVpXg7q zC(-@+miw;$5PKZmov*oX>JPC;(e3%UmENbLBkvvhbZzQ(p8Hxg-5+$d^Lh8F{s?;z z9eR(cru$TM@V!Z&uHBEW%m2Dp^r`N??F;s7{eEro_AmENRdc^zyL<bbJ*nTr?nam7 zpWUnaJ?u_&LH^#oPq&4=kJ^*{cHPx<-Md?ht{;khU8ZZ7{WjA3d{pJNLz}0)joO-h zah>VzYjd}zT;H@gZ0^>i>rJuU=c3kUpN%|Ss%x3Ob=}cj*Iq@f%03#&eJ*Nc_TEVD z(zTbj-dU5nD{S`G8*8?v>6&IQja*%#Ymz-Tvb$vM#jR)7sO}1T5w#$@c3o(i?)j}J z)|jTPJ-7A9nxi|fJ&Q`b#<Y3bv#9uMr((E^b@j7j*QM?Zo4$3&n$VqMPopMhd#)4R zDfT3)KihKM)g5Awqq?&-*G=6a_9&`7J2%q%bX4TELz}Km-O6)aE2g_ZS38?`o$8LT z2T`Hdm}0t5MFn4*wCURYsJiU0YeiFa_ibIUX6yEAled1kb}E`Xf9>wAbJnD854#&x zl6`iq>h`cZQ3ct1*FG%^dmp(c{q4M~&vou@E;@h6_VqU%yY#n~-sdAL&mAhB_BL{B z`o(#s)z{{3PC37+IBf3br1MR--RC0Lr=PVv{Z+>@ee1lVRo7lcu1Y^@$$c(zW%^!A z?yqYuZ@x1pwJL1(<{NXiKGQKxUuwDfi;hY9T+8k+Yc6g+Ge@;5>_y~)^xAo$&vedj zJ~7Ai*_v~kkIXq*dF@$b;yI?`Y0o0#&z-X2{;Z>)9y>3!GHm+h9dkk}#hyk^PWPNA zS}FD<vOnE&-qi}R$C2IXn)9Ysh&_sIPtUdVJ{=i(?oiRSshfGuYuR*v(9urkou^t6 z_8>C!9FtA=smS1SlZvk0kE~1oI#=|m&c4kH=4>s$HhJ@xbEmAi->=!ddCr{F^02#+ zCFy79s+Nb{i7ZIpJNN0f&U?Ne@{-DhYt0t!?!4psAn#F`aE;l<bm1zqiRr=>W{JBy zZ~0!xODYpCH&firX+3+z=FS_w2lATEsl}NarVFo|Jz;a_HQx<+Nu|Q8W_N7nw3zL2 z_sDGD1$mE3g!9Z2cXgW0PT1UOIy+!<r^#%MyDYPO=iFp@spe*$m?pevHpg9-7ixCq zfoa0?W-Dy&G@Sk7PRk76g1n?+;Y2gWG~rpZe{AYJ<C~Co=(L)idE(Aaz1a^ob)NDK z$V(~`o;17T4$BiYIrE1*I*<7p<Rujfcg^;=!}3Ut&wOL5aFp4^RN)A-!c^h5*&26P z9;&U$Nh%O-n$2;?WvVYjUehVHWjRi#)c%=0+}^p**X1V5eYFKSN%_M5W(&7>?(uz) z`zTM?&n$6!=Put1xk-7#MYB6@v)oa;XZEnH^PcyIxTNnwwR#JyJMVZuh<o%+s77z& zbD=7|iO+>9^b)H(Z+TycOZp~MuBTYdX+3>Kapw*11945~RO9pwp9`&<KB2htn)imd zq_0A&rgs!`T1@xYdt|ovg1ARtg!1$ft2)i5Clq&@P7f&VG?}ikmt~gsoSiH$Ro(Ox zp9w9R&as!}g{qx?;4`6l(-n$44X3}@(=x-mATH^%P@*2=Goe}2e-w3|@lJ?4bXrwU zKe4h?Z~B9x&Qsn2aY>(qCQa|y!}3H`PXA#==P_@CxTKFlUDG}Gusl-b)8F`1C`xbQ zQ=tgG!ly!Q(>3<6JXBp1lk`ETX*$Oqm#N+iaZRUGm&G`pQvIj*u)K4hx64kJ`>G3K zlHLpX>n$wr+~fTq_R%{bKfT2A&RyOYVw2tp6;1Eh&2mTep58;V&U>C8{F2IrYSk86 zci!=Q;P<FZs77sLx=@wc#B`wwwM6UATb>vElFEe2)fBBcttYQA?!4i7z_00?N}Rf3 zy3o4G6O22rd2aAaDivBaxx<*#VzS5FBeOjh_&q8S%2P|U>NK02VBBdsIl#EnWU|Iw zmRX*2X0p6gaZ^uB6IwKxV=l`J6+897G@*Hu6^uI#C%>4}GQ+dLFR554QH?Q8Xx8K( zMxAFo6Z{UHR?$;WwCvQI{J^O5lxKinQjyT4$sKc8o~X#FKeXsP=4s%UR4CLn*<%jN zBNaaNjj2LWY7<k1BGd{~h1w=-%wc(`vc@N=K&WXl#~hcbo(z6Xr&N~tIGs}Yr}ogi zbDyWnOqTm93w)CDh5XePns@H;eBk>iPsmR#(Y$k)=LO%SJfWh=9kW^PsN7R~_^sof z`v<$E?}D{*3x9XqaerX<=$l}T+{WjERdN%b3s%S_{_eQte!(v3n_#(|;%^S?{uQ4) zZnz(?YdWVKCvW&%a9#g|&mGs?H`pb86<pQd@tMP--=p@(Z1)9rkG=@z$tC{kFzZkF z++o@u@VUdJU!#^~miwGamY2$I@`=v`7xi=0vb<2XlMj3*IImyfbBAI7i<*`h?ge&9 zp9K@;7@rBw>i_Yn<BWTP-J#RUdh&@sJM{V=eCjym9$=UBNpMnsM-9spWjXnWKRS-N z8`vd%6zuBvs9|}e%qPF`sbG}c#HWH0a)nO?+xj(XSRN{`u}S(M*woKa<1*Eq!LI3) z@-iEzQ_BD39)9oG=k8L;a$k9YP11Wof4PO<JNCFguzmDS&`&P$d&e&K3${t`1dIAR zs#)$R-;;Z|t>d2S2fd_n!CJ9}yE{P3Y#x;f)`)FP7pxMSm@Zf$mbkm)mg@z*q%y&B zF~!{+*4-;McieD2px1OxDNfulU2t9Zgv}k-TsP<?l?txv?%2#>(d`j?WVY)9y+<X2 zd18sXI?TEgHg}kI2W;*z>DGv4ndLeslI5k6n|NZH;G%AhSe6%zd3nY0$_jh8Z(p*I zzh=KfRZ*X?*oVb#`Ffci?{!~3f6H+q&&=~}KI3j{PoJgt6~vPbEe<7fv@P}YY+LH- z#&cce@0r*K-Yh96)Ly1v*kJOq{XNGC1K&wZhfUme-d~`Y9J`=-a!8Aw=}YxVdZwIN zLV0-s``jFgGAEt*_p`3?P2A)Ro`ZRQlUR3MQBh^C-4BwfTOh-DvbJeu${C>_ho^sG zwqU68v@a|w+_7n`r+vf!n$CttcU1l|_&xCcvRJxvxt<wc_S2PeFQw0W8kEO`$?9%* z;Iz5iUUB?4^YLfhEfYIp_)Ic7J>!Ib@J;=wR%!c1R_nGemqLS&`OEfG3^n^xcX<Xe ze~{HW?fXkCRO1iZQHgGT{m$<9dM~^3-!R<I?_m}HQFqhn53d^IPhp>;SuL}r@8783 zuPgWErz+=5?@I=p=G*tJ7Yw+UD-<o4cd5zd&Jy*-%nsY{D=gTr;~68k%*3y7&Z8M7 zi~&X~Pl_C0A~U(5`0#Hj#=n`KMnPYuFMaX+tu)IQcGb=!^6^3K`*tl6tu6Dk@2@TM z^s6oOv@fZz^h~d>@l^Y_Kt=LL^CT5kmhZg30&^#SX*Rz$`QQ>3?b`hg)q#^(cow!i zNxF1?o-(7`hWiQ%4!WL7b~y>%hg9y|Sya>8z_3|GHqwDX*ORZUBV~V&4bQ`-xlJ5S zF8NB6(>&C4f4un3%5=o1=;QS_@`8<XJ?;A%=Xwg8efZ17TBf#jZ>Q%k!O34jwtQu^ zl3soAzHDRMBp#nbXV1RNW)Z2FnWFH)$kS_u##d<;kry*q92O)`3Jsi|f1zWe!Jk;^ zL#aaT{ioCtXKqQjVDvJx<HZ(lA?fK$1oVH@HL~eXp22hAyR^B-%qxi;6Pl-b`m@*W zcW9pAX<sZ~+u6XTI63amcW#r2nO`28bDEoPe=m4JAvNfdLaNZ^i`(Bzvpjh*J>~F6 z{g($A_r)t5*YLE@WB(z<X!%W5v*3+)mqNmZqs{yqbQu_@F(}yFS5VlyV~IpnfhTv^ zhrdiO&#F%S(LH-hDFbWyPEWy>rg!@s9UA&Qvm5$6<zyz;?c+HxorB|oq35eb`VW$Y zm=`WQoG&mTHaDo7o&QII`|KkR7rqxi(P#FO{j|!RJM(J#8{QR6u6w}uONjCAQ?<Vm zhh+1FT0D2`JXzb@@Gft1-MfF@EGh1@uPC2fXV&?WBjc<&M}~n(=7EHaAZ7*SOZfs9 zrW{_fZR4FgclNnC1Pe?y`QvNAa9<xJ%HDkR>$kdIrkcjOeGblb_ZKLJoVl`oLcqoH zGdbM3t}{9}<p*BuG7wH>>}ol-&($?8S^WZ=i0rq=(;`wYbS1_=oN_?kT+2SMDrxus z9aqoxp1f=NF!$2#h&7LVlTy=P-Z^4+eqMA0ldDVUiC2FfPy6w>?Z@M?ACI@SdQFwz z?s&lT{|>=_y&DC;te*Y5uz9j@_2%dD=H}|<zE!iIT+{oODQ&i(!{fto-H*q4Pb=Q< zkA65~di|YmZ1s2k9W(e}$o!|USvdIU@8i7w>p9>1{?akiPRq(xH(#?tqv1t=^dtMc z4*R_J{-ysOZ+mKZrT)&AKaZz9l{eS<za#c%q4A&NYd^@FAF98z=FelZAN{i**ylC= z-x2!rIPV8}bFKe7e19JIJ!PL)|9{8NzmKnd?~k5Rf9J=)!sbu?x9{2K`Pbd~@~`mp z@8i7h4R_Vwc_%md_wlnQ?eoh1@3{K+vD@~D_x5?k_IXABcO3ounC*N2?c4pcqbJ{+ z{lV<!UeEbzyUb7KHdRl)J^RDXlk;Z3*y%ZM_Jf_BZ+)}!ovJ6_oPB3!=NsQQcb1&< zP0DAg7Pd-c>gw2ar|qs;<=&HX3>Gd@a_2L@v_tUB>>c-9&iO{=AKEo>=j=6kDFw<+ z(wuubU->?&dGb-Y&%CjC@|D?pc5=S*^~-<sOl_HYX0foDbmyLvvu3Z@={c)+MPABR zwWa2c#gmP<zn$s(PHoBN%yZvTx1G6ZkZ)u)`;qUNGi?^PALcIH-gxg@W%SJ3I{BOD z>V8yPWX}2b#2xo1Y2I_+p7nJ|mo}b#KPM^glcn^VoRYNaxw+?jPn}Uq-M0UxRsN$& zVIy<N;>qV`ckJYR?rWAW^laO$*$q28pZV^)qw-m8hWW>$$){&W+*@+mcgLM2pVX$9 z&)n1b#8)YwsdDo1*%tSfd{pZ(kKEJw$X6)8sbccs**QBqr_D~;$vJIy%+Ah-zFhWB zJ0{vlSKj4#<I7>c>8Iei{s})jp1YsAbL5mSn|;%ci8p3*+*A3W7MjOYA*^LSvq)Ie z{O9h@`@X;Ogr2I^m^T(p-aFf7XXicNPifA@!h2@lxqIZK@0+}!a^YRG&)ju+r&eg* zxclU7-#clStcCB*d~<Hed7qN>N!G%5X5Km1a^C0L8JF`upU$wH_sK~QvKGEI^US#? z=X_GqlhV~n(}b*rZ_K<f^W<xvXJ?L-suiVOvJ$>FbIm!IQnkV~rgXKH#+AmxS7y#R zcjT;(Px_@awVbp~X=+(%lhV|d8ao<KHa1qAd-8?PnKLdWY731S=bn7-bLz~IVzrbs zqcpX-#)8Je=Wg@lYwzj(V5V(+bB^bXnGG{LpZV-Nqf)Fk!}z1o<kK@F&Mi6Zv*XN? zBDE>oFWxh&zCHK$gFDlzZqL3QkZ-;B?Wx?V?N85Md%kV=?HzZ*Dy5(1PTuZ$?^}x6 zWaEi*JfHX|q<^vyK0edrTuY%^w{hSc&qqE2=|vWkb&W3?P1Z3!Xf#>d*l>>LLm!Ux zq*S$LW5GF|4}4agVJT1xNi#}S3rhQBF1&x{m$NFTe14=crK(l0_MCZg^2`@!Rr1v; zjCaoNoILZ!*(G^uCB`#nci!=Nl*VK}dHc*AYr}TTdYBa+c6gK2)T>e9lQ++L?Ohdr z==Y?%)4x=9zVj}MZ~8sy_Vf>xC+AInQRz8v`h&>aQ{Fl8LBEA=O+T})Y=_jNtj^V% z>$dHXdX_bDwQJ?cxz}IpVf!8RCc89lbFtv9?kjOezA07cO?s|0UwmS8?m5?6F-N{^ z)m$G~$@^ie)_T^sCFfns^bb`}ym$SM-l4Ru*RL9d|Bm4;*?QrsOZ?}WwP&*DuW#JP z_RRFyb)Wd+nztWKPh5Yu$LzP%+w86DZ=Pc_kG_+=X8qR6wS{IEZk{<~W)(gArcb=m zZy{^_m7gcwn0{bi%Q^43c%$d4>+~mno^);chJ7htRafbEeipLO_pCiRd-{SrmQSk7 z^$R}>U7o(Bl5_U-HI<#SrZ1`VeCd5*&yzFW9`Q!MgpBnCYdv3hJH&t5IdOaMj@*=w z+YU^hveWm2>O6hL&)iydnKgd9YfsLYUb4^SjJHMnrk~sgl5d#lH5Yo$h&9z`{48{K zdQGM0GjD_VM^9C!>u;>_oIbsv((|de#&X4<lTKbPSpM*diN*4{mb_;(moDEp?^@NY zIhSMnXB+pPnC`Jp<&)|J{mPoo$KF!$hki^tI$dL*%16}>eao7Y)23%sdQO|3P<e9d zbcuaSKB%_nZ~Qdr;B=0CDj!rs<CuO3Y3a}WB&506wNLG5k1c4+pxb@p4K~WBjkoA9 zmrIsTTUgCwbNWUs+k2y``GUWAE~d|@JeDyheTCiPPm=BD9b(+(U$k()-6CR~w#77e zP0zE8a-NgPE_QZ@izGLkUa-06y8ihcM{3-jWmfs!cCPF>2igqx3A7oG`|&r4(&IB~ zPu}<b6L;zRBn|zC)tpnNzuEibr1zJ&N#BL`Ouw`D$Vu-vaY5gOc1=IC*X5mRp?+ia z$=lv{!Y=I=x;ORBx+UkmO2Q{)r<>foc`?KPw9VVonX{HRTI!z3tY7XK$@wlb#E;oV z_oK<t%VPe?HfswdI=Y&*ciQHj^7^qR<-FIIH7e)5KCC%Xrn*&oVeH8_Uhmepl&O}5 z1*NNQ)NYLBoI7>Tx|DNXG2u$-s%y0qV^6;F3JDiVS1kyev}=;NwqxwcmtJ?)94S%F zt-EN=W4<Ht$WPwK`KmclvGK;?o6=OX!X~AuF4cB4=F!x)+$?lyYR|eOXS`g(g?0&D zm|C-L$r&%3aHllY<giPYQm?#@tZ6A$ovqEeS;#>9V$8|uQ&ZNl6syLC73~x{J+&l~ zbNbYfbuC4zleH(tcs}t`2>-N0==fBVbuERe-P(aMo{zi)!i#oH($&7WX_Aii!A+C2 zwGCrDA9`_wC#9-3YYWDBKJZ$#hNVC?B+MvPH7M-UcA@=KzpPa`<@F<sDOI&vyK<Az z-l<P~51%sOSRQD>dpI-3^6c9!+b=)x>$djI+j9MKrcEU0JrlL?qU}QJ+8d)iW1pHZ zggb4Yv~%i-wJLe41(y%ZcDrZtCG68Sq3x5u%xn3svRnOSvC!SgPv)_FSJ|%q(D=sn z2&SFu^o+z@T;1$j!~Y%p<Ueix@ip~ooc{%DKJ`EK{5HqsyyvGmEayFQ{DZ26ZcRQj z@5wpO6#t~>Dy4ox)j~HWU$8v++Vk0*BVSdD{4P}qU7NgSp37I2LO-VGDl641i-oRC zo-^;rSx+DTOV3nt{5CyP$?}`@Ol7IMWAP+obw%5gFFeo8arvUMP@U2C<a5tcbB=sg zN%1p!rZQJuuvqBa<eYgbXFLzgarvwg?>DJZ=*;8_%acz%b^I?qRf+KnsuVgk*=L^1 zCzXlnjW#DAd&>A<st`IhS!bThN0m->N1KxmJ-5wqIqkV=4$EoJb#sn<P-#_HwCS8W znP;BM2bD(kl|@1aCI?uaoHE(N^5lKbKYo|WCuyiZwC0>L`OVxXCq2LTO)3}KGx^Tk zBPTuI_yv^<?V5aMuFE@>LiI-LleazZxLvXqx;ODn-;(nlCGL}~h3-te)7Ns|<6Do* zd5=#$EayFP+=HxzZcRMX_vD;MihEMJN~xQWwa|@;7bH)<_VC*@X}W?2*8-`e_51xM z9(~9&yP_&brP%F}m5`-!rYz@ck1+Q|R+B808;vJjnYc%i^Oc96`=d0KWy+bxLT1X5 z#zLmbp2m|dO<W*(a^}PdeJLd>X>LkZlP*kb=zCJElIr%zQpiYI(s<Iji5-%h&ppiC zh0;_K+!k3*(pNqy!}-i(PtTJgm1)W^jfC`+SITfc^-yzHvYd2cqC?-4LX|${Oc~C{ z9%Al`EG8Y9sL=PMKqcC3QL0L$TT`k^xLcCNq(c)0`i`9PU~^Zpm~>!bh$QC&j}<*B zr#zPQJjqw7Q+_G!Ic4I9UY1iH-`qZ>s8lJRl;*tW@y_j0ib|RCN@>o!9?#sA%qQ)b zc%b)5u1cQ#%fB4&-OKDRJy+f(fATNKJNG>ML(i3~?G`;(uC!}<uDnft<zJ4s?rHW# z&y_dHZ~Q#bO1|?i#~b$-HBY`O7u!AhC1@$1`IqChdzk&AUlT3l8$VCH(!b{?$18U~ z`$x}|m&s>-Zs7dGYWAVAH?H84SG4A)0|Fwf7i<naQ_i+q^h`O^F6ft_iM-`!!At!; zbw|#)yVwi;61>n~Q@7-dyN$imGv#EvOFsn-<sbez@yz{D4a*sK6Z=n3m1oKy{L?YL zKc?=;C*@eXLr;~b$}jwL;)%P8z0gm=6a6-IM?Na|$|wFg@yK1oe$o%YBmFXUDW~1H z)To?x-%#`9lsk`o&=0{w{SiM;JaA{R7y2<#OP=u$$JBnGpB+>B|I{9NuUsqN`AKkJ zzr)WH_uS{zxV%@clt1|U#9j9}HAmhlm&z~v4QhMZ34Isb(Z8qmNUri;>4$euymR}N z<5I4?Q~KcD6K~yq<fNQ;`;wz_-t9xqkuv42(hKjNc;ogi$E8fU%q%Efd82gWU5>fE zd-77wxy6_(r7N$MPP}{Km0O6pP`Yw~*`!?)&7~dho_OhYC+A3sa<18-H05lwMQO^J zW<k3IO{6V13tsB&$vbk!&Ba`3m*9oon!F`v+-%I9(v*|UF6|UFlzw>U#51=;IV@+~ zOw2!}D$kTYc&B4}Z%p2iBIQ`KL#fJBr5D~g@x)EVTxh4@iC&w$BZbPn(usFYJaQ8; zpR_~pNUuy@%4xSPIVz{!Hsm}x<;G(kv_tSvZ^X?L58PPHg?3ETl4iWaF}2s{X2+D? zKe<Qpm20ItHwo_Rb+~!rp4+?}mwe?)>4UdV+;y9ib0kl>RC?j<6L;L6m<eqc+|j!y z_sH9A_ik6@-`>0SeD1F8Pw%x=-+r50lXts#_PpDl?zBBOyL~$=fAQYjZ`-W5pS+j$ ze4EwwqxaaVrRUy`%b#65`*m(nUiRMJuiLKP4$1E>mY#DvD8IT``c>}o?K^j#{j$w$ z`^KHQXLGaiyo;k>=4Rws7fZj)UA%qfPTw!vF5Gs=|Gj7JncR8XC+^HWlRI~N=g!{G z+s@uTbcgMk+1cCs?o6wcewG`Tw|mdoPuuji7w%m9$?Vi^wfxC@bU&G$xGk4oyl3r4 zvtzf#@`Ly2el$CBn=k)%(d=orH{EG_x=nk#<h`&8>4&*tdD44)KWsa2d(|Db3hAl0 zm)%i&x^4gMKY6cr>z>N3+3vVA_x(2Y?Jw_6E0?~PTfW_L=h=7LcHMrFH+#45yKOse zKgg@zeKvR7y_*&3x96@spR;T8({pXsx8LT}q}?{2J@4kHGi~W+w{K>pFP@uQw#|C; z$#ZGx+pIPpJ;!D(J@;l@`fTIbuXBphvgh`eZo7IjB)!{Mdd|(D^lD@2S2@c!@0@wI zWSiOMjWcu4=47RL8%Mv)$w;#{mVTMDc=ODez9ri(+;m9)J!kEioOzok&dfcNGk0_6 z%--T{XKx-l!<J@t_U67b(=4T*<;11!o^!Tno8IQanQM#8PTf>XpFBsm$n3;Tx%A>W zYYWYe-4sg?o}*i6cH|~s`fa1x({64$)0VnTd$Z)ZFbnC2Ibmtib9@W79k{vb44Z}Y z)SJs7-L^kzuV?F?%Bk7xI5RhYoBHOLXQ!D<-^(fAY&r96-nLygU!={R?VGo4$IS<6 z)w9pO-FokOMf~mBwdb>Ut$(_&?f0#>*)?&uKhK(X{nMVd=cc!>XT>kB&Hc93di}|L zY0tM>tv|Yt?YGq2>v8e3KhJudT@;sH+xvCv)$1Yg-JhlATn~z`{w(z>d-?jEm1n<f zHCw;2GWTqDR-E_esF&Fpan_%uUS=;|KeN*J%hn6m9pZn38shWTPpr&6lRbBRXJzl_ zt!J+v+QatD^z8M0d#3%AdX^m*x4Y)-r>%PH3oF-tGCg%&Eq-#1?kCd|*X81iYu0`= zJ$79zKDb8rqv?_BeDSwG&6;+7)1J1cTea6q?hE@N^)NduPP)eT!`1`WSM6c@AvN{- zvOQ`~x9-3GC+>B%?y2mW^^TRf@3*S2f4O(scd2{X<?Agg&%WEb>-vkh+10-9w(hw8 zAg;Rl>|3S1;t%(pc<1^n#^t-xPVs|#PrP;g5tDM>^-GM(dDjmyN4_a-6<@gb#2eRl zF)rVf%JhPsD{T~S+{-bydrw@-IoB9{rRPd(#S{0Qc;y<RFZ5igKyOmjM00V+y(eC} z-ibN#MJZSB&@-iMy+zNIGWCM01Wm*(iv=%r_rx7J<LaU>R3&(!yC!bQ8CM&9r)NsZ zdY38%4aFbsIq}T(Pz=i%R}=kDPnBkhAKcS1y*noE$S0*(y+coxriw4zbK;4sioQ^# z;E8UVxFa8xdc_m>oOt9aqCcrZ@JP2zT*_(JEio#mT{px$IpxZuA5<ZDs5@fki3hGM z`a%^GwZs|sa7^v?+1W9r`%mnV_e!<mokfECx*c|&xaT@A#^t?IrTD?!C+@n=i8=C4 zsZ@O7?h~Nxq(bF_JG%G89?9K$?`lQ(?bx;Fvv#e1x~^^at+!b<VYfHWns@cnnznS) z+gG!~7suw7ZM9x~a$Q>bR;$%V*Rk!EntL@aeD>y9ud|B6vSWKow_d#(65hR8YR=W5 z@aoM{ud<e}-WhqeWUJZgjgh%$v$DdxH%Gn9$_TUGEcG&L@#>k8zMxK?L-_BQwP&*C zt)3W}dnRk{>dwgC;;m<|9$LeeW_tGOzBSW!N<GVp3)>xYwrH!~>cYsiMW&~&s)bLE z(JeAPaaAt7IA(33>9MO~;lVMwg{DWY@`c~tG;7+`O>5dxw`#AJTo<-O>S0z`m~@P9 z!PWy;SFK^&AvN{tvNdX{TlZi66ZSe<_f%HRYRAaj{H^M%U#^|DUFu#|`D)9^vw2&0 zU40QYJK8sI>yE1r!m6Xs<|^$KemM8UJC|QRF6Bx)g%8d>@z&*sPs(|hFFq>gT|W36 zDO1`iym0P`H!kmdT*{Qn)PmBLHVQY+<(S*K$1mlaON_cwy3$(V#JMM4xrC?-r7IPv zO|qJ3F6=n>#7mbuK1WKFa@7u{DP^lIN>j>I3$hY45w<iIywus_cjSzVi@K1N;Dyc_ zza?i}Y}B37l#<mhSqd5oKb&*onad#`mNPCU>Yq}TW(psi(=oj>#_vdxQmopcRHdoH z3+J48;-aE1WGQ%})5h;ep;E7K;+zwYTtw6-SqL8Kl<`YB?Xty3<+RHNpC_kWc+`U| z1P^sa%slbHg+*P+VxpEX;~b8uojx-=rgZ-CJ(90fE8J-$xUbV;=81bQ^L$+Ll`4e~ z&OUM1Wsc90Jf%|Mg|koGae1O9WG=X)bC2(lw~BlDAJ(3D=lsjY<-6ie{)4qA-a7xV zNjdNQ#YW}4^9P$F-xRm<FRVTB#`&F%%QwX`xuEBY8~Gb+Ip((Su}eAU93!vvTyZUb zV(p1n&LQ$b&lL;gCjFXV&hJ=z;-&K)n<HNobL9>_Q_PlI^h_~RF6ftl3BToMflKW@ zc1O-QyT}Xu61dP_W4GjtvyHsdGsR@NOFsn+`5)Gtc;<Y_hUJX2iTtOhiZl5S)^tp7 zkFh)QNikOL&{M^!{0nPNJaJZ$7y2o1qTR;s$VbIq{=}LSkDNv1C;bpO(k^3{a@u)| zjml}~4K`0sIrGQ|{SY|R9#MJXfisJ|(2ogP{ERgmQ`>ziJEpY%u|4u$v6jE{lfb@q zhsqQ8oafoNyjQH`KUjU@uJat5BkvST`4?87xa0gpPUyS9j`lsaM{*VSazBhc@y_X& zj!U`XPVR%TC*C^!&`CM(^hHPIyweArBV~$PxfjNsc;oa=$E8fMOe`o}aU*wQEXUl| zJ$fnUoMOb4(iPWoC&r$5<rE?=l&)AHHfh%cb8g4j6EB_a=o~3g%oRJ7rkE|ZC`~a_ zENGX23Ag2DflIADdPmMUxrhtx61dP>qqpRYla07jnqsoprJVwX+z(?;Jaamv!*a&S zMEp~#;!N&?F&)!eWAu&`DaMK&N>!Z7y)fp)6DJjMp`8LJT5a@>6e{*|C&rw3<Rl_K zX@|g(RvEpN(@tA-R8Bi>(0OvoiAOwWhrprMh{zKUoLIz#c1+OXW{lyO+UgV8F{Sm7 z?vZ@OTJFwG0{dDWB2V0Nny2HEuUN@_F#5z@r#U)D@)S$C7e=4B<Mc#KXuH6U);+pM z-YV>6e`tH+o#QVVm+uNY*$>*Dc<cB>Cgr^27a5iFjvr)>d{fxUzR>o>8^?DtF5eW& z_=27*Y-De=<(S*NM=s@@V+_C2bA`3+iMA(RIfn2HJy$5;n^ZNyoZZp(#7oCJGDp5B z<nkSQrjX6I=$S$$Ur?2R3A<&nz@_FMxg%#BUHFBn1THk!$SpbJXv6RHOd*-?Ql)?) z`$L-(&m0fQu$*x;;s5khVJ7=Qn~v$tF>*&fDa7&}da5v$eWA^XCypxoLX`q1nr-Bc zd{pRVPqaDl$WerUQiZ^gW*NDZ(~etYR8Bi?ka=>-k%vF1Lf}wygyo3`jx78_6%(}B z8ErVGHv3q1Olkfjd*r=BEqiB?z`kY&%M<q;=gGLdSEyt^Xno?Y;~beI?-WYe7h0dV z<M@P6s9a!2^B&nFxe9xkAIhG1=kSZirCebr^Fi4YZykQ{q?~v7!lQED;RDZ+GKH<o z3uRBdad^k$Ql?PG7L=~Ak-1TpV{YRfzLawgG3-j|3Tv4YWly|v2w@jWS14ecWHrH@ z*-`eyONTo=M@kfO*$$;CWV0<wQ^;fsvJx<1wlo&F)Y!v!<cxz0yO5Q@g~l4bC1)IL z*qzc8lG!d<3K%j!lsWOt;SdkY83z;gPpJwsnGeczOmB?gJ5r<&%XTPLVJh=NnG;VO zRM>?q1x_^D@Es{s=w(inIq}Frgng2Qz>!87zLe7rTX<AXJ8a;2a>{{+J;*}fP-BGT zi3biW>_Qe3w3r!XIHorGNOnwV{KI=BU!j({(@0=nql4s$dk*t>T=EqvnGZ^zxa%;7 z=SZGHDf2?<6L%b*unCz9>}cG>d*rSB-v1B&9)D;5>yPty`JMj{{5}5G{>Pu>^Y&l< zD4)0g@aOP1`K|vK{5}50{@owvZ}MgT0-wuo{NM1GeQy1pf63?UWBw~XmtXro;qUQR z_96cTpUW5goA|5W{J+EB<1g*+{5kwZKKI|jXY$$q7Cw{D{1^C(-{il=XZ}m|J^v1$ zv3L0|_>2ESea*kcXY6hMJ3f<7{&(>wzv2G}e~v%1KlF$BjJ?VKk5A=i{y*@ieR_S& zzr&y8WB(m|DnIrAf<MQf*sJ^({K<c!-sa!okMh0$6aE~3WH0i6;t&2K^)mmGPup+# zqkP(a!=J~e?0NnN{@_1UAMx|}1ACVLf<OAT{xkexpIYzpvwceapTCFS%h&$z_{6`j z-r?u*d-n7GIKP*#{D0v0@w@hO{v3WMU;2N+@8fsupZpX2&cCC6&)>tj@_T<jxO@Da z-LE&!<?=g!AGmw`t=*3|$>;69yiq=H_u<XqGWo5)7u-Gm#_ru4=Q8=SZ-MFZ8-F+4 zWuIHS=UwtSyO{5a>GErTC)_>$$}Z%)V7h$4w~4#@&3`-GJ^s?}&YQy}^10s*rpaf2 zTbL%F`7Ll4zsYZl&HR^Yd)^&BW9RZ+a2NlD+M0KZ&)C^~cTAH{{&sOEzv1r(caA@^ zJM@P6jGf8%kE!xAe;>HhKD{>P-Qgnn*l!0@<){8$aOe0FJC*N(JNZx4+PphlDBt@# z;m+|#b|T*=?%+RCEAuY-wB43B%BSr%ym@@ej^}&e4*o;65jT%Nuw(fyxT9a|H^UwF zskJ^g+o#n2d3!itzV>&=CjNc34mXeAvzzzEIbXi=_kr8T@7m3Ib2v}F^!I|>$M4uZ z`6jrXe@E?}w};=#?fw2>@9}rGzwS7Hm)rUMz~19;ZGYTJK5zTwj`Dfi4|fiKliT`z z!QSI<Y~S5+{w7!UF7UbB#_tV#+2>a8xtDy-Hs-zJbGfzO6ZRf|WgGHd@VQ*UyNOl( z=HDIm9)D?j=g#3Ta=GsgK9kFSxA2)<=DWZuev|JO#r&75d+r@RW9#x>u!{deb<MrS zXKZcWJ3f<3es{5w-|+i`J;$Hf9=gMP#@6Kh$ER{LzaQAsKD|2T-r-MjvF{E(m7Dr~ z!Jgw!Y*pS1R`Q>ywz+rsqg?OzggwU}*^0cMSiyg!TIOEzY1=J#luz4kxbygwEzkSF z3jRaY5j&4Ruw?-qTB`M(VGsM%YM-6$Q>y>mJ^Ws-_IpPW|GsL6oyYIl&b#CMUas={ zf!)XN+RnLi_?=wo_XWF;-?4r2POzMRNA;e&hjZojett0b_&b|lXPnFBc78rE_xM|z zA7_%!+k82reBS26nZsprTR$(Dd;E>fyED#Za%Il~)8#gPZkWqHw{p+9<a0JL&lS_< z)_zWyd;FD6$aBGTxq@dCt@_PBJIp=)(&o;Y!zFUL&km-^Wj|Y(CYSjv(2C#WvxPDL zrOKXjhtJr!JQuX$zff6oZt)o#o9B*ca>>swTJjryelX|wGn+$an9talJpY&~H}ms> zIqlOcW6m8el8b$IFja2q=LK_)Ke17HE@;VrqSEHv;X=9I&k1vmKe7>dKGA~zNTtlV z<kL1=&M2R@*>L9ZDI1>WffoFSDkEkde_+G%T+pIl>oda~_NkRVGux+B{yBR%U#|9Z zhY|n2N{5-p@7c^d<D4&7`T4-?<9BW5oH?8)SNeIu?BjQAo;(vY=igDe=j`FPvU}e@ zs6GD9`qv)k@3K4JAE-V4*80bu<nz{F_9&mX{;=opH`%T47t|hqWBqQA^EcVDdx6ho zH@<JEWuIHVXJ7I;>zMnB&t=!XPpCcq$~xq};B(o6dlP^4nZI|aJ^s@A&Yr_xWOMHw zd?uTHZ{ai9%zJ^q_)OkgeCE4U-m~xU8EcpOg1`7Kl-KNAe8$@5zT-35<a-x?@)^E= zP;>m5^`Sk?XRJ-`e|#!C^ZkLE_UYv@`woASjlFm9sqEDE3u=x(u~xY+_>=EMxy`=A zA7y*rC)6B&WG!-k;t#$f<udz{Pg`%<qkP(W!=A^dta<JS{@^=Q9#MJxfi=s0!5@8E z?-^>?r<VIvwofVlv-j|O+1mFVpZNBbJ5(OOXFYF^^LyFK_Xnzv-?g5z=kPn(()SCh zkKeI=a!>F(-;VM<dk^Qz?tT3r_V_!iUu&GpWp}<l5PSTs)sHpF=dHf1Q9f_=Va?$( z*{!b^#2$ZR^=^%GnQYm$z;xM-uNz|7=a%kSmwe7D=DK3K?Aq4}vBzIog<Ka*mo2z9 zaaW)DYlqn5FRkvZIb0%}d+lJFZ1%N<X|kEu0(bG5ytdfPcd4{z-QhD<F4qNj@m(mb zS-1F%mCbd>G}+{97kBa*zJ3sM{F&9EHOyzMOs;=Sm7V$eKur7e(wKFJi)3T39ZZ#- z`g%dk@h4U)*9CX-ohY?gceqft_jN+d@kdr7*C+1aJ5nmMF8Q?8mNm+!tv0NAe9DUF zdf*PeL!}Xs#~)a+To>HYr}dg4hJ9+OPh|U)(m!ht=gZc<?%2e)uhb#(_&uw6Yn=0C zD_<XoK7Q9~&YHt{vZb#VL?6Fn_2io1cD@~@d)6L)E3^0c1KZ>8EPu^${w}lg`2pMG zZ!Le!Nj`7+WsdTB%MWu7f0Nnze1YxpH<s__IDeBVI~Vv|X5;e)TlTrdd*&sdvy3^f z_*`b~^90-DuPj5(3qF@AI5)AX&-}TA?eUkEcjg@aB9nXW;4_)*a|@rzWS$GG;xl<} zQOtL#xM$wsGnOvr1*`Zj6xYmKe8$q|yyG*O<Z~A*`3#>wusQzB^3WXSGnOXjKR%V2 z`TT%Q`}E?Nd51sA#GX6&RA%b)1vbZ@SgM>CtmHdUY%}lhN15K|2{y+cS&E#WSiyIs zSY}@GY0E8hluui3nDh9QCC~Z53cf?d5thdvShAcKtmxBv&S1knwb;k9eM<44xrg7& z)IRSh;@em3V0rwW<-9r0?`0~VAFw`t*K*FB!|!BDpD(aJe#i33Il*$i9mRX*9)8PE z#ozFqp^SgQdBzuV4(}Kr$Q^jg_`$NlmVLo<hEFmK^O!f-Hr!%fVB2t$c}FqBCiaBq z46kGy-Y_1JW0=caVcTHI{-BDXh;PAHh6w(IuM8pl3g;LPST<Z?t|(?$!Cp|skjHo6 z3&SlLhS|&!#SB?|3TGLo$T7@fwkT#;!fsH-kjCfmg~5rR;R}Nu|AI4&6><zSnI(!D z=CUh1W3b>)_{?xfhG7Qt1)Bza_6d~?GuR(IWzge4@QGoU48wHh6*df~m}l59oMi5> zVK~8DVZ(5oIm3qG7;}UT!%=1r8-^py7B&sK><gYUY?5J^#=N75p^ZJDf}w>y;VA<f zzrzOxCjJGd7#ZXkrZUecVhG}U@Sfoh--7oHi)0w?Gdfr{>}9SfVyI%DP|i@nUQo{9 z$9Lcz!z;dqcMQ+?9NsZJ;$wKnaF6dmuFT%Y4`h$Ov-s8HTrRWo@d4T6Z!LcGB%inV z(xZId;zQ5jGMTN97swueWAU!XxlE?)SYW!$#>Wk^>~jnE^d+COh&is9F0=M=g6#2E z79qz4(`5>dO|<GWf9xQ8{H4X6p2H<FxyKHs$z&f}m?o2XEYOP2<gtY@-=)HyzQboM zT#gG`@m(ma>05lp!sfVRnoRPsi<W$bj~~b!e`ay0hxv?!$?=b=GBY0^kZGS@7}IyS zNGA5!!Bm;4j~B=se`29>T+ov5M4?UJ;X;|-#|bjWA6bYTpJ>5%q)?_W`LxBB9_7;( z8+sm}vfw!$Xu)@=FhcV90}Gbpf);&Rj~QgxrxyB1wofVi(|b5yruK1%5#PQ-2g&32 zEavq%=gU++J|KPkuEm_5!+A2Lj~7TEzhm*_n4me|j>0{?hu=!?z5n3vv3KUbYMj4I z@4SED@3FV$KWdWCn}4ZMK5zb^=I}S^t@juFJ@&@@U5)cM>9T!+&!sosZ}`hLH-ArE z@;URE{ff_}*WOR~d+e2Y$bP}+(gph_{^~Wq@9_87OY=K5hrdYY?mPHQI(y&3XVRJb z0)O$E+_(75dnv!C?(i9Nm;HjjcrWDF)Ga<^ZnNL<nRN2Li$8e{??3o+?3wwY8s;<R zCi_1=m7aP3z@N71`7w2eKS{^#JNQ(3>iq?Ojy*9~*)RB$_e8!;-QkbYz4sIT9D8Ig zvVY<a-Xr-kb;+mAx6~+~Hs4V5_>?)%{=gr+hw>wS9(!QUvS08=uhxBrKWtO;eSWr0 z$^TP(_`P)P{f<w(`|=%r9=m5gug3Ykbmjd6zmMHDpHp-AopkB_1;3BoF@Lg8@H_90 z{5`dYbEWs*esK5LJF{Oo&gIfOZy&gO?5)|4oaFOnUviYsn|;VRTqeEs_JX^|-k811 zaW0cC+ZLEEz43O#UADQod-9UcnZ;~ZOqX7JJK^rJS7ssG1=FPqwoTmCYku3|?y;9< zcXAGwNat=lm?oXQZDE>p=C;6Hye79THuGM}?a4cQ#>{2A;4a<^xixu<&zRY4cTAH` z-ga>(ui@<ncaA+XJCwtG#>`~<$5iQ=w-4NDo1Pn!ceqG8cH6;J>8ZCD+&T8dOl7;^ zPTmu_HhG5&rF(BD+&T8hOl14S9lS?!W%80wn{CNaK5e!k=kX~sp6!7<cn{@9+&uQc zj0H3UqIH|$4%^gRpPOw{a{uHW&X=ye-LZ*xU#`Q=WB1JF<v8a{SKdBw``BHxIXQ>( zq)TrvxP9!7*^_O8+j)27?#Vs;R%-9{2YZjbGyN6g{9S72^#gm4y*2$2lYHLvON{b) z(+@F+ze#PqzF_aMH>U4moWDtxtqXiEwefnxUbeZ}d*YJMnZ~SFd@i;2dcxjguS`SM z3qF@BSU0h%*ZjJ}-eWIK@5CJbB9*)D;4`W0bqk+KWv&aX;x)N$QOtWOyC?4O8B>?_ zf>pd1vTNcNpE0#r@AynAdELcIUc>7T_8fa=dMJkZjH$``k58p$UO%v>ZF+W0+~H4B zvFi>#m702e!JcDJOjXtkR`Q<6wuw9ZQL6WP!k%M~OhwjDtl&M8Efbe~+H^~d@@dlz zF^^A~@~jW6;60QbvGdpiQ<n9D6}?*58TPPE&Gy;ZHYNK{?BVxPwbwg}c=u&H>^yeQ zbY6_}d#TFn2X-I3YdR<9@H?r}>kD=tyJPxfonSfdj_f_LhjXR&UVbq5*gKP7KF;M* zJ1-xYd+e>r51-`oCSQD%&zpSkIb0^S_40zb$KIH{^KmYdDq9wqF17J;!(6txnS1<_ z&zZz5S4@{$dpTk5u~#M`%LUV=3YJZ@>NUUYF!$I?lRG|#OQdp_9ZZwTUbZkzDsx$& z6|c!<3uE3(nLU1o&zQI@7qsHNkXhrm_>76oa>q2O<YgBvc?~Z=m~-rz$sr%+GbSd> zKc-5}ynJ9z+w{yBzr#gRvC9soN=?1IV9v28CMwGXEqPC5+V~wVl<K{lFz47K6OrW; zEqIS)%J?OpHre8%eA;A#&*M`jJfP(ZhcY8(9(!QIvRu%jSL-sv9JZ;MJ~P{<Wd89z zoG(>-xx<KeU#7#%WA{wv`8elGRbD<Y``BHRIX;K;q)IO@n0@Sy$&+P*=Da&H_xK)u zyXD^H3jf=-YtLuyTK;riTlKBCnKgd5i)YQd{Ao_xbCcVbv-}s^=6>5^z5L|7wC7u_ zmLHwRRxLI6a-9F{;#sdVi~O=}d%teEdO5_uyI5+@<skp+VyRb|%a`x8Jo{yf+47B+ zxo0!8{Je{!US?+aSr<#a%v`*Drls$fEf+33`2V(9dnR+<@`;wYXENt5@3idwyyfiW zLvz@knVh}6Z_czzsb`sSe!FeXe%hk9ywGy(CzDf`)%+*h=zcOeaaqp4*k<iVlVg{~ z{DVR3V2)ho^S@m*Yue>abK0J6(Oxb&FRVi9VP=@0w2kkFEe9^In!{EhHTCkcIciV0 z?7#fS@3pn=smz+?j+VLax2P|FId@vQ)V<8|<(8Ib-)-4-`GwzXYu|TUc3ghoS8aVZ zcgwwt74ElX*PhSVwfJdYoAs@?88vRVjc3if_^GEY-Q@PgEceB-xn*0d7oY4)OW$I( z_-G%Swba~;aqhE?XT8oSa?6(OE!}eUVu*XUvDBQ4LGIPYQm-<WFWxD6wq%Rh;*FBI zXEU<gyp5w?W@NZo8%w>+SiE?qq;JWV3l|;Sf6J^rlQD1cM9JJU8FLqRO7<3SIeYO? z4_lha*^B#nrddio%ZPK^EpxVLi{9cw$+bl$r!K0wPnOXwGC6Tk&b?S>ZK27ri(>A< zGP;E(M=tWY-!_^x?c%1Mw$v@!izWNQETkT0gt<w}_!ewAaB)=+n}yWWi_3b{Qn&2C z_{Z(FwC<^ln#GQix%pev7r*SCW-fIvqkOTY<k`F}yDq+Pn=S2|w`Ir02X58UXWvTh zJ^!Hg*gNB2HqPHAcb-2`d+e?851Zuk#$Rld&l@v!<gfVBBH7_ACTYa}k%z&E=cL5) zDZyHq5)6lhG8_~XIM_WduiAQ>QG|i*d5B$raC?g9tRoIwOqxp=f*3d$7$-6^2rz^Q zv6nL4irsrACF#yij}*_2huTg_9u17k7a4JWX85hUqb(!n+tD4X!=^rXx`2I4)HZ{y zJ-=p7XcZNjB&_l`X6~1>0hM`%*6a^>-RpnK?JwD0ciM28KpfxB){i;9S-#vFLKTk` z6b~`Xd|4;Edb@a#`(Kxv?iS~txUx=&oj$K@!GbSW8QNzVY2ALuW;femN1fZMP$7=X zuk2?|^5~vmp~}o`w9Ece$x$}e-_w4!&WVVr>Hn(rdJ?Z<^D5JOE>9lyEnAWIzu*D; z;&g>+JI!idh|X-+{r<bfBWwBdlbm@8AF>$Z-oHP#zHYXJ{N;D*qT;jD&pu45`+R4O zz-7HvlZE{aJX9-txzwipd?YmeakkT6Pu9g!a$3Q&U6;RX_Sn98S$g8Dk6JQ&J}=>L zu=F*LOpHBw;?o}G7yqS`yf1MJFbeOU{m^UA8{5ARRH8q23lvOno27MkR=pC>&4;W{ zm{_OX%2`*q<xj$coB#{w)SW%@wx_qL|2%g`&@=tkYt3_W#IJ7uQ=J~=B|SS~?k-uW z+a2YSZ(j*-Q#CU&-EI~mtv=)MfjYr!Viqa2TW@`9pTW_ZR@-~lOY!2q1r{H^c5eB2 zv)^pWcD<q_ukQ#x+;VZ!%zrl@1Uzuov3#~Ib*+uyvmCv@8Vs@Lw(+X-J+j=voDl0= ztn^c0&cVF=a=rB6EdgD=g3<eD^%a=KdFhx-OWjtOSba0cM8`nw;fByILusvtTP>yt zZ98Faer$5;>ZLw86Q}0dZMeKW+BdK3k!RV;2`pt-lN`&PG%H`+sA9SQ>TL5(rcZt0 zIWLurZpA&@ajm#8?&ss_pSusmIz3+edBfGa$4X;U^kmQcUg*7%L4KRlb)$CPh3DnO zj~!Bzw_fyTz28e|m+I+W8&29?aZKj8VZe9hNX55Vlar6_`{sO8)pSp9ldQjhd{)AX z3D>W4RTXpokdmsdS+nQZ(IUxw-r7g~-P@(sKHSFC&V1k;Z*cwom)%DzqJmA1wC(fB zKC2LViI;WlMgyJ0$FlF_9bLQYw%wgYOH1ZVTM^I8czF?9vUq~`&Z_pL`2HLHu60WS z*aXE-HJkbWd&^jRKy@Aa`wCsH`Hz~{d$eC*<P&>o>)~FM81`tlK$MQ*S;xP6wSS&0 zQ=j>}jg@2jO}`SO4FX?xyJ#MDF#K}aX2#{(m)|8+e2cmheh5ub-F(NagvCgB#ff)p zX@>iJL=!ez2kL%{?d)Ewz2oS+<f<;Nr>4i2-GB13e!3k0_4CY%?tLxaqdV_K`oDXy zJFdniTGQL1d`{3JmKUjZKc(Nz-x?GV_)hJ2NXLcu3cm&A&peY~%lSy??t#r!smHG= z^j=~AG(qcX$g|!k&Rf1SU#C3!*5M<tX`92@oEc`Vtip;J$4$-8T}hiRo5*W;PWJLz zuEjdFv-ylIc#pA0Ij&h87}>S7ic{TJ>V00y$C|_WQC2S^?9K0%%Ixi#>@9S8N!ucc zgWHrY>u}F5xWu+s@$U0;+8=dJg=IOPb+-|WQ90b^=YL3nd!y9mi2)1meqij{tbT3D zoW=YTIh`ysn$B-;{ol%EvNkKC;M;W#cPW?M{QH<6zlbrG>)On^KUu#fu0orK@7+|% zYZFghQ)X)w+GBMz_vov6URwXV>TS|)_$yYGJ`Lk|x~(E1bg#qH&=+a!DK}5$T2yeT zKUtb@;GDAKaxZ_Wi}I?&d)qWm8QMM)?%!kn=l;<-r9R>-Ppp+^eY)e!f=7;b5C3Lz z?{nlau6VHF<B3j1_CFbB-R(`UK6LCoC(pb`aZg`(nq|#{k5Y$Ddh|UDdN;S?NI^_U z-@d*=HRBH-rZs18T-B_$ShC5y>8jz5`&G_8R;Lbnef*g0X05iaS@cDx5^uud0}FKe zWv;Gc)|H#iW$?6MpXu5U$M(sbPALv$o_y_qRM*XE)@N>9H}JK2VD(P-hVlIh5&oCu zPYx$_=Dof)VQ)aY$m*$~KW0w&WxMKA=i3v7@dnwKCnWC@tUmdh|2(UN&#Q`mI~}wi zuxyC8U)`>1uQ~15*QS2O-+I+k-{o!$jaYN^Ud!6axAnwWH!0fQezJbU`8Qb%q2~{I z?KO8e%ydZqM`Lir#1G2%tofQu>$VwtHcX0Mw@_=!>efx}s_L0n+_p_UP<8C>I??%m z_N@9V75cZ{EHG#3?(*B(50{%+hpxRBoSxlyuEqAj>}{JDMm+u1-xT*|Q}sI8;-}Y3 zukF?`G~dK_Of@oPduT_cQp)U%(rNL$(K}Byu6ekro@wjf9~EazwHO85lG5aQyNp@n z?A>y-59WzxuIh1B4wpY(w|~R&=PK3y_b2pt<h)(I?)d%ayr2@j{3>aOGXfiZc8L7` zW$384NqM2#5641<FkTJT;3V_2hC&lvcAKv=n%Mb9*;#wDzV*2Y=L#NHoRjoA@8i>9 zrO|lK=Z%t)K=8s@KOQ-hH{W=5xKg;CkN;7^>xH6H%TrW7%T91TD1Joq!Cl$kLQ=We zNs^yZ3VlL(3fbd=e<)WA+cfPwJauC#qc^8n!Rx**i`Zt~8GMVs^raqW@c4SZ(?Tun z$iZC85+<XqvbDRsZI^vr?jJu*`^8_oJYLmiJ{5mc=juwE^_Fsfr@y+a{POCH*V`=a z&z<%8<+=+$cNI)6-*aWg^_$DZ-z@!q<@)1>D`|&6i$AlpT>5nWPWAm~!*?1_KecdU z$Gn2zrnj0}!N=mg?7eOMKI}1m|71c(#fRm8gYAFj|Bh_i&)D;S$I2Z!`EmTx0p^0W z)tjZgjZXTB)t9W;@<mhQK+=`g6J|2$;n~^~`?HpL-)=axdi^yY!B=Lr_e2A<gDeh< z@?C7Mamp8MdiE<_S@hkdb?eQ7a<5m`mObA5-s2GC*3w(Nf8RK5`x=#-k{fRCw<~_h zri(Een`}0kI^Pve66Tm(w(E?W`QJ<JWhv(lFFtkuueaEOpeM?|Sqm30P1!VCnb#>( zOIQ5Y5~I|~M`CVI>E0`HZR(^ckG|=iwJ7!$IkfHAteDQ3TSC0oS@R1`zw>idT1a(g zoXX#RK6RI8txpdeVV>X=G|%DbiRUK@H&#d(s&>yYIwu{=oL~Ov?w8YdcbzNSUA}(y zyBBAp!wc`cefM%%cJX$;DSBIPm#*_IXS{9okM(xmqgk_0M9mF6sx{%`wmHugPIq!; z^4wstnzC?9>$Z@oHz)r)!Fz~TI4mt~+0~YP!aAboj@*z}HmO|p#6$YIy-I{Ynz|Uf zqf3oxjMy}7m-h_&H+3vG+Obte@ajAV--I>GwI&92tCuZ5{493YA6BElBdsy@|2CPI z$YndX7lzgdU2g74^qwL4hL=OgcgGpgcl@=5*1tYH^pU&VoY9uU7jrn`)%GWs#l9Z3 ztoo>YUZ#Y<osY9M^SeQFknwj<2i<Lsm&3wmJXqs)MYFYIz2KHZjsejpRBPsU%(plq z+kETtGMmRM_nco5qT9Cn%$hl^%)I&yn_r|Xa$VlKh;@&+E{jT(4UgXzh3dN*f>SRl zE%>{rRe*DGC)Wej%%$m<eA9Dd;`+?Y&+fj>vv;2KOWs^%PNx1Fp}KGNJ*91X?$4|} z_Ty>B-q2gQ#$Wc`*)Xp}G-~U?9gL5=JfrfMZe4HlTrgwtSGK};SAF)X-l>w;5dC|1 z?HxBg(?gTWs$61a-ekCb-yM1O+ohXFXS)_WR$|pjY>ck^YIW`n=ko3QUNtT~$GAfO z)rvUdQ%m)Bu2{YC%HA{gHZ%IpIZ<M>{K(5P&$7UGtKYe-bnlII-L!jSoOIdZjki5s ztG;bp@p_(if7$fYuGf2IE?vG9x<T{Rydd@sFQt!`&nvO;*3VC=im=-JZhBQgZRwol zU2<NF!)KMu`?%@*CU4ohjkbv%`F>WX7s;J_@b%QqOW8ep<4XU1@w%YUV{NG~W3}Sl zv!M5j-+IRJxBk9eGW#w6s^|5htO;edF|WFs)voS}nf6EZl~3$S`RNC>W(WS=U&eQJ zci^kT2d>OY4)t4YUd5WSR8sApcEDXm?NaO5uiyNuQbV3+$4ByJva^MJpZ8w7bbm-) zdbYzs8HPV>mb!}=mH8gLZ*<US4X|9UyuWNkF?(dQpMb^^hn>qF`0sk|aNc)MZ`BTG zt}Ro}xY---j+gu6<o)MvqW@AA2FH`tObmCeZZ$~fKj2ONP-wMW$|-cyhTXrvYrVKq zc1Y-V(z-bsg>x4Cu-qubD|zMO@nfg8-M!vRzx(~H?R=uc6r=UdhhE#+Z(HtXyK>!) zpWCcf-sHRJllyep-F30kmRB9IHQQO#`_gB!zAkfp37>WG3?r6>dQD$uh3dCnX1#hk zD4nU{#j9=p$363<rHdODREbP@rT@yT@m23O&bk-{DS=Y2KO(jJwuxWpTx4ZkI9cqg zlYdp<-Hw{cUcXA17pw^1H(|QIg2J44zbbcYilzMe@baLq(oVJmFKd5SHn8t0o#eNS zd)mFuic51ZpN>An|F-h)mtD^tk2Y^?UtFyxvbXVzqqX#<fP$i>^VYn$lNn?>F>mYc zg<V=q-zI$a%PQaJUF2=Pvf1VMp52qUetK|=zS}4E&he?)v#^<GyU!I)-kx{tThsP+ zDSH*|ZTGKRx1w_Qj<45(!Y@8vysK<ApOkLg`Q_=I&Zf(|)2eRQR5e|;Tu{Zhecr|? zR@1)ys;!DzdTvYHkC!`N8N9R=`s%kk_?1iHzP-k_R~9Vw>$Tte@J(*|uHG3z&)u!| zzUq5bY96vZ)**J|>d056Qm-wiR@vq~3yale3VB}>xO}7kgy{8Z8?NkpS6UldJw0vZ ze6>qoOSV6;mGPT?XV+qvyDP46`&?OSyIXPTUcY#;?`-k%^}X-%-$lMPbSm8`zUJ5e zjdAfsw+oinulyc)|25BfpQ;%_{YzipNzaen@4PCAJ@CE7xBgd*H$)S31uCZRI;r_; zsdsFgy;rRD8`Y)jHm?ZZ$Ny4kUPWd5wOrNGTz)@$@4Y7$-Sc1h+mO#jq43e(kXI%) z+ZS!wo+#t{<<v7vm1~aks{XuG_0zvpJAa?ia_9W@oN-zw;!Ub1&cByoIk)XZtCy_s zXUlpW_JV13Yx4p=h^>zL6Pxn2<i-U7%~>Bcn0noAZ8MqTdv$$~&i~(QmXz#Es&Pr$ z63X}U#+g4?B~D9g>k9qM_wPGgnetS5kz@MJ*_#(MOYoZBT)e&PVsp!!jpv(G{PqPD za_kVfWhSky)t-E3)0flVckr{ZAFwZ2R#WSzy0Y4BFY8l=-F^P&F0pq``B%Zqar)n@ zBYqF(2-=jM+86wArnkGZzZFBs<4&e$uCh&{iW)H*xA|CuPu>eV#rNz_<?i05^Cq@D z9bcv`o4fgtv1qmEqA<q=cBOvr1QzNaTA0Ghu-eTr?%~>7l}caR4qgts{U=HzA?xK| z|AosH&Y7^EU|_xbX7j6v>?Vn;$CyMm@OfzmN!c{A&DhfJ$d|s+a;cTff}E8<l(d$t zt2{4dthau}JeSV@7X5verH@!|2~J?X@}%nY&1uS0ZL<odzk3ndaA=Qb(dUUwm38hR zj_2jC%Y6>I<hql$zwoB5@Y8(H&JPaLs?Cp2U@)IHcg}4+NjAY{%HO(9+lri8Y1r<N z5i+YVM=DD8cFmFrdY^APOBNJtEM0VD31@)roy-Tfqa6HK`%O)0eSS4_$;l$CkH<>t zO#05>;=LoHxmV~`M$bbD$^Uh^4{li0)r+mZdvA|#hndus>C9pW-9Jlqoe53pooC@G z5U8Ugant2-Pv|dxfn{}iZh1MA9(U({uj#Npsc0XU5*!|vV>;n_-ozb_!aroiudTVo z#8bc+ye$8^^qPRnE;WZg<!)gNOIWZ#?DE=qhZni3&&!>;IOOn`^yk)_=BYe-`EH@t zO|5e*&3l;AcXiJFr}Sm&Dy<TwUx6i$bQg9%ey<^{T4R0U8c&Fy{oibn%2lrgBjxtY z%T{Ay^j&AKdSiR`s?ha!cHdn&*Qxm53t8_CjMq;VZTPk8(hfhxsgF{N7v)Ig{_MII zkUZrdt8M0{cZq_ThZNOf{~Vh2M(NqkrH4Mf`cXY8Q(oFPJ16Y&{LOXmU(6`Bwb`rn z%C?qUi^u;&Sl|r1n2t|%4r`aFO%;ee`LcBjhv*|t<MQ(xKa_-9$;t8h-1)2;kfeK` z&(B2N{?xUCuh}zx$=|hpU4DLc!pVgaLZPqjsx7a*y4OX>u_YrUZ-;KSt<Zf#j$<{? zukxK-G>bX#>ZO$$zd0xTI`gOY)lU8uUoMONuZ`jVHaGEjteL4=R_3A_m5<8li?>vy zWlma@vZnocQK+k{_tr(-S`1&7+z?Q$74Plm|MYi7+t%MJ4{7g}PU?EN->`4rUd5J_ z^}ZHao1{Bew)Ur;U~P@+&`tf?oHK3GBEQ!sqHNsm3ICt_!u-T;ttZP_uBH8F>3*HA z#b)?+-Oew|I=ed+*Y3<XC$fj})!(BF<IZ;RO}sq!!KFEdZ5|y<?Wawf?^Cg@<NZ>F zgF#0>3&}01cga^__4{$(BTHnd&abu!nTxWtL|xkx7FPT`l;E^oY|&+_=}9*^eT}Xv z|9NsOt;U;ch3G*^1^fODr4}jYuDn$=Qwym`nDbn5g}$xo=M_>759?eeYLu@mRCJRF zN^J<pUevU}`o(cs?~2Z>Q+wFuzfEFDp6#W}{9jsC^l-(CjkkN0I+i*t(U>jr@MwzJ zDUCfXQIdKZQbvIZoe%Bg<HcXveCV7or6Q@{rFr3rrJ8!r{M4RkJ5TWcBkJd|=#yyg zeYuSvFP{iiI+e`R?c_LPg4I!nY1^z;SR_0+?ZV=*QzVE#<djzCgXPLs76?UuT5@8K z$b`Nxr#x2v-mKPiLx0YK!&3!TbiJu+>RwQhWbS6U#X*x>Wl}lgE9EUQ0b1Nwlrwh5 z$#wI5>zHoLo?v0<#G}|`-S*ql;WFQQj#n8guBplz=4rmnQH=9)@p^gMvWq2<cmFJ@ z1gE4M301Y3cUj*C{jy*AjAf1fSO2DBZojEp4lG{5ym85cUIsIV)GcQ<7@c~ACpIne zu&r`^Q?0Wo#qMR`m9|QL#btMxmj%4rs<m0z(eOif_nETA4I5LI8k83+8!jkO=sCbz zx1d!}I7C3h?dcL`Mg~6Rj`LXp^FyBKE#>&E^+4b8&(7xAYjW1{-feg*@c-_DqWbnj zt4%UD{Vup%wqMnvFXQ*kzyJT+cT9NsFTLQ(vQ?4Z+1a-}%#?D4GZ{Y!9Tkcaj>(rw z^g4g!_udb4=BXbQnbYvbUzEi!IPy{CCzDK{$NGFBSLa=cEz@uR`SIxr`S@!m7i{d_ zZ~Fe7)+#9lvCR$B7&+VT+{op<F!|W4Sl-H4@9(l~x+u%~F6V^fy(J3se{5{ZiHU#n zPsqV<I)?(k>&dwx{uyhy{7$+mZ~c4VnDg!HH(tHJwEOp)cNeDK)wy@*!mq^pDShg) z0p2z-N%05s=3P1D-QSlg)&AFYw{<A1SKaPSx7X$!OT3>L&u2C1@?m93j&-3cmS`Wo zmlQtN!^EWQcXrA1e(Bw=BDP&$&vm_8B2)2kd&?qun{{QkzsTI~%RHH!qP`*dB)`G> zzhU8J&VqAq>h4gVUi>GbW+TT*DTnsU57>WZo#}R{FZ(>9S<39&ukNSECq{I+3Tze^ z)!O+ZRdV{7ukXI?nw=zgM?rl4ld8?u{TsaV4(UnwByZpEmSPk>ea_eCH{~B?Ztvag z5`XZ)^KR$j-rN7u8V`nV(%gG9w`}`~j$ZZ4dsg2qGnEW9JNrWPBtylkT*a?PZcU5d zyy(@ko&yi0cc)$`QO&+n`|`<$Z8_Is1k3xIJVNL4-c=PfbNfB}e~WeWu?(NKk19Ru zPl=bmkTU-3D?jzn={M;Yj(l2pS3SmD?bcmQ*&3_7kbM=&J~?~7On;vHeUf_Hv8ejP z68~$eH|~CUS;geuro?zjDgVEB3(GxO1hX<~9_4?Ud#y3x&i%DF6%yGiyY+UdzLv?( zxbsN=>~xJ=wi3th#veD{eS6ZqB{ym!PMGLFljt_t_Hp`)i|^L#4h}Ep-1|iNkYLd@ zeYN<zFI0FY7fuk$xbvm_+1Yne`M0ZIx&>dKeK%Py;fG_<A=gi3&o3|bwoz1QU@|lO z{2_IFaJ22qhAQK?=hOm{IGSJ0J^Lckw%1m+``^u4rgGDt6M`>QCNDnO=w+C(=2tIc z(7xU2_Z}Nwcp2~YQBZOJkD_;Xb-Q-!CbG};VbMO46PzGaSh6%Cv3Gf}wr7#b4Bz<c z{Ar6HXK%lJx6Z`ZY4YTrO)@j0-bKu+jLo?7Mf|0Y!ux%R*%>k4%zybki&fa|W^=^g ztB|Yyf0u)&SKhmscU7bErlhLAGOO9PkKdnrWe6rbUcO)c-J4w-Z@<YCe85n2>dD=; z*VrO|KJpD-tzC34rn-Ia?z?dt*Wb-9zq>weQhZsqtEN)*B%MgHy+&{Lb3HcjaLW6A zvHNA7RO6gSnpY1=ZnAP_Yh-p@tu;lwXc~9r%X6at`{XA#D?L%+le+!mcX9Ike(Blz zzkZme{eE5iyyNk{)khXfw_e#1dRhHut>TnYU-^T_!s_S0Q~CJ$dGx$~<=y*Qg5}Du zYo0tO*X)<!^K`wS%FoJVj(v{%4z7{f>9;+Tbw$F-N#*OxmTONr8GTYrYW9!ln%ief z%+D(5$3MAvsp9yRnRnC5yX~6GmQVP8ZNm154b6WN8GAGT9BtecUAlTo^OqI3rCeUf zTxVFD%@PtA?;c=xb8oWOk%<aPVjs8dJ{mjA;qaPL)ox8mZoiZAvyL-62+DqweI=`O z(n5RkvDo^>>)!AsD;{0mJm=h3(?Cao%s-FbckQ;UiZAP4YI2C<PWZan@ka$kLjv2a zO!Gx19e%m)!L&Q&{{kGB1V}CZyY^-GY0b&bmsP8b12`|OR%E}p+kx-W^yyc-Qf7%4 z2Z>9){_%Q?$@lWpQ(hgMaCxV)*%OlwXQYy3=RMiAN!qpgtDmM=dsy-EF7w?BC#{<D zV2a0`o(W%eT$R3j_1(5rip9Da0b4vK#Js)sDzj6)@(63_<-2z-RWFX2cl9!B<(r)E z*Ehb+3lh}bF#G(J8;;hSUVCbOGren8_wU~{pS4w%90`+s+R`8SzB(tEelOwI<>MX7 zyYDYyP(ESEVtlFayP(6tHEHULUYwWH6ka>e`0@b<jYj`F2h?Y333%l_+FbREOLckt zf|RXo#k%eWrzS37*c3L!W{Z2<wEUJ;ubfv$o}QSLba!dh?~ARsv!8ewYCfBJH>$jS z+MK(!b%Fa;E<0beTj|Pt^zJv$wdT8*&WQIl)6v?ue%o$OzdcW`oanwQ^>erG#>q2o za%@eRZxXO_$>Fl=&pSkfU+aZ(zbO1Jo5<!8bEjAC`ra8zidPuUxII4-yOlGOr#ih{ zTsGuVcP2;VC&AFk@o{a3PrL2kJ?9zk$(MJgr$ibtJlT-o8J;m`+pI~Md%l@JKfm|S zx95c&v6m!X<zFo>zZ$zrwc3AoZ1Ku>Zk`Sm4WifH?Rq)Ee0OZ|YL`2<MT;8dEOT1c zrMO;HvPtQsY3^z5gJnK9HP$Hp7x7a#FXE@L-(JQf?f2@Er-dAhz0?2Qnxi>wwgTU? zx<HNAV>$Kqdp7P#(GuivO_*r1Wr5`;>$iGW=ikjQH&a~Hv|@qb?fa_nyO*B4neFo^ z|I}0G2^^a`^b>2|hQ0f;@s!*b^Ao>UxW#1DJYGMAy;&}0p7}KPnOPf}eT;87p378W z&a${V@7a#oHZ>EU8)uqW8k+3;IQ`{}_kWdiA2!95h@N~fWzM_5o*viDPr5ZNEm8Ts zDBygTqJeVv1kTF0Yn}LhOO-Bas7cx5ki2yNyX)^{+HF$$-sfcq#wH88x|c1#E4s^Y zowr~2pPOrC|1G#~k^S=RLJNi6ZhsnTQu(wT6YIZUy=&-jJRyD2(_Dr4y<78oUMVd2 z*tb9U_}!Y!)SkYt>sXv$Rcu`)m3H7ln`-<d+3f<mZ!OG-pL}g;d8@IAn*#S;&L>&B zg|B6G?YB^RvgO_Vk4f7v-&IyvUeU^a;_M;jl=)&(-9KJmX}Q#(!^+wgbM(=xZYQat z-ighEEo(G|V(jKbb~KpGnv*hl`TmrSd3<Fj<qv_X(ltR+yMIX6US^wF^X;EiP|IYd zCqm_OB@|xAoOF8UJ@4nF`@4^6P0_bJ(x)&*KcwlLl)~~vo(aAAW$RC#-Q#Iv@wjmN z#PaQGi@wwxtvs(H%6B5y@W~SO%o9=Rg$r4V+OO_V;hD9)QRdOwN0vuci3+^_pmM!? zmXkzLR=S6?*l*`MtL_SBhqiuF4R>UmJas|C$(0fYm9@U#6+{dz-Dk`Rsx(|-z}Uuf zA~SBrnr1(rzOIv2&ApdTN!-1$_xt?49^MrjPpo6yd3<tq9`mQJ=%Ys^UoP=l-tgve zPS5J_(_0o)2EBHZ2?*~xs+k|PCF=3}&ptK>kIZ=&`}6VL+Q)jr^M%=0I$iW@&AFmu z;`h;9eL|B1SJ8#rd1mtJLGs}avwsO4yUJ@}@oVFUGkL6&-vzJE^{i)0n$XW;dBSPi z6O+y$-ZKiHD>hoMYK{rNvB~lauXma9nMu8I$`u*g8|vyL-7j^v*l;bIYWVBomz@g? zd-q$cKdIoJIALzfoVRiHeIh1dzg8EgJ?OogHs|Y$BR|C+e@H54xAl(?;51@<k-dF} z`0m)*cD}48>wdj1N&nunTXX9ELw54<m&F^aKDY>JY5rQocRTHZN%4|vQ|7yG{Kr*1 zjpb(NWWLNlU%yw}xjSviRbjTX#SUhI&U(vSKS@nkop8bnv_j?p`vr;9q9+~eH^xld zv)IGW@<ioE>C+z*E%()2Y20;3wUKE{fy(x&o(_4=bDRzzo_bfUtIn%PYWj7r6-zek zSKE11KC#VD_dmyfj$(oNH)8pUi}lNHHyzVI{9{w~X*W;zuG-0$UU@Q4ExEVk#+ItK zC2oDYT~F74zZEMU(6r~#j8z8ij9wFhZ5<BHdBw=4;LRwM7Un6pvGlr|XC1Rnnf0T* z>Sv;tFE8h>t#hh~-&e8m*lm^N&E*a5MOHsjwrf31^mDXuw3>0*@sJ|-j|H5pGAA@y zxlU-ZR+R8W91!Ix$~t&8@3Q^gIZ+?B-44lbvw3{_-IRCDelKlK9Gfe?`|_?QU)~?h z3*N1_Q+?~>Nw+t1NKOB-yEx<f>?ytS`?u@IUg3BqTJN!mUq$lWt54_kKbOQlUcKKZ zzTe#{{z%}$jW_QrsU5$2>B*X)V~gIuTXAqj->e%JN8_1()GWJwEVy@f{v^M7vdXqD znKfU_c{ugt<KE13Qtdd?ZTwD7>!g$aZheJap{EWmoWxU-^6SyXo~oyAm#3#3myhbW zyTGt-e?WNG-W#=MCGMpsnop>_Uck+hVw!R1+x0BZZco4E3PvaGI~r%Y@|@9U@6DZO zkjc}q|GakS9nswvOUz1^n{2vZ(bF7xacW|b@d}ou4*6^C{{Efd<{HZC^=#!QmG9k7 zHc@wfb+7rPvO2VJQu(<zY(d9URK5I`Ms!Z94bf&g;bt2Zx|T!Xc7&kAw+l|0YaZ)| z@TjPSmw7Wi-*KB;vqgyM#pQPz0!3<jZs=Z^)4SVWzwCO2^$X9}``(mww(In3Zmo)X zBw5|O_eSl}k~_MgQ{7C>pFKR9C*oO{TRwfemvhC&+lzNv8}s|`o^9cBw{#anpn>pJ z2G561;-=<h&uf2{O?&g|)?Ft_uGACm>1FzcYaGI)!W8cB$Ot`le-F<S`<uFtcCbu) z#QN#_R2!ZrcEJHFU*#$+uc~$Z_36`Bg9){FW~wDA$QyLrW7`xuVYQKvy~^_7wkHer z?tF7+_Gu5f5N-p@6(=ON<;ZQ7x}&hXsX3}>!tzG@vg}8B69c^cKCUaPe$poz^esO5 z>j~bS$0qWnEa$P5Rd!C3KU;O`QQnM&>#GD$c<<#%G1x2lsplTg&)8+W0*d0UC)~1% z7<#X2xNhQ^b$CC|lk+=!9<LVM{mV4$_p72P$;Hbvr`YX^DS0ZPUBYvD$4mW7y?1qj zG~0^a=LO$6TIstzp~_|Fzb$em_db@tx~p5Z{cy1G(Gc&Me-fXWzB66-YxS2l<1?o? zi+mrjNOt53D>lg8%)Pd-UqAkC<noZ;6P~>2c0b!Cz}z{(+t2#Jr2nbqJ;`E;ZCw_e zldJb!Pm2rnd@NF5ysvEXhMM?Z#$6lVn-?1$J2`{Pr0k>lFB92=d8{jU`|K9|G`oNO z-SdjmT90MFn_u9+d%p8)!&ZS)n>A-T@O}CiAQQg(^}cC_yEgxJzgzrbO{nRHrZX;% ze)r4v@0dL;z+}dZVwsz3x#A}5WzsyM#iV`W^Z_m-{!bYUyhhP%H=myqY?OGiMgK$7 z0q#$IOj4`Mv{Iz%--ex#d-vy~DQoP^9_Mhi<tZ;tJyD*^De0B&q?dJ5LTYuLXVJAw zw|5_VJV`ppT<lp$e$yOA^%EA_la6IQVBL8%o@di%Q(q?2A0H>3QE1-7b44Mb*|hao zK>g$yPd13}_Ppb}{Lj~?^X>P}dBv!9GV}iYyQ>;}if*wO7??9}?w@fiw0`Qnf(GAB zLInZ^6LR%mC>UFxkh!u%eD%@;+Lo_#x8ItsV*kP1v9GZ77>niEPm3<Zvqo|xOr68^ z&v(N9e>}x!=O?mxsb}<jGvBXvVtQt3oQaUjnar0$j+!%%MyqLBicXnyJm}!znj>!< zlCN@3|MO+K&*xJDpKfft#5sM><-aL9tC*v<tP=S!DY|FE-1tx8oIf=<oa79h{C>0T zeNuM8`(CC}Z|EU|nQ!zLN;nxtzA(+4y#DSsmp4_;$y*EBY{DcOW%e5CCPg&*lwH5T zWVtpeqfsZt!`bgKSK<j<uGAAwT#6GWw+fti7qL3MK7HMrG_S97ex1p)S$g%dQ2)If z-I0OjW&V;EU(VEUyV>1nQvT#@-t?Sp6T-{7MJ*rhGF(4np52nWOS=|LzpPqs8C&$E zciH-FOBCJiy(v50rq%WS^@;YSUsBC`Y}d{+5io3;6Mtmkq6HhhcBsDp;eEp~E~#ki z?QOe5TjZW>>11z_f8z7Q@mS_nF0&KSGAU0C6_g|wZPt`Hpt+ONLbab!=~>T=!n7@? zzJ;&!_M0itcQPS-?mMSUMe~I_CTw1Jr*QQSi`{;!niW$_^eSJS&6`@_6Q`^)+22EL zw>P7S*vIhaXWlK}EkEtg%EzwD(}J#EcJO<??#;F~Vb|5iAGvPXI%j{(QWfp5D|aZC zhF@Mjy)lRD!i=};FJ<pqJ8jAmhNndxJ9r+M-gWwQrps-Mec5u0iJWaumhCncJM&b8 zh5MP&`L&N8F<7sRa+n^h7GJj7?Pl=e52@QUs&A_E+PvF#<lO8liV11fA(Lu<tt-oZ z{7yJ&@|;JStLF%?7l%ydc@$PySUh*m?^|!Dot=Bv%PL4GiA9n-&_KI)MewDGN%xoB zsM)|bF-3LOoF^jd4y^27v*?$Wqo3yl1^s+c@#)t+MP0TFWvu!2@u_58wdL7O(_7yy zIqi_w^X+P?>@<en+5gUcQ9K!1cXQ=={pBnb7xjPN(bCKF&?-;aZesK0<x`Q`YRTms z*CS_(SI@|qzGlVdyYW}_cZb>^v^lKbG$-g|w*vc5*9k}SM8(_Pza^jTwk+=rJ;eOP z;Kgr7(WoO*Kl2Q1H5awadG%NFiRN_v_}zO|&X?6!Zj4S#h}F(4n)NE4=~J(8(dDT+ zaXyO67f!frq>-Ymu931~^IfeeVw*TLcusg~@SM;~dMs5x-!I~X+MG2Lx?55|^~*;e zVoq5kCbj!-?PVJcjVCezekU|VHPoLdXmFm;j8|kXx-s*v))e7Sk|H7t7A#Q9T=VW| z>Z<)kMTMR2@w*R8<cm6o-LX*i>VL>&Byd>dTvo%hmrVQmqHL@3cOR7(xG>{&zDS30 zu#JX6%EsjxKmB)<m(RK*din0<cClT7Ou3=?YZv}e;=A2t@O73#zM%P{f<v4Mvn1Ua zbTjf|97QtUe7#<|yyu90)z@o}m_I$9YPZGuc&N3K2fw-Afy0Xx>sD`9J6C3Gdn>o} z>1~dueDTTK_n*-y_YWzr?08Za$Z;~x@nYSwV}=>tk5}$LT^@d=Tk*!)ggKSr88NP< z%5x0g=WdVi(hoZ6?0#vnu+-!qr=K2PXuYoNb8Tc<@^)W-cI#>TT0Y*}wQ)CFhppH_ zM%C~0_s%GZ6lGhx&^q1arRBHTr<3cCd9JF}{IKp#*qfJ^*;hHw6Y~m_6t>Rm?5XQ; zP+YULv;OCc0NMN(-7X4~-1NPI`qOGddm}>IRA)byuJSv(e78KG@(E4B{dY{imwZ1Q zJ5hS)?nJ5eKW2Y^etWLPf^(bH-#yYk>LQT1Gi(9t+1)RLmu$RopD*36{n}S=9+w5n zqh3zgG5>j<lK<|jvp!vBzcF29d6oSwx!8B&t#KJ=zJ<R$CF7XR#;34f_WM$Yz_n4f zwkN;t+hxu6;*5iauFd3SCUp<=Kb?5DZiRLIov&vD*J^jiy*y@fJJ#uS>(UdIg=el$ zTzCG=;mLP>GAC**Uw>CcXXm|~hewjDCcQqlvU2eR<-PCb`3WV=aY$ad|IG7u=F6s> zxSls(pfOIlB7H)NZ=cZ5L)WM73qCqA`u+UnHc6ndmt@D7HfwI>w^`=z3QX1+u)T1b zJuf*sbIq@(F*C!Cz3|y%9=zwdKoFDJ{Pky*f43biRm=OZugp8?6u*d|QB2f#nV`29 zKBaG3CU@3qcURbF$t~8cI(MI1-nMP}<hynEaZZyurQ#k3?YW;mYlKOCPugBo;xRW> zY6g=#>&!W~{$~3xUa&x4Z0j4QGd3&AFDQwFMrv#>9lJZ<{^7lr29F81A8F`j9H?8p z`fhT$t&Oy$z=FaX@h>=Q9_wAcnP+fzjlF8ar^jzrZ#-O`?>1$g^X|ekCzMjYDX*HW z)4;o9`T2#VUyYi*E)?FnK5Ne6T@6|#=N|KGFezNwcR}K{{?)mb@8mxHd8()AcD(es z0+Y$MU%$Vk_c_m7BU);#AH*B8sdD$1l@7`4_Se;WZJJ=byLgUi%`Nxmsym-ZIy_#x z-z?s~%)IlgW_dt{%%k_`=7)E>$M=>S>j#;BYWbmbVc}ilMPL4C?3f;YSomW4xxNs` zaONj}7OZ}m{pm#VgxJT+_RGxs&t!cf^UmcwWs7%V>{ohU#@%HVR|pr&x$*ag?xn+3 zZ>$^bCo{6eu^684+$=397(M63SMxTBO~q|BU$0sHZsOK^QvdAD@l7uq7Z%=#=W{PB zzH2jiQf2STe`dAE-n<N+VURE@e(#<cd#d>~uQJTg=(AmV((<0@f2ozZ5*ANC#wRb` zZ&mNNwjjOidIn=F_w0igj~wKQdXi#&K;-g`*ZR{QEwnuM<xr51(qpg1e{a6Mdi3Sh zqd%ASxadoU_mw>GU@sDs4106!%;A1tnFVuh=1vWtE<U%m;^o|;6TIv7&N-K#*fG08 zKK+!(pSjxSd}{7@iSONI!GE`KwX%`W{zn$fye1c{H%)#2Cah@XtX~^e?Ac|Je<rw0 z^5&_a$l!c`E7^A^^EUJOth{>p(%tFDXWosAol{<Q^2O%NnS4bbFUW-Ne%m$Y=2~$v z$?#u(qV6d%FJ<;$zpE2@;a*(q9EZ3suO6M5blUsRL{axW8$|fe7N%_%pSGyy$a;+f zQ_mHqZtqxRof?xVYWeTYw?jcw3YYFpiTSwu^iloO6JDHDc<eP_cd@#&UR~t3XTPq$ znKfbFEC!dWCEGL}<pm0DQQo`DVt%U1z17_@(<a^!pI&cv_mcSZx$)B!Z{9wwJ;~HT zPr{++@a|{lw{OvMYKd!_=j^4zw(Oeq?(jPnX=lQDFGMl&?BsAZ3;Nx<W`*>_K1Wa0 z-rzq+Ez52Ttv$X|>ilYtdw1oU!xoya+$UI@?<^6xN+BSl=3BYj>h$+H?0Xj4Kg_9` z65Fs%OXbW0p%adLR-2{YAIrNfyE5!yk6E3|os6O^uTb8T3nC{9AG#QOEvUz4V@=JW z(&V;`ODr2ZCtZ=bb!AShaJ%u`A5IMm8iPfzCLU@_Fwb8*Z+Fk)22ew>?@gLu+6zC0 z=wp19y|I7RMiwsV*sT9<T0qGYFR_#79(Xb(%yQqvVLT<>S!|(o;}_n`EF25Uk6W%_ zxjUmw`>F5bV~H1>OJjU{Ykoe;D({>tz5Dl;Ct=?A9M|cc`7zt|Mb37P6WRy+CVMhw z-1%z$D%V_OBG+#F%@gGMs(SDK+m~MUd~fuY$0?U49?kCgxa^5|zSqA-bJgV?E?Ng% zv`*yLo3AULv{q+BLr*gk`-yl1o0GYn;wM(Jit(iBEZuCmg5~DlV|hBO(v{xlU0!kR zTvx?*y+wjPYfCck6>iTD%CWP27sUBX*yMGw)kEg;iFFZPu3L8Gt$V|_aF3DCo80e{ zcyD&!O)qbM_hwh9Re8#GrGHbB{<D0(K1V>xBEaq6lE%*&9HNE6ufrV<&2jlu?R!#_ zZE^|&qhNsmW1^p?z><apPRpeVOB-0@tUerMT9;7plu5ZuC!$EIK__BUz^tcUd6^GX zJ3Bjjum5{yQ$Bmg&yCegf}eVJ2Ug{=GVxE8-rXt`y5M8y{&x%S=9SO1y41Hj_{o}z z%g5&wrMo_Sc23ZL-j0p4)sA~5@7RA#dh@bHI(x&rCeFQimnrLs%cnJ7SHB$l60&0L zsg7$C1h*~^2(2%>pAeMv@bhC9O%DBM9{h796h6numS+C6x_2Y@@w@(r_WieA4B0L% zwA?eNE`58yqTlvizrResywiI3Sx40uS`Xse3a3_lneMtL!SG9KrRj@vg4>NINktal ze={%YX3d5vJuB|sJo_YfyK&XJx|+uFM{g?4dOn)o4fXPwmooVfsDrrqZv2d>MG<$t z9zN}y?0)IM&O)~-aw@j(d}h9oed%C#=yX=4>5?sNKZ;~NbvRu8@~B5+d(bzVs;O!h zokW+GZg)Q&<u~<G-)?W8RV(7&%=?tYr{$PX&z7io^m)#AiEo~QiTo>~wp@6UVR&%O z;h7Hm;#B1hI(A=T|F<eXx2i|ZWZ5t2uQT6m+wEzZ_r~n=hYSv#-t+&?-H9mQc3bs) zd)W4WxArWH_FUfObtG`Y`n%rqIZXV1M<09PseXy0cmBUgioZ6!*<Y3Yx8uu&w@cbS zpJrC*I+`jYCbjtQS^u*2JFVY|34UDE{o+cHi(u@k^0e)GRyLK7)>SVS*v9X5<6T|C zM5gCHT9%?VVV5f3@lA3U{aO~Db!4V$*@h|enp<X8?zqab^QB&Uv@fI18|jbDcMsgX z`nbBdaQicV`S{~|BtPB0%UyXS+-&}Cwv<`o#oOkX)P3Ck%xlBuy!`v@kvVU_9f|#Z zQq$gb+qyUV4t`mBZOVM}>q&FY&XIMjJXCwjw&_Bl#PzcqdzbU)-N~&Aa=A70ZcwP_ zxwbhf3dbE-ii({4j#^kMu1_#exncjX>qWz*S1*;C*^b^-*{%GRq2T+^Sq?fsU!I!k zBl0+}>qpXd2eVVlt_ga)XlAHN``)qblqQ!;?{xj6*847suxqGJzuPPKe#hq9$Ar6< znD5Sg;A+{*Fww0v{rc4}>%91WZ?5h?a(Bw<?z?psyN^b?wH%9`V|{W(t>0gz+2_}8 z;Q7ip(XsezN;!{;TzH@B>~}>BK~GDP=S&tkVB%TuanhBA%<oy&oiZ*n=-DR4{PI}I z`x~pfH#Z&mT_L1*y!UQ;dHT7WUpAq<P7Mr`!j8Q-X6qze<W=#cUwP+?MOLS-Hc7p| z{!ahZ?&!+P4aa=zE$`frefp&A`(9y>j@=3g0lQXzPBFiAccpld*OLoVIIi4XCBA$4 zwKb}I4Phq|%Dk+C$|F}Rhd<eHH`HsFw8^wzr|(%lax-#CpPjt@l*P%ZO!`Z-cOQ+j zk=_-2Po|fB!lTcjXQXVcR%yFDtq63wINz3OLTk-Tu3NQl#iB$Sj>XkajB94jTHp1m zQh#R3jT=Yri&$>Aa%kI~z2_?LvK^X^@AGEKB*!Ih+b_TF%r5Jxk0-Fd$+@o*&2q$H zSE2set_$-E%JVL|mQKoLVZSmv*S~2)dGhv@(3ZTO-M7lrg3?!A-8#p8<EDN476>h2 zcb><!^LRBcljJJ)#+W4`>Jr^@GnqLj$$XLAlD^$@-kWLeb2mCqV$fgkE^MzG$Bj^# zfC_DnC51dUdZ(-@-TM38>odET8nV<c%-?r+>Z8*p`p+CPdA?uIc#^%{yZrw13x=VJ zy{|kpCVuR?GF$EVjy?hAm;Q3`y|QT;Zyx2hU$-d;Kie#}_kzTm^=Ehg<`jJK{-0IQ zk^N<9=20=n7B2kATtCs*<ATGH7#6lS&umjgT;F@V4U=3Ry7@*$!0p}YE(`3d_xx~a zYk9iZ^HYWci`8x4XEVZ`#ZFh6q|8ZYj|#5aSJr$`|C@}b@Re0u6%KnhRljiy%Q)(C z=U%e1dD-oEYgRFDns(24{YMD~;iJpt?-{@MV&dg@-!$P-@pFEgWOjCwX^zSj+iox4 zEq^a2y51`CdW7wf%JSturry<gG-=((mSejA`V!xZEWBL0_|s8oWzOE<KW8m(*FM$@ z{(NHJ%hK+vmv5`e?NR0D0!<(O+<dNVy+Tt|=zo<H0rj1m?rHBk=D<=Vays9D*;4P& zzLg1&H|@Wu?fIZ(?pr>_B=_sHlP_wYPYalkw0@bJ+X;hzZ~UCp-0#XNFI%ho@`8-! zljI5PkC~-bH%UENG;x;9olO^CowSfJY5O(%i{zH?T!;63-G6uLuUour45kiqmw+pc zxO=~@_Q(iGum4;6J_b~A-!<OEaW~?~yS(lT5=ZZU%l)l1@#6_`<|BnX0_oqLJ}r57 zEYFyaU26W{+Iv4Aty{RT|M8CU?RxLr-fv;<QTX0%etH{2j@|Lh(3U^%vZ6#euiihr zeYvK<>O~(IHgR%B9Z}L;aJ5+V#?^PbTY5A9w0?ZDLI1PGq~jB{&OKS^baeh*6Q0F) zQsrtg0y|uGJ`Oy{D_Wwkyv<~ijn)eXz1NEiuLrOl<g%J@@E42jgwvkfPl|;2CmxHh z?+-7Fz8hP`E4)EGyCFok_u@aNP}{!-ThnycT4X=jpt7cFpO=l0@d?%671BxRADSKY zniZeCNZ|OnqV-r>y=~31PUq`)m#vSRl;&U7epcaO;nMDmKGW~U>BjGQs+)WFyXed9 zZ8!4U&aTldcYl@O>P;6Su5MQR_`*%mbYEOA<D-x5Cl)Kl-(9<~t>(*?Y8KuUMO*1F zIR$x{YnWf1lg|$oSgplq@%Uq5hiCGZ{gFrRF1Fhv^TcPX`0jiI*<FW^uQfVn*mTVA z@3!5lMoDZZ7Q8In^M!Mv;hp%Wi|_h(I7BGsz3JP<{WatJ)w!8-gH(AY&(~K|RKM`! z&3hiUN}mfi){6X|rC|6@i8F7)<p{+!j>#PVoc^~|@0wP@@<Y7g%wZotgVp*{s+T!# zOu4rtX337<f^H`qJj*k;Z2nlHcF)?gZr_X}j6RRo?*Dm4rrpLTqv#U5N#95Dm;Q48 z3tdyBX8-;A;n9=@=QsRRajf2Ct^Mk}oa?=P>nc6nEL$yvTz}7$vb!}YZgE-p^FaMQ zF9Q3f9t*0!nXa=`)p1_S@6Ef9ecox!IZ0#1^C=g+_x-Z6Q@7++K6yRw?mhSQ=WKp& z+}(P2hB9Yw@gLundnCTBxcd9`o7i2)bpKsy_<Qo{VK(U}Po^d}%cRV5<SXJ!dMsAI zu)geY?ADiw+73+5&xCw9o5%Y9joI<=Z=nkdJNBQFzCCGOne<O?hhv4yLat6$y0lMw zx9H=7^zEIqt#{Xcn7N~q@eq4F@AA&w=I0gpi+UgalRDbBJ6efTYIE(5&E6+^&x+R? zT&Q^UzUt20tw+9Zi{8j*UiQA!lr!k$A>*<HIpKtB50Ag&JohGS!v}^=_xQtmGUilH ze#upEO#A4(eFAqTb<cC&yUoB&xnk?>)w|upyCy_doQ!l|_v1nHk}SutEAwsN$V|9@ z&QD>o?DtsSNR8HG$@Pot=N+&+S7vIvdv6QZiI?{hIB&AgoO6zM(uB*qMa%BYt(@<+ zckQ2<CPFcG6`p+;pQv0G-;tO1X4>vew?%ah@$~LJ_((wTa#Zav@3xkwH&+`vl%BHp zEcnUrJ?V10&*SC$TcV6EZk(vv&)&QGpVeQjyc#8Em1|!jv$wK-@e&JZ+E><`d2fc; z%}LpDEQf-&q-;JC^Ci81_1ztZr5LvFe;>Vs{qaPvWYbgU=NFil$v0bN#5{igd-aa0 zCw>euZ}S!^2lswIEe@V6@z{RojLsPunF}+H#LqF$t$BOTurzhXMrY~yE{!{kUQW6Y z@h0ESxK}GSX+|T@li6lTGk0B{_37|FtEi<P#h+(?zcQ<|{Nzb4%gU7Rh8&%tCq7Q+ zihm${t!vV2mq`xE%l5yVemAGQHt)@Ep?60o>({;UoA~+Jt(*3zJpM53vasCo`q3A~ z$3{Z`Q+p)*|COlbPnkTiLb6l7=t+dzqxUg|OMBMu__Ufk^+%RyeE6+S&Y#(NF4cEx z!<{<KxA99i+?-O8oOE0H_a>K*XV-5lOcj5AYjWr+hRTV}ycQ1@_y{%&#kaPma(O3c z)<uRbS#u;@lBa0nk?T|aP9G6H&oU|EP&2=shFaa-y_XWxcdx4|E&QjtZ{3tm?SDI7 zT|N4<Je~Jo(3;N-XO^}2P40jDuD#@B-^w++y&b<D$nkoy$8hW2wNXxL>FOy)=Om=o zF1qk)<;Suk{k}4NyBE*-wd+pLz7=`tW!o22`j$t>R!vuWer)B}3$g)s-d!^4&HQuJ z(ujTP0{6926D}+H@77Ivldu#tFCLk!{-J&5bf(_yKectsZoka?zvAONzryeByLx|q z3}a3FvY@bQzfXDXku^H=f=;dvT^)2rUn{Xk!bXwJ^V$=I{U%|o+!IdzYhqyHu>7gQ zV{pUc_SJW){X*&uCmnO=+fCSdVb{5bvh#cQ-+XX1Z?B5<0_Im|Rou?>uhyMqrx|7Y z+xm;@&8rgS_CFL(%wd<a*jn`{|C5ix{%gCfPp!=Ft4=gNFUxU0WYR99HTe_7GS++v zf8{4?KC9zWak6~;w~v0iJaukNt@-siEnc^G`#-Ih8}fs~{Ik9)oJ^?i+#S&sk-ua2 zF?a7fy8kRT-+o*B<<;@~@dp!R>bLXE+N{3&=)1BPAD7;qs(r4c`u~@Y<+p!q{I&7u z{DM5CcXDg@c7DBTvt{<%W+7=8uOk)<GD<BX|7yJXw4ftI!}IHp&C+k*g-qNzN9gCK z+h5uQi=ufOcAjMwD~g_}zVq#SKktM|mz^>mo_Z+4lOmI!HKFj&4o9=PSkJjJC!JRc z>+DQ_VcPa9y7W%&ljC{!H$P%^Y22~-_VMc?8lK6U_J_8-lVL7--eJd+B40o2?v1tE zKEDu^<yAIW_woDB$FC-CzH9aOwS<`W%l}16y4B2FUF$XF*tva#+Ky!{WSNrQv{%<U zthYxdW6q=eb91%Vm94+I<M-k3TW@ckX*@G#!J(k4TfPj7#bU15R5H!aEG>U|LvOv_ z(fx6TFB3IizHIz!F->WC*Q(Vg-<oc6W6>>EXihuh94_Q~=}N4!f^WH{&CaXaYWzC? zM7Fy%B=Iqvx8Gg(!J>QHv#`Lo4}vFI-OdejdG;e^JI}0jDIBTdtCwHDlMrRB$ozBn z)=x24E*$Wjc=<@;YVDV;EzDW3)?J(zc`?%H_+BGTllbE{4F}VA2k)7%_fwZA|C<we z(%v@yMUoFE9gF3Ba{isPPx|cR3OfY48X2lwj?T6*I1u>wU3W`+H(&Vfy%XA8?(Ur= z`uy0RTfWa$Y*SWWtZkWE^5WY!S?0E#dn0{~c}_&1*e(37TX)Nat0j$VjO(u~4UAnW zwN%|Cda7fwithwgDf9nz77I7tj2C?`Iq%@Hg8HfHUZJt6hEMdS9}B6UeGjy1sOZC; zn|EEO7Y1dl`Eos1@%4$|M7Jq&mhz?!iY9Wuy+8Y{R(g`sJz2-H_qTDLN62#T#~b%w zG>$F#G5Ppyw_CBRv=45WAUEwbtJLZS&-zb0vOXvEv-IBn7bg4ao9S`I^S!1|<~g6x zJNV4s;%Y{!@WPIgn7Ngg)ve#1+oLn7Wr3{BgV2+fGdx&#=rQbUXN@?Ko46%n!V%rm z-k;8FdNKKK?8%k8-5O@TKCyOnWMF)mzvR@tE4FQ(>ixptZtv!*U7`FF6g3N;z4CjY zz5DLFeM$ahhwqx!<$7Da{t_K!tNZamr0vTFCY`eA$DXC;uO_@*cRu~XJ-ZJ{=C`ga zw7Qq$y?B=OyKOCf*X}Mfzj`i<({lF4UxnHtx|5W5CMn3ydhD_O;2b_SzRU(jt%yzA z865bFybex~2r@XpD)`}Ig1g!YzTG~LPus2AI3fMdjpJKIH*K80O=LoP+?&TyT#>F^ z2OE2X|I|)af4J_Dr2O6}$Ak+K$KoZ*=ikxL$T;#tsa;U2TOqOXMX|@tRl)y%T<^1+ z{7q%fo#GSALa*w3ioGsS?+RlN;ZfPh=WSDYe8I9=jS}@c3k3gciYQ6(KM`5Isrp3d z+WGDqO-^LaS-??b;uyZR%OdKdDXU1p30K>`n8GCz-&}L{eEDu^vh?H4_)Y33^WGej zt=VGrD8D+@y!&y|#jGU_qCDS@mdC!#*^<JaRdk|LB_t?hdGj&Fe_Fh`23r=kzLMJh zcWu?aMNX<NUotvn7IwX|$nJcf!)`Nso?i~n!T1-mm7~-&^?#jN`uA>F$(wE`&z;B2 z{~6u2T%3Q-|9$s5HjR}|nT%^6=KSi&toc^{%=B*I@w<}_SXS5kVpt}1@q)#x^_R1Y z?$|zg`S^6?d(rqvkB>ih#hpxgCc4nDYyaE%cTMlPudldsqj%bQtMgtP{Zl%^G8R3R z$~tk<+4F%-*HUGcjy?MnvrKyq&$qcz9;%q|cp-Cd{-3Fbs?zt?I;y@ny8E<1>zsFY zGv91@!`xE1;^V#<j;b20PMg}oe+90w-n}>F&D*J++W(@`(r@R9ieC?B^8R>w)B4@v zQm@_C>z&g-{p(ossRj{?^@2YAdv?d}*8Z{epMq&ba$$^)*22PD@vEFmYi|DiX2SX^ zyXUL;n>?m!mp89oyn2=Q-~ii>H5m%cxe3fMW+?~ScoG^`pP6uuOH4v6LXK_2fo%mh z7clY`Ouf4`y79Fho0agkD;rw<n2cQ-UY}!K$N45e<MM8X>C8zdniuAD^Twn`$359J zX^R7cSjd6UG*)h(56!$5HyW7vL?&zu2~b=f&9pJ%U=S0>jLW(S^`cKoPD`~racRsk zU@bhQ7(P=}hx5RKghoqCMs6Je<~7cml5ai)H1&jPII?N$9AUcM^pu@#sovd3PTUdE zE-wzXp60sF5_W1$L$0Rr7N)Q?)|_rO$%vDWwVH#rAMKugkZ)Uo=LW{<EFn8o7Cg`| z*1Q?Ct+j78j~CO9Q#^+YIO7;B7#Fa(e(I9A6nS&fjHsEDPV=o*`RF_;Si7+D>jP$f zs|Q+}H!W?}l`Waj$R?SwfN}cNO`9Aw;!pqiQj~R+ZJm*}eo^66Nuh?-J=smtr+Hqk zDew(Q%V=G_Mu0IUHQ+$OR7XRGaLGimbw=xq9Mob`>uY0Dqw`M0xL?aVy&&h|W{0@P zDJvETRrd9s4b@w-#BH^=P5Y~g{Td5jxN?8GFrT4lf5qgSsju}HOtN3M$w_L*DW1d! zMTeNeB^PqWL~b}BFgu|!Q*%+cN|)TUX*@S3FzQAmXo^_v+p8lapwpnhk)Sz6I#{<R zCbg|NCUs?^n9hdX0Rl4<G7nnW2&w9yk_}t6v`KnP!BSzF^n-^cIe16TOcYbD+$oXV zYQkK8_s+burG@t4KJ`w5HyId9T)4kS>(;()Q(CoH@$tqN>1TH2t?QfkA!?EIlCZNj zHm4F#c^AFZ>z^bLF7)^3v=ZxTnM0~3=YH*GDPM9e*3e~F-|kkE+9|ISc~sUt-m%%t z_RYtxNmID?>VrmuY=rYYf2e)E^5T?^lhU3Jn}uu|UZAe^^^$Vczy}Sdc1fG2Zc+Y` z((}D<cd+Y}lx1q#MK`9bnYN(gOxM1BWs6hAi%yxAXT=6?f9AK=dQ)1Qsxqrt-M+X6 zMz+e1x5|bS{yq6vQttlj$IKPyCLT+!x0Si+EBqy?_xsU_NyigE8TowEylnACpMCQp z`)V6Y<rPiRMZc5+CM|8~RclXueP>4dqNRbcZ|5=FoUz(hW4+0`hnZoXR?QyU*_Km0 zSF0bNsC>)$Zpxk;wcpdWS6oy!U;Fvj?W3!^Kbtz;i!QI<uKG@_|MfbV>gJVqK~pX| zi#HU!KOw5!$EbPYsB`g|znX!Qy6ZCPg<kHy<XLp;$a>FjyIz~WFrP8E{@0Jw=l1RI zNx5?E)zx?fL8Dm<rq3}FlzHgQxmW+EyMWI-Ua9RrPB+@{Jz2=UXinaV3*y}qb})r{ z6`YbfsF`E!Bywf0{iRhLvKtTTtUA1OW8eM&{Upg<MV;>XZ!V~Ymz^#xwweBIOY4ju z+<Ytacb|SXW66&dZXRV_lg`Z#(z#P;ekb<v^*o_z6}o{ey@&r5S-dHD&M)VG^ZE*g zwLOQoMTRWtkCH8~mz`>Typ+lCpAwVotjBN9U!IqowY=n+EKj-NlNFoeuSghm&$O2K zwA$(Miv51)?<zX{iM^S7C01QFyzKIU&Z1M<j}|Dey4-jyv3{X%x#b_*w_;HxA**|K z+&;HM`pehuRb|QM+EZdKRlHt*cCPZhyqZtNlREo)V>F+sME|s{oK!eP#)?O-((H?B z<gF#Dv$A!*O+P%<Kc(YbiIUT-JM8irp<Hu*y%v8KEhpyxl5O*6*CkW9I3hYa;-u_9 zgzptTG4(G0iu7li{ts4Zt=fDyVV3zjFWK_jKNU|#rkj_wJLVhkW}Qs8zc$_I*Rp0d zP+z+s`Fn?1Z#E;_>ZR5zS84B#Jh5^8#<pYX|917K*``KKo?ZESf|izV(bj0e@@9*8 zn`%4ZdpC0LD+DgscqRVZj^Av;_Y1e{X4%YrXEv8lL(0Ox%)GFpsHuTLf7M%tnc1@n zFCOIfe0MDGuv|d5%uQXE3(FmHPp{v7JbADFF0CEAj~VZ7ud#G-;hk`0>M`|ykL=eU zW4LR{^v>0C=bL7q)QmOn1S>bCPq)7_;drA`apZ}4vG-=3{$2R8x&KCmRq&hbRkJF- zWL%qU_avieLDbt{(qFeq^X%KyAvEjG)q8Q_vc7ziy^~8fbbmg*NnCP*_no7kl0KR% zX7d^rZN0s7v!9C0GQ+<8S?Tp1*1KoVc^lSM`|el}*C!PjmVmAH**5b%AJm9$UbVNA z`BnDz8DEXlA~rl(AUi)y-oZ@Ku{$D#`Ob{+vgW<I$&(%}mQuZWSaqKK@w?TvmnB*X z84eV)O<f?eBGq_7merNF6P};nzRZ7inWc18(YEM2iSDa|>!+KSmAg)y@yU7l_jl7o zvkV<RZm*43mD{^)H|I>5M;`L}X0?-Y8;-q-WqqeHVXn-NSK5c)9qF51DRsgwMQZn7 z(LZbdy-C}pef0TJJ|CBt8>?^W{x%9_ZVBj$yl*Jb_>b$htQshpe<(C%Q@SwU_T7?` zp3D4pE$TBVGr09ZRloLRW_(dp<8+>{D%Y<*V}3O?IdzK>pV`8~EAcDNx7@I_?*5*# zJ^uLhlI=@N)!vDfFZr?2n)O_`;p^%8Z<fqB?q_u(DZK1%Z`I{vlil9+Ia+mqI)3}! zx?McBqg&*$-ldrpx`9Q$G8gOi&Q{#~o@2u+X0JC3Hacma6JlzaB=BA;tbBgmpOaHs zv{t#rU4GvA_=we>-OhJdKW20&*UH=s{Vub}0W^!GeRN(QV^YJ+JH;8Epf+&2SYn$; zMAO+lo>Nb8?g{;F$F}kK5z+eMdtu&D!F4yTdp+K;|APL>KAGRQY8Sseo40k>7Y@&4 z@p|hR&$2&X8edIV-fEDc^C<sfVb=F;(aG&!Ih>NePI$ZS(w$i{lSSmOYIr>stDjq6 zc381A%#_WLFa7-U3CvQre^kpDZDLvxv}@uKk%P)+3vAymJE@t&^L6{HovTka%lg}W zFg^9MiRa1nkE#9I<v*T2O*LP;(D2IrCHB>OIy|0LERBdc=DCbDfy?aP$MTncqV{F# zldnCVC{RDOQuxGdhc@fY$1aO#F!dh&S7s2H^Xv7;E!C%=oi^aB|0ED{X=2j%q@0^J zT1S&KTMK_|zJ2<baR0td8eJ+5Tj#D6eYfu8xp$(a*2{7_E*?nSwL0}#?;&=Bi=QQz zv|nvImQkO5ugrgK`Z|x0OS@;k(KVQ$vhGdW!DgSe0j)Yuyruj?1Zpm_N^F`CJyEoB zWAxTTtM7{K3_7~DZI8!cPbQ-tVV{V?RP)~5D`W0;MNeEQD)&q-efP;f^L|*K^!|O~ z3fJ-pE1%kiYVQeZi%Oh$@JP%y)yceSpEzG0xX|pVo03(|t$)JyU!Kucb?2a+_9y4f zc^kG?z;O8uYo}$$m<0m`48Knh{ls}vsrt}zRc_9O&APG~6Ds%Q-nr@f?Md^Rvh4;f zI!8PujJ7&5rO2hWmrY(Ns<dFjnJ)X?C$GFaC2RRvc{lfpZ%gz)|JXSD&3g`onTd=i zu5d+PD7@qQEv09&L5lwsu7wj$I{nj8Py7^l>7Ad^*4>#81-3Zvo+>lz&BTyT%MvT@ z==P{5d{}6)@6EErAK{MAKC1l|b_n~uSz&prNQy+g=nqzf&l?nOpE$jHbMz+lTF;`+ zJ$v@7HQ${nG4G~sk9zQ-x=rf--*)`kG`mf)N4-+|gkjv9wnFoKX2}z4pD0bpymNL! z@L_WSWrKMqE;f8za{m1~rg9OF?wGgN-kr@m`R>_M(Py$dMIG6kn2$|9#Pj52o>ZH` zyc-L5Y>IxH_v^`f{m&M{Z_ngy-QB8Rw)&~_q|Npx|6G|T@~|+&d}dS}_e}ShF)}wN zTkPDSRF~40<=>;A7_1$lU9-Hhw!%{P(suW>6=mN$Z{1bTSI}HAsqNv_yhIz$HIssO zuD*L{$85Wux7Oa_+UMYMkk@E}`R<eR=6E(r$%U+H4B9lo{`I-Mt-CW56{hMu@h~uQ z<~I`9wA<#?oCznh{JaEgf_vK@Ue8Nh;vaS5B}=Q(feNLJj>bbF-49tmwQn%L8LNNN zI?;x)xqj=R$9a2I-v3$Hbf7$At<~S$Lx01iG7cQu8hZEU*W~R*?##X0|2;Fm&U7Mg ztG{O-)5FJS-)RUG*z?XgUou;^QR&tJHluYPiyla%EDkkae15@S57~pq((C6(l&|Ew z`tfNrhk#Yjo}Et;&BQhw&_1{>r25pp{MBLg3*QM9&V0Bq`^;DKxeqe8C(QEKSE#zp zTPA*L_Fbce)}LR$n#&O|PcDCox0++HuF=1&1&v+tY)XrIv}>0o2up_F(m&o`_SIwA zM{YGuJA1BuyXQVMymaHW{w02y^xeDPnaS>YtTq2GR})k3?pf!$8ukdiIVP?uGFR4d z=f9i<hS%@UzMix9ZP@PJ#Z#G_W+^E39{hK2&*f7RwQv6&;yJT;;m7OuABU<>nszL< zescUY*_limk4f*E)lgF#=yWl=;ZM{BCH>yL|H>Xda9yzd?kQQr-i!bI)@tW{`Jmn| zS05JmR@QUtqw{B1GcF3uk9Zeh<p5f;!(qCiYS+itKbEm8&%DwMDoTFrJ@<y~z~=yS z`SjiW&Z}9a`v3Os<1Gw7GefHU{z3iSXO)}2Oo*`*c=Ue9?Tr~-`_*LMWF0E5o+y{c zle31)Nq)y_r*5kYGmgd2xz4duI5+F`v%X$6_7$O9wjNn*y?c@S%KLm0$Bo}t^(1qh z@%NcA!P4lYMZA>z-_|NMn|ISXU7SF31NTF!%NJ+t?=$y^Xu5l1*%!&JbC)}0ygq+< zqwtNl@3hN8Th3+gnmen(U!GxhN9lp+V;|SF_MZF~=J|L9UuEChBR{Tv>dO=Pq{_cp zeD_4FtQnxu`aNo_URkEc?=LXUEMmLx<JEhK1=j9&BEpaJIe0GjVl@;vDYIUrJNVv} zEnJLWwAq5Z@7;Ckj7niYv>^O+-$K_nhRmD!92)-I*!%3A#%kv(51|E}TCe=&(!?%2 zUA?S7aCP!UQ6qufMmvw++_X6>?ta3B8%OWIxc~QSvQeh(wV9%SZ$8d0_dVD3f6<(M zT^3P^KcC#}>D+zPy1t<Ht=VqrM;@COt-qTTCSRH;!%*=3^^aM*)OXh!PG@@fK;*%c z^n8zrmVFmz><BC>O$)z#Jx{v4o`KuBVSz(?FpmJ^i8S|rD+<%P)GgU|3YqwQ4DXqt zYV2pBxVCjl=Kbp*E!Y;#_<AZ&ti;$xJ4*C)?0;d=^Re6;St7h`w1R6+yBxYy@pApy z`)41$>e(H+OhzqsbJ*g4RuebaY>H+*xXmC$vo`j={t6b`>#{d>#bYloXYO76&kCGU zKWE?7Tzr1n@}o)xCw=s0Hg|n-(AmFr*`I{JiubhE$z?7SSbOhBby>Lvmz)&4gO^o= zSD1cT_uZ?Et=qq+ZugBbx5-OY4gI>+x<@eAi#0ZKimzr%kmsrl7im}hmwJ+Ow}jfp ztID<R*!}rMXwsJzK94u<H#vW|%)G4nTaEAc2XpUo%`|$&*!*gO`fI;~B`0|JKQZ+l z{@0gy-_>AS+J%cx8ZJn@TQBH&@7Ja`XTM&%;pp{v+kVgTz8|NaEpG7noWwWfSbBZ> zzBg*tMQ_}6mg+M{DyCR72k&}Px$xu7`!la=c+R!GxAN_sm2RGor%9cEEFM$P*pfQy z$=Rfid$aGZ^7BiGubJ+(uP@g#!CbWrwA0qDCUx=2N~xDyG!Dr3sol7f(0DAbKK{V| zeNTe*?eo96mZ@GUoqlABd>F^gNf#nSYpOO^AD_L}?~U7*GmIBzN7=?7`Z4*!Mkg7Q zZNIonr@uH8GC_ZN*>UxEYSnupoSye~zHOegc6X#dv!wd1rmL6Ve^tBFt>|!v^T~;| zDnZY0W^vEbPmFoX$LMrodR1NB2@$63cYWI7Et0=(`5wA-{^XhJ%d0x~o97F~*xk9g z_fgi3X}TUY62~683t61kijyfiv9GxI=)Y&~yZv|Mo!PQG#Clm;9H+^=U%UA#|Jyu` zTyGM_n(uYzuA<`1mi!EvZ_}M`-+ekCJh8H7%DQFSJ^0%}4Z5b<w_=4V8m#pV4v*RY zy*iNec-{0TH+t``xxgm1`0w0Zm)B@8*^1hGJrwPpAQ8~@^J_)Q{S9w;f<pbfb_nsj z^_w+ESjzbC-_38bqC^*3Y+k{~EVcgc*~;6s*H4%&c<wJ3zrNv|)#o1Bn2a-Dzd!TP zwCj(PJz4tQ$4QOx_Nsf5$C-Nl|M=RS-|@PUz3k<J34a#594h!${^H!a%|DKsY&5)i zU(2cfkZh)sSN~n^pT{Q}zYF5rRqWvR_+8S5>W#OTa4#$D+~48kke4Eu_TtQnJ`Uwu zJCrx)y-{1O#1e7v{;jnWth|!Bwc_459$MD2<oL|IGuw81#%r&+Te|$3LU`GJ&!2ne z-j%Jo!u9S{-ZGi+e?K<vKKA*74C{%t35-U8{cOD}|C#;0$@|@8ZP$fO$E$gxri47r zGqA1tdiBOZkH_Nm+55`U@Afh^%kSNFOZS>$?<+UO%t@-}rIr?U??0y<{$z{p*{*vx zYP2R~#+{i7o>Fw5x@5|i-q>XE`o-q=_C6^2eqK==H2?ka>dL(xTU=@#MXw6DEV#a; z$#^|$@5X;YwYE=II=hq@Pb%UG+7@@}pWAu9BEj8(t6rUXr|P94IPq9+{n@S!vlKr5 zIIZaLWd~Q;=dHVs^aZhT${bjuA#SQ!^q|N59baSg$7TJS4RiiD+*PeA&OLE=k@VVW zKXx;_vI>9d7f(+S{Pjw;lIN7eV`-oHmerHg+HIdj+S<13T-fm@|BKJnj-$Un_$^*! z^(1ql!rFHcyMm8i&l9tYa?kr~cFtz<?8>RROr86MJRYc4CQsb|qHL<^uCMLPy|I5< zcg<!gPrqBZ{rtjhth^=$prxYgW@|0qry*ch_eSjXhnerBij{9^E!Plu&v-FwYVdC7 z>o;EJe?GVA#kJTcYaJeVE_Nzns((8#s@x}4S5<y#<KiQrEnV-s6?NxmzT2n!()2A~ z0Hf66AJ(Z?oOTzValW`Ox040D;Nv%^;EFsQ-$iFE6ivc@z23L`?mH>j{=X)cJQ*@y z&5!iW_<CaN9R1x(XBY&jm0v0`y?gU**>~2YC+9Y59NMfOA|Tr*bVBKjqpFAZwyOHS zcdg(2TV%boQ1t42yFQsh<(c-}MtoaBH}04HCnBuYBYJJN;<+n=2V<9NEzNW@HCk7$ z|1aq0qJKAilTMw#ym$5P<c5kriaoOPVw3*3vRHk3p2>fD)`e+bRD0wvFV^S<Ed$%U zC~wKhi`k&5m~QhKc~hr9cL~bPSo5{~h3{5*|2>x%3rO|<{aWz6fBgw-HBGx!7g>7` z|8w%aqr1;@<4=u?Pka^_cJ249|JIPG<aNH+bNi~RoA(>{s~MiLnrBtGNu}Q+DBG{H z`SPo2XJ?0o==*VQD*Vpw?fLd(p5xPBn;jo7nmF}XbbYzbO$Daig~zXiO53-E_%^j! z?!C;tdsB5*<s4JftyiS9f^CG){ZNsf@$8zgih_HaWBlg^sp~B*Gj{f^+1XL&+ZcSk zMJ4U;=4en=7wK*Ot6}l!S-<wLE9>47{pHCuk=48X_jf(ERq>UH6Mo8~@x))rz3lZO zwSEH@v-$e`MOEcnqxXsL7Bx<M{CxHF6e)4D&kUQiPo3rHK2z9nhf~JsT-7S(S8j&{ zKJoA5SoKcJR`l2I+watBm$^k>ntxwV^!VM7z>;dcta&z#yJy|Gw|4jD=d<tndISdK zmRo(Df7ftA#T->(&;bT_Zs#5K5n)_A?@N)?_ms$MA=hr2iq!qgdA8>2<?Dj}C(F~e zr}=s~{FoNrq<gnEe)CkOOCJg{wqLz`sarYc8RL_vbBpcY&6==i!M<7LWp`WuhSzRx zFw6{XF>_e`ljqiYS8u*3C3%CbHJc|qGG<RR{e17jk0bFlTX&y+n;R|XEO>UI@7dPE z2fG3TpMF`?KWDo9ZvEX?Us>MXdo*&IJ2U&LRb5_3?kaB-pF7V^=HJe{srM4BjQImE zaI;Uj<rmwVet9{Uzr*3f>F4G-Idq-R-o?JqHJ8gd*>uB)pQ_U)O*v4$eNDi2-iBWv zzq)d|_P@F~VRv8a72EbnFFB&>m;bR5eN}!b_cGrtzMD0CwbF&!%9VyGGRGsl+K)}R z|3c<LL(gQ#$IB$wO7Hgl(lWPFO#EtKw&*IZj=uH!UK&-siGGjybeVKdt=0NFwQ9<% z3C}alIUQ|&u~j-xoy=M&>CVu5|DVy`xvIYlPo2NYvrAy5cYhanZ-Kwu@smq<P0BuA z=jJ>ft0CNQ@2;o#M#Ee8XBqEitxu`?mFQ~3IdfS6^S3S5tmh()+%p1C+bNuVaZ#q6 zzjDGJ+qY?ou4k&2_wRZhz|nj9pWFX8?+R0{hpTBO-w;c>6!BJjlJ}pxmT}daf40cx z7b@BMWP<jArJdcI6a|{upX-=s`h8op>Rj{P%fpoOgR;`M_pQz|c)E1LQL7lqr!7s_ z?#@$+ia&0?J6U1r-y3&Rjy-KndF<)m!x8*Ibkz!_DBFzS^FHsk1T3-Dbb6lI*|}Tl zyt?<B85(u2L9Sa>Giz_oP2#CeyZtFA>F$lG=Ck&x+>TxpvnlhT$im{qFJC&}id`i( zE2iXwlaTc$*RKA=^<7shv<hFDoSb^M>%zvUQ&GQoq(m;VTjiPX7G~YMnfmy-sbk($ zH`5e8hV$yi0<QzaY~QWhTs5n^&}HWnmC&QxQ;yvI=4+}em6z^Z<9#6S-#a1e{Ggoj zS{IqUY8~vBORI0`-kol`Z(7bLmlsd2?)i~=GplpIb$MUK?YVLnFIXIlUm)kdZ?5X^ zjcUs`Cj|bz`6W`?OZ@rl?DjHo$uC7q<&XZFG*Q3GLu&anm1Tc6IGrftz8QbWs6{hH zF}_Z*(MN2OlOJn*#KTsdls1V=%F1!cQzx|k*)*Yk!-HuPf;s0&1y1Vq-|gBH__J@a z@^Maf6Sm*g#cAc8bJr)&zIJz>$p`J(=jA5)Y<5?9{=u~D*KL_6i%P$<^KkH~`+Zu! zQRH{oRhKFAt}pSqq$m<FA$C?+sl&s)q46veTb6#ja{uc^X`gWOvg;LGaSA&euU)#l z$YfpqI+L<rx6RAm?|nOsWjcR&{#xBVEGh~!l`0)<gFFI`$KLl?@bOx_iqs77KoEz= zYW0783SZRkT$bo?IDfY+ebG|EGl5&Pwx)!AQSDLRdgHtMYVY?`lT0iNK^5`c8O`l} zC#L4P9WO0Eu8_L=Y1hiuO(uCC#ebzoi7M`}=e(F@*Zi$K{^~^*MbQsxrD^34=I5JF zQnZpb7n@!<&m``n`Ez%HioQ2t6X$8Vv8xI>B+J&%y|>LpB17lvblad6&n+|_=ReZ@ zF+uzAms{^n+`1|h(UlKc0TSo8es^q&%D*?$qBZA6t9GTIT%*tsqGKj^Wy!s;xK9uJ z)SjO>RB+<+*NUZ|)b4)z^3YW3MCN4k>(ljv7Vw&g{XYG0P2h)PdFd`McN$K;Jt?h0 zN<pvp_CK||8H^lpZ|anszr46Q)neVBLhYUF@2+ywh^qa#-R)&wpY-H+(?JW`Y*ej` zvR|H2toY&l+v%}*{Yn<zNk0yr`nBs>ob1oVd{HI(`j28<-fwv=o<HragpMVL_q|5d z<uW-F?(!C``rbZc|Gt_BJEY&NJ9~Hf-Df9eE3((#lzn_!v7y!S*!{i9U1!-}uM3(! zJFs8<{<$~T3a@K+-mF*pnI0a(FYOXpzMLUg&3)3Jr1H&^)~IiumSr=y#9=P)-Ao1T z_&J{zZ0y+Yn!fv_%;i-ab2Hg&i=6ek78LgE?{G*@Pnh(`xVdw;?Wx(zem!OU>S5&K zcf{oLuVuda2G1Ce#%`T!U9V6&%f#&W>xXCdtiKy1`{~BY2}dv4X9ODQKKf!^8*_K6 zW1F(si4QVN3paM|H=Iy6=dD?w&&QlUe|A2xG`eZ{b?a^KPqn6O@9wI7mnphraWsBT z`tJ2UpjK=%4`>g|rQUS^>F>5I`?KLagH-?Dr9XFPR$QpMJ-4*nGoZ`({0+sMt1e9a zv-$XCv8Zqr{eRaM$WFeyR_Tl6=Jg7BQ@5lo_;@>hrTGJvW65G-iEaB-q^r4D)87;^ zb!l{VYS`9)%edvVN%OAP+jr;IIy`up^z31ddDl1Y&*wnd=14`b?590D%S_o`cx@2e zHo<<~iHE0yq#g55K0EnNTW#ekrF3T>yYTv1PtF}s=dYZ%yYtRX-O0iwx*7Y9Ijs79 z$mViu7jI4183Ch9rf#C8Y1?nds!f&&X<G4Q>ygFkt}J>t=Tw><d2}w%OjXTCZRNym zDjP*DbL=u7OgDJ8V$r)f6J1ZZ--~*5G5yjfw=0czWnNv0pIN6krK%>!uDs9UkkXle ze)ZZHPZtQ4ytpc{V7nE^g7d!XN@m~QbaCaPs=y-`*X}A=c=G0>oT*3R=d3plk9oTL zQx?yKh56wJ55>L7D?b%AwaHj+*I{-!v8!_<%2)Di7T`EyC3CBH(b>XO^U2*WZsr*s zcVE9RW%udR-pUt#yw3l8;>%HGe(l+eYV4w&hpxvncU*9MR=DiuW$}=vb!iR^PTH2b zMH$;Yrd(L0clpM#__dk|8zwE|H@d6+&opSVut^xBV5&-;_ngnh>Hc-GFBzW5<*(N} zC!eZP*CDuG@7(T>E2gx|vYw7nV`5-nVN4eHSl}Si#S|#@YSTSNkp@%GXd~-2E4Qs- zOt9ooywl~B@r7fan#-bhA!p)vmb2dZyza@LtUO<x;)?U#jkAR28Enx^Ra8yfC6GD$ z1si`TTfem0#C=t_jCqgzH0Ekh@alXo5zMIf!Mput#s8h>lV<I{CH|S&X?B~}@&7N@ zH~pJaA5)#gczfN#BZ_C;mlvLQI`l<h!|p9r+><Vz@Msh5nZ1x}<Jme^r<0FnW!nqB z{KKLCUti2sWXZlC*YDgGWUie#!?kwRUGsv~%Hjc&Cs|2$R@v#POHbId_@ut{?@+#7 z{5LH0EO#}BJAeJga^rtc!L*!n6)B;!xUb#roX*ZVr)r%|n40AAvmKjEx!8N-GalAo zTP(_CFvZ4np0dvL-}O5y`5n&-dnvOWl<Ap~aw@#ITB$HD<>ZWIiEL}sZyuVJR42tK z5a#4E&0@DJ8zbwL36k&DZ+xOU>B#wR)+6sjC*AnX(mcazd!kOvouh)ae|1Zhco(ec z&c1uU&A3GAZ<*9R_DGQeZF|9o(@je5XfHH>FjLuAEB~lYk)ZB_hIO+W)I3fy{?!ki z!N<8xm+yphnfYyo9dqs<%em{5P%r1E_{RS$Z>!I>!fVS}C8b`xox1DvuHuId9Su8X zYMK=3vVOIB+NRDK#GcVrw0qr`1MU;mgF<||90ERXkI$_wP~*>9+}>gwsAg<^#^u4n zQzFKDd%es)c0>hblt(A*eey5*g!@UYtuDJ>a+G|1F2AMMXlH1ZN@IAUoyx{!m+VhF z52k$BvhC^DBTUSBF22zhO&aw++-eg4;#3u)H+Rd+wlDgw=Xm!vPP*|lg)wBZ_YN`Z z6``(YBKivc9B^Em{(alsnO+mB^_gU!@E+A*m->*=E8}pt`&0eH(-(d7`R00=^sUe+ zGuie#_e9tFU&@_}3Waob=pFV>NUK`Kar)BrXZdbydAscIx=X*jx$1$}L6PYlbN+mb z`lda5;hP`AYil<qY<c%R!$&ugZTXRxN9;f7*FVoF3jcj(?xzX0T@r7ue6xHPAhBk* z#<J>0Q|%YW9Ttb&)Cj(o#Ga$GaR1d=&&(GjUn=o>7q33$@|R2bp}ibtMk;smYS$e( zAnPPKMQ_5hj*Qu6PMb>WcCY4k?Ri#Qy54QZvBZ>6{uPtnw+I>^ti16s_`!Fx`tk&O z;r+?GruiPpvCPOQ`f~e9ZDp+q|BtClUmjj({-l1ruIGws*-5c};r5H|9<a!9E_R#L z)B5HE8><d~&~oo*O~QLVe3`uA_|=@G<-srSE(%@p`<2~IX(ef|Iq^F*JB^CZZ8{c^ zGx?m2Wc-fbO|AO=vo~I;ny~nvz46*+n<|fh>|4(()a35eWZx+iUw%)_F}O}#r1NqW zdzMH^qss(s1<{J4?YUxd6|t^;Tml!oPTf?Ce0ju8`Dl_#($}p@D)BeeA_9Bm=2tyX z`m<A9eD&`cIigRJg#&{w{N88N$nz@b1E<Hm^m)QODgjzuQ_};yLxmTt6q%pf#j(%n z+}uFdM%LqBryD#zvi+dU?x_4vyLo@VE;{nE^zbZ;tBZb^g?s-lnUM8fcvjWiY5S(6 z>h|4?l2|m8wT$0jrof{kd+tBYs=4lE+>xKy*!B9>CC`XOkBa~4ozaUv%51cD1DE*X zV%N2A=UA$nduaS~?v%PZ?<)Jg>a4hPY5i7{9;MApIw4l<tayNX)t;=DUq>HW&rbWs z(7R8A!_nT&SlYK>nz){u%)AX@OcP}#Cxi!{d^k~N{uQSid+#&G8=m~RC99Hoo!s}V zL+nqcsjQ2X_m$PmZs5Ir<iPw@9eX{dRe89%NiO{UD80(GiP>X9;U+(eO}-XIWqiC| z<?@o(1J@pOJ{Z@Yx?453sF-nc_W$IR+wY?*9kd-4d_3BvUQ0#?H6|^7@A+tgp48bT zx4xwxNRPcKcCh_vy!Ek)V$&+;3&raCAA5BV|MbaB+R@c;Oz%J2L_e!T**#0QefSou z@?v+VukbIe`&kd(R$kqedi?LX!t2$wM|MpN&RU%9Tp+y3GuW%{uh6M<jku@)<`vhE zO7a}8Z56n1fbomVGo$%F?y)^TgnR!Arz@3}Z=S5ozVt&_l8WEQ;4@0g*msxeD=~AO zxoEiQ><x~!zVW|THM>oY-Dr1nLrR`?^?^rUPI3#f{&W;n&-iyJ)TH8&%B?;R$<tGg zuK1Dv(}saTx%Xn`@efiQY1<yOIxaa>n&q9AR}wLQv5auWg$J*7CnWr2e5vW-UA!vm zb-LZrqK@PR%U*q5z$EbU&%BfOHCXdscb>hyaD|ZaFIP?f<gfb<T)1c49B-w)w^?`R z45zB2FMR{`Ee|-G*F*?xC}cZ!msd#Q*r5|&_sy`Kkahc&<<-B6YS-Nu)K<Edy}172 z(;iok-~5#gR~2uuaToYmNp3p#AuVdkqq#GKYGp6@G5ye)CZKX}tzyyX=Ske}u7^k` zNboI-cYOaqBtr3lLR_NUg)fQkM2uUGuYK}CZq~FYexA;zXOH?4KiJO@<ocSrerDtL z`$w23MS9MRUv`|=;SF1hRBPGm?%EX}auTDZi%(pidZ*V%LuB*QpS^nfZpb~63JG}e zH!kk%zKdqpT>p3-<~#DtCHidrt!lGrNpAIFhojmJxVEggbUy#g;s)!oTTYL+FG*g) zDzu)pMro2nXPn5wKQ4*ib*G<cc~G<Fu1K4J<Q0P%45I&*%v|f^D(&7D+#8cuG$(bn z%Sr!Jo;yTKnr`u%$~<~mn9+N`(V&o7b()K%K;~k;=MG0aJ(V{US3c?%X|L?c-Xmjn z<>&f2w&(RYFW)m1<<8x;bpDQ;eSub0$swT};dzIwSaROK-BfhBCHA9yuwgf&Jm1t5 zowQjy-p4W>zw$fD#&|~vYn^A!CXc4bdrcKV`42?G>LkS!GtPXxx#_U|MuT~$I=}ie z1aR|Bx%6!R0_K17FRASaThUn5c5~9)X+4|1o9{B`^v^u^x`k_Y!0E+NOV>KbTJ(Hf z#rkDW*l%8Ut|Jp=3r}8N{O8prbtA^-_V!YXoL4QHt2#x&O5n!yqn|mBbR02KN{`67 z{rp+8nd-5lv;STZt*X>Kd*=It1#ICG`Ue!x&;Rhh$T5hg>3gT>y&0`R8<g4;J6|Rx zbxchWI-vLdYtW8myuY_BI5zLecgsclPgJq&+w($hir$21fj4sZCFWkNxM3Z@@m8kc zgn3uM4NJFwcMZc2F)=@MS|qfT$y4S+UFF;W1CFPb+^k1K=l$z^l_FUu|D*F;YJ;g> z$He0j$Cs<*2*2FrR5rQdLs*kqkWP}MrbyMCeuK+rPFJnko#ND~`S$oX4gabwYR(rv zgxs}S=yoab%z^NN%XO#ZzYEM+lKKABVivb^=NRi>3-^imo}AOFV}0BE?3c{Or`2M` zLEoSIDoQjO_uNqXaL~LZ?x(eJu;|?IEUETqC-o2hT5)8HQ?J94mN)W&33;uvH5@%3 zuCbfX`0-BgWgByeqw1j(7x`#3i|lYp{*cJ>L`bFAs&Ua}?Q<#zKjo*-G81p)SD(3? zEpoA^!K~{J(GSzZn&M|Zxcwz{!q3ldkMPPx&WT#yVcE*&%W*I{+tIo5Ld$OX3%+hM zj`j-Qyy*X+=k3l?SNYb<A61@ga8%zSCw9kdk;SxzqN6XOw(f4(&(*GJ6KN7#bySzJ z<mlxmJ!jmHYd<Zh`EmY)=*{z!ob00Nwl_O`Qau<yr|O}m6YG=Pc`p94UIKn?F;5D( z%RK|9WPH+{@RrA+!9m7gi9|x@&nnl3FcvZIhc9v?r+wNnrH)@#B&kNxRcyu_k-~x< zPJy1rPAQ6PXV&ih-!JN%xmm&Ijd}OP$R|G>t2UpIUZi{F``LX$Pg<XAaBOvLe$(Pu zHto{!1I2frWc6|AL`r>LaO>SN)3sfJcR#=V^fvqam)k$jZ1pv(pSE62@_S6ho+n&$ zt*xF*x&%CVYPRB>K<6=oMH9;wmds--^klavImG|6&&Y0X#8Kn)`zb7ua}zD2IW^u1 zPRbHlf9A4|TT0HY(yh7<S>m<AC6DhalzrguzpVe(u;7>XNAVvj(QSGPu3tq(GG=`2 zt)4f-qvmS4_RJE=^_~~|N`<@(%jW!Btk<^u&Q(>jA3W>18hdAPr!a=|^u%5=-SIfI z)+)2FOU_}%NpZm&x{I1`nU(HeG{t+(oUNTtyDPRSayGnP?BdbGns~N%PlMrgAFfSj zCAR%KTz>mdPHb5EDRWy!$q9-Qe+o+G2HsyRsdRXc9oy~1KbhN<TUN8xR^733>d<ao zXU-&eswa>$uwcid`ku)Fhnn2K9=Y`EL&k)U1|cS#7MIEwioBEQdiC_)o!sYrd<7yg z?W%#3{i=DB3?2paTCVfCWFi~-@73byM=So8%s8`ECj9AVt@vurJsDGXGCklp_cYjZ z$Jr#yfNhPT%`2*RB|R~2oZ&i+;mHD@G^K-X;?wI_zb<#4zc73)3-kR0Nnh&bEYqKH zIHqS>`KRp+*A7e%-pF{otLIPJM<xHt#5azjZdFTWcI;Ub<vxANeYcG8j~fp$J?-sP zRr9F2$P$<&tz;1N;YJ{fes_^an|OoL6!XdJrwQ|xXB1kqDBYEMsjw=2^_y$H-8RQx zOMkmKC3DuiBPs?V!jEcBW;J}ixpY_7re3ziv07PDw@>GXe2jCP88T;81^-U|!>mpW z>Yw;_Zg00%tnf=L3g{JddVBVe_Abq!O|Lr^7p>usKHLB3{+8L_m}8VJFNCRyv+VhK zWo5jaY3b4LubJN4NhiI1qW{K7_F;#K5#P7wZiT|aeBG?TcGF1~^@&PJS=tk~`u#Jp zlgf9#mvkXTe}ZR_-h(rS-;+g-ep<marFEC``H9UZX1DRY>9-AAeO2nVG5^HedwHCt zO?TO5ykI-X&h$RFy0yCIK=hO1@BcXsJu0+*gzS6ZG4aau)z6eIPC03Pvv@f7!>-O0 zrQ=fSX_HF6#3Vnp4LvYnb#U#|M@Czh&un16D)3K!<Ndeqo@7a@OnHCb>1Uutl#PUE zZs+T|hRj8uy7q8zC}>SiXr5)_#V+#eNM-y^VS8VlS@&6Q_-`tkx^%u3Gn=rniq*n( zdoSJmv$0<5qW!jLCnlHMCLevC&B%JjEwydOl7pv(4Z=CDM%XVb`=<3ZTj98!ze4b< zueCMx9X*L*MciU}>K#T(w%2|KCCW*rZi(;Yl@>hsZra-)2lS8L@6kCr`@f*!GB*aP zPiN+=&s&@;blkhC^DX~e|7WVkO3~c15z`(9L^++|^Y}4){+-zZPgotKyZdUdc)XFe zZ@t|nt@-JFa&L^RaJFAKcc9RH_lcV=|2`5Gj96o1f3xrN!#N60472z@x@=d!rO(AV zYw4PjBoWh=OSUJ%maE95-;C9`|0{aNznRIIZ%(|eO5WEOTP)l8C!;bX;HcfpA3MYs zy+5NFf8)b(ZzE~`=!|EcF)YtZq#IX!zALk$?(Iy?)7uP<8d|SUGn^C7=`>X!abhed z>y`yl+(%~zy!fUdr>s5UUxZHOnVjw&E(xB3fm^g%qKr~!%{wSGmpi4)@4w_aM-9F$ zk1Sq?Xlltx8%^0AFzJ4TvM|Sla__T@cfG`PN>^%ohdBiXWoMnTOP<3y_ejyP>^qmT z51L+CbF*=^;d=H{ZLj_2@0+B*;rZj&XAUIQGYMwuTxFZ)E1p_<zS&fDRZh&=<cZB{ zX`JiX`fdC>EUh1IiqPD1BGsfY$i*!Av!mR9{@_ccTdoKOxd^Q*c3om1bX<x5B};4c z_uVlqGn%p=RJ^eJ?VEW+etZ27lS}V3zBPz6#j9=zDv&fdE0HU-IGRP0%i^!_hVoKX zleHZthwEJPw;s~_c*69`iq8!aOT6z1XLuNj?Ui+$5-VqB{AmJDB<o^9_5e1y-*M?d zN1QnC8#rB9wc6{U)}t9JPsBG~SnQp+z1&Y~R*+?tvtVY|ymhj{9`=zr`j5(IJe4qv zoY~sZEmPhXrR5;ryJxMKXVd}33AqB5r@Qi)E`B+fcG>1a75|akWo)aZ1rE0DWh}Oy z{a^e4+$R^jtrH{|<qro2&#P4_efn*Jlj^_s6{Q05ifQ{MFMc7Usa3!IH@E7BZLS69 z+*jnr?qq4rT%}qQzqr6Z$NXs8g3a@`oZXluW5}GP%Kg0Gg)inDbE1^7&S{DD<-au@ zJ`^`xx!Kv8>c;;pFzeLj@BUL)YdfafI&nyI`TaidHND~dfms2Qyd`Fz_&DMGE45Og z=^rW^SKBP>Wz0RcG;iD7rBnPPMJf_F3@(`5=k+gm*m;U|*WQ4)8zz6+)9QBbF25f~ z(w(IXxt5<?XLefG{*myv9K%ol)7J2c<;#jZQ4{kCFFt)EV#*)QYpT_CjRzjw;qKtp zw!ig0%ZOWU$`d*J!>9d&cZ-BLozYGzIgyr@IcwwZ!{2Oz8ZG<t{-`YtTF10Rzx467 z`n_+@t;vj%$jK>xd@pedTjs;b=JM|!{-~XBuhDNy*vdDt$p#PkX3GdDHr+J{vT=`h z5vnk;UjLi3>BY;7Uh`rON<VC0J#+dt0kQoCPnh<xnwU9GkCiB$;l63vgPfLA>&vB8 zJk0FhPW?OENA34>rcC}$HhZ~e`RDBU(k*xQ9epRTTS!7c^>a@kw~E!nkDHr~HC%Uw zPY7nby5MX>XKUE)o23C$c3Ld(T()`Im-|nycj)Opd4Bp!TtX(Z#nzDHW#w0e%Ukw2 zi%I2vTiCil!~aUc!7h&VN*7-r^!Q>?;Nlk~byZ9Lq=_o~71#Z*^L=ZRjlZNdNj@t2 zsIjNqM*GG>D{Dyso+bAiO!-u{hfL9`@K~%U{YtuFBHQYj6BqJW-uS8*^pA1+74xoB z?Z$ZuoC}#VZybC3DR}=zGdpwMYI75dbep$T-YPe~)CH&cZauWP`u&~qQ&wS8esPQL z@cEwJGHc!=R#EPR{-Y&x{**lvZF|wb<m%03k6ll6JpLoZ+P-J{UJbMFIgev!yY72& zB6MovNB*WiKYX`MyvnjrXC@D?qib$1x7wY(NB6x*iQ}IBXkqx;za4BIqPs1RYo<?K zy)t{1(B?LGZJT)stVZ6pYhSF6Fc&x{X!<Alfzx}f$&nu=Sm#|lbKuX8ImdNR%0$0A zqLC?jX{(j=_B&F(_F*ZXo=nPL^J?dutPml?MKTFn_I^L{Kjli{AMTjbK1MTI*!xl# z+L|Zw^RWBrx2q@=ZM!|^ypBf8iVh9Gm}RFKHG4B0(*LD?dRn*kj-deSr{`%0{%AYJ z?eDs^UHlR&_l%=n@02#>l^<@Jzggkuv9v>H`c&<80_<*m7Fx&O)hXd1|M>Qs*&#pX zcU<~fkpFCLS>pp$u8(;FyeHXm<F0ME&~sYgiAb^q*Q8xGk4_}}XdKSqQqIzuE_PyX z?n7VgIXf85a{2q@8hH=Zit#pRyyYnp<4@3$ncsVDQsD0wd+!}L=4+qSFfXdRrO=vV z#jlEuW`bgAIWeCVm(Bb8iM1qJai8j)qkgXz?tWN)^~~m%2AvDJqzYooPMqBL%X7m& z^T&<nlkM1C|78XUb-hr^-oo<Br0;?0-*(QQ$L6iPHRba<jsngFi!PmaS}^a=Hup1; zr)qslc=%qhGB3+6*;=SA6Ynqgex1_wl8#vud6mvf$R1m6TDahzVBWN+OIh{S{kv=T zLCbcbwo$;VCt}Ht`&$@Y`fuH-(s^rMH=!YFO(9#&%<%s&xEbp6l||y1CFJxrT)z6t zbdOBM(VFT6l_Qf|>Wf2y<Nq#yZQOI?iHqg*{tchaj$ZDV7uLG&bz$y;s0rbdlwT_R zXwr^}U6fs%ExEWhSY?8J<3fJ%9h<7m_kXiq=&~R>n$_{E=!M)T)7Site5x(}>VfcC zqxV7_!uL40R@_y2oj7-iYaN3k|1=guzsdX+)4Mwx**jf56HaE$c~;B8b24H7q-in& z)%|a(jh8JfC~Ew5JgRTei4WUt)Pm=wuqU-GFo^$XdG5Q^p{q8Et#t}9k&CTg&g9wf zz<6<(v3QiUPuSe+0slS9diwKM2Q;Z&Nzl3&a9N0P$rlb43*nQzKJRsMyXrn34Exkr znLcq-k+sie(F&X8^N)FMUzzT)`ACA@jJKjvexJHGNo=*4a=4<rfBvc?&0Pob7q96` z;(ut~RW?`5UQ;%yMexv_yh+?|?y}$6l_~XcW}QX564QOPiwmxrM@ZxbZwNZ<v1f-! zYn*K%^VLYE1+(j&8Rjv^DseSWP*J*eRlq<aHQ4SpckG#;C*}KVF6u9>-yxuMW6lwA zH=gcff9<}hjuxgFV#lU#y3_t}Q;c1Y^TGL=MY$#icBtI?Wue+8uqwWyM~&?@s|3IC zRXO&8*n&uVl^w~BO@Ed;>R+%te(l=Nsy(b{Hq7#LJ{TlYlqOruvcsp;RDYLrRZ7Cb zoxlHY)=_RtKYL+fqsXa$UO@@h9_=z_5Xz}s#v-|L&a2bBoPKqtdrSk^_mxN(GxJy9 z5BocDp0d{pht?uduApbe3}1aq&+R^|5@6x5Jmz4oTgK^i4<lmtF1>O@ySH)gJ#qCJ zpBIU~I@6Pvo1Jq0lw)A~we2?9?^ER*SIDL-oM#l|=-N?j5uK&DOYv~_wtrTUAGHL_ zx#fQDTYLFl$SX_1|F1O7_vifYJ?Z;YMvPf;Z}6Fh_@;a7QsyM79N4_cc$wT=tr-_5 zif`J~rRe!$s-FMiw#VF#vmAFyuQ=Q3VpS7pc}pPQrp#Gg^15`&gk!3FlN)PvqRa}) z+k^{VEaW>H7E$1>dPC+=^x3tu8P?qS^7K`raQ_3fpL6_gCGXnhmZGk}J1f+#=zc}z z1pzabLrv?H-^<I|&Pr(idDB!d@bk|DXOm{Q-A<Zv|B%@2NhXneo8ER>J+PWuu3DX6 z^6kpqy2;mHSM7MJC|~VfZpP~GTALmG(Byse`$b}Ap?^zresE6sci1%FCi*W^_pZ|> z7JZV@vF-j<7nkYYIoSA3eED7`Pj+s{EBdb{s)l?x$j`*#d@gLl0|m*G_nw3|v*)_! z-gE!kZJ~1D!@b8Da(8OZPhNCld)mBCH9g~HQ)OFN?4G_T(|@E_5_{gaQ>*iQ!dqM8 z_O0r(z1}>1Qd1Fg;lcl@ypq@dG=AndDfU8oJ<H2UY+~D8F0;06@BgN<e8RnGhqaRV z$?H#k>|F6`l9zk?i&sKl8<RIA8!q9EaakdwyUm{Uy68%`;?)V})4854W;i6vc5sU3 z+~_6M%xkW6l|H<1ShQqe_|xJmVeVoNE*#nOllywPQj(jttL{SCrGfXNEKG&^jpj_> z*S-7r!LQ}Kp2zHOw_jiR_d=F(wg0}?W{11}s`u$|M(PS?f4lS1;=1gr|6+4b%(C>E zdG~$%{XUL62CU0AUhR=w5uAKk_j>J<unn?{=Vh?TY`f7tV__froxY`hQyunC-J#BO ze9o1nbIz>s<v$tQVt&xnIoZNtLrYqKX9Kf=`@xeT6JM2|X?u81)-`K?;0Eudf0pN6 ztJGDMnx_0pb+f13)_q(*{?%LT+oE-6B8$`2S?ezxKf8E=+4Vf5?0;$b`gYke-yPD! zGnLd&iOs9I_|~NEp?G~%fNbY+iSu(@%rDJZGxrn=cka#$&xH2!blI!KFMO9JzcSaZ z$V4sDb#@+$P`t0L^b|g(b$&`();l*%TIYB_&B}an^v+~Ohl-xt4K`B^s}?Xjy^a#? z{Jn1F^&Y41?p$Vl!C}txUg&<XJO52Xf9mYu#XYweY^Rp8$UnFs^;3lFo2LMG%kE>Y zddFrryBo7;T;n>|^GkklkH!sF=l$$b<s9FpA3V~p${8Ow_x_w$uCKktDxaRbY<608 zhoxh|rmH1;W^#oo#^l^$mA(Gx<1V({0uPq!{u7?{$>5IEo8{)8o3+_83d-KDUVQnC zgxIA`Ib0>7N7g@kd^u4ylfg!RQ@mrr8=iI{f4<8Pn!A1Pt9g_J>g1_^5V&G_bZS^i zh>ZPCU-y+tWfxtaJ~O?uVb$?TT#K{HZn~z(>D>7Dv3{By$4!UzXZjD@*Ct+IH`o}v zWbM}ZJUVArJNuU#J~*)a$mYc+Uk|g|yvy2r{zb?NU(q`O->)w8nDo>(vuw!?vxIA# zVinIVSaYzwBInM==NwB;eamg%n`E%O`QQtd#(?iEGa8nhbmw`+!SSR1SIX~S+n4a2 zHhIYQXm*;2ddv!sERh?{VcS2MhVUn@Sm0`;@lS59Nu%eKLsO17PFdV!y5LIEHDl)! zp95XY=Gb&cHg2Ak`jB7a^2ay7XGZ4yyEU0*&BI?inP=*?AGpHMR1)WRp?Rug@3fs0 z8uxdweX7@=Vb5D8^=ik$wvOU?*_S$}Y>8)MKVRUU{>%T0V|0wkQ@^vR8vF~~lzyF^ zzESXb(9-k^CVSLVo8Fimy3<>qHvimO6YZ%>A5V=IejXN?@{El)Zs8Ff-$!mviHD;z zL-Ya#4;a`Tn!we~=uy5n>K<eEiKEqm+e?b(c{tzaU;OXHzRETJHCe|WMeMq%_w8`9 z?IqDpsT`TWOy_T+ho`XCuRoySaB17aCDsWFOSwKhN~)Oiyf(&EIm&dN)I8^-qEU~p zu<TuQ`d@;^lr}9@jupy<UF&}DS;*SDCt7EU(QMI+3z-zmmgY9(wYR!fe(X_n%bMZ- z*3#;&D35mtyR+W&pBL>;eqZw`rF^Z*hv#N~cTfGkaGt;EY^dmDk2%uyT=l0b1-=UZ z`!MB$K{bEb@6+7nA~ut^rrJw;n*G&rI4p8O&Fz7B>E9sse{6rY=rTqx<eQnXbjHur z^649YDHQqc-uBk8;q+9$lRWcIDD*wP)P0ic$@|_fp>JcZWN+YD@<`O0k%cYa;ZDip z(2O-=V&@L_uKaOLVOyk>sQkh^^V}w?{H~dH^~@`$H;r!7?>V+FmUdN;p8ERO&+M<& zoce(p%jaJ(yOL*AvwWh!9bLD#S<C8VH%j#{5jlP?|GdtEjF7*-BH7r_cC#$k6+EhK zJ^gXzj?ZUi?O19hb!5L!T=AlKd+Bsne&f1_{Bv%sIN`Rt<^MFE&F#w<tqyUJ*Scu4 zt=2}!>ysyAqs+zBPFL>o-9KEOEb(ZPiir)I8NOXa`cv=zPwZXKW463^KEQV1y;$<Q zYSq>5O|G}>dejchRK8Gj>w%QC$Lh0@>iZt6Mz54PYq7;{yZWCSycvhr%I^Aq(30P% z;-Zz0GIRNvi<f8Z7x=xTSbdA`#Vu-1u^a)Rk3JcCc1^Z9{h#NOm~OzzRlzftomchl zsAbQYl=^v5#PWXQ6H*T6g3d7--&8xk@5+icWjUDxy)9pkI3#jwW!0BV*n2zg5Yy~W z3a7POt{I%a{;Ia(;QsUGlUH`;Dc1?-ux2T)KD$Xy!)t0#x8i))IcKk3dVlg<&4U0* z?&_sG-CnpPJos!M*t+Bwi=^$*Q~wuz3+|j#@cvcuG8M1q9}Q>z$X&{G=Frj^{Fg6! zdwJ;UYCQY&&VT8%qZQZIN+$bQ@Z9FwrPJzD`R^9{g)1ELEXAipC(qpRv+A%@5a0Tb z;$GEPiuT55+-@s!S$jF>sp8j_f-inXsl{srx@1@z<@vX(-1Yv=#NM8(Vc6m%x`K1} z(fq2IHyhq9bhVfB2no2Upb;K3DJyW&0vU!)`)}V1|9Mh;v%<gC%E>2FmdIRgU3NPl z@xHB-?rRUP)P<_y??1}rM!WrewIU`VLW=!L%y-`;#h$lILz(^rRamTV+&IypB7Y|9 zNdsSpiPP>rY29;c+r|x7mq;IwT3X1+)Fy3^B67x)Lz6jhdV_8t!$}ujqvwaeKRr~& zJn!-W=HAwoH)}OY4zo7BZ7n;qX!a4kzPfW|XZAMSQ<2=4+x~O|dyCF7AM+pQ7jAP2 z_Q=Xq{KPg>m)GZ6>Sh@o$I6GzzdEY*&YPy0&)8Xc<iU?Q)h`d<NQ<~^l#p1mK*amV z3MMX<ok!X<6AjweYKt%3RQ)gGr(ER(OZ{&Q))S7fFxcF^5pf|qO0!UrDgMqPi5cbY ztn256U2^by(B8+Lvuwfo_Yp>yrC%C6Vm!L0A#MX}YssyM?Mqv$W*@VfZek;BkQH<? z;NJA^^S9jPx0$xCepHnsFOwBD;a6~<w_-Kd|9z)SgWg^9NN+s$txlR_r@Dg4v<QK! zMmfe3o5sI&m#1ItPm-MIY2s=SSiuptT7GG4Pp61o*k0Gf<zXpR_RPWE`>o1O=ia~8 zy4>Ym`lY8k7j)j;y?p&Q!5Oa4-5Jww75wE{8Oz6cy<^5b&RfTOl^*V9-f4a3_QON_ zr|LHTTlDhEd6&nl59)HMOP8(a<7{nuYFFY>?rO!Hpux1}LCz-ew+jEbK5z#5?{f9- z3YZ%6Cvje(`^=uFn;+TT3EmX*@lNTMFZ&OlnQ{3?$H%@4NtstJ-Pu+bsH8q4)U|w7 zl3nOg=|->DjL)2SO~ku3*ekp;*9yGYzQ(d4w)_I0@UEYc)8_kfY-YCkK7VBtKOevL zk2&J1EnF9(td0HB3p+1dQ)*&g(fT4qy6ji`bpOoy$**hd_-(&OnDy?_6uA<`Fonf$ z>ANWA@>;iug1hO*m{}vOd3U@D%6OM6^YPKG9>eZydu$ATXhj(oPX2uNp#k5v^?FNF zem|3Aw0Yz|`$h7m>pZtxbdSpAwE8ce)O|yAdg?z7vpnCKH=DoO3(0+->3f`ot8u~p zMccEabRS&0#&$TCEBd0bUL=c#)r13fLhEL{==NLaxAm~nvHHe;jCaqO-QB=0arkvn zqeFj@B;(tS|C#0s9Zisr-7;yDL!#lse^S-jvldvlpZtASxmjh;qTeYWT66B-nzSg) ze=VbL(JY3pIhh*YqAnDCx+x&x^m^;bLl;@&`P?s0-MMM{?Jr#af>~aDEBL$f2xI(V z(U_%IS&mkE=q75t=-77gT_KCW8?$emh61XWI8I%^WYlx@eEl4&wISWlIjq`v?upDY zRyh*2xB2mGj!7NUpKiQ0_j&F0mEnF*=lgDxSu6E0Fg5P}J)0%-=PYSdzqW0Al15B< zV-It}J7<=I-A(Q#B~v^O`$r$Ls<;>;x#OgE%&&@XZrypwu~QgD8p0gEX^Q>4Q~Gn( zf={y))BR3AOp1@4n0j8SRazi0+D!iF+5P9G?cWtme_rtS`e}#gt&hG1?TLu|{X}q& z%q>Bc?Q<qwX|rHj^lc|AbL@t+uj?ef?%S#(*V$8V=92SdhA@};^MgXUQ{09A?~VE$ zKjpE3^{>E7Q=`I9?3igY=V||pDILPi7Z+<UJI3MaBxsZFs55PI)W#zA>F33J?lw8F z8`j+FmX!DIFF7T6Th{8_%LD6<_;F3s4lKUYI+r(3e(!=eGjyldFkJ9sjs9b#wo0NU zCVs8c<{Kfr-vkq`><Ck;&%3qCZNkHq;&uD_^Uj1xToX|{Ir(kYW%;)%1?MYIM0_jA z?=j(0Eqd%cJ>t2=C*4lN6DBtl#iVlTD%b5unNeKarRSDyS{NPVu|LB({ejQ}jgSU0 z&#gKk|E#~{oeU6o|K>{e;YYXjeE4z3dr9_A`<>@b^SFI-U|?8W@LhV>-DRaZ-y{0F zvlaAqFeR}zB!|9wSF`7;Uhk5v0(MLfI2jK9dULtyYfudDjH8vxnWkHqlTwZSjpy>L zO-r3A5b5;oZ}jEl%u_oqD1Vs#fqCbW9kcH72lo|ke5M@q{g8Yfmruc57yk!B@?9E6 zUUm!mS<We!WS&V-SX+LXNwDXH9oMP&C!S^gj$U59iFS3I$${CoT%IQ?%Lt@jntACx z)9n{^CnlK9zuP=VH|wxdtIhc%C6_0tGqYuHeN~$5q%6nxKFyYOYR#c*(oqtQa;=<S z+~>T!zHXV%&LstAUb6ZDhcCDllnNfr{JoZkPkGio-X*Ioym%_Y%B|Kcu@=<4|3<A^ zV4{Ml5YM!!5lRjjzb5gVuoO9&aV7JQ;DhX{*;Bo)7CZ{wx&QtBH5~`9A2_V$?ZcBC zA-uDx{M^0J7rxoo*gnpD6(~OO&M7Squ2nwWRs7f1Kk3|bYu(i<1IdshZ9W#J*KezN zTi0@aZ%r)HZ+vWcCGC;k`_uAvFTXSU$kpyH^v*ebJWI2GX{GV*ge|X>J7mqK$XtId zZuUj9_LS$M$xSRbR{lO?(9xl$A|k)??)SyMTQ)p;KiQmB>A5t^+dDSfE?7TVs-*Yn z>4%z>{=)P-70yEOg+J4!4X+*TXIo;s#Qv_Oe>}^I{b|9<Qk&f7-?lf*Ji7VkqdUw0 zPhZ1uF(A(Awx^8F<mbzdul%xc{m;hJ7i`b1JF)iNo<|q>{`prF?hjiu$5Q7a8^6|# zlEa77l`jQEJ^u5-&No=$;B>YrS|^-m)gEhQUCL5aaBbtI5Yu(8Ejk_yx?5sz&AOIo zcGs!=!q4W7DO)*~dhDHVByP6-)Q<-N;btcfWvO4N6ynX6+w$q%dG9p^Iy$@xcI;=% zt}>dv^uBO<fseOtwjJM*nTt4fNvsr&ecpMUqy5C(sK?vA+S!&_uzlscZS?-g&08Y7 z_Q*RJg+IT}GwpSDNXMm9diM&>XlH8*EPj4s(p|&(99AmRBfsTKs@~)c|1r&OWo5$2 z4J}vqw47bsy!-n8T`vmKoeTBU+1Mh47cCTO4Rn$gb~~MViAVbOrDdDtl)Jyo{FnHP zU%B&ZDucn!sDrbX`G(J2%lgQeZH8Ca#_KEgo!PjXS$=_5(;o5p3gPN2-+nzmC2-U4 zZ=&phpV!&0sPwA7w&}jo+Ld+sA56cge#@Srweey#W7q}8ApN<#`c939)<{<RvCNf< z&RLz=-;uxhir&uG6DC&}2eHeiu<R52m^!Pb>Z9(u$#=F#aoe)pyL((kW`em{OwJ#! zcW1MkoReohF<`m>N82<xc86wlu;}9NSF~9YV*?(xr99-4_-^l(bKN<BWlqyVKbAGq zYv#RP&GNC<K1<(Hze4Fl#VpY!ftzo72h94GY~8?a^s>E+?Uzrhw_8|-b?)V*t0xD# zywJG2z<|@vZtCnro%pS}OVgYGy;J$U_GC`KM&{mEwI>(^Cb{?C5?^(vS<E8m_x;!{ z#>>QyFSxz`*Tmu{o1IslOqCH9sdU<Zz_xUTfOlt_!0idwXJnRs-tl4XB?GC;l9%2H z9<s@IS{t*N`SOA#4=kiM{hjJKHAgPTH9@#5#(3u2eJ1f61l9OhcTY435PBmL%<K5u z#NE@xIrH7jBBfvV4o$yMB>E%ygYPNrsH~ERpi1krk3Ua4A{;Kh=+}#>OXko2dYAnw zN7d#o!O6-tKYY7B#RRYTr*N@nM!(R(6D!%us+UL@icC9Te#mvLNaTNM?mG<z5yAa+ zzDmx9>Hpd{xe8g$?qmxvzVrUm#C4v&3HpIME8hepG~^vNJo;~yfxGNvA?7y|lK-4~ zS$mncw(w)%<~oHi6K6NcNIl-U?Xw>Bezpn-l%MLuo*kWi$l;rYe2r=VlU+f9{A<;k zI77c*5AShJ5C6&0*y5m2Cwe<vY!bWq<eTTempqfI^qFzuF{kv;8BH2R$~R{6+MLj< zTlw^-NQc}8VSd%_z%U();F%4Fd?R$)OzoZ&b4qwvUd!m&H+9$bsD&9Gk4jnANUPSU zO<2-;j`wQL*$bPaP3NWdoPEFS;I$7+9PeK4kc?L|c{$zbxQlq!le2soLcxAtGc2NS znauX|RY<<K<KUC`XVwOIUq9AUp64B@++1^p=iQ%2O>ezwivC4R%5XH>Q5ZdCA@{D2 zwyw$Md+mC!SM>;Ao0YDjCiu7@a*4~9Q$9TGvTNq`b*OfxeV)8hb*XRj+17}}JM7E0 z?P4$z*URxpcXZ=7^>%wbdGTt^InsA`e9GVOq35OUgMwt9njPzyPbG&wQA{jMmQg=& z+lqhU_K0KGE-|=%Wm|vaVF`P3K^j{ZgU-~HQ$6nbEp=_rDhn5`@aJO7J+iRXL|utB z<3{_knXv^1rq8ZDE9rgyW7}IXF_*f_JD03nb}Gtw%cAe+=QPPaDa%_WVNmmYm({zF zNpC)LEOMKtJpaKfn<kf)8dtqrr?2+1t6XhvCL9-R{rQXaWS*OT0^jy6t}jp3)6;Tm zkW_s-+jGKE#o4b<s@^^L``HpBURi#J69vZ{-5kz&pW5jwE$O9}e&_V7>>z~+j{EzX zF7x$p+ncIp-Jf=5qy3+brBbQ7_D^G1ywCg7z+z{0x240BOMC)<x$&fu8CDk`COalQ zV)vgZ{KVw?e`B7X&*#~{n9A6|sj<pITXOz<&kbe==HJ}!bza0uMQFX`k^?SAMstt+ zX;pmZX|CF$aIDroyi2oFvT)BYrTKGSUJhp9yk+(GKt+?Ii^(ygI~zVHDwbJJJXG}Q z#J^6yBL<Zxg!b-od47$Xc~w!~^XkUHGilZ{E+{T+ux$+q4-%NYH}$ErSM$u(7yaYc zCa-*5&og`7#>HD_#Y~;UbI41)DO_9H$6=<y<+G9>j{n!2niIKu;h(9jYsC8B-1@qr z?Z{%QWiIQ!3)fa{y&&}Yd7aZMNoJ>`PLC55YxXXmr1|{pj^s%NUB42h6h_%zoL03n z|6Pr;q~r7@?p+R-tYTE&nuMv^c!#+fJY3$+DDPm9k>#(TXYRRkjtVE|X$>Y$ef7|s zv_$8F?$ekqs5ywuntXD0%f&nVsm!Xb=Yn6c-t0fxaO3}lCgmyNtu7bhvrX!a#I<CF zl%g7xX6RfHZtj`9Va*PQcPk`YH!8UK$Q+$`Uq$iI)xVo0o&}rv+;MIR30n9s<lTjZ zWw$O|2xXPfT(qI@aqF$+7o=CbUT|{hIxpofT~k+{T7JAg_L8eTUuX5tUlpM&=k%s@ zZ0EMQDaRR>lI`Y|^SZSz`+w%~sZ(0M>Kro<{^Z{BV?pbWKg;Jk%y$sy7ffWbTNe=0 zyPuC$sL<ohoXwFe&CA<m3Z}_vI9jW{O}LX#rCS(M{$Tyh0y*)Bl13r5&+hHs$!VMa zEttJ_*S7#}Gu_Cb4IBqfrp&)oxg+!B9E&-RYLm;VH(vbqsKLLow=0$Z`VVGxP2;X( zhDR7#_V2k~mQ&9bQ*fhA@owM`Bbic@h1nAVobK8_-lWKFzp>!+iIbK>QW9Bz5*xl8 ziw*AoYOZ8t>djnyze&aQJBLi8{ge*&!})VJCrwxo$TxS>3)7`Wmyf7RbqIEUQtMxN z@1U5h)*sQlBV5Y%Z**HeF>`kQud%uOYKiodJyuu$%a-rbu{$yOZdRahG1sr(!f$kz z?GHE>5<MY|p~Tbr(5`dNE0%0?$TVWT(6(8iF()FN%VmL5>bBo!R$QNR*Fk~*fQX~> zw!fAp`|g$*D82fga(>Rr?QIn)mtVEId9RqmnYA!TO8?@7GlkJt7tQN_8at1xLHotJ z$Q|ETekoqA_F43SdU8%-Qv37C%oBNJ<?SY@^a{?GpBp+sX`|!RDHA!SSHve3+)Td8 z-l=ZQxp$gpXcz;R;`eOsS(WTO>t9-KNe#cgW`R?H^TkOI%TspkJMulSHd}4x&eLCN zV{Xp<zvkNQ)0&r-yEk3p2;%O)tC!a|Z^D<86VIND^k1c`nee-zgfXaor!&uF%>(nB zU&c)+-*LAl$?K8B_JYat6|~s3->YP#cOJKXb(h<u`O*CZBSVAu12b+}=jL}C+WeYi z%N+QusBb0HxiZ7n0%r@!_X$%s<cXhkzb{nt=Ha2vPPRQQ+jtM8a<*6VBq{$1f7Qbk z5q^^GJHyw<tqDHTULuN75f^k13Qo|C56u+m`r}pc>XWI0yuFCW8~#Z*BP(ph%{ItP zo4&cOTVu1!)T`&td22n;I<4?>-!B7ozQVt;tq)?hc<$Q$Xz{`iF*9C15Br$;aQgqg zwb4n-zl-ahm$%|OcmL$vsoMnmT7qYsJ-J_V^8Aj&7UGxQZL;7!{95k}%a;8eVGNvM z&;9;<2#@-*a-NBqIyY;@&eg(QJ5t4X9~_a8v7Wx<=Zi;bqLoV&KUNpcy>Hvw^w^76 z%JJ!v2F>kl8n;B&TVL=^W?Al7!TXJ8p{RglsrC|sE{;~dD<|8uCzK~OCQg=^{-f<k z#OiG>+vf!T<Sh)-5n3^~dH)d;pQPVHyUQ0p{uICNV~=bQuM%7H{mVzL>F1ni57ghG z{yaZOXm#bv>L#&UZ~v>hfBRvWBKJk3{KUM;M>dA<`S;K2oYk8xjPGP-Z|+R7idi|q z<<Z@Z8zc&uTQ6kig-t8jcPH?~CtuD(uk|N>n0V!<WIWp|Q%$}krB_$&Z+x9`qfy|o z$+IP0Op6$oe$jbsf6x8np0dsrnjTXWxbJCgW5|E^PpG}4(a+@Cs$Xj_zuU6(vUjNa ztfnO|mQK-Wo%@pa%oG3Lx-AN6Oc4(pKj<H03ssWqI>{#TgzL|jJ6{%6TTG2MdVFJ| zyTIJ(5v*Bq2Qygz+z^Y%3pA3tCOQ3CbJavnxjA2*HVRegbF2=VZM{k*>D+6EIWA%h z;*)<~WxAo_ba&?3#)6pJ&ld>qsrFyE=+eY;j#F>Li~dIoS0C_5DCBymac=M2XUE?@ zwrNUGc1pgxeiGyS9u>y(oQ@k+4;CkCtv)Hb%XnAW`D=a(ho||O@12>;A~0Jn-lw4< zZ1a>>zRajOLT&4m;=kAnxka2ck#DV9J1tP?PX2~D0=jFGV|c@sq%-&%C@@zneeL<? zkjd3gJTJnYSY1mtRqOe7Z*St$58IzGUUx6u>BZu@NKCTXY&TceB-cX|`Z}hZGkl!3 zce1K*;f93^GtF;(xV6)UK}uxp%qL6g0v>(UlNT#1xvyZc_UVqd45<&qB7SP*e~w;X zHu>&$t!Ya2D_I3=F702zqnLML_KuT=0#e7>1$a9atjOL!ZThw;lYT7!$lPAu-hMCD zmx+P3OKvs4Y?-6v2^){O*-N@PX2r9dw==A3%zXCaL+2hFg?E80lMYRL{K$WMURtAV z9QSPz<9$6YsV6pWo)$25N9)pvx51tl+<&YTRAC5bOejq|RwSKv%_xqM!|}BJl^OXi zbN3|bS)EBfa>s1Jso*WFt9#;NPV{UP`4IXumuXpqf2_30BOgY_x4GX--{-r{S<-HC zxS(1;c742*^8Y)$S|7~|{5m=py>|U9`&2>t&Y5}I**9~|1(Y8B+UIaQ@BA7=)j~5z zp>22GOf;MDO<+xw=gNm1^-Zf+=&t$o!thx6mSv}{XFl5DePWWeZpxWuipMuR;8#js zQ7CKZwIcBInp<<t)A^-5@`DXb-|%P#+C6(LZcus7J7)PgW_j1Zy)w>DS)X1gU3Uws zGn)4*QFF$DtzEN>jtRGRp0rvvQ$U{g$d`z9Yrn01)R=hYisVK<{~LzZMo%IIQWUl) zN%1o8GT(FB=h69%lcK9n<#@9nZRMU6#Pz~;dBu(UX{u8{wcAfIFH=c(i(O=X{#>wn zo#nbb6)uHi?w|j?Sby1U2JeZB--I~78y|`LT5vFH=L=V{^(x0&7$!dvoKmUczea6) z<pC}y-6r3aD+7J6OuXLE@^iIRda~FVQ{frb`%fO;y;+&h^w+7CAO8kg9h6hDOk`1G zWzxJ5n<~-cZmIRaR?jz7<K4WTS^q4R|0|RPOz)o|{6f&(e=6eyhTwA#xt6Bs*G?~B zPkG4Mv1OUqUT^+I8=c%@JtnOE+o9;I@}}8(zCM@7^!7ieGY*AyT$anz=QP|sVO^x~ zv$n>+^2go$i$3qPdCGObMEH-}EM|pY=Xq8Jyj`4^`iZe5p-=CjTG`u`oXZ78H>V}3 z-CFc*^@-z$cR#K_z<yG-?NfH#_A^euth4i?k^`jJOv(*4)!SWeZnl}2^XP0h%Vp`3 zb%v^GPkoxBcpAlRZ8kbizUp_TJKSULs+nv*-%P6#6F7BvnZb#R*NuZ#uj1wS%bQaE z=*;D;Ef0%wa-Y?#c9?PVelyb}>F22r_bb2u=#n#Gp0t;y+$qUlg6S+j|0!A}9`;x; zZ_n&nJIe|DX>HaPIb2?UeBS(=e?jDe#MdPzJHO|+hW@{qP%3i3Ch?3>LH*qc9NNzV zjNi43S+QC%OxP$n|Ff9-iP<~>O%uQ0w`}x&Ve(_y{_;;N&x9KB9h0*+*|Q_8L1e>~ zrt2^IzlF_a{Kw(Pzir|7h`#MNf6e)>xOM(P%j+vVtRytob?lBc%(7#26f84HuvuG^ zvvIm$Zf)_R8Q;>MKJ0k^e8wAP=czwS-FN(myES!j(Cg#5g^$CIyLr!4ea5i2LARx$ z@BJCu?sDE!dt()~8<KmD-3_rkx9RmH-sA5$Hm!Q5(INiC?Ea;@#}4lFE-V+cW-Yp| zpYfvjaG0^Rd8p@?#pU9mGA@6n{rI}Tne*_MoIOsbE03;GNPV$e*F0(K^`o0JrfxBA zFPYCER(|r;s%MdtghcI+>PPuJPMC0c+Oe-f4t<X~{;cKI*%iCvl|r2R;SUoxJmY0O zyV%K`xxi({mdE?J+V7e*8T>in&i^jx{L*0IUY+gBtJ!-?^R1h8eLuW*`8xgLa!I2v z2g)QS-u|rcVF{~hmq^^ti2^B-=C8gjY-``^xV8M(y9I_ftczLNnU5?lY_Zndy!O(5 zmX_+%zrz_0AABvm{7yRalh@1lwcR;rEahG|TcdsM`<<$5ECTy4vFOfb@fO%x`623V z*uMn6vj=<c*>mOWF28<$_vG(shHMY2O=i5W^Plx<Qb8s|)pC|4T(1MByLw$vQJJ1R ziC1jyx7<ZOTl$6ECVhJ+YScQRRY3oV-R^=pF<0BZ>|kfj{-=LU*FkK?nJXM@PlI$1 zFFfWg>8~YtC-7KGNlN~m#ANQcR}t5CH8%IVe7fxY@P(lKL)oUK3->En<wwL@eOzv> zEbVig&-H{+(c*Vf6%YUK>k3g3>O0~2pm6^D<Co&q;_Y0nm_Nwv`SamqZ?aeA4L;|6 z+x*sTy{`NB)<dl|{P}I`9=zDMTziU#ic;h%`P1`)igxc>sr~$$;?%D2AK9wkI&b}+ z-@x$vc9Xcns;cT~9(hutX*(XIf7?2D_DnYq<@z>#j@kQ!mduzjJA<w5q2$kV#V&Ui z8BFIcTQTEX;{L7qyc*0VUmmhZRZiV_>b8>99{WoiAKp&bZXz&Awb1iT-lpJ}KW4l> z$eQSOui?s-aJj=hXM;ED9nZh9pTqs=vQOt(R(2I#PVvi2-H~Lpr0$=Lk5WsrX%45o z>iVmn60R>h)#J&?Twgga$8q=mciUe`PwZK1`?1*SRNLLM)a)$<mtQi(%4rzMJYahM zH1_Uui&v?;Zg4*G=2PNS`u$Jl`NQvi^U{@HedgleoB1<p^G0^7qrKbY|9#%{{V9V_ z!DEr*OLnU|zv1~+;P&~vviO<sKg>Y~9=2Ti&cD&|@qD4Z+hUDxY_-$Py=`>m%#PNr zp;!7``@@9v%A98PIh3)rYNZ$P*llmCZeF4te97rUn#DART}4T4k0iN;m$p7-G4s}w zvs(Q9QozAeH}`+9`|P_YZmUIM@rHjvY-S%%NY~o`TpKk<^}0}qu-cvO4J><3N|o*W zVyUt9o&UkZ*}k1}D*G6=|5(Iewnp{EVfM1SL7sE|8vnbcp|N9e&yxU+v+-<3e~y0g zxMN^Gsq*2A_HWN;9%%BopRQIbp?lQxdAiJHiHX*g3N}-&xQRa9TGAWxTP5>h5pT1z ze%DXKnnKZaKaLl=&2f}9ow@%?$q$K`PoY1U99CAnEIWSB?YF1znF;P+r5Q!PtLQ&C z@|^$2g@~9oR=vB<v(8Oy=2hrrUD<wBq;5@%!0(yek5247lEF~)`2IwxOBtu~nl9@q zCfK;PW!kpbtlO~2K7(~vS=c(qn$8PbT;+ME)!Jq4op$QjstYcmx(hxP`?o4G7fo_V zm?EuvLC);I*^$0V=Y)>QvijeH-!vE=da{b$*f7V;u+`SMa%)qn$gxQVTY~a-SZrI| zT+lgH<DpZ*<+j87UT<JBs+#<`>q-B?-~5KJvn&6(Da{EHVhcGeuw=@~{wGUhKQ5bJ zus?0Zrp!+dMP*%+MFdZ(7?{L7O<q`L^5IOgnBZe64#u`~+pk@{?#|P4V%~Z~tJTVO zJC%8&)^Shtp0$1ZW+w%WG8yJfH3o6^nzaG)mdECO6FXW|Vmx8W_FcIe7qdMc>T$j? z5_+$@YRU(8(b=CLZxl4n%&t0O_v*>#>UlG494~V3nzuVV<lzYspDGQvr$T$L?ECiq z@!#i}_7`~<mP$F7<mH@J;+hmz9QCTV#UxuW;;hxKD5s<YGx~+sl|JHM;IxN-$(-I} z$_h^vSiBDf%<gFJ*%Gd@VB^!9?OP{qkXzGLGIeU){5#>#O?uNcgyk4y9473Z&B*F@ z^SRWYrw2RVaBkvwkS-e4BxdQnNW5xC*BzxkVfm%S+igDb?&vtS;Za@Y)_aGSEcv7P znR$=UiiA~eRa*HbH$I6oU;g^#;M8BY{;c|F;Pld4<59l(&5PTPe~;EUVC^GzEyF#D zN5Pgmq1RQh{cC^oSNX5i6RIc4ojZF<o?HDy;N<O5_n5Xav$C?UQ)S3(>8QGPjB|so z!n(C7OYcS<{r!Qr`DZVms0+uHfW=NZdA5_9)&*^!X;(f$J@1;`l1-cYuCx7ZQEymg zYW&CfcJR`|jq^V&|8mJn=EbwD39MIZT!qhQh6!Gr#kc5d%{-aqstWs8?bS`plyLf@ z`5<+Z!jY{<rEl-}>cBbw;JcXD)jc;(xh^_;HSkt|<oww->AZXs4=g(&Z0G&-`hC6! zLUZ=rH8q;w{m1s6oo>UU3wLs6{*n1T!|Q2p^gYIY!|ddBQGJIttdH6vpP}<3r$t3& z%5}fHzn}7Ll}-9?l<BpB>9baBf!=48m#6<HsC-G_s$~BW@zdsGba2T>9&w3>#&?;o z3(o7*OkNoKboa$OZ1-8`KhSV5s;iq@z)-DY5OzZT^2DMRn+lg09VNEI-<b}*(lmG| zViXbI{nFbyzG%rot+NHZuB#_%XKdkI(sf}1Z*SP0j^cm`vCXm1*aMD8ST^t8w#!1h zI_JveFcs(Nmp0FxP|mbJ(Qtvg^1TlUl?>a2=3C{S(bLY_T~zg?`Al%zr_5<K)vvF8 zw^3D!USug}b>`=ZUo-iYR;$i@&73!NnzBkC_m^*P>SB&7_3K%>His-=SnavkY4I$c z<=eJA{xO+R@0rL{U)9(B4JRDZ>}zMOT-jvldW}8hjmxe;POfiBtL3ejFMUkAJ&Avb zyTRK^hh4(*eT<)^q}}S?oqoLP^M<^$rwp$$<;L4DHD8io{4B#{ac=wn<pne1Hmke7 zh%kH>*rEEYOfLR<)DyF^jLrvZF2yMrRDIamBCKJ!&qwd$0X1<OFSTM`j$a*_H6`h_ zjvew<cTVlM_nmY4ZQrbkx=aGIUix0JZ}@3tx6(e~euT;1>p%KZb)7C6G_jO6+pfBK z`$NBllMT}zyJ+({uVQQrKZ`W~xb-YFVZp!Gk^9zjdvrR5%zGKl^TX^0H*e9+lfgPO z`mIeYuCm&=^G<Nd@q6*5WQWk-!auG1AC*ZITDw%mC%R8<%%3`4DgNmv$=s&f>vkJR zv7VK9x#+>(u$75UUjkxg=ggJ*?Ay5Me%t;2|DKXE$2TvyP!Z$1m^WumZ-9^!$Bx@7 z9{xG&_P+_b-Dw;8_u-WYDet8xw+G0%J<M+otyv%{t8VY3{^!Hi%b9s5x3;QOt4Qh| zZnU(Tm&(X+x{k#_$Ks95LFooJ`>KVRE#I>LTf{mBSme+6IdiS-^5R_+x?8d>m^*K5 z%`>Q2P|cy;Wgm4tt-*`w-J_UW*Y~utO1fS;mo42~bN6~o#N>ce+HupiPhqW1*sK<{ zaz@TFcT?Sy`VyQM8B@bcUu9Zel*@CIl(3v@zhKU`X)Is9{Ca<u@BiK?W|m#ooMs+i zaOrutd-?m73|qJ7uAKIc<rIrbouG`ujLCJcWzt?dC)8<Od)fNRMtaGCzrq_DuiUM8 zTF2eJQqDKLuW0STyt@s;GnnIxHtb~mBAaR>+Lg)XHEYqviYgw*{yUYjKV^Jk{?3u$ zU#VYs@sjIX=SgQ66FSbGypgvi@XED%j`iuiMt3JMl<La|bS$1;uVgx#wMK2ept;{E zADzI9eLt=nDc)vTQ<2t{BmLO={cZCj7AdCeE-uRVWzS4A|IXeb8pgMOS5oXGrDaR! zcUtOxIVRB{vpoLr&J*XGSub}iS6(*buZD(qPMhNl{w>$q+fu(6q}<K(f3-Vf-R_It z47c)vm01tEY8byvUF>>}WzyZ#{j$who2K4a>#=)5%FUmL0#4-1t3Ccz_+agI->J{1 zRc4=hl6HE%p4h#{xzQJ0BJbvFUft{=wfC7?nO$w2g859j^~r`0_Ga#6DR~%ba(sm( zLw0qb>FF&TGb{Mth8Vg$obg!dRIuc?%*QF&l?Ug&Q@Q&;vgPuTCacHQ>$#eH56+O_ zWZ-|!)cCfl@cu%FMXx+$ZC-F5*gh+Zed6)Ef|vcn84oeMei_Fu{fgJib3R8&zUS#R z%CC8SrqwT4&i_D%DVM>*WRv)^OPPgi%QQllZS`nvjtXT-lb?G<B1lFw|5oC!v(tLy z%3?Paudh2~Sh4!UtnZqddqYmS9?J>2<n)ta0{fxH6QV!bEX(#KGCECPZL!(xnudH{ zMrzaAygsJ;TdZA9JazwYPdRG-j@t_k`qw!*WgYmR5Y1KpIsEwjACYO7XYfxvQ&1o0 zG-0!o@9oz*y;9~1lbU&E9&uUcvTo{c4QmDlr<Xk^d@HXtZ@IZFa4)A^zqfP7?hWbJ zUmJAP?0qb<_R<}3ACEoqi%&95=W`M5JlSyh0eh<dm-nmf-zzNneLL&8lFv()Km|6= zKQmjbu7rDEDct?&cGIcZk&^L_BI#EWGWuosHKh&S>6-TO_AZfBb&-`UKj>4gZxU*? zXZnkhe^wQ16as_ucRV<L?268s>)$5HKKc1!f*GqtCy&Eb^}tF7O<#3AukEManQ7&J znBuolZ(4nLxYfsVdmf%yUTIK%_TbV(U!LiH-=TEgu%368%#&=PBd_A`M4x?h+~HDj zc=a}mDS}te+>l6{SZF2bV4}u%b>eF|CzZM*8LDsd3z#xY>-d!XG#}XM@D%@xX)4kV zPHNG5e2BmH4TtB`{Jexyy2*UEw{5F5>+_!8yrOZz9H$Kn&I<ksOg`bE%5iRqO*i+( zjy!XPtWCEzGiDy%+^y+)?%}PX2t7UC<uT{B)s`&y;W?vIrM!CwmnC;%iLl$RRd;nZ zGVY6HTq<|sm+<y?hZq{_op;;}Kbjx0<wTWv=_lDww;%rK^?zb^x9dxh=gAr=$7Omm zqB+k$jPd-x-F@M``}zAV8k&wj`rmj+jOBlpnqdg<QL)hQ$%QI2`8CbN^HXE<w%Pj5 zo9w&C(09_Zwr6D%xGdd#&9`*(6)MI)__{7@3Fo~(5<MJOav2g9)nEIcEG0R4!&J$4 z5Bj`LJuW!WV0_MerhRk3$CpZp%>KLzo~dgLWB9Cntx~>b9(kAanjvX}!s6r456E3( z{qTYLl;5gVJA7^%2(|V^$i@j}s)>j{idfYz=xMCk+-{zG=!iw*!jO*FF|6qcf}t00 zo^F5s?U0!N>te|-_7WwHen%9F-u7;_l3Wn|D*7GE)y0lw+vYE2uGx^Ucu?Va?zEdM zOpbSi8w>r!9L?9A=Dgus_%U>G=Z3=v`tsKtKXvD>?}<)v>$k>AJa<D&l-J1{s$Dp~ zY{fT5v*HyR2AySZPi>K%&?v#i!o@ScblVhfyT5i^wE}x}qUO8(*l+C<BYvgjd(@qk zOY|R|Rc~#5e%bd@LsNE=WUSPSGj6AET$pm|I74mF;<?vP_`UL(f7Qix-p)JDeg-`5 z1-}GavX;L3aE!nB)9fj`&NfERvsRZfi8$&ZCiErMYw3h(ClgKEni6-IuN7RAFMa&P zhAivnYBMJ?Y9^-LdEz2>NL<|~#`nmJ-yFt~EXyZcP}K8?JHGhh+s}-uZ@LcKbSNpN zvTfV>R{I0n#jOEr53zIW#GbA^y>N@yoAo*F;b*qqZ8j8elvkhrP%`Oeu++Yzy&pT@ zdTJQ9D6;J>@y?rW!F?&`;wyuIG@qvJ3B2yVew|ONn`in~FzT$s_o?h@il!|*m$$z1 zH@u-)qY*N(q;sb(`!ts3H3ol*X2)uZzGa_Pm0R?9{)9t&MawvyMYj4MS?8(}q<Z#E zakQ7jgMRN<9im@WK5)!(IP50(>)7?8D|u7?aYa9<30M4Wv_jP2bsvMy`yB5XeT&`p zPw+W1=i4Uc$pJdorP4jSJL^{oaAkxoj;-~$wd}qofA<ZS`Qe}BL<M$Fd{=aE=AH%y znFqeNE(b*YFnV?0{k(_p)%iJ>*<%Xxo-7hHGV!|F_0GrCwsN(fU`rF<qk?8H{-efb zdu0;u>tym?WJ>x!yMEF0O&eI{mHu56E)WSl5woVW>&&IX<Y+UF$MIf~=Ckfqq;s-M z%unp@{=vFEJy4!|w}y0#TyOV3Dfy7n?Sh8urgNM(uj@~$tu{?G=j^+AP$D3qvi@=9 zN%l4TJ(=spKFrTZ@9{a&B$Ve8eCQKLiSFC8OOK07-%@(paq~dS=@U68jY>}Z>TJKX z@u6v|zq(n!X(%6$(EXn8tT(da-L{J?aWZSXvDLA2!h%G_u5}p)iz=cg%(75Al(J2u zcJXnK{P&k4<~mL)V_jDM<L}9}rMK=FT$m%Rkp1ZPbC#}yt2R0`tX%CCl-hr@T`PKT zly>RzQUS+G^L2Kt(;vT_d*zXcC3p7HmeX%1+Fv*OXShkrjaPW~(VL8$TwP9=RNnnz zdiX;_e8!9NwTDAGe;c#L8{D^xx@&%1Mf+-M&8(x57vG0C*C<%09Ig72tnxF-UZUYw z!TSu3*O5Ma)|FL?Mw>(<jNS<}ZC<^q?(&~k!AlwsUDnt)+pS(j<H9>v=Z*Ws#Qjqg zyyISk{Q4ZXtL||0q4sz_Nr}5#swdqu51AetW$e&#;P2-D!6k3F7dbPmTl8H_Ipe(A z@pb)A8oa}D{-xdM4)^Hm={<5FhvV$Jvwosa;w4xQYPkp;i}UZfQ-3({UPS!qdoQ|O zMLgHGiZ&!V@Jeen{+W1Oub5FQzNVywas6)l$L7};36|%t+VDU0bA#*Qj>T!$Mg4yK zW#`;Ivx)D(3JopAA0NY6va0WMewihgyw-iLG&9et0|^EFmGYb%s+yCn8x4NgG57oo z%}hJ-@h3~TySta*)suoIr*CVw`5s)g%5#796`rpB615%PQ!f2e<Pfo~d!+W5{r(Rt z{nf@5M~<Br%E@-0eZ$~R@6S0BrbqN7-~FGx-So|(dVjkqpDmZYe6giU>54(Z%J#lV zS$VgZ4|421Zg**o9(VCXV^JBeP>t``Sc`Watk+>I%RM0VbMH1q0UMsgD)-kFS=$dC zpE~D<UP$8niBCKi&k)tFE}AMOw0EOsqxGaoyuC%0F&Ce7?4MBH^<VXpWs_gdTdxGZ z-hT>;$22Y{EiT=^t9EnRCyBpHLpHQ1C0<BZvf2LcoR-=lMurI$5tqse9y#!AmS3{D z{b6^<mc;ERKCRp3>Ns(N&&^D|HU`c|VSUM8YN7=jXBK;2^i;ScBzxk@&9&dBtzTi` zwf*z{fWv)zo;>~cdSP&I<}UrRoEP^41xtDV%r$%Q$EMNg-_IM_QPXZtbKie{^X_v2 zD(*WbJ`pRNrz-T%_ZL^k&mJ9Cli-DRPYrK0iQ4mR*eqmwy0h;5K5zE})k<z0e^=GV zHXH5z|5$m$iJ)%nqPzw3Ow&`0tXAkRw-UaSDXi1D;yo+>j%fKc9$Eb=Te(7H3KH%W zdu!jG7JrjR?EGxqtwPDyW;>oq`yaJy$Hz62jAdIgW+i>yct~);Gd2IY9J>lE?(9mP z#VIN(e&}#r)X}2ggP-T+e|s(`uwkO>rR)MlUf-umew?oMi)2NYDzrx*kz2m@MD#l* z^>o=%<{!$hwK{@cB%KcY6r)u7gT?pjjZ-E21#GU%wa7@gy0-eAa}YRD+NfmkKlyMG zkFD+Y;H!5n;+)f0xo2;=7he;2P_Jw2#aS0#{yeg9)8X)-lM#V_FAVwgT+V${6qz36 zbjREODqr#DCBHV_*j{VXb(_U?jibzwJlz>}?OXThK6h;1c5>^?^$B+zuaw&F**Ukm zS;E}6^RP$0+JPBcQ`G0*KfP(rw<C=&&O{jOura&$Iqb;q+jfgC%+zYxaO=Lcz}?i& ztZR!L|B3F9mtF62Oiy8k>2j81`=V7fH!Kt5UAtrB^sLBbB4^g#Uhew9HLW)1%e<`z zw|@SZGJ9bNLxALQsjMUJ&sID#p1^jW(Rg~sE3?nXeg<0}6xf_Bzfry0Q~K1^tT+4t zCl9O5JfF>`A}si4mDF8Dx8K(fZ_sjXS^xf&`{j=C20gnHub}xBC70&h3U*ex_54ZD z(;4a8gHt;gPp<Y0YJ46V8LZ3}wKV>>i}^(R*Q}pT%?vxEy?CYO=UGednKhog_j|*d zpNRq$eVRO>!U4BFG9J0KDRbS6u!7J#ceL%L7aLeTKE8xk{Yu-Kdu=i@nmtT&6qZj} zA-3sTz=3V-d2RM`zqUq}-rctHY4z#!;<-j^@;^!KVRSBUPgyQ$7JdAqH^cH{R?<z1 zKg4W|Pi_C9ni{A%JAq?fdxDd&)(W+?w#$#zyX5K@uX3EQuk=*+2iIpQQ!dZ)O7VNx z)zKK?tu<53*W&$Q78SNk+ledeXLpu3?c8&-L?c))i9a=4^_6ScRVT)XHU9B+lesEV zs$O21k{_XMdOAZkqV;CtO@<i@yYK%l%JV7hUDK%i-{Bo!@Zpk6FI0LBX7|~C$$fv0 z>9NbIgSJBTG5gEda(1(bRL)y=`(&EtwwHOfF@9G6OfE`JicS5zzm4m<z!vMKz!OIE zeoJ1nWGVY@{(yI8cFy9UO5>8x=f9L+;oSOgg{SuVxpC7MoXfV{GUcn-{&I_7%m#D# ztAfO5v1jMlr3yRQ2}k*;_vU8tDg2Ad=lH*6^9F%c#v-dbIIR!(T{S*Fcdm)6QQ=#e ztU33L<IWiW4mfo|KRb27thwTBh0_kdnCRU7_UyF))5-g)wsXFg3=K74*~@?N0n6Xr zTwIQ8{wsYFFN%)2b@bl+=N}@^@0%~-^!!2gBdy#c_uP&%J2xjOG<Z*}eck^$du}eb zz|P1zX{~Jm#U2adp6u3gTBDW`Av^t1>y2r(S2zTZKMPDcpOay*S#r9Tlig;9A4Qv3 z+3rTKFze<{Wmv^DxxDy5_-(&K{BKoxr1{cJUKBl!JbL#2*BjB2H@BoM%-bs2<-9p- zQs1Sp7akj(#A5Truijm@a8)SR@20Gs5(UftFs{)zzx!KuhpNY0mG4RqRP8>^{mfhP zP2*S4=3Vs<_k>ovx7>^~IBw!Q_50Op`Z3G?KA!OVOeFWg=NV@uIG)cuv%GcU)Pgym z;<!(<H(X!l@}TYP%>8QvpR&ENo7$#vc12Zg@{K-24YojyGyCFnKfQ8R%}_FY#>{+b za$RK6Z1q3++*8V?KdJOxl_cDvTi@l*;>;{O=e@$_u<z~%p8n@N%h6yMwccj?1%Z_v z+oMk9b_nWSc-WG9b^*^Vmwg-dJD$F~>;Iv)?uyq9qI(S;4%9VDxGimQsaJQJkT*vm z_`Q)_vHLWarxy<_mA|Vw@7BegFU4|aZFI0*e&m_Zjh1}{UwAKZGTwPC5*-_odm`s) z!y)%GqWk9llJAdmc-h5g&2=tj4pZ^@FH5^_ADYI$avjgpo#K}?&C4fiI_&%WU-8U~ z`x<SzMRSs4Cid^We{rel;}3=LCF>6CZ0S+4spY6Jyux{nxoJc9o2G+riYA-3?epo5 zo~|%|UYOk7ny7*%o&LPkO1+Ext5<$-VJJH;^G%)gPuk_U_9v-xe=A<pI5a!U@7CIq z|Lc6N9qUwY-DN7vtrql8<D|;3L-}$hOVvK{l-xfZ8>94s>15Qg+{Y>WtW|o&>t{Y^ z=(yDXQ(f%G<b|(y>ao9!%s8Z-9G&^NFEeyUS4z*Hb#IIIu`b(Id`;)$aucnvzcFhr zm#IzVJLeL6`15*!u#msgd2G~TZY|mV-#l{0E%EO&ESBZ;>xtC-YX5%l*3MFUiR6^a z8|&jY@3)bYJb6jfcjG?KduN5dIq#XV?Ae_o@r<_buI!x<{##r0=0WorJ8JW~0{q?- zYW#eEK1n(?cb<!6U+u#X5%yJL`<|^maPO2=P}t(FN9LUUaO{E>vuzQt!sQhk(>69M zN&OYHK3<k?pfIa;lgFKzVzVm`vfVAwi2FIcOtMEaM`H5sudV%WqjRL~lh!9px;`!9 zPrGo-*?|73=FgXH`;fK$N8L-tzMLC(LfY~^p7UbguXAK0=Ueky#=aHaZEtD?UVIh2 z<G+(fXOVBXU;T#{0Z*lm_bM7z@iDI6`6<l1*HNS=EJuFz20^El$=igQ@*6K4ys~g+ z>nsU|mCX#%6T;ubilofAwAuUi$5|264sR2WeeLnash~H+QU2X+mK5{+`hc$)Zt0sp z^xTa8efgOM<KN@w)P+LzX1?2h`0rfbj}7*Z=Ls&(H2$&buEXi<H>Q#k1h@{Lm?NOz zRO9*}q@_U0>+*5Ub<z@If>U`F94edB*<S|zlo7llx9uw1Nehv5K7((^WF#JF-iTCr zbhYyCx^o8v`ubRNCTvWWE?4@!KQUT!>8H6a^6IZ{i22{BJZ5ic?;~V><zmG8c&RA; zW4+&UXLU~f@xbJURA<JcwOrSg>|I`7i)F}cU8^s>db&qQzx5s2`1UCxTouP;vM-6< zVcD2)G3%$r!G=uTJ3lrkeO<%({#gEsnu81LsyMwGx4DJgX}lCVY3|&n^Q--D^*P?r z6E1pHukkbD+J<MVUE|JObyYhPDt26U?v|r$%E__PzL)Rc>`A%U_ubTx$us}k)crGz zJ2|JyRF`&NKbO|H_M=r<$>GYm`Fq&s6^dzBvZqd2$==ym%~fx7B2Go|j@v_p&0928 zT9V4ym(Px1edXPiU8Czf_u)dRXI0Fvuj;pm<ey%?xZ!c!q1@FeJll^<Ue*||6tavp z=1`pP+b;%kVJ{AzU|rSPy|-mz;3|cs7fvnUN{y)#Jbcj4BY<U@*4eijZFgq9uP=K3 zwsYetPEWHL`fbN*Ir%>b+ScrymFGFfsL}Suf~~1j8mCA2-4aW%o14HYs`*EPi&uWh zTz=CE_rh<@b`KW(5a#rle_r9zu{8_|qMwTP>u<2~^gAhTm&(vHY3<R-_E#U3HYT5s zyc2oY#Jt;gvy1P7xhzemD`!u9GWpN%U;Q#gHd4(MD-RqvbJJ8>xoP(NgZf9N8Lz(m zU(Vj=@5RIOWh8{&D*G`q-TV1^a_=eov#<Bp&v!^p*?T-uYKE2lMr}L2%)i<;?PpK# z`I`IoxA;b$Ps00@Iy_`&T5~?g<nFUr!yVdW`tqgg@sJ-gngVzYC-W8UUX+@gyTDGW z!L^Xx@UEh~+HQSw*Zq|)Yi>=_n5jEO@%4d_UOqSLm0Oy=Pig(u7yMc6h5E<Kizb<E zPz$r47hT97*%JNesByfXc#HR$npJoIIPBZ_KX9(u{`+$kJhZl4`Y$-eaes;ItjWH= zzF&}EI^oBmYKP-@#mvsGd$FiIcR}Ox0?idpj*~B%b}&5IcZ2IROG41)#^3!C2RB?h z9zDZTf|Zj;cvn)qs(ItivaeH2GPT5ZCbZ4Tee`yZW7ok{(*=hnCThg3;GE@e&K0<8 zris%orR2P<ZOgh<UE(tRWP5^6ue+-$+caZ|qH_Dir|fTMrZ3uiHU9YQJKfel`{sJA zuZW+w{o&D1;$O{eCoi8~z54uKlgW+`r(J9?^PXX26S}>S>#vLMR>gVu!lL%`Oqo7o z;&H)H-hbyDL(>JmJyddiGoks%+1iSk)0Q!d+<Uw)-{FgSSoII5=8To={F#>N{JAJu zV)#usY(KyKtVN4sx0yv;I<akXX72fUdNT|d&elmSdVT536v^$mq0hIQ&$O6a$*v*N zDL0kv>FsudJ!j5MTD$uX55v0U?z4COUXbd=74U)afpAbGN6^CSooidH%-;A#a0{Bw zdzN@E*U;sFiS_w4sUc46*Air!C6${O{XhI<=M_c`jgGTCRe#U3y>EzS6_>1fuaU4u z+s#t%xrfxXgsW{!5|lp~XMSK@X=u@@r+izOGtuVrJIh&a7oLgxY~$v5y0PHZyEBRh zPkq_+WAFA?<_3;OI&SU@sS$7R@YuQ6>__4{?P(irHFB4#W~pq~Kfq?W_~|c^+6AoF zW0}16Gym(`usd$e#+Z2m)13;x2prfeY(Mk6medj+Q_fGT)aQS?y4Sk&xKBadtyM-( z9&w8;*Vi}RY;>BhVEUi?>wH(u*RA^WSK^A_nx!m@H~3|RZo74RPt~_0da^Rz8tVTi zI?fkg&GRnq^iv<EXeqC)jmb+k^@}m7x-XB-G?}U3z2%9(>!K?%)_NQZcWtt7GqU3C z=Q01a*+_pGht7T*8~e4l{GUGFxAD{Li<MheojLvf6nof_S#M{RKQ(t>U4PtA=>q%e z?)`QrGu!u9>aRcG+iq%p{PKmYg!wDZ?TE|EZDWYp{XJYRD^z0EHJ|9$1`KCqcnu~M z=_LdiE;F>^F*BL-&hxbA?!$&wi_?pwMbc(W6}v3Gvh~Gg#>NEQTP6o=g3dmTemLL1 zy<x)dAJT`}CJ0;Y>M(PCIr&G%t3&@+-f6s@@VR8(!XvK>Ztigk;`Q~(`=e*Ct$3o& z&zx1{;^#eP%UmXfN~{*w%=FIEH+DP~eOXJyc;$uE_`-Phgm<5=KjKqclXIkV-nMFS zm6h)Ptrgq0K5Cj>|2yi`--!v!ezad{l9<f><b7_=#`mq?l`4$S{EFvvK5bI#eaN-a zabk`tZ})p;r&k)eGfzHv*Z1<M-<=)bxqrmgb$i?oe;sd~IE{JP;w>j?SwCA|dc0fB z?W?Nz>DmMPy_Q>sx$w+WC_ftaC&K8+ui!6l%2-WRw>57nn;Yq1&e?RM^77X@ap~lm zsO7JV{0z=c*t7BZkK@gqr*~hrVDQr9-=^=BTeIp-QvbJuE!uvs(?zBv$Ub^{BxsTN zDv$i*?_Y=hDzdESFn;qUoAKQO{j=RsY}4*7-t(eOY;CPb!WyT;Oqv#96NIz=^L|v` zyf$Ll)yP@8ihS$td!DOZlvHK4;!2;NnNs?V_Ew$ceWFuYRWC1>RJ7SJ=Xu`V(s=i; z%Rhh9d^scjkV8-L0p};r_9e1>Fx|$zU+Dbfh<~@Yq&b+MY3sZ$(OmajQ)Y>Obe@61 zweKote1yA>?_aj@&G#PH=gXc1zcku;?C{dxvxPss-=f{|q()`;HlIkn2`eK{wkGw* zFeZL(*umN%B*)b*+tKH#c)k7OE?vQ{iK4O&`nQ!TiXCqMU9oNBKF)`%Q&XKQroT(f z$q>_5^WT*0thza2R=nu`j|zNDM;%2~8~4VkYKCb%vittaqnE}1lKhQj;W1OA7MpT5 zW*GSKcCP9R^H_aXX6Kv&p*dd`xRuYnGCRi5dHxBvdgl~_Glm;7;$K!@%+xwoJoVL9 z<Nh59$1D;WZnza3em6a#(BhMhQ>^IYYfb3|Ix)8rwM!i~Z;8KlJW8p0Uxh8hrLLxS zt;>6RpPN5S*{$8bpRG4N`+EdC1K+tFajD%~XV)BE>~Z)_(l1ZD{e{w2kCVGJd2*eV z_shL5n3^@`{)rhcciuc7n)*h&X6y0|1+&FucehW`^03}Itt*H_w?FuE-jbb%)e5e9 z?@B+*dV@7SxVA~>x%6|+4Xe(63E)_t{AB52h94Ho71s$n)#}W+wo3fNgT1RRn(opQ z{?u$-@Y1Afi|OLytsH@uCT!xI%FSS=!*qvjZ-J41Sm(4U-;eDOE0N{O5wg=>WRkP4 z;_kuCk|vfFRkoTR)!AkS?q8*|JmI0z<pRaonvFYBb*G&<E0e_Db#e8Hchj!CGFG^@ zK`dMHfyv9>7mw24#HiP~vK>l&vcA#VMnT}&(;Ej>mV9Ho7cwUy<v{+2-CzEQbT(Ve zOH9@NBIhphQz-D->g05J|E$DayQcDStH&JO{?q*3+^=$?U!xhX8=ltxe(K9KLwRq8 zl2=tNv9?Rzy}B-?vqI~QEZ4nB#fw&_{%`s9Uj6B5m-LV8H0_QYt>yWq?5%q=`i1t( zI#F#y0mgX`UI`qm4EUeRksWkeqy264Or5&N(-v!I=E{9vVSQtwxuZkXezDyuN>S%0 z?edper+Y0|F!G>TtGJa<SlW^N#Jw*jZOD|I$lG^L$*NoVgGs<Ew{wfMb1av2%6yvV z%VJg#z3iEuQ_x3$n`XI0;h1S+r>3OO`(v1(5xI`PGwW=ORM)%SXZpV47H7jh+%lA6 z><M;~d3w6h<(*KD;vc`QrtUiu=G&c}R>L^+RFNce(7e(lgDEWy<sT}Nqx^5hJ`Ju_ z68@LQx3w@!yhCsEV`V0{UQfjpb6kRdYbpOxoV7xEe%$4+r+t_gES~#%BI}`q5as!D zC6^|#m*@I^sWwuw=n+hK_E1}mJIy*J^|NsJWFs9}zCRzCr}F!qy(kg=vuCREV-3EY z=?2Va59TcqTV}mLa(l(3h)kOn#hu))-Ymgi-0dd(%$LvYI~#JFbDEPj3$sJA{kv@{ zzaFeSc#pX%{POQ<AE#eV_$qE4zoBF54IRPjwO$2+$Ji_Tw%V>)^eq2SuT;cbHKmyg zEo7~K9@}t8*p|B~<WNo@TYl66Gh=7*tMhklOL{nYb8>t0yHB1Ec`IDJS}r(hywO#? z(;wEcF};)H%vt@;)BnnsZ#jPa#c8V<onK$cTwo8~?#UFi>}czUt9N)#O{)&;JpXoT zW9@CmiT>(JuWN3ex5&>eyY(co=JBp|3{1^Utq#ps6DCe@t(U)$y)H7(<n~w5EaSTO zQ+Dz3x98nC-kW+{d~xxmgD+RlS9jQ=5`EESVYgFlVDQupjt4}Ra7DN*6Oqvpm-hG} zb|pc0isgUzxLl`)J6OV(zi<*+&>6{-tNlkM&wi1vyo116v;JVo=_bF;lKG2%FW9%N z-YsuJ?aG6yX4@J)w#x5QP)~MO3wU4iV1j;_#Ppq8gnuZvaiw_L^536Xp~7(XMru^J z!QO2WUNwHdD)|)Fc0OHO=={2bKR|D<h2cR)@nsj6Ys?5fv+w-#rpWXsJvS34TrX-l z&aS~^vDd({QH)_;T<R(A-(UAkeLVSu!=0qmT5&HckL8vp<IT^S=AABI-F{&DA?Fy6 z$d~&WZydVRHuXhV*gT8A1C5I1T8C?YM))4{Kau~1V`nvIt55R9*A6#&=dJ0y@$;vM z<b>k^MOVuDJk9t0-W`3p_0_&V1x?DQmOQ?^Ea>nlj(NPDigVLFE~toIGTgS>TdZ^G zZ85&)k2^AUzqI{u?WpXN8jhohml^YQVr-w>RKIDU#PV|AamMlk8$aDy!&Sf2y>`hK z<{btSHOn67Jv5ZvJ*RrVxk5^O;_@EF_@ZM0<*_eZpB<RhEpKmFqo#a#R@z%;+Y@U` z?k)D_Pq>nrdhFulS5J>!IG$m>WIfyS3HwX`m)<d2=DN{OXSVSH-*5XCMc?4GO!J?h zz$Sg{h|FZMYuvS66J~fCZkAp(d%^k7Pj1>oZ^}swwKjixKY~-XE-5=@+P#NQuTJ^u zamrll{;OrzXE0r>;W=^Ux$fR5<5vO8vTxpGzuTnk#^;=7A<T90#0{e$jgzk)NuT;_ zTrI=du+(qWrQ4U=?g^_arK=YwI13pVGX0%2f3oQ0qQf`ZSUGqe_il`wHf_(XP21ar z1l-OU$V@PK5nt=S_tDA?>B8I+>-JUzXZ(Ersbk8-w!?vCJu6;r%$0DIvS2s3=Q#0Y z*@=A-%kLL{*p+oVwsC96nM0p{Shs}M++V`^*h)e3`qG=zk2LQsID4xt`slT&C5n!o z2^sGNn7m?&yJ{E=RhwM)F1gOJLp5mj9W%-DALY$@<$~NRmsANe{bA2}BbF|HBwePT zh2<kp4g0<8-_<1?yAB2%x!S*w<=C28i5yiu1)-@aZ*Ilr``umVuW~os-04MCscTQx z<p8BD`{hTb?l)esOlYpv>+fGWBy)N!?(`o~y?^`8ABk&8<;n&3RysU;&g^?WN%->p zTkTROvzOhpkZE@>_TN9N-QSn}V$04|9-0}4vz=3~7(Cq)ylU;&*DD#FW(nOZE8ja? zY|ZhCW9)z4ybI;O_tp5%oGd4$>Z28g2Vx|y-cf2`@Z;b$+FIdr`G&A%W<Xr)&VARy zHYaJ%s$w{OKCt=2V^+<gzlR+r*Q*qK{I)Inj7Bx{<b5)ikM(@D`g`!PMf>fy`Mt8C zDY8$aKih3s7ov5s_CxQQy#)uJ-ddcgwdO?c_fvkH6SO#9s%+>v`2EJ!EZrHE(}WJV zE?WFF!BU5%D1NGP*0P8<XH7V6W~cdt2X$r5nE&wPKg)L0uFcl1HKHPlohB`9Yf_}1 zH`RVit&r7R>|wBIk;B9!qfljub2pNnG^~kuayava#Iy}6QXEQkkHaQrEI5*WKy9sm zUX#{?Q&-hiOkuN;Ue>e7b%lT@L&dCy;!}|cpY<%`rcUqE3;Xl!^XX@|ALYz)Sf|j| z&*XWeVa@Zh2dp1VxDIRzbh3%Ko5>Ot^G=-0i)lt`O2!Gvj-__z_1{*1T)pcG<B#*F zzn|!N!562r_}uCCskf&zMOp}cdMT6pQEzp}{lgc&rhX5rNDf=0I<ew%-=%yz-ny-f z=eX~492AyeIK*A<@~dizT#v^g>C1Vxa#Ds{+r+m!q`7bxvsN78Z|3Qk*2mQuBFDFS z4nJ4a(=TP4Z!em(`S_xi`s3eba4br*@t@hZ%JszsWzmQU)oaa{nu#eF_bUr??B_YE z$dt~fYW`^I>np!MiO0mv_`~^uV{-K3dtU!_6#ptd(lZa>PT9-QdPa4(hrRM6IWMWt z)5?;n{GRAvo}s??$FuUKNddMJg?U~Z{#@GsLAk0-ORHO}KQC<x=d*i)fk$^NDA8?y zne6s4|F+&=9#Pqj_dFM8Y_C=kyIQEE+v`4K^`x8E*4cQK-WB}orZ|VU?|NKKcgD_~ zJ3nS*>&$3-7V`C||GN`Kp%Ye2EmN~$`MjR_)u#hKiT%fqC5rhJnol^#7CS*$_E$#l ze*uo-<CE4soU+NzC91adf<+}i^CH{RIx9bIK4@st==Qg!e8&o9TXD0OG7ekcpSbnP z<k~M??+3lx-it69NxV+qprEF*U-ig0-{0Tr7OtJS^7N~M7&&)O_0S17XN5kE-yP3B zRXzFeob@ga?)@ci>)&R^?RO1%U6VM?An``OhG4|><*yw6@G*UxCVpdoLNU*enJ+Ab zmezTl(fyVuddKV5rk_vu{G71<==rA~^cmmSvs)!AWPOdgU8ta8m=ido^}>aBW?PMS zPd#t6=>L}&D~?>!>3&<UE6zRjtiRd5t_iWdQw1*k`!s{|Xo$Z_zlYLy<rO-vt9f^+ z*s9)E;5lB{5|*FsaMt^)`WMSx3Y!=f?w!0=^~%dPug<77Y7{8<|CMc-pycvo)e@8a zUXpRg?^-<PJ7nz<f6&!y?)$=3>8fAnRdvd)3%DM6aB<RHzEk=+hYXf99*y!6?|d`y z^b3bIzvn*?w?DMx+O&O_XFOI&UMn4vsbKO*_H<0}+@ehzEVeQTtkAMwC6#=H(`;68 z;AMZ-*P($={7(vN$7*x!&A9$Ij^jb!?tSsh-mD!{LIqb^22FUx6uL8W!XG!=Un&Qp zZvTqz<XCjIT%q9B+4qy4|6aT7{>SB7(?!n)O06^b8JooWwwkfqbE10_OAbpcOExcm zRz<+#<~tsSVlBE)rY7kdd48Puxp4U>wV9XhCRCN5KT*WUz2GXt+zit<`d?lP3&veu z?welm%b;`x-zw*MVoqTW%<eizrsl;bH|)6V9?K^AM3LJh?3Z?FGB<DL8l{BRKmS}0 zaQ$Rw3Ok{h<Tq2e=+qJ)rXnvF7S44$CfvT4bJ`(~`HRB#l@%pBbD341m~rZy%;kx2 z$jkn1$WyiS^53HCH>T=l^enOEOmn`v<?*{K*-d*4-zEl5G|kl8dFyTGZV?&LzKaJG znOtWky<JxwQ93=VtMJ4``-Q8fJdr)_ZFAiHU)P_LsSZrM0gAec%NzCUPQH}kkO?r9 z`EuA>sNKDZ)oy~LSKWb@947uQspX73EfXDBe>Sp}DA+G>n)%0pGvE_*Ca3?VjnlU= zO_=5|BUEY)k7m)mnCj?ni*B8po*K`Td9ZV_55NC|<??B9Wx9&bPe{&Nc(ucc`}y<~ z_e~Y2RE|DBV{G~DY}QvV@B26NrrYFHTTX28>Uy=|tvLIU30Iq*y<~Oa>riatFE?I4 z^;m5E<Z$yi!@oD5NL*2SZxy5YZR_sWdBJjVOp{F1etRz~`+idP=3Tz39@#k+KHM3+ z>6hDc9V;AE8;{)8wD0H;H>sVX$#iJJ1tnpr{eM$;ZHzwAuY0j-%H*W;XBxbdMe1+M zA2)wzboZpW#SCkOe*HNI^0&$=BnHZE2#fu*w)0R~xAhBCyWiHIryG8<Dn7Z`F?s!d zkNBf&!Z&&B@|3dwAkO*3W8L;+d^Z?O3i4cb7rFCkIo2GnHg%AVS}J&O>fKcz8x+gM zoo_Dm*jMJgxOtw9DJO@e&>K&Mk`l{~W-h0)*PA#vx%z5-`;$O7LjE<%&eT8lOSth^ zaQ*afJ4L3}xv?uBzrFJ5?7OAkGq+Elzx8|H?k4khlOB9hbnDSSzR138{sF56OB_`9 zx@~xycj@{&!70vDf^<4}$Jn)8HT-+>@%wpET8+V42Nykjc=nx^s>M@Y!E(<#e}De^ zeCC|nwJQq)$|u*Y3F=~QT;BgG@5<!z@V45Uds7!L2`+8!e19TuzCdGF!OjK0v>tV| zEDheSdemXosaaB=6HiR@NjlX(O?94q^`9GmTR2jb`vcCrY)&p;T&ZzO`oEuqwTfn9 z+cDFB`_@bTxutvQa2C%2&K|R=Pak_puM(UR;Z>J!KJCUy3oZ8EqgFAUOkM#zd>scF z4J{5ZvSh3gb2_l*#RZx4XAK9Y$bUO}@m2SJ?V?-L^7mg2wvaE%&#^PH^jp)o=ptLM zXTeW{C)wML^=C%~Ub39r&8>IgiAuPZpU%9VOT}sv)Jz=`q`EIumhbnJ{Z;m~LwV~y z73oEL9M|y#6fS=G=G}x(Y2X{@C68~|*t6f_ozuy=Z@=ZS_vZgeJtWU2z5nmjU7wG| zF0-#ak$ZDev6bq9U_REA73w?JiCAktI`&Q|%C<bs)yL?ZY{If7oSm=oF3&H>vJNl& zQ?dE?o1X__pIkcq_^1Kj@#qsPcCPjc3UAlQZiu<cDqP=vJn6`TrxHa^(pya~NW99Q z+Ob&0+or+t2(x{C@7{l6`@16JAFC#}8kK23StQFX+5L_|(&OH>oA0E{*Y_O>`jdD$ zxq1E>hwdKtU0SNr_RDriUF~#uyk@Cr{;!HlOx!vK*}n{;zy7*?^ykb+kw(Wu-krGF z8`0%ouX5mX$&1P_TT;0>PhT%NUi)@h=aplU2lD>CdG_&<;`!^3=iA&fn-n;g*~ISm z??1Qxy4D82brlM(`?sa*w1t=5#+pN%YWr5yA3CY3z<5gJL(YqH>(=XT+2r2ye&%#r zzLg*M1uG=)TGDbZeDRgJTCdXXeVfrJb>6<x=H_EhdH=Z<A15t2`SHRkuBD8X+?8oz zS41Xk)(aDmH_7`w`%C@al}!o#ycG;lN0fva%8F%}1wDAUEx+|H{CM@gu5wJ}-y47D znVq`uXMr030i`n+J_@N{+Q`14?8qaZ9gnB22<Pcx^m1ghOkKpp9k26bA?p*rN1{14 zE8jl&v3d4~tSkM_yA>BiJ8E1$q`P&ub^E^+!M(G#K4ARnwMok<e^u(7^<jm7LU->D ze!@0+;#Tq9hb?OU8ZBB|)U^BR%wYSis&nr)ZI5{*`ME*q<+@|Pll&(tGSATX_uyBS zlGpk0n(0iY3pQT8zx@21xpxcy$W8ldv@Dr_W$^VMN8)SLCn#^vOA$<aaek5WD}`{0 zq&u#keigZRi(KFF^8J}`!`HL#D!<zn9kI|XGUfC$Q^&qHcDt>wJkmS+af(!rdi<3G z9=ndI^d8LX``RP(NuY7xI#s#7H+&i74PQq-o*xxlH(}3KYyYV&8UphTtv?;_)|@1+ zs$#k6^^TX$j*qwQe>XKTf1`9dN2RCT$*=OwkEF`~ZY})Y?)}MMy1YK^9=FuuAG1p` z`qq1wM+MhuH7pVOR{kuv`NjEn+tnXFS>Sq{d&7r`lOE}v%MLpD)%|9Z=EmYR->0%N zaww$jopkif?1p2;|I!3^U3{0l{pWKA&;`w*F`~aO^KeH^k+Xcb%dp&M?T=l_s~A`x zI6U64|H6vhe#!b}=`!3Vc^{`gySPnDOttLYl2_7&OOEid9msnpGqI5;W<lZQcs{=n zhux=>SYizCYCL)U`RKyZ%u^gIlaCZ@7xlR;TC%Zkf57@mN7WZ@E{E?t=G6^are4!( zsHga8%Rx4ojEhYT$HMFT-@Vyo*gc_emB}|yK6o+pU)R%beKE2>o8KOPmwcf=Ip#{u z&D^r>C)&6fcKm)4n5OJwoc&g3`dr`h#aAC4`*SAbR&SX1`pjES_q1N=b;$2lKfu6$ z!#7&!cC38Z3s;eZ_p-sd8F5F9zBFWA7T)5wVA1N6CpaSCxbBcHpXnhX^U&?`%?Y2< z*zy+`-n+eNdusmad+e?j2Ubt2&PiYvJg|vDX=g68h)%`E+gi)jcQ1Ajd0BNSyXV;H z^K<W-b)1{$KUE`e*Xusl&tJ~{`P1T;uws?gsD?n(K(=PrA<uE1aK<C}?hvwEIs zOZ-VG50?q9GP|r&ZTnX4Oas#<7KP;^8Vi3rJNRkdP*^T<WNm?yn0DPtIUeH;Pp&j= zzH7>L!PMczvE#ok-*9X`rugq&hpnpNQ}+OV-##_>RHs8OQ|56QU7wnizRK-{h(1fl z`5AjJpORqYOL(ud>T_7|gM`|*^8}Zwzd5tnDw$oY_vpWEy0brBc;~lwujbKvH+q-4 zTxX9^J^f?j@0lTsLK*&q9!&ohVw&}BJ?q)YQ7NG<=jJdwXDdGa)v(3L&7SX}k<;~? zd3P8y_bfPlyjzm}zUjVSr@uVE{jqo1@^ohvmfD|--r0JIF={R-V4AvMpYh#WvQMH^ z*)C1oes`I>o=MoR*KwTFj<tBsi40Mn+1A-qrM74D?Sot1XKx2xUGB>zd15`QZV;z* z{oh=>U60<xPFw2Gwcpac!>0GrXXRNkzI?`^4!1dd>fVSQk2QR~IP7I0Ywy{AWfs=Q z-mVjrs97rE+s7=`U21Vm_R@}HY4x@-TVF=DdnIVzyRo+X>uay(zb{M0y+7*AROXiq zmwLOV=g9h6-NvOA>b?PeuMXbi39jOq<?wjLem_NrmLHdnb>A(2pSN-mU#|D5!j$Q^ zyH$?fopXLp;?xU;rEl`STolOfceJ#1S#|2Im;CX})E-d5oYKEJ)p?QCN<~gRdAYq+ z*?*7m$(!W;@;>zGgqG8JyS_JvycJoWS~V=+UtO)8w}vq(R6C_(Ue?bKNf)BkFHJvY z_)lr>%`cJi62gp}-;y|8{q+@gZDz}u>Br!=^5E@QwOMl>Ut|+ET`&0QQP4deeio_z zzh6H{30X85=bsX1{d#TLbEe*<|2EaHQi$R+3H$inRru7r>nf9PZDkHVt$py^oQbX) zjIIk>PG;zrU1yLr`}eEbW{O&RXtssm(&KuvB1KM*x9tygm#d8Eugfa0zhdb5F|fB~ z%c&;|lzw)(=e_xs#dBfu-KhFaKZJGtDi1HZx@rGK?Xz780g6s_lbZ_D@6MaqZoF_+ zmcb>H$4=ZEq(yW?uUr>wQ=Pr}=;oQ)GcPeWWWMU1d$myf)j0_d_b3Zjj{|@2&hQi~ zF)4_9((qDylGdu{dXFb~7&Cmlw(NMv={@!<QnvRSynm5x^nL5?<y%zq?P?!*PoHDB zG&z5j##*@z)|(lcZE6E1?e_N+E4sNYM{esbqvI1c-%ZoKyYrEUVEKBJXF}D1m77m3 z^vH^Sc7x&Q-EYRG>*OA{sbt)Fr2pmkyUn}(c{fbC7xFscb*(Aei~qZ-%GDXJ&4137 z9H_zdW7kDlqbKL36jHky4PNxR=EZE<$!)#)w~pRRrEvBe6~87Q5B}zQ>BsB*&llG$ zPvo#=_|4#dQmG_)<BN=z1HH?(e>%1vR6@r4-Hlz(Q@traBctb0{-yZ=E*-u%uFq)D zeKOI{SDh~|dHMdoDxf^<I_GVfl5Bs%oqP7RHj&3xFk1diy54Z6rMb|IrDOBm_!(;g zn56dqh%VMCTXsEGMZrThMe69W^HUS`mrXV7dNm{C>YMfKy5b!>s$Ce=gKkF#Jt?`n z<Fv}74zFyD#}<WC4f*4z$(~;z^ktUKRgTr6W=oYLc|=b#p5{up(8y;JowN1Et0@zw zYFOGb*fCZyi2PG);9!*J*}P>!`=%`u9_63Q6j-pWc-D&jezCra@0uJMy7!xEzI*0k zIake<ha=*nIXjzBeW715gHV0prbWx%Ny#2~Ys<^T;p)+NS@fw`((&%w_rIGcIutnm zp6&nmn^J>-H{ZtMS?l)uF0T~aQ1-yFYqy1L#f3(8xg9^c!zMGO>#B3D(mr)o<H)%M zZ0Eu*{(H7{w;t2Ae{DA`4_t{~d|Bpz>)A|!hSNgvS0)@d*)?ld_LLWo@=aL%!l%r> z$fo)4*_>s!-yTiSRp-j`>ez4H6nFt*!l{35{+E53)U~s?n78h>mQWEa4!@Flr-$#s zx9_}#;zt&8b1j;4=iNRI3%`KP(}Y<?lb$nV)PK(0b^VUU@<S{32Q*l-8(8^v%{j=S zRPg)vJT(>$mX;s8i)S(K`4R2Rq^|vnA<u33O-qN4{R#pbp3PfkeM*z1W54Y#jpdh1 z+IReJZ&<nCZFyy&F{Aln<{f*NA6%=obyi%iGJAb--J5d`&$%wLI(N$M2`e)&xb#S6 zQ!BF|zm<SuLp!g@fsKbBrLA1d&=tcj_Tm7OYy`uKP{u#Hzc$`xnxkPE)WK)(Be|;K z+3`G~Jc0LCp@I%!{0?e?Z8bhq6qgIDoJzR-ieVK;LYwjw$pvQ$a!QZIYdP8*d48P9 zz!;v~FsEgLoI*xB(>0-fE<pvSeNxO{l5gENax>n<;b`eFQId0=xW3NK`$t@a%d3TU zUzMp}$?~_NVaxv1p0pIfrcW+y9!<<=et4_CaM$JGGR%k$Wfoi+Q0b`J(aJwjGGxV) zziXAgB!B1Da@3h+GE;DA`^{IE;~j-!?3Qru(@;93bVfkL;ouy(2Owc1m+<5Ul^>gK zgQ}f#CzM!J`<X3m4|MMjX{t*RbmkGR@l<M);F`L2RhgF0$-*B=t)dYgiXY2Q`S&@` zJEXt6)=~9^yQZD6!h)H0xr=YT+QcNWM4&P4sttQdVV!DX<<i6k#mDaJqZU1#$hvm7 zWP9$~1#|DpzIuFl^Y82b@&wDfH*7j)BU66;t!wEN6Sm*cNyizJ8@^<9IJnJjbYrqq z|C{i#Hu`ZMOS$(vWj~{>>wXBoJt`U5BCvVF6c64DKC5-w8va<_t1W(GX~<{(DpBEk zgIH<ioUi4t&N_AZYb|GY{`T=**zC%Qs}wFuFJ!-28+4>_)%PWx(wT8*GB?IwzW(I8 zfPzOv9A{bbl;Hla={}Ez>Pz!PK3%Wa_?va=0^^TI&fJSTD_%S8IM)n~Zz~w14DX3v zSR9s~JLm2rrYG*tx;?%zq?{BNY`u4AK~t~g685JXSv8-{^saj&miy|zRoFWov%X)i zzo<$s+V}EX+qz}iyEjiebgyjs)6UwZmYbJ07GHZS%2=84{mR|1{uV2DpILugd#_AJ z!iU8^FQ+E?h6vc}9)Gex>2;ZptYzz(t_fU1@zZTqPC1rSUn@7YutI{R{Q8e&*JF8% zJpSC&l}LF$JvDo!tkAc!JD685-yEyhGP6?j!i`t&FU`N3?|*U?$HTuj*G9*3nK(V( zxc{Q^`eO{XSzjkKtoX7*PBoTS-~!`f@r(%uA})b4XM#gN$%-@>`UjdjY~l*L#={`A z^2M~t>JzQ?+;|zDq({gK-H2)|JGe1v-p|ihHtmu8BX{`ei=ge<?*dd}c-OptHd)}@ zk&9E;h>8~)EdSuVUohCHvE%tG*)vn6cD*S0BhDmp^L6-4^B<!7be;+QIjx@`#_>{P z$qP0Wlh+!rV_94xZ_VEG;ZW)7!2Ms|=--|ZCw=(x?-^<f865?_xbAXVAv`feMmchE z>){uB1Wz@nbPAq&xlv_fu-AmAE;SRp8J=k-^p~V06!mLs-C8m8@Xad8cP$GF7YEvC zlt&Z@t!+s>5Z>0qqg?Py`CW!#NUv~a(5a9{kKBk~&-=sXuWCN$_R~Ws`#7)Ru@f$S zy1v{ef(}%Ka?PCmy|mPHzW4th!ky9&7z=Y<4`ytxtF6+>aZnI)QCO?H^Y$^{aGuFL z0Y2<eoKG%l>(_5o4`zv4)YEA5yr%Q0LHCr)EQfx296p%%K}Y5J#7S`yD<>u-9ocE4 zzF9wG!=xRPx-7cCA6gbDqA1Dh!x#~&-*1=ZyLj`|l0y}|9Cudl{!|{G;QlH+L8Y$Y zxXbOsl1V=d!Zg2af8E#YyixMAQfO=OY`2)%a*?Ty+k`hRsNNx+Jt6JlDd{a*;?|lA z^i3@US<X!?SDJh(@nyJAUDFnqx9pkc+_+jq7S7{vv3kB%<0iX;XUindl%PAUKl@7q zl=s#N{bfmK@GRZ%U`pIe#!D9uZTKsEbPKDCgv}g#|4S_ItbTvHw0>=g_Nrg^eCy6L zHO!p(boJE0(;=@*EgmLa*<Cw3B2!m=s@#W~m0Kk(J{;TedB-oYLla&5_2Sn$-S{9Q zv*VdTnT*YY*(pwjxu+fUj=TAtx@6yI-FhR&qW<)swbz~*?w+;b<7|<a>Ww*-oV_vW zJ(qqx48Ia_&-qXA43#B*%jd0Hy8KMxChokYDKn;K_HMC~@K9SdQOB0iZuLe{**4u7 z-f_{98+Rxwto)I^+sJm$=~X(P%gzK`ZIqXPZz;KAf`ID5qh-%}4W>4}c=Y6DOl8N6 z4Grn~KMen#KXfH|?_Ry+g)VPg8g{fDtNi`r_fn<0tB1pXs^wgqBsTG^X~J`Vo#`8l zbodq7@77NE*eE$gFY=I?ZB(D%MgG}K3JM||h5yKYdv*5S&!7i-nr=A)BC~S&G`&o^ zA3H1SakXEse%eqMk~-t@%Ub<c2|8(Vau&I>I^}Fm2ifwRnda^pXf2^>Y*`@vVbS?( zcCIdEbN=`U8op)c>XBZ!UoUc+*xu<k^w?W8Yi64qaSHC`%xTfQp}BPjbJ0rO`gxkZ z0->wL%?<`$Wc;ahiHYygQl;G+3;wLLb=H@tKKginmCOsbz{*{6K2gt<HB=2|uz6oH z583otjH}Ue`$UJLj{%ZPEfrN6U&q;TweG$Ca*2kP^xJJGJEb}=MqWR4y64hoC8etm zQ?`g#x$l{@nM2faHk08&wpmY`GnaM$WjcGLVA<l0FZ5SSSse4+Cc21=<LBw}_TT+q z>r?7h_w8_V`F$asD@r+`l2ct#C-lhV43#OD=R^iqvfq^2J@eIF!|+WyA4>iOB;VF- z6%uc1EPKs7E8$Ym5{DB88!t|pImiCPm7UAJAJ7e9sh+TNzD8<_M%F6b;}MEH%#-cY zwrfAh_>ylCwB_{NcZ&qCX3o{hGq87Oz4G(gPx1TtyAvklzg?(QX1@D)|CN-;Yf2ZM zOZht)?J5b;y%9Kj0plH=rpWy+tPFggcrP#JR&42i<0QA->jc|obGH7z&n_;!4R(>& zZ3JC*R%rYV&b}z1lCs(^<z=6#%>vH$9sbLXrJPeb=V_{5I{os&$vn3O1J8!GMQ&a1 zX!YPz(D5%O?+=(o^==k8J8Ms0aD!>llXAax%lPK*_FR{-VbS`cjnPLr-BX_5JL7-< z-%S;^d1kK4kM|WvcW7QtU3;PI<Ey8}8?4%_S&eV|nosBGZWX^B?<br2nZ+@>`-4`n z!_sC+UX3gNm^N8>TXw8Ew$QPCO72m&70bPHgCffgf1Q^smtfZTDal>Wv`25rCDjPQ zJnqMngbjFBu9_COX(x~D_L|alTHg+CoKovxJ%#_6|MD=k^IMsIT-X@C<LE-M#ucwN z7*xNtOWNXPrFgmW#nR;~io!k|JyFNO{;=OQF6KDLC4-av)pqx96~@*2No)R{?o`vw z5Ta<&RrKb9MY54qqNKu&A95RbRYE`9=dj4AIIZ(oVa=3WVOLqTB?~*uv_qt>Y}cOj zMCQ4nnRM#A{xh$?|6g0Gu%V_aE^-UsN5?JF#UWpQ?v|1h<Lf=~YKF1(6+7PBEU_0C z{uI&=WI4;@I8))-lCt=fXF9hDz1gXt_FJ}K`eUuCD`ioh+YVm{+1edFS$mGaq4hoU zJALM5yc7>>RJ@(rB{1R1`>?2Ud^~FpaPQ0DlX&rdYGk#U<%vVHt4~_Kn`3qH=9=z4 z-Tq3aM$LDr;h$tGSIwW87Ug(jr+miZetXWj9;^$*j%^L?x}3Vlw9Ds|&7l^Ngy_>V z8u~Y6wN5+vW>Wfxrjq9uHZr^mwrcpT^sZQy@70ru>&iAd*a+WneA2YB-h?ltd|%?+ z2`1ttMyw1x67Qa#_<Uf+SH>Hx-{-h<#4J7f^TPM^ucu30b6=(lUHTpP#D&e|3b$T{ zq{L_0C8A2n56_+LpZ2gyCUD8GYnv5%?i+phG3VUoBeg9(A9Ra-Ym$7{9^r72c^|Hk zUcwfsV|}mv&~%22mxLCqRjJ!=Fr%T|CP}ZktW38t(K&17Cz}V?x!<{{DBMW-EY|l< zRnBa0%aOTnd#@bu<vRSB{l4k0Nuu^${~aB31ny=0t=aWp`t`KtrzdPWlCowU+-|CM z_0*clO+IU$e0JFUkXcl;H_%Dg)XgU9-GLk1I&ArMo_Ox9Dsb5o^M&!TO>EMmeRWP% zr#mOF-WknU`R7)=!OZz*?>`c5FfQ^qb@ZL*o~Iujo6Fk%8n>lR^Y61yarx*SBy!O6 zWxh+((&d2#5%xMRA?|_ouT@V3>~~`D{krf#-1q3JKVGKd{t}vwq3^t=$i3LNajNj) zfKa7nsaIy`E}qVy@%o(v(`A8&{Z0R$Wvo4WXx16EJXfvb`@BB>oIY<-K)9Onl!crN z7-D;DZ*Z}6_|=wpr2O`HG2Ps;z9{JTr!%Qe4tyTl-+i8A!YdkDd{Ip0<LjdLJJ{Xd zxUSHsz0MwHs}V24`Lo<{<Cm@P)6El9e%-9tCc9j2_s8ZLU;ZWqN8DUt^0ZOl#oA3- zE2=pIznf3`JgJ{0H&xV0Mf#q>#P-fBi?+Pb?76E{rIDtksA6H-$;c*r-t2F6wV}qm zum1g}2Roj`AJPn;<+R{`TZ8i1h%b-qq=Fj6{G(qwD;jdm3VpIcl5@tQGyig<e#!o0 zdMc#jC~=hi>spn1s~r9JmL}UR?rdwjA$Q@zB!>$Zr^el=FF103`g+bs?ep(*PY8Fv zlI+eQKTEUN;mD;HW)7#=g<tpPil3<YdGu%7hYj~-=W6ih-?F-Kz>e*z8<WqkC+(rm z4RUJ(_nWxxl3J`Tonzblxb|k;7jHA`xJ#CIk^+5{8B|r-Mbu)p7`o?ee_peF#e&uB zO*@aCU(9y3<wb|&|J84v-QQgM%Z+Pko#qLBgA?Z$DStCc{onb|qH<32&y*RHWZy@M z?3GcvVl2Y&`2W4bVNrrRpDFuZO@746Iptr1^o_Zy7Nyq;dt&+G7Cjde3741swz}<} z>w3@UOA5IbAAR-zmd?e1Y{4Z$ZESmrkK5e0T+NoD7o+X2Yrk@{zt{E_Wt)Qnwy!!b zR~^yyP11ki;$Bh1Ke_zZ-PC+ZMgQNQ47(Csq@v=*=Cn=TwZSX$*^+`kzgDvy3vT@M zKj^6U(+<y|IVn{iZ~FQEe$%Dx9403J=Iq^)Q|FYw?bZ{2`^>{YCHTFSXT+9wdrQt| zN(z=da;vU9tjjrrg-exxnabh#?-I^l*H`$Kd|cHxLuZ1DLDn%-PVL2cv+nX(W-}aO zlHDTn<+ktnqj?rSg>(8-!rK$}OlK22?D~J_oxgW&9TMK!?_hGORC~CHPf^WjThp!E z3+Kuo-!Hg{XT93=SNo-RczM6G%)4<v<nUjEdFtCfE9-b}*O|Ve^+$)dQ*h+<cgzw` z)OE8JJRGEAU8)rKa=0}poaz+Oy3?^srZ#J~{3jXXsFX=MM|Ll{&E#3We4Wot_PMMM zbNsD;&3MrA*Q|FMLtn;Di)u%wm}l$4mlPUCw2Jj`mug?S|Gk}^pP{dEW{cRN%bzB6 z32t!oS2W9BG%tU{i#ms8Qy+Rdd@D0D{Tq5?zkK|MZGFenB<z%3rYXN-5sbL&Y;tF9 z!HlU+2NUY`ijOYj$xu{^Qz+&TJgDpVs(@pML6XvOVUDE2>HZG3zL(@p64sUqmT>y1 zi1kkPQ;c15!$j$>#!ur#bBhmfFVqq~smP#vO|(+qJ<PH|v8jbsM&z>4l`U`kq!eu> zuG+2EObxJF;A8E($8xdn_9)FVu1>uJZK4%_0_RWbOD~>rzuQlVsflye`S<n-m-OyU zG=Dy^C+W(<`KxQL`3rlj(h3OgTUPO3dF8t6{qrK889rWk+H~E56pLF$e~f<{*_Ae^ zPR{A!QL1@WWcYKx>x(o$e;}A9p+F%+W6?-|6(g?Te<|L)F6`hs!_a*#A|2!EXD8 zCDYWV#OB$WFS1@w)<xI3Rj_Lxam{?fuw1yAqa|8i?Zk5p|47q-J?q+cMCRVuC(Y2b z`f;M*j!h3E$`p<YuAJU<VVRg7hxONRCC}4yxecs~uBcD4YqweAA6R3$^iQ5{`&F}m z>E9fFhjX*B9e$L3X5l~c$purUmg)&A-9F)0`MG^fv}d){k&e>lhpO)s4Bdso(nN(8 z7p3+;x==1RJN2%y(YYjH=H>5Y9!lFpnQn+uf332t%qdCgmfd2zY>9VVnh7P<CqGx; zSF6jJwOOUx@5m2Uk;nIJ58f=l_Q_(_#9S`tsc$Zt&tdz#P|KIGk=60wWl^phcHj4S zxtuRJ*JdcdDt|M6@`=`GVvB;g>fh%&O}?NebSA=*kzqqcex*#Zh<WahKXPwOMVp!1 zU$66!+V=IpyN!D5CArrhX@8U^5#}PI9w9R;Fz}#+xXGL3jc&Ca&Ab04hpHcWvGb$f zwoI9QhtoD}&bl^tn)X58Nyp}|@opE<wTu${R_(L#_^XfWwmXQPXnm$H@-}gf#4e7) zYx1|;Bp?3Fw4c36nyu9C_5F@rcek_@Hs!uhy22FIcr#6-b@IQ;NSTfQCN=OGPjCI= z!?w^%Zd=gBD(Sp@2mOalC#ur_zpY?u$>$Z{A+@v7y#7l?>LP!Mzz4>gx!3!>UVp-P z(u~R@)(vMIZcY!Gp?|Sq-=iKGbMf~-jO7<_N}V-Y66Cp$XFJ<z?G?GV4n$42xO$kC zrTQ4d4IRN}TNwYly<PZo4`-%b=`Z!W>6%$-$MlsGRhPQ6uH0qz!Rn0AOYL62{dXKK zOU&2kix+c+Z~g3*ncud;?xbvjz{_~s@~Ag=U8b(T8hfQCTQyAbhr_(;1s7iTr!KOv zeC4=rgGaQ%uYFA|s+XLmO?bZg!S}Os55AAx$8_Wehu6H*EwB8{0@C7Y*GM0`IhW(d z?mgK{Tc&M1x&KN2%;$o8EM`TVIJ9Qr3+Y~eHi27lOE(<w@46&w{p87Vzl+Nc2IPs= z=<WOHD?T?W@11(u(-#|GJy?F=ly95dbN3|=CC>8{P0>hO*AZ=ApZ{Doq+t5KLpL*n zwd)_W)eCDrG+GlOc35FWgNFZXyZh=AH?JP|JMwo)SIu#`BQI7SmF<kPQD_X@C$}s< z_2JpSVa-+Bt}L3p<b*n7QnK#DZQEWn7zeK?6r1VxW-0$U)uosBpFO%Ha@X8z@luyR zu5mqGr+vF&U0g`>Jh}EO3*v(Vn5%*k>`GRqKjG+Pl0R<7xc2?edm1?_p3ZnvxrO`W zig&7Qfjr+@OCs(od%k@9w@NRPgKKS{;qS*1FAgV4s6|h%cIRW*Qr>%f=@)yg_PG<3 zR!-e2)_kINF{`u2EbE&S@0E6Fw=TRqC9ADt<Gn`5$u?SfR%NdPPEL+bs<QrdxpK{E zh8V`BOZzQ_HJKLQindv0%C^tHEg_zrU#M;6xeI>RL*f+YcYRS?eN`vSqx#{xl)Y1@ zw`9(8-fCFCRCT4~ZLym_BmH%Z6?f!0wkR()GET9)aF}W7{-yEC-)A=mXsqj7p%4}6 z6_itKcj9F2#{&^FF8*F2!K$UW_j|$B`Oj=_Ka}`>apfty2Af;8SC6X-UM=EI>3+eS zBCmb$<z}h(M`lhr<+FFM$uY?#0#g<?%*uS{Z2i9b1;aYG@2~dAUzmITWDo1_rSoIY zxIVq~iT%<y&NhQ<AMW4yRdsL1yK*VsmRpziR=Rw#oOx7Q@vHxzGv);@7u9sXr%Uob zzh2n%r0II_vZhX{Iqt9Om0$he*dVZ>{OZo!tbF%V-t2pBPHdDE=MesD@r=Pz*MN1S zGOr!8xpYgBOJyHx-Ptz#eQ)J7j<@<7sdgl2IeFbmOE+%_yjtPmQ*`<|{|>n|rLuyj zUKUt9`DiptZX%cCjH?IqI&N%sVb*Fs_VK2>wp4PSNajV+RE1u~m4;imEmmgMxkW~Y z+2vMEn$lWZ#j@qV#94Em8Zzj(UZ2;v`O77T3CxG@&A%ApbpF?+?gWSA+OH}OM_z3d zdZ73E>&cw@J0D-{U!C*YgZ=1)#QK{D<sP@r6e*v}d8YO6;)!O$HfcNw$9z^hE^p5* zxBHP=+rXl?alsvisTOfMPiH<4vh3PfaL?N@b9O|53jZtpt9uO2&YhtWR_UI>BD^i( z{k_EZ=VrXy-I89g_=(C~%Z>AQ+|Ui+)v69C;dc7h6#r=LHY<gMA0`bog^mZGBsSJ= zskqj&+CbA~(Y<UwWxqYgW=0+0Ib#^Ghebwe8~>7ihPAWa=T)!jR#3{Ztt#xCVA$94 zB~q@(hF^Qi2gRNI%0Ij}q`jEE@k$$uJ6rO?6476OB(imGe_7Oc^z}Tpo`#LLPHdel zrp%P`z`nEfdcm7dQ`fm|-XU_YOYbF{`+L`l`Fj*JBMi+0*2ZjbPs#UPmisG=|3d%L z340svR!6=R?=4{04L)nJ`|ymoc@{fnXDwfSk>f$l77we{(!V*62N%TT^O*+w{C&k8 znQihrh-b6rLPHISs>0G$alPTWYjRIGr?~VLMJ4XqUbZ55-}{P-Rf>zwi}RhDzQWo^ ztz+(Ob;YdWGUZt*kCU8EH8R=#+wycar?YH-(S<+@n>KCR8L#HHeP^y%Ho>1|?S>fF zgftm<3#;F&+}G_&{wT!$c<GIz)xs?M-QHdL@M6KDTLPgno4$RSWL}b2dT&?y&ChMu zOsg_y=`@~Qs~4)KD#x)UX=nDb%UY{fOm8eJx35;bvc&$%Cr7812X<?AME(?gsqHD6 za>TlTO{wOlPwq~?gBGrboNc;z46mL&W0}4xWvNT$o=p!w?%VW?;q1RF4x(4MkFB21 zX|pWl>dDf`@<*>&Y_q2dD4m`low#C(d-$p9vS~~58JPYjFYNQY;#hFvTTDW+aOoYF zcM1x&Gn{M`9xr&kw9fe~bNYMRcf9`s_pH&DQ4Mf3*SR+L{PY$Z^B1K&E{A*f9_>na z5p!UrUFehb$7&mej~(4|F5zdYq?}tx;>>?+tkrV&O5VjKWN@w7&*%B#_0EHLwwzhh zzjkVzX7=QnEl)2CEiU;R_;GH^q?(xb@dmj{LKD9y?oVO9A;(?D?k)A`Xv>av&wF0E zLUJxON?9yFb$*El2#HALy}w}1=AyiCv)hN(>3!3)Y=1|$n(t%#Xt~LBpSt;G)&iSF z_Z4~fDEwIV_}2;J+uD!6{*_$FyZF9R)3ko4m?=CW?~h0<($#iu{@hbP)$^@k-JGu? z`>g(Ebgw`E;j7cq$+|iRGK`;1c(|#NTkZC}^EdW7O}KeCZ1bjRua1~isIRe_p{2KR zjY!m@nGRB}r+B30OtvzgnS5;5o=M`Jtup<WdxiGu#=m>4d?T*0;4aq*d8<eNyyF-B z`lPk~@un!<L-Cwm7euCPPGI>Ucc@`rf{G}Q1@|S6EukSjhu6>Z;GBM4@s46h>_o4F z8|`1Xvx`}Lm#>wd7<tfT&yW3HDoexD8@eCgn)O;@_tpJ{Yd1UoC>4D1{DSKvtpor0 zw{Kg%CBv_RzkuVh@l75!)~9D3e?4|{>CvroY?9Xa`embr)m4wFI+l#~Lc#16T^^e& z_b?ohdZd5#yK&F|mckyLmaX$Au{N}x{@wHXpH-Hy-wg5T@7`7S27m8y+4kjH)#1{| zte2YOI>myfUv5hAoE~X%W>;sZaM;B9`NiMbExxS{(l~xeJZfnNQ+Q?0dBb$GLyIck z^?dFYK3C5);rKo|o)snYHg7P~W$;x|_$M6^W_aN><Ce%}Nexnuem{9J<y4qq%(JuJ zv#b{>KFnp@sa>P4!eVgF<zD2sm6yUZ^s<a?%@3Tuf7xwcJBx*yZs)<?jk8)szV{bj zT{Fk;%^Y(@lVGn)*IZSq6z;NbaS?2meY5ypeB|dDvsNtI{lNYW=hwhBZ&Q|bovr3F zNGc19U!0QsTvUvE_K&1{?z)?=UllB~=-D=JF1KMvK;h?|Gxwe?ir?p<kZv@+;!Fe6 zE92SoZe}QWuT`F2qsYAA-$X5uFO@&8KH4SXe&EXVt<kY*97T!$#WL#(KDB@9($Js0 zq<L=K2gZx@McAqqbCumZnpz-cVIbMO?ev`KlZtAu?QSU+7E?@#IN$ijwD3cRnY#<~ zWxnR}r;Pm1Y+r8hU*4c~Tz*RA_M=_PGaVvdembyyV*Lh{8r$&yQ<vljoXA{T`<G?< zH1Ug*a_V<PUaf!Ix8%el|G3}Hy}vJ?+SnuV&`IXyA#TBvaOKdezgH-A)qDwBwMOX2 z)K4?E*R{u-Tre%%M0)bswlnVQ`7@arS>tAJX*~Yu9q&{DyF9mqsWEmJ<epePxSCV0 zar-!@Uu)twsb6nJ`!Bm}G&yrKJI?uvNN_Y8_lX~yoiB)TUS((ec;nFw-Sb9P7mx6~ zo*jS7=6<wd>%QRNLVt6^Jx7gqg}wbbtNVD5bnxO?(>&|MT4I?#Dla+{cwGCUO2~cw zEw9-VS{uU``<f;m>P}+aw_&Sgid$zQQ&Q$x#{L=c#;U=r>mF`1*fi(a;<U%#7|b5d zyQ}}L&hFa3ozv|<Tl`sK5GJ?%(q+rnQ;r`y;93<Rci^ncvGlE_NoOv2A8a$V)QrfK z&iFk|C-;;4N;$`E))~8}?-c9k=zh_@c=wyi>9TJYG0uv-l74q`+$Xh<MUJb5x~6D9 zvU|Y(nQ0;GTiHo-cvRfCep{L$^zOF1tJcGaFowV_#=+MOYh%|eEOa`5?l7xi<gD3m z4gGWuu~?^Quf1mV@x;`ZZIX{CC@<A)tx=XgobMYn^^xAz<Rp$gGZ~(*`@DCqv3@i6 z)F;bX+Da}4f6vKV_v6CZLl>pwbHihgyq(l~xnb*a%kLT$D^6HU{268$DAAE$l3N*d z;d}Lx)8E#3MZY_Ha%EV-f9uqxhc@amt0p>4>~!8~tNZ7A-H|@#+cQ=M+VNd&({_xH zwVn`S{{3Y}!;uwJWdACy+4$MspT#*URJK8Gr`4S3q%ea$S6}Wrw*Iu7LQRFbe_G^% z+LyCBWtyH(*}69;dh)H`0{Lbl)tXA{=Ci;3WnT2zr9<=knK!0)R+nWwo)VPXbCy+f z+gsD~mwJmA?wY4`@Z+Y6)@_ZJtBeG+gRBp|GrL&w#e-wf@`}XWM^X*;@oa11Xwk25 z?{*BHxms5KE}O+M?o)S8Mdt`uoxZg__FHk&)hU<t_D|lH_T*S^Xp#T5#mdWP|1y|t zBTzLjx0W-IsUtLg=RE_b$PUkEK295cD1DoGw%caMiN$+P{=0Utw)yM+gy^kuU4J`| z{`}B&#Ku(1C$r4{=BpI7sc%@z`4Z&n{C+Dvo+EN+>-oE<J;N@Xf4IFru=C5Q2Xo@s z9~I;ooOk%TaG#sa`)8#I|DWwWD4Seb%n@>V_u|zS;gUIeS2g?emp!@v<?_?xayf4; z8Qyiy_?&n3(u17mD+<+pCYy%eWRUSYal>`~>va+ddtWb;KHoikmGcai_2(yhUzi-6 z#B{;*u(p}~@*kcrPk%mhsPY!C%b&REW-0SdIxOBTz5nwITc#h!Pd&KIKWE2%nZ?2< z7QJ@2VA*fje$KsGh(jU&@v0b;&|IFoZesmjlNry;OQ^OV7ZvMSyu?MJe)6Q>UmY`+ z{#x_mUfar=$?dmQzs}Lw$LpY5_Hond;|tjXyqQ@<7(l>0HY#ex>@R|0Mhpxf%*VjV zkXV|MnIBM;pR8Arl#(18!pgw>>ixcSjjj5B7u?BYWC)$aIO~dQTBtxqm@7ZS{tULt zP#%S#5Q!&QVoI}AD?%3Qa(!T&X4LGs;#AVqi>+H$o#`l0-zv3C@a_G`YEjlY&(+$e z9sYZl8FeU0yfukFA^d8WUY1g?2M^;PVWC8}cdQ|7xz<HeS8G2?Do@Y7sc7?N!E+l6 zZWi15?JYNcaMXFObDsKeh5T<ep;Nb2C+(8DSP;^q+kEBG)Lp(x6ZiOrhS^D3RW8We z#y_QR^^pqQd2t`7yqF}>cCY+G+3dS_K%l|=?ro>#B1@7>jZBTrPF;|d=$xi@zB_H2 zMvmF$gLhse?V9E{d5%Knq~os+_&sac-|_L#g-5N9#r~ao6Fn|0ziZWG_coBb_LifI z@{7y+7IOUB(%9m1Vfo)=j$d19IUMJg_3M1eywBpeKSx^O#pSe4`wPqWermMKoqB`0 z_LipHi_2n1WnWz8D_!7!yF22=<#*vPF0&n#d2xB&!N$6qCXaZ(WPawzUEp86jP2K! z@)nZ|%X1G4)!wpl(*BZp`i#T;GTwzeUotNXFxTF)bdq>+`PfIMzncOVa(~IZpV4Ud zRx)CN|LpIgFD`FWT;(votalz$?XBektiQHo&T*K(jYsXma#?46kUEtM`qpVIzqX`y zIPA}vA@JgIA0yM>P4P$gUtBI*>9qfi{+9;3TnX6=`n#6~FZ7??%KR&JeU8KaoXN`> z|85H1Go7*a))M_C+H86g)@?fdT=Sx-*-7rTTGQ?Fxy~jP-;LTl{ru*JZ5yp;_RT)B zBX4c^S?=7>n@>M4xnOF>$+JV{R_g5si!PXyadP~v6uqE-+x^a>tY5WqtY0#x*UV_> zyJ^0;WNO2_#OZYfA1ehf=<RNw7{=;!Rpi)nM~BNdmKdz4krS~>YkxUsozbdwnyZ!> z%>T|{dsr<o@oP)k&AO)z+AHQ<(Am9OYJ=-4&a;~iiZHKHFj^Q{*1Lm2cC%-w#w73g z+gs9Znj}ul`DMfUVutS`r-?ql_OQH|>N~5+`t-(=&oviDoAH-Vdpz~LbHluio`E}5 zAF|wHoW)>#K-f#A<g}V&<CL3GYV*rm@@^XIPnmKvN_&2IgWj5+3%awnDlv$MxW1US zH;6ZZTT`jzblB3i3r!j=c{eo;Ic$?BZxUFy-}I-ALdj{d*zMPNc(31IS96cQ>X_fk z#()664%gkMr7}aOp8xE4{EY}>#`L{M8Cq;#<nL!_D7$Ip@~HB{{j$O(v1VQ7uUvoK zR(H9TI{Qi5-?x+2#G1YAHtkium0G=_?51Jtw_A-9HSVuY+%YSaSuiQ>(?b*Q>?Iv_ zH*MQ)G)|PO{kZX0s)vTh<~Py?Q=XoF@_Sb5Rm0gFob%@hPu&|ZD^j}H@AC3jGv+SZ znWsCM-(&p}>jhQ<Cm*u2&sVgyF^}$5dot%_(gxYN;e36kbv)m03Y-<ty4LUnuS4CB z7lp}(qgDnby|9jOKAfD^&TEyDwLr1G?54W7L1gW-s-0ijop<NR`!>w1(Kx%we};wk z^poYzn{(u&4*2YoG&ybOc}T^3`pM&_-Mv!kQbtD%l-<~Tdc>#myK$fH@>EUDo?h!L zCi`{8PbL4qdoSqiW*0J;)%!&<G41EA`DKlZlHbU?A2|_`Q?G7yOmA0X&++i2ic^AL z)p*MG{qXs6`dy06x}ZyXvsG9n`?Dw2-PDYjQ8O>?re^qwiaRQ88NPvYx(?6W=XX3u zdew(X6I^&Et9UAPEs|(Ul4uK52~_&F!ClaG(uMaCK~JXYXbRQ7*#5)G<EU6X$H9Gz zwLb=Ku@b0K_3m<!58Bu&e(2uRiVJ14-yZM~zkf})_T1hT%t7lO#Pz&2%idX*u;kyi zw!+7a^LVVD$1PA$Ui&NeeP>>Ko=5q##h$w(FU!|23VF8ewr2I+GatF7YwuOwshOTz z$?x&w^`4&}F7~wSchu`%wQ<d>2}=&_o#Frb{V`_ind?$K1N+v!-X&9aeA1%e?++&k zGauZ?tQ?=i-(}0vZL}xy=8yA5QqK4HZ?gF`@#6HWCpMp6_EBf|`d9g3Wx|E65kJ?z zc=ONV+)DdB?6+1gnXBG6yWjh+gjv^Pd66m1PQ@QD^c>3P`5akxb5@^J=GEDeZSkSc zKH2Xoj4b(E)c5;9#^Orh|E6`7`y+2kNzIzEdbQwLspa|tT#IZrxUQHOwzl!6l<Bm} zGkK@h?fm(f;}PR|Q~P<**Fqofd-5yUbn*JY;062J_N|?0|4w$*&Y!x{OOzfOPk+-o zYr}MI)lAbmljHJr$r5w-_cXQkZerD6cBQDOr7ZB0N|0!<K-1Ms7yc>{>3gQCNtFS4 zD_rim-m?y`eCIgt(}MEOg|Dr|4R@_`HtbB%exBCBW2RyrV=~M0wPmE^!Mr(3PcNBk zd|-#?v~^Otiuc@`CobKad&T171jRN<>*Vu&oi}Ihj4_scn>N{S^URH#r_3~+ChU{H zQgAWbp``TOEeDUuNSMW?7+q%l^CNxImYJ^~8zepFvuvJdy-A$sxa+AC7atihpXReX zm!rnhCu&@F?A)a%l{1%k9$tC0AZE#F9<|9lTBgQqhuye#bbgV)Y<4TlWOI7irL2@U zM+zqz2I%MQNLl9l%_vzt$8Gb;v?aM_iy!Xrm~sC70gqXcyI=M?|DLjCQWy74ZdUhm zmFIFyr%I?>6!~O@xxJBD{vzkd(`|pXxQ=Yom^0(j9mRBmDd)o$Pc`%YKCwXKV8sIU z$9FS=zE6zueU(+=Rnob{^Y#(tLr*R15=;I$*&Es@Tk&`FvGc!c+cihe<ND1CL%Htf zo)0%Bz1TOge6FY4_k}q>OE<<=g;`px_-iyh^4%tbIji2uYgMKD6@~1KT~#<)^IqMe z`$a$I#!jnO*!S<|UyD1dyX;>a&3tsH`iOmBY4FtNaq@o3n`N%vN|o5}xcT?aKgGFT zUy8N=f0Ex+C{<Z|^T**k9ly3|%`e{Y`_YQR$@`j3jlXTW>6UjWPVaip;<OtpW=)*F z?2YLyA1U+bJ7K$zTAVdG8P0dE`&schkGSfmH!>=Ayg&2k#x(AoYozNJg?F6J^?PyL zct2}Zdfk;>`tz^GvuLP)o+*1Lb^jdiw^MoDQp}$1uTA~=xM<UyPd^^ti##`Rj@$9x z!1hz;a(wPRFm`{do)rIeMegN0r-U}X?mO$u_I#hA_meug{eO<ne{tHqaGeKl?yG%8 ze-iDCO_tX`eShZqm+dvV#p_Q!FUeTuDCX6ebnWks#oJr|YdxP}Z@pP}^)g2rzxvhB zV(d28$<*(Si<x$L+7n}=cjrF|_WgMJDLit~{!fd4vM(+AbEv@Y*~jJ^(@tmpNel_l z;@+8a=2_pL8-I)Xj^_siXx-1aY0^=zuy0p=+0Fltr<~qAEBd~~yhN4w4WDH!b5!ED ziT6IykgR6g?)bTI<(bIHy2QS&#LFuTO+GJ}zCJ#!^V*c%|Cv9U%68rV|5Sdz<DV6* zUU$R&>T9&R+ONzx(;V@xZqfNtnX`plpH0)+)B3o|yN=nYOsP&@P?A^tslW2n(<$ri z|2aBZ&OH6$;o_aSHy3@aZT-<>v)M79=kv@Gzi>H~!+~~Y6C{e<_r2MdHG7-p>bi($ z8duZK7DU!PS+@CCa@pL@iT^L0X;0hMv0LAI_5Iq`n6R|RQ_i2s{GHqvl`Ab-nCEx* zYHBab-ap(+ca%K+csliG?tO)Ik2BJu#WYeAo8sz3_Sa`TbI+67U-NABJMpCGh3|_L zr^gnreYHtOH7~Shre$5a-2R%d%Rl%<Pky-1v^3|meZcKy&PLZCrdn{F;QMsx@S3xJ zx86S4B3PyzZ+5GqNdD%DOk?r&SAAx_eY)x7nHinR)fZzniM!v;k=NTav*-Me8*v-L zv$vc)CNnAR*pBRD>1J`WwuQf8eY|aE&+WXm{O;eh@(qH%Ju<f2GV^Vkbgrr2?2j`q z)f_x`qx<}oEVZ{XNuOrU%K5fwuh-3<Crfi$0*&L%Zh9>4wKbhT&C|H}hrmtlZ&HW6 zZ=OicGP{vmQYex0#By7>zqh9J&)!?z*N;ixY1!&_Bm0Tu&d5H)yi0Cz&As}wGNqI6 zteI(Pwr=t153?6-N&TrCuxgVo&+<PU9qa4oMaKL|wA)>8_Dg2^;f-#2U9UFQuVMIj zr~1hKnd|M<Pro^}O;gZ+=jl&h*XvxAKK$o&j$qf;e4nCI=|5JxsV$eBb#syYf5m<O zEZL77+k9qSOkS|rzv8aP^%>9JuX(hrrtEUWi~X;s-(9~+*Z=3AT`_5ue+qMMtuorZ z?82EF*)0>dhMx@7s!rWMq5IOb#W8QhXKl~S3#-3<tUjae=c{E}`N8J@HlHq<a`xTB zq|#u&;Ke&X{#c*ax4u5?|DR(9(<Aro%Diwou(n;}-RX0hdUee))8vo7{ByISH2B`K zH*fw;<t>VzdRlMxxp%9JX3B1wJLAHd`5ON|_3u2x{E$CjqUd=+sZ~*54_KJxbH{$Y z)UvT6A^O?2g0&O*+ElgXt+wlP&8gB4wa=Vbm=d{f`l>>isJ}~Gk6c;t_;Fr@#~;t` zA40spcL+ts-rKogL+iOLm8{)oz4Dz?R~B4htj+$DDY$WZ-IbU7OxG>$`@S|X{cwn^ z+<()*&Na1#>ReYh*)P~~n{oNBhZj^<XmLula@8g-&AY$Pv7PHhfpTpeYsrEGzU-m= z6FCI}zfQ2rXL3<l@JcahNoZqCn5KlZ2cO`HgKod}aJ-lzqmZEdc8W|=%?%HO*?$@z z9e4X>qfsZ9p!`;3#^m{5Rezpdw^OjyC|69TrtL?_^n=##8vP}nH2TlD-D5uc-tmja zj7}|Gak1x+c0~96$(LK^tl40CE@E?j@1{!A?}a^QSKpIL{J{DB&5~XHemzoW^(G%{ zZZZp5##PC(VXu7LMlHU4%hx>ot$R%$9Z=6HKD+0>PvrcgL96$qWPiDLzo}ySbG9EX z)eR-rKW||Cz-*T&FYj_dUi?qt;lei`d!HHqaVz%N?HL|9ZC%mo&4Ev&-mlgA&5}@U zw;`xP<Bg`xlu!G%9}}%PWTSP(!+X+}8Sht2oK}1;TUET;Mq!(|ix$i8@W90qsfDit zvSj+rgeLA!O6BAFnzX!SU-r~ecDG4}!fQD>uTSk*-mc4Fz^XJWSV8H+{P%W2i$iaO z@>O?UYdMh<Q#Q4hVFR<n;?StjZH(uqP7Iq`-DAeDVe?2NV$lNLshywtckdU>Rh}Zb zadCqF#XRR!?NZn4_xB~9*!kV|vzpV(GMS~iI-6DXeQ%s$lbw4;Yul=aol;3l&sQ&E zV?UA=@ODK;K~vk@OV2GGoteurJYFs-GMVVS{7a?plY|C8zQU`;E;2q3BEHVK^xUv9 znQ`^J=4RC+nv;BYvuAON_`Uo*%|Vl4`IgWGzW}=}3zxr0luJKx?BTI~#jVFeWqm74 zzBzrAbE$gZ^2>kX8O!(gj%NREyL2`{WqrkFkFcBOHjH<4YU7x;oa*WOuUMMaon@9a z=T2l_ux$I2b47QW-BxKkGPi%J^m-v8#c@FKt%#KQb>B9Xl!B>t`kay(N&1<FG74-P zr!PHk?Z9IoWOkUr_{62>k_m2+(+oY1Oj&x~*=hBe7fBv7*FLT7o^mtFsBgl<+SOkw z-A`yVd|!JhtkH7f$8*K&js~vS8=8<*qQ82>?=>+!1)RJ6Uw-Z>P;|Ia8xvx?YJJE0 zj(i5&_*Lqx%^VW8OV2Aa9TTuMI1=()FuEnfvvl62=bRUXTvmOavW@GEr}vJ3+gyDr zL-$WT^mV)TZFcWd)eadFI;-lmYvlx@TA#9q?w`7i>kMai_}VX1JXe2lVELozD=|qf zXkPKg+ZIB0tKXbrR=vI4EAvo*#+0?e+rlanFW*$S<Y6{t%f>0a+itztntvirJ?l}A z^^=NacQlTc%s<VSRJ6F8^YASlw@(MYxL?vuJic3EqT4Tzs`D>w<SWE4Z|yL-<kx6< z(Nw54>ZYz<z?M^;e+pNgzI{bB>FJNqt5aS-_&C2g$j*4lviZB$@aUD+%e=qovU-Qk znd>vTcfNVGZ^NI*KSFo@iQQIOJg0p}sOnmy)%#CKAFfG@m;BbbB-DcU;_{E**X!^< z-{brEeVyXGqM5D}le~22g?+sE_?X*zjt2I<HamPCU-XzK5_h^^)jK2M)?M-cm1*4z zw0@_Yj)<&MWl&<M;y607JXVK;WtX4G#1?m(ircx{l-s8X1#5I}-KRO_$gXv-ljiyy z_cmN2q2K25&*`=O&0+y-mBsNHf2;f5TwL!?-gEw>amMbmWlscdD<saCt!(Ua@2zQr zhc9nVb9tHNz4Jn`*GuJu<OJpgUN4P3C;h4HLt91q_0qfi{>vU`eqX5ldv)Qvse#{) zFI{)ij>)M%YR25#GJ2_xL(2G-?s1l9-@CSB=JwKP;~<vS!;1=j3HYAiR;=BsFl&4K zpG`GaGfEYgtbg`aJe$|rV$;NDvu@^Xo;BeT%i?Prm|Z~Q3MK3z?81Tu?QcIZKe|v` zb?UUhec?|X+o$-3Mqi9@$~iYxQscIsp}@8Bs#D#4^{tjley5fig{yXN$y|A8s^L@B zj<sgp2aieD<fNZrVQRh`qqa=muIkQ>4PmRMNc@^~&HL6#t{I<#E(gbD<Wy`(3$qR} zTT;?tl*l`;ZQfzE-Df?b&X*k&loRUvpxmL7v9ML}h>}1ehtmlq4g+%s4R1~R503mU za(_G;S#zufN*h`$T=`sN?l{Dx|GBY%%_Jhp)uLHGYQ{k$j%0@B53G6|wI>*tvFcd# z{t#W^@W(S$kyV4EQDpB6zXT@fC;X~<;ZqK<c{T|$NIq)j=}=&?=wRUFuv0i^q95|G zaRP@}%0))4lFa!x6dFY;oA_jII6SX3vai^Y#3|LV^XJCAMzwZv;l;l_Y~t8TGUr#g z?BLzhdZkvQy4vnV5POx8`X5)HUX2q*t2P<%a`|)oUM0VFmw)zjKY_!RTTC5iJBf%i zyM#};n{?>sS=9!)FP72noVTuo*19^b-}JeB;)<%yLpSw<gz_8?32ynIs@1ev`*KeJ z%Z17H+=7ZnUs*bPl<I$zRa{}i=i6N8Gv(FOUCo;~oNhRa3mlT$RmU*@!wY8lUmuRk zRx`|3<FC>vD`x+|*>1()EGqGDN5lbjow&s0$0ubDsQWx>IGXfR-h2<^olOV5wn}9) z%-_(>rj@VP=zk;C_0;M={oS*S8~iO)nFGJ9l{lb&r^!*`mwb5*W6hyu+<U&Kaz79@ zi(D|N^#9{&+713UmNH#vzBiTWM@yu}hL`s5DwyJDHHd!J*~GF+j5Fljs_kwJ^FMg8 zXoeo=WVEqPl;8-u`=;=#eED<c50!_kLOb@!geUc}KM=n6(t-bX|7vH}nnN-l{vL15 zWr&+=;KN+|=I`;=R0cc$9g__%94=z{-M_n-@rTVr8C5fd(`<hV3zxl6pR>uK_ZU-s z%v2GB7ycI0Qds}(Fso(lcA6S=QfoohLYt>6nCfHfk9_M|z)&-<pvR;!uJ1vA_o2EQ z^8|zh9&&UjdiOXggsgRv6iGa_{(!vrR9l9$xdm@Jr?S__h&*HX(c-9n=Uv%cwgc*C zvXquCQkb#Lny0~k#?hABp?T`!4D&af6IykA;ev@_uAwmjeN0<4pE)h`+r}Nl<l!|z z;6t~SPN#tF;kZ88_PcH#>>aMMlNg_U6)m6e;;zl2?~_6pex7($?%{UAJ#4*mLrA`; zVpTCiqI~CvCjxh;t0=}elub~`so~}b%a~Lbv9!d)ZbglsL{K81#F2fUTQ|I}IJmrM zSAol!hfT+CGUdJ1(|vGFK%KjQi~CXK=GvHt(t$5;ypfCEv0~1uhkpHk4|Bz}gf3iN zwqgQP>jwGXZJHfgnl1l&n#31gNcDfA88P8*QIqd-)5fJ;hKWz7FW^e`^7v}ZxrnQ+ zWrO^|_NXsY1h;6$T^HefT<ZEL+5F8$4#l-Podzt5Eg2I{RfRUcSba~bIUvQi^TN;5 zk2zR!XFZLXSo$PhWA5$g&)Mx>F!Glv)crhe#ZlY&&F<cY4>}vR#4=9XCevXg7@I05 z(_tYR`&9aeP;BGku63KvvMuUbSLDxo%_6iia&e<ssrRL+>alANG>F<PIe)l8RQ$n4 zC(eG+TUS;YdEao(x+*O!k;wn@^Rf$?##Y=O7oBfu8mJpjaFjUZ6vea0KJh~8dZD+Q z{HhPGHtSxf5b;g<^~)xymy<%Lv_4hdCdQF?kSpYZw+YK}%h*8u(?J5$GFJ7k()+$( zLCw=S2Ut`)y2Cc@=x}4ooz!|ajb(mo^{MGAcr8xlSaF{=5&R((+PGLJ{K%=*3ftUW zIC_#c{*GX4GG#k$`tCXVmY20xJwod%S6x^Zw@6MV+=zcgP3NWqg-c5nw!Tumb^eN{ ztA3z>Os32QwVi4yKZU;5@`V50#yIon)r$B<ngw4pc{3*ed6c#5)O3v-$1k_PWu3^l zlTGBh^rXAYY%a=cySVlmzA0wRwaQLCd%A3zM#w2M&6$Uob|^`dUS2R;<d(>5%bl}# zO>zlr+;GiVp6kcu@0?%cIL~Z;Zznk8{7iPH;w-V>7EXfe4*g@$|GZXx*Nx!Xl7{%+ zN7ICFnKaji^(JljZsIUIWU+x**;S2anMzNe{y5g~`Ei0;+_##WqRYF!?_tP2^f08B zQ=z0RvLxf59oL0r?~m1Im<canVcK;gaPiL1Dvqx;Gt*a{-zjd|b<xtV*sNBj^~OC0 zz6HK!w<?Sogxqf&uwoU+J$3P{p;Ag^zjMQcr+Zg%@J~~oW6Z!mE%`=a(e4h8A2x|| z6}M<!4UE)x-=emJCs=vobB=|+mUDEDD>^bP^tI2J%&{&^SAL5pYiMY;NW-dC8H@p; zQ`fRzt)6Py#}Kgh`<iPVEOwExp&u7)+O<mRxbmeH9UOMyYvyQkhicE4v<tS~q%FKR z<FPQaC}-}A%*)KZ+g7+_+&?Dlc|yd=N!h@d!;zs_l7ZoHp$G5h4O7fT8kjA@Y&7%? zG?a{N%w#xP7>fc#I9Qqz3?w)l6!<(mr8*hEtYBAvcS5~B;(g7`$p#r8`n?=>S-;}? zeyaVv-Some%9k(q{;REf_(OJ{_7tI$)signpUj)Y-&~kl^?l=-l@)GtPG+?EdLP-& zG1V~m{F%9Z$5Jjg6rO#+Uwht1=ezpSD}iO|uTI&|642hf?w)nU<;zvPtM9C{eWARU zQT0i+%+(p2|6jQ9Cd*)r#_#Rir!MU4-Svw9uETfb(71<BzN~b={NVWJg(Y)>Ybt^^ z87qB{m-v5nU9FOya=ntr+Sm2M%jIH37#Z56{SCP0r5Mkh&#RWvzWczFlKX32MdGd1 z<XU^GzL{4aFg-m(gXLj=_w1Rw=A4PvI9jD@ZhHCFw#7U=9%`{0ZXJEC)S>W8aAoL$ zpL~~Jo@0?%`g@za+vye4oa(%9M7Mce%W_Hbsou@kbHhF1cdbY33xfkqyA3m@@k<0n zPrUTtMCO*In+z7{KFs_xDd2kEkBt><lU6MIT=MIp$f2wxlUlP<{)(8Rg@V;zH{ZH! zAv}Kt-#4G9UQM?`qv}*mf+oe=n=WP8$`rF<%Q3A<N0K^w@4deI;*(jTgpaRllApUp z2}f-ZbBf8WW<}`(Gc+t69ZU4`{%-9!<~QYMQkMAiX>Q-wf6_>KZ`|ki?A^=9r}Iv@ zT$phn+%?^Jqq^($P}6)b(RDwstvP8UB+x0a^BwQm@;D_M0ek*Oib-KUrSEy|XKlP^ zm$JO0Yj64~hWjhO>o9I;UieyX`?@UCZXWS(d%i!in73uYwKbuakB3`bmUa8M+<W5P za0R}WRcmdgZV6f3t|zi8PrHPFg}7p_=Y|6c(iSS8xMryAvYau;=;yKCO>=^#s2sJm zZ@#6M+waUUVUuX^iM4Fy*WF$jIrh!TRhfTfzN5^ZW0~8&hU8^0i~gl&`)Ps1s*-KI zI#<gtMZRiFKD)R3$GYu~yLa4q)5KKK@l;t%tK{<arN6u_>RIgqLk}7UJbTS{`9ff* zsDaSmf^N=c#jZJ5+n+{$m{;JqHHabCsQH+-*W`vhTa#XKaeJNFS=^s~{(AFFDYm9h zGmkv>6O?I}eiFE9p3JG<c@LXI-wJdYnBF}<&&c#jaqNt4CHJhR|9+A$F7)o6{iyTs zifxA$u3E8AK$;`-Z_QEOO`Pk`1bA*q5c2z0e=6Z~2czJxvrMMnr#AmrU@u}%jP?|t zy_8EjZ280Dlj@5-pKMy^HmhiMtifrk`6*@p@1LzVDvMvXrRAD<%LYD2i9V~0^nOq2 z)$cu4ZI;ZaIrZ$3b?4Is5*#ajNbi-BX?wC@ZOoHH3eT3d1eSd+e|>~$_BDgk-Asu) zn6^GHZBLMs)X;H$x9Bai^X$(*-f3s2N@XuEzLD2apSDwA(zW%4b85x!T7AgNeK$9J z!={Hk49REKU3c~O)@(Kt5k4e+>FnW{_(#7MIe%!L{$RbJ=dUI04+App=-aP5KKEuH z-@bRvQy=?Z<(>Q4!RL2ln3BF!m+p=uKeis{GFiN@M}pz_6#W+GDG8e_PcFUL^G@Xc zI=++a&zWt_X7Uvui;!Aw@<VKHA79cQzJz-mTJ_4`Z&k|_?vpA|zjb6eUs9FI${sGs zPs+`5-dkRj|BHTJH|w6c<FV5=N0!v(%-7#*W0C(M-QTY2#=Pa{?`+OL{wnr?B)5Ez zV1Gbim)uS1lcj4HOqj+b5HaWP{4zDA(~E<J-wQlpJRun1e)a2t_KEui6{?LRn_Q~H zr0WiZuh?L!a_=;=qeg7D+PcD~Mu%gjm!e%RSH5Wc@kK-NH2-H-KEJC+m@1b|`u=@^ zam3H{Sx<h4cBx*O;jnw{)+4T=$x3cli&p%fXnboE&)3I(yB5forYY+E$rrircV=T| zn3#p4?9^jRY}UNFY5FH^&Hj@wOvB?suPs07l@z(aMsl6ihpGH^?|&ZO<@F(y``YZF znBd^r3Zsv&PR#F}!4$ZfZ`-paGIOf;>pwocNPUiU@g5uN>Q4%7pI7xys5Pv6)b}MY zW9w__ozm|@YJTK8E#0Qky;1g$pyhPEvva>(V&yw16|1}FM``2H)#7dotY5LUGl(vo zxouii`PIj~tK@FoS+69S`>|EJI(@Ik#t1pZDTkkRzwmi~aNS$Sq9wlHT)5*7U31KN z@`87d;r-YDzqemrBHeNGq>tP=zv%2#LAL1&c(b1=i+nx$YuS~sH<}TAhU@3tn!KU4 z<N5sfrI~^frmA^cx)yGj(A2zZ;|Xb1yR>WXWU>#Wb|xuDq%&2?FH4Y_7Sf^k{P^?5 zE1z;*E~)vc!Dj#V(yd=rmDe_E_>~<HKVWcac^2nBfnM3)a_+Ni8aq{%E59jpKJhkS zX`Z8Bf0957yX<w1J=?NgzWV&|@WSWcR_4jvPu{jvWSVyKMz1E9-04UEsmQY0-92ZJ zmpV=HsKjBv8rLhU|7`A7*z9n9Yw_p%7d)97^-3LQcSSAPxF;^kOJ(A<*h88p7*vgI z*ENf6k4?LK^~<GO_q8<c*j#8`d0X*Ix8u}vD>ywYPn=t~P;>gWk8gfgI_x{>t{jsz zi{DS(bVu{*)`C!WU8f(`?~i|95Y5dx(dxK}u=<L8{w~+c#Xe`Eo~@l9Id7X-N<FXs zKL^f3&VMKTRSe>CN@iai5x&r8|NPEkxue@o)@|hJ(Vyf#vC`|Ya+P{uWWe5olMlTq zJAK%C8^@)ca}6X~C-AkeOxO@L)Aot~0^6Ox-|_bL*?ez!(^qjIfBT~I>cW5h3;rHU z<=e?@wdP`Hi3y9EM)J+GDk5b&6yiJH?7zBpg;(LcobIy4ChLQ}0vfu)zMX#1viwI# zY4vImnN0z=XKp(4>YGPdsQX{$%2b6t3`+9c@7T;aJCDrQxU}eLX~XG<0W}|QML)X! zicRdu92K_4HQIp>60XI4zb_CenQ&p(TkkT(c?J%l#{yT$?OEV<a<TK8e;F%mANNXX z*&EN>#%QeRk^SLRp4F+KALU`<;^}kD<b%x~IbP%0xGLhvHNmTs1^1nF3z%iZUH5mt zN~7AV?fs>DdfnsRr7emy`P*|UK8VxE@M__djK$fr{%EK>ZT{p{BV2sJvnM80%4?^U zy$^?1!ancM%Ql(p36&^1mb+6R_-4Xs0~z;Dp%Y8LDW$CKdK0U2bmJ)(!$8)czq`X~ z8yQ2-N)~h<(g_N_94Hg^WwGS9JNNhdcpc#WY4+!Wf08KEn(dn3`fnVGv7TNLb@;^- z0ey+JE9MEOO~_R_v4sCv@Y&NIA}w6+mtEZ()Dbw-AV6>F%8L(n9^2=jSlbx$cC%>W z{*QVJkHR~?#fuuv3Q?Fo**#<aGsDFXH+RqT&v<oHl!>c$sy8#gfK*kNZnCw9g_BIH zuU6*fgQ~eMVwFniS6MooMFdz^Ww^#`Yg;#*7LH{+c1Y~7=+2Gp&Hh)DuSjs(SuET6 zL_qb=Zb7wIl@{DHLO&jm7nPVePs-;<p1zX%kA~zA&I0a#1$VSxj`q9dc1DzqHRc@q zjpQ#}GeftDq*gHOWKutFF1I)J=fW+b93t0zuQq?zOioeo;|h1aTs_<RlHO5oN1Znu z&MVBWCGwr#bAr*}_dDL6<$_bX!nq_FRO4Q@EZugW<KcmgjScpf`hq@ayyN;Yb*fVC z@&h*I%Wo9$9o(FrUsn56roAt^J};{xM{?PDGtCRWR+CqxyUY@P{n+gJn>>rHP6zY5 zotcttO3oGEH@&HOab9|yx{z6~Y+l7m_qpNw_!k&YIjSyUP%AAK!QQ;A=F41@SMO(8 z%;YXCP+jJ7-~-2E>xt{MKL)<u&Xn2^6ks)LyOdv$(L=8Ut(&(eR(!gxEB{4Sa#qET zH_27U%$N_^b+wf-dCA>7*B|W9ud!j`@)gDHPu?sLShKDoFUh^Z%P_#hkIU?4*Ns<? zc_)1<IJ?g0o#WRhg>MsgRwkN%%D<mz%5^QR&%tYh$hn`aWlQFW9W4H`Hmqg${8isr zmob;ey^M>U-Z=k^=+Bgg-Z8E<K9fW(t*@!O98p`xV0yY+&F9pm+ghJq++Js*DIVFx zK6k^-vQLdXQ3A(}c>G&*ZgS;4*S~k{rB%pd_a!^MuPgO*U;Vzf#AD&h1q-B;iW#C9 zzuIrp$^E`?qcyL?F5Y7$6KCyCn%Y};)WA$sLd2-z!{S8e8?U%{ny;BHkXc=I>7q#E zbdK##cOTeZ&$+al|8?j;rFg;0qWM?vT=HdH-gV}c-<wZvWp)cDs~Wt$Gs&4(q*>*m z%s)w%gr8f3oj>kh&Ut6g%!7NHLw7G<WExmk%RDuAsnD0-OwVGPR!1`ajn0~?QFdhO zbA9<>`_{8e63x^1TN=nzRBn8Hdi|4CHUR;%F2zhKlt2E{*n`iwB)fI-ule!5%ojDM zKGz8Sw@~i4-h-+qp1n+Ke;)RovUZt%NY9BiJZI}wUbbXRICH@D&D-CPW`6$=z3Rz= zSF8~`<tENfjoRg~tUvTj=m$0S1$CJZuZx6nuQyVZ2=ksdyVQ|o@3KqLX^UE{<F{Q9 z%3WqJm-=&Qz*lyzD5FAA#eBs$Y4tF}=;wj2_SoKjb>@Cu<pLuS<MlHOK0c_PDN$4W zH9aj<DR#yyw#?KOy1R=tPl&{BT@(|)K%{&t_d&t#Vot4D7B`nq%F{ZNQoLs6k*X8A z_monvG!!r1^}3-x_(I>U_uf|yKK88i-p|zMtM&HclsMnAjBxf<J61Ey-*WKImo|wd z?*CWX^PGtme`Ko1;(t{q;J82g^|GGE{=?n5M*{fdPprCjDt2B}4$FJafDKo4#X{bS zJWl95wYO#SDz2wL;==z}Tb0|VTwL~sqgkm_++Uz~mFkA@#~)vCW!cC~xxV+E#&;L{ ztfJYc^GuaQj!D`I3LG}+xF$HMqwRFu<|2=3nUy>fY7aE-w=<pVzUSC(pVrEK@-h#d z4&U4P)#mZ<b;g3v9+wrdd-B(wy!u(Cw4eLImKysD4ojDb8J`MF__TInz?)BNnqT^N zOKg*s`N)57m3{BpC%GL)7ldxj`nF{4W%j+9ZqJ=0_PTsuxUOfyKmA*kM!N+Jq!MmA zB|C7>UY)YH%XmTRylvIj&+dC<_<bJB?q_GGrnd|5N2=-kkvK20^3}dT&YtfFieGFw zJmJSqra8Cgh`zjPI5A-2k>eAiWak$gF6e(7Y8&@2^NjYV|DP%gP0QHI<ma8*rq&W1 zvUcgQ%d->uL$|wMRTkps?z6W|&g9j8`}8}@wX~!0;y*23US$dj>`Y{szE6GC?ZdAG zBiW}KX@1z$+}v;Iea^^FA#qB>f}oIlw_4XdI@t2#=u8oj(2To`IkPsqrE@QDTzqg- zRk5L?-NM|-A>sdhCzT0Bh=&S#c^9ia<Cc-l&0m?)m)7^JbH}-?{xH2x53|KvV+6f- zoo%yPAEB^j?#=}v3D2T9+$Qu0xf;GZaww&HnufXdLdgSVCk=MrsAv1=a(a26+-Vl6 z)mFcfoN|7qMb%Br6Hz<qVBy)z$!2*`v>^7KYGZPZ*KRYLvxmQ}SR5Br60}$OZprs| zvzZR{T3vpgB7asts6$J4)%|zLMs<8o%^i1~-XK{}>oU*#tKV$9MI7JseBH%uxt9nY zeQTSa<673-@@dKPEqse-+@6ppr@32U%Zt;8nqJzS|Kr@(&}U;Ld1=FuHIF>!MJ%zM zB;L7i?QG*R=6fgRdxWU^U97uRS`;8>UD+LU&~eGP<x2YdyA&KwaO-$}-ne7cIl)<O zE~c7qm{ZD3oDT1Qx7|~0bx+^c`nwYM3p9Vsxc6m+$KmDcf=!q0Zxp%oKRYvL;nI)d znXMuF!t1vCi}Rbr9QpW6U*=%y!u^*-->BKVp8Yj%(x(aA<-KJKE^SNxDzWUXpP9m` zU0knkES<M#PN*)Y`n3fLn|pRWyfNvQx%%A%ckAj~mur7`+{<v;>+&IS%SsQ<n*vk4 zcLh|sc*l7qDx{`LXE>cMF1#F(vgVG*?7O=Uo1I(ove32T$A00A2MK+GuWNYDM~baU z3sF>>zw5+r4&}p7!aqIxlYL$?W9AjbNzSvJCdx3UDh0hv$?Q;BxYVhy(MWJ*$tDw* zNf&|?k2{?8$roI%S>4?_U+>YuM>iAhWy<`x^mb!DoA0}w=bD%OI2V&<{itH`jeC6C zBOdcj$oSKL`knnTBNe6xQ{IT!T)CisdGo4N%ULnTYqm{0yPaKe>FUCJ+iDYwl=D-+ znBAVbZNAmp@)tR$=T9lqymb4XuFEUc(kR2kCrf|o{dMe0oBKd6$@d<|G+}0$?YfUF zF6X54ZI?BaS^VQ3-)5ah7MI`b_7gdF=EReA-Un`ox*gxH%AJ2SnZ-kHTXQYvPfq(^ zHjA2ljx+Nd^55wDyL0wI@BGI)>6cl9m@YbnFOqzJr{BqXo7YwLVzbD_6YNi_pA#06 zS=^H<@zHF~qiuU)riE^rGxOBPgGZ+HFKp=D^xN}bZfH)5>%zUyrsoJ|tL@eEee2@7 zHFmB|&jS0I`<+kaYeloTaL0csj!_mqx-EoTp>%Ju*~CTqpSYVdwI6t@MK<4ZTc@h! zH04PPPl<EQ!#i_y4z~U8_3}CIm_I4p*DXyvp>4{XEe%Coyr!;fGxnIc<!P*Iy5H9B zv5|Gvd)4*p!rX3Ev1LBrdq4Z}qV~VL98RQ_T|et7B$Z@6+wqG}+Rl>b*1q<b6@QjS z1X{DmHr<W#t-bQ9%HWjD4dzEFA2>?qTTJ<%T2d}j$FICODm;qOQ=-mfN4L0Jpbg{O zA6#q19J5?ld8Z!fPW17x;SBmKcr9$Hm2t<u%9UFf8g8srONo$Z+uD(#D<)tYd&F@T z<EDVH>w#N8rDeWdZR!{6s;-|aa@aeaDJn0bt3RFVsgvoPd$lbe=kRTxyRX<=XN6aO ze}?F(jdzN+G0qQW7szHZ<yU@P(EPK<^}w4woK8<2W@lX5aqdI%oq2~I+H5^uXK4E^ z)IV;W!2(l*JFzl5bmCJ}B}C^d{#zELWo*Ayh@&r$@wEIuJ(tbxIcHRVIl1g*mb`J- z#c+v&asTnHLA7(3_>TL|nIE2Dsjin}Uc|E8_>`3C&6)}3_x$!SaUN>R^K+lnRN=kl zSLH&3tH(HsS9q#qFwAy6*8NH+X74P~Ny2B|`8Kgvtma(7GxLLq*Uyi~DkMIC4{8$l z7j@HdI%CQcku}Ok?^lVctm<Jjt=f8JyZf<^{P$cNm!Gnj0!FP%`qZ<Ca^_P;%gu za<H!!%c4X3%FngQPH^=45T{`x5gWOx`}&*it2#01h54t71FyYlnWbaff6F7;W$y9+ zPcwdNJ$F6e`HcHFm)^SQb>fb<g|B9(=BfvA&wrL^vh;7esK@6BsjUU4{#Yek{j9Kb zqilBT0ow&3a+em^M;_fHcx9IOQogBXhb^9{ZCGO?tE7D<^2$W>;@X?dVK3I$Zo6@8 zB^%dq%k&9{)0owo0;Lrv>RKhrsAU^kv7OlIJGtiIy;lkve8(3)JjC?0emd`sCK=7$ zLEmPlpL^$iw;*<vo>1kgKl*lawl_>E_vo#VdNWUsn<+o$rU+L{h2X*bH{5*k0^6sx zZPom9^PcgSC7Oy87vHIv@KoMwc2h#*g#&?>k8-jakGdCLTdbQKkbA1_QRNq#(pAcG zMXD!GoS3-8G4<VxxE~Jtq(vBgpR9I?W!YP|&tPu)o#ucH+Z|fRum1OV-2BrsY6;^< zJJr+MC&n_km#m3oaj(naWplfF-bKb<h&lhTsC0?@6@@(u{JN7hIofZ!*S|3T)XrgA z`z$f?`JN3$@!C7}Wwy+i{P*$hXZP-Xo01XW&cZj%{=LVan+tn1|2O~J7<S3PaJHyi z(_{(l{q+g^%TAlj*?O=tT}bW!cb6Ie@`Y2Y_Mi1&QQG%xN|R`$<gSfZJ{o=Aop3ai zxy&!4+Bo6Sx8A*cv$<tXIrygfiHix?sc7|f7j0Bz_sGy|lTPkvwDDCjynepYzUhAY z!yUfU?RUF7Gr8~!m%R^KT%cLIJZbms3roXG12ukpDmrWXYf--g)Ai}M-(0#DWpZ@& z?^_%u1+^BvcDZX^l@ENsocL~~cCH9#!TWoysa{&&{#P-WtF5RJ=)YZ(p{>isx+k75 z**!W_S!03qF9)4vE}yxaoOl2Kx=P_okjD#&+1}z)YdI=(zg+%*wOg3M@j093u8y23 zCMj)4?oGXV+I#W^cHI{*pFdT9;mF>!k2{?A?}~`XUA<E^+g{Gi+?BZMRFGl${en+_ zL-oR#ua-LX^J-Vke{;v|&)+YH8Dv8jIIh|I?O4d%N{4{JD$&4)VW;hWU0#~J$;mw6 z-0OzSovbN(j7PdGw~DxyCO=)lbUo?pzgFSexz-kkEbmU%nfkFWdy<>#v<3Ih9OiH0 ztDZSE=Z#0ti_?DEP8m*<l?^sbI`upF(QA3tf*iq5OQTD>KlYw7|37_oAK&!gDzhpP z@y!d}w^+|H@2HaYkMHAlUEws9_xmDE!H`K;w{~piOPF8hf4`%5qGEA6yG6$zp<gk4 zR<#>ftC$zP(>pE{{p9-Hk`T{}$3_2nzF2olOCUM+$Aa%iZ`3!*I2cEmf4dXEHM>7@ z<@#`iQ0c%|m*VSDx1tCxTmMqKo`C^``BAo_<mKh$6{GG&De+-s`1^y|PRBh)+)u#c zvsN!7&({Ec5V}y8a`oAY_HX~=P4Yf2$_?l4OlW)g$er=Y|J%0`j;(bCZGB<j5Om^@ zv=w4xU}s_wS5P|EnX_s8l%n^0zkA6jNX`<QEXMay@uWs3`$O5|vdenI%)FHJIpqcB zt8vFq{50#sUjtsFJKEf~UmhIp5Ob-x(a5tY+v&y8-sBGoN>hyE+H;a-II=%dO|7Y7 zaOU>3s&Hht>bNVkPung#qGncD&82->>UTsu)a|kp?apf1t#w&xSopSc<&kAmZcUOB z+1y##YS!wOWcYC2lBAoq4<{$pMddt`Sif6#S*63Cl;ek$>>3hUcx@U4^k1&N6EU^; z;T`TfyfQqGH{{9pMNi9*GK)U3Ep_(JQ`v_~gPIRAb5wgDFW_b4SJ;<*RK4$DGsi@( z$seU+9ts+lct{C8YOe4U-50H#!0+&bVNol;dW%`jBPTJteASv;(`v5S2-%$#%db)D ztf-Mo{CT7`(k{QF=9bXUH9J3MhP)Q}S@S7Ucwx<~w2I^EXL;WKFflqTX*V(Ahs`S8 znrEMW969*0k~{OqmY1EyPtJ8kv9Da`DD+pRv!rjAtA$?7+~hD*Gux%2%U&8Dwlw>G z#btGrT$HSooRuQq5(BnNS1)!gex($?w&;=EoCx;tr+2hwM^_vcJGG&+Hfzz`sHMr* z%$_Z8`6jvW<HETG!2-|s7(BEKVQl7*j5B!T+`+%8x=B{~m|=xL;>jy-#II}3`ZO!x z_>C=z@1}N!+ZD#!<tNzX&YylhD&p|+&Liq?y_L3o=)C;+^S0y5*M9mKxjCz5*4BRi zTT<C<FP9kztu1ufStIi7#KS|n&kHgOb{HIcc<xR?YK`&bqh=pndDS-+ob5haUAkMN zX0cvQNo%h8>ayG&Zrgo+9ZHjonwpvJu|s+tm-NE}5B}YGbBF2XyBn+KM;#5`oa@tl zY)wOkDgW6`A6*YFyLq64KW-+U+)Tc>>3sKQ^Ua&h7dM;l-W<MpbNJ$W`OMcaPvr}? zswlLI(2zZ*AnT?edu$z_au1)}biRAj_~uRHyElVx-VDBi88u3^sx@+<Qg+4aHIG)* z-1GUdW#xy+->Yh7wbWem(VyUd`OAkNOJ?4ao$r>$HQywEg1?H3T|eK?Gm@V=KXd)u z^Y&xr;m4cP-u^I|UUSLghsmO&d7Se(CpncEZoQ$h^T#5GG(~;RMIHQR8_dPpHgZV1 zbbMgASDnJIn9}fY_t#4wI$hS*$i+(76&uz(+EjBd;m4NDpKG>$@LU}zX4jjwrPcrQ zsSllB4m}rK`p|g!Q{nVYA1aO0f2^4qW9K{h+y_tN>>nmZr9YMgO4?0K`eC!nrskQ( zH2*uL4?nxDuer6Y=33YflZ`c(%6^zQJ!E?D%;MoPfzywKIeX79HnA(ts(Ezn$DWrT zGjBiM{O!2<S~sRonIVrKUshrM=@Rv+GG*F_nZ`3~9-UE}Z+`jI^0O%qH>Yj;kU1;d zF7p}he77|D`4%-wPd`*HIh=m(wEDZ#&w0-*=j}W{OLYD-(fL~>#AL#20(aQH>zyJ0 z{GSB-iR}*CR9h8N4kyoEa7*?&*UYk<V{C;xm^!W+>b`8|{QgAYIfrC8hr8UXW@X-w z2PbxXd*ESz%+``UHD*e_q2_H5M{}*Ew?%foC~FESF?LkjHSxpS)?$O6!=H3do2l!G znyuA}?h)ChE3!>=+sA@+?S*zVzY}M7M@^90swZWtXq@GcawTWSvLicHj~RYk+nH;9 zM%~Rv-PK3K<;(`fXM(e%=R8h|5x>9Z#<Ui(Hm<j)MP^B8nivFTg!*JZo+JJE-Ve6p z+m;9v=cMIy2Wvl;lAYY+Yw70c$*_a9qxWV3vw(H-;w4Lj^x7ll2`a`tNLnnY7`I{j zmZ+o;3Ww4PdE{I=LYLi0xturguCUHD0r?+}+zK`a4C0!D3~od>>D*!B(LTVyT=D+; zQ+>N)u@9ZM6^?zZEPj0X*s=87UjNJ8{<AoiwVYn)^z)4C&m*#*Dpv>D<ti!7-|Av_ zR^2(2RX2R5&*@H0pNl8exTMyZ&1_Rn>}t-@yL;kJ*3`9DH(gv!olI4ObyBX0Y>8@8 z(^GnwC%{_kk(KDeZEz%ybKy+kJT4{i`IcNij?7e;_p#FX@#Sg9(tUgVH=h<Wao_G# zQ=;~L*U_Ea4~}RbOMGzc$!&?-MJX|-Q#a0B-M~5BSwoHe*@v0Vhh}bIoWH|xJI{|j zCtZxpEbMYqv+`@MotcqaGb<`%k;MEhAES;a?N)Ee^5MRG<HX?(`GN!YHU-UG$QS%4 z`I_eE=p;6y)to0<&d-r}Dt!F-^R@l{(K`;O=bl<#c}U%NNvN=0p^n5>gGH<bt5_vC z8Y>c6C0_NGw(~q{6;1fDZmI7w)!rWhi&zy>1Pqm0c=V6taW0<hza;SF^NC9HEnVyi z%O{?f=A6In<H_{5a-TB$eC&jOnjBY~Z{lp%o1t=tce{Y*G4&v+`R-XY&#wJAvhic( z?8legj;F8f^S|78Uf<5wz%DaI!s+oO-U+$Mowr1v+~Q67Ec~SV(D&odIt275Om7kF zYPIgz^H@gi@uwflj+@=IZB%(2Bl-BuoQ0K!XM2nDdzv3d%iKOTrNDARM$VKeA#uVU zmTn-uJ=gCG%vN^V^C4DSIz>5TvgD%P1&(bp4Hb!dw(%TKV3vycF~NoVqawRPoPs{5 zk$r8BLo>Iha>j&%tkO>yj&MuoF{HHTFiXXFY-&`v&61;>+L*P;X&s~1!=eR#%Rpu= zk!h${cyGp={@zIq9}cp6S~7fe64E`=4iax{*?sWD77&YZ&$nX6wk48ICk&EUc=e9t zaXy&pKkF)|Ijcddf7DdY*^yg>O>~9dIf`tmW?FH`&G^EDroE~sj;pWhJwID|{x);F z?An@Hbw>_(JdTo^-CmHDmz|T7RpsNT5yQ|>ossCVL)yW~uCTo3-kTp=u6~S^esY=T zqvFXGEvFe>k{1gqT0J<}%pq{bfmvjJn#g<;&9F0S%clDmbDiaUds^LhP12#g5^B5E zJKUWvC^)mb)f6P|Sr#Pc*vzA7r*iTGBb!u|#Cm68P`#~n`D9u}Q_F6}DP4DkGV&5# zxaAxgIV2J?4&IxQGm&pfiNm6n(+e*@yrFy0`y6A3xJ!da)xy@QWWB^?VhI_GG>aG> zv5LQWBGXWDuyu)elz{$A_52--A12Lc*?lkoWSqg73166abXE3VV5;;~XtsFS%#{`t zRB>FW)qjcl^94QKpM2F)qd8x!c-e94`9<FOmQr?wMm6`g%(-pUcY3?THp`nEd^Q*U z+#>riQv1<mnMcJZm;0Vf*PT$@)Fb`n_?8(;^OuEAot$;VEP8U(5mP=B1J{JlOIi<@ zDBd{WwoO5S@j&y$EcL#5H7=pM{mxzOkO*gP?9DP#JjZL;*OBj<GdcBO!jjuh7Au(T z&nZs6xAEoEIWmu5{0J-Bxb{?=G{a1B_LWYPrWy!!DNAz7uRJledyaRbwy>mmY4WGu zQ)<zh&bqwyIJtGP@Uy^`C!PuNEfIIyR9NzKv5ckIxw{9}8u%UKZOb>wF%#c*Gwn)_ zZp`MZ2DxEoxla{i<RA0g{r02n#IoaNPNw$jrnY+7nH`)^BjI^ckY8T$<jaC{9C@9B zc^$n44n3cDT~+aL>N)a6qoY61tHC0jiF*gXgn098_L|v^Yniv5TyTu>dQHN<gwO0I z;y%ny+-AF`D*S$UTQHi}Lpm#AiO{<%f|L6f6{*fKE}Y)8*)vvX-_5EUYQI<AHQ#5r zaqYE&wQWc0ZmvmOc=eU=<*ZGz>uzeEdwKAtA>aA6efiZnB{`Fyt~~i{N7?fk(|dhY z#m<;q-V$eK^m9Ui`H6iBrG`N>l=bX{Cf|NEhlj^++0h-p9*5=rP}AGk>5{WKEX&ht zhfGSWnbvyF`*N}u3=XrYn0W+v<WJb8sUoXT>g%EDu&L4Iw8B<Lr)C#%#XwaLS%D?% zc!Idx<J^n;?#Pt-xhwV^l3DrS#j(JCWpnq;K09@HlaqqH)>%a&4Kfq&gq-t!*F0&m zqWkVAR!<6^R6SV{#OJ-vYu)Kdi$jY<{PP%gC|g7^9cK+cSYUl1P<w~ygQ5pD4>UOE zh}~&DRO+*%kEM@Gy&-zS?Mu8T*DCG&_~4r3-LM@mKg@hx^W#WqVa>g^JJas0njXEq z#JW(q;qB>bCpR|U+;hX_#x8Yf?i}l!EvM7iZ+6_s5Rr~e3Qj3bGIq%IIP3Cub=gug z=Ug9NHd8sfVuzYXT>;7t;Y-r8=g*az8^pc(tdHWe$uCp84Bcl$`H0U*uqzI%c{Jt6 zo>I4(TPuF72~@N5wfE<8h<0A=Z0)o-BE#gufeUdCi#;-~@bn&9*toE?IO7WETTYSt zyR^1jnXfMWyz6Lza<TM5UY^~hL3v-!o>kg)b3^p@r*2E{SQ(qo^zHTSd)xi?bQ!bs z(<J4_v;DpEHkKykgy)#|y-iNq?3-iKzqUonHP|`ib<pcowJS=4Oogv7Ts?M$?dp{) z1y^28k6IpL9c+D2C0Wks_6!>znHlkCgl07QSg0u$_s%@Lr@)8n=$m&lmPam>T(oiF zRoN{v7c#_dab`5tJlmydSGZ-u`RM7#m;1J#j}o7+#;v|q?xSb&!zEuA7Jity_>r*t z%9?w>er!=(u=(+3wnNKr&ivr%%)rG_!0+(?$C<lEc7-k<DzzP!A78$9@#D>IvwxU) z|5#F5k-@lQGxLu#OFwolF08qC=*Je>1*;!#zQ#OX?e3$=Wes9H52PMUerR02{>PC> zC%gQ+a`U$t%-{OH&wsMoC(qXbKTMJynlK&EZD6i>#^TWZ<4CD@&Ak<J^S3c}*wkEW zdvIB#f#ca^vj!DqF_#Jn!^7<oHYE~?&HUyw7#ZxcHGY_Qe(*F_d>PL0t^NEg-A9+V zY(6e!s4F--e*UfH%hUR2ly%%}pVnUXe@Ovn!N)TO&Fq2(2R1NDM14?DT6vgDBZi^G zRA#s86yG`3NijhkOBTzB+@Iv6BKtJOx5lM;TI#IawxgRS=62>j;$0%)CX_4F8DkgK ztehO<dsg<bG#BrNsoM-67+z1fv0_`Qin01JGvnv8DxGvDo;5hvYp8c-%Mt<4ZARNl z3;B8qlWMf*-k4TAX>pQr)Vs%zjK6n&>{RyrvFD}(Q_>QRZMxfP(`upvZx{8RZ24W( zS6tfj`%(1Eqh`jN%+Af+;Q6d&wv*)XCzJf6#piF$@9`I&ps4AgDy(e!RKW6iOSi1i zyO-OhRzHm4nZx}!<<7dA%`s-T51C1s>RwNo5owm)5wYy<5lJN;>AOj7HM=wNB$RKi zo5_95ecSA3b%p23j&&ERXgo0c)y8mpYc8Yd>nJ8ahTL04|E@&1p1Ue^bIn_WwPspH zy6VowQzlRR80c4~Hhpq$p<a6BgQ9J1g_c=QrY*LwIel`?la$~hBOS|`Q|9P+`-vTO zOY<vZ)l_dzS~BOwV-5939|HZ}oO*gh=G@aGay#a%IJ_ff#*=B>2Ww8BJXB<)W_fe+ zoSaVIsC9ntwi)(L`@Qdpo&FBjWp|@we1D#B^*gmwe23Ag9iP0){5d9G$a^?F(|q^g z7io<*UW7UQyvFLdsWjGYQ=px@S=Dj(ZMT%uSDVk-9Ba3&`nW~(@gvt%Kc02_eq)8~ z?VPaovt>KeUbo!XC2y8%)_(Sp!C5h_jjeHJn@&Eul9JOCGI{UHlh=N%P@5b)d1c`` zwdUZ-OAXhRJ-xC{`hM1R|F^F;u1%}GvWEA1);9OE_clZ}C(n{yd`nvT>L-PrhL`3p zHnU?oTq-&J$+yGis%G-r6jy#N$nBkZG)?N3=;a#{GedJyRyoc}T4LlLRa2tYe$>*~ zLi^aloq->9+kH=OlijLwy<$d$nYXNgkFq}Jl1aKgZ<&+D7WK9yO}LWS`f--qPm`pD zhq)f?eZ)WCZ1;)ux0gO<Mrqn*UT;x!;a0MFqrj@{aA867623J7hn@y3`_Nf(=(!-@ z{GC#Eg~m1al74J?_%YJ>(PfoKlf$Nc$kg`yvF51QPm{<WOICi!bWwhor_uVuBq@=F zLn`gV1rX&o;Yy=uP2dZ*pC;Chc9~O7E*Iq1{;^K9eTG{@H-liOZOep%%!VyL3=Z?i z#Z7QL!Z#_X;SlQq_2cR97C#nVe&~7bmPePxj;Ft!{rIxalgVb^3b`gFO_<X3F>2Zg z9R^2kiwR60?yZ{;?2!Ls;m6LBW6uL6=Ua;Te2D$M^kZi7<IUgJe$1StT65{d42C`5 zZ$Ff<>3ERXW}EY?<F1gMtg3+{yVZ;X_bv&ieExI8ZLwMC=~Z_%*VP&qEeYu>IhFV{ z=|WN9vZo$mJ0v14j9A|#9o!kvc=^!Y19#Ou&uGoI&^Eclb-6%AwC{*fUP-a{<J)i3 zHr{p9-4-o++qXB@JbOi%a_W`5C)0W-P09+1**(!X&n$2HsopEQCdQaMF1x$o%CjA2 zX&ZY>9=L3@^xD{4nDfo^ZFSLcnVmOsoK{DwFT46-2Kx>kiQ6iwnh)#}Vv@Nw{k)o( zJSjd|;g-g6H&0Kt9oz~N&j>Uzh%-LnoWE0hzJ*cS`RL=vm%r_PwApOm$IP<&noB2? z?Rq0VFeI^CWhAx=n!Hgs(!NCS$D$96znDOMclq53EZ?RXx%wUC*rk0+p!RxQ+Clb! z2aWt|E;N0b_F!h(V!j>)7EJ@kNt|W}8bxL(ux#{pdVXm6+bl+Lrn_A8%^d8q8*65T z+GU0*J5|g$*xVs2@j!1u_QT6<htuD-`3JZ9M;%vUyv~@yEzNnvE$Zi%iz_%+9{dpb zI&DUhz~;lBGQ}41F0A~yWTKlyfwBXa<AGcT&lFZclb!_!**s;#Jfsv4^V+mbSjb?` z7}|ASYR8G?maY?5uy~pzEs1)%BJE?Khf?~9o$4|khj!$>X0!YFww1R(cR}|ojwNAh zuV_t?+QHhvCT8U86U2N#w;|$)+m>5x(hL($ZHel-H96ZWE#g>OL{nN*r;qW<8D<@4 zt6JSw2l_15ow-nSwvT2EQ$t6@2ZKeeg2pO;7qAK%s?1Gb6<AQfD4_Hy^T;9gec8@> zeFvL6HhAkEc@&j?_)Xjmo`awsrr704Ry7CiU7GZvbIPITf?V@=>dtrDs%kfJ(hnP^ z&oi|j3!5K%p8MqSWw%csBBdApFk$|=<mJcAlxH71Q{Mluv3g{jenkD9*vHD`hnJr{ z*_<}DW>$C2rBzDv-L`1X_fV^m3;cN|^XC%DkC`FXkAzR2el936f2V<6VY1wOa~Zqb z6u$XeKb~H0d0f4>&tLiSwU3=5N1jg<n7>oeu5fzC`PsG)FPEK2=bh+pJW)~9!`~y! zJ;1%Jwby9vs!*Nlc6yV)rQTc;C~6{Qn<%xGRcQLeW2+Y%o^sbIdOWRItw-7S&b*px z#nkAYDBaVhY5`{M>QNcnyE3o&b4_Qs*4rDfgF&KoMq0@0M(JFM<B!b_n@eufTscuA z=6B+y4VHG2?K9d+7Cu=g^!%9Uj)|vs=$UKoyQ{lR`ly-s*1}V!Dr%gE(<B5h_iej! zOmp2#Lr*EQi#>5Zr#n|pG>uVCF56i7tUJ@fcB|F8w}$LDW&ZY5`0W0=qH*W8!*4eI zJlvLP7UbUR^XS%(<1%k=sGO8ucC}`PX-=Q-F{NcQYtERP=_+s^H<{IQi~IU3p3R3g z+*I$InE78J($XW|-L7xKle#B+3SxI~m+f}Q3pLYD&0fX3%5Y}O8PzDoS-Kys8?;Vz zm^HPD%qa-|(DdVs?Z?jIN1u-!S?=3;K5B;l=1%`xLi62pR3uefJw9dqaM|?mb4SPd z7{U1#%69qgHMjQET$@pIDa+TcH!I%GSAD*R+SK#PpIgq)iF_)YzUo7zao~?FGc{|j zo#CJ8fBBEl&m}YUYoul-*k#I1^IxJf-#yB%*r4W7-j6*GKV+VMxOv&(<vS0n_p(nC z(rfn*)P3^smivikBJJ7@?<ZvI^l*Gs)I7p5DN5pE6T8oj?|Xi@{Cf0xMc4T`lJj>M z+vR7}+`92&&C-vVb(S^H^u*^|RG)aByXVp6w8cNx)K=Ea3bf0N<(luNBcYnRgHgi2 zL?W=H;gX^kyT5PyN4IuC_jW_~_CWV`Ls$0mUhMC^*!jKL4dP~)+Vxs_I6h=rkXP#Q zMEGRzlg}%Pex5P?dBpPP9^0Q=e1EQ~4X`_FW0$>b`SInMmp*zL@3pe)J)<$-B){KZ z#lf!s$B#2#KX!ip_hXOl$IRqMo6BbZFxl&Bm+58KYo{46vTB;In8yxj8*5DuBgaYX zZ*&j4+GQ(o&QDu$LftpyQ|6H-_I=r^4<u|lG|om>9Nj)!_sq7a-tKI(%~=~YPfy+6 z!oEb|C9{S{Bl|mDX9c@l$C_(Sigst^?D~FeusreY>xWG3px=SYk2Z@*86MU%mU(oU z$LY4?>rbA{MTdMDpFHel`r+KddBU41<H+*29p`6F_ZR;2$+P(3<&IVfn+^}5q|0ro zhyJFYSaxK2u7_^tc_~5RPJdw?C+34Sm-cDjw0&3&Qu=Y$ok#K=0v8W)dwR-;7<hR# z9c<<hl*<u#<SfLf$E3j}{gmMdw~U9BU{YJELX)tB<VCN9CcYcdvs&HH2t0BQs`UNQ zB*CKl-~h)Ys|F^qf&=$1>E2-!+0ejzf9w3I$sZIJwf0VOQu(>)!&(E~m(G_u1msV6 z9|}LA>{R2y#JGr6KxOIy7TE_5Z>Ble9{Ou@SUK$nLsCn-z#~=n85jK-*!XP)9wxH! z%cdFk>~Q3fw>sm{aN|G@lYWcD!8L6H@(wi(2Yb?_W^NWV?|9hUA^*mqjc-N3Aq_Uc zPJ^vXjPVU8HEZ%V?|dbjSayF8Q`zO-V3mJK4LkXL)>#zqI;0Zr{=}qpzuKZpn$ta1 z60ck9^d}rJeV_G%O|eAmMv|qVk6mFUzt5tMLg5OPp!FAZLOzL990^{3k;kNnEA98P zJ~!ilv#Afbm&7fW{9AnLSe&5Q^6)ovXB7WBDkt`~xa*i)|GJm4Q}-Gx_LQsI+|&4Y zu4S)5%<}d>zE>}1Tw7{!Ho4zlwl_`whV_G0q3bW6`CTYr@n+TajB76|`FQvmi%%am zbn)44nG@UKz1=(~x}qX4H{!~xDR+#1eolIMXHtP?=%dhHZ{6ufvZba+i0xfen5la4 zwdC}WFMDPcu1x*BXIkORt4~aO_8a)b9sI!@>|XRtwd!qBp@(d;@u$Vp4`+qWXf2G( zoxv02E}CXjvG-x#QTIop?bZ(WFJ<$UH<o=^bvRaZ?sYk}wXaqj@;UOFuRQGQDg)_{ zuMW%hRE5r{Y>#V=;9+_`wS&u8Dz1LV;Z@<SkrvNQJ5DOfExN8K)*9ikK2~k-lRZp^ znoIh$&K$C051*lO$Wiz5G8OUH5zh`c#V$#f?6(%bzJqz;-0rM1jjOsd|2X*;3iif2 z6n^Z9Ua`GxW@ypHo>co+o!zN1%o9(#8twCWG^sne#=FByuj^y1SCL_lK4<q6C5h8j z9c6lTA8VDb?R;@`TIY<?josP2f#+QHw#7eM&>bB!|Hk_6D4pAi+jh=4TGo|XEZCh| zb}eR4Qsi8#YX;hfTn#RpdFa*cbiORQ^F`{e4!c;BM|vFMoipx%93i0h&ETlxBPITF z+nAU;iK|@7W3D{p>t69ViSM?Sjozbz>8h_D@m|()-Vt%w<oG35sbzL+^v)TmUVOZW zr#$M&+HS*|g)92IW8Tf!6LY7?o$1t3onTp2y`-I=FFsM?DKFfn7qqju>Cqz2V7V!; zlBVodGSqXr8MHB8&uXUM!(BI?=;<7FRSVuADek?ayQsAA<lITZ;+{K<l^!peq$z56 z@v!SMAC2klU3Y$Vel(c4TRoItaHi5iZ8<q3Q|D+KlN7G@GFzP|d_5U29x4Q@8_BU1 zvAh2HBrxHiffWD$9g~|LC(4$GXDw<ksd;Q+8}s-V>v?C>cT@Uod>+5!x#4WGtZ{~o z&0{{l8_vedTBh0PJkI0oY)^?P_OX?D%<aD9b3l)#NY>LtiSqIlQ`!@JST9QP@82=o zX}-;uq^ORx${R;x=Lzxe-0^CKnp|GtTcu}}D~?W$tDJFk3;*0t0^Kj=Kb|?Py)V_p zmj7VQ+l~ggx}Wa^SKEBqq^cBDnQ^47<Hd7D!FZcAxnCbtgP$ex-#1(|z1=3JD^~7Z zLC(vCe9;n06Wc9y@;X!G9(@oE{%y16VT6()e|AI|+i7R1X@P<(<<5OL?z*Q+<M4cm ziAN7hsCgRLJ}Kf{B3HHIX%Y9?!#byLg*ftWjF}}MYHO2t+ezlrgj0*AaXeW##Z@Bo z{9%pbJe^5$c0ZdqKVLZcoqI;R@4mPry8JV3x}xN!eGuJj6SGNlqm4@9hqKPA(XQ5f zdYgQ|lm<*ZDyww)po^Snt7XRtBO|dh2c4(&3O<)HNr`@Z%F$_>@5-Wp38{fPQ=1!g znw1t=a-2}=b6|hFW6r7uzH5b=u8}e+kM%rzt#%x{(kJnBfz)liTO|g)yXF1aFWz{x zB7pt8#TTpM3q7ehXJmAeZ_YAeKN+#?Sf8cEu}vmTd|e+)H$P91h_?;qYudO~ah>Il zqu-8oHOIvq3M|~vecC*fedmsn<Y1XQk2dwjTCF&mIz7~C#?hzzuIw9kEIE+Re_8hH zBxA|E!#kW0KE2wXnv*fJI`&SW@%KGDyjz}{_w2WAuG@O2HTQw}X%U}O`qOr6B;3&F zDfi;~yRTq+Q@r@H5Qh)dsi#zq<#U(Uas1s>aJ(^IJ6PrGq|JQ$wSCTB@je&#Vf7&q zzA5*$$`c%<_G{khd?4Psa&py4NzOX|8Fnkn51(26%l*iMg{#6Hx5awLG4K4%IIn^= zrQ7+$Yx(xLsJNdI%bpp3et%ljr~Jiy$>xxK|8gy3COx_@(A+lf&+3N)-m>Or(td<L zedoF`UaLHS>F+E9iEEKR=N3J0ir2hs9#pqDN3hYjZeh;hT?+gC-))=o;QGmsF7+4N zPtKibHEHwb=_jxF?|qWfdS7T+y2l^Aox;rDybs+K6}Y&5QvMvj6K|F3eH_+ByKeJ+ z<#8@zhi}TP<#9#RO1e*o2=v`{es^p~LH3Cf0g0#ECRm&L=5g+P^y9=GzM{WH&C!nU zru5xmD=J^cw!OiJ`RR_okNM7VZ?E&=RNVRYao*YIPp0)}^L@DRR_?ygomYmfLHd@T z_vC%vvHS8NUAbUK*{Qd!-zDtWnjEzvt|*Q3?zO_{>Tjm?t>!b_UL3PKX6Mw$RZIGw z<gjfo^!a_^fmq*5dB^SfHK!dOT<h%&c)G3E+V=kBXtQ@Ou1uWM`k<^gb8^+mNWQ$Q zJG2A!ExFg;VKdx$MRh0ZqxIe=o_uR}cSxwa9})Ka^m^&jFJkof=jzNhelfjQUs_^+ zcFsWu@%>pjdzbxLwsB4Olz5YAg`J<Ok8RQwKeb-+^p=(%Umw0>u`PbzZS6X*uR28L z(vNF*x{Gv~KUFM0vCUhz$iFXH)yC?3UqsHwmpj>?onq%HUVl>j&0K}!t6J{tE{YX& z+xhjOA@hD4pWUyX8vNe!ZtaGQ=V$jyugiUPBJo=3-NO&hbM#b)i9N4~`CeoZ!pLMP zk*dumQ^eo7cGmjxY#Hx~Pw&bf@3}H@j_HHsX^AgB@%Oyu*NbGjI%#wNspGmuX$}2R zY>d<UBlh{;>#2^BNvkY=w|B#dq%*r+_i4SmGyCDJ<>%dXxAj;}+RR=vCs8_Ncj7bM zzud+vmL0KIXS;6jH#=#<*{<fwKed5{0{!;-NnOq5HhQb-`7RYoJ}oWiJFIWc{`3Zu z;7^&H$JNLEWY;|U)U~iVQE%(31<gJ&hXhvh=^3sJ;g&6VWVHH-qw2py9p3Dde=rAg z%H}*0;y&uABF8<~g5%l53G<sn)VgJC9_F$BEfQ$8b`UvpP~;ucMFaNLcS2h&Gg2>c zU2xRt4msl}`fkmk<>iqzoR)i?A6aLdw$}Z}5NdSVTJ7JTmf-i3Dog}7RV+WUxW{YK zX6<K>xlg}~(UOmicy{zezDPMJ6&LLf@G129S^H>RJ4@YRpQ)?<SQWOj9?uuPt(96g zbI0i*_o8J@_f?mLzEVv$`VpGcwLGq<?91#UChmKmykXk!;Zu9X`<&Vb?ldo^qwl9% z>&DmZty%cW<DA1Exx(Wi`j)>xWFL|3tU5W9udaK?;#Je<DE<{Pyc(!)`FhnKzQX5= zPp%gzFI@A7t<YTMwRp$dN%?2;r>_>5`SY(}`<0T_hrb=2ds|9u^P4-DHgr6_x^r%# zU|VUi_F+Tky1e8cZ7+*YKjm&a|90B@>9*UW_Qk#OIOnnB?57A_%g?&g^0z+mDdRr8 zEvm@u-aZ45r`KB7Dixf1J2h8H|3WTzx3!bzb{!k7T}9cck~6cPmbIoPJFJWr-DdjA z<D5Z3bn36gaYbc^^MWJHj-J%zI=#eXr)^^7l+#b9oifs>%kzym^6`1usU$v!?LlIt z6)t&;Wtud1wr=Y2oOE0L^fZB8rJr&y>+a0`^gZ=dE@yxDt2=r-=lp8Bv-)Ay*VMbK zH$^-zF79vDmgYZhE6SHzvh(|K!ws*V$|)S55MuP5$ue!}w4LfFHfpE6<1OOh&Uhxz z884I2S8bcKz9IGA%a3~vpU27_e|Ms?{kWlZ;k}QAYtHqRr~7=n_;K9?Pdm$dl13*V z&y}29*Rn_Y$&8>0<(WQuQlHlKB&#I+34UzwitFds<Ve=K>=~abcCAh74s|aIJM>m) z^3_Sk($B*>lRFRP?f%odQd!k_cb1)>Wa72GKUsOUtq?zZTx*)*s+8|(WtVHVIGsH6 zZdQMYj(5mO!L%u7wE4^1B5Jl2?aVlOTvSi^;ExrDjMT*CSL;M)eKc%M^$L>@+!0dp z_%Y|{xI0qvD|L47GPawwq33At$HG&aM8%K0Yo1Psk(OVqQ|$Oyc}l8oN=?nCGhMG1 zPd;rIEI&Ku-NsLR6Ox^`>=Ax^W1`9XUlwl57FC8H-)K00Zgu3kimbWi@iM2G-&t^^ z&OVy_S#RReW$UW5Viq>siGD2A`Z!LqyS?d7@?)*3kLO8tca+?*PBy&QU!5B>Kktrn z@<oCEYW0|zuTCU>ySPLA$fE^TlQy&dVcNufwP;3V?z^`eUYLAc-+j<F=s#EFl%(f( zw08D<YTKh%^n-O(MQ955kyDkvPlUKaK6Ral<%#2;d2i+PIki4<ygTm&e2F^ooiE}= zX#e`z6OLrd#oMaIeY|mtVP)L=8}}Njwmud*cR5>jdj6campe*|XU9F?k@NX^Xy0i) z`}Kt}|6Y9NI=+<u^z*O1r{%oY=f$M$^je=A6Bqd9)Ui}im-X2(ek+Um`;)B%{#qw* z=sNx+Ua~!4)*t7`242fw%%A3HFn311??17|zlR@9;G9$+$Txe&&mBjNoE5sGb+<Y1 z(~{5KA+|GIJ~G1X(dkdt$G>`tKP~IoZ(XXAE|+(7hT5v~!)uhk@SjSU;&*Pp0$Zxa z-`mLnJe~CcJj*ISo<FH5GPB-YhHcWX<3|?Aoj++W%eS^7^xw<^i(`v_O+TI>zy7Gb zF5hfBk^e6u?ijt8KgCgF!Bu<tZBBLu{~LDX{ap4hF>+7Ri}|A64qN_I7qu=bnSIp! z{Gw=`eHD-HoPPA{+2dWyk1EM2yuUCb<jU8+2`63d&aCg?@#1_iUpn}~D|wmjjJQ9O zlLL9L+DnLSzEXHthv9#m#L8Q|oBfa4i;Eqv(fPM=L&me{kMB<@&3u2vUb{QW=TCH^ zAh+!2^AoI{VrSRa@hp3B>5p*I1()s5<mH>yeg3RYp3r~&%lW>8X7?`J+pyiQ@%hgX zne*t2|AeCkX73MJl(D^*pX#i+B3gS}WPDeBu1?X<m_OaghQ3$#TZ-+j2>Um;z@zQd ze$DozHUGA5h**~Vd40d5$h^z@t=aC@`2J^#EZOulPX73wX?Nl_uIcQlj+RNP)%~}3 zV+GsU{myL5@BI0lyy4YxTMISjs}m2eDeFJ^<>=h%E}7fRY&(yqb{{)y>)f|=W3m3k z<f?7=Bn>;4O?q<Xa<TSNrRkz2-bbHKvfUo6b8LmOrS-#ao!$=H18R;pH0CY-G3n!{ zJ7ybquv*=<+SnTCUX*qycSiEnNt^jj>dvs&^Us?Y;r29Xr*BduQ{C?!Y*!~43;%g$ z(EI2~dHdT1j{B|d2(C=#+FboCS?n~Q=g#TJH%dRa`d0k5(%i|n_3M)kX>E^F^SoiZ z-8CjJc3HHt-u4?iWglH?;Vr2?qB=ouyNgbCuzOM2;=I-!J;5&&xXTUx$+RRIo><VV z?;~ebk$S27f}^-vtHLjdv`HS{AKw+Lci1KOe%g=U3%~Mpc^byNUo^u<`0-qk$yq6T zW+xS$?I{oO*|hTGx~`L!X7?fuJ)a-jt*!PtMCiD+j@sJ;70-`6neJ6Iqt@;nXJP58 zLjDd{C);<nJI!wHxSqQ4LSJ>rI#p06KfB}m;YA7>|1VjbF?u>r?s!2-+rLgj3)hqT z4fzi5QT>0yqDJUhyij|c-HQ5V9jDGu`mN5oR&hIH7VY@BD>d?RU%2k{5SO^kG50h+ zbv>QbHZfd6FO{dVHc|50#Bg!FopbiY?X(g4cI!~;MD_JLF?<KBwifN2@^#mtr9wU7 zqI&-`_J&z5<2atpH9gGixHi}HP|G;~9a0BBdOeM5Ilr2-d%-=aw1@MqYqlSF6?)1n z;-ge5zhB(^nz?y<tab{me9WI_y60FyL@w{<fb-dt9Ru=tH?Mj$^`wDGrS8MqSDuuf z+@z2j=NVD9{PgNcsc{QpVxAaz^mcdYXd6CGQabH%WJkw|r;FBhx5ub$D!e!$)yG6n zY*UWsF;}N)nuW14Pl5s`bT{c#Uo178kQ#2M$F!-3wZFSwCtC5zBKgw^3_EWeHxjqk z`?PV5YkzmO&gP=R!2Z*A+m0T!(fi(Es&}YRRO!-=AID5j^mOONG+x=*7CGa2l5{=D zPhn?WwWnR&n5h@GF{iJ$+r#H{LhP2rF88BHHG>tt9Cg(cZ#?Df^zPXOJ$}}ib{kXV zK5gV(Y%lk&aJy^Gr-1&IQ<V5W?=b%SRiICEfg=C?9nz1VER??N7;^Hk*}E$;l^I7} z7DUN~6)r!1%2{Qb>kgYeiJR_8r7fD!uBMa5T4|9g=sl%q!-SXo*-sxRoL<sl!}qO_ zL+z=8{OK7tG}ymKY;$>27|@?T`_=OVndt#nUMk3x$JX-gGu*43C0p{iYO#`4#nDuL z@6rohr*%r#B&z+g_>o$8Vs>++TH}?89Gfp(I4JgR!>b!VXN0aPPgFY?vgxzo>d5!= zX7B`i@@@8depl}Jhdn#*KD@Ee==&iHktNUWO*txL^6q89icohx<MXF&b=pgp{E2>C z&^`TYfA8Uyn(UqyPnw>}^IzX!l{cd@@qdQJo=>emmmivXdU}0$%+@P~hk07-HU2bY zos^XLdi$vCEUQVICF*w0(ED`Yy;!hn#Qvx|j4PEbKX?5}D-2)O_k`zr_t7tId!L+P z+8^#yeZ~7+)Q9Y&SI%C^7cI}s`xEr>`4Z;+!9J6(oK9pD+PVBlnXjzn83)7f9zVha zcZwh1sLK22k^yVbBeC|kQ}=$hI;Pq);nZr0$zEdTwI!!V1jOyH&<Sxb60@uP`e@>X z`O#|ol3sDN+~kkTj94>m&RyO0wK~z4b$^K;O_-toN?x%$@Wh|pi4$C8&Cggl{@=u7 zw^G@Xd1@TzPVXT1BDUu1j?2nksivEsel2(U(v^vG);{>&ojJ4Wq$GFU-Wl5*KlSw{ zYrXO~=k`JSsL7hRBDPyUdK0~u_B~nC{OR=5^M0}?CAsRh`z*h5Ix%eLozIVNgv(l< zc{R1XKt?&}xL}%c4NHH(>!r!7oP0%euU7qgb$#b*`K{sm1$|zB|69eA>~SV+ufPTl zeuYVPKN4Ijy$eG8PVLm3!})kco_dXQqIcr#)me5k6wACfa9yu4XfDq_{&e!Sqo8G; zc^^GzH*NZ<>fLdu<+p)XnLoq-8>~{dEZF#!4p}@d-Epi{u<^~I4#_>yht2J>3u<PC z*<~)|7JA1PSmw{c`g0BMr%WL&m7uHBvO2SpI%my~Vhx`BaC6zxr&2t|YmENSh_v$! zJf*g3!`pzhU4>^S=yNGe_Ko7`xR&{INo(T?Z>ASds*)9^`OC=8-)?D_TTpZD$q$pq zKbG_|K4i?f$i(9#=g2OmQrhM=!Q`mA-kIlbJN=_Mj;8l^`3tXkG+E5;WD;|-w8-^> z=zTZ-h&|l$<4CJ_URuq)Lt5J=boTc6M^BEDoNsE-)p>OJ$<~(Lj8mL8KbkCN)p=Lw zfVN$4#D?uGdw(49{aDGp^v9aU*qT|2Q$NjOaB8ebWcxTNE-+5mPE675jKZONm%{iA zlh_3BZ@sEy*RUW?XiMVaB@#9rJHpv674XQp9NF;J&9^Pv)JJc&Roa1oLxvRs7gMxu zvMfH~&9sB%QLCuS73tqAKw-LRN`Ylf@Tu%W+mAX3IP&%7$fYqjHjAeUAO3MB^MJaZ z+NqC~$&W5SJCeS((_c8|(PX#ghnG8^o&3-#a`^ehY3F06`_JK@Z?0&U>riv8?uUu* zk2N!c?0kh!sh_R+l$rAFgXia{A1<3dRX#bC{_d1|U+ekXT=Tc-*kzm4%!;wgG_H}l zn6#ZG-$SU<^FVL-x1Aqn{(kV|i0RKg6MgJ*b8D{cGq*eIY?rxs?^E|9igqe9zn^lD zVZ1j@f06n39W~-dcTPW~+-dqf<cC~Vv3`60BCGEu6**j2@7#Wv{o<Uh&gAlh8Am2R zzsEhf^2?p##328v#ph3@NGqzHWHF3n>+m!#d{;WtM)>d(iTq=GmHxHW{N!Hp^?l!K z&Vr+k+T9K3W+;3<-+Oe0RndmW6Z~Z@uN2;R-u$?redbE5q8Z5_S-1pV&zA@n4*55? zP~v&_qYtlkKN4`8S)Z-rb#)@Me0%$=6AOEtoxSAB3STTewcnQSX+sEKZcUKPrWdnh zY!cVIY=5$Vvs%SYi!a*eXZVS&d=aG^PA;0Sck-abJF&(<KBt}MUQUsT*|fan>4Ry` zwvl|N3U)mAlH1VYEc2kJzAa|GgB1JxnAisOe~g=UJm-G$!L7?~!Ib8}btQXb*_Zy& zWLz$@k7J=+)kaxiH(8%V?`O^_A7A}`%>U-|<MXdyKi+U``7!xP?>qOaJiKDByDe?j zpVyDFp1I0eo~in9zI$bNmF1a+g8r_R*ZS%c_Zk1OPCB(Hj&HNz_f<2tX?!|=I=rpF z-l8U6<Y7Etu$1G!mmjWP`k3FY-!1chUCr8_KgAENlTYjy-xuTZgZ*$x+x7kG_oWiP zuleyx;*h;Yu%ydB*Pq8cKKY-T?#}z2ZD!Kf9quQtgY3@O5q{#!s@HO|@j5EsU;TLZ zW0(3-bN3Zb<_q7KvwhcDc&5L<I#K5L=f`pq#~DiR{(cmpAoYEl#WA-Nwz_?SOhx+L z$xUr{4nK-elzwtg^!SfI*-1qK^Xq+lgqXhYcb;^v|JOLvMC8T&>7Fjl|0E0FbY9r6 z)aNMr%l*hk4d?%D7HV6c$4~ckG`+ZAzAsSo*Y(32g~MLn=RF=5A@o1Tf-U)FJn!)h zUVj!pjJWpvnZgWL@lL*5h8;((OFr~DYTsYfuA|e&*l7{-rPngzh!W?84rkXSKDmu6 zTn_RrDs-QCB;r#+*I|A6>&{wyfh#OHjz5rhW&bU4=98vvO=5xf-1aE8>=%_1X#wsx zjwA$6YA%UcT@%5-QD&OMrwOO0o|@Ed7_)xMkJN|Op|O9We!5*1->+Tcdu4UJ=)R!s zugzOi<F}r=>K^*3>yVh|)K9YxiJsp2sr!(T?etUcIZuDMqrE?5+Fh;vYC5NlAD5ph z_uKnPJ9YJvw4c43e3_n^O|-W&KdC<@HU8bC`|76;#i;G~oz}V2t8S(abMVAJ(+d6; zPS?>}8MohSTiCh@(XP`}S4MC5%;}9#`S+{fZqrRU&fR}My$xP?r|Rdw&SLGuslry< z-DB)lGB0h~shZ@yxNfIzlJ#M(jTMLaHfAh-E!OdVmi%_dnyW8%{C&vwWXD@0=3g-> zkB!dE@6L?rn^|1gcY1@3`28Jkl77a-JQnJi-<=WDHL*Cb&s%-^iCq5Eb0TE*awDv_ zTgSKwF8*PhoVz0?F@Hx&kjZncSf3}G&dt-W(%V(|a%rFGlMQEjSWBW0t4dZsFKhGG zG;2G(%qmKEx?ky<x){6Co41NiA2M_MS~UAmnA_7L>(tY!b9F9lP|-QHqC@9eLzQew zp<DlT+kF{jdv1L+4X)jD?4xgR<(^F+_kOH8#<k+3)-jG17IC{P7|r4~S}=YMlmB<+ z&W7un(-!XZi<=)&w#=xKck|Q0)IC;)rbT<>W=EtwZQ5(Jk>|AQr(H)j>3n}3+L;=z zH8I?v+iul_>-ycX&T)HnzO8VVQQPSn*Bc>L*1Jb+;~P)aN72i_72I6(s^I0JQ?Gfa z>%Zce9zM0zSw-~C)l+5cuAg@uik<N4$<$L*{7oO;T72^L6n*(u&rMIsPG0quD|OfM zw7qsWUoFUtn|+6KrCZ$0oZ>4=>s4j6b_P9)(O9piQ(e4#Ph6y$`l)R0(+@&))@#)~ zy`sKez2@%~iS<F->|R}8Jvq1E`_a@EZ|mtV7b#7ze0NFq;soyv=AI8?Se^uR&hD;_ zQL@~!EI`Ud{Pm8MWZu{%kAr%7OAPx?8<kyfwa`1yvFpaMrIH_ePRk_eO)A{ke8JUJ z?_x({%qM}pJFX}e?R;@WX_A#tqFUO{9mVTUJq}iVc_;ee#LRPh73I7`HhpG%<}Wa> z+Reu4s^#~B870oo@9|E~&zf6p=5uIc@%pxt7E_-XFmL|%v~cMv<&HxO`9$j-B-l5{ zEVq$kkNpvyRJx({sDtd}`rtRZwPAckmPeSKe!8Cs<>Ru8m#UACS8BI(Q2&2n$GnFh z-*>+c<#-Y=aes|f(G1RqagvuMz81~+`(U2r<uz57XKD)ayEJ>MEYCP8e&_j_*J|<Z z?8n%`7xVd#FBACt_~DFBtrzmsll}buZ<BeP^lSOk8DW?0b&hXyP-L5FW6b_kqDAP- zg?3j}J-I^#D~>!_JbAa2o1NLt;*C!pbC#RDT5+gH>u1NIyFFIdbod<hZtc|+e1BY5 zu|D9;9WJA{hf2Jk_IXQcSH|(q)HA*N&X7NEPmv*G)*iVc_4a)`T_5uGtlGKt@w=0G zmfKbwD>%8R&0Dmz{j_jQ%%cMjjgE>>H$Adrj^eaJ$;Ex9Iy;X%KE71YaDumzlHzoy z1ACHgvRpiVRPEoB4xc|41^4RdeOz(qq^qjjT9chKj>OBT{Cn1sASc`zFZVk{@!zEo zg?~4$$p5?65#=*YVB-&E<*1MCZc84$@6k9KKePLhcu%Uo`O$od(+l#h=8K+Qw5}^& zdz$CnW$`+CjCa@E*PWIbyY{~RwA{OE<MpQ%#;&`sH|^*S^}3xA&r0Ujt>3{@GQV!? z4v~_Db(?p{lq}z275T;c=vGhJe38o!ygo)={bRRL;L;zbLV*o+bAQZRVNiFtW@TRA zeU)jPJFV(wN0>c%_aik)a^mU7<(;Xnde_zqo!<0q$DdvPd4&<r(t5*Xvjd7W(*<R_ zpDW2tkLkPfX~UXR-SH~ZLSz3nMYb^=dp|u@E9OsC<g}!t?*&gUi})k8vFFsQ?H#FV zQGbLszB$r+Uvb*Q6(6;a7)e{yHAhT4d`w^9bl#C4Z#VfI>$xvK?c#)wuMcmMG^wkO z2s?a4pMQG$vbypeCN5uBA68wo;=YU?r{~{C1(6&l-t(S5@G7F2_w<xI3j0lUoQtae zoZE2b#L|bmmh_p%L_RA`-J!PAFlJ_qUbt%cX`L(8TV<MOKb5>vy-}yxXurXmX5;<( zf0#}7>+!TyWv|%ureo%Pv2_dM_>5PlW^ZY%nsG>J&hmD3oqd^En^m5@dMMP~vPw?N zutMD>>4M*n)D3<WM;<7wX!qfnC%E^I&6>oI-se_}ia$8FTI#ZCZ5;E=OAB-I7T=M5 zS$1cW;ZdijWxbg%n(nMJWL17))*J8exU^^Om#X8jQ}d3#os>Cu)zfXQYo|@UZ8@*; z%vzbthHZI|CD@ANR#&7wH|<?1u<N<#gqOjyqczIol2+Z>_pzfYyC8X0>xEqD-Jp)G z?A5oD#{=@YHVd9RZ9na+By-`6s~gH2Wo8G?-IM)zMvv<EHx|bhowT*-i#6O?ete@? z<v&f!YwjoaTJ=TB?sPxCQBC>#GmB#(&yvMYb6gc<*eqh#w2|xTGK1YIc2yg51a3T< z)~zcj_J5y5Ov%%-ZhPB#GwS2`{`dT3e<mO>y*@5xX~bXd<N(I~^>>`MJ~{Kac=j>b zc~+B**=wdG@?V{_S^Mk6lS}6b%X{zmlKklLByT%MyGa|)9Dn<3MT%tq>&N92Q?2%C z?(d3ek5Ss+sl)uq^QY?*ttZ`|s!y1nV1Igj!s|ENC)fw*T52EASFBzTRpi!i-|?Np z)+ad(`<u=*W}TE|u4De$nq_$=YespU%xtD-cV&(*>8Nx+Zm1Q1?_{CQsTt*oGOL5G z@7eu$#>`dE=E@#F)nN7R=Z2$;p2bOp8<nc0bNq-nth8d<9N7oTyzL8a@f!2LlAr8o zGk<!0P|W5G*^r`)N3Y`f+x_z1>2Cb-<mwLb!w<t|SL?=H&$)Xz=_B8q>cn+<Q~rr= z%-9`%XiE0^y(;T!Q|_!z3Ov94$@ZSry#5#UCA(eP{&a0z^ZFb2u`6z~s?~J#GVf0M zC_e4UeW7;0Z89}QFOHoq;%{{}D}7>cyI@0$V!+$B$nY2P;>|%1{>)CCkbTZxi!Ht9 z=k%isg2JEO7isoZ{ihq5GU=JT&~?N6GwZ!#4ma5T%iZ|((WCh3ht>0s?w4Q_u8scp zu%Nf->3#n8)B{rc3v-sY{A^90Iahvvk<RTv_o8o&@iNQ2Up+PWyzQQ1Q3UIAIo@t9 zJCpB0JGvr^{ZA<gzj!Rie_OxeUFFVKCyU>oF6EzF-_3KW<Ma8pgA#F9_N%jfu2BE? z((ncQQF}=?Zrxw$N0KHwZ%@7R_NCC@$3{Pnp4soh=56ygIOQYfjj!vwawSavdr2%= z^|(!P$D{jvw-pTEH5Tr8R9CyFNb<?PA2$veN&mTL5PIeL^)_qu=(?Xjj52@TeH^WH zdcE9zRh|8nHJ%c`_CEg2cIVgD<kL@rKSdwkdaOI%YFm8BySIfp?A_fJF|R5MKlXXs zhl{`6@g#Y#-norF?Y-SaG3^FBC5{^@D9-E7dDEyZzVgQ=LC)AYN!(#C7KudbpMIJo za(dSdUGaqx$Bt(0{BdNHuG#6M2B)`l<mg!yZvFT;Nx0i(T1-q*WVpnOMMCw}H3f#9 zD|=<c`)6n=7H#a<ZDg*;XZUtuNnpoH=~+*b1j;k5l*Jo=6fb(5G(A&jMYp}r;e?nk z57&8KbJZ;mDS7pXyWDw)-mVR?LN6XoT`ewn?x@(iX<PI*6_hKTa@D>pJLTmguFHCY zZx(UgcD!=rs8+elE=BR+8E%67u`Y?bTD)Ry5?6V+lzconUzY31Lix+)TVE#1N4v!F zf1L4)^V5gEmy%jf7Rr_<X?3?3|1lJNY%?YKc8gS{#Bme<*e?@$wfUl+DvFkuXk9(5 zxy<*7HUH8b+KwA-dLHrl?|7;xQg1ZDrsh$#>zgWxH1CeQ$`?oO&U<&_u-d;vtFAb! z{d*Un&p&;J8rN@|kVky298VL4Zp$xR(C+@{rQm-Xokz2e`&C*T*`zl0<wAkmI)-mV zPkmoGtwQ36*l9CcmPbXM6We3Ok~>`G{%tss8fbH;Xs2t=#}BP1mlj-f7XP;^Vs*Pg z%(2X>il_NKo$b0dOhRkrHhsuAVP(6e=w!?J!=inPw>}57CbxUotl3l>I8|=hhSH5M z6uEA%HsoJeb9jm6kK;*vfoC1<#Y$admps~Z>heKNz2$Q(G>$G66J}q$<A_qJK$o|6 z0Q>wMOM)KVovy!N!%Nv2>laLTId^*g0`d2KGrnBPth|2YzP!}?t{GE;Px2c3AKfp* zCRl2fck##Mh0nkByq~JRJuQdRwbJ~Up|ob+!5jZ7iu*fVH4MJbve@&ucIWdW3uX0> z?^WkZ7ud;ubn4OYCvhUz#Uj3^L@Y^u94FWwBR{*^EavKwoy$`TS*KS!%bc57e7?g~ zTVa2N&TB@sU0)w%r@!3R{a#L&eXh*5gGKzO9?mlUe#}Cp<(Zshdu!62$w`L&bE<=5 z9-i5me$<q2LUs5b*_CncuUND#Qmb@7woz2{)Nb>>s}-Nl^(LEavy&_AWO}_=@bs)5 zc4CE|OCKu>PB$;Bk$E(Ut-s$X=G2Lgh8?L9wsuT~CsUs!Pd}~fZuhSs^2qDO{L`I- zYTi67TKP(uf4Y~3-MfOH?nm8a`!sh&$`|k0vMWlyaL1ZoYijm9%wqZS$*}GH<b}88 z^k&Z3sebI?0=@4Y7E2!A-Ld_tf!onfdVR^R2ku0Fat(CnGyXhp(n~I*?{jK$FYIJL zeDz%T^LgCYtrtuvZ_l|rXQ%s#jXJsSM2mRN&aQ5k31)sSr*!<<mmSZKJ&~9Hes2a# zh&$iruIKlZj{mq~*m_g;^T+Pgngf>0>uP3~gfFjuqx))`pXkrYpLY2jmSOtXFvqS? z;s|#U*U^O&_|C5sX|}71Vd1N-u#;nIw}17AjjjB~PxfY;Uvsn!GoGB+6mE{I>|<_r zVwh`Y_+#sH1s<W78FQ>YK5sf-ny{gxJN>{DG0FE0KQ^yQw(PETN!obphEMBtrDeWf z`wX=0)e6^^wao1J$}(e%lHFfMwdoIjPOM$}+(Nqh>_naDC(lGSc*o5BX0X!rXy!Cq z`|voH$$s&ED}7dR?A^mQIn>E-sm&_J_j9;DE)}|GVfxU}Ytm({{_<Vw%N_n)%`A#K zoV>vQ;%>d@RTGZw7MJU9$-P%N^9-X>rTO7$=eECy<-09>e?hrTjPTV8-ao01bJ^t< zf0$$SGpw>O>&RkW;pOf&L7sE9D(83=cO6RM-O&FbVtL%+nI4ZyPNz(|aP;N$<5F?{ zn|hm{8Grcu@wr}sZprhIUR&u5zvVZQ7iIaazENCMyz6Kjm(=qs-FwAF&cBqXHh(cM z*!WvXjV1dZS*I_2t$Vc;ufA03SAVgv-22<27L9whl|GK*dna#dUwU+<LUmWd9I;Q& zO-iGV%gyCG`ck&K+QD*pZOzOb7OYSFU!KbpX|~<*hxs4dPs`Y(4;|C<56oYfcg*?6 z?ykaFhwpb;n7>`2{oJJb)uo+WW?j+Gg*U8TH`n_>9OJi%o%UL#GKp$lcNx^?`ut^3 zJDecHsJ7Z+E~DB^i@S_!Q#%6N8&0a}uVa`Tvd2#Eqx#Z5<ug?u0y}rhRL<KRf5rL` z5661bJ0EX+p1n5oJ%=$L`%;<4EcY3bdxev<o<5cpuaC{mW1Q`>SNROXhnc-uvWaC6 z_oUh1tz^j&vtP#;ZSYm<Y*zR21S9VLa>qY!AAA(Fc(d`bNV~nP;>=(%wass8*zRj; z>K#&^HjV#aO2}1B!T!itKmQp%f~zc2Kdt!sY|CkL&Kb{5I@eD%Tpppev1!h>4>Qt^ zYx4Amo|zLh^UkHhTTdo>MLY{Ry+rK9lPN807p%W5Dfe8$vi6}MU*Ba#xur6er4J4L zPCPa_r7RwBOmoWG$%@NeZPpcjQm7Ddd16wLGWo@qwv+2Gybft|x9qt0bHm(ULVJHF zW-r?O@!hHU*LuqJY@}9x<ZE-+S9rh4rY-W5-|1vIv-jJ49&zOE>3;Z;`^{XQ+m@d9 zE^b(R_}N_Ec*Wd%cR$E7?W$})Jl$hKxm655XVvz@qJj&`ZDV+ATlY2TUP*r;o3nV& zy(aCjy>7<0Y!j*$MJ<lZDE)O<`Fhv;1CPTbN+oT)YUh3`ID4u{aYl<)(W}GP&R+9; z5a`i;SwSv6<}bTkX2D(-x%LB=x(`<`Ik$59dXWj|UQWsvF<h?YbLd2&)zd<*S(mjZ zo7DVak=y&lqW05`r^f>yeDuE2_@HpYqF`yYgLmd!GPt?)nU+B&^T|w}{<3Q|tZMl! zM(>)AD?OOFKY{!BiNu21!Y3u|+CA$p9|$`)bN)WN119MQx4*uSoS=R6xj}*Kljr&c zsv+wyzIpsvYzNn-;#tSyc<q;GzY%0Cxpi#bM2F>hZ)62aejS?^J}X%3+bp)Zhc<rS z`s_-V?JD!-5pO~dTAoevXU#2MbySYaV0p|R#aD;slzp7uaUoNtI$_E=O^NErE$1>N zs-r^At(2%X$e0&w^sOMkc6sWXrweNQ&fmBl_#&jwR;AQrR;Bg3gNprn+Z*kjyxy;P zQ>pmzUCUm5pZ7sGj30mTYqd3*du6xiw;Lt?@7KIp%IUu+_)|xdRF(T7xhZ_FWVx%e zHrz9;JR+F3H~Z5J<ttUsp9He|e&IVcPjuCb*eQFp?_S!iS)H)x-qsD<*-ozHyRIv? zyz!0duSs+Gik?;#dYy<DzP3`a-am4A#~;VQx#u=ce||($wz|q^&aI8%?ypTI?$=ly zZ1atwG<12@o3Ag!=DgeZUHzP<{C$o;=L!T@sir@e8ZY^->yDCqVuaX|)9!ll%dPqh zb{FTR1eeC=H`rfvH9hm@dba#@)i>N437yS0I_ow@erK{gb~LUv@viWb8`IWba5NTM zS&_-tX1Ifir&{8O>8nKk=8OoNo}>VQh3%0#em{9^uOw|ewXi)xC+ebA#0kZ#UG=_w zx|N>-I<jZ2KY3WMJ@Lh!C&`ftV(jq|AzMl>OgJoDddDF7z(L1lg?|q}=Gta);?Sc- z>zlLh<X$ywy{YkhsqE>0pbCa5?%PL}RlbR4$0|8b7}<OAT`Sz0v~kBfi$d+EdOp)1 zZ|mG`6__`32fIVw^ci^z8}g>^VD(;a@}|LXebh4774xp^lzTVqIb?8E`BUZHO(&F3 zXisksc&}PGcaF%jN5aewXIwSh4!%s7?rL5mcWFa~%1KAVcPToSnMdNK&Y$#D`gg;` zQuD}tDZ}IL`fA=awND=P_e|=K`m^xkq?#j--uJM7yV$kg$Rcji#y6VBPa92hd|5H` z=%y92`dcH^mVTYo?d{+aSGUn;^@-Dl({d|Q`8FB;5P9*CzuWWGj>K<AD=H2rc3Bn8 zxVgMOvhQfduM@{rr|b5YMusizlTQ0`!qMp6CL8(fB|hszRK)5_RN`LF*tD=K?)8i+ z*<HL(_*(ORSFnB+O1xIf`)ZGzRFQfH*W{l1P?@OOFqvIHo<uD9HA&<AVV!2nSCg)5 z>?_Hd6t21L>j`a<_@&F*b$KRPe!kFoP{W3QJI|v9)^S=pi<1r}cgr*#P44nJ9P?nK zOBiGO<X9PoWs?hiI-fjHQM$a+qJL?@bJY{c9e*4%4<@(kIA2X}^VxlbYo{}p`rZl# zfAyy`<USS{P0Zx9><``fn2D>hXM%P_&V+J>uQL>awKqD%Ic|OOMty$-gNoldLtZB> zqu52CXK<B!98m0MEOPzSbo}28c3Zj6kNLE&9oJ@fpdulx@JdDY@_PPrCtcq?Ia8U_ zYHhIUk)hqPxTI>GrsK&~cWjS-n!$Q?(q_gvN0OMQSe!go!eM#hO3U2i%kI=(TzUM% zjJVFbA9=$2ZyL%9uTGW-@jk~=VAP%Nt$uq3mm=p(yQ|*k4p{ZiEO_o3ZR2)4gsuPV z#}48ClZID?x|792yw5Qe1a)&yD81DCQc6;td*-EspCzX5R=#p1xxi<mjP13fstc-o zx-+Lmh|OORRg`w<q~WswUCYm#=InXw$KJ0yGiYz+n%3QR34O=c?RfnmLbRFT(+!bw z(F&ceK!?1Kj0tmUl4rH$%{57pV&C)m24{JqgHpd^VYvFYBeo77BWApuHbZ#(f|pBp zE-Otrc2YOk_Ws<-#yX#VeBN|YDMI$-OwKqj%au_@Zin2p+!jU^iT&0%s!}jFCuz5a zO!1~J{kaCmJhk?Dr6^2Sjj4%wGRbekbZ;GJ#V1RJPKSJ{;7pUe+CM#h+RP6hFP+{b z>=h@p@y)RpUyC|YjgD#Q7e}<Ew0!#1mg;suS-)_HUgsyF(?;u+^mBKpeXNQ+JhgM; zbd#8=Q;g3zZGFPSqMvHPc6HKb!96_>B}2T=IenOP+GxeJIdk>5ziG}o8F|TD_x}Pm z{mncrn);CuZq3sTVw`)YhwoE6W3%kYcK?oN8&&qzuUm9HXGe~amTlXSr;{fhNlwsP zcw|pb=8=6l={03?#z`NKg+Je@ESB4nAgBCjx7wdi5rXlir7G#16+Ru(0lJpX2a=u7 zG_2?^h-sVn>&CICGj_jtEIU2XPyYFiH%3miHIIePTyZzkJF4<4<M>ixoqooRXHKsD zJcEC_*|DX^>vr@!Qv4ZlbiSlX|I31%O4|;r3U^OdjXAf|%JWd_+>b9G%T14WTh?C` zQzd!Q=GtK+<HeoFi*~3?e!fxn_FCKiy&HN3H*e})xZ&xqXEti76OV~M->BAYWM|c{ z8M#LB`4h!%<3oDt|9>pv+&<^Z<7~ArMVtEaXH7WmX|XSzr=X};)M1t((+Pt((6CC` zq;6qQDw;8e=aK)}jAu_oPhaRs_4C{KhDY=0H1<*}$)i_Jym+c2a(YcqjGx^`p3^^` zrp!o<Jf^2UH=^w6>EfbJSNUo`t&KOnKD3;9B&q-W2~QQi$vshiO_5?|X_h}zZwec! z@2z=#$4tF(M^54OIbR-LYMnjVI%Z!&@u#-atJj}6Db6=>f?iH}Lz7?JPHv~%Ictob zGJmp|d1$Nq%*h%xKNnbfKJD}Dovh~beM0ayD+dSl#dkOr7v>b#xck{zhAeuvWLj$B zg*j(7y*az%naZ@(qDymH5+&ytoH;4Bujt&IkcY32UpOhe&CWyfww%77+0HGKON&mX z&J#T5sXD!!uQud~&>OK|6(>?Bb-z$nobI)5VSi(cQsl>t{ijWnue#gnU5=<d^LWzP z6_1qVrf0dW>MxC%CK*_><ngC7o&AL|vvyY5tt*`Q_|eafV^8};<nQiqDgIug^LWzn zv!4Tdyk#5g+%|ToTv#bmUHs}rDnH+%a+5cLT3_Csic^?yHaY6utu+;Ax?hUR$WO2E zF)7=dk`#G0;?YKFy`@L8cI0%OEO_a{RrE7uW6Y!*g+(1F)dG(n*H@dlM_qpRk8I^z zKV}?#toZTRacw!lqe(kLyoxWi&75o%6Lxjtn<7uwXDR&CHJ;U|Jf3u{_H$sb_d4zu zj}@l7{;4r}Qsg0f(nYU1WA2gUQ^(FGhrheG=EDrp*AB;%L++Sfoj51*fuW`!<CT*( z%i<K~9FN&i%>06DgMUwR9mDNYpMQxy&i9@jeBg<2;CaJ@PhHO+DSs$Ww)w(-BeL3W z^GuGt+KCf7&0ox&d{8y)p6|x%k4{-@e_QwJM&U;D#jlh6-NKK>@oT<cQFB;?@#U*M z+?$Ut-CV47EN*&V|LndC*0SF|s95o)tPhaQl#RB&cR5qMUVYEX%NqX*3dCo)g=U)w zcgwJ;tv{l<yz!)RWE^w6-os1r8+w_ZgtR&<-J5q=gsuLH>2g({qL&}fwVhn4pmSth zxrI+qr^Y?Sk1JelKK6AS*O@C=@4si&WxIdd4rnOcb|~GGT+M!^=-1J(lfi|rj?0Jt zcpf|Dxc0}FBBvKmzHq@>x8L@V?fbfl7(phhxeqV4MwIS4yjY^}^_O;c+oVas%4(%D zR(~H~WS6^bAMbbYhFN2*-^v@)UfkM~oUE^NMste2Yn`8{B+sNrUrx$TV}JTYus&~5 z&7DW@ePulz^rpY5;d%VYZBBoB%qfMF70z3qyg8h#>a*~bYP!Z8oyQ^>J;!JGJdbgd z|GVRh)Y?6F5+!d`JYC9ndP<8)+`bQUxl;F(Jd{p-BK=`{>xtrj>v(>${)zn9V#EAz z)t;XdPihqOr37`&jy|9wQh44pL5REc6W@;8g3l~DZNfyWSaQS{FLXZ8_HgeGjz14i zRB_~RU$s7@(^Dky!%9+kFJoDcVgIES&rPc{pA=s%v-&J_!`nz}7vmerxSr|*@d8fs zZ|d^wO*VRQMElFSlL^A_n;D<Vic|+BzF%~sx#*K$*Isq4_bYB}KJ;l_m#ui{z1WS% zozCsn`L?Mf>dnOqpYpnG*I7wbZWLd9`qR7ay=(o>SQ~uXwae)JksHEB&+bZ8J6IWg ztD8}oe(avW`lHsu|FRCubb1s!Rd<T(`)xLCE0fg^Cx>gim@C|G@FM5shn~V@f1l~? zKC&yNs#AB_<=?9CdLMDadCQBr)Au@e-ILvz-f=Wj@wRPc&c_Px<%NHAr&{PfUeD6; z*rZ3BciCl=e>3LzZT_)1%WCbT^DQBwS6)uH7txk$)||}oMBkwD(Q88k$;EMEe_RDO z+A;U%?^-wi-M@-R|4-AE?^JPbYj+VYKloTwr~P33JcaFzyG5dxhyAOniCwNP!}qYb z>rle<1;H+CQ!5(o=}Njh`dhg?Mc|C}$G(>R;_Yu-L(P`^sO`3?<i7KA#q|ue!;uNI z7DX+ddHm_&3%jMiu~h2Zi~PCxVdUQEhxu(M9>?-d4tltt+{xycK<}R7#7nIePwsM0 z)<2~AepAi-4ugA7KfE}0YPZO~$c7tILKF8e8(SXUU3LBGc{WioT`RBmZ8z*buG{nY zQ#<>eBCDg*T4r2U-==xMV7X6DV26+Qk)^@9{hltXgQew8cNqHBRy<MGv*b;h)ULt0 zw67)SYm3==nfuBmz4;TZE*WprIWVVhW9g})T}Mj=JDz^&TCH^9Or~)3YNO=|+q7S0 znA|@2a!O|CUN>X8IkOBx1J_^F`SRKCRC2f7`vo?eIKC`8wvzk0MAGG#Q{B~Mx#D&2 zPFXwO$;QcLd8Ax@N8s`px%mN=;zz{2WUb}*#ctU1`r+=SeTFtlg28j{ec0ielKAYE zNV~g<wqJ3D%H>B>+K(G6UC-q2uXa&e?&R}LVCozu!=27GMOH_i@NYb(DcE0sWW!}; zwb~B9<xMtboQZR!HnFBWF6ms|<Ms2#H%{xNkAJ(~{882F?|1P>Q?I3Ja`e-uQ@VA9 zPdpXrek(MyJpB!8;%B{HTlK7aD>oizIlJ5R+mtnx*N??bHhF*NMzqjVlT-IX)L(?0 znm1Q*xsHq+XVI=hiGu6Tzf@{=uv1(f%I7@CZ04c`S&Yx7oQhv~;h4APzL1UP%MQLW zG+&lr^C3cde}hfsv2R=E+<Cn2RL}7_KCf3)^0ciLyYW>*I(+>NspAhG8JaFjDd=DN zk;8A%#x+qzZX5kR8HPIVl&dzH>fH5lwdt(V=6fGEl&Alx(mY}$r95f6qt0x@roAjl zk-Za-oz|P)eoRWgeus(4t%{e2RTl*wJ1wWjdA8!@;Z#MTc3FofDLm!g9#V2nTc6|{ zKCa2uFmJMt&OeR9OI>THSRLCDGwDOZoGp*faqaym!5QovDL4D!4JA|c>>VkE&r<lN zYaN^O=i#R#bNdrw9%&R$YCkPfV%Jv~>3q)JST8-sLjLlO9>b)$B}JX;7u+57dhgiF zbMI6+^jukK`liV-W{s!ZHU2%R2(z>M=&pX{v*DS=T!pU|3-^aDtI2t!?>E)5R3`D6 zXeH~PM9U(+AD5e6@NL-s>&}U`NuS<z+6v#DQXcVU?TbS<J`1*=lF_}pu-y6$?<bEr z+djG<>bCNIq~F?q`hcvw{PzcXS{E{vnmujSUKaaT(Gq$u@n;fa_4m}Ew(fFWn{9%j zb6$P0P)jNLb?iEa$1{<xwO#V>(`J|iJ}NoASV-{Il(yprQp;oI{$44ZpgUjSl%`C) zO5VMN8^1p}yIbwsl8PWdv5)NPZifwBr(bY)(CaU;Z995b<mktUDK8Z_oK4obvvH-e zW%R-1=sC?<Cuef&FOT53I%%_5Tu`CFD%JFdz0(70{23}|9@!+~87H@q=lG=QZaSL} zK1mfkU38_e<>rg$JR6q&t6|*M>EPcmchNn;w8aaQ4}=B2=P*8h#Qs6Q>t3xhdp6v6 zdB;{6T_?IzIK*8v&Fn{P%Bhg`T*iW@+_fg_E~@cK+~gq}I-@hlE^MZW(xpn-N9TLz zURU_{;7R3Mqnj<yABj!&NfN8i-KQnT-&wBb_pxKer^1~nPs~m)6`B~WcU;7^a_YlN zr`k@hI(1kzRD8O5(GC~JW2(J|dZ`_0F-2D=_McXMBtPBN#Zj-lqcw(YWx}3?_ja8S z(K_~)t33Zz1hZ&)aPan^HO;2m1MZma+`(JSwQ5gWAy-7+RG;SsccXR|UoP!FF*o>o zN%aZEb9bbSc%23Bif!Urw@1im?yDtvzB@iYDzQFcbS3)k47r6haojVfZD@!&xFGL; z1^d@)=F1!(+?iL<?((Jh^l{P7tB?7zF67Fs-|$ql?`61vesJFV2$u!7t<o6hhHIHI zT;UV<&*4_T);3%E`vZ$_Y{&PS_Z|HC`PcC)EwgW{m&Z?gB|kMe_S!4?$;m!C-Sw(6 z*-7bl4nLkD!L7XP=(`=uk8QLxJHFSX@90LGcMA)9`g%`t&gWaT;i-_B?3ztiKP7IA z2z|a({xr+NALWNODyaYSwPah;C!MyvJ?tJsPrH$f(}M>}_gCFDn0&xl=$!7a_Cp(Q zIg6fi*lK#l#c6v+%w9Ve_Qr@Wi(Vw~cPBVV{{OOL)sIsVK7mh^C-2r)lAnKPYr&_$ zQ+Ih4<(J=C$?;p_Dc|u4{c3kMu86W}sCq5Ny&z8M%n?2DrFSk)C|rMX{se=wwj%pl zoh-k1RK#qmI&m~%f&Z!fhJ5#Hg8xk{<Y?FWdpapfndAR~8F`+6A16g0J2F%2zu3-y zjO+hcKauJ<<tVhSE<h$_Q*QFp1(UTGd^lpSu`Q?X&gRG7i~D5Kl-~6kZv9o*-=oiC z|9{#H9g!f}KSdTAYd%e^;5e!vZq42<v8C|2B44xltB8v;_-^j{e%w%hn^x!4LjV5c zM4jt<ZTf0A8Xjn<;+Zz{fU|;K)aQbBM=?J+CrgvhPZlV&XB^^_E2>!5C!MyX-EW`6 zR_U~7j!wrww3ZCd6D}9aAIBHY;y>l+z<0UCLvC6@`HHg#&Dqvpkts>O;C0Sfn{D$m zn>|SZ+-IHT*g_9ft~jjFW6pk6q9*XTL3PoT8{Lzi8S0eBJ1F!oEd1Lw_akqRP1><3 z-m)hn-)%HfbKLr5jm^wss(UPM9v9&~`%z+w<|5Pn)Sa(CJvKC27h9^5u33@OV;|yu z?nVFcBReKLRq6EjI;{H0d3BO8|LZ04<tYbb`x^_N3jR)#3GqJXA}K$$;*GIXrRFgs zJ%Kk*MZ?u~{fu@_G5%E1d1TYdf@7z}_604TGCgcs?N^JFhpxIWnyj5OvBAeLYG+d6 z=c3lssvCPk5+&!E>#x?iZTu{CN@~)fJuRDfcwRhyI{CHvi=~r~s+OvxD_-_ii`G_= zv-{Z`c${}Lhm1>#%%bKBp176C-_xFLto(T_cA-~Ue%j-?(+hNhuQcwBdA#mI$8%Nb z(;}gp+9JO{y4r33*mUAb#kIG!%5#q0i7Vt=BYIiKHgEM07PGkhGY&7hZuxG-iHgt^ zudk28T6f!(u1V~&whld$E7BZM`1{CQPPy%VJxvzt!)qF>)@S=%eo!fzB2{Y8e$>K; zy*wgi^B1k-bEoQyANFzDt9d4=qVo8amG^iz>%V@;)9$n7<YCovvl%uyMI6t(KTSB9 zINd65hUtTalg?(DpOGmDn3#PvZ-(uI1f|=no*HZp{2yg;%=wi(_wg#9znhEBxOdg7 z$p{NQPvmQkP?u9P^k{#jIOCvY5dU7DL!6xwQ$G9J>LeNXWgK}RaiQN)|NeDnalYj> zaqQnMrhL+sJ(IlQH2b%L4reU|`Q~ly+G`rK%+DNH*&ZtPv>}8)P$GrN%XW#;3l@`) z1*Z~(m$nD8O>c<f6Ra%Z+h(|f^_U^Y3bl=~OCHUiob2VayjeSEyNcC|!;i#Ym2T*< z)-C99RA1a|pVOFSdFH^{`m~t9mT<PSJ1i@XE#Ma09-G7T`siGd-rH)Ioi*aV?oi%b z`uk9<^y=F>dX9Uib!+!qT{Bpnn73VmJ*@lXbibpyoWYizaUW-_(k!$-X1?P3q{6>O zh1E~rabL@poPObvS&!!d)uXzTH5aXWW!kSPWwbs1&%!wFV_R2u?<#$`&YS)5)gJ#B zo8nGJT#LOs?IXt;$zY?$Gmlq9JWIQ9++J_Lm)32Sq_{;N<_6E(5UTWSDd*{Z6)|y> zJ{(uScI4U#j%QD&q$aM|6SGOD^ZHXmwST{kOuHc`*}sP;Ig%&fcydfkc~6Y~-W?() z_bND#87(h-{Zwpv<AL?lBVuOv7{-+qT4t1d66#7-smMH<zV%5?&ZkYCsZMQsN|GY4 zO`e`OO;qx5oZZHr6E~iva-IG(CDf-mD|kk4S=_sgYXmAvKb#6(*{6Btn5F*52sVzM z!hq9TwZ1(*>L|O;TaPbk=Ol@u!jrMR?@qX?mWS&{U75(?_byLh=Z`0TuCkp5uX`S> zP!?QpXQM!o;i;5v1M%f|&fX}x*m6=SPA_PtNzn0g#|7(MIPO+|+M)cU`25MmQ>X7& zDtq_w!wZ(@ansyY&A)G{5#3lhy>~^(BYsa-@6MJpHcSi*Aq;Fx#?mDkCkrnaF1x@e z!eFr7OijWyxiLdyPD^B$lBecYDaHv*3=WrrGhDJ4UvBzqx?{`ty<r}f(;psJaCFsT z^L7@<Zu)O;$j3L&#>|qt@*|th#NwULAE!NXOx0WySm#&6yu7$JdG+xU-r{xV*2als z&VJAIa?3UAn{mJIW|!&pas0~u`+c!h_;ww6*T}D(1y{c&t}4HLPmfR1?#i3&Et@v} z_%E8w_2KuSJBy1CODuZSc-?4TWd6MW%!LP+yKGgEl_@_K9sc>>gfEWst0$foe)D{8 zFYobJ-)!&3d!0?<*Y^Cz^5La~+_4ERC)s<|#YDd=sZ_|68&suscbvG{xyGpd>xzA* ztIq!UZ2G43wSm<Iu7~I5bEL*Fghelsxi7WjV`lS5=di=350>md)%@mbP_>oVq={{u z9(?+%&Mx9VsX8_P`r+jJDesP|hw-zV_IkgxwWHMXed<?p<&vx?{hE^Ak?;O5Ra*7Z ztAE}RhfSxRynDdpq&Q!>?Bh-AEgBXN+20-!Rc`m;zBZ{~joslrTiDkB3QSR%q%o6i zBG2JJD%rcXhxf70>T5f*ZnJ&I!A;CAEa9t7b@|K=rr+Pxf5Gr{?$v{*YGboHcbMkh zG;&>A`!dV=fy$FJEV4hEw~BM@;hHCz{I4d;=S^F;-Xo9W?y^(F^<|vT7B}uF_R^Vf zKKXF|%IoVL_SzR_FW&aobMc~^1!)a4Z!UM5wlQLQ3PX+9HyLa5jDVYs7PY*uO;Wk? z8jfC<lsCMmRivuqbt#FB`}t#|^;>TIvy0K$qaVMn>Yn%{xt%ZW)GB}fcka&F2h0UC zmC{xTcsI5xNU}E`e$bd#KmGi}IW6Anyr<V+%d}I~;&Y7J<SxDU(G;hOgBMR4ecdej z&NJyx(FtLuneWp1^%P?6KRf8~ep~hlOZlmZo;$aHFO+-!|4?98L$|lzBqzOprzako zag+7v%UPW-uF5vfnLDMixSjh%qs|5U>HLO2H0qWubt}`7Ra@~e`hlC@*SRYco~mdX zyk8f$p|{f|_Vco~P1C+upL1PVU0W|~{QL8R*(DGDFJ2<!aPXa(-t2>G-)xfOt_*)B zx&O-5-#%X4*X;P#HN+ZZluBo_tVqvkTkx6dP|>d3W0{k4dSp3w?Aw#3$D?0ZS7j~5 z)pg<do;k<tr*E32zu(d$eba;V)f*>2D-L?azAp9%Q|>pe2i_Y0Oy|0^zPz<Qy!>w3 z+gIHmCq3G;E1G}5vJQ*>jaY-bb0)6(8)++(6mxk=)`X*6Ow%}iyL{of{cAl}nL%|K z!_i7DSLMf&ZmtWpejTrTE?D`aZqfPs`*^z)PbxEuZ|`<q9eByIpDD|=ZutwH+UqC( zB~Aa|yMkXsR<CY?xl*F{=c)IW)%|!pC42>Q+X8*Nrym={x5U?ZEU;#glbx_y@x0>Z zgCb_UZGW@>ZeF<dOMOk>#+rk<r$sg#j@ssMe=+a(U8;{vd2h2>1^>F3y-Bs=f!L8g zS;3j2J!kj#yx3WLME`k0kMYcoY1V=hZ`|{r`t|kZJw0x>CrOC=YTmshcbdUxX$e;X zb92X<7+vp;kp>?8^YmP1FFu)NdrE0@NZYxyA6L(7H20WOdu+GQ$Crl2PyV%M<lj8F zYexGziRp@mJ!(r&Tln7jGWnLpmeq&2H^l~U>&;!f%O|j3VDSf5w`@}pIeW*Y^+|VC zmp@5Ky&%}wo0b)Fvw-c~tar5myUv?z@jfIeZI;zHFG<Pmo6GC}AF4B-H%qquTY699 z(=_MPH&@+qt)Eh*GU0w&NKmo#!cEVQWtio6F44?h#Q9?m`-u?a6z#R!%>G|r$^Y#| zm7M;sr4LxIiN=MB8F6c8i<JpQNSaB<pV6?LR~`3Z=4#hx*ZMtzwU+o>DO{Y|Q+@ZP ze8_6vbytr*xwM||_xGS>?}{pNI@+Y3FZ&%Hx%HjT@0~rYvLb=Ix=t<isl7LWRXAwV z`38prQyrSWSlsvfpt)yKYkcYL6Iq6z81(N%yD0l!c)rtWmCMfVi%UCH>hEuRsk*`M zyHf{WP<c*aXMRA>@)Lm*7y6$0p0v2}*uPM5)#`)wThDuB*!_I)nKjR3hwvup=3DQ( zEQI+cxBh#3*K=={+^m4mpUZ4w9jEUsw3yiTky}PxZG)2B;?IKD7BqPB2-*F5Vy>b3 zq(5$|^S_9-hjz@nT=8mStm6LbH(gd%EUUMTw!HsBw<mUv%eKbni(i~Q@mM!<epAHm z3yKRp7_9gY9hTjwFf~p{B6iy%wyw|>8$}D>7}lJ<aN$a>>0agkDp7ZKrdjzts*=CS z<H_@UW`fhv-uRrx@^zNFn_~Zdm%VN=c|oi48+HG8cTVd#OzU0$!lZfDGa=J`hXgiT z^_uK^VH%vna`dt3ze?HSk4X<sd{`#tlO#2{mo?buMN_N)ywyQsNrqyNPxWWif6#tf zUw!vl*5CCL1^K<sS@hIw>wVoFrV{IJy{wN*<MWlLeFj@6Ka;%n{QHk7Upu$`P@Z?} zZCa40O<$oC`w8V_4)M>+xXY&qJUfv7G;TJtRdhh<m(DE@narPayt=SpM(c$yW!HJ9 zRrNgLeL1y8Vcy)?68jBLxg0;W(LiHD{HdFD&wLYC2Ublt3E6*@Z%&6f<3B}*xTu(7 zCi^?fQ&&ZtXwTVo;Hl2;DgK%BQi~p>oK_Z<o|dL_A>OWRt;(9XpUIz|dHhN_b8D|3 z^Q@+%{-T>VUwCKP&UqEQ_*|z(Lio=Eie?L^O=LEWJ9%wm+2J(zZ2maQv;8?YW8;LJ zIs0xuVP608-}<Uz!EF%?!sUGZ3MoZN!9NaZGTA;UpUW10u`xAVdY^B%==!_A_N#yN z|HwN>ZArAO$#Z|($6^l|T7IWQ?d7;LCz<U<bN}{<m1ksFz3(mzs@s0xdH&`%$CbAH zK3<TfDC-h_tE}ngq50V@jH1r*1qXu{hkrELQ*eIcvYigkt$!AN6W<%yZ061Ir8D+U z_REwc^ZJbw`lQtqvj1Mv{3^68?@5E*I_1Kja*h)VvX(XGOjDFyF6?r4M&H{*rFVGZ zw~PNclV9g_MA&eG$HS!S4D+2l_nnCoa}JKV?&)>&L5}-#&gA;H>=B%ojQ$*S^ep(< z>FeXH=8@NTu3hRtxWBXgO%36spXPRF-xgim_En+m<MCHMt3Idyewfl@)u@~%SFBd~ z%Hq-@h7f!64NX$styZkLsVf!IeWj-KUbr1!yvWuuW%moSd(&37N4Uv-j=z@uGv9IU z$80^*Pd08sl}dKA419k+-(qeM@G9nt?#zaV!3(taUP<{MHKSqY#H+fdb07Wu*Yid{ z=E4inzDTCO{Plk}7cJ;hES<hF@N(dzH&Sl%C$r{k*mp-RX{DEW`NP+hdsj!j(+N9! zJYRO^ZN7K5eEK1MH|#!F&t#7|GWYGWpHUjuQs0(H$!-<BWgFWWfAiR?u(tnyvp;V6 za%jrZ{`_LcW_DdxmvYCp3b!BiCl_n}KN7r7P{_#k^M23JhnvKn{WG+BUd-??{9=GE z>%;2ys2Ku<XTnxpoReg>L($Re@CBFce6DYc90TQ!g_uP2-c@sw>{_;Jqr~=hGhqWi zcV*2>Pw#cepU-#q`>9b-IBmYu>D#lPg^6GF{&GrcbMUt6k`30MB>!-|=HC#srhV79 zi8I2IH_u$=_3ea6vE|E><!27R3r}BkK$g$qoXmmd`Xn*ct9q9h<bOMRasFFZIqS@o zBID`GOV2%7+<irN4h!3Fz9#``(>A%@uyeZW=bK*P5ZpWC_`NFEn~I-#L+zK%ZC1(8 zoNaXMe|i<)kLs`$8Qf|;F2{ZLU0hvs_0r+J$HdH)FMaP>=ItoRF;lx=*ngYCy)Kpq ze&;XE+ufXg?L2e94x@=n%WO7;>rJ|EWDz5LW%aR;=4+NO9<I#H*^yysm1ALhwab3f zzZts!`zKv_=D)Djw9f6y{<RPH6ka<y^TC4S+hd}XPn4}ba#B6|#n&Cmv(JleToE|$ zQj)rHMa9*-kCY!LnO}L=9n;%jobq3KP3fJd&C7T2J+ciyeM{f#=e<p)Th#iQU#7P0 z%QoLwCU;4%Y<cV1n>Y0?KkeVr!mRwxRs8JfBZiYA6>gonnUWv<*j0V!yST;iFE4$T zdeFLd>eBA>d3TE&7Fz_|c*MxcIs5qizg(&lr&r0b*mq8usI{d&e%qp$n2D8#je`A> z7MI-m-PD#;_56-^g2h7DXVLp#GYCC+T=Hqo9h;kJ(Lbh$TF;u}X<_nxgScFo@BYPo zIz<&<ul1d5(BL&_?cbMQwAQZc)@J)wsd+2c-#;a@qQG!Q^~Y}oGjDdT`8xIAlG|sF zZu{>mZ~QK`tS0J)Vo1U+#r`~TXDJoaf2Y_^wDImyoVLeIX7YroIjha<n{Qv7KF|2W zGRq^;Z+CAudC?sE;_J-`+#LQ(x13*iWleZNo551~`4ZDI142Z3>^hWOugsd#-q^kD zmN>hNSZ0J;k&1@Y+2_{JulMYF^Vc(TYvDwe2DO=r(hHTDglaFTJWr{vn<LR;T7Ts> zzpm;OgW1y7Ot#JwTawdn)^3cjJ+^u4q{r5if0`URpZi@tBIfe7oYHCQT}qaVs#UVs z_L)z#Qq;NTw?$^onc7L}G3nEjwf@|{xZ;;*vaecP(Bb!gU%zO+zUJVxWA2N?a$n4y z_xI>BiB`sc9eZB4F%{qV`m*0ICeDB6EL#rw(?!R+CdlxuuKiYK?eXSQy{4$##f85g zK3=JG^nJMNJ*_*gx_b}CWkxd<Rj!X{(-fb+V}a0??d@{XqS02@qxa2V4Ej|kSG(K8 zam5=eCfm8ki?;8m*uQ>iaJ$2`xa<A-J7@VYwp#_B*Zo%fEj8s$SafTZkF~Pi7l+x$ zlrtvx$R|(fn-lwhJyLH*_pIg}d(P+lX_r~xb?ur2@8P#C(y!j_nDNnlrOW(#3N@v( zx!##G2hQf3uCV!xVBy#89X#7_+1JlpA}MYy_|P+||KiKqmo2<6TFhpz|HOLP;^WP! zTDg5W2Hd>!pZzjSJpHInBjJCH7_(oNy0N{;|DH1j;%OHRYA2dM4w;?K`|X|4qISpQ zSqB`i1aI)Q&u(CgK6cXg;EPLp;>#`xy*OVSx$>x*{iGmIy_-c0^ESWi*s<o-1&)@q z`Hok&6x;3W&|kFv(-A|J;)VMb_D`NCc=_!9{(t(#L9aa`)4uONXOXJ3an-}EFZbB% zU(;UHRJ5^{g{|<xw9bu}E<MiYo)D(}Bu*#b>#IN0oQ)3t*f*K;#hf(F?bF@8_j?4F z^V*3@T=BoxTrXj6zm?&TW{=+06$u9tV-J-~d{kRq>N|IfW=6w?%?$<drg5tuI6Rlj z<lptM^=Ze-bK5U@98_MI?mG3YiA=T12g!040sfcjLAP$)K50_R+8_{oyg|8<m7jCX z<ymjPpU_L#m6Kii{AkkZTh&6dnK)XET(()=p3T*0y?g7rS(6(~-LL8VG41-tJ3)Wa zUjg6$-)iPrNkyF7C&c}3&I(V##R=;-CVr7~c<yddP~0#t_(fuor-{>oiol<~wg0s( zj_<bovo__hEWfCl>2c<-6Ys~A@^$sUQ{Q{Z<HxdGLH$=oUp#w4k5^~RVcWX);IG#^ zzfN1QC-T2ucahqOw^yImhX4NC6s@;#j{qx6TZ`}{g+o~nFHhdpJL|~8sgLxcym()T zt<sE(I&jM3N|Ovva7&DQPu%oW<0F5r+HLvyCw;HegdT~f_UBW7w=CpnIL-Sr$S>{J zk>FKrSJo`HV{mS@SW)Qxc@OIrzgsB+4+5ACn;-przGFt%iVN?ftuDHCTvdK{LEEJ$ zS6HL>aeB0O!V>!%w_N?DoMZV}*;Xvh;QCkl?8b~6^`2~{;U01SzSMopxv9>Xq;jh` zN^HT_uk$viRmGdl^cQio@OftShy9kyo^ScziZ8qrRiE)mY*X9ak^?SWD{gixX$Du_ zpH=t%sHKQwx!#?%li0522Uoq<n0xEb_d^`K7wtEhid=lGXY_Z!Y+iNft_wVWA8@{$ z=2DgZ^npu;`jNK1<@HY<FAP_gwNLZbYCCIgwanOlL2|&}E+6Yo8GpBBe@w2d`+D|S zG^3J}z1i`4EvJp@?{pXEeOqfI%6W%<%gL<oU*=7fKe^-QmijXV$*o(pKkQo&`ulX= z*Wf&+tr@eff9gNHY|{mHpME2a3Gy>HCOL;1alYxg>UYidMQ=HeqG&?1*>&I6+;x*C zJZX1boU-;rY-!@kTGNwlw%+}pblezkDuuR9*s^oRw@&xW%CNNuPM?c$J?ixO;4I$h z+udI++WYSQ_a7OW>t^LEu__;tO_2RNRp9u`ubEXpd5+|kuy>z(QRO3TmVM-goYS7` zVe!5ihac~~dXq~d^~JB7VizVoSH9~M`|E_jv6M!!V?o}6iN78T-MW7BTHk-YYES-+ zOLdEWU;6u7)a}u(w>9~!_vb%&FKa&elXu7LGagzMj!l^pOV9HiOln{`zh<G|OsRQS z49y}gMs>IvB}6UUaf$7~gsDaav$E$j1nZX0J-m6s^I89F4ExUUMgMrh*jO#NsEBh8 zhq;f?sZ#|~+KffID-CWPD}T}Wx$n|C<8v>kn$3E-p_s4ikj&>Dn*BXD<7Ut0+hWe! zz;NGT+Z_g#p3PH^h_kewTs7_DX8Ds<-t#8PbEN+X6U>=qe)njvafk@p()!i+Lf$>H zGSb?6<kk%DWbbd<lO?hkc0J9{i~f+aw_p7D&(-|7TpCICJT8(7=dQ0QFFLCu9&{ns zaZ4W8^o<F%tJH;-3rzUh`F>MY(1~^R5lWJplg#f)+2~BXzk7P_m6jVfPv>;Ww-!G8 z>V3!~+;hgdHy48auDwpLs=TKVY4^762Alun8@X=Xh39!M`aD;d`}E(1c)rgCPt-#L zXICCBsCso*K{u_X?aQ^DowvRe#I!yC?$Ne#g4)j3BU>)qDaw7rd-~<d^5(5MANI8T zo!@eP^%AjymX9UZ?q<DxA>DM@XQSjT-o$#&=jWAw_DlLJMx5ffw>56cr>vP;|1Q_( zIGmMOes7N?!)ohm2^s&c1hC$*vEO2KdXu1gnbF?(l<AIJDzAR}`q;wo<B7K&Cw@%y zv_8?BlO<ezxO7rnW?BDM!DrqE3n!Vlx>Yl5S|#~pPo>hny;kb%-QU00-@C|OAGJ6C z`HOYdKQ7<m)acH3dKKogt4($Prk%^=N-kPy)%vg0jJg>enci{QLD9fbB>K?p<hPTi zZfvRM<o>YXtoo@B!imZEgyn6%JvWGCpZUZzPW`*>%TJkC^c#w9XZKk<Deh`6@!VQx zv$=*VlWUpZvhY1?{~ped?hJqRa-wOAa_a%H#<`(iH_lsf=SgzxiRAa!FK`v!Kloqn zkIU}}8NUK4wWL+EwLCr_T&Xu(=4nXZ%4!WSv&ZL)MNVaM$Sz4(9dxyMiPNtVg99(# zCOf^da;&+k(7)#1m6JX_Y}c)L4wjx=y+t7V$<j5;oUSlsmdowDqwA@;JO0D_>hdoV zOaV>>n#F7L{GN%=xEkPE=b*?W<(6bO<({8e`0v;`+=nf!S&Us(6umk)K5Er4HC@eE z+a(_udVXTp`3t@KWnC73a4gXA6J6<NQN2h$>f(Y64Sai86mms1S0_kF30=FTkfe8K zTQYm{YlD{)GfNKs|Iu&z&hE<Vm;Gm+rMtdLclS!zI^X+9Uq1g*iJJ-Smmc<LFJA5T zuRE+)X^KY43$68&ygqxa<IYjLr1wNb<H-_-1&Z2PU%LYt=5_J3JJ|0r46v|Dd9v6~ z)-ujX`B-V?`Nng*qW5x4xXa^{q0)YQZ;?sThTXma%N|KAZ1eCsZuuy9N}dJVwbVIF zgzc|Lt~(v^&M9pwe@2+t>fn`6SL}F}`Go0p!pYdGJ#VihHeMCk<+I>k=;S@kTX*P6 zy!pzv^47|SSFN<d^?NpD{+^ruZC1yNRe?^LmO8IxIbJ3F&^+y|$kbF_?W5dNcj?T- z{{aqWi;cN+${tGwp3-Z1Fo8Gq^+Cx`CL2Fn{NtRUvh>mt^PCl#pEv6Sr^L;^7osZs z;KNy^hqGPe9_{aIyOVa}tWi?!0=BbfT8y@CdtLC=VwcKCP1a}G4@|Z?>@?r3XR1`w z*H)lV>drrdP5mY3&Z&QPRLU=ymEZW9iQyDe)Y*wVwLe+yjrz6}1@-LFKd|>iN%2HY z`9C+a|1a0$jk9L{{@ft$v8u39Dzln!_E(?c_ezHj$j#q4d%aM}RVINs^M#i+{*c-0 zeq%;L!Sd79n@?4EGa8z2S^Rfu#H^_tb2c4g$?2W6bI-NiCi6w-E88V;=bU`AOTsI( z^lfkIYPa49i_M!}HS7FnIN_5!_p<FmwI7>zhMnK{*3?szi+k4T1C@-Q*r%^C@W@K~ z_3WA8#bmCZ36t_$ZZF)|yh2u7{nV<1MlEuM3jH~bs&~X*^i*-~%9Gw}Eatk@;BTCy z&bsLuoR1v$*Zb_0FWl4P^j5m{NA7g?MUxfM-`vV!w9@aop>%ZhBn^`#6{$)yvbcZ5 zmTkDU_;E?;>M0QyUe0l|O%!@DU$rFh^QC5<P*Z#P+nQ;7`-1ByzMp?IFGGGu@cZ`i zqT)B*@k}M6e`<23FGvr4y7|h*mDdi|?e3rUe(v`EB}rRad%BlZhlP55U$d%&Z`bR+ zQ5P!DXBW<S_ciYh_kB0PjrBPXpLe}Ja_0G=v#;+7{Ly`}|CsytBze!H8QhZ7{lDw2 zp7|m+FDvT9!!I*mTJAfuw;=ZI;_8j<OOig^<Jr36f0g+J`>%O_R6k4od8~6~>SHO% zm(lu9p3Im&&*etfj`Yx{zS}e}=nF_cWfPpSj%}i^>7iNGO*PwC)`ZU2x#MPWE%d?8 zEG>hY8Rj3-UhbUD^F{7cKxjpKblY03E00RI-8~xl_LTiz>7sPAJI=fBu)lHmy<vw{ z(f{jJ*ZpH3c3b5;m4{ltI&=3&P|VE9Tc7-z(<**ZZp)>+@+>P;8n*<NCr&os!Tz>n zY3!Ugo;z()AKc~MHto)xg*grV^SNV7e_mV~#I$>E!;5RD=LGg9YKP9Z`ST+??f86i z<@(4et2R6QpB($NBX8#^!Mie>E>Hh6Y4**J&(EH)FYnVz+MM=%!QQ?vr-Nl*{(Evk z>gd1V{~TO-zufi+nwR~X{wG;_`tjF|XT`h2F1W2<_Rsb!)Boz<{x|>L?TK?xY1w^| zSL&Wk)u*;Q693<~SQ@<vNYlM`e@?yO@ALot|1t~x2|g%)*@vlgqtxo8&&Mt<Uw+@? zhne3@C5QV><|%CtUi6AKuaune>*=-(>w2?%_96+bKIg5hG1pUasw6EE_Wb)b<#o~i zZQXms&h`nav!3WaS-mR3d)w{;@eswhgQ@dA$}uh39<*7zmdowd`8D$=b^5H}Iw6q3 zC9BHDWZQdmrOdI^LnfSgGkqTENta!UsyHvy5PsBUXT;(ij+geH@tJukiRmnxl-_5H zrF-VSzMECCT!YnH&LyUylW|XGkl;ySo(WPHivqOtc;^<ymBz%oI!t$LnO4@bCVa;u zVIQWBB9WOr?+dT^r#W{lf5)x&)c!6bhjW_yHYX2t)mLiQJ??J2&iP5HR6hGmt<Uwl zHhXKAbgyLczVhDj8)NE((*kESJDk3{Xs)U&c;j69xIuW6xB#oWlt<v)2EX&UvsxvV zv)qebaDmawPFe8ALY{}(=3OuHwkEl1ES%`%DL;>Ezvn~kXIu?g-|~$XxTsVc&uDg0 zyQF+H=5BA>j6JhE#avFAUSVIK!1RZ$SMBY^h*gPSE9X{9ocd|L^6IV`{BM;fm)>5! z@{<1@ZqrNa8dI9Xt{<q-Ia=JCymrEY>+@t%&VNsqxY+mR!_>RdS8dEUO34dFM%~L% zQxtj?G-+D(j^CGONhw7iQFcE3YF@+RgPkU6dnBGdzC2mTduK|@u1yzBybml>G@X|h zd1K0_$1kTg`9>D0+}-rTMD@re4bl1Q)<m58^zo&s=IM`fZ4Y_>)n&STc<;-McV_>7 z%RbF*-g)=q{rZwSH<S)KiY#O{UKHK6_Ve#_vwO3als|j_-@MrN^B!hRtCu>7Ne|bE z9+I2G8D-S6@A||bolvFH#_;$|tBdwM^P+bxe7U>m;sFiLQ;zYPT2sY*<BaY%Mesen z{I#^Hazf)Ime8*XIwDMc?+X-5eS*YSPhYCHO=VGi^3iA9&(hnsncaTK8p^zN-J0(! z!`Hskt6KE+I;(qn%8z4z^W|^qu-C=j;BdaULUeiA(k7qgpLM%8W(b{se^TMC@>;%K z{pX%_GyWD{^74)A^t7A4B`eN(2+v*rGB>&4)8^x?_is&Qt?n<I`^r^o|GNI`?Tybg z6rQc!Y35w4etKD8$pdwtpIID<yA_oJRvcYqyLZmJOMkmv=Fj`oS9aoev1Zp%551#V z(>7~!?fLR-idY%fmc9Vv{zVsBL(K|5MaQ+fAN_76*{SUiYsV6`?ZG!s_d>gqhgP5c zq`yK%<G}iE&668Ha=d*aztqZaQQMmt!EP@*T0(YCpLQX8|LRA7k^;0vbmA|qt$g!G z|4-}w$A6Z}tv~;e>*n9DbspcmCzmna-1z&|>laoAdrRK!?KRmu%dT(zOM|bs_FvZv z`m*;)b(bDjdgSa4Dlc^dru;bg<oXMb>MLiCORPR2TBYiF?cSQdmu_rM&tms;`<BMW zwpMZfeZzg`zvkZKJ$nDhK9=k9-amg&jH-+MIpYw^*3hb?r(5<}F6=Y96SgPXWbY^S z>#FbHgzd62HvP%!KB0xr*(TPGy)A!g=#!W4mrm`oy3$ep*6zeHHGX03OADeF<>z#U z>h!8sE$YroGTipUjelcj>6ebH5sOdvdwx_BXIAFD6IXTA{Bz-H-<}I>4Q)FX1Qc<_ ztl6<d%t=bJTc@bhuk6`_vgooXi_#~DX3YAwxn_UGqa|8FdmgcD?^<BB_!MW(@!32D zv(CL@fAZs0^J&&+@)r%dHfwfEH@tH>H@)k_gy0+6GB0QII33YeW;cAp+N%As@kYsi znTpvPzNsGdjqTKCchXjxd!BRpYEQ4-jT+C-{@!^mX_j#`_puw-zVN1ru9=Y7{d1?v zlr+w^?vQKw7wt4oNhLViO^?1=vSe|*ajNsXb8>SE+6yyQx8C3^51Vx$aQ*yEir>?u zOx{+_)4r{8WM5eFc22o3yHt-0T&on#{K$2}uV9MYhRc`kthC`QHnyC^byv?dWA?lx z{k!+KT|C9|)HLLQL>9*ZDUQ##xEt!<N*aYcu*mB8khsNRfiA<pt>O&7&8+i!oLd%t zsrjhdF0(+*#>*-=x~Pk_E_?M^n-wdPv{s6&Kk%(<U&tCEt;mO`LJL&2Rv+>@?Q$mK zV&qINA5VwAElW;#v3dHQn;vu2JRsEb#>xB7mIY<>2Uh!iQxjm`&GhHPj!W~;)$X#` zT2g-Q%dSOJ9-PXKDY=^Z@#x}glj~hf7oI7q&I)I6@ew?ck(@27dnnqg*)5!Tt-oaa z*7VaG%Y?#K`FAmgEjx8!WsX=XbHK*m!Wz+w-)PL5vM%tCzxd=cr`uNZfAERfU6*Pp z?6j;of9^s<)@=!%0=b7bJnlKP?d?%>j<;W%&e|Nvx_iR9`;W*EC5N7kT9@}9zbQIr zZpUWPOEODmy;)gN$-eF6lhvLsrjASAOtX&9W-i|MvE1=S9Lx5HOtYSC;!>>FbpNKa zHFV#FK#|8^ud5s=oFl2KxGh@$n$+BP8uPxtoVUW%yTj6uVFn|EQ{Qc|hx+v!PUv@j z*Ru?qzRo_=CF3=FfHyOX2m=EH2ZMQRRMZV?ySTH#j12#}*%<g4I2jU4Q!?`dit>~7 zQc}zEibF$K8JJ(a-<RIAmwkq;d)a;MhDwhK^$iL4t_DSJyR`N~?fb1Nrzbba7B(=Z zv8ia>l+(U&>DKB8Q|IOE{r;zCj`76ebC&57T>4lXRG3`YCU(pb?hL<bUi#HG)b{@N z)3=}hw~nn{wQKdSIs2OTiJqNhKEHgC>#E1+>;7%t|3ChHc+Dq$``@em@9F>V-v8^i zf8F=LpXz@cum5}W{J&@U|9{rbOZfEn()|B_{vP<V{CoY!llHZry6b;FSzrJExNrT- z>2)ukP7>Fu|9A3!?Wgbmd;b4OI=Oji-0HB3z|N}0zU_TK-cI+Lr~P%6^%dr-)7PWd zXCD95FPv~|<D#z2<Mx#cpUe!}`uVo1>iOwu-+Z%UE-#GBU;gEu>?<wp57JrhjcR9z zi(HeI`akVZ>cPagtI-D&XJxwA&S)t+Blqn7>cdl3&b=Shu6g>+>?;=467laZFAUAz za_KC~@!zGYQ(r%}UH|7x+qd7_xu&xpuXfF6JzgDY8W|TA{d=R!=j&c^=W@)ZWPO)! zf755WwPJa~^vr*!6{A0wbC>OWX3u$Z*8cDf+9vh(DcS#&WjDY3CI4c^=Ggy_(^U1R zhQG4Qd|m$OTKm(d6>hxVJ6}DXy^DXQUe&I%ulQHpx)vH1zV)xbQmM?}&VT0RnOY}( znmS4RYnegV{?+WtH-4Gk{c}7tclE32?Q0z-?^(+KZB5rYr~Vhkt7P{sy#3QW>v~s$ zi}#l>gGKt8?st3dhd&HmA$u>tFeK#<t5@906<JqTty;J$x8TUEH35@_|0RE(lqRVt z9>-q0C+AL#ylOywsOc>&$vMlX9ZW0miVNQp>XpB>V(*i!TBU&@Ay=nP3k^4W!58Xo zV(PXcR5|c!SSRn)+>5_@Cp`<zeXX5+yQMrkc*!f-*H;#<Y6`fuFDmHLGT+MCUiSi` zJ}Ule=32gN)mpws=coAlKDZp$E&J+f;fKp-mOZOqw`*DTI)8t6(_5{6^(&68i_K*H z7qWG&U2)OgqO9=fnU>l=M7LJ&-gPSByHV+*+gC%k>aJg*bG>Y*757`KknqRMdr!M> z6_sbr6MgkyYw6dj%|VU3qVBGFmvVW}Qs&>jrD7%R(ph$E)~sE-YK6<xTN~{zukH_B zZ}f0Wu=UmF$^SfBKL=(S-S~Gn!CExuzxC7qflKdw?vK;fJgH~%w(i^aiVIJ6PEzCC zv*O?B50^fx`g%*wdcohf?3eQc$-jyp*ZlkNVNP7`o^L-ybAMID)rTl9wYPc{y4OwP zhwxsB6(^gwTspn#!E4inzB?0slt;bj*#2crV4wZ9Vg=*BLKzE>S!Ta*xUV_m;F+-M zY2lB;zq*UI<SkgGJ1g@u^PgE}tL+cGuBr>Izp%<@;i|M%xqH_hW7|7x&nmT`Yg*3l z)y{gyah}=rYgXCYD-2&VC(T~UQ$OqWlOGQ3Gv=ON5#~JISnAY=*lmKT>C!K6KbiXA z$14fWFWHkg9i&rV#5?dXb9GEjOkgbJ(Nc(OJIJbHu%y97(oR>Qb0O>D!yB3&CRYgW z-4s&4;8E_%?M<5}Tsa&%*&*}8$pEpMqABe2nMA8XtRMOQ{a9F#d@}BB{R%zdts7pu zy3XDE{mY`DsKCJ44zus5Ip4mc=I)ypydX9&nC-K{jV}SSZ+{6C*NJ>3bM5uDt4<dj zW%n-P3Ys0t`6uwh$q&)D)_18k?Ot{(;fMcB-oF2HZVF_a$np3y{Y+c?evWU?e!P7U z{Gh+tU-HbyE-ij}*7<f;Z1X*9WNMauNI#e^RllyyZhOX~X>yml5>)nzi-s#(F7n)P z&>(1I`c*fk$(y2iCU1_G3EmXV6udcF=<=p$xn=264<)AF6p)yEbArU=n;#6!Ha|>` zNmK6pII~woEye8842dlf(H{-dOfG(`59!>0rTL~{{)+xE&p(^~c|5Fry8imy-&u#u zR|a_><W}{)>Ty4#Y0`A(Y?Hj#td3uQZxvHL+v6%xenoNq+N|3FTq$O!F8p~q>G|sH zQ}zLu6PGPJXi!+%Aa&=;+Z6{_U6JQm`-R;-`>*1M;J*(aivE50m{oN9yzTn?XGAu? zf2EiozI=WE{vh^@@bJLP+k`fMVf^x{dq3Y1FWCd4r9b!2h;IAXIcu|}^TE|sv(l#} z{RuDiGoH;AbNO{b^`B){>!N0VG*?wUztW#|-RlLfg)}b8^ZbviFZk2>Pv8gtuf<~f z7fze|%WLlv^$**B=C;jW>9OfH=buFh27iJNKKSvxN%2FyC{Jg-ri9$T^n)Kh?&oq7 z`X6Nw^kYA_o78`QJE^|^)7Whq|8Gw)`18K$;K%=>JdOV^>*RZsT;dM6_UVFU%Fh=< zn<l-G+7$FcY}2I|_f+I3AE-YsJkg=QY5uOXDzQH*b@T3j`%wNfSH6D!eZNQYpKfdZ zNv^Z*{Xa3+G-7J{^FBeHBgJ2G71LEWnB*AgaL<-GeR$b{t__+SjdH3YM9pf~@XhvI z!#P`X4e#vCHQYx}7@D(O?TnT;lQe7b?K^*H*@5SY!H>E(yi82K@nxfCj@m}coMjtS zbCzv16<K^>k3fieQS^<J8**lrWi7sqa}$G;!f$-pV4d^phOpV>A4WOLHkjuu+o&(H z_{blDDV%TkXY<6j`t~s=20uu+F~vf}%vOePwq0M#S(SZ!vo&RSXJ^WAAAOPN$Wg^v zBWxzw*Y4XVoEZEd<;D~XQ8U{)e6#J&wVYKs*LGIqT<ckreY{6sBqwtG;+(@jn@9YR z+VP_sGz&DZ1POIJg>iK|he>&t2rU*aNqx9!-{z~=!yeAtH@|jC(3N`Onxa3q9|S)r zZ}RWF&ueG<C+@>b_OJb?+CQ=%Oy}a<ny~t|VsKi(yOmqly-WZ9$Mf(0e~;BG9MjkC zYN-vIE^3<k>Q;^4UEQX&W)*hZBiqH#Bt4dNeYI-!snE6+@AVF*Nt=Y4UyQk&YyUHS zP3EdRgQXwl^9Q|o{)PSglJiXZFZesfzqYqe50RW$%eCNh)t0c{tlbN3Z>_9oZfD|s z74~!Yt-J1>U1}9J7X<EP{SVvCvTRpxQSJ&WgN)@~+h=QLGXLKHQkJ{@VtMM+Q~z6~ zS{E$w&f0Bz>vex$MWk!I*pr{BIy*e4e^mIXCtML)@3Ci@y^Vvc>hA)@jIilZx56&I zw$iV-wqWu9+PCi(@8nXdcr~H(pYYpB-F6FmW4_d{y3OnQ-*|8GLj$YS(5c7bUlp%d z%&*n|ZN+8zRYIW`r?Ve*w><p%SM%bf{{$SpLiCJxu4~_3@>Z@ZPJGg>u#4AMXEdpc zTm8C!`JLUpYo=a`zy7a^ExO1Z`m#+fmF4WqQ&wLr7B6J`zw*J-$9#MK?Rl_NK1*Z$ z|CkS#`c0YE+iPEOx0?Px@J7my!W&P1Jl&xAVe%otFUf+XEp3McOTJ3VJbc_Vz0P9M zo`2nSG7|%@DArr2tkjg;bma(7WLDLy#*=eT@_&(NKlh~mdU9lE`In1D{*g={+O3w| znLMp+{<Ldh1~#j$gDmWyR^8Y7bCr8$(TCW-D~pbNcPf2g|0?<Us{{WR9zHd_algY7 zu0QIvyNq2Q*grR`oG^)X_AZV;)2C^zICOcgLKmyA5wED$!T&1{^UV9n!dE|~bGci( zf>rV9yy*|)KR2*;Mwji@@wj8e#$UAcO_}7sa=8wLP>|&RmRAS;9#(BA-XZs9QSh?| z7jMYF=9Qh}mwEa&``2k&zwTXco>%=(gr|zh_fynE7aQRzO-r-XQ(r7+6t&Sfr_EC5 zTkr8_*S`-Rq+gY!&ktoyzUXzmW~S!Xg<bX)B}<oIk<TcX-@npLucBk>%%J+ku|>gM zd*|p|>^3`@Ydkgn*|y3FvCp#3$^FcgOqslM)#mWJ+wSidgas{bw%e6=f&Xli#_Cet zrCi<nd`oOvUz{$P68a;%cXi1``5c4L`>)GNVyzT*9}P*IfAdmkZJPIrF1yXDp_cP+ zehSf)H#rn4DWAg;dWc;*XzKfeZPRrPLR+njHcvbw*15{<tVri7581`<7yQ&Jb-HZs zB~W)k{D}SD%xmuUpATJ{<Nxkv{DaMlR_@AtF3*sZcy6U)h0Ibdhre_3l7eHSUvsbY z4JcD9TB;emG;<xB-2C;8>QAyC_>`{7eh|~D^I3G$a;+x|1lMsrNpN2A%i_j_ou6(5 zhvsg0$j+J;!2H_DU`1nky4fYI&DYge+1j0&dZ?Ir(YwE&nJx+LU+S0h>}Bc&Z+(N$ z*&9N*T|bBzZ@9(A`l)BxOs2}7ecRi)pLqJ-*R53kw8YrD^q6_=?k8VQFrQy=a;ER> z>3b$szmn7lo-KCu`sCUFRy<j|X!*jM%Z{_U_u7f@&YHD$_OAt-HpQmqzN_)gjM6ys z{B_fQw&dBX9$9>zVR9pTsond7n=c)hBl_S$V`hT?<-2+}ayP2Ho^ZQ9GT8LS!P2c( z1!rwSc`tlgwrat-7{Bfkg_+M+&RE=kW1W)tV;fVov!Bj~F~7IhJbCr6_t!ON#r~cC z@>NLW-|4T1yWTM0ICFKCy5qh-@fTfmuP;pBG?Sww=+-*tcPl<OHyE8~2{Q|1o?ag! zU7>$Xysu7e!>Z0#dCv~!GE8IfXUn=6xVG<S`;ME%i>)WsPm!*;zCtm)yla`&+O=1D zRYC%1n9tDS{Csr%EQ@SsF>R5SgO8iODtfPA+K}KG`d?JXe`-DJ>F}%Z2fbE3TA#2q z<ZbwdORK(|W<M7CaJu`dKWDDj9&B#TRqS4EwLXC}^qHv6%2j)so~~3{pYU_lG3^M? z&_|+crmXtF6&590@$-Po!adw_a&Pz}cg;C%?G<|FDX;(3qRPYJtugmJRvGE#F9{Kx zZhmQ1%js>gi}N42JBtOg#w<LPn0E5mnMu7fRi%x+&C;gjoYA>CBXX1B=9FZJgtX}_ ztM-RdzH^Th^e#L1Xz2#ckhh{ns{6QS%dKnil@C9hCUpH!8rSv1X;S7+hFo`e980b8 zTYcMZCr*CscSB@{wAs>CLe9(De<xl(-n?Pvk;5Bi9$LI%=CQ{CJwpCY0csy=Z>0QC z%qg<roy|1&OVD&<RjYPi{@6py`qw2IcjhG;x4ug>?v?vBZJw#^7am9b#<;}E3(Idj zc_D1Z`m4>ivo7(n^ZyMmlO1mOBqiMNNesB*lU$(TChzQY!T-VK4JQpua*BAkXEPmk z)>SoBN?B@Pl(N*&>;Ak~+}pQ@9=vs1?XyQ>$%U+capvLocfI<$HoJFUaOykT?oej^ z^!>ivE%VvSKJ-Q<{J;D8;N_P3fSpbso<=GB+gr5i-_7`G^`Ab^XYUt{J8v7-uuh|C z632S2wV|zARrC1GZiTYlzp-uAinToZ9_NMzhD+9PuNP)F375WlYo|;83)AOQ&#+zZ zKmN6iV_s~?Yws^R&$P9R8%x{Gn&Gb<SH1FyrD)b_m3b%gmM#e1DOIcS_wL$zE8MwN zul|4YeEx&!US9M5zDVEygT>g|v~u+l*T~$=*=%#IR^OiUZ-w8AOy8G2XEUb$5_!#O zdfel{l^kWpaBo)5mRU_I6S9ng91FLu3)mIF&9l^d>!pBQ%cseBeZP9k`K9Mpj=(Cf zwQf`9g`E~!SnjbRwDr==D8r7%7Yo>STl0oobzxo?rX|F&)nlTAep*OC%vy;ldRGoP zy$o7wKjq!Z)UHdRAKhMgZhZkVrFY7@@CzH_z6GxR7ZCnUIC(>{Rq#%?3WZO*{CGVU zY-SNEn5sX)Va1t7mY}BsAptQ<ZKmjjd~<#ov{Zb`I$;&B>pO*(zTVP#sg%w2rPWNO zlG4=qDy7RdDJ3a<nOfV)=Cf2s<$+eEVq-ui59boEj1>!ZoU+x7U7^x@Y3ZqJ8h3*; zrI-HJs$cwN$*CtAwo8Moy!=<+@tf-2827Ev`r-k@$5SUf&`vz5y+7#FVh0WVwZW=E zk-oJT+!9YlN<Kbl@NH_m?$3><l^fSTjtMy@_xw<y#W~jp8FRXioSeZ|$dmKzn}CGC zW5tX1#|}I1m*AHdPEztsD(Z3P3Qo4UG$ZY!#+kGFNj4JOqS|at;-4SgX>g{$_+f;= zbEORi=UkHtecCO`j#hB!bbXu<A@=xTVp>mof25(D=b65b9*KTE?o!3da$il~_H?T> zE;W?nd8~9e?#aXhM=ZqKJPY+?f*-}OEIYo_<4x~Nf#aVf_!~tH<%Cuz+1RY<`}o07 z>zHz<p`n~+Pv1w6<UL0hZ{T{<{V||(V(Ja&)dyZ$h_@LR>dDN09K&+$*iMf%{VxTM zH%jm~HXF(bwI|uw$aH@E@G-T=UFdj{O^}7$<B7*shSvps%Dd+*y7`#uqyvwi$IN+n zu=xG-J?YOmzf|9QdEmo^yG+NGw>KXU-rjuV`#HZAi=I}BhnLr>PnUS{M2^jJXa7OJ zc}D`&{T~La`zLdp<lg*;y{Lw(c#m);pR@6v?YA96=jE~Yoy%iyT>iw9@9Z1BbACm4 z%FBWltS+waeCPLWVf*CYFJ3-b)l~XP<d;>YRPDU;OMgDSDz73XbYt@Q-^`7P+ci1f zn6P%rCN{1#+cul&uvxIcjU+Ci?6}OhPhM^-!bP`cr3AYq-jE1nvFq0mnlIVKm*(t} z7$F|W;^!jLng5A#n^x}Wke|l8B0WA#xv>0ZzxTa!9HDEoBD8F(g=YMmG}q`(^~dSn z_cmT+S+@Vl0UqO+8OeLNxqFISmaY3P{x;;n#AYv>vH*U6nJdS?^UZP*cR#bLpfDhG z%Y?&$@u5F2uexUMo3Mr3xMyKOWZiqoE1hQ&Lze~YJ>|ELMa)X$u+pZNI-!!AxQ%_v z68mcPbT-@<lsulqE-LYQfe^RMmBR(>UkwjAPL_DNK(nVfVCtoPKNe5g&J%Ta*|K%} zHu>)U)qnB*(eGaOblTQ_vA^;1_oLma`7JY}&Rv<he1Dsri$bYGZ`uAC)f|&uMMIbV z>UZgzV0Cz-c(MOn9^1xw`V$)#it}2WyX?yuv!L;at5n4f7q`<JCtqPLo3LwDjmO=? zRzcrCGOtv>_*T`+f1<<rf!6=wD8MSXB7?;Qqi}fi?nB{t0;>6S5C%Xke4!&Q#dY z!6xLK`CuXcP42$gDf%pV6L%fS4f<Ztex=q&v48dvogn{2vz5yYpD!)<ikekrU0QWZ z)!>Aa1e@>@og3*Ltp+twC4XiG{XOpC9JipPv`2gqv)l{LH47LI7fBW9EN(myB;}#A zu(ADU$`+YskJto-mEJrGrTl+A?;iIE@=r2dxqL&{mCF&4Yo@>4yrJWY<T5e-mTSU4 z{#6PY{BdCJTW%uo!bRTsk>1|+_IW8=vd-E1zhpA^h}B)Y<lRE)oBR6LEtz+OdDC2x zcN43mzR&MJH%aPmd05uJFIA0dJbO8V#NJ#!?j-SR--k5M&ju&&1e|v{zID^uUFl)l zjy{^+-LNZJYr~hP=3WA92hV=b*&_YwSoGzExwTBsby^<&_&jlUQJ+e|Q&#SzA9}Zr z>O9(!V>#(SxtLPnQ74<2tpf7?x`HYjk2?iPd{Oefv_s0)FzZKlcHz%f52=voo4fXG zGWntZYnqGRG6y~9{gXVjR(@6B<|>-|PjzDPtb?f%0bAl@_ipksmY0aw62D-2qQKVp z)Yl37PhFGnc^iIn7mu@-()A1OQ<uJaq#Dw;u;r9tPXKG1`|+oXPi^Yg5|(g$#iQ&w zH(6vQcaK_W=eZ^C9wvTq6MtbRH?c~nfAMyosf*Ln1HYU)CDgY0;>t<NoHiR3+m28B zW^pc}<3J|&M2l2KIlrimeqpgmM=H5JAF^|MKK}heCn$UBEZ6=xj`#(K_`P~_ELZ&x zJFr4SdB(%v(~J0XH0C(;3yG({c=<$T@0^$g+b4V1ym}({O!BhvA<MdguW=7na(kB) znZA42n4K>l8&j=*@oH=Ei)V*2OUfVcah@qp4Ar!73$@pZefflE^_)4$TPJg`DJ<&T zy6!`$?c+nMRuv|89@Tr3*vb7n;?*I~Go=qjrtL0}($<($o3r5Arx5Wp3Gd!4Idy}x zCnc9zTOQ|87oYL+iO}XbcMj)-f44ZcbHjlO@o6(29FkMpwV~frZ_bevalgba?&XHZ z8n4F8dwht;e1)h@zwnJGPk5^5%z5msE`H<n6QSQLLXD1Fn(f|jKumnvjaP@{w(Z=| z|5k6#5k7Ih#O2(}H@;q4P`0}8h2s5v;)nQuell2L{LO9VKdq%(7HwLUtNCqzN^<HC zt*Qe$t6v7hcj+&VbmA~Gh^aodD_^qg_IKUj-*NS7Qo-*QrkL`H9G#skyP+*1^`P+S zES-&;_#(1wW(TcVv}RRYx>IwndYaS=_57^6F=1QW86>7jpUhxX*>KQF!fvxb-+5h^ z2i)8fZ)7OSm0fl$UbCR_fR~iVnnjK6S4}=_oWbU~W~n3B>x>D<s)SaQzE$Ft(3_aN z==!E;u|oy7oh;r(bR3A~p131jQSRMk$JM9iu&pv!-ni;?=7Lj2Qa^UMHLI+<tkBAB z;_*1mP=ou~DNZQ^87;ZV3@QbeoFx1rIgV#?3tA*A3Z?5FIc#Z_(RbKHz`}{SbA9H6 zhZ?*!GK(FB?q5)7eQe^9JoBT8BKwt?pVRI*pPl;o$&42}FMUs)Wx%sppzooWlEEP- z6}PC4_P}GGx7s|EaA0n%Gnw$hpP8RI^Fguz?<5JIW|6iF3cddEeD=v44j;}o%+g() z+mYDAx^nZ)t8Ef;(?u3<I<s-!jR~tB>3aOX*~4pSqr2<Ym*C>kC3ddnmlzDbn8|$< zbzqvS)ADfNM~B4@7Tcuy<+)u_YsHi{-gL6bF_QcIjMH6zmpPMdrozQ$Ho0Aw54f94 zt<aj!rdhJkQEIN|f^$#YHZ{MpsdMb>jAIUq<T&ib9r&`fl|yH{KxeAhqeM;FEnd?y z6h27us+e6kaJWipg-#orR`)_juI!8n$6h4`h@F|LYLXBUZllKN@nAu#fy@ShzFaY- z4fmZwo~A0weY@mXT;t5#m~1j(MoP`xsqC-nJl{WjoGqnN*uthMv&>Pd-DAPCQ`1cX zuIgE;RjupUF3@&S?2zFpCl<G;jw1%#9}QahW+j{RvXotPNK)sud9$E#Rcq#iBjF|< ziAKDaW+c?S6m57AZEG5xc1z%}4tG#`@itz=Gl~I`&mxL*I}-P?u1wb5pxD-W-o5M6 zsZXEEmTnFGDzCob#3_li2+89m+QKu^QiX!oo;l2+{n_x?sYw#QYkqLEooz}NeY{9K z=iBegStVV|>LM>O&h7S``7dj$RIJVtW;x0G<(fh^yfc5)JbCCGdfR@j&ieCL|J;pJ zD~uH@@0Zj4KlkmDjP;-H-#phH$JqKl=eX2;v(^b--*Y;WOH7zg)z961!HH#AM8}a7 z?vDm{E~&~3>;6_TJm?f8k*}E9W4y0}t3&Q}pnl8$XTGOf*B7t8_&C-2ZNt2acdS3> z=9^YsT)<qlU#9X+Mh$;V??S(OjLF^Wdd`R+y*eXVQP$x5(>wNePfYw!e&Xt{RGrUV ze9~nv8-BX>rteE%cPxkdWkYMo?4RowtloIyq;&%4)uPnw(le}Lml!uMdOUA-;NM05 z>aSnF+Pc!(-gwvKq^DJz&Msg){3U}Sa>4-%?!*_#iZaI+vHG?Z_$=<%H<-#M6rAxO z(TKOkXOW|jaOQ+VEK*Ah?V{whF09(Mr8G2J#&loXd4<2{=Dqir`!GA`xrNg!rgqQR zM*<74Z^>$HJ~H9@i|rSCI-a#k9x#~0CUZDL!C(rT(0r+She?cCQ?sO9$`&m7VYG7X zik03Ew7C;+$m>okR%u*oc4WiRHVd<8zM~t@JF$F=>Nql8>``KW>yL<ZMb9^zCWvf{ z_K>>m)#d(Y@vS!<`ATKKSA_NdaN`c#s%==t==q?H*Dz+gVqf+&=apTTokC7#Dz19A zMIf{!s-yp|*rX%2+@24owJs^#tWvc3?}|+!s@uagXFlXoUA?Jq604r@Ca*^QK%>L1 zUe9(ooMgK1Cf@eoeSOH2=NShIrm@KgFY<RWa(G~|xBUpGRE32nv;6Xm2}d%8Rs>o( zGq-&<d9cHmxzXBW!VYg{{_mL&9y9Vzs*u=w=u>_9R)twFp8kKARcEqX_s`v{CeleS za-3z#7fELQ^WXF=vPob@wxUq6&XL18+#d~3J58FAsi=8o!vvFM?#2(#%ul&nXZQX6 z?p3!+Uaa_EdXxWW{l}?+65Gs-Mf-FGT@#93Cf>NBQ!af@L-v*Rm2IJK?^m>4lFXZA zbzC<{e24EVq4If|ftPgF8{b`edcBKETklyVq2p(#D^HrWHBi4Z`lpYE_S0Xb-z9|% z?kr|JUMt06v!L-v=;r)i@*iVOelPnkdcW2<>u>&-yxFyv|8II9cg34`?oIP)?{6y` zFOW&7@L}##Hxc+Tk4?yaM(yKQ8^q7-D=a;*JMBu0>?^;JpzCfSL9Zv@G@tkWb}&f& zi!VBy7FL%X7I5>b?6S)~qI@Os{LEV6R}I_GtoXV+ZJ*Kk*#Yc3CBIJEk^as1$?t1t zc{JE1{ASNR$Jp0wB4FXd+<Ev}<z;*4lA~{Chp_KSbkqI%H2<6Ls^*yfDo-1O-)f(0 zt&`ZMFt6%cz<4}RibG~`;}P?;`!=OF-lcWS``0~X#gUH}-ppRk_en#`)5h%gv!}ny z?ttyM(C}D=m&Na*!=r|q=JD}*Y3?<(_s*>N`nqplzZX;ZV(V2ebH1HD|NU)`WB}ia zC0%SX&odSXnM--hG5<a7%Jn6ZNkOt7cCR?{@yeU%AlWBHSG*Tp);?A^`RBe^1LjkV zQzu+%cr3(wB{5Us<J_CurP8y}fs^<e3WCDU|^!<Jof)N|RZvTH`I_tW2HM?o%< zyVQ`pXXmdc4F_1HJbX;9pWI@Vqi%d;i`9dlD~?>e`X)LmUM5h#GcWD_rJwtJjhIg{ zww*M2FvE$taiz(G8Q*d&oG)#Ex7%&m()V}nDs#E_cPL#jUgR;y*l6vc*y>-Kr<^lr zzG9g+Y1aXZpy@Mm=jg68Ui-fH^MTT3rPnO@W74D27fm|wa>bHescRJWOvpTI*k-{m z@$8br(l;goGyIu5S<~)+d0Cb6&3FF#;Iu2hT55CGD8$U)I5|+ib8*^zuID)mB?BHV z(OtxNJV}Z}XJO-!pw0PKm#Y%K`KCvypIK45tn|#A+2^)=bK85x_wt))#miDTY#}GM zR9$FTtj^0)b<ttb_M7H&Gw&MzUS_;@XWA93%T{?{y^AIt@!Xu>>a)6EGC=Y2D{tn$ zIun5x{>+_>Y4=N(eZ2rO)o*6tYoFI8Awn~f`x?$|k&|Ante+Cae71pW<~0SWf|BKp z2Lz-%YO+8Erh^<~dblSlJt}>19Mk1RaYFuQ_lX*<U6|(3>XR+OYteSuA%TTg#cfvY z<(bz6jkD%v`Wi02HuKu{K>fy(f%={2&h|VOGg=!6R&T;<aqNOaf)1}r+Dwr8N|5@s zCLr~#E2bYfvtqi|oHHQf_qkn~$j;;cIsb+!!*PC-08vd|m3c4bH)t@-&5Q-BX9H{P z_F~FjG|7b@<mcBLL4K}sVGhl^;PBw|bB_h5v_QeSD+T0}&u2lwYWYfagVHP2k83UO zbIrU~YkbLRS!qApsy)ja9m+ooX{1Ei&f`q?`1P&q-ieuC?!MhU-M96zmrG!%uS;OL zpYmm`J2$JO&M)FJ-xjyz+{M{fSbt62<sz^AT-@sNuCzJq3ys$vJyt1Tb$OTESD^@z zuakD9%+U=zvBgT#c@8_z-HZi7g;E?P3mc>Mo!R%&Cwoa@xUkjbs06pQ#%td%ieoyx zC{E~fZ=@R6%xika3!IjHW#zT7Ti$pe-DJXzgm1p_UFm06eBEkcqE%QUHI?Ps?ApuI zABTS4Bf9SR*EEM#?jl)Ui))u0bYn~=M1Z}#7ZiD0Pk|z@c~KnG=S6W^6OGSDD_)LD z1*N92OAX1aye!i$J0#5nrKYdOpm@juh3nVeD$X-qRh~RXzr#*!nKp}2)M%}$Nx)5e zUY4-S4v(}!!TKr}<ZjQjD+jahE{c0~sK3gS#|)(W+iZ~XT9XGiyqQbG7dIY}11XnE z1S#JNN~=+_Q$v1=OjT){U8|iEwHuu9+@uQLENMKzC*_f24ocME^t$x|$nA$0$1%-! z->c#_1El=+8BjV6lPbtr*mxjK$|J`Jq+AhX?yNkJ75@VD8&?MEPdzd7b&BHUS(zZO z9=qI-EW;bp=EK}+2+9biXFvuEgOh~)E7bzSS4;J{KkpGWT6+<kPDOYv+%7qUnwd;^ zk)IOvORV7Ri7j7b6B1k=O2`DV%#)ZoE$&~r#LTe7ukvXr9<4{~HCC>c)?B&TY08zW zpHk=Ot~6dd_t*mOxtY4=m$X(Iubmp`nGhQ?X-8g6=cWmnyhd#n{8v6)Y)EF{Wof(Y zkhBmK{C5r38Ll*5+YIuFmB3V%Z|<s{v(rGylnIm=Oy{%7e9llXn9e3t3`#w(r-3xR z1;^RF6-)B;13e#YySd$Indxnw6%#VgE@C{+BE@lLLF18<&G}Wc?i&7H_WWj*ahC1H zG8fgxw}GCEmTzo2aVTbX8e7PWoZ!p@hOKN&lQR_zPlCz_x0#=P)1zjC0%)uDE7c8} zua=6%gEQH>z|d!nT0Fg7mi!iCmmEYxOeRG9nIqVLZp*RRfv<hC7fWtEw`E_4*Q-9+ zsVZvVDDwtKSrB-{;M9ang^h<mRvZJD7~pJND>jv-%)NYyMEbY26EfGDFl(hmt<G2w zWG%&^v!L-v6gd54wyiK;Ya7`4`1A^u53V7TZa@?pgA~8cJg~8mP0Mh3<B{j*Ti<V~ z+Qn=9ugLxUHr-=)BmB3m6?9FAc9|%Vb!Q{D@!Rg_8nLn8mz>+!c_s9d$19=e9=VsE z-&S5!wmn`ZdGVFzHtR(kZ#p%EoO|R%pIsMGo^<Gol;bO{^-86UflKrZZ@ri}FY0%% z+{>AzCpyCX*BlmfO-OeM+&cf_;zMhsl3jvkgd}^$rXF0PXE5)|)u7Zb9{wws-TJ@O zMCH1yUViytNB@C^9ZH2aUIZrU`I;zc`YiQaa?Wt(l~5zsS3<$Paxa^ft{0rWF8rE= z?WL!uR&^*9sBD@ZRU5}AzcFC7kFk>G6s^mibCcOta-UHt?TmEmFPVAibcb)a=6x5D zK2f*+lHN_akCZo_c@enKX=biVkVe3>30B8rg2ZRIzY_X9|MXwh9oL%6@1@+251o5J z$~wj3Ys|ZN2GPSTdX^f8Q`w(dyj;K(E)()-RddyjwD$tXGp$7|lG}yS-(EOuY5nEn zT3NNidwjt=652CkwjAQ)jM?toCVlPW<^L<nb~hWnDG2DB%cr&Bw4-X-ZRck@GTWK9 zzX=G{DSPp-PxjZ2>~_yPn-7cZ+jQ7uo9N*;(krgy+(~Zd5uUFpwcp0)fyc)e&omET z=Q~w+#gVnoa>WsIzE=-->~&uCY>RVaZJEZ#`+T-JTb<?E-xzc@m(4h&XzlZOgXpT8 z6^HmFe?=VkKY#4-`CFQY1=*kexaAl+@A(3u<MXz*t@%`KEpj8fUF-RFXa3)BFB}gq zn{kBE+UMZ{*=2<<Zr-s!!jq8OP~5#{SKaYFULWpcUe`ZeVfvk)cU5*&_|(_)bJt~S zT<$-8&SWX);Y{v_7O9F%>bfqETrS_)f0twOgZF>?Z%;Utkkj#acDk;|T9$2lRr)S@ z*vDA=nXi!FG<Sny>DOCQA<c=my&sA6ektB?x1{-3<&8w?M;0m0Ov`hRPqOfMzf?X_ z7Sv-iJ5tzYH?3~U*QvSsTYWBhOyB)Grz3Ga@5-6^&RMn_6{lKX^tis_dQQi~UA!wx z4z#i4-JWnnSL)@VPoT#AR>i)}Upz0GJ{P?mCv^X!M|kt}+>VEncnf2;C^mANsT9t0 z+tp(2{@wd}(fwNj$G2}|W8Jsmt!iQ4J@4zW?l~Qa>v#)wmiI69-gI-@UKO)RuUE(I zg>;Y~M&u|meb#kJVw8;eaQ(>RoQ}kayelV7k?DA_zGwQ4Z<8Xtlx>Ah=X50Q<Xvfy z<B^~$yHKt-)a26ZA6dH`_x7#dsMxpFY{{!M=}8g(%6t3rHz>B9&*^wvEFCoYNSjKS zy?3l>m4VsB>yzcW&x#$|c+`nyTU5spvq>)sZ{#Vi3fm$Osvgy`N>JA&N!Ihr+METg z20dE^`V7UC3~o88xJ`7qf7C6C<1h#JLy0^^ro%ceNgAGCc;5tbCrTtK$~5b`Bur@a z;8Ez@SKhl_pp8@Pkl{rq7PZKZBPo+!+`cBloj4;)QRcFaOTw;Jk24CL`;yOY5on7P zJG8NF&h1}irpGt+AK9vAd1>9*_JirZu2<WuuF0RaXXg{1I?w#{-j$l`S0~rs)w$&t zn_8M$X?yrqO^DjVh;&7!*SaoA&ik%~{J;MFZ@|>42M+#OZ!8wvCi+ueNhO0R`SbcO zY6gcL#Ggq#IK=c<cH&AO<^SD{M?(^3Hm|z9rnxHO(E__=))_*VWlUQ4^36JCQDpIH zf9lQit&+cjc6{)jF*$ZdqLAsen_kw9oqVgpBtS#gr#EvOe<@4syL^Rp#$l$}D+JFb z^)2Vqx^VNNcBdcTtB30rr^@e^G3m^gF=<`MH|yAyKl+K!GG3_uWd5|jCG)_8tp$HS z+n3Kw{V9GrS-0_2Q~B}775}cYZq}%fEn`aeocl25-&y6hb?+vaBuKb2_gyp*kZ@-1 zR5bZu(ApDr)o01NQ|>|G-1aN0B_{rVxopF`WVM&`;ls?!!K2j%D~)q><T%!<f3V~Y zIp@RN$7>R>U0TXx&XUG<;gl^ht36{AcvpJosF(8J_PBdIEXY5Jd*$*CGp}6!q4fXQ zvpw_vs-BrDcIbnu<Ef_FZxJ0)UEO`_<5$i;(EMjTcUx&&_RsCLl2uIB83#7ZWs|v` zp|GKqO=x!JgG63Q-Q%`F;yavQ32pbhdssK<d*S3OlXp12(v(s8YEmZlI`x-Mj!J2p zeiysbYt9;9<~C=O2RB@q8<|Ze{ODqn`!@MvQH|RxCTWk@&__$&CCaY+eZ%F|t84DB zG-Z^(n)E%puQKKO=KU>}8#4YqspI_Y@^7vuYmnHR;#*EvWKQirY3glce&zK~^KZ|d zywOj5cV@q-7XPWH_(OLl)~jcWv6$bFzR3Li{;H~jP7>#y-(MxA$Z4}lv8{dDw<~AQ zIkEUfc0`@lJ#tu;`{PC1s#BlV`@Kz4)U?^SW!kaFFFH@|vD)hX&$Z3c@{9Kj_r=^9 z&qP=Ju$tv1m2YwM;EP`E-%`P6v~R7~`{O;MxceLT7bBkjv~9<--3)d93yZSp9sV<2 z>3YBi?-`#trat`DeN^jss8i^3ODnyDtIr8pIKSe#Khf$qW03fdt}Ci-6L+<*ySPR3 zLamL$-^mpcb3gqw-z3`?7YU+H?vK`W655gB%p<Pzws6f>fyVQ?E=j9fD|X~5+P#bF zkYeTbd>GB``8ep`*6hkf=@&o#c^`6BY~S3o(-vRl)TzGOD4F(b|D2@-Oqb`(N!&HL z_)I}j<3>L{!_%U6$1TLgB;Fqq5{|iX*hT!C;mOv?Gu|B1^eIdXRkGSpXTFEq_)KBX zs(+I^F8ZCjaQ39-F6*5R{OZ$RyyTjnypEguOmSl8PrWyZjoiN@UL5k&DS9X(w!1*e zTVqbG&VpxI`r>IXe8D5oH%>~nSy&!l?x$yP<>Xb}L!x%>^5SAIULO+r8*{_CUi_Qk zf!4_{ULDfpDS2qZX1QV3>cg=!5?hi(zQ+9BH?!OL&x0p2{&Qm%O#b{sXm0Go;+~Sk z#y~xti$_~+Z8Dx6u#?+WAk-gY(Ry5O&aug9JKZa0+B01%%B%Hzu=&s9A4#V^{M@{A z8Rx33D)F=%FAuHSRFc?uS5K$#N~^6+>6h;0nax}|B>|nje6JEWH~+fvXn|)==>?H( zmKjpF--h_!_4{eBqqB@>?)=SsOXuydzu_Kr{`S6gdo6$M+~80zK272Q$DGC8&0Hm= z0iAh#uNLlZ{`KPF0?#ic7euNoGo<!%&Wc_jEb(FiPjkn@GnZNP1eY83OX}@5I9{%& zQ*g9Zb=|HF?b%0;&OR*DEW1}s;?V-3`Bp317xKMIp3$sUcv`TSOI*gJx4UfB|AhOF zl6g1UgZ!_x>z(;<qSaDv_l5();?sWI6SO$)%Pwm1eu2<-*(---*+Y-6ZdNNi?-;z} z{en!Jif?}>eLt0D|0C1+^{+nO-0ZB^^-<9`SMB-L?BZN{)_v;Mi2tjuE_B{qb}Dh_ z*~Zl98wuqnwrqHG^+EKuHO-YjuBx1Vv%M{0XY)GM$h7^XZVsiUvWsftGRseF`7raW zV)>8IvUVTt`TM180)qHLb6-o}3fsKt)HP0-O4Ev_q*c77t9)~m%MyOfy3v?gcEj(@ zfx;;_8q>>eB)$un&H1unr?cDHHy>0tG9NBXYR~bg?vS<Er&xBPZA<qJ#|IJ{n@_yi zaQVi<b+baZt@TLg-sl{5_D#Wz8;yy^8=bfDw|B@|m<d^@{4SVq!!bb^Bosf>`bwJ9 zt*mzw0*$TTD3?9>(R4#m`chpI`_wf;)+x@ne!ucoJwL7Xj$UKw)|t9(GjHnlx!%-m zG`*?Y8G2Kf|Mli*JF}GSlYZ>k7J0HeYxTk{yX+cYnzD8$mYjGbA-sv@Ses5~q2lSo zKPEg)b~@pel*H>5cP%W^w|(2wshl|(=^Q-SzKzLKH95|hvUc_^dyu$Y^T&-GF3+5- z>zkfzZMtwF?ElvX55KuCI?$MO@%XhQ!_LyT@p_eU-C8@NF6wPRYoNRTtfA_DT?5no zx`wv<-zK`%-9CQiPnpo>TYvLT=1<+8bvGdH=C*yC!en>vbDSM&%DeRG)2XGUyz<-f zV&g6^n;Z3{;Pch{8kP2s?krqq_B=8$Dt=Yohwsu4kA7Gn7$?Io{ZO@FyI`D9c--Hw zljiT(Yms-vnlq-qe9{iF_fH;%?U~$v`=g)doz8Nvhgo}qrM`ce+_WJ$wlXvNT0q<_ zzf_5-7FT2LR(BV==Kf@Varu$EYQE^^Tc>uNs``@axGnm&V`S;q7uTJ11CH)kw@K>n z%e2>ZQCC;VF~9ixD%65mcf}&E=A0F5;kqk)W!bg|+zc~(b-}MUMzt+K_Q}u2*LRyF zEapk>SQx@zS@xjq;@f9zc`Bm%{A}+Ei#OdobD(tb_sh)J?>1dI@O9HdDW00SZdnhi zf|!pNGhc6hwcrGQOnBA<m$V1F0+`h|F<+mVXKr3Fcg4Dnga_|}KqB9ri;L`S3+_ix zklLYc7Se2Z<v?e`136Q5Hoqrq?-Gj_H5=I%@UK{@Wz1YY|JA}Xe0OYj-Mw?bcIhsq z=0<7nx%@L_cNku2IGymo4P->1SxEE5D+f*{Ja7vLpL2%y&W97KQ)LbRcHTA%`^z|Q z%a5y*<l7@xe^|RIX7`(%kF!<xCzWSjjat8n^G!yN^x-zK{h^;$Z_MMYxu#*?mM``6 z_{Y-4e^|bmpYng?vG|XTOx~3F{m#9gydNbj{u5+zL1VwkHuGm&3Uji3iftNq_I^s9 zaaqH@?`H2O;Tcyo>^o=nehPk>y7*5`Pxh2}q03TFrysiF_H(J>Qp4rG3E9cBggJfk zrpO<DbxbBK$vgRuO-^3V?0(<gLhq#H<U2tUxAzF<W!Bs~bVkQ_`>JiBUsFRPMBj%r z$F1Kz=hl>KS6`=F=+>=$GX1Tespu-MIr6U$Z?L)6o;>sN^4RvSPewYWzpjMUghaQg z>eelN;vObnYyJA?ul(tMW?fYd>CnylnCAI;!{WLxQx2?~*7D}z%hxS$77IU}>yqx} zw~$+P`NgeI{k`t-o)mo=>d195AU61)V=8N#+oc|x>-(SEeE-Uk6MgH#x@Ft!c3jQN z$ZQl1&HWhZ{rO#7LsdoC&5YdCOjf)6Of~b$S)M`rGBW3#Iu$1SN~>8*^G1*~=bG-X zi4nIi?djv3&$8^e^~xywd!5NndnO+^QlZ}Y@uQ!d+HvcjGlbqxIkKc;xyZ94)=Le| zzpW`))0yjWck1(_)`n;L%O6DuyjR&^aL+R-aL?rSqaXe3rX8_X-Dq-8vo-ajU#3pK ztv1u@Wa%LDyP_Zcc(jxI)i&B)JAU{|guMLb<l0FyL|;!nbS7fHN!k_@?*6c?OLMiP zwN9UwK9zl1J3Bi&%W(hBv#x*b9~-UTS=p>vwsLjW?OnTsij`Rt4sHxx8TJ3!4uiv! zo;~wDc!V>jsHm;i@7%}M$=o&Xo(Rpi+}Y0S_bz$wWc7{bP6p3-^CYvUgjfH#-t~7` zKcyZ%;W<2aPV$V&+%>PB2szKWb2uUVJ4@NF4M+IIziqhNTANe+P-WY$0<P#7i{7ng z&+@Eqcx{	Tqy@;k*QYTce?z40DnV%dg`V9$&Ka^eo%lU2}b<r`mt-{hIjV@|~E; zpddYFZRpck{zyVpTFCu<gnVP%MmwSAq*|LZU0**M8edcIl-y{i>C^w!GdZewv!3p^ zpBuL9TE#SZ&33M*-vfPfU73U*$4ICh*;&xjUo0pg{#<FJ$+@G4Us#CCC(qg+e97oZ zP-xsOJ;5nbpOyLrH!oS|C0e$Af9$6!!Bt)hta&AN?e&v&`(J#iT{q*<hXYO)@1i>n z*m6(Yk*z5AE~2CVy{<~9;Iw~B4DL8xl5peaa}Tc)FTE_4rN}csisQHdx1dChVpddi zN4uQZqvVEGm5cYCf?lL6W`0@9?!JI~Z?{+N?+6a({9T5f=S)6a=w!2-w@txO$o_&t z>v0p0$AX+$LOYm+4DPU8b^gombbi9+u>ai`Hj5o9Jm(bh&MEHsFZtHG?3>3AvvPkd zyy!G(M~b3m%(e+8e&*`z?T_VbEwZk~ER+u3{A^WgLCj`>#@Av>g@>JNWVQ*&$47Yx zRqLv>){0F!R##QP^6pae;<U5jCCORWWR^%ze&NW>fB)iv<4nJT{F4-UgCbm-O}@G8 zt2otg=J4U4N4O3&&A!g`=-E*t^YyJqLehUd+uFg#(|z*ri`myTxDzFE6=jljT^^Vi z@|sSRNWXdfa0T~A!-GzfW@IR8`fQqDvg|_h;f*c#TzwC(6gy;a*2!X7bjJZ6?uj!p z6}5!73dnEPRq5>h;u&!HLsRRL!g)I?X6~yzyO4kJvWMGClCz}dEtZ}f;WnR%|8(Yq zWLDlu5uVK=VHXv8PpSl%ub+HG>cE$?uUR%;$e3_|kvs85vZ746j?05>tsZwa3Gkci z9ywmg?U}?{dF;#C?D?%rHcq#h{_0uj9J`)brtz10S4M8W?PO6F*>ONzOsVjWlg*qB z0`kXoR5}-nO*#}VHtCp6RRPPsh3xKUXL*7`%V&x7WDB?W`Eq@i4jjL3;_--sH|U0M zvk9N;ziTSYM&|2-FLvB6xnQsSF44JURptZDf+<Y<nBs#KRvwu6;@4uwRgPzuH;XA1 zG-UbgH+gTsYa_Ghme=dWZ#D}w?hQ%)b+jV>;(^0vi*)}cAC%dx>yjXTG2(;u!T3uD z4$H05UDm(pt`p0*;NF+v3*IehY?lp*j*)-5sg)yUk<?}VZ+o1Wr_Kw^<*c2Ouj}$a z;_8flukUo5OxWST%>R64vq$ZZU@@hFNm)MoFMrnIRXKOzz~RhS3iIs#RB;PNxSKAE zzg;<pO>W;pN3Q29nt%NIkge;IV0v{%UHWq|sfrt3%_7$V*ganzuFq3snjhHvQoJm0 zQDb|riN{0kR|;8`i4r#^smV0!xLjQ>rewH8Rj?s$jal%-mq%Br?{()oyrOwY=?VW8 z=U86fPUaS@NKq8xUwPuoDf1~^KI?0mGbbGSe#G(1`YNM4TLq?iM0R*B={V3d|5uFz zXi{>*q0m<fKI~<8okCdi6q&xSIQ-)4S25m@I`?Lgya4uxRvY#md3n8Maephv7d1bh z56ZKdGaf9o=M8${F6;8*Kve{XdpWn@3pZIe{cC$O9z0~=4f^r$>v!*a+>IZ)bDHO` zh+tmMd^l)E{2musm21Z;mK1vae{8gy{kT!N2-E4id_vlH_~gQN$=0kXvR2u6>*<33 zVVU!9H$L2wy`+h=O!1iWqoN*nsr$R!qW25_n_}%(Dqn8xF{i+~!s2n+hr(-bCmgYy z-dy+j7vFZ~!+zI2SXUoAD8b+7Y$(Uno@}Giccfy)C52<ky`R?=uez_f|Fo?X)9$-` zLe+Qp<o1<V|G4qG%p+<4X~+Gi>t@_;Ogx(1H<3&1PNkuoOmVUe%d;aD9%uSKelXBJ zrrdjZUGb{_bMJn-K8KI#^c_AS@4I|*({{+#=#*KjY&`LFLA`83<L$=8>Df!BI5VwI zvXR)=@iCyYxToD~L9&gC*^!Eh2>Hhok6B)iyuQC@!)4nzChI$VLbvbot&%9W{&C|~ znMYFhX~+LchcmV_A7;4jQTc1l_l}PV6<=1}ZeASiBh3_>Y@@R4NJYg9na2~4v4V_o zyLjI=j_L0mKB3yXd~&~b$ku!*vsSry`sspt*2kTzXa72GAh-M6jP>V#MO=^g^=nOk z=f?*U5|0%Pw2nC^rS!D73mVF0&MD$=U&VNbS<B$)TLr_LZyzL1x_$7t%XViW;X8bq zJ(({{Jd(GZcKly<QsBDc7ylVNWxb_A@#c49EJK3g&0~{oEOs5KSdnC=#@Fd*m|IaH zb=gho{?2vMe|PIP-+8RM;o@6`i^r#CzHE2idza6yYNza^7ca{^7PjA3><wJ^+U@_N zGs@xSU-{45l=PN*#hWjVd9GdY%+%;ubFxcM`+*M<{99{|SFD(&wM;*APD!l!uj^%U zJC1ul*mv7;(dO54UaFTozRPEKY^UrbiPvQTuX}GR_6mZG^}E0tZvOQ=>)i6*(x`az z@MIf_bw?`-V){P{>=1mcSZI9g@Zq%*{U&}p)=B@B_PoFAxc7rOw;dm?xqa}k>-Oe; z@4R*uz1^}wKhC|KaLnU)sqi0x2f6Ot2hTI!E9}`?=JBAQhyCz73I4wI$u=x~$0|Hz zx<7vS;M?OaB`$m2_k(ap`Q68=1xMa0Y&`z<!9(xu&Ha1x+Eu>olnuJ^zRcsX_H^eD z+<Wra+l1F`O#8J<^2rYS4F0LgM=J_=IzI~hFgfO&l+n}Pe$h}aGo~!o{1@j_&+iqS zbBe4z=9F1i?08i6;o|wi=?{N#rq*wF=3>6Xm&sFN`67Kzf2`xH^f~@9*LkmBKf6PM zzwM);oXmaeRc3D$KREZaAGs{S&p$Wmk;mhn*SjwIJMJ&<pWb!(t-{5-wO%$o#dnpz z1YhvK+wPIH;<jRIVqUvR+isaJ#aHI#vA11aw^8iZu9<?54;mOBYfi4~X+Lm9g1@uX zP);rkl&!Co-hH9IHX9V>*WM~@JoolN;tr4<QRX|NJ(4!xR&348Yd2Z8Kkc9PwhhgC z%lWJ<k29)^&tMXtKI7FByJgmuLa$|FmVZ4yXHN3A$=p5#MV*p<?-FNC{%!F@!hY_- zyhHD&{7LKBzH(K@g|v&OHLtvhzMm1_r`r2X^u~pZ_|EfEZ(k>dEv~Kg$=Q-GG+FBH z>_bg%zn5*?uyJ3^((>MKsW<Lr#P{V(z199uy0})A&*awi!{NQ(zHZ!lai3>S_LlWp zcck9VJ~s8(uPzon(_7OYDxKNxCHA{5F0IIJl50l#V_${)hic}wm!0@ixpJ9$oO%4e z6)XR3|9q2~H9UhUTHyWB4Lb$nWTd4Zs(x@)-lJmI{_}hsr+(kjkA6(ukLId8J8oS$ zL;U@d<h(t>LdB2f2F;LsKjqky6Z=19UVnCY)vQfzmstGV{smaMOYB?hnHn9_`Ny$n z+LZ*~Ctph~7u0W%%6=R0)MR2g@3pJbuU#w8Sgv1PJJl~E@!nad9lg&UEC2tdeqPi% zJ8`OM>5RO@wXd@ZZytN~x<L1n(IT1O3qC#m^y<{5TShVcU(B9gT({*9bIhiLw@!VF zxPNHQ1<MtFtLmKNc=ICp=9LCKyt~CbF!1uWO>E|Gd^6au9+@fjtSU5KxTox6)zW8w z&rR$7`t-)djQGa4z2BzZxRw#mA1(d%b+Y&3+R$rvGVY6fGrMKpySVpj=+A@Kd%vYx zT*`>=JKXzC)Z$7;d}nj-x6q%Ci)(A&<ZsCr`VESuWp2NheN0WR4XxX-{Ng^I-O69* zelyMcDXpCU;SyhK^uHU^o;~5et9#1$^xLLq&lr`yPi7I@B`YE3dT*g%sYgO-5BuR+ z68wE<4ds}&EAw+KmJ`~ZWMdQ4{qaMg?J?y}X+t^9nBI>bkLy$}cUP=%Ic_VHRa)`D zt|LlV?pQ^EP1i?(3Yo`>A61SWKK$KK&aSTGqsODn9(S&}Np3p5=iX_@CT!cyX}Wdy zkqU#7QhAp}yKf&nY_z?(|7l*kO4ttBpoo`c9?79kWK9Iy&+HD~Wm>;>$&x+0*6iA~ z(C2^Jq3QW8xozHUXA3WGVAYe@cBJy~w-0L*e_N#A@Ql&Bk+szOf%V}ui{ETsap!J* z{bfFL-7KcVTMx;t^}4<4Wd4n;RpMnA>ZRu&@C{Db@zw3~q;MxErgkN+!w)+S6{;*+ zVP&((<4v!IT%W6m{C$@xDt+!#MEqQ)nE1__`(Q`zt`$e0hPWhJ$Oc-Ra}jCO7Ia;7 zfA+FR3-et*UQ}PCa?yIxk_+04mR$VoyI7d1{7m!eleZ4v@$^0SPOx=xw2R;isX&!o zE+YKp%Ev!lw0tz#WqHS>Lzhp)W&TN+$Jx1fS+~!F-yMfOs4Ob5>C<59SL!-)xZ}}7 zN0*O<_KQ>s&+}Y3Y!@7Ga;B!r$Eli23J!=|vWS?G`l^2Ei;#i~W`_%cA4sHeF**A> zCN0pckVxdRbK7@u(UM5VfQbuMzq~AW*Jq)!o8-c23#UH}IA(B5#NybDrUMbd6C~2P z<m^mY<%N3!PM55x^_l<eMK+hL;YE(EGJkp7i`qPw9WcBp!m?~e(~*$i4+dvNYJALF zz0PRFI7h9>>Yll5k$27F`lq|<`=g{L)k%F@ka~L3b)J<Ab>D3<>ANns_bh`|(gs65 z(Tc1$fd>sv+*sU{+@Z;#W60WBy6i#XUd<mj5=vG&m9O0V^0Hj4&q8H8<Ca}fHUHY` zwidXZuz%wJ!0E%WhaG1M_C1YO)$K~zS~1z`uw+p9_tYS93%6H7(>?AUE?@HQG1p4< z!l_q+ceuUEj9K2+_&EAh?A^n86RZyV20h>5`fAm?1$^2CeUntr1#N1-Jmt+}3sv=x zysE3CEmc?lt~?#?9e3(fmgV02n+zDgWc_l@aC&jHWN{*Qj!{nM*|xWd-HE?%NX<Gw zv-Hct!Xw{yWZ#h8__jBoA$5oNu`J%Za&`?-PURBY4)`6Ob|dwMT$%K?e%pr(%~y*X z9$u8|@8z&c%C$I9^o4u(>Z!%s5{u6m<}~hX(=|N5!S;-KjyyNdZXs^x*A5#}^o&@x zCh75&YepDUOLgrMxbV35x_2C}cGk{4GVfMI>CTrZb3emXzK7}V;l`Cqi&iEU>lo)W zK5f(8cxZ#|8j~FP)rTC}yPxnsY`7xzb8lk#kyi!WS*<aXH{VXl>XXZF&21}eJ8O7t z1M4w~ZAU5&e=|6^q1MObjf$H1n+4Biq~3THRH<G4>{d#4AM3IM2KzU(uQC*xk#a*O zm?t`6OQN@raSs1w-rL7{4*MmgDKgBhQ#Cj)BJphBR9o#x#l}WK*SjXlT<+&PlnhiB zdDKV++VJ#h*tNM%QE79ZBI4#U#l&sa+y@dlyH<Ry4{=GHAr)w`%~hmvt)Od?x68*3 zmWzJe5DA=gLn1Kfhti@YA9vp5Y>Zphy(-bJTZ2bhiR<{U4y6rxi#*o!YRElv6_L+Y z@)BCD?8UWO$xBN6te?jhv)B;c%}R?5bow>2GCMVxyp_9-OzL=)Sm^R`qsAhYjTd+> zILilrxOiP;(u;I1%`fJyCTx4A?iJn6dACb=#y2&^)!gsT=42(F;Pg>14Go=ObzCDz zT*C8}kg~_!!v#U%#S^bgmhgI|S>yF8lV@R@qi*h$-LqCUd(KT%SXs=YP}=BtB{7uM z?WE9T&6|f+yu!?xy!?`wRF@mfn{-*?d)l|M)hSa~=2jT*4@oyNJ^bv)sST{(q_-Wh zJN#|K^$oQ(GpktyZ@k)dl;`kb+h-DG?r%8RBibqx*BEWR+je&2=?$UF(ryS@^V~jM zcKF*yU73UlD|L-+ZY8fwEI%@>py6qR$T6+p-*+}xZj;(}Anoup@V0cRZT;?Tv7N7X zF86C(YqV9mZGq9&*$?$tXWlAu4islf+c)#<m7=awSB^=zXZ8PVyDPZ;knH*chYZ4I z-eNrbY)9@5rgEO>N9z)+cckC2d)MB~XS7wd&AnR3>`h;Vz_!q3-!=#oNSChF*LYy| zD{6n*4Vix4=m(vN-Zl9*<mwD^<o$VfbIs-Y-e@*6yMEfki*m)h(N|yRw=(G+PETC3 z?yp|!o>jg3zU`LU=3st!+Kap!a_1!8{<KKB5o!@5@zHGN&JFiIWb~J|-4%2{q#V<C zGjYwcL)Y8R7GBv9TA6=C=)T0Z_Q!2+AGaiiKU$I){xBp_D`U5=pLEkKY4*dhJkO3r zIbSSJ_D#%75ivFYCGDQof3@wdVESR^z{b$THP4P&9)9-Y+6LBh(%X)(9{yHvaYJp4 z(HpH8zH+4*+S<JR-y#xk$bO8y5mx-v>&CwoLgDvoRzA78VBd^I_1;!1bkwfD(r;RA zpP}*k!m82_)myjnK9x6FaX2(grQoZqb?S?s>;6ra;r30Kz-`P^me{ALr}JSYxA&KV zME=7uH;xyG`z5t-FE_Z<dRgM@x>_I0D$BAk%d;8cX%deQt;#7)Y%J7U(-kEiX1b1h zwa@X^$upiD(zK~K-gtS(n;m|vRo1t{j$SD5+ZLKya`fUh7y08izg)QPSo@{;g32%J z3@&CFlitSnugb%oul(iz;fBNhS-+m$7CTb#F!%5GnxiY&l<!}we<`M_lks8ORKq)p z{KrZrE5EoqBk$9?sfKqJ&rVr&^5K`f81u^erzGSq_!eD#Vo+tiaCS{bDo_6lwbra6 zjlHw4_}XnVYEv(n9Ax@abMNfsv;Q1BCGqW|Z`G=kiYAwI-)&cHTYo~^WB%-ePy7z9 zv`ld3VL$opOXo}fcOrA9JDVLTn33Z@f2!jXzk{BZNzPi5O1CdBPf^$zT;SGsOW?5K zCfiy&wh7ihckSJ4cBEiV&iU}SUlq%}UVZbsA#m7p)7~Xn>*aR_du=a2)h6LL`R&q> z>b)oDUfQ+S*z8Ebv>g4lw_g7)3$RM>jMDr%f1_%F;^zZLr%3AU6Sd*$IKf%t`f8P* zQfXiF61{>cS5)~X?rJ~0<lW;()l=pjVGZ(sXtQ$p$G6o-3<_cv@vYu8p*X}?>#v3P zE17hU*az7`(=B{o$@xv()jxU3yd#`J{tqoyF8|1D%EM&6GBKd@u;D7nsfIF3XHC4} z8k;Rw7QCt7cFLS1abA9j@~X=>{#|SCHDUT!W4FJL8nWMutme7SIrTByLasYC>NOT? z+1|h3<9d>3yXMTte5%}cbf<mYAGP28B+qxv$k?4zbUti|xb}b3PeD~<n`J3|>Qi(+ z)NWk!|Lbf1DQ7-RnPh3T*ZTz1-0sxBX1n#KoVhS{lI5<zO%C6aBKI5TYN#6LEJ*1) zuMzp+b5i8~nXkFM(r$R1l$os&nNYlO&HZ~@S|>?v3o<%x<|TF`PUm&~q+QLEB+G(~ zj#qh!-H6qBU9Y#ReUjw9B`JM-r|4{WfA>Ys)!=mdg{xwE11$LjRvkFF=y0L!eg42r zr#5NKe9WQB{YGnA=>=}hnTcAe#XgHu8ebmWH1EB!#>_-D)#5XYQW|f9_{ADC6ZKS! z&n!-9{0ZWJ?iS-+_xyL3*Ru%MlT6y(skZmS1B?zAc|D78JIUk?;!h7SI-KP7EW+g^ z6E}!29<XWF`zdSIIeLj%#OS>KS=TWsG}P&2)~kR`2f{&S{??3~nlfe0Giz1un#hv! zYtz4*x18FrAS3N{ceT+%E*-61|61m~ukKoOVSjJq-6>}_c25d53)Ol3b6@i$$!)=# zqPA;BCin)P|2icm=8C(m@^k^M<3UcM5n)SSz1%S;Kr&1~>$sPbXhi6eS1WhS3Xr@e zpmjXTNi-sS$*W9@)&NOA(cYQ!T8?vuK5&`1YWaifEvq&qI6bv+TfwB>9b)^}Pgv`) zq|;Li?-fkXyFzTg?o$nE``mJ>urYvDPwG4i_x+=0Q(k6n`mbx9y5sQznd>qk3trzg zTebXx@auh5YNcgwPG3Cg$XXS#GA@7L7P;{C*6uP>9<#HHR%9)$iYwpbw)FY;<m3Ca zB+K5cE=tnA`gz~-W}`1f0e$b+1it+GVB6GJr4PHBjbcgz`d;&C6&&{Zx~uTCqokg- z#_?Eo(H-eat73P)U%<mJGv)Eb=2cc7bpK`_vy6&fX=il)eCf?O7y2)6{B673yVloQ zraJt8(w3vGsk1Eq%)9Nrz4*#aKJHtULXQ{Ue)-BqjQ`e8qhs#diw{-m2yQ911u+l5 zRBkRSvv@qw(R%ruw-t`o<;gWA*5BvY)m=DOmu^#c;aq0A^~nWu*$wS>&He2+KjgwW z$8?*O3+5W1E4$=qU7l1^V!i!-Y0RgYr$0=)?Yh0_WU0!$nWFA7dmqh={J3@VTpx>Y zkcsy#YmGKPnqWNd%o>Z=IqJsRR+hI<p6QD7n}24Fg}~#*w=JLds2gkV0*mT_M1N=< zbKm}XEl9@?MG$lEWp!iiZ<dcwp6U90uFPojqrjg2yypvFPdXoCXC3>!>&(^Si=`?P zXNumA-77RRvhd(dzqGKJzK<8*mXx>7**Qagx7ECz)7I~dF`E8K?|P$A_$TvqVMgMg zg12|)E#3UuVdm+I^&lVIDP3^J_0Hj|UeBc7y(~HzbEx``-ma;W*F_kKfAHPjo%gis z<8-F22iyOJ>0Atmn|Z)hIofpPsyFwq{WqAqD0J=ZYgbnNEk9ae@TMku|Eac5D}qD! z%dWch|Lq6)G!cc<E&I;4N^aYi%i}BYyy?Es^FteM8rkV^)K6|U(%Hy*qUp!<T?U7Z zq6>87|9`R&Zxh|dF|)6JX|vIqO{^!He}wNgI4l)iU?czkmW6m*={Am?ef4{rjdC`y zYBc?@FHUSby6u6B-9P<DF%o9Cltirl<sB<#nQT;I`p-bqB~_qaTs-XSKkI1^QtbZu z73%T$=YI5-{m;*L>cVZY1>#5S&$LRq-D>*$>0^IKaiT<?bfW+9`g>ygmp}f0&8_*v zc}tDMs;75F%-AzM*+ydDW}Uu+SN0~g^MvbeOjUbl%AUF-uXD9QZvK%9gOd7oKD`-R z9_vhGFW%Pvv?g^~jz4?qi?4jL;faUp@;mn!#Q%;^;$M~>Z=LcYy;IjX^0&3dVFvFf ztt+hzjx{GvP~DNRh;N&7o8q(^Mwh<?v!_aAb*?t>%#S>_$H4t~gkDENSKoH$HqL3< zH~E$3zgWOCS*P&l&lBp$D-3)#vOIr%V)-q_!zSK2Clx-u<U3_>O(@(*{^uD9{<g?z z4<=cCx><I@;J8q<R!7acW}`Ekk4!z~ZfAe2Ik8c7$CSRBg|bFEn~qH5Q=0#90ncLH z!lj=l_IG_u_;E)lCd&QaQdy%nokx}l{RvCu{W`Vu>#yH!x)UX(mT5Kj-bv$KRb(KZ zHsjqPna?p13G2AM&lD!|Z<hW0=*E);OwzI;kGR>te%RUk>&F?#$u}M>&|Fh~!Q@)x z%710))0&OWY~f4&&@B5nHezkE-WkJNt*pm(Y&a4j{>|XrMg2ZWJ}rZ@j;hP7R<sB5 zy-MEHtY&!9F?hy{1(`k-?)#;;J48?2dhNc?iYHHWg$(Z0X#WXc%R1qJCwHPnqN2=k zU6%*a+!HO*6y?e;I2PCVGB<uUneby8o7}mJ2aZQdsT8)cY0g>ZD7C!jefO*ETc#|} z*Y^JVF2Z@I=DeR|-X8IN2b4op*V-2)O#Qgaz`a~t?8UP~Lb0(ooW;ezUA);k`30zT zRLbiuWp8n8%Hv(h>Fb_#aj$-Ly47;tjtvKr#i#9fdq_@h=Z5}vy*Wpi#r^*N@7Wu= zUDk8n)1M~Bwy)N`x*KYH*)}`X%`Gj(h3&YZ@$omS*ke0%O1*ZS($-Xd>>2NR1`gI3 zetulnw{znBtw~2O{onrG(A?a-Y)8e@Ny&Rkrf42^KHeY6sUKr>u-N^UoSa37{CC-` zhH5_3BeCsL4_7+(Ui@wT((gyTiTPh8GoRC0kJjej+_x|Ew$Qb|>rOatcvAl8@Kis4 z&fDF-EVsLSMWVZXd4juq<=Vt&*Ys$Zu}Vbda2YPUA(EK0QS;c2L#v%OZZuH57wn^B z_OUc&V`oy)#?Hj3jh+5JUA}f{BD0y!=$N(62+ip-*nLAJIqqR-;*HQ50`Ie*iO>Gw zwf;kf+&A&6nm5{CSZ+kWcv$?~$-iZ8>pThTy6Jh*Q8vD+Uw-;FwkCKu-oJKa_Y%QY zxu%J&a$SL~a%~q|<@l4iZ0*=YtC)CnzO+aLyy(2J|AO%1_=`&yNW@IxdEfp^tcs&e ztV$zIyh<WXw94j;&X*5*D=r8pR4r6Lvc<{2Wo7F;iQq0h*27B{rBpmO-gd#+VCRbf zIW@7!AGfxh5K5?-sCs0Fl6Om@>okeO^^^CRMQ$tk^V9y7wDrgTQPV%X+%DGn;BWrr z>+PnU;V;kUNF8L4o+R=<N9eHo>?aF<r;D9*=btUIV7V{X#nWo8FLEa*ec9-7R`ttv zPZnk!)vIT`3pa-<-$?SFv}nF>(v7^yHfy$e+FiT3gyD5=PzSG><{`J)BFUH2U)DJ( z6<%AF%O03rsrc{Onh!>2uJU?nKFnN~<t=n@^Zgl@7fWPV3LRGHew4Vu^`qgDMJyGm zCmlQ7#Z(U^cMCo4^n7@Fp3uB#ktXqFj|9Cq<#UA&s(V+7=<9ODCq{|zuh;GBHy2Yq z5Zx{GXs&DLhkJ{T{P-ZfbM92@<NpN=-!uGvT4foj{NnfRlH|DSUN4fwIe$e2FMW}7 zRiY}|lz;D<S^e|EbRGCti!It6+I`{ds-rKmE?1=QI3IRvkGahkY43%d?>_X!s_e-1 zo%(1&XtG7Z)gN4kR{h+ObCt<vLzd#Z=&c;z*F^Q~W?LJ1WKW;nxnAK-ZUx6z1=?)N z+OzkTNgb<vglW_7u--@WLX&s=j@)&0O6DU&(|azH&wdojOO@_YoB7~b{*n6?n@_6F zDSXAUe6H0|&E@9`F0HJ#czC5&ru-FSpXFD9_PJFpo%>5))_naJ?fx<7PJ!zAaD&gW zCqG|3&9vNa<As&RIz_MKrdgEAd(W+ENcFQlQnXwyu{F4V<FU{^JlA87o(@;ljy?HV z^rTk!C%JVyZ}s)B*0VeyI$h>bhj(AWIo0D9**2e-^~nnDZMk~P_<Tvz*8QUO;U`v2 zH@K6lAo0*Fpr=Gy!fhw7oZD_*yJI_e8ISGaRqXqBEVIMl++3%gkLM;Wi+JwS@SRck z4qMa0Jm#Y++Zz)%-F}eRb^Af`s@nnyvu+C{?Yb?H*mheWdD-SAlGm(-AKX2tv%N84 z+h-0rGmBDr>A6)6zJ9hx(w565t_$ur^qFg`w(Ejd#KLpUT2cp#N}D3CR;Xu*bAG$! z+GSfdF>!nBmkp=&e%;6vXMVGxTXEa%qa3&2B=sD<c4=`$+F!-|yU}lz4vOve*mzUV zC}&f*oY^f`dFeMv4PVO)k67)NNL(BH<nWHIUnHhQ)t`udlePPVdw%w+nxy2bHD@+w zF+PjlD!{!qs>L--_ZZXT0KF}KkJ4U-J!Y|+%DJsJE$j2lm_v2T{WhFf8GNST6_4@U zUG0&6caP^RmrLji<~O{)(tXDBE6HcdMfHzLT|Zy>JNCg9PBE*}u9tpu6E_Aw7rnXi zv*fPe=f)+=&!xZcn|uDk+^U|b>mH@vc=*dQ|H0}xRtK}fKgpHtN|E0kQ`B%*&+>@F zbeY6{@4k)K>(YE5UEC6UY@^L(PCqGiZPC3g%z4ZQ!?rgjwckFl;co7S4QF#dY&@FF zvEg(s$Hv>a53V1~eGq;q@{)-99L^7R3G;6|Y`CAh;KoZcgE?i=HZg_LHFt`oneLQJ zJJ}RSE7_DtC)pHDGdWzC&a}t6;*FVrO`+Vu!-mV>Jzf#aUvOk)yTzL;$}&Z-4Ea{g zzJ1b6b^4LAC&9ZtKD^ofGB}cLW6Nf~sSj4!CU!k9oA|Ib_REJ$dcP`C#hLeP>sGvX z<0wb|+oYb|VH<b06qikWuxIO^BW%A++r0{o%`-U4y8A@IMZKyWdE&KqHgq%Q-8w3; z{Y_HK?J~n-bMG(X|CP3A2fs?(v>jih7dsSOROw)UuRnvePPT4xUCSD+j%kH2jhUal zte;k=Yu4^<k@$q=c<-D?GbS3#n95Fz4;D_d$Uh`^Zsvym&1y0adN|uHat{ge%_``b zJYVx`Xu?PPi-L*stqMIu3MUHx+!S?F*z)C}sI5n3N)3ZUE4d>6MB6&=c`Ix9Wb*c1 zhuez8-@e^8QTUEgQ5Un@xq?$A5naw|X9_O2*v6P8%7^<{90(QWD?Hnx9Ao}a!kp*& z`p7@}J00%wTwlMl>aU*Uy7=O!zs{P*><<yWp5M2t`O31#_LG)WD$A_C*Sac$<+5F{ zRo|+xLkhb+435pxIvTS2n8DFil{0d#*7$77VpNOTDj>Wjs^w#t?lFtm$7UbRSnXzT zDlB7BW68#Dmbq(J2K8*o;!$2RtNmfv+2aPQ#S&J8avL65<tmYLHL2vU&d=h@Gu7;5 zk8OBXF7;(YRO=(w<y)=3Z1_?B(wtLRW1pvn(B0exa^L>=<!xzFlfQW{;gH<5*AY*W zrgBzCtdtF$(Jr~7eW8@-{qM6A7RVjT4yl=(-`H3cu_ExJ>|R~ASBab5j&6VNCb^>h zqLk?U)zV1|<d$WH)FkKiHCD}79r$tXsikbM5?kGlZdac!xuX5){>kc^ClA*rnVpZG zAE_Ym$NHz|%vAwS`rS?!E-woBvO$CAu5MR*p4d_M@NS_8p6^a>TqU$<^HXy{yU04> z$1l^59a<=n;@7i&{rs82kGWqz7i)dg>Y7-Q8ECU-lZIVf^c04C9j}h<VycI%yM-R_ z-B}>PHjBGmztet(@Z;=<{m+ir@EBb8m;Za#si7`S(ItMfz{38>j*Hgcx70m-yKvW= zjgcFl{#|jw`GduGtLBTrhh`@UA8bw%K6*Pz`0(ze$?e~ds>%Cz`7+vx&2}pJzvqI; zLidfDZs*T;uj4v;_>j)WZ+BLI$k>zlUC%7=i>8_37hSW;7vVXN-Y&i&vLJe+=8^VC zMO_!RS}c`_<yy~m`0}B}AN!URKfG8m|I^A1sZ|wWFFt<Ub3yol>q7M-r=9#;!dvG_ ztS^4g{&L<jAw7{uj&tHNo_pj=)?9Ed*mA+SaLI+k1v@T0ei*Ru`0;~I{tc5`=Lv{& z+Y0K5Rwc#g?Gh1r-Zkmr-wRWn_zzxn;y?P=iU06kr~dZct#b0^T(*pP;#E#}w7$6P zh<wpmxcEho!5m$$wsO1c+_o&&yZD|y^qF|{_)8`4hG5re0_<Hnf_@^ANizTM*5`e! z=jy&;n>qdO?1Y%z^_d_2AKlNjy7Je@`eS|Kr{|VeF09g3tDW~}>n$GBZAXvnd6~b| zswYHX<zWrhi&i}W0;>;KuvV5zELYgimn<rBC9$XJkX6q=o7kY~fyK$ckMYg;Q2EKd z_5ZDvv9tGI_S5ygF@>E)`g22)Gv5c{xc`-(o|gq*XephqUSDj{EgkiDZqnLgHfv6P zIuW^gnN{JJSS_U+D;4Sv$VSdwzJl|%z5n$#Nl(6AXB}*Frf$;i+i4*#eCG5~k<Z13 z@%_(}_6eOoYA1Hm@ci3JYwoz}Ok0~|UblV9Ru;ATTbWz4eE&Ig@cm4R_*?r)JufUH zMCVI*R!B`s-L6?{esr@{mz)rP`Re`58`C0|27X-mZ>84PBl0>kmZ@p~n{_}$w9ED1 zr}LM;7qP6V+Z!ixbK3dKcbDy%@`rI-qnK|>$ud{rN{N(<N3Q>Gk23i<-K1^iW=*~8 zX|s<QoYv`VlFyswGLg^FTdBMG?4%P98D<|bc>MXv`|9aS)y_<ninvwqY{iMgZ_XcW zE1mr$cg>SF;r$7V{C(ajB|b7Qo0@RlJW0BDwf**AJ68s0D|YaE+5TJ1*uG<B^2fwQ zx7B2l{>vW~+TJypP06dIJyOBqqx;6N>#ah3(IQR%o*mKHHk-9AHtpcyyv+}i`y)FF zF6bPwND-3IU!9nyc;te8SG7Ucf%*$?y@mNNe)YCp_+<T*y{0mXKdn=n_gy(1z@gf+ zU4y0htoy<l8DbJ@Gg<j3pKa>bOjA4*vRNRx$@4=+;#V_n#j2Ss^Zzf}9m#R=rb@>& z+haNcJ!Vsr6i$6#yzm=W>w0ltaqmA9Cj~xRY|vxEE$23aRsQf<ruE%vjn`c_3nX?# zb{Jk$>9C)1<+zT(7t^U>DqgR9S8h=XjEX(e8TiGRo9FM@rgp}(gU1~<3oKk7*>Ul( zf<fSRHc34_;nM7No&u%W^CA^y<ZB2kPPuS>(T8o>emzNzkqHqQVm3NP+;+=mw=x_) z>(n8brg+F>v%uozARQf%3KAJwlb7V#Zq#7$Kie6|W5CVBex|8?Z`#4*ewzgzPK@j* zJgw5<dBk|L!-qR1Z5u7V8;i9*SYv6}C7#y!aB1X+f{QvoEHcHE<`{7s`boRF7`Dta z{v`06m$Nd7tLmVRz!}3S9Rgkwsev5JW(qIfks>CcH=C6|{7h5->NLdzrke$lrbTub zT-G@<BT?wco19gV3Nw<lCa08{om?c~W2WwAcw5Jy$BbL<*bG+r<g-o<g=vaMDmDuw zHbr(AUQ}uMBQg7oQ%7%Gl-4qh-#s=*%$UWqdz9V$3a%6+#K-hZO>0b;YN*<k>~^l; z&I#K!rbY7JJvIk|l=(NFIiaj${z*ccCx&O%>fSjCy$cm4DSloTsjws6r!#5eMB_Ea zMf|UO?;K}S=HGDhgmaGJCywY|n`5%f;?i8-d*?i8T&Um_=u~@#$<aR9ON767&cmq_ zt1EJ!*sYVUY>;-7Thu<${YB~%!>a#=vAoM|_V*VWMSDtzG}X@UcHgg)#mOFPbdb^g zmdJ5gt;2@xuO6;&{#tlsp_|2<fS+2|s=Qo!+<wOvbqJS5t_haknbOogzgzuaZV{*X z9IJyz?pse<Uh%-n>TvD!HxK7|e=EGM%D&_AB<DM2Ck6F(J&`bfTeN9*s=k=vvHt&i zw}wofShp@%ea3UIc}a7;(<EL``pI)Z^|!>^Nz6S(Cu`WAPEtNocGB?Kjns{&t?sJ| z>ui{=<=s}Y{G5AP_$LwWSfj%})88a+^nSK@pZBxo{oc=t8K$3E&ZIZ<Jja_&5z2En znX_&TvdVq$IO%H;fAvcB)yw9sTO2&6P<HOaHQwI}&Z+*kct43brr@Mv-p(f+cVpDW z7XN;qo80!|TD4_J)4gv?Tqp7uon&d2%?$ohxPqrvc2)a2zN_x_?NW&i&b@{gs=Pvc zEZcUZG%dVlrSU{4F7ow;3!HJ$>rF&2_a&}dY`mxN@2$ha)89N^>CN}yjB0zuyGhD> z3Qiiv-AXk)Vz=#d(uA7VlQ{3)<hGSm`F&GA%E;s0#_78xRPOKYJNMzBYW0s7lWJ>< zPBL2U-XylD+q<ve=G2NF?`tQQS$xUMHWqTfml}FhWAo*OZ8s7_kM<sW%QrP)_SYWU z!j;!|rR-xVUE;g(>O!M6Wh>;&tV85y%Vf2D<ug5IwOVVN@-~Z*CgW?5JhrL%?M`V5 z?%Sey&MKshm+$IfxAs%wbFWotZqr|9d4(ffHmgTFEL11UKdM-D+BWqyt8V<Zdl$g@ zEsERfChPs@lQ_jJFD<ip79iPY6~gZ>v#S3vpXmX`cBw}ToO=rnEIf82KlG?hQJb^s zj6B^_DSVcPRE2#CmiQW;U1;P}x<YQ5MTq=l*{l{%KGS0-?su0jUzN4{f5y#y8+Qg5 zJJ-J6|LCy%XXkj=oSfU6)|D#X`+xDr<M<=jB|e_}^EiIiW%>TqcZ_oK{zNa|waf4A zEb01pDsmn2e-=k7&PWZ^NQ)L}v$Ripu0Q3Ch*W*v<&W}v>|}l(Tqh(`x?<1UKNIHF zt={%@a?Z_NzQMowdUJ1;{t&hOr!X(;;*t5*+wyw5_lx^K@rZI>*&<$lLE&JrY>>@m z#lyk8pSXUzw6lCK@hFUM=ixW?@UB?m+{A9R<i@vFHpzL}6FzpX=lhux-Y$|~a^gc+ za~$XU5|0mY?IQ9eCq4u=*Ky7-@wo7_UuwyVMb2&OtiD|EZMWO?+TcLt-xrGKG7TO) z?&RZ?%f7DhpfsY~Nao_g_QO_R3d7qO^IjMnDdlZbjk&CNFjY1v=Az=^P+qq^b+0D) zyOnqpdbIQSn@CLm5Yo<azQm(2xSePFJ`eeorxJE{zxc=gk!OC(<Z}yWSIzu)%({J@ zmCB1n&RyrMQhqEcv#8gutXSwQFJB_@U@xEZp34gF3+}y|@SvAZGw$_-hg11%_gq=n z-*3fp!P~lAXwi1IO7&~*?JTvW9vA)FdH$BZNNnJ%<++mB;Aq8T7}2~ZS!R)Q(?Y8! z-Sx6YJl7Yt3tD}-7~IZyF0=KF)18Y7?{ntAo?tE@8)S1;F~7v>%ZG?|JGqwz2b^Ww zDi%22YrKD5QC^_bqcFUkN4(_4!)<)EcP=G1%(mhwbZ*|mynmf@Q?}KT9jlz%w5`4r zM7G=My_~>rV#Tx3YqjDYX8VQCO^>afF!%EP{N>bM6S>fN->eIY@h&eWtd})8!QRSj zrE+7Db62fZ%8k{|{M98dlDG3QzqypyF<0sd^I1Mwp`7cA^J894NL<ZlJLig`{EkwI z2Yr0bcm6dSRZP9kHho_JoBl?v1G1${wD<olc;EenucYnv_3b&gZ*1fH?%Nc>Y-7O9 z!he?OXyE1p1&_K*G8MReAFVFl{x2bnBQHv%OIob;;V=0klOIe{+NXSJ*~*0*`PBD& zTo>GQ<<5;w3m1m|wvzdy6dQKxmHyeaRwinnGPnAie!bfG6{~=@_ME>;v87w{o@wum z|53Em&*tFY;<+bkR&U$!YwC|>5pnsKm+kYtd4%`)f0ciywwyf>eDIQeXLzklpOw$g z%hPr3wnyD6&&ayIZX@I5|4W{m7I%8r9$FbZrzB--=<RR4Yd2n>$p6mc^T!K;YM!>0 zU#9+bI26!+{GAHNyd9GjN-JLZHR=Dp<9We~=g(wg&Njn7A>lTwo-*}^iBoPrNM3SV zAfe^$CbJLUF2o!PT<%wJWM!~S*(;v*IlJ1M{q7!TTrQXJfBvOo>t60*+3?=~<+8?Q zpRQG((b}f<uqRf<BHcDa+fLX1X+UNA^MK0s=K+<=p9k2+6tA#bcgw#+|Hm7p!>PMp zJe(B!rSPI2+l~Zr=Q-QE1<&0&DzSWRl5qUZo32fH*FFjBhnJVHV6m0aa`kUNb>Z~F zsuynqYQJopFW?%#`^1IwdQ~s-#cO#sbTjU{aa5rBO;XFjGQ(p6*_tNif4UZ4oB!`p zhx#_TC8fcC<9{}MdAGX6X~C<vx4QM7%zgX(?JaYYt+_`EqR-vh`n>i`^=*j@>uOhT z`^ww<^+$s5|LM=#;%_}#{PxbQ+vNw;qrdB`nI@%O|CznKalz^9(|DG#-Am(=+4E4u zLSvV>g+!Hzh0Pb8f*&tJA8=gQeL!%Lf1|R~eJ1ywx_3^?U%dBgn)cyZSbh-u!M9B8 zN9QuJAHK`f-d@ehC;y#WhEYz$!pTObz@;MOLC41%cSR)fc1})Ewb3mQuql>5a=3W= z!Q<T96%TlCS3IJ<UGY%%cEw}T*DKYEKkVLiGyj#sj?Yt5V<%a9?TAfL+!3C#a7TQ~ z!|-h<4z2f|*cv}miF?19t5{uWm(HJGB9T8twKVFxwzGDAuuGYd`*KDkhn&b#r;qzi z9Qv^E#G{AF6OSHWuH@Zt&NW>mDQu$Zp*|(=p0}*JEs4>NN_(ttMx`j;2ufLaBPJ#B zMo7xX4I589N{*bUdf=CmcSot~G>+_U9m8uPQ}(xCW$mm`U8A*0V2#eEfHfMM40QB2 zRon<p`M7cS3894WiK<7=DS5Z>x=xdL%ysJi@gGete}vRTB01E=A~n=RBPEuJMcVji zZ~8GKE``%z@d=@%w27*RZYX*8++=+!pWx@H<j7gvt;6!UOGo5$w+;_CSCMp5Vx#h* zMNIBJN3Ui2&hGpFfKO-Tnk8CR@dr}Rub5KsS$n!)!Qqv`G3Bp#uAi}r7gCYuKX`Wi z=GJA)wrAaD49~G&{&fER4S(mT+3(N!ZK?hL&#t%6b$<Rlx_(n?nx7bJ%pum@9tHna z=P<76R-IG4f+bx>>u6;Ase<3$*~>KYZo0NLf4ls#;PO9jdGj|(4X?`#kC^V3c(^LI zr|_uGw(OjLJ6kf#CMN8PT{0t4Tr#aLa;49s*GaOsx1Q#CblY5{xW42i&*x3Y4@_c< zs++Ppb@?y8x38_X=|6mQy=>}(roh}E(Lc98m(@C0xOtY`_ZDYWi<zbZo}$~Uqsp>E z_1`h1W|u9#bK%u{i)_yY>*gFt@McPlR55t*dP_(u`}><e3}?A;J>Mrb|KgTcPvqx5 z64I-k`|Wz#WQ%jDw+mmLIxd;vy=YCh3R_CF%*v<NfAO7NYrXaV*4(`nG8-1^uCwBm zz5Vd!^p{5kIMugbdBXl{-CxDEbGv5QY$-Oap0Zr2%l(&L%Jvs3UIn)uH1(u34kt5z z{cy?QXVygrW*&nJ0?egaaW@PDnhvsg86I(vY?E5ip2+qpc}F9gMB)NPw^vj2)qhKC z9L!)|Dv`W^Cz<!kVGHJ`pS_uR4Guau`&`kCuk$quXgbN}Wq8y<a+k!4_VB8=k5@0h z&L;Jsx3R5ab?`@yrHw*+%mcdk*-m}9=wMr86d*tMYw=_5p6P7)9qV>Y_kX`zQp2&F zdFhM91w6LASDf{kzh1oU!2HGVf<SHQspW_N@=QsX(ipVHFraNK+pEO6jlUxD7ciPh zWOVde@p|7#S->)zcS=%sqfw4&z`i200QuXzCI|SKpJw~abyIy~6wq{+&1>Uv2gz?z zE81(>UM25uWV1+EpeXiY3jdVLJX6lk<eB0>jc3aFNjz5$>o9*cyzTH)>zsr0nZHVZ znVegvu^-jGdDu~O9#8x9t!~<KNqbWku!!?cdDPTsH0S!t85g8A4n{IBwMbsTv%K_F z`}ANouMPJdG@nUo9FCd$DKVk1QRs|WKvyK&DgDiUmF2dF9V)*X26SbzoiYerz9(8X zb3x4wqkt|?wo?Wd9c+CJ0_@I6Wi%ABNhPiEtK4pN%;D#j0}h&Qk{X9an7?kg=}?<v zbb&#d*W?J>+)sI>dmDw;7zcE1WjnROZTX(x(YXs)KJ!jVTH0u|=7Q$?Wz(cJ4jM5p zjmTQS<Na?znflXquIH)!yFB-7)P0t{*Z=3nLo07it`(hU8@}VhijLdoyLGq@CUrY) zJhI3@=dV)jGyUZzozh|5n~yVwb|y^pT@;ZqSwbu&UnEpzqhM&=fr7|y9EpCbZ4!6b zRBIWihsztrEEFyHDS3G6t*;((Mln-uvsUUYF0V@#;V4_-w5{S^o*2irOsA@zwP}qB z-f<Z#o@D*&cWX>&)JoYIl_B<H>gAR%62WJf-mBI7gs)3=+%xssg)iQ>O+;3g-Z>?< z-OO7yuU>bbME>TE)t80Jxok^!%zkSgVmo#5mB$I+cFlIoJ<uqB$!ggurI%GQMJIVJ z=1e~-=evm|KX#sn&nfZX&^+Z;g-J7Ky!|jm_iApRpX{tC)2)Xt=ls@KzWmW-{o;22 z?Q)NTwCvB7O)n}ked``|%gO&}dzZ$(vv+Q6+n4$}tW>q<?>#M{Gr#Q0uXWy7wJy2% zH{V;S+h$v`eoq(L_BS9w@y0*rTZSj5J)4&M|JJ4!*|6S(<-U(^-b=mugXPwQrORs8 zeHV%3_?DLM?=|gI_RL_OrD2uY#gk%}%=l6J&nxWPrc2#he@Z_+UZi{Ti|@W^Q_81n zZB2P-o^|VI)TS`+=vAuOoBYeekMQ}P^b6S}y8YMelHyZKy56o0-8NtScd~Y_{rR%v zVH%O^!@E)s_5WNm{cDKK|KxoUuRb3&^2?l&x^vdI2;JP35y$?_c&D%|=~C*)chgQw zW_7N$7n${H!_)Q}vnA*HulETZ(>7IJFWmFnt~TP%it?<;>tDVf6H>eK;L+ZFw?nVr z*!6O{(6Va}lx8e@7QM6Nv-i(Oy02GOteCRDg!iX+`Hm&a=I$?X-?C+?clnkne%(PE zcNy=E$>iAS`!e<Zl3<xgk;}b8)9NPYd7KV15`EY%@|j;JNUQ2qM$T+WvHHcST6f>Z zElOXt>*8L&^C7X5G@HIwEqnE8=B)+kUKXon-umIY&st=0?rFcG`VRN|&t5m>J$$Sv zS2tVwad3ET@bPW3QnRDYw~B0j%6@E$%K7`z+w>mR@#-~Io9@tfckMyaj^O6ghu=!Y z*yZg!<>*sgX?dq)sfvxK<(icTT{rAv6F<62DuzcpyPz^6u=(`yPx+tUZh!MgbXTbJ z;tHwn$F~1`Hvd(9_J3pl6^!0JM^B{MrDy+|$^X4R^T?z8&&>WeFW>keK9N1fTyBBU zZ_9_1IM>y!HeI{w%<F2-a4s2^>HDwFDt(l3ztP`FPtt#b&bFK%_hoOFuQ+@(f9ti= zw)WezZqKM&`KW0lOUuI0+f{S^U%Rrc^^|+lv-y?ceKVgao0$LWE&seI+v@w;Yrn57 zk9{ecdS|`Y$y(#R&jq#qr#mNC2QunuKCZiYVcACCswbO|ee!MklK928>icxdOD5+e z^f%4W`Q+kd@pclYpJefbQ=YOGkq;Dhr0MKW;jH$O=WjXEz3hGYvQ3Y>GUV1)yngX{ z?#dTOt725{++Nki+YxtvW>#wDZ3f%@#<3!b)51U7uJQa_*7^9@!4`4Wd|}_So?GuH zttoKwlK=Sr+uWD4IGSH1UHoeOSaC<p%FFUoRu)}VvQjTGoS&rhzcsw;oZs9BlQ$-x zF6lb%H}_$4@b?e*R{pMdeua5Y=_|#17GF8m$5s_{`uDswyUZzPUE1aDH}~Pf;O_;O zR{s9+;tF$3(JMtO>+|+b;>qjv@~(aUw)xJT9d*9<`XUo^Y?AhS=low=vVvuG&sn8V z?;GzYaemvG(zRD_W@5i`y2xr-t;167uNLkGkF&5@ya{lYDOw@OXLUt_ea+E}D%<?k zrQCZ%)Mh-Gq<L(2N?W1Z&QF=EHr{`g>{e+qZ=Fu6c9%qt`~MaFPA3&y4Bxufa-3eT zxGiw+>9tQZZdM<?@<(pw29qmK!v%Nmzns?F<+?_xtaka?t{vL@yCSlEJY!wotT^bp zVK1Bb(QQ&OJki+&l{bQ#PajVUw_LKi!*|^jt<@cdzj9)W1$)*VbTwSXCVu#YRE(V4 zl?R^;W8U}dT)nI7#tQS$h3Tt15`U@e*7085vGAA7tm;jnN7x=SYOU`0sy8#?Zh6$> zRi{q!6s0#dKiFosM1{{#>EX1E^PYqYnt#2i^4()~hjdl`45=epk9OHT_PTniCwqb2 zO5tg*v>x3mkNSUk!n@ip=3@2N3cngJ(^;$ZOG?|LRJ8DF#6Hgn>y)Zq7ymdjaarNf ziiz5*J5KA()c?|BIU!uoom+-QyyxlkHA-zSl$$10T%2PbSDq<ac&_5^=FlUNtF^)e z(|fiW#k$V9w*11%h&b0do78l}1W$iST^;Z=^oZx<m7JQfu5%3HgcCi&1dsQYMxB^y zwbw(wBiMUWmqnW0(N8)P!@FGl<|eEPUOwZ|6-hPgQvS(vcJ+7q*&bk7E|;_?xZmL1 z($Aqsc#?OYEopk`H`hOUj@99i<?j-^g1;NyT**G;{T1h!>*11<<Q~r2_f-4KC#|G4 zI}4|V3nusUF1IPyP2A$F`XW6?Y-Q9Thv_~B2ULT<l%M2TEMvJ?;$?uOn?(r!VcAvv ziF~F90@|gLI-GkAZY(?|k)qp|B3kHhf9Z--EbOsHM-``^DY&5#9wzAiW$yA%YbDQI z2p60>+iJ$j^-5Bc96J&__jP5QSg*A0^=giX6T$_%r+%5-&VH&~uv+`<O0B8#Pu?$m z;~G{v@#DNx`$8gp<=&j!blv%;_Zgk5)~a`>{x&!?aUb)AvlDi23ON@W?)+}mdiD~- zC+qa)@Akj>TA*v`tlj7CKT&Tw6uAD*iO6qv!*%Q4f9o*45tQmT^VX}`QD)oEFYEE1 z!f5eaaPzUFryi-Kui)8~zwhLI+qFBMe7$E<yzMIM{X<bXEZkWe1JA5Kddy(G*zES5 zTW{2yS$R|}p-gw1z~-!tt}}kleJ!;l`>f=-En3}Y+3#OTP~H*W-TNp`*Q{;#)*Ci= zRvvx!xJ-8&!}hF=tve#Sbq{4P-G46UrE*MutkFU1r(xb&;o&DQPhF^CyyX0pvti42 zS<XyY=jvx{eqL4B#`L*ubo~ABXPq<W=x%s#S=zMwl#ktd_0y~4PA&GC)td0+d)5D_ z`MWQhJ*$44nbQ5_;(h7gXYOeI+?&`TIPaBF<(2QpxFciF_WiK?|0X!ggKgpFwnUYy zQc}^||4h{{)t|NA)l4APG=0O$+SIMK-jTDG_7#PkdsOgr=7cLjKaKv&JZ+0u_W4Tt z%+nUp6Zp2CPI9$+Qe8X2H`Q*bT#nwYSF=hc*p~kDpY!vXzwW<;3DVK(*DbVDc(y!Q zWV2B|A$ao4+WKO#Q^Kc&e(g+Y+V`x<|EbWu8PP$jKF1wd8N8?b6_5O!UG4pTciqLW zzYMf7_@0<L^Wj48Zy(O6{;qg8iFr@iNyWGwPdM~ri+ZfT6=e!>xBhKAnHs8o<M|cM zZ&sykciSp+e_C4X37i+b+UsfM+t+`BcTUpVH97p$<Y}p<=IihJ@NPch6+dyOS>WE{ z+<-j&lD~2C8KV6&ZkHK<xy+k%J95_TGV>Bq(f${2sx|nJKjoSF?%$royxBXnF6-N^ zf0cQztgbK2>3LKR%Y2^ACTHC~ZWEJso*%{c>S3tv%AXZc-MR-RN98EWT~F%%aKd2w z+FO@)=H@@I412cCd;i*lZWq>yNxOcJ%Hgri+F1D_viq$2`luYnUssa24Ht+-w>Y-_ zT)1KB<>LqSW-eG=#H=uNb@A=)wtJxxo4%`_IhkI3n^ny6>>ZWqJ|7Ml%+FeJ&-&J~ zH-c$JX4~(+yTVx}kro}gx90bX6HXhvckZ7UwPfepyw8zo#kXxw`I>mHD=B-<6YTzQ z<+<GNv-DF+^LD?FuFbnWA#cMRvopEDy0dS~nZ|eL7+9s9TVS%?Hqp+_QY*c1_0Oiz zXWP#6I<LG?`HKBa>YTH={I^mKx7#kcqcS<gZnX_4*6)1l0LA(qlQX%kYtH5NM->Im zyDhhQ<;gqWc35&h^%R)@WJgL<DLA_4S(P@W`^|l{GdR8C;T79GC9mw_cKY=v?e$K( z@nDi|PRU8TYrCE>%#JPU@YS<C<UU>Iap&HcSx4@Co0^yxb(K@kqO?oeZ|=jHD;=lp zo-(~{XKtj{`P_JkXWOQh3w*d^us!$0`CN0UXWI@<ntwZQ!Oyi7AIn_#{xA}lr@8y# z%0rV6TBUB^tMxHuGJk~aZ^!?IRr{+~D&GC_`Q-U}pZIN4R4!KT{b6|Dlsj(U5!F3g zvRKxykvf{a`q+nKt12t9uh#6@oW=Mqa;rf3)ZKD3r(L=Dv}|HRYwVJUG;ztWo38w; z-!AGmEi*jewOis*!%Ch@{D<BK$~~O4WlfN6=)FC{>z$U#YaW{X$9u|Lt8I_7*U!Ig za^1XaRld%{joxY%OH9=Dp5I@%>iFvUTspijqE4CL?0e+v`*D5R$4OUD&3~hHaMk=z zlVu{$#%+iSe4!Ze_|p>oa9!;$0&D)Qykz_L)bcC2)+Xj#-(4}<Df8B4?Vae_&1V+~ zuPJ>c@_UZe;eT1St6ufKJn}X1E30Ry%8oD2Pv5Ls^y$@$zfSxI_d4+(z3aq(c<w9d zs!7Xp?VQ<HzyEd9ho!yCSERk$m&bjR|GuZbFK2Ibm(@CGxcij;*}`_Of<x=BO)t2# zP$*~9YF^bf<ttdEeYR>=3;x))(kwNf>Hem!N6J&nCO&8jeX_jjuAWfL=B__3>u<R> zX<yA-rxiBc;(NVGNchvgPfjn>TDxb#p9L43FE0HM!85mhjZdJ8|8c&bGP&yxx^7s? zCVupqR1D8;pUV93_<5G8-Oru);~m0}Tc7+azrQQ{<AEu{3rjO}Yi;wd_fK6q^_ABC zU;A%g>7T~y$z<(&*D-Q^o&AG_e>IY%pN8kG+4pRXRNceUPZPb>Dss+0)_?T4D%mI5 z*HvBO^%c!ymZfbU{q7zPSpF`#DVX2j;>z|JPp&BYl)W-s_UDO4T$lQ~M@v<GN>%+i zmsvuV`?Ljrzi@ix?;j7&)Hn3|*&b0}F1K*==O2c<c63dDxKg_^%qcwlQ_q6g+Lio= zV>b0a)U!OmF<mBUiFcpDDb?c=v2)e4ioR)tf1l5DIB@s1wMUcqZR?Cm>=wCu{yJ{b zI&ayh$IM~QvrhbeRTX&cXn0@M|CAToPgRF0z1;TV^W=rhe&4%)&FYJeo2Y7hr_OKg zg8eTagzjzGna6z4W_x4OzS{>j9LW8!;X>|*jVCIni7?NxI_$9gUE+k`?}j&4vP(R= z;(Vs;mEbdruM(ScSf}Wgtebu8*s8|!*H+C|baUpM&LvZ`F5<xgi{J+d7NHM56zn?i z@bR+WDVpnc@$!V<VdI~EmrY*$ZX1L6oi>5zciT9g-)WOb&u#nGTT^sA`>0R!R!wP+ zyxqYIb|laGuX<m0vi0%ksjc4G7r%D7R(*XPdw6B2ibR^w=G{TgZ<P+RES@1(yd+jd z!hN%AgzFl+gWcvT+Ct4*W~T9zb1YU#&01x>Y`uq>%*-dB*1hze6k0ghUFHevNvoD4 zA6Ng<eUq-YH`!X|^giz3zlYh9E^qzR&iyQ4x}U-AmBD9<Uhx>8ty=wtUwqBdOvP8b zeq3fW&awCZUvSXs_W8_DpLcGXzr21&!rr&fpW4T4P+M(V8Clc6vgK;o#DvhWtvpGb z-7;DSo!Y%N9$08}W_x;9($d(Jh(vK)o$cLr({3JR@P3=rQCVhqNNBf2^2SJ={|}p9 zmQB=`f1`9XWcLY!)@8k0BVX}tnqGhU{M>YD-c8Px8~!J6E06xz!8YIa#pDRPKNG%f zo#k5h=YQ?C!0E-B<)QcfPPn)FiqFsATKSuATR+Wx)%Qw1^+r^#2ix34?!VW?{_B)1 zEQ)#+a%k%M&|~TH@yqLH>^bH2BIuIBQGr#CcB&WZ-#wmECewA*enk)eQ~Q-?j!T^t zPgr|QX-%-i!{^s`E-|`SR(~RWLI+P@ppi!fn|TIj)sH196F%_dU8!L-%hWmaO#Tvo z4cD~Vu%7cz_ZcNLosM5tzOHCTi(LFn3&(Qh<uB5n@Wl4saTZtpe(~lM-!A`nDFf&D z$+r*N2!AuY-okvwFwxG@{2|9?AB$sW)-TakG|>MfDWyE)-_#J@Q)^l>tyE^La_$ne zN|~|7nSXN0i{wSql)E^kk|ov~@;^3tmb~T|Q_sbSj*C){vnzU!O3XZ6GQ01;z~18` zJ6BFuJose&<$eM02?<J9HIGK@WY!Gs@~m3L!~Dc8x=rra>kIDovTZMZHy2eX3B65T zAXk+g@<r-;{iN)0FYg_hhicaSn>>%r+28q;9GldNepWWAgnf;l3~xCk-?{L~p;GQy zM%<~-2DcrU-xw?rNZRctxt=?kcS?fKy3^j7oU^wCB`>;SCVgqajAc)IJ1?Gj@O8sA zhgu)QB?1YX8jsyrvGl>m&ofrcZC5|{ctx4qJd6J1Hj|3tYkki<*>V@`@s!rczrrT< z;O=)T-j7Edl4ULyJ^P%!{j$SP%lftR=IEdM*;00HpT+aZZ6+FWnL9uHwDb?y&EaR; zV;&&?n8)Nm1T*i)0|i?+n6IpBzbtWl>mRl~D&HhE<_l)NWczccOnMK`;VpAm|J-Sl zUeUjhO)8<IvF%2-MO4ebTRU0*++oWyd6HfjZQVU#{!vHWyA$RgRb>8JaKoYYj?oh7 z2g}@)<MuIoS*h;$xHoF4Qs8Aa=`$kNc{z>m7zgm*-O48Xk*D1F0z)jXiHkUMuc623 z$TP*)B0nFqNuR%E&QY6md0rETc;{V;b~WpJGLq7)gJe~YDwuk$wpx_QcWG6#L^b>B z<n=Q?^<4{2eW8B-@97K2qius3@4YZMk}Z2|#*%rOqQ&juS*`+GS~yji)g<ygnjbBV z+7!a`HJCS!|2%J;{COUe1HsI^9}hVs`&{f(WBc`eR``^g8fU#LJ$nMI;~l*#7MAT} zD&Ahzx>BO%ea3O&!!Ki*Q!AF6<!ZHE{>`?&znx9$!N$f<dXe7YJj*9vndWqq!Sbqu z<U8r04<C*=)SfX|B9O4Gv28}`0>gRPFS`~N>@3Sm%6PSOslu+Ca<4)hF9_LXaCYA- zpP<Ouc988=Vn^dA!y^vNJjNFU4)dBEbJtB@<Se_e(zV^{k^}RX3#&G~u&T~75sRG? zX0uUa-tj0kmwu}#>p3q1mrJi`zk18GtMa3c@dbu%UXvqQTNf|dGym?|Zyk$D^8$X~ zTvE92;yK4HXHQ1m7TNn=W$X8)e@e_>9Z#zGKbg%|=fdV!$;<hebFL?L<XZK73_EKl z9Q(;_tGCz`e*3KHItB+bzRohfsJcF+dv>Q@<>aUipOTZad9NH7W9Hp()xmj<p^WY! ziLXWm7X$k*e9~^axb>E$diLJVTbAmFUt2g!iM-wt)3LB*&B51+Ga7%*NL#>oP5Kh= zMo_q43lcD`njMz4$SeQSIm?yH-*@IMyRk;r@5&X>+Q8L~ziuQhsHx0epjalE(X;T@ z$<EXlWpAGx@z~*LZK>wBZhe^gkpPQu>p2o9zGbgH{J8!0LRmkaeCw+RqXW9$-FkRr z#rD$^SPt9h3MXBeoxH$KOe&+nl}#$Cv+-EO`nhu=#MWIeQag6Y_SQ+&*ZcLZH2j<s zVV%D5%I&4H{yO`<Wofc2b@E4v^6adfpT^g^b9I@GVadHqed=P$zqhI=O*~@#w!+X{ z{=%7!2KMGNB&TI9)p1+V%_j9|&aM63Sv3K&oG!X{OJeyiYTvzj&NrrSUX*3Woo~VJ zvWAK_tNGX#3CdhEe(<n^uU6)2Vnedklk`>c8czAP-iGg1Jb%)udft{<)nfg&Tt{z< ze1qVa0R2Yws;5V@4puD+pO?3wrYjSS70*fZi9K8#m1=V_eE!u>+Il}#-#(d?UFRFi z#^F<NbOPJaR9*2$Oy@5Z>8$&Z!~E6oxC8SU(+dLOJnr2W+}HNa+i@m*>z}TB#q(}m zV$n^UTXybb+-){zDUtB4F&%!T`=%vdT&ZUeaBroQ#`_RnlOuA6FDltwUAOr~*7nSw zqOot~m{n)2J~x$B_u<4aAy580?y>^58=1F<pPqfAarUyg?JuTHmsruiluasOW@Fop zbc?8#?NOc`vOC&uS$6N;_BX1R|A^)HpyzQ+!e6ePi+poN_Ck^3w+DPuEBf!UNiCSI zcvqmE=bpq})AbQ-de^fGH}S}<o9nJ|F1bgMx9jk&k4Ij8m>HIr)KQ(az^+dsh@;?& zgR_kB1&Q@nAA0&!hpo7~J7fFtt@pcy>sCJXp7WlOdFhLVeXNT#=Lfj+UYyjvB06Z1 zBL9_z{gZ#4XP$E@vE#B;&&7D(;4RmtX5IB)W;MSfNwj!Y=ql5hm%aq~hn&d>TYW9X z>9X(Eu9>G*zb@*Cz4G@}ul~m9UH<Jn-6by`_RL$>e{`4e0U_D8h*gIrmR~hF%6CBX z>n(L@2JsT@qeiBIhC8FyIrD3myhz^5$Gql>V*Iby2FH%RiA-aRHvhXcbZ>>x;@aic z=Ds>|_x!y0rRSq(q|`l~mQv4qW{L5a^Y`AFpOpKx^9e)x=Icd1M(a=OSvu)gU+c8k zde3j}gU8oj7MG{(ZLy2D<Q3`OoPMu|t;_Ca_64`O50k%{tMppw+dY^3@#6gzW}bpq zifk62#UFmXu4jA9!Tnbfqrr*5hLa(63l}rEivK+|k?~^m{*stOOCIl=V4Ig>60oO0 zuHoU%;O`&Kto&W^>I$<>(JMtZYp)2O^KBMiCDLV{>$5d3?7QE6^7c{rH>`7f9=BQQ z6&fwjDTqlj+*<ucgVFG$^t&?)+co{}9xq!im(U)}zwz=)_Zv^IB)=(pk(6`y@fFT* zR$LY{L*^C7EJ~@eT>Zo(;7m!3lA-Y3=Vz1-3m;b%DZa+<clUVpa=8a{gZVe!pShod zeNI)+=RP;3XC}90_KR<Rvb`@(W2U}*QOx0#XErL;TK94m%y_rMC27*%V}UM7yJ~M# za>?~sl*+r$t!ha2vprI{T<&2@aDU;Yh21-!XfF3FxK+qH>Gqe^ciFlm`?M9Ft>B(x znGo^fimgu3s~Vx#SAN!9TlsnA+Ti{TXI37Mcz5CGwMSg;jB2xcKEE;c+*oUR(k@iw zcSLP$QA6X++1u1CkDOXBQ`8drt$1;gn&thvyhmzwM`Nm=E=anZ-}{*1<X)-cjFWl~ zl;$xXl&MzN=MmXFH*(30g!$Y-g|*kL{SO{yTmCM2aWMaelPlYAyt$&xb1Kf=^p)W@ zi#q*0joi{H1x3P{6BjcaP5C~r)@Z)X2?M*|Qd=VR6CY3aV?Jt@&ZlwAQg7i6-MdfD zENnmPclWs1a=C=n!TcM~u5`cg?n?5TAD3=*9TmOvauTPQg_pa?f4$=uU0>#J{2u=( z?@>)PyK0_xUP0=)!*bK#B(L}8+i+gBea7=sQ7wVr_66TrbSK|GCgk_dZ%16$&QI3= zy5ti7R{5BamnYX<k#yTt_cpP``<vnIsTB>8dX`6grpqMmczwgL?Plu6cXJZ`V|1*S z?@rnGu3S}a(RS}=ms5YUihCbx{#G_g=f}2Nw>u-&`)*E;)!ANk^Rrr5bo-l1;qH|y zza2RmmDRP^NX>iYx^K<hr57T?U-2l%Y-)d~ck{TxbeV(|-h72OLiGfv?S3L*`}Vo+ zHGB8B`^8SrSNs;_8)m=zt*Bb$ZJ90M5*xQjX4n^R+%)s;sX6VIdN+^9OqWTR=iPVV zaA=<4v)lE$*L1kwJe{=0g73y@*BmkaZPUAND89=(Kl_GuT*mq6Gv{+IPJek^RVZ@L z@2|zO*E3gdyD|TC*sr#@_D!v)7jL@mW*S*jS+K`>sl?p9s;gJNjoPl4IRER37_}R( zCux4$k<xZo@8)5>>2H#kd-H8LtlEBK-s~HpPfqQ=JbSLyL9OL}8!xRiUZb&8KmS?j zyzOpUMmJwR-}S`w>C>g_qiY_1O3e?}*EXAWe|B8dulc(FOpU|h!k=%gzF_XA=(1hD z*Qw>`C+nJ*(Qo%m)iq3PTKcKGQ#9s~k+S-X)F&d^J#!M5POOedePS0jdz0tJV=a=` zW^HIMReO`Xmy^9B?~vlVSp_Z1YBG=iO}~^~#`IaKet}_=b-dU2WvAA(h+C;ttaR=Q zw@Rs4>&%~D^5XH@Y06#YQppwT4f*9wo;_Z4j7jEtM2E0ccR^6Hq2I~7xy9>t-&OtI z`Dpf(sq2(q=J}tH&GHkw`_{AFtMJsUl1q2rm7HX;jxjo#H~mb(9o1@!XOn7WN>4KO z?MShUJay0T{OPIhzD)>Lw|H^o=Yh9ZB)?t$()w#p+)LTX+|}(%-am`>-P80SPV=uo z!%<bOgKyvbRtV!idbMfs)~wt6HkwWoC_gs)NPFYE>0G`x{zuiiDv!25_CAm_xjpcx zn*8EU6D}#An;JXXy_#pAoZj2?yQ}wUU_t)3_7I<AZPR#p&#LN_#~wZ6ykXIW!_S#o z<=R49<@i@~+uB_dsbX4lcAro3mn+@=>r?I@H?)$vowWDzg;g!QdCUjh($%6qF3bvU zY)*)5Y)<lSY);H>JbZW?Q+xm0caxXicxYzurbPNj%8lF)8*k@wY&f3FvGICt$A<H{ z9UE_EW<0tqQh7SYT);+W=c!$t*Sp+V`I?Ti@^z`R^0h5z<>NokC1W>lxBs+9t+x++ zxRm?h!>!y8ACKj7e7Kg&aq)Pqukx32=_H<lZS$YC#T(w4c|^ZA;>D}0m->J97gSza z|4Y9}cEwKHc$uAUx*yM)e4P7V_^4Ww_qvC+rc(=cvWXv@DitGgJ*&VlX8pmZ58Zh6 z<ju1SSa*arcdu{EDsZg`XzpG=p-W%j=-x+5GC0Mv3j}3W9CR(*z$Sipp;U|<-}MKd z3YV~jH!L>Y;Uu&Apy<O2-gOecyY&SQpM10=f^&IRf#4iHy9Mn>kE+S1clk1&6PxWc zN88NRB0i_9aN!D`Nuh^!2W{+rP^<YjI885{Q$A~>V9lDNZXZ{QNjs+pHJ?3vH7e-y z#jVq>Fzs2Tx>aC$)<)MI5#7D<7p2yT9{njL^tkrM&Zr!g^z4m+b5<TbR<KKKc6;#F z8#Qy*92I*|p}S3BdiF-w9YNi?$2z6fi5}i6CG`02&96~8EQhmJ?Cwd*&^2pQ+<L=? zXWh|f$pO0C8180mY<&^lt?P6@D#x(u`i0=C7opBcah$f<8wGjR9Cf?6S4{fwjHnzr zu`5ZR4fl&hH|*Sc!wEEqpID~5O=5G_jxf8lYZGR~AL@z9VY!^WG0<oA(PIW%#b&o_ zZoN_Cv+k%^LXhq@0paY8t}_C<b&vg!S{K?-XsRMM#bBG5wBz)s91+{BjfQvDE)?f4 zT+SBW5^cI8=}t-RiM0CeH*PCkyZ<}a{Mgx|dG@6}r<(cy>0<xGKUrp+JHBN#3ybjW zzro%AuUyy{yF6(5G*umr)q)2fzL+2V=YC|!AxX<8hxf|_&6(`HPivwxzxb02$6YOX z3OxCK7HGHEL`*#_5xzJ}PP5NLY5uO5$<9r$i#!UQ`6TmBEo_f2dhvLh4BHK@cEzw0 zi7iu$B$B62ac^53^yJ|Tk)IZNu8e*jfgO{BL>^8NIcg!h@Myi?;;M}&H(2p=Tyy!q z_GtY?2hP)?P8)m`MQ)28+Tg4xSEhC3xLzyEjkOa5szrnn*E=P>IX$1{=&e1i@eluU zJ?zeG{m{DEN#dOL5$Ep~{xx3$JR0V4abEOR6s*!#ahq>ZF2wWtQ~J}yTDc!LCa^P_ zJ#*~vtrSQsmOFAoX@1h=hy%QwpIQ<MKIrTI^Hfva^HtR4LU8Fvzd%=>yP;1O&hGlL z$kUZ^*9r}nbWztIOP*;TbGNUz6KS4u|KqQZjz4ABE4&YN`RM1$v-iptn=_#k`z^J& z45D2X(^hI6@)12cV_}SZTO-#;0|!OBU)m}T_N^^1R!`ul6*;tg+rj<YemtMD<(<aA zTZNpp$x{WtT$XUH?=|G<(Eb}7%gMa7BOxH>rQGX^xzf7rEXhwMB(0G#>Y41!|M=;J z<EOKZM<0KEE!L8!aQ&_HYk?(`KOGS_40@8dLgc4}nk!?QcVLHLkVxVZk)sl!xxY%k zE(+flpSzSvz-Gm^ODt0g+!a~Yi#UCZQsl`OdGv6tQ_Y@WkB0ADoF5hEJ9f2K9{8Za zZ)0<gS>FGX!hy>+93OS~m2A#8OXxqDW7>HyyK3t(wU&gat(;Ri=l@#Saa~O`uqRCL zu!d-*#7ZT3<&Y!V&0S75Yrc7NE}F6G$=t*)CmWwIj`gKnoCbl4ifS4vhbmf+NQj5L zN~z_PU!A*P-h<$+jaNQ@+AZ#-c(B%TNrgf?5C78(hm|eAe2m~@wwZWX!2hYiAz#a$ zk9(}%uUY;gCil_TJ?{02m6iGbg7`G!PAVSGw*2zJjjwjk)WZz#pBNmew(R-f$oKPw z^3#b6yQjE^9a2Aen&aFF#iMnWDHaOta(t&2_B$6zJlG)9W}(zB*mo+iCpk#Ywq)w3 z7gGyjK2@=(Kbi1oiHy;lNzVMspI$g_XUS6##^*d|a?!)&X(9ia?o8Suuzjh<Az96? zLi0cM(SNp^WZk^;C%g9aw>`@b{QOYA<%c-y=cs<|tAe%<?^HQ@DpoozYw1?=DLC1p zsn`C0@6r0H1(RRQ*83@?vSWpF7q``u&4K^-Z_Ai?$Zg++T|%b}k6w+r(~un`Cv}-o z{Ceu-AB)%SobhO8NubngL%m6tPIzV1Y`y+;f<C9A<12Y7X#>MmJYT-{uDzwkK2Ih3 zR>CHkZHGlPbN+_=td0BICbY~`aDH#t>*YV9XK}CEFH&z+yig`cXOeT<(V`cLoie{7 zwAvY`olNXtERtB*EpzOJ*3*eeZ7QGkt(Yw%R5IP!&U>13+q|L|3#U&pIP9bO$snw& z=FGH348=<{j>Kqo8TfZ4o$1%gT~M>u>&057COfS~FIFqH)oFdYVE?N=YC+GflAOB> zYgg~z^0ahCaY&}Qr0Cm^F<WiTwrW35O)x!a^hnrQG>|7uaQ>>59p|ry9BKC#{djO! zRPF44_ip?te!VQd;M#hT$lXHcJOmGB?k_re>!Vbq2OlTjDaE6VmMJ&%+vUEUT-d+2 zNaDc~nYIe$cEPyQi9O*#a+-dgO6w=;Kb`QPRVK)0x^r84(Tj(3)LZT5ozjv&_|)J? zvSrT)55DA>sh>`4a2NXd;)es@PaS7I&37ji564=5DRAejy)(_(ZqA9sj@d;L58Gsp z?a+IA@i3?7lf{osEuSoQRA?0ue>&k|lT4L`e!JbdQ;7}5MG{HbrDfO79WT`MXYBI~ z>{uKm@~}hXsKuNA^}7G6rMAAguyEtf+*s#i_IvHg-XH9v|781lwmLhep1Zp)PRGwJ zMExO?K+hUJc214N<MThaBrJE*DAR07-0o!aCXgdvfQxg3zoOzdZIwf5tw$_=Jip@^ z&~s`&OTJS3C;LZhpKNf_=+kI<xWdUsCYWP?6&GiLlcJ)ZmdYW`)*}{k?K#^n{+#?E z_l3_t_dSxj!5l|*S`E&Gb+lV@eKZVLWPGNna-^o!C1Sw@3GUD84-<X<sZ=OOPx&vH zpWZF%w9!S8$6NGK;xeZiop6r^Q7+DnM)MuztyiBeWn{`ZX<d<gwwdGhCxv5WKMhVk z`H)cRI8C65`KoF1%qbgUU0EiF98qtY*CcUn)rrHBqCYLxE@D_7a%A<gE~PmEfjy7o z{?+`bayzM+pL~4E{f};CA+CF-uIxyh-DM*aG_k)~i>tuFb<h5Qz?NFAu8rZA@@=)J zetvki&Hblre*W&zBfITH4c~-JY?sygv@zDTX5sQh0_Q_T9?tAavI#wR{BYdUnu^oa zi~jVP?>(-ysA7rIz8B#W+u5}~6~?<VzFVnrBvy5~-8YR~)dP90EjN}<;II}IN_G#3 z{A05H{RN@b|6(LrT<`2E-S#N*@AFeKW`d`(SDMyOtB-5nx^suz>whtp;)30+mwwGz z$|(IWCNkc%d-<A4CFak3ayMv-Z$G^ARln7z#9cOyd!H<2wiVp|MDNc|sgEl!&%eC0 zrNe87PJ4~4X8WG+6P(+!i(Wk3CG*L%TZV0iV!PtIlZh?tMG}u2rnq<Qo^+zR?eI-4 z{}z_^ClekmkTH^(;LQIUQa)`6=X1`Pc9>)LQ-fpcau!ePh%PE$B2&FX*VQi1Gq7QH zkjSG&BCZztu7-N4&dmL<j{eC?k`s7y;+^Qy1uflNiaQnvbbaPZv{){{?=JG_agP(z zoG_1$V6M)BAVovJl;o6|0XgTRe~8+x6KG22T4=FKpzSKx#|;6Bc48VT2WnbdW-On; zQ7kHy?DtRQ$BN19a@(FY_Ul#(B>2m<?3lzZsP~+4y<m~stRr_4Jg+e?Yw>T`lxL)T zXNvAz<0G+Jiz1dNwY6$}+Th@7_iS~C|Bn!nq)A;$X95FzZr;3B-c_+}%IAk)lc#;M zj&n>~)sfWJC9!PviNhwMKWD63#1I@JlC-I-!Zt9l=kBSWAB;lRN$3Z)eh>=vXxPcc zxzW?p@{m#Mk%(8zZ;LLe^3E$OTfO!WM_Oi2QqwVsw2<F>b_p%>7Cg8m>$^tY$=nIf zO<#*VHu~{NUOTn0-L&XM@<bW72-Wr)A-$(fNvlK*eI_dLPhNWBxQZs1L135DnJJ4n zW-rk=b}VbLNck+Uh>7ehr&q5vVN~Tg`Rt3PqQn}3E=R6Ji4_9;cSRp9-tWZpCD@~5 z-_=BCfv(h_GM`xk<tid3vD=9~cWl^PDUek0PeZWnsbkN=qI0uc-e27rm3;d9K7)<7 zT23u#*{7u>u}-NgQ7cJel@h;m$dlv^T}(VdfgO!rewDuiI1X2|R!A%tkWUsBO6YNN z>Iw5`3FPWDG`hV<@zJ!H`LFgYocEw~o4v{+p=Dvq{U1%cBVxH^hE6-r<R=#nb69>c zbmU|1nSNN{@e_kX5tcoMZl{!8^F5!~{>rdakx*?HQGPn%VeM9Cf$dM`om$whUL^5g zqfA?cO1q%ksl*=ktJC7nU+}ili9dLE`ktDvWubgORYLiG)&%hVYzg4|*%QF`b4mc8 z^PLHYIj%o7IA*HZFIVMN`DFE`>PgerpV)O$%Qf6G<%LqaoYm=t{r*KkjCQ9IJNSe2 zYQ9WZm)pP?B$Cu7;yOdm)o|Hg%e$}CcSaYTfAnSAoR-S9$9KI7QHZYF+x=N{$@VAr zW*Hom7G72%yL|OB5%bP{nY9ZXn|Q63+*sk<=6jQA(v<v@d%K>_c$BJ_cYs@ye`<8m z`BY)~<mK)~K84O%FMGdMXs0eI@_rY)ZJY0ch|GP{>#sKW-z>IP^0_{9?&j*+rmc?R zmO1VGK}>7^clF<7GZEbWWLKJbZ08Qu>uY~`7kaPTFC)Y=!MUrk=!Ag>-_Hl??RM`% zjTQ4w-ko|_p!|u!p<K(J!pKvGN3}hl)L!(pRN0}|E^__JgomqSs&*);p1I(x#dR-a z=?QmzO|A>kT~1#nF5;+Ns^PYO!zH#&(M8`k-<`=LXcHiK)LGQ<PSC{m<Bq%LIzEXm z@^A9mJu&~}+O^w`znOODa@M4qx;xXg7FDcMY7^J`^dZRA?%oQG1B<i1uDvrUI{5C# zjPOHQno2XK-(4&e$hrRMgzo}H9v{Q_B=4PE*#5of#p7m~PjbP0&NY(`bLc-YaGU<B z;$C0UQk73qH%)g>RdKqv?8$^hyJbFkskiUBc2aSDS<#8tb7ektskaNdok;8v4U&@# z^Qe5X__5c?)9%MZi##?)@kw4gv9Nt>(Tn7{GHen0?TTh65?gwUB$9Vdapyn1)aKL0 z!p%>fE`BU#x#WgcdyT7pyWF<Z3;Sh@Boda(wB1mBddS^glj}l!mlMx~MI8D|XGuMt zI{n@x<yX2pm&WaqjtmS+oEbi|xO+EejilH!hJ%YX7|EzKnDe&^tO?{e8r5pBCb*;h zE7!-30g8-fS}pp?qC$zAosx1+&SyFLXiuy8#I;<CH<k%>z2!>0u~2~DTJ%x!bSI`a zVICc}T%8-j6%Ey%`Zpzd-aB;rf<UVPPbf$HbB&h79Zo;i#46gkX{j90X#J4d?xfTc z=+U$B=j0C?O!6Q0=FD;8IHujQey+$NgFr<&Hti$FQ(9SOESew?{8{qLMjd`8o%78C z+Mg5-owDiJ=w;_qSrl=%&y!=mWZ3aTMw$;db#bg)(UCN@OJd#16NgJhf9_bQv~NN{ zU`MppqsfY*M=jRR;h%p+OYPpVZK3S<jg&0bD0L}oC0VRe;y)hp<nfL!ra1wD9h0@X z3cOqm{leAvaz6T8__XGTY{Ap=q#HqkM{7h4bpj@~w`zUb=;6vJwp!zekf>|Ksznl~ zr+ognA<|O*-lu8W`ycz}w|4!w<K)WoIpj&=)~=d0fq@NMwYWC=om|<k>vflFL#SfS zu5}YQ#6^T2FA9(Rqq6<Uvev1N2KC-~RS`1}du@M`meOymwuR5QxX?B&RQ=jb-JLfr zy_uh-^!ut6?h2VT+j#52Ju!FqKCiZ4b#Kz`IcxbQ_udjJGqhB@ar~0r3YqB!Cek-f zHzY*J1@xR?Zkt&7U}3afp#0s>3J&LOI4)}QD}6cFEKyrzx%|d_;}3C*^W_A-oM!I& zS9!odf!~Jb46}UmCxrtKY&Z;6_?39hHcM<@F{ikVd8hG515@$O57oulD`x(#?Kl|u z*XI#Ob5UW>y~sH^4?n(B|6N<zFKE4)XWC<-wC9Wm*VrtWF_WFg_|t*IUu-@Y>hd%3 zoNg8f-t^grVSdijq&C-`Gs<gs-Z<VABa=|4$7iT7?mlC7ck-E)NA_>iF4cPYWdBLS zgPk!xg*M{GGN+Hq^?7alaahK^$WZ=pk>SyWF=q-4#H(+p9etE9@aFu{J#U{Sb?x0b zBhg>)+lJ__qu0NdKTV3$FETvZ7jtHVt$4MC!qG?ktL~mW%Cf!K@aWo@GX=)t)jJfA zK1%*@z1Z;J))=2cQ*q-tr;f_$d2jsD@Ox>DPl2I$aLkFLJkN_awigHK*u~bFi3`s; zc~oS1vEkucF>ewt?q7P?J@0*?Pnh=W=>MTt4z=D~KWWB!&VRbOZYOtNvXTD$xgjA= zF5t{r<~Ga92Z`HwT<*@D%3hN<mtDm8Q$u2vT*ZuOPyalhmh0+f{yeG4cjt`rEX9V0 z+hWdKuMN`qv_nr^Sm)ePk?bPF!&#bQZ!<&no(WHjIkQ1Y{Ilymk?wDsb;N~r&K?!< zE;4k!Uq3xL?nRK!t@CSQd<=}mKMS2dy5~T#p?<!m*xU3hJ+&E=x;53FC+*vJ`ly_m z*TxKc;UdHJLOW+9#ptC;%<i`BnV593&h2?pQ{c`SNhx}15)->^&;0yeqW?rcSK4ap zv8OrNE-hyx{=UyF6{%kn60$$E(qV%Uzed;-#>1a%K5Te%|MJO23@7E8Vpj<?sd7DJ zp6&GG!ZHE79Zx$}+~XE;GKg0c`7CnCAY4&S?P<q~AM*co{W<J3S|06nlDMaN<nV17 zLElHcP8@OCEsv%;NyKR#Ieb?}u=nv!CysfVEss_@NzBtYa`>@~;Mpe=ojByQS{^kz zNxaiOa(J(dAn&tQrynU+<+?75C07ZwDRO-@uyA*|EV)FWsgY};!~%h~e_S6g=(``0 z@B1<3^yVL*%W6gVUGrNFz65o&&$Cqe*AdO%Do_&6;cDJ$P!ihFu2-~Jgm-DNoIuP; zw?#$^BL3|!YS4H2eBkh(|EjWTKX>PFiyU%KY7Ni{;y9etS`jfxS!utwsM7`?#XZ_V z9EZJHD`w1AUX++0!Xck5>a;OZktbT@QDSyqN84UsMHXQZC&LKEJzCmF4quQF<gK3J z#L=eRqHigB==vP4j|NumE{Ek73p8!ydYC-TNrFwYrF?;<lGHb!R)I5N97knZ4b}v8 zwDW?5s#*osgmTQkuF+Dy;3l)a=Eo0q?k<PxmJ2j(=Xw~s*-1i9^T^?D8A0CPJDn=7 zuMxOss@+n4mh0mOgX%tYMVp7mD;+L)=WSbL#D9i)-=g!({C}SwN?MfoJ($BWzBS-W zAcu2)YsC+Z`3%CmyVK7pOMS~e>ip47u_kKOpHqi-$q1?%dMmORi#Qp^D)L+wd6byd z*U@I{s>m`~)X6YVk>|1Kqr{NDj<&nbihK5lbG&~qdgym`>xX|JN4{Gl(B!`}NR+p_ z!=}&h;)ZICBhKGt1bKJQcH-Ej)v~DDNy19|$l>KNe6EN8tq`z#9>g)fShMBfMyDTh zv_YO+ED-lv<dF0>r;6zd1@3JqR>{1<^^iAOaZjRli+N6KMTNS#SK!NICz-dbC{F&| zkmMt0&~uJC?%@-s?=FYsRthu;axIitC(xG2^)NZ4PlY#Hk)>JG$<RZQhgtMdq65g; z@ro>eMVu~%EArHeJX%=Z*J1Q-sX&wTr`C@yn)3rW4rjJjSWH!3lsG?x;~-~ifJ`{Y zVZ+u6i&@Hx66FIq4koq+<b-n^c5JP%n4r8U@&Esxy`?kWST#NUdDSnuEcC19i$&ov z!M0b8_wH6aDX`Hx^u4Ofv)(FCbEVHtx=)OZKgXrP!9Hcn6Wb2_Q{KPj+<T8{s*wrS zZ<rNZ6Y4z{-VhIx_|_)EUCq>Gv9Y{eq$yUhH7VUA(LyFj$L8C7l|?h;Sy`Lf9UC7x z1|;l|7x`gzO20#BpR@?aI~LZi+m4M7!vZ!GoYU_(v{z7sV;wtdSGHs0!>oV}1xNKe ziuTHgaOknJc6B>8K6DD$P;gJbW8bI6^=^v0PObfy=lW&J?IxzfYZfFVE{Yep`(()i z2Lnru03S{+p5SIC`5FIHAIeRBUtAfwD0udnDGdpeqyl_GnC&uFH@1FU^Wjgsd_}nV zu}qGG61)o~)+*LqTBj)Hrq%hP$~}YQpdIhR8><z0s!fg@@>3Oz{ldqq_+pi!*e;FE z7t`#6O%;DEQ52CkZSns+)#Z!ad()OjbK4B|TovG6Kh>o~BYKMaE8VqS{-UiMhn;<8 zxcR5Jd@<}dX?d`*Ex_iYfSvnA0q*ltTuMC7o3=b?Z420QMZoU=C4snWUP@K#&83_^ zggI-x%jP&-&HHezm#0hk_dw^0*H;C)&PyG7e)g2uqu9rqcI9FUHq3XgG%VOH74Rj1 zd7oSWGxy$*o1fNke!V8p)V-?t%Q`{XYXWV`Qb`Y2OzL=Szf6(kxM|Dzqb4m6m$XG# zELB-#ZL?O9CEcXuys>G^!#QmqHb#58h*vo}bMR$y9988_+_6Tn#%qz3zN=tND05SG zmP27IuSDL8#@5+d3Vr{kNeSEuWA3ufI#3YK`(sNW>yZ_o{CGLsR{N<L-s%ZtZrhlZ zkl4c2u`q3dlmJf%a~EUQfeZ1xI$wer4gY-!Wo}xS<zN`jEAebaW9v;Vg|>N-Sq=ul zyc%gM7!Q}2ZZPm;J+i{smsexiD#pVirW*_*S@&pek@|3Qja0;p1krhApZ@zj@crm1 z{@!yP%Qo@%ORE!`a*w(Pe0s=ojg7U*)Uh$CG$0{DR77VD50_aO3oHBS=BDmcN5#&0 zj*6|*8<iK{kTSTy5_h4&;e(AvfDJcS%_U(G9UD$AGdXrv_Wq`(?siATPIgDd*58eD zjCFXQ%uy}S7ibo|!^^ejFc%k3dQ($twBx~JXBRwp;1*C&Xse-8sH?H0z;r?}_iSd% z?Ls?*Iu!416&3kmAS@!G$HvMn-qh6l+411PoeLf;ie4ak`-TXI^$iJ*-8Td{)Hm7* z_$A6}-_dv<5PLVQKk;b1SZ9J*cK!deUHg5OXNK<T`~KZ`DZ~7fY0M(|rx@GLw>s?F zsMh%Uk?!B03<rCQ8Xl!t9w<<i->~N|k0yIXe-vK;k3PGEn+Vgrx@%kYSvjsvXBO!` z&Df?Iw_sky@0agXAFy&%O=A|>JF8Oj33F~Q&tC2`w=Yj|61q39Z921v@+ro)iEk^9 zK4s3eGz^LVoME<q`?|QUxQ0d1mIp2zzP(jE<Fx99<p=h}@@uI)d|Opu>0r1;CSk^# zx3{>rRGy!8C*%Kh(fY9Hn==l4%Bky4a82w^N=@wkp4O_%y_!4P?3&0n86EAMoe|Uj zh8>OnxOUsAs(b7A<?6I^-23Sy^1fEFjep;S*8Y7Hdj0oJXg<GhLihFFKMgJ`R;}E+ z(Q592b<Y|cHdt!}yy4{Hscvp+t#v$j?B9Y14;%st3RleJH%?WIUoU;jTj{80<dzNY zVzP5G|C@`}D<1j9B4#GOol|V;wn|~W+|Ob$x3+EAvL!b;GIKV+;nG}j?OF9v9}n;P z^Ef%zwD(@Q#jQ;<kL}v#qx`Jy<K`}TO~v=p7ruAT5C0PpP}u9<x94kje8?Y{X4fzO zmcFQQos^NC%jb4KuuCslur@){YQB$g=6h@Ji>W$``1M?$Ury9|TkEg6tH<?==h7E7 zPTAho_hZ3o{WPuSPl~#9uYBsF(-AK7W4q3&PhGd=``e{2jKnUcPh0dkbD{Fv+Gwy= z$)zt&n(brYe_!hj=D%F}Vx?Ig=lj}XFgG(^L`p|+{bVlJdm%X+ghT?Di+$I*cB`m) zZv36iOx-Vny)OQAioEx|DX2uU`^9AMi$9h8^EPOEfgP#q`dqf-PJ;L}urngM&WT4A zHOGd4-RPug6|Z%Yx2zCs&BvuLYBnmH-%tfR@%XewpPzQ!Nth1SC?DK)E?lXoIW`Qe zu|(4<KIH^&StVFw;8C{I(?49=_gqwZ4U6lQiAQa_mvcQ~+r4Q=I;+@4_bH1eo2i-K z2n8G4E^2%(dWuM8so`TGk-&EG#ZkFM(b2&@T8@IHF@{?4iQd(*(O_Pf;i}7O+c%aT zT_GeA_*-=GuH2&EQSo3Y55ufYzIoBoU4g0^i}*C$e7Bx_o0V+1ipBMcWBdonxeFf6 zbvNI9f8~!f#S7Eco!Oeu9slw~a#HgTi?BGw9ja*=tqk$2emJo_KON|4x3RMBsCQ1C zrD2zJs?TRZu^*!PhaMHNw5?7(^GR?Th_|SSh5P!c8>if7JPqH&vH7%MPK<uCwS3~b z_>W3DI_?DpJ7o$A7s_}%O0t}AXsOQp+Umxt^>=RnZeqE9`}5Xd%^3%B4Hs&+f0m5Y zRO__6c>46Jw0fVW>c$zZSu^B2B3E>pCfNpvXs-KFe5Bx}{FZ`~@>>co%9j)@|NC8Q zUo4l{s(qFVDtF&`c7JJi^uLTeyIW!PCg$?F-S$yJ{C_S^`k65sOiKT~7_{Sk|Fl!? z(Gc=_OyI}orCXB%jTi3lGzhF=_p|wQ*Ko4$V~@|`qV_AYGyN`adsQ~IxA*0*ZLe<a z%CVaM>~fFS%&YZt&z1_j|9;)5NpkA`H9wS2WJ%4E$~NBW`?DoItjjObC?#svCL6&s zt3>8*5;E^x9iqL@sIX~jyyo}#C!9V;(j3QT@QMVVWox^b*4)Z^ta(91+7lk{-aCh! zl(%m@aYFWtL6P|7UYpKLw|R%2tY5+wGC6nMnw2Nj45IU5SltZVPis8Ol00mqyV)TA zbdJsiBlh5}XO1fAdM6b=?bqI?Z+P*`bPZ2mwZ^4VP8-&IQWvdXuEhD}x?&Tfm5X6O zy9C?I39SdMQj$B=-iw?zZAolxi-=gMXcm^)(R@|vQBrT4ieZp*(2M12zMOl02l;Z` zt6QlvPc6=yzocWq|8Oan!g%rShx7O%cPw@`o0t8`@1vWuL|k@9Yq(U><E3pD6$=&h z<gz`4`b|_?+vESOcvLOqX`ZxV)2~gYa}7Ufhfe9s<XQd4_vra%g}at>SFg)ivodF; zPx@xx$etfwQdha;-amhF_-WwUhR@B9I!?uh{s}o5y=msIT_s|*+DCIN9<0dzsPz2O zR|(bm^>eD4zh=ljQl5LqS2Tc$duz{w8Pft~t{b%pN2NVnGVP|tQfrp3jaEkt{MvPT zt}99lmz+50B3oqW**<B;8fQ(PiP5a?kC^u-=}kYtP$}`Xu<7hpHNTB_Pe_(s?|M4@ z$Y<YATeOOGpPs4xcj*YH*~+rGM<Ta-<|IznD%Nd1Gk4{bgxcWX;?UHu*E#20b#5xI z@+ge6J2`80@uE#fqGzv@(m2Rl85g~bGiQQzhml%E?x8)xW{GXv)p8!r<-A?-f9k&- z8rvlLW+kp}jJlDwz-*iJie_21S4q<w)e27)xM;q)BIZ6hB9X@=vB~k1=gY&KUyO>H z=DGP@JbXfOm-Nn7J-58Yt6zT5V4H1l__#jrjYI0f+ddv_k>xRcd;6$>u-~G7&gB<= zYri~_pLgBzdeF^Fvz*N<S6z*XpD(ca)6J_z(T_A{uM;it+p47`n6b`CNz-M{@}3i( zOwTV%xfB?!^)jBH!sO07<-x=zvyiS&f^%;Rx#o3GS>Qi)UeFigfVO{ZS&0r)W3nq> z&F!fbof>tB<?ob;Gqd+FNiOl()4<6#+u*2x@H6#ApLVeLnTI9LnAUYkE_DHmFz=K{ zQy0C~4r<%Ymi2I6<E@I61$=U+g`1c}gYRhB1oJdhzPtLwAUCwq)bdV?<~yybKB2UV zq(fr!W^L$AS9|kdo6Tj-2RZ9n^);`BdfIlIduP6yu=ZdX>zgTSlsdKKirufS*RB(5 zn`%1sY*LW^x^ndm#;2S%toDkU-=f2LrsIpinVv5JXS%)^oasAdbabBv_u)p3?$*VD zV$#PK>G1WrN5<%MY*I1QJvHf2$<Hn4%2s8qJ?o$OJj8SDnZ-W^wHh~m+VSo&%j2Fo zNq@q-c*08aJkyJ&ex14NSP8G%iR-$br{&z*obV|8l9B1{mAlkV^DnIZr}1pnEbH<u z*{jwcwBcQozv`b<@DsiR&5v{*Ek9Kg`mk`${kKcBT+ek+=@*MwVe(<^s%6J!#q6?8 zTh-;BGqpQ?+Nz2pVH+!+X>>1-O*NamIxj0@g-=3WOLIb9OLJ0OOY{7OPhYmbc+mVv zgIP5qcY(-io+*htXLTt(Gu`14*(`TfWy1{z&S?@FM}wGCBf^&I=sUi?EPJH-<#cxo zjxZOCijyy7Yt}qiE)e#*_~w!4@xL}@D@Eii5P84<N^qNEyw>cs*FU`0wqCN)?ds<b zntr9h+jdRlcRc*`<N4#9ZW@)r&dUrh2Oai5H}TY)&ShFJG<<XVKc#IBn%7!s6FyV; zqI>qD@-=^~bbm<voRz+9@{YXY)giKv=DwVM>FNq5uY_IG1kYSB>Y5pK%)tA!&Y5dQ z(#2cO9E{N|HuOI|X~z0gO`Qv(lJfB-7c)RPJYnLrK%a|7ZQtuJ7;@j;^6|&7@Y6CS z7mdW{Z~g84X!5kBA3pNWQc9k&;I^#ygROd=ZXevHFKO-E#kI-Mvt7pLnxeRKsYd5Y zt0{*}u10DGu-gS)Q~B_XU(N4>UuBD_<UM(x(63=Ne=kN|zY{gzJGpDs?6oU>%U|iR zEj;Np>!j)O%{N)>{y6$`R;~E8k!k6R%mqAqpQy4e|2lWIm3jEHB8#A<R`-6LvpL=# z`d8k)weq4O@42VyZtS6#FA1&7%<@^hebc&E*4>_~BTl{x7meIy7d!W1viz@_l{XwG zKHG1%F?=ff{?>&4tg5i->&}FkmY%wrK5Ju`X=%>%XUQz<x9yA1QgpPpUGieRl=SBd z*_{##R&D?FtgUS8y`Hk!TKDDjLe7VUel2jWwfiUL`{!5EpR=pJikX`{HR{#v)xBBu z?&gwJ3hz#CZ|GfhDgOi8jXy`W2}-Z33-~y9!&1T5p)<2{vL05iTeIr9sC7VSRVwpA zh0Tpg8*U!B5PS8w$u`sQYY*1EYRS%8bxQtQK&bh}BjRtrR^D6db#cGh(>+lySFJKx ze`Iy`YoQlOhgjx6eXWq{^YLVhq+Qm=@=bC9ThsVISN&Pg`&#x|weGXnBBu8~Gajtv z4Blgy*mnI>vLkCu#*y+ZZHH!l2-;T|Y+!QzL2J$bEet<DncqGnp3fetyY|5ev(Tj< z_k5Zk_UBN-hpzt@rvG2_=sH_)VSfAmE2?T$R}Tr=PSxMe8Qf?WC^xCRe9g8^TC-Ok z6AZmSrQ9U^c|pk4_0J3a)>O%zy8gLl&V$B@!FR&b_E%JYxcmO=lmzFs^MY~=1KMP_ zcHLVgDID@Qrg*`8>GiKPj@mM<cb5oPz5D<96#uYes~8VTTwQSGj$XZC!j@IvbsW~~ z$_9tVA3R`e9W>WMenw@r{K8jvZD!a{dzckh!KU5#c-6MRM=a_+a~>_3XgtTLh<kZx zZF%u-r_1syq`u6$-P!5zlH**B<Wt2P@-G)!h`&s<kbk+cz_HSWck0hyFIMle3Rn`C zy<<hs{gC*XXSbBN<){A13GLHA@VWZM(j2`z2ba&6SmrawdA;(Bl{UJcrkt<how8_q zjdXW#e$BomQoA-!)vbB7Awtw!VV}$u#je&*x~ptHaedhIN`m#P+=0k|wH7x|Ev<K* z8XmXb=GmXDqm^&Ie)iqEv*b6+x>at|HZ?w&m32lh?%LjU?JVYBCOlfU>i>z-yeGkP ze)%Y;t@QFvc;Aq}a@xG6Pcw{54fjrxiCue2c=?{@V{TU~=f9q#-Di1uweOsSSy~^% zF1BS`C1-6_I=|NP{l<ryuR59neZsb`{PU--Gv?!?V|^Y)lVrRVHmb~8;r8g<Jr-Fd ziS^DRk4rid*YHJ3EOs`#cIv5Oz(2pcRy!5A&36k$XqopgDMu_z6*;}NC$V)}WW>r; zv#^tEJLhlMV<__O*7`~QuVR*+TOASDsQvWO!^>N;3X?0g@(He4F-=Y&M{xdB&xDz6 z0y^Pp&uzaK`^VjUE_kq3c2UIwXCD626Nh|dH+_s~m$A91DDGdX(V1>F<<MuXQp><K z*QY2Pmg3#GA;dZ7%mo4V?IxB5h0)HFb}UxZyb~&9Xk4>UkwxFM<<X)xgE?0OxR*aM zyrG=5FQoPIrzZ<k>$@%MOFR9iDao*;E6da@5_$OGvPvaaP{9YwyR4yKR$aR_%O`j3 z_e9<~9R&h0T?GL#)1L30x7gy=x@pxS^6C-F@n^F>zRU0AuKc+=GW<!Y+Xbfr(^F1` zx~C2m#FlPx<$nJ}XouiKg$j{}3nW5w%M5y^EN8B4FE=l2X%yly59mr{J7o~^?r!vv zxpQy%B&%KL%YM3ZV*Alpb)Jdt7W~h&iY0XTd*y`7dkj1-POcVTCM>bSnT0uI_h*54 zj??0^xOyJ!ofcSg!Kkf2D(&IqX*VmDrt<B|=s)NhuIs&M_OzuJV#8BJ{+3?MV6xrP zvtaqOz%Q4K+V1XhUM_a+guT0#fwcS9GY9o_iwk2;PqJ8;su?qFzRMZowz^%1llO#Q zQaxEwzQp^IQ+zDz%@>QE`Rufh)$#AFSnKS$=i)+<ds^D+bMrFt+ND3O%|Cdgy>#>3 zg5bKWmdlrB6diBjl$&92G*39KVx3NL-|XxUK9XBY_CH^_$!pJVTmL7|Lu{YK9;#jA zxvk*glZkBN>k4eA%rE?ym>;{t&(z^p9^2jPZx&AVce65kG;h@=ukT%YC;iuL5qbY9 z<?_^;&h2qmSBmF<z7sap=cCzqUE3#%*Veq<u{3zu#@J<D{i4^O9<PeBG7U+SS{=RF zvT)_gSvMUnxbG`_YaZ~$Oj@F9Ca+l4EMBv!8N7VER-an1&?s7cn%Uhi6BewN3o2PT zW!<rDC0R$<Dr4^k?a2S^bd`%qe4F^`aI-GH!o=#yt9pOw<<w7_-}1Szso(9Kdt9ip zUhIuJ?f4tt{=Q0GT=VkQ_u13evYpxxyl=zZODiR3Kjn_reY*PE`X}*gw^oF&jbFuh z@cH^Bt7kI($Ul*^`Bjcq+|9VvR`P$sw{2hXYJE*=^<=A$f2O`&yXw@(*qis3-P`$M z(H>TtZL6!EJ}bMorJ&ua@V%qz#E)xFEz*mdc5l&{@?|@BHXiOhRl^z4u{Tb7w)|JC z(8-?_@+Vp>TVvS2ZOQE7nf4!3PagH)KiKNQfApsZ|KXh;{jHl%Wu163>&L};fpWPY zqkd+F{ukI0w$C@x_h|N0^{J*8k3_pKw6{+(6Ob{J*5I4T%fo(_jhj8hx$x$M%r^~x zPZgaAdzv+S)78wv$ol!4WV)w+{Vt_(P>y-&4vqW2g-v3$oRpudW<1%$&^u@P{E43* zP0sK8wIjUh(|NsUE_1bSD+B%=I}#`Rh;^BB%{-0!oM)BSZ_NwedhJqg=hjcPpAYrR z>^)&UWn#4S(N{K&bJ@H$oOY;O#ycgke--!nrMI^)+bhnK7xr{l2dCLggQIT3X*UuM ziCvq$p|@1+O~Ue3>-Ow6eWdx}_A0M)rDsg8J)CBA_tM*Gvrm<DZ;$?VDtzbXDaZG$ z>wdYlfA^}y#TC0#=Vz%s*?qUjwN&b7-u9TVvv0b#N9e?siS?z=@HvWE4$pE@nA zxEdh$%>MN5ZFkGH_dN}-;Ge`dt76N9L&s-MIOINcLegU&kHP}0B|9ucgMw~|2ON=p zc%5~VM%>Ph#;uORNACuhw23MnJ#=P5QgWV#EPJt2SGT94YG?SulAsrn(d#BGYinC} zY}=|`f2uZdnZG)<cJm3Y@5_#EdK-9`UwfbQp|hr;6*1v?)vmUuqqof1tn%TA1Lr&` zjibiQPrcKbw|-c(?oQ6(5Sg>yFB6#8b4cYKVma(HBWVSv@t(D&3Ea*;8*_Qg64{%5 zZX7+ZtLS~mfuq}>&AG1Flx*cv7}GA{_hLfpWUG|NQ}{k!4r-5?vr=0>)T_h1YECHQ z$Lxv4Sq=qT*46MI<rk`0p~&+0)=Ey-dfr5d1&U&9SslHOZ>P+BW;_2^hJ@a###ZmF zgvXntEOsnn(_6R7QRw;=h1S<z7p5FYF;$RQsZ;&&*KGBzS%-Fu^DeAdqR7*4dc>*R zmoMi_aQduAiED)n_5?C>ufKZWn6_!bhaldVJps)s^Hw`@ozFTPThsgf(CmY&!qks_ zx4SeW;PKvfX&$=^-bY_oiZc%XGxg`&vZv|NqI-0&Uy5p7c+7?4orJLBPnTcYw9gxL zE!&l3*7jQQ;I&;J0wTjt{qHEVIwrkJ>Gq@2s-rv9MQ?ulShLMtQdrR<)n`e;o5i<V zcb{(CC6@oI>G4)6mjb7D4Zl|chc#t46-2e?$XrrnPcJ!fv{csX(Hy>|1*gAnnqedK zK4Hb$M;qqp&-&=urM2Vx?o0h!pDwKsxVmI7w_Ea(wh)sKo72|_J=4GWaBqno*NvEF zy;Bjb=JquKX&U@{n}6mQR9yabz0{5Qp!DX(M{7&!p71{Ub=o@f+fhl)>0f0s6~ymo zzh+$Zw`xVewfC<@SRYGPMAio!a*8}Zbyp^1Wn_KGF|8?IRZ`n(Qf+T1oQU@^ljg9S z%`37#B-Qs*>fNoe6>@jp?0u<xJ!Yow;@PVtpPp83T)gkQa;w7p&npu)3h!Rr&N6+8 zYg>cm`?(2sm(JUKf}?81r&;NoYXYtY$_eRQckWtRbz(yVzpl<jXX)LcrH>rD=G|JH z@?vSKSXK7SUc0Dg2{Wecys_YHy3p&bI<2KvZo(0(Q(3aN^dxPaX1L~(5x4f!e@g$^ zrnB_D5IC49yU1dRGY@;|i9?LCn>NO`%e=X)C|<qPG>5UW`+^>u*M-oi7e6zYf_-Ns z%;F68F;8rhRLe<R#(8^2s)b%x9^0u8=gNO;`{;f#II{KPWe3?WMgih`c}+U^vCTRp zBC2bnH0OXEv+50va>q(7y`(pn91HEsmdgpfx!~OOw(7(NUw&Pk%d*|q=RKFyI9S8H zG$LUE4>#|XLrR$yx$(gdezwot{(|@C_0Kuerqw-)nzW+H*GQ9RV*Aq8l)@UDpy++y zpQ_tz<~@3US<i~@PXb@2uR8So@ye-}5?=j&dxgt(NyNH#9`D<&-K$unqpUyveP=Gs z!8e0fg#9dAn{yCb?e}ZbUnm|-vRx9fq@CyV5}&CF|90@t=G}AcEL+#&H0Hw_%O1(T zt!%C^@r+4`3y$9R>`f-m>e}fGZBHJXT;fsm!BA)C51DC`A|(9mT9<BZjH#HuGo3Z} z(W-C1Hl!r_L~Js&)3KZ(GcCr4)7#UB+gtODhW8`w`;V3#oK@5*s&dcawEN8K8h!1m zan}-09(uSTB{_8GiqDg}ofE@_*bfJBv0r**&7$gAbFb5X)#b)JRr25M6f=4HRnH!D z_ALJBaB0#G{Y=d}+^NS_U&>Zoyy9~Aq_l_AmfYN-naP*uAr*h3-Q<1r`blmDu9qbA zyl3@#PkNTHddbcR(Mw0nkA<~yHFi49P?XfwnQp><TIKA~AW!e4&LvAXSS;y0zfW(9 zNfYZro+zc}ZWZODHJ+Od{4eF4nQFpbtfJd_bJDa!YXZ`8CeD1TxcG<Cn>f$p8_JnA zrmC5IWuCLT=T4e-sBeOro&0X!OB`YCMsGWO@~8BOM5~-Vl;&ByL32r`{*gS6w?{;+ zXGXm<=2kjdrMaoKbkehgwM$etx?Kv|A$#dadt!R~x7klrZG$hx_)Om-;?{2Rk?~{a zq_pIwB|9b5Gc|j7%g#S~eCxB5Szw6vA+xs9#FK|^ZFrJY8sU``8sU|gS}^_I_9N4; zv#s*U;5;+EN943hZ(>wI$45K$eJ-DF&d~cQqcg3>Q)ki^5wRAN!p)TvmIRheH{rgk za@H~2(|eJ(hw$HbJpuEMPT#uROX~3Qgv+1p)a|@K-Ta~OQ)bU}6Y+di-A?mK(+<6! zpk{aEr_ZIFJ(Equm7R}k?|LpcY1*L=6V!G+y7{(=_t@*MYEQSWgOiS3aK5C&Gr>gq zuj*Mxd(YyF;g=@8P|no+!nd_({po#CvL;=6yZwD5k}Y(9>dcvJA}y|R_Moe0aiQm> zNjr2hHDy-wrQLeHX?si0qSO2IGI_SEoIRB5xw+8&l8ns+6LEf3-A?UE(+*vmptetA zU*x490fJT*`711RBsJ68&Z&Nk{rGWGTH>N5H*e@Keg0^{64gSDDKnRxICFVIV2@_A z(^==5^qMJ4Zb~R;@*VSx5}KUVWbM}}Th1+cbot5n79-_-UjL#l{W#@zDW+zU$-T!{ zS=`tSf4&i%#&NGTsp}3e?`;h=mT;y;;WhEHlXQIe+@Bmyk0>%Q)7kk#T!&w+ZEfMf zIFAfY8?LnJor$Zri_A>ivLtebNRFKkPxM}u`OWhtJzKPUiR#D5Q{G(iPP1mP?U`!Q zls?JrqsyfqnF6=gOMG(kl6vo}diE%Xr}ra|4EaTzK73QVSG<2asXwvn$>t4#pKjjJ z%;bCLIjej3q-jTdJ-r{geYlc(@6uB5f-}jFCZ9}qo_O>|MbM>5FVv3uF3k22K79Ll z0Ats~x6Ml;EA%t@?s-bde|MgBR{FTNr*ehh8>5zK2Vzfp6vkhYSm!;fReI7f@r{$7 zJ(#vcwNQPEWPV4LoKctJtHvcaD^xP|=6OU3`K#)-rZ1GOK770-z_fE=(yS$sGqf_z zmU+zT_M9~B$dL)h+PThfm%82iaM9T)sAsZC+r~+0i9JhhO6X?txp_tjDXZ$XGAimG zy&dN7sr*7Ua}V=WlQz3aX$#jcx%omplkXS1K1b(i{^mnM2Ok9Z1TLE0<lJnrvb`nQ zh=<o(tgTg5`tadN&B+g+OuivBE$D_!PET0V^ZLb0KK}IG(8#6R_;k`l{Z`dp{a-4* z>wl@7JtpN@ydmmR%$iACRKmQXxU`*SNi3M=DRuD9g@cvd*Kbamm{eB~vf;>ztqzxT zbf%c>%b0Sh*ueQx%$X@$MABMK4EN7e&SVL8Vt;hDV4as+SLLK*2Jx44bS9WcbE}>` zsN`9^G2+ss2&GI-9p2Pi)|Tg5dLF&mp_9ooUFGZ{SI@`YlAgr{ahHNDq%R#2-E(=8 zTY=V;%>0`>oQ;C!OfhK_o|Km8x8h_+>f^$b&y!{^S-Qb?N$1A0<x2$LOfu=ZJL%X4 z_e(l&rkY4ctDZer=UH6naA}f-#HAyx`;;fS6_|uvxHqB3)hI}Y->*(*W0sB1PaT;_ zChWgebX$uReRb|F^OTaWRXuy~Ktg$bXLi-y=GZM65s#hC3Nx-Z)o#hqn|#<%*N5L* zPp(~A?W2K4XIi1Y&c}QDH$Ls*ywUlf%H3qj?T0gX)*ljzKhmlyoqtFu{!pvxz5^WI znmrtHOEMIfH~kdied4p>-rjE)&1cM5@$K`&8D?cIs_eH9P3rbd)a;B+)NHkN^o&uH z5IEDa!^^-%Cy<BRn}_-Eq}JaLpXBc0@#fprro5{{xJ>n<md?@-HX5px@8sK6n>r6p zd*{o&zBN~*xp}9+<U@*yCl6gLaA9XZG^x8bQL}SzqGs#egK7_AODiOEY?5Y~t5k4C zp83?)rYe2-kkI_;ZK~q_hfk`1w9#4mVbP!7wLZz+KfKekwO3!x4V^n%w&q`y|4++B zi?2TFZ0Tq7`tb1eC1-0zt-L>v_HqjExxDmkt=*rsjZqcLyrV=snc9und<u+$tFDDK z3R`49*`sez)OFeIT*0Xmx-teu((OHW4mv77KYRDY<Qw@<G~bwt>K_daE;)5PUio?Q z#)-i)#zk$9-SQr`OuW4#?+IU?#Ln*7Zu5@lD*Hd&wz5KU-Hb(>S>8!l9*k99z9an! z&-dOthnSVOf4p!)HpaL}yuZh$^SIl*L&CuyqjuzU<*9zSa)NW7q~+0K<@AcgCt`6@ zJA1?3-aXhl@$<qvAvR`uGdDDMtG#*D#Hn6*ujOZqW$-V~?w;FOm%dJ&D;yz}s}wQm zXYNsbWuKZ{xx=mo#%<k6Zam_LzewnDUrySQ<J0@F$UwF2^wB30>r~W^7A!R|+|{HZ z=B|F!eG;c(49nx>9U8}u78suCdbr8JtnKu%PZE0U+DSWN*7Q8|N($u(=UTm3yCX5M zB&bFwO8Btr2D7&Aq*xyD!@nf-xTTYJ<mB``EZU&c*1i6H(vC?tWDZX~H0=e~rVR#G zns&2tdmk#@5IgL;(YCES(Vb^{>s-Sav$CTFmN#S%KTYy4S$Hc#Ah*cC{??PExGkEU zJ1;I1d6wd(|21&NtUdEvT_QQ`wrktkuG^^cLF<z92ct{Qh4z;YUoaJZy77a7k*qYo zk}cmm*Q%I1y<a}eOkdJ|-tM+*2<y@1D^h-(_-=CPaQdQ&a(2?aUkq%zzC=h!gvQqF zkrsYgXkc~eaZ<<<_0EYC=ZUzv%oXL4U(7eRzwq$lvZL-h5+}-aEu1LVrZ`cKyII-R zjLo%5W>1GrnK-l3WzR+ROVnHI0z(rYI){|~$hc;n6<(wBTKMI{7qTxCUx>ckc)|Em z@?!TT#~r_W^mpe4x@J7C4Y~jLLF|;HM{jF*3*|4;(cGiAzfU1!W&WzuA>96Yjf(N^ zvpCr5*Gk^2UB*&%wBTdlhyIItUGoetCA|<loVu`@XL@g*VGQ4{V+A{Zh&+~<{6pgK z)I;s|ZeapP7re;%DEPMa3*XNf-}KtLALa6hJ5PUc?Wlplt&~KEEhpXnXH0IcyQn5! zyV%$BOQ+e=3%_6QU;kt3%`0J354^T8YYLB_zArd^gQ~#-yT1Mf^*Zvd`y=ey_HC>Y zn|I8LPr5c~>GK8QI`g~dZLIP9((%-LVRda!*5V4u=LJ6{Z|R=P`)PU0bXtG^rj0c` z`H!~PwTTzzh|N2`@#YJm<!@woI+KeHE&6mj9Sd_bd3q98KVQAi{@8|(uYM($m|pq3 zd0V`WynS}^PYt{DM?WOkj(GL!J0|`7amRex`GXH4?Cd~Juv>KO(?>&7;l;N!b{#!A zPa^#Bo3NfW8*6HEdY?Y_o@%kSe_^%E>{hwL9J8up8$a?{_MLToZ((M4>Db1l7ryr| z^(?I2b?x)dJ@?lB3J(+$U*9YCe%asI8r%m@+fNe{(dsV${m*qt`-7c@;rDv~EDsdp zKHpO<l-<Un=TgOSZhHD+D}Scri+ow!7yF8+FZSheU*s#s=RP|}rpwIILL#@QP~p~; zBVRLuxyqHZTOY-wIPICfOFUO;hkWj$3W40D3W?lJA2e>IJTBaF^5Eo*$=%i$m#O5r z&koul8mY~bt}L9yd8fxr@J@$WU`&^pVNADK<PNFaO@*qro;)bpa`NcWj9{VLi+wfU zbgU8OPFE7%By>Y8SLudS?xGu_xk)!<b2n{Jzm<}lzvbk?eHoLx=T1z`d|a~R_`#JP z{oQ{j&QtMosS2`)o3|m;CTZ1#1CJu?nq2Gu#2(FGRLgm$CtYQR;CT;2+j$ccqihzp zuKd_zw(N+p)eM>QFOte^l7*rlNe17LS<R*?cFb!+f8Xp!lALD8jDvDI(o-Vj&u1jY z+AQwf`mu*^+7aVj5yIzZ9C=l7OeE~+D#td~jY3b9U!JOPYZCp~BXat&q~x@t#!(Sc z=QEN^Y!){Qe(ce6J8G<EXf)5Tb>YUW3y1zhaDECbudq=*xS_(W%kX0lPx50)-Jb6B zErxdUE*@;Da1$3!p1Qraa$^nOvtyrj&JaF5{m7DteIjXpH-7O8y#HzMso#o^Hs7Bf zcUm%qXMV|vL)EgIE`H^gdh%eN-n8!NR#T2}%X&Th`F<+9+Yx`m`5BT2lXVyGh_1`c z?=akR>DcCiNa^#(PT0SYc<;YOr}dzeT3zo=_tP9ze;0n?+i&ogdHpkmBkT3`Gx(hT zaDCp>))^lXcVUTUU1a5}@2}2O?B6hFnI+dp@6+5-$EH_B9TS&{N_#wEn&pl4se1D= zBZbay)oE>&QmcEj$Ne<Nw#=*xzgwUC<+^k&jXL(+HYzP~^0b>b)}-=n%b3}{HtN{) z=~2hRr%I{S{V)$b{UatmIOE=WX8&yEpfi_^>|`$)abMqh=9sT;aY6Ly7@Mn`ROB)v zx!O&A{*-*2Gfi;Lb)&BCsAC15rz_%Dq?(Ck&TM`>E6?T6hmS4OR1H05r*Wy9ems(| z<ex2hP||n%)c>Mu&6JKt>Lyz(PZc|tJ+rrV*1qsRr#>w*ixWD&MW^+&lv-VGWoYq- zd#6p`Whe*5TsCTZ9hLU5ZQ4g;&(kt@E^SiD%bxM0_gSp2@{OgbBCoghBsNcrys;wH z>|3^0_@A!g&1Q1(yR##?qD_7FyicAzO;ARo{-VMep&P4vJvPRkmMF`Z**Z5W?eT(T z2}k(7uV+5qd1Gy==bMWLg*EP1jeS3^0^Ki@xS#K)#R6wOzE=~vovo%ENtE?^*zxPY ziW%JTmyDX;z1nxV`f@VAZt{+0>tx=a?YG_fF(>BYG4F-j)#lB({Ozg{_unmN-0F3U z4IEC#@LbuXvMY1O3@-kQMokM}adste+FS{lG=q!(vf3$wnA18vmyDzrZ#{ERLf8Az zKC}6nHWv&G@769!W%0lIxHo~b=7QUj9V;_^lXg}6pVrxPZCU2y-f5OE7HLWtF8#Zv zclsfBUg6{AE_;*2zLtBn=e)V9$gW;;;%K4lqheNBuZJsst=N03a#5d}*7F5?hI6hc z+Fie>$X;H0;;6H%*Taog-|ww@&}TJk#t-`oiro68Cyr^$7JYDPkGXSwp~}0L0bJo% zJkGqzU)g`N+WDkgtVx@+Rm$U8e3m;_IqUJg2oUlv(P%yT>P~g=`<JgWlAhVdx9gm_ zswiDta^hf)Y>}aN`y`3gZb?-(uI&<PuP3y6TBRf};<KEwz*+Cu>j0t0B^s?Wvs9K{ z-d);pepzY9`YEUG&*E0PCs2CgkgM#b!sy;3OUj$Aq?687$J_c<1m8>Dl_B!Fq$6=H zUu48$XS1-^6PiP<o+K^hQ{Cv)9u)Df{ZhEurmok=IOqJlm7!qqyY%JZk6zA9*Og>g zt}Dxk{8pCPv(&{x=T1+-jUA#73ks|cJ$&TXqTIP#uutUOOzydl=2&vhI`Ef+{a`Z( z`_aQ3?1vX~w6`7?<dgPSlHv1nw}^SuQ=qa@|Ioxkam5Rb=a{P>6uKe&P~nE`!v!}) zA12(8d$?hP?xDnF_m;y4uW_);Usjg!l<6s0Qg9;Xg_z!q$s2?$WF9J52t8b2A@wl9 zLhRv&0-HmLk6l_0A3Vv?-W~jmX>;MloPvUrIR%9`b37h(-JEdf>ZkX`A#8^KR1DN^ zxftyF^IL1JW}~NJ49n!?9Y3z<v~?%C@Jw&bG>kD@cD%rHhT!3+NohRcLYtFz1kI3* zbre~=X!4`Q7w1UmHSIKv5&4|7L*m)-g2)Jg!>P%MJky&O8pi0g9W78bv}x<s?_Z?d zk(g2vRP!WC=&<VsleX@pNFMRSpCt6SwUc(_tm%39X!3IjJ@M7aJ0?Yl9G-e;)(fsp z2Bub;cBj_%K2(YjKkT~EuB|)KnP+-yuVIXt8L0IobNFeJcgezA64JRv270%STt9Jf znTndr?4TJxzV>VFyY5$WV1ril%?sD=TsZjqe#^tJ_G^DEWV(`K6~J@ZncMkwecZ=n z$!C|ozRWVLnq}~Qx9^PaGHN-AE`RTb9yomU&c!HgGvOOoG*+`nOV@)Yq-Pz-nCfHT zHIeNoTkEL}aSNj&mIvgn30=V#rX3=lEs`aaESklY?3A@FYRVCgRxg8~g+V>RD|in7 z&2p>R8~W!<VTY5d#HxVG$AK$&nnhQ&I&xh-=Fs{op~0#2Vtm+U&NTrmShPj8j%u}@ z+TgM<Dq>wgu1@d@J~8bOaqquc*Nu7?)hyQxX<ErOHEEAi>WoDJx;|km%+xeP*o8$` zb#rbyb-KLUN%h960L^WhA^R4DtPnHP4%t@_vVyNnD@1&^RCjE6sdIP6HQva3iebx* zd(U#d(fgV$q;exP{*Bh(4VsN_vm7?8{nsvfpO<M~1k+!s5G%+3Y?=$r^y96c+HyE- zSo|+t)Sj#HS=rQ`C1$a+54bTu-5U6RZ&&{GjMCCJ`BR#n$~&|&MZ#5jAC~;>*WMR@ zt>*80IbOvZ(f=oR?GF~**K$p<$$8cnjc=J8hwFGZ7C1QP$XpR%KW=*Ds3EWCqZMsS z3S1mN{rwuvt5~sIQRKa8%R}G4-?jf;^FQK#g5AVJ>EMC3OFM&wge?N)V!gEvy0&^1 zdM-4InO5vz=y8rwo<HT{AxX<!y_+|^crZt2mxX?}=bR}=Mf6yt;&aXJ#?{=}z1Hyf zZZlb>8*7|JW|wrF&t&#EbLPjk8S7Oq>~(DB*!5DtHC{I9h470?u8#K^X$zKXy<#zD zlJ)oWPuL?R&=btuwK3~}f!ogfu9seO6K4fI&lX#nm)sRpZV<V0_lzZ1CeK)LC3r@_ ztVzGV{whh$jpnuAFE-oYpptFgQ``Se!fFu#|6PyrtK7K|aCgE1ZC&LZf)X#7bQ)(@ zc@%i_YrcD-c(^pTP9rW$@-VmV<_|Hab8IdfvHNd5b5vN@`_a~EOACBU<+ht%|NQcH zuB_6I)y^W{OFACz<BP0V;A|%MYC^NS)ssgXudp2b$Q2hoHS@@y11g96k2)BgchG#c zO7p(Qb(IUN9sN10q%~aqnNwd}{LtMspG9N7{Isg4EMeDYTO8C<ULKM7ghyNT-W|Q; z&(mru<8<5ps=E1YxN<^snxy67qM6sPFV9@*_uL~i?$M&4^w|bS*Er};m|xI!a(j7( z{MQK&Cd&oYTyt*IYcyOR*|Rp(cWrOdw!LZ>w$yectmO+_b6IiU9)6z<Hb<EEw0(5! zyK<=8eZjh=KYe1oiwoavb+4JEuOaj%FMN*W)T1nB+96H8TvL-4J3al(Q<*NZJ7r?x za-FCfirr?{PA_VHyXi&JY#p_Yp<=-|gwEAAm!FScC^RQGd{4yVVBsCBCULG?k<xWq zYv#jAN&O<vMYIlAwq`wSa@txLxX{XCZ9wdufEAuG;VWwXWQN<=x172y$NutEjQ3WH zx8GhV9<*b9Gehslo|Wx2W&v8ee1hF{OpJV!T=y(>R1}s8I4SZw)adZO4Rd@ygc|ux zUADn)r(O5wNpm@VTb}f@`5k%Euk~+Fium0qvE{1P!r!<j&8_^_&3jbO>BZH3TR)WY zJ}ll8Brc}3=kgWBd#PEM7VlW9$g|z_$RSzYjfKI^GB%e5#LG=pI=@RzI>gR;vR_8p zwB>t~l+(sYXNfe{tiH)UbGJ`#^_rVd_41aFgMY$2DS<QL%UleDR~nxQcqO(>qg49x zlwHj$z3v{(Svv2C%+h&>?l>$yeZgn)jdfXBo)6aY3GNA8G)-;aw92*4EbFD}f<JyX z`gCuL-o1*W(>>0v{kn6DgyVKok#t!_{`Q(1cj9JU*4&Vs?C!i#p8I2tZ~B`nu3~>< z|6aFP(K{#mpla;1=-J*g??*}fv+cL|#3FV=>7Y>Lk_eq{o^B>x{rFk;iXJbO64(>W z-1R)`fOvePa7X!>7}3phS@>92FZQ{W^`oH0b5;jSyQ!e3-6I!YmoDX59VYUHO=?Pq z1ASel^;=j5?7Vj?Y7XPiWp;7=oX1`&9*wX~kyz9&cI?H%-iuN%<|ZxvG9h7!Tu{#i z=eCDc8HqFGZb{q<*b|_Wuuu8ELMF>=)n5OD%*pGPcV=J74mCO`HPvThl&bL>E|UuX zbLn|Yr3BUlFn2x8I<UdVO08{a<1&pc+g6q22AkatoBVRZ0YhOmi@ZZ3>OM0bE}0Ve z-mQS?`jv(UtE2*A0-4)RHy%8~?EC28s#veN`ejqBj>;`Pw_$t9q-tK@oRFvfS8n!Z zIT^S+Ydp*1I9$WK(ZJg|=S;RvU%sPK9Pespme|scMYH(~ORg(&&-Xr@!*TP)!c)7i z7oNEy&?G74WEkr#A(qk6+A5WlJn>bB#lxl7gWEZ(UJAJ8%O<^8>@4={)r8)<rRvOk zzMVL)`9AO7-XQ+RlR4k5PU<n)xts<=*K}f8{XsPq8|fywvZb%SvM#(W<udb=gHp zveTF4CK-mdvX|~T&GGtc{Pqv5aeBe+zf1S8`!Q2&`_oIMJEBgDFIMzsTm0%rV%t@% zo^_9xYM+d=`u?ioG>3BkowdIskM=t)S?H(ZaP&-8{@e9hb*mO9rS?2d+9^|HlGu|} z|8sftv1_fTz1FC#E^yb<-Z({P>ebwpYyRA@p2Qv$DHs>_c*!QEMQe_Er>dst&y5bc z=eclAo>!`CP3l&6Ep7R)r(U&$gtbh+%5wDIr&QC8>lQsa|9P>;{+z_g3+lh+YyCTP z+b5wSb9RDD=Io@D%-M+{nYRzUxU{X;aA_`kviEJhV^g<HmWaRQm*`~3EyCPo<eQL` zIlFxO(%dfhx81jS)_dI+i<`DBcaKJ{bw%8*vX5)F%sq1XQW=;3E%8kU3^wf0o_65z zqE^8@dbz=0G%F>lrf<{zqLq95MdYor3yZhBT~wWE-I=%at;ju*%?XJCf!lsagxpg5 z5p$-=_2bGdXFqJ*lKap(^Y*dZm$o&RFU=Ky-@SX2;f{!Je80rZV|g_5)C{-mn0aW! z8*}Y{K{;LN(aX%HGO=8qwJ-Ezc&h`Gc8y}w(|rO*jp94budY$#_O4Ns?ygm2@2*i4 z=dN9-BKE^c^NfCa4}V$ek?1Qn@f`{4^j!?Eh(DV8iu+^M*Vc-oznu1D)hfpA+$XR; zE?%Q`-P0b!GaAbG&fPPa=FAdX)05Prf5hOH_$Gr>;+qUFi5D3h5-&2mBkq+nLw}0X z{GwwzXLOYB{c8K+#L`@=*yXrSz&^J|k(;?jQTlJK;=OY<isFAyS#LMoCR1RrTc*Hp zxr|3rsO5x1r<TQs)d<!EY+AK%<*nJ3&yJ*9hSeX=c#zGqxiM+!%>&m}@Ba{$cPVMB zn%EuF(!9X(kmE(WLkB1PEj@bPneXF*)NJqUZ5ub_WOQy4*z#}LBk?qErvG(I+8^xi z_!97E`b4?5|5}%lAMWq_a^pw7k}coA&mR5V|8*`+K2k6B((|Ex=PIr)zQB_o^4{9_ zeG&NMK2fggzrm%$ALL!Cbhfxw<(Rlu$!zfG=azcu+2m3c<lz#^>U?}fN`?Ese77o& zf4v_3NB7IUO#E@&qrbIY@a4vj=ap^w{wZF1{HT25Je7ZuOVnHEyN9;6`URf+u<-Tr ziE>T)O12{QonH+8SX@eeC_Yh6dVkLsixquecKiqp5xn(6CbjUxa*gipdi7J2j_j9C z^?Z2$ckWUBt<%<|dnKGTUlhxdz5nBP(fwUTsvC6sdLKB*NFP0DVb<ncm?Oq_eB(_E zq2tdI>Sbnk*B0h@zUfW&PW12DwJ1Vd+0bs!+rDJw8=}YEHX8T!Cc4YaZhc#rV>a#h zMoS6t<Ij?EWTJ(VAKeI&kX^h^;@WYKp8op9k8W_d9orb#(~+DkA$L42F+yf`@4~_y zzP2M9cS^_{pLS${#Ws;&$5v=_ODCxuV?SY6n8UL7@ePftqZ<vs^dxV-px@W4uk-kZ z%$eTgVnYSDm$z<69DbS*%rm|FtYM62PUl0f#MkC^0Uz&9@UGE)eO>G3(R`JiQFlCk zFV~v+V7vXAA8{Vv_SJngUg{U1s`|M0>8e20Xo2%H*i#EVIPYYHFg4A7RM*0!@*%{T zBQKldXfkhN#S%p^xvY*}cBw}X_O<QUv0Tyf&eaJb?^bZPKbmF5CGWXDo8zDy@4_3) z6?uM}9y!FwyRk69Sw`lPfOxy9N~f~aq(gzF4-M`Fxj%HiZpf=>u})E>+7!A0PtnXT zyQA4y>d~V$Z7PL+&OsLI^<=pHuLS;xD0Fn@(942t!V~k$=;*yG_2@xY+m0Qp>;k0M zUpa8l+qB@L6Yr#ob!?h8*&^TPGA;OXY7I+O&EW>lUB!Qdb^RXvH%M&yf44>RU%GJG zk9gl3hyEuWGW+*GUSdPD-ZACkkN0O42>qYSx%|U@X|Jh^W@p~t)BH!?XU2nilf<t7 zCkr0z+5hHO@BEjakA2uLxuW&|d57FT``KPS_-_~>{(pO;+Q)jy46gsnOJeu<9CdyF z@>6T^{>Fq`=1120?p17z6y$5VDahAlDahCMQIL<DSy{&HnX84&nf`(u5dsfAk`h~# zTV0<yEuWF)*EFBKTUmz1TS-R5TUmzZ^cl(28A)alGjhys%t$e_n2}+&V@86Ris9iL zkHlx@b%_QaJiqk!%z4nh-$Z1|j)%W|H#a`WKf~6w-$YvC->sYtAHvg`d*9FG<=dBU zw&O?J%?U^Lr<pDJ;NPR_`;j@B>CcfL#+w@-oIlIfwckKm;@`O$?rmJk+dsrAZ~rK( zT+X0e{&Bn8yd&FtZCdj^%?<y?^ho_TI^_5v-~0L$=RTHwJ(~n-L{k^8=-Fft5h%u8 zFQ2+GP&l=4Lf@txD|$Dn1d6A6E{t{g8T=<&u=9hls&J~}kK`$>k6vqVJ3G7NNd^h> zai8R9=QTg{@IYt5jt$B(o`38PO*|yOFZ$zJpWx7F-(}m(F00k`{;-ms#4+#pQnmA6 zm2?F6oUB{_=yvK#Pn!?FLoRX5OFjB))q<@bdrUk2Y+WjS(NsO{HrJ(T*_msdw%^&V z{VyuvBTp2Q|E7Hk2OqDgs9PuSMDvN(>Q_gj!-}|OF39)O*}UUVsny*%=fu8Gc91t! zcrjO)-=^@w6o>Vf%pA`rt^34!`LO(x*X!=w4-0wH9M@=b>epeG^35xLNDJnzTl0~J zznSS^^BYhHNjU4&&Nq`LyEL6wP0zmh(^HJou8P00aN_$9&Y80x)Nb@}o$UEwuD!>H zZ8N@4`?K*5e+%ckr`ZaRtGirkZYAgJV{0-xs2M3HzuaQ&B+heBP8%xgnRWS__T+wT z=REfF&?DuT$IOXMoclKXSa<1`!;FNT`frN%=?JJrMDcX5o;AmxtA$hT*P%zZ%e|Qr zn_Sn%ZB6@h-EwDQVt_)#8*vvQ?PjKfyOL%-x}|Pj^R3mj<(=%G#HP4?8#He1Ycz1Q zkB^x0Qa!$Ek<ad5J2Wo$+v%O!vc11Mzv3%r%g@exuf7V-Jk-BXDEI1(+mF7o+iCW$ z)s3rvaM`F=_K0C}{b_&gIthhdU0JQy(U%t$%3W}7YOeAq^x&7|d%dt#S@+S-DAqIc zcUju1R-`Nt>F1sDu(L6$VwSMK*6$48RPWSfg6`3Kg7>dUms(w@6T|$~v_fcI<F8rI ze2Qm%Kk+`=$us?O>7(O$@=;8o-W6Btc+}qfee*5YATem&t@8(y)EZa4y|k)zPv|Q} zS99Bx7wg)^s<yCo?cmwFs#b7K1B2?0<OL$nd8RyUYK+<;vL-5M&8@h#jjL4Su71nm zy~?ouR{29IjnKDi6Ru85x_U3~BiqA8a{vB5op{ZmY2W-fxja$-Ri}?^EL>>1Vf`h3 zJ^qy?^V&6kb~-qG|J(D)VYRti@f+WCq1@1!(_(6uN%DK^?qfLOZy<M4$^O2|#(&v& zas>PLvLDs5(G?AeTzj(Qz~*TIdY`I{=S}z8z>sBSE*7B|+b_P<Po;;o_GXaIQ3lZ$ zp)Z$cFHoCvzO_iFZgb~#-B!aZ>%$)UzU0w8@%E>LLZ(QqYVX43OCn$BWt!PNJv6JC zf6}u@dzYww)UscxnSHZ_eGl(@mFY)Y6HZPJ`rFTOZPklrj$<d3j%Ij1d1|H=@qb=K z>%@b<zJ~<<|9g0+#<l-968=sO_{-0KZ9hM^l}^NeSFH;d68?72Pl@5YW`FYf{|O0y zpI_DD;S*C8{911v!S8bAf23#f4)sj2ciyvl*(W`FFmuVy9g3NrF}&CIznEy1;9zli z&p-BUB57`q&UMV-e5>y_^%K*<eb?TH)NIzMkdMA69mMgCMa0nYmShk|8H<Ra=PkJ) zj%}<WhJm-Ff;hIZh!{rRk_qB4`^oQg=D8UgYZLE3i+kyxpS6fI?PPRS*{r=p;0~9@ zqZ7;9MVcfTU1hGGZBXia=;oogLoVQm%kL-;#T`-sN2cemYY}NWUDsME&%vX~BF@}p zaY<9LHKE8s(7noJiNG9wjYWOuTSb~SGrCSWHgm!uaT7+@Dd$g4a4Jw*(DBJqE{LO# zRb-<?q<j!Z8N0~G+QrR^tq)2Z1fSkn>Kvf>;#s&(;5l0-uJ{LRB7x_aowywTHz=L@ z*;oI5n#S|pjIL8Y@15XOV85WFs7@e=Lk^^<--+wsV~`?G55)?p02j;qe3~rh|1Mu} z;#~IvhYy$ZJI?Ik;$m6<x9P;G*$WPcU#oLWc@i7&fV+6V!m8?$fCr1`#0yOQtEeHc z=97GE^fs%;l{*(W8R#wv@Zs0wG4AsIc<8sbo5=Lm*6?s1{ua$P)<Znb-Iqh&FW>q| zm64NYqDj-iNp2UTFG;X@&T4I(l(yJEJ2B)7+oVfRJ7*r{=Bt~T5LWmscG}*;lx;!k zGZtSsy3;6frSO^H7mw!5Sbv36OrzwYg1A;`lPg!O$@!yRa}zq9#CuCu2B}9ZyWo0Q zX3>?(T&<FeKJ8kiO^HQYJ08ibo5bmMVr9kS#~w@l47?V0+huKW65nd%w(@gb#JRo~ ze~zt8Y5KTHM`-?nNt|k@Hmx||sWtP#1fA}ADbu~4ZDxrSo4VayRrt-+)WFi;Aw~!P z#VmX*xV)PAJ1-9xOLLcP$M?3GEWg+fu`qWDA5_zS`sY!OcVW>x;nf><T3CKxnN+lI zrG+K`tI!(}F#_z4xuRww>9bseoHxW>(zxa+bvVj%^9GMgIcuhwu&-7*d(_L*JIVFq zB+tOlzb^0k<>Osy9m6Lc8C)uLy1RSMA`P`Grw@64=KOkT!?i=!ES`%abTWCeRn8t- zQ1EhlNNJvDy3|L7m|t=ablJ-fvtKyG|Df&z!>83-st>jWL@d3_Yu0i}>#d)Gw(DN5 z>ZV9drh5Wq?EM`bZ5zBVX_R?M9p>}gydm~dPR?Y|N{!z2lT~y(Umt99VB&q4v_a<R zE&bl?heaa153?*X?+L7HF})|C=Ph+q)ic>bFH`JXtM~pJ0)B1Y`>zPZwedTcv<M#! zE&SIyKUdfH&w|@Vi!9IR#qF3pVZ}euwT~3Fc%R0eZ+c|v*ja3`wZc`KVXx{pjnrND zSXKl_Yc*D`eUvGx`@Hjf__JsWmwn4KFQ0#2z0Bx->%Wqe?1wy@dlo)%_!#B*fK`>f zTY2{Xzbbq9@2$LWbVZ3pef^pB*VB(qsB&RFa-(L8g%8X9z#<>j&tV~J>ipN`p6Z%z z%c~LhPN%!(tYWvw-;{|9^8?SMO1<v7d~Ktw%EbQvn>-BN#3YZEYRIfp|Fvur^VNp_ zsw@Y?2wsV{6^*S6vl5ac+7D$uWvmzEyDnf?eo3HfqSPUSRn7}_E0<IR^}i30c+q`m zO_H+?$35FvpBEp(MgK3^CmX-s{D0}u`B%3qi%gzvd-CAFZ}->!2-~}3WkkVe)~P$L zzM1}Gk@uajZ%f*i9rN)H-nLe-TxG4~_M>al)}=-~^fHn+$<BUd{W&=Li#^w&=?+p( zhQ>Y~hFc@0oD8C!HJ)`O`b^k1D=W^Swb@qD@6rUX0;A*Bi}pzEOW&vX{cP9%F9B+e zqE8tPo(c+*jH(fhW?FqDMtgl#`NiuUS0gojHblit(r-Qe{bG3cKZi@4*Pd{(M`-C5 zb~}|kiS25S(>s3XZud^bN&D9-KC0na>gHAWtn;F(|DM9e_w1jKmY!R1tXquRTQz#h z>>n%kIRr)Tu+p2NbDnEi`y|z$Da%`g6yi2bRt;6L_KaD0X0_$}qi2PinGUuzI0#F~ zi*TfE`7pKI@j>cg;hk#N9@(`%s0w&^@(zzC%XY6+sk&>4Yug0YXq&3sD_x_{&M|HJ zqbJ9oUt*CG_f`#5Syc0@W2JjQZvVYWp(0W1r|E0e355N8v}o5RIq9Pt1XA8!TzsXk ztGG2O?p%A>g!QZQ7VZ(4IDOru&?iqT^=63s>pn>h*3fr(@^o{=lP5=Odgtq2U9K)L zhm&K^DnEACrr@Pg`C3<g><-F(Cfc;!u~q%E+7f|1dUJpNOLqeeOX{Xx{eI;{SgY5D zwO0h9gl@#~3B38x7bnuToyR9(c16!p9f6$lT`|*G?c_N%YxIPIH27LY+72roJv4Db z(&G$|!UCNoJ1pdaCRqpu1zAYiaCFH!a_j#I29@w03oV3$B+ju3r`?bg(RstgWmd+{ z%3j^n)V<eHv9s1uvGwmgk0_xdn-A&Fb{0C=opw?6*HV^u-cko`Js0oL&g3aqIqP)& zTjE(abI;-ex31TZCNEL_7<eh@hk%_)*YiZ_^#{LCa{J(SN#mZE)Zy75^d#oBs&e}u zp459g@#MkB8=gE0jPQDt7~%D>(Y*ZCf$J=hhI3yX=uURKHaW;*`t(&oGj#6lKDxa^ z)mO;B=A&=m|KwRmBXzb}O5A-@xyS#kX|>$?g5_dQMfkQo7Z&`;%e$}m;>~CK(*i?} z?(Q+(e^sGrvz1GsU%SM)|I_prhG@)wW-Rb=&WWCS6Kjq%H;W%8I)@G#yq2$z{b;;) z@yY5{@5=?nn%V=!y4VB7+J5i<U3s*BZ~hFCN(K4k{|6g5kIgVxUnl&m_+R~l#kmaK zdpi3QV}GojB@lB(pzE>Jp@N^=YhG##>dSNH9k_Kr(^9Zz?!4fG*I!!-*W7wvQ63xP z9CGcm=g)(Ej;#+OJQi9A1^w_44bqX}(yUp+rMV}XUvp3VckR%%JI~#?IkEqo>(X7Z zsmaN+=5N|9qIJ-&^%T2N>!}U#3!^M9Of0WaHT_@lc+t7U-h_oq0(B;swEdivmN;+8 z%^8vxW=UB;i7HqjJbxDZ)~t_7-~4La!Y1uKuBv(L=i}=k*RG@p&$zC+M)1sZlX>}` z$q^cvVq%_B=eIAhjJR|%yxmmkw72!ggFBaeXuY$k;edGY>1L*bT@Ff;b~^ohntw$2 zHARx0xeo7`kd#>CvC&XxM{(Cw$Hv6afDIe0G;Yig5Ru{G=hAayX;pD!YZY;0W!We3 z^1h*h0MD2CaxoqWAs&hnvOyZhT1475Djq$wV?t7LO~G@K<|d}Y4;CaOdIW4RG|{k- z5EF^v;pg(~;o#CN;eX;|<7uv#@N&j6yZVDmXRq3L^u34q(+xWpzi8xk+Py62nSZXV zR<!lU!mrNhrVoqvolDvgHi4z=g~0sZuLX`iKexy@Y~i+FCAr+|UM(`Rxu(c{yX3^N zu(KOK?p)nw@aB>Lx4d<?VzA?<o%>gIW<2gwTQs-7!dv*_!Cc<Ljls^7ek@Vcyc0h8 z8OyFep1vIOR&Z~hxxLZX%4I`fyT-1+o;TTMb>}{qrKfc`Sa#C}$M&2x*JVtPD)S~r ztWy-bw|e!aofp{FWh>pPSkW1g!EA0KC~I>;Kzz2TO6R&+zkD7>rr%$oKkw55K6zXF zl1ZU${gYl5y;s#_onEc46(TLJ5_+e?Ze@U`pGHVqGuPEaI;~mBTb6W5U;itj<r?35 z>Vo^is26Jka!Ytu-<zC$Sybz&N$V+t(1lSm76s(`ObdA*t_+>STM(e@6SBfg&2v@7 zg~T?er@?%!rwpPOM$K3pkb7oo$j1dol3Kksx-2x(30)yJO*2HAStZma^0Mnfp_1Sg zB6mf#ocUX`7A|+%deJ-R=wZ3PqFM)^e~3I0+SSOVe^{Y4D{+I<RzsJdqrwi(qFM(H zTD=To78>;gtPpe43Xxu{5^8g-$YY_9OxTJV)nx&aeOe*h$3<54KAxoYYeg{E)CXIf zmRc+hkUXaq!u?!iRqy9XT2<>#b4`8F<+RjdL4f2ojSy~akyX9X56hm}D(=#H^L3t3 z?Aid$YZ@VKTe+?tvTDsr-oB(OF0bE7^~UM|&2O3^`>utq5Id$35+@#H*|G2aGAGp? z3j;LQX@|6Bb6q_Y+M4yaYe|>f$4ySEJC+1!>S=|vadTZgRNR{Ncyj#TWbf3u<)%s1 z$K}InuCMQs&s&zg;q3l>0#(kzzRS!GpS;#*zxqeu#2<QTVU_+7>)tO|E_O|7MQ>@; zE8Bql1uV09rX+1{jJlDvz-(LQ$_)42yi*eT8-w1M25|r8y>g6ES5)_PN2Aag<A5$t zwo~cGY+1>xrga%sPHPl8V;0b5$#%-%y2H;oCmeFmTn{pA(>DreI?8tHxf$E3=N4?I zo?EeHCGVWpW%z$m<0sJtjX`^i0@~8qvL0@1yj8JeX;R;ZD-N9Vq&1FmGpFvzUmzAI zwW9ZWl-4s5d7dc`dK-gej04&pvt>POX}o2za%mD@(K!dsbJ7||S(%?|yD@JqxZ;o- zb1m?_<%i8|UIoV-H2tJC4r?-R{cz7AchBV@!zf#mfF^b}uZ^c1B*Uauw92w&CAUuN z+L*JfQRs|8K-WvQQwC=pbbZVMq}#WK^px!8nUb))F=&lhK-*ikti<ldTQ@E|TrO%K z5_mCp%|&PK-(M~qbCeZH|E6fWWrG8Mu8zogW--P2de7c(`||C+zt#$tzam;k)m^!G z<V9Dt=E?rwwrJ4`v#kl`$KQw5td=;ptoWsv&DZ-|PAz?X%gQ8a?-JAG$m8;%HS1S& z_HPNj782fl+~Rj>5L1&Qq=hx_hx!Rtg}nIsuvaYeMJhkZX}(%?qxpNr#mG{geSD$J zZI`nW9!`<Ev11t<pWLd(?zOMpsBH}A4SI3UGgL`Le(LT8HL@#wI)&c6dlFsq!-1*W zv?ZadEx_lRK%1x3q2)bNNy*dNEM_cL)O(iYA#~hSrB(RVp@laVvhieJIdCY=bVH#J zuZ&D6v$*>eh0eoS6Am$^u1@%|z^4Akg4I`k7OcJ^yC?LOo}Bhql{oFMBEPix7I@lB zGYq^~Gy4d$r1D?y6nT#YtJ?&0t_yUDN*!Xa;oZ2w%Q<JwH39Z)lOso?cs-Nmwk_EZ z5^EvCe5GMww^YOr9l7r5jlKI`N$~wz(byfAHNl17)MH_~L`b;V_AP0bU%aljaBP^h zip8vCic3@Pzlj?aK3Pt6Qk}6hKr>A{<esNy2zRmQs@}??V;W{Bl@7~9J`pyI++ye| zChIfRRs3>_Vdu+DGY;`+e!ux@y`jOK&?VAGr>cM9x!zcD+NZzZ{LIzsMBd->cu=)t zs~Cr)xvxxD_biJV&1H&W=gPm_U92;yZP_f7_|%sI2SsHU?O5Z?qh502kf-RW^NXum zx%G~Jz7{RU`Rjy|eS7M}he12+if#B~Q`2ql{n0*l&-hfIvhSKdJJS`{mZYonPE1od zdty!5_cxaMwa?Bcg-$%ODWG+o)`Yv4Jg#h*eWh%&?<UJj8M}UGxK=cLxL5t2@sJ?H z!@Y_e?-`j`9y(U|Gu$~*lX~y;52d)jFWBzyjdydak(5-MzjC{m-Mh&LuUqfGbw+n> z!#P&Dmlqx`<hz;{-!AvP^hL3MGcT*yiwlVh`C_NNx{%z-S1a~BvDi2L*^}T)F_#Z4 z`t#)S+HBv@V%^JL_N7zyZC))l<Fe^9gT-4eC~0qSYv;=@eX+@}T`vCBh4j6A%w`#; zMKf0#_V1Mn-W!t4c-%y+C?;7@-9)T7D4BElr#0D)zEZ_ECgsQcdfBeMRD2_ctlsMj zPbcx&?z_D3yre8!jK<v)DHl>#hk5tEmHK>h;l_s9QI(43CSrxL$%fZWrWJf$JBi<H zPvq?zf66Yu=6&@)c<rvImoJ_F^JMF(bB`~bcYU(=yz=b6o%t7EW!Y_>W3$)9Kd1e- z&4!mV+U>O?SyFZ_Jsa+Qz3uIxhfyzEf|RZ(zTEzv<#EB$gqU{{UY~bl8`w?fUCJ7x z73;rMdiv|4Y@2nb-GAJf&>v9iFP0Up+1-)-$ucSLq`S%;vDQ~S-%}PE>7;4zJ-J_W z^VEAU#d(fBow?AYU!LdK^F0f<G%Qd0eO2ItLtd{`<P+r@xl`^eHj`XWBuBc$OgS(3 z*~L!j;Y)w-d5`u)p1-i^daUHP%lq%YtY5jlG=62R@7n2??JvgEEWCE|t^So;TmH5l zKQ>K#>sM3Os((w~wjSR3rJL`lxZd$w@f9*}y_W9}ulZQ^ty)IB>v-$U&h6sfKJgZB zGU^|0+Wfcm__}H0H#@g)6`3%%qWU-Y^qX(>ZPHTpU(I=A6Q9bOqA&Ap`~HHTyB^Ay z{EF|^zZbUsMx)sDxtZ?Xf;VR#+p@nv^6%s=p*OpeR`;cD+c)D}a-`x-?)JxT^<(_D z?+;j+Svhg;>T++!n>+WNG@7wD!2A9;ak=H2O49W2ovY({TJmv6lGS-JZ=GeIi+*!Y zKX}xs>*b#{PhHC%h3c}VsK?#>=cFrpE572)>8Lq-E3AL7o$=oIcEp+Y6V@Dg(|Y*B zH}O~7PG(Kq8&G{(Z1UV4;ogilJAZHAUm$tm-=W3%-yW?^O51<d>vWt&Oium7PqDwb z``<^$r97W`JIJAI-swo*P2zg(x8o~h&KS)Gnf`Lo-J_4YX4(enY@BiP@LT;DzODNM zTDR;u;kRe~(uOxjqHo9VSd&rzaHGxXoNtRN9!<9YnLhX5itN(ox3;g2uU)%p+s19X zHtzcM_0}u%tk;!4*GcUtdbjmfRchRx-}=^nHw#Vvt5uo2ecjpES#hi1Ut4<M0RI|B zzUDWKtAuYd+sN(B=l!tr>%aYPzlf_c_VV&(x|BL6FaG7eb=kJhv$vYs)PMi~;>&v7 z#MrBg78L)@e(C>W-|zk9zr1ZfU0wBT)vilI^Y{L)FZ*S_ZO1pI`KK0s<^3A9(*NGy z{nmeXb1i(!YOlAqY`sa@x=P<%rcf8#{(FDJ=l-i)y)y5`rKPL(J)4^68@uX?SoF^? zyC2xpe2*{xCBAdUwm;iy66d_X_jj{j{T%+R49$oCcGur~>0h+TJ9oNR_50YZzGrVv zdv#aWw$%6S?5n(A&-U_`=7lCd50{p@zbeaTe`4YCFSDkynVOfpjEVg{>()oJ)cscH za<fyC-}>*mDt7zq+VcOt%j-2=!phfXzq_OQ*HQRt!M^(KOM*KC^TV!+?zlP8pj}#) zGq`(8UrS|-_Fir8Lsr*KR(M=JvicdrR8G-?rC(M}Ww~OyTyj^=3b*3e>+5>At}pwS zY4~*dy}#Xl^~>6qdbhT1-xQ)hUB9c~v~PX*+K*pPB$;RBB+NSaf6LCDTDEmrFaKVB zx70fA)b9G5FVBCypciWYHtOr<U2R&XC;ZllJTh1r)N$G+*&{hOw0D;Pt6B(Gp+vmq zk<>YNeq0k=yyau^(|LjSFNEB`a3PenXCm{ieQ}}d->HZi>?$e9;eRo0|DwhBLU*5; zwBwxC`eeHk#_fvoE8Z4aY8QMlmnyMX<~;qe)fbC}&hsZ*@mPGFUDF$4wKb}$=lYEE zEWNBgS0YZR^t$?7i#T(I|Fh1*@As$hhxwijJ$rXnRNjJFx1Qa4m1dgSbLQ@bqbshR zyDw&@P;M=D*h_8NwiEMq#s&1exh8jEb;+%jnL(esW_87{%+>jDHb3QY!M%hSJ&B&< z+u0r$Urb=yXFOv<Et~Mhx8|zFF}}gG{=T|(O)b~ld+R|1@2w9FytgtMde6VR|L60$ z|F*>LyR~-TuARlswb@g@-Pm$CZg$ztU$gCBeEEL6bnmUxPdaX0`16e4`fvVHm$&Ea zPAay`RX(|7+kZvKw!fzCjJW&<qkgBjCxJ&k+jRX@>=&B%^w#2UDLWOmAGmB&R58h2 zumAIj$6<0mW6mvZ(5@6IGVNDd_cZX#R~xS#6SMnog*<Dr*(z~Tx&8g;6HkNWD({?A zo^M?#QefWi^zLckk-s)wl@r`K`HSCvw4A~HiF?gy<@UtNCq_2?Hf~QQo<Cv3B{9`q zaq(x3Bp<n>J!coUEZq5xMdlPME9<f66A!o81f6cP`7~pSyWQl^8VO!<tv+WLchpsi ze9n|pEjhnc{YinIhRy}$ewnIg6TR2le0nig+xAgT-;po>mdsK4@@q*7Q~2i4(9&Sr z+PdIPlYA3%AHU9u4Y?h0eL>lcTHR|8kN11Ko!q0l;g7j$wx6%~j`{z#hA$59u3KGR znejF2arRfc{<U@wI<L0dZ2i@d9=5(McD4VFPo1iJ`LuIhhQ5@~){c|4Kldv3SoGfG zEUUlI%=pSUd5vAlrmOBg8-L9(44ZFoc3Rx@soTD+I+?#~ZH1}uxeZm*XNJ8uJpHOR zExPuA%xZqgq_2Xtxi3FPgzj=Wl|BEene2yF-}W^dUv_LYll{EYSG^`v@^Z|tXuh!U z+P%KkIU6#k_nN)kcyd{-+3k`8Vzc>X=3f@PoNJZV=Bs{Y%abdQjZYuUx@mfPnQYqa zEvIE>f18ng+3s_WRl+RacAX6`J6g?TH=kH0EEZk#%J@LhhNxe<8#CLNn!Vk0X4%yv zS(oju>ngWLnZ<3++<IjD%MNWb+2Whag!O8Z&Sf5O@ZNpp(`Re%n^~Gw7njBE+nU+W zZT7bK-ZJKW8(&T+Hd`y!ZzfxOY?&}$bdi+NiAlj*S?*<PM(ehJzq#dfxY^r{_m|b~ z+xD`7*-W<R!ZKyPn<Z!DX8Tzroy<Iv;O)1V$z3<qo$q+gt*1MDtLJRUoIlx2w&2t< zXTRGeN4RJARc2o1w2vy1GCnft@{R+`>yt0?-OatVwB5J*%a+Xfab~g~?p%6fv84ZP z#?~{NU(TpAn|tAZ{uxVOiS(11K@W_*Z+0GLm|a$xkXiPq@ba?SecN9)M4QPL-Cm~r z?ncR(*x7zNzI&eB{CG~@s@!$U%U@d8XFB{lyXV%ds$b8|eqZJ7{aW+Q^W^8-B4uk= zS1nx_IMwpOWcznt+Mh1l_$>YFm&)x=a^EZESE{d_=Qw|gO}*}}ysumB-$q5Ree%Hn zpQpN4%CC79w$8=vDetFV3t##^<EpXF^yy(Y*V<h^TpXH{`?AB|>z;Dx9`De*t9g54 zgG`Oque$xo_|@c3ZCA}#Xn~qgE2M0#rY~E^J9oC!tILa*J$rRw>%x_mPqT}Yy^CYR zr}jQd)!uL}Np{~E)7@vpZsc9&v(CAdy4<(=&8E!xcg<usoLT1l>}JW4n%RAk370v! zbuCLH^DQIZJ`%gR<#5vMvdE;%a^AVO(%1R2*W_JRJe_Nm)arYD&6bxfq0{D6<!q2y zQ&zY-_tr!1*=0NDR!@moW?NR5a?x&gj#a{T-}W~fUv}&@lihrNnee_}C*}mt@13@0 zMeLnA@0(fT+Yc{`<-4)vxZ~_^mMNDR+jFg+bojc<Y)w&3n7mZJEoa+}4pTAd%~z9z zx77xHV%xOo?v*vgZx&Cw8F5zo>eiRX3#4AHzTCOw;nnb)3s|rG+p=@pzGd5%y-d$~ zv?~3od;OJ{{HK_9mE;C}?{2wTtCTq1bSY={@0P&O;NV=V>h*tDq#V-v`Dfy+Djqjq zYqrH~{>>jFG7VNKOZV)W!M0d$$w8N#iN4N_`<A|+Jzb)`j_vWK`w2F7#uD@8*n|x( zBwPu*o^YjY?U&2uxy!syFW<w(v$3juVc2^kpKrc%qwU<x_I!EFvGm_^T}EXu`$b`5 zAF{-^?TOy>B6ITBn*V_(U#;p*KYi@%($mMxrq*#SnkOwkRVV(n)%E=sf9+hpY+Y>B zzs(1hT{2xK^JK%uFU8hN9q-PPj$65EedX$6-_NgK-h6#C;1_5Aqzf@OeqHKMnc9+C zUVZ(`W9#^7by^!t7S)C%%w70tDKm36uW8lawOf8g&b@U0;#$Kw`hU+~<Cmxlob`6n zjAO6DBXTYFZQQnV<Er9XuZXGVlRrMzO3l<!3VW6v`{d^a(Vsk9YThl2{&n%_vt{eH zZZ&013*jsJv1iNcZR}UCTn!C1FR$A?E%hgx81tq7r=o7`{AW6CTHUi%w#)W?+uHW* zTxb^S{HuEpOyWDbk~OLQQH)rr#<}FgmnSYh-Kux<e&4FK>-8_JE>Y1j<lD=8Y-#_& ziZ6dxqy*2HRnqlhxpVtJ`KdYgqY@e)GL=?*Tj&@2j`PaneGav993~GIIylR5nmpR* zkUWpW<l)QqsODI^%d?l6ag~2%y7KP<d*dz}@fF9p8-MK)$vDu@#QQU3MWjo4<1rqA zj6*tqxvIZhaK5Tlx6MKJ*$dVmJXaDAD9E<)TuVG6AbX7GYT_XS-evKgwc*WtpYNZ& zZuhtF%lmtmR~~k4+*P9;(9g~E)l&aLLq3aCk>3KrbXKWiw*{Qb?_ZXBW8|N|;nmjV zUzkGH+iC_(7iRiup%*a!FB9(##S0VWGWFh2y)ff0lkbhi<~Nep-AlNd{rwf|in!hW z`Ulec?&UM3y*5ah#;2V2%HUZepRnHE@9J~^1xnVe{vqrbU$4W}&b+C>QiHqSdD90= zo$dzZO&pe5-5u*w1dC(DIMwa+ZXQ~q^jFKtR^47NQcvt-bgwDz%j+ros`Sf`MEAZF zTyC!yIaRFi*UYzVzpS<%u~9y;ea@>@%Z_b-W%;`>vpu6q_iuL<>$d5ola)$;?0WM= zH{|S||E>r4Y*pV}ay}$?SM|;3l`U6)=wI>AeayP5>^)QH?6SsHdv`fp6^mVvm9BST zMlzG{i@)(+)ee`lbK?(I{){`B{?6N_aQ~`lw?g-<5c$M)Ub{8qv-8pkQuFqPZ#t#J zxpJlM%CnbGIj;Y(q$t3&Uz`1E!Oy*umMg0|ZoOGtCATkp(=*-cH`UKpeuz6cYrD;Y zua{rksb8PsA0c#kt9NK{?N#YJ)$5;hI?Y_4oA+SdLav!>gR19PA3Ge%vHiaG&m}dT zxvO&XR%K_L+jl?c`cCiXX?c=C7uVX|_NtAab?(dkSF6^k{=WQZ`ip%(cO*?XeB_j) z-efKIN}qKyPmG^Fo@5*OEC2PU_}uUL`_hZ}%zMr~{Z*|hUAUD$FDqnc*x~0}Hzh|^ zoc4Q@^|DlJm&s3E)165h`LyqAOK#j<c5<HERvC-sB?kJTe8t}E&w{4%z5IOY(xs<g zSM7RM;vFBJu`0c;a%S$imFcJ0Q&(;L<!gHG`ahLW<I~zJLvpKhgG?9O=;xmL`fBFC zxRYv{#j9+tZhSp6EN|A8X|}Jwe(m|S;EYLmaQW@k>&;dq&)XZniRs0yr)yVz$zCXK zry(hKS^wLXx}Ohvbgl^bie*hz<K7xDx%IqZB#X?{)>-^1f`vQ2>^AFt`8|tE=F*JA zn!P(?uFN=IF7<iml8p`7QrtV&ZS0U<dcVPU|J$3t=C0P;dUUx}@O|x@ZPQsy{_6bI zOFcck@lyV_z2Dzk{nbv6c|E-*<iV5g|JM8cza|m!sZ~ML`sLn9>lZI;j(>f4!LD0b zU-PHmdUf6UdZ_vJ(zjvPLrx#Lbkrkwdw57^<}ULZg@pF4@>6&?a(5iyn`r$;WasId z-&NmjjPCh<Q~6WF-XPQ1Fs~cQtu3|Fye$%Dwm4t&ut@4|N#5pVkr@5*L(q||6)QZA z_n%)Pv+vh}lnTvYR<Zs0MSR^o=TaA5tkf~En*Y;H+~9q!vvAC}*-_6wZuR^rW3aLz z-&ZST(@H79$v#?Xi#%0*D)y%w$jV!@D&I?<b5&uL)5|qsN?pI#e-wLda4sqKSk#*1 z65U@Vb2l<J>zFP1UaeZ3)tUP*@_N*-Dep{XOTXT|?B~k*we!^XYwh&Czb0>8-R521 zq1Tyey{`-A-dQ;RMC`BbJCBVg{^k*=Jm4^~{fpM!72EGs{PkXb<y!Q*`@dCxPuMm) zH|AyW=54og_Bh0<aa>7W<WQ@|X_8R%^6}wo(ks`;MfclUeYv^%a6_#X&rh#*!QZ74 zpV!7MZ4s2;R-3=#UGJgWz4_uhK5oz5oG!TXiS3mchn0JG+Wfmc>Cv1uJKq1R_KfJd zvaq^tc6t{3?`W&PdzD@<x;8B<`Mw=bd1ltTn7_x)8`W&6Ey~YZqaF71#{H)=4{P+U zoVxp1$bX&WqgM4x4cq@p6<dBSUwd|KrRrZ}xvaC+Pyfmk%?R$Awd%FhYKiZsWvW^? zR)(CNVY6ysz1&qJt^T;w6uG^}I&7-uKCHYlGqLi^e*=^LdkH7>qpO3ucI};HHu?8{ zt<LJ;+;T1+JzEo3zUI1{vyO&^By2q<6PD?-R={N0G<K=rZ026pnspl|q)K(qSh#V9 zs+4!cocvj*57b2bl2q!o)VVU_G*fTond>vo+w`)Yxf*dorq}h%wTLr1y{c!<n4e8{ zusfq&5SYyOx^8N^UbgA8jc&<y@fkV^TaP(~W$Gj?J(d)fp_5p-um4xgwEVXC2cQ2e z+;`Zhcc;$P8OKX{f9hO|IH1(qs&ggc2vhITGuI;y?WwuU<o0~Fn8=LT_9g+3Cm)MR z%RZAl?O07(mQF(3F{f!6I*&SzCGlnJJPg>EsrdSnFE_W$8F?uynF}*cUzhrPW4^uX zkz0Rq1vabo3++3xF#O7siQ2D4+iQ0QFSC!|6PnByZSrhWWU}0BlV_U)lNqH=#5Tnx z3obSlyX>FLS^L?y_~vZ>;KgzBy_R3D&G6Rmt^9IjhChEVYsvM96U(K#e;8g5jtg9T z&%0N3&xv@CtwyKCm%Ht~{nPpCito+GV&-L@dA#yi&Abeq2OEz$$z|$1T6io;E<@*G z-M&n__-C`1x$Uh6sVxsr)+;x8_Aw^e?(&vm7N4sTCq#O|U0ju3Ri88ECmLdZ8koNF zy)Yx{XZD%YImc{{Wt~ayImYxWTPJ18F(tPwowSx?LT%4yi)}KNU*@(qQL0#CmEm+n zsm~H~>|Kxa*>v5U=gxV%XvMAr3v7xcW>}|gmHOJZZ*n@{@p~s_Ul}RH&x%_9eB$}b z|IOR4%$csQ{UkxoRpykk>BlF5hbERsm8+PR9$kBU{p}>F-D2~FWlku!um5}^wO+3B z%_-&ib1OwQDEB*Udm4D;noZZwKi6|h^WUs8i_FnueW_k$HkG-(cYoer-3}#<4O;Fp zVb3~FcgcK=n5S$PU8M3L@8`)_vnkB|U!OYgMm|+Yh_&R1jOJ6iHl=xn{wc>puZ%7P zJgE3>>fd;{v)t?Tyt<$#PJI?Nvz7J4i;q0sE%R~b6lKQgr~Tg*ZIY>&qs4Bw{IuhN znMDGhN-aAq!ugW+X|i)ZzyGs+uGQA2TUBw>(pGMKmL8+YTd{hY`2M`TtFyCb#s7@F zzG|7;y#KqtHcn2ux<35s_3PJ6m)0M9&K|kKGFU9@(UjI%wy6u31w^*oneOV+&+jU@ z`OV>L-;2FmeyLmS_|=yavre+-cxm&;n>hxI+B|A0OAorNF`6;sYHql-X;w<apTyUP zFN>I5*?;Tj*1X=(o5q)1<+ts7ziP^<W7(x!kFH+r^(N;0p>6krf_FXr{!M&~EuVKi z|LS*VADy_l{H^onm-4>4dt$$rHG1aqw?)l7{N(?=UnvWFMQ1E_ZZEVdnYr9~YyY){ z=b2>Lbgm?xP?B}ixt4fFNLEefYSc?3*JQb1(`8`+Qrt7vZJZz})jeaS;nv&NBM!Zh z@s_XGy*%Ua^PR_1`&sM%MDtqJta9H~a($sYzwECsR}vlcW!t`7OLUQ!J@(~lqLcmF zsd}ePpKWwXw$nBh+u)U~I6qV8QRVI8%VA=losv2APfvQiWcq4{|C^?z1oW<`zg=~H z>tQD4f4lu;-MFr#PI0hx;||fSW0f)pTcB9XDplyS!0<ThtOBR}4N-EhE+jADt7Us> zkg$Qzx$T8P(h9!hwwD3A*FPKVo#^-K!o!e#b8oIK30%PE&ibmzbAjA+)>p-@3mC&$ zrHXtP2wrEEDt2DLY5tXM_nd1Bk4wt_nsYVrfTe6(&EmrypRIa!E;!the|q8Pva0;r z_0H|lPv4$NXw+wYwIOanR;G49e=pP5n<^I?&NA`dR0`NCE|77?tWhoJy7Zf(=ytjC zk{89{?ODec9iE_HvLfucRZryV!!4ziGwwyNE`71V`2M*!_pB5G+MAh5EmZ>g4>NtW zRJzbG`|09BH|J&CCP{4$$!T0BiD5r$0&3W^rq5&Tziaj7=HkN*d#!kGE<fC{zC>bk zd^>0Sbm#AHzucP@8~0Ph>WpT7Ivcl-@QTAmjk{{}0=6=Xt~k%t*tSL}<49KHs<(^p zaZKN7^<~C#=lSdZEoWmEdofXwyHsMMM?0tYCxgAF*Ir#njNRvXbL~a{1$=*5UwxYC zV9UmFC4GSdGaIK#$_5AJHV%`t6%N8}FL}#;2DQt*E`71tzCQ6%bn*^4$xGhtf_qCP zF1xpL)}CJYy{szx%aw(PJ3f`AZ8+f4Xyqfi;&f1B)g0j!=gpaTcPd=y$Y+%*4$N;k z?IBy$b7|rEbDy*)9BMGEN;wfB>(+BQ@lcD6PkyhrRmqMy`9WpbcLY})j&0m^M|j2Y z+Qwft0vRWQ8{KS#S4fq8b=whhapCb$*<Wu?sawB&cm3;$37f5Ye#EtNZa=;7f7z|g z=C3a#dRI<1ibz=OAiGa&#p%SxDjC5Q=K~wv<_Kh*@!Y#hFS_)_M&EY3-KQ_lIyAwy zD&@#M8=vZDnX*=MPKKMjp1Hejb#VJ#rcz6-fT=o-t2n(DC|+if+8DCH(70~3>7k{| z`E09R2CNPIr0G+gCU0xBWIdnqua^c7Hy25y*cMOYlTDj$uG!4GPhiDism5JvL{}WQ zYW!8Bd7<NB{qoB*1nZZFUQeBPkFEcx)fda~dDleRbgnBahdoQYWqkbE`FY>YeEiv! zX1jT}gY3KM>n9t(`sA=c&Yt7S^PLXL?>J4Cwa3Ly=d}7VV}<kl&p%U^-p%cklQi5e z(-wLD)k^2~xTl#X6B_R_@mlCz=-ABUYq5O(ndSX_SN|?LJcF@vM%>$OtCEP>_O)eO z_Y17pWy<nulf!~n*92CauWDq=5y&{=)_ClVNX8+)y_tI4r7t$RwA*=?N^A;h7hGK; zv3Zw_TV(moRnDfa&l0O*_g!6h_~)mxw1BhxOr;ho0n?kAzFH^*%wNwcwb6fpVfEKn zyJ{9Y_d7o|kKwB2du{Nfr>^42%OZ*N%)67@Guh;)=lcY|_^_ge=j4LJYd)E!UP+zh zV7u(q^P<WX^G`p{s9YTMqIivrTI65%>C<PXe4QU}XR-W3V%|@aNse0!gjSr6YpjyF z(p@@pv9oF1v(>Y%)nEFrQ(H3EZiD8A&2K;NU3(_cy|VMD;s?)4>);i7oqVBAr7u1@ zwA<Z(Y4BhjpL5>p0NwmjiDKt=&g-}B1n+RgZp+sFeq)W{d|xSUiDernOuuER6qlj% zZ09kdc~2v5wXe%O^Dt{&;Y|r6t@53l@=Sx;^^{9q7{;{Q*_KEcgtRL<mq-{!v>P^; z%rG#ResYU@{I#fcW*JhSXReWzHt<YV6gCwzbWApUY%<MY*_umvzxbRQK~b{aIdyjv zkClwhZBdbhhy5CNy%AV(ylij8bY`nB5&>oB(=Vhy_^+dFa(dU{DW8H<ucU5ruvMEn ze|1GC8;I;>dwn6@!&1lYw&W`3`H4?A?9i24?mYkF(+xX(BiB36=Y6_iN8X)4Grnd1 z&$()%uI=BnyyH)VnQ_XR18tv5mRv~O!WVn&^@YcK_^w*4INXqJ#j|ti;SP1Ho|{V! zx5TFA$1S^%y6;+1|Hst)wq<A1n~pK5W$C2sE9>hxD%Bmia$}3B<+OX@yG@^M(7(Rp z*q2i}n)|i8ZEIKY#fH7Ski3;I^#8?$Qh!goJ>+TYxd`sqpShNJXwRKckNi#Rw@j;+ zxjf^1VK3{POA#LLH+|Gi75r-|w%I?K)A+Q|!_>c>pZ_l|IiPWf>GA1ndahCit_uXG zpSqUmI;&u*j<e*~$c2X|T&&ufbnIE;t<-%dubaL${{HFtdA$$Gjczug8Hf0PW-SeW zvW)NQ-qnX^SU)wWm5X|C=l_KThi`sjOWAN>+ovsQCJ#0^IP-CuB<*()Hk<Z*!;d%L zgJs!Dt|y)-kv*1kG4aqf8=v<-jeoK%3I9-H$9PjTX5#v~GS=(dCQpuk(!TNON!bbq zVYg@cK2@>LUryzXSa5hkt5r|rvcoOsPbYq?eDn0vwrw^_wL#mMwJtR*XX33?yD&qX z$+sfX>|$!j**#A=HS8?su5m8Ser=Gni!V9tMF6jE<&19)vOif&mT_9d)U7m<DsWk# z=*}ut?6iPW{i{~Rmun0C?Pb}%Tu(gWAiK(R1D`P4^uv#e&%b@jnNcee8TYq`Ek`Kh zL|Wsq9N~;ZWqY~g#7kam_GoA9E|u8m({4EbwLxN~+%nq*&;L(fopFu%*Z=UjY&B{Z zCNMJfN@`qaar_^;y6O1B{TCPb>)RSF*v_Z?>xIFx^?bs=rXGIu`K0*M=7f(wpM3t5 zckxqAkxS+5sCoW<A{i$%8r^!tR^0mg;%iW~vn(6W#l#Z<vd4HXCm#A><5PWr|0m0m z%?CEuFl~x_aP9sJlLy-zoZoSnJX+@<{BG*^hDVo=ZmddWmU}r-(Y!>WIJlkj{`A9- zl#ee5g-}sV(7Xm7v5KI$zdfr~Ixfh{6395h&*WRN?)vHH`}mmUUKl)C$d|nCwZX$e zxwP|)pE*6M4=k=>+9YSNV-It>$%Ep?HXZSdBV3JYYjpPCIGR3B|H~=1Jy#Y+6}>Qc z7QFXN%e$u=ew2Jktc(txagOP!>Di0}MvZMb0vTuA8r5<xt$wi4tKDvOsl<m?KILmK z4AR!}3154fc#B!?iSUIPY6<E4e%(AePxZotk4(KY6)v=NR*Bk}y}pp%&Bwg$l|j;M zzT|DM4HDfgb?oBz#m{RHS;cZjV||?)a~hXP${dHQdLauqi@#`9_*_~T#rY~=Ela6{ zVQ9PI<&qf&w)~gnKGfPVM)F6@SzmXJc^ZdF%1VdiYuqM@Za@D<)y%RBUqAg8_X~rB zeSFGdFAUNa@(GLmy?$wKd7-hz@BB|^68$Q5gToS*@ySkmdEsfXY?aRSg||vx8zd~{ za}IlHkhGOAdEbS^LsDy}>J^(lGxSfk`)s<*ELAGF+Njr6=TgL(q+V5>lN-0Bue&<q z@THi?n|5Y)@X5Blx{$ho&-U1>3+Z$Cn2)_SNNM6zR(oZTHi=JIEqiT=<TAtli&CE@ zml|%>x*BnUqt}(^`i!XE**<IUoQ^2`ZR48E7kpZ3`bH-8k{1O*?Rv{gUKB>P+ohLC z6oj=aJ};3djA%D}UOJ<|F?;E0lio_7>od+v^s?40-Z(*7s@r1K#u>>{-WKzcZ&p8+ zD&8?8JErXXn(GS>v&rttxw7!Mn(VKfYl#QMWZQDCE}V6;bVXW`?5deD*-KBCOMTw4 z#Bly;DefJMHcnVA)xBfc#u;;^ynk%!5tX-Cb9u($b(@Z*t~uZ+Yjx(*!qb!gFLUhg zwfb^%$>D~xRy;Qs9qw3b)pK*%;g+}mb?<vkzZ-Sh_FKl8^!3M>zGdn>(e8D9b3NkB zbt!L)3CTA%Z-|+DxjHR<UExjJVuyA<_R<$c9_@1Nr7wzI+OwjU9-d%n)iY!D;Te@y zJ~P&Dy_7orm`z#sne^skOy9C}Qsy61+IK19OkJ;PP3*C*{tU5<Gl_|jkE7%+thuuA zu$JtuHP;p%7q|Knx%hCyRx6&!<%c`;OIL^;weq>Kc<ZIqiN|cjvd^S<9%Gu8rIRxA zm{MA{PTJ06LSauLwq;+Kad>Ua<4x7iHuA~ly}IypC7<oQmlvM1%d**APdvdb>$c}g z;+cLcpC4P^#O@Q>b>~{tyF&M5yK+;p0{>*i@1|lO9g+?CO{aZW(IYCKRjR+b_~Xp5 z{!QPDqvbN^>Sh<R$)0<8;i<H2)tu`K&j-q~)vP`|q1&qGhhMwl^pY6`k=Z*>v-VcP ziv9}`Cp>#y=Uj?7li8~}=j6sO^L8#WY+t?eSnBsPOE>M!W&^Kj>Eg3p_v*s)S$xdv zUK>1V<5NEO+TdBWtlAx|+sVr>Je_r|sQ<Op=bZ~THgHOD?_4lf`B8N5(U>a{hssme z{E+yt?U>BFDW-bKtlwT-NL<es`|ah0$J(;L-ds;SaNUZ>a>3z_W~&~{C5KxMM`_2t z%R2LT-m#i@**Xszk2%R@={%ZvEU7O;C$YS5>Gv~wy_R3jnCk6LKldu2H_a+!@k~D3 zyjK^}Gj5)pd9WeTibrzY;SNEo9?6x5TLM#SZCdr*w@zDq(I+{}<nj!uvnI>jjC)tY zxu+sdvlpL!HOKaTicjQYqp*Zke6q`4UP#@=XPfroLi#d3=CqdvOP2E~&zlnamgktw z)x<-_YoF>RZ=F`%b9u)3ie6TpixDSWdR=`kMVv|LRrNW!@lEYsP||x=2}*jGt*6g^ zGw<bM=jr>bzPwoOJpZ2+&kvt=MdlI-!vIKgB_ez0Y3{X8<@8OTeO`Qw$?obY?w9K} zcF0L}SFV{l{le@0TmLy#KL7RXOa0c~^<kmn%2(9>e@}Z|@a_BB{bnjRnA~%2J>1~C zdhbfeT$Zhw?1tORoXc*N9O0YYcQgGmXMAMQtD<8?8=@2T`&!rJUe;sJxs|-$_xGI5 zFB{s;WIr8Pru^=9$r;<(emk;Ht~{Q3`e0n6wb|Q(+sk6}Zf-gL-Ry1g<z>uyH%d;F z&hFchcG)mI*Xm)H>T<i~I_$q$^=@xDtU9~w=ecFJdbhTm-)<&baB!LPx!Wa2%%`nk znX~1_1Yt4h!aGUHeUUkbF5ch%@1?=reX+sO;a@j1P1ZVQ;q$NdwfsS&V<IzxEl(uo zJyn=qIknm5SvcRP$N)=?gv6%{Cb&**RuT*6>zWyBsgYQ7boC>nrAdxiX%gX<ClYg> zDoi(=+H9j1!uKgM$WkMr`ssoRxl@~!wuSL^-3+qSNGv|O`jO$*B*(10n<17b5|f`Q zOy`~2Y;!G~@6*psMF9tPopMxMtj+GaX043K=4C|zhr~tKcb(tT#k%rlX6aLf_Ry)# zF=1hRpCSS+Po!5qUC?k|o4r+MwT#HdElGOJ%ffp&X9gOoC8ZsmF(XnmSxD{QzOVi9 zT!y8rX8+GUlil|#_VxTJ!nKXL-x%80eq)%f`;DPr{|%#E_FG2A>^F>xtKTvTu71O4 z7=Mdd=vuV#+fC=A8fL9EyTN>AMh1Vu%@qC*5$XINZszlI>`39~_<2rFpzxxcz~{BL z3J;r1FK3-k({(uO@cb<!-~AiRG3RbF+nl?_TyyRQGn3zKW+%U!%u0T@n3Mc&FbnlX z8^7IrJgQ+<Xx~j{nZ8@hF?~0fZTN08*YMqBX5zcW?8JA2S!v(4XPODyueQJ0^s8fT znEd9$uY|Y#e|Nv+*L1h|Io@16uN<ZvesKTqZNK_J%~c0x^tG(KTJC-*=2)r9ndBPx zLp5q8Dmn>C?uVSzN>y}{irfz+xs|KvJlxC|qdi?wR>SA4&0lMwXBXBhC+gK&3q3Pj zpqywIY$YVNVUu#AqPCTg*v37|iH7sbRdf;~+1E`yet}P9*|T*^WjTu@E=@F;epHt8 zbHtU22J>5GIk_V)Ox!TRPL{KK#{G#KW>m^@de6AsnEljv4Rh<toB4k3hh);qRnDY_ zxgWAgD^od>?&f~zO5JkhM8(TiLSlwnnQ!t++-qDhK~T1%OX6nZiWz~j9bOVYtWVdi zdH1$0?<}j{pKU=shkxFWGFyCf2GepacFQ>{WS(65TQ1scGcQE%<nzp@3mVd=Hapd= zkP-Q~rzqe|_bEqCeeF2W>G@h>OVUosYqML{L>WHJnpP9DQ>9;Ss`satk(L?@!k;df zaBpg}(!TXEA7^w=Z5G<Mx+2u(T&Rx6+0N-&?3OX>WS$f)Et+ur>M2LN>ssurG3#YS ziWV0I965W+F)KaRQsZIR(bbQNw<S4decK&tdE%kpQ-$ffr#9QX3+4N?Gu~3;f#cH! z6WXUXE6IhPNj)&L%TV#S)-e~E^%BCxo05DEy}bX=b-BIqQIjRbckbT5seC2$@7?&a zU&YZbjjyLV$*c?GDwO>8n@dsduU6}iFY85wK142^FoAKZlM-7PSEpo%rb^<6_iule z?~GL}&Qg;OdT5g+Cmp5f^7gA%YX#4Gkw?ahf;<kK@KRJ<tkvqWXT_B1Gk#BX5|Rsf z@n3GcmdK)KYucwe$;gFr1+MP!Qp`#X*K}F?TB~(MXzWsft;<%6ED9@`>Xh^jB)^mE z=l7rcoD{Rler*iuh&mqnA|Na6Rh*{F+J{=LD@0?K3T&+dX~>`Ir1UF9!jdU3>_qN~ zr(A-9;aV<7Vpa=GEO=aAf9ECt=8j!f->0scHEY+dbz6ITZGQcfFa6albT8%zfBn5* z)A>c$y^i_s7F--!ynPuHf6Bvxcrm^2DGv+7#q7$xT(2K%d)D@<ZP%8t?cT1*xuv<! z&9kM03s=XKgx)@VtSzKwefKk;J36AiTfdry`u1+M_q=}~`*-pL-9=_+mkIr@yZh_9 z@M)J{Gq=T0e_~)7yE`Ow|G|0j)0ga7866y+`bzp<Qh8*>MDslddoM|u?vJ{=b6@f1 z%OSso*Kr^6J^FI~_PT3EqNTCYtM8{&-;TfQbJO4Y`jx9iU!Sk7=i0XJU+=43=2@?I ze)?R0?PY)0iicazUCorwtol9mQGVaIs@qRws`md)pZhPOjFoNn(!&CqOC+<V$sIm( zDSbyITaUr%2@}&K4NvJLyUk8LB$50r=9k1=_xQ!1U#6EZ{_WL!V!XIpBB8{Px!2EE z?7wH(%j0X0OZ9o%m)V}1u;tC;>(l>F4z!9f^q#&t?PcLvo!WJ?QxEWN=GmD!Ez3H8 zn&I{{Mi2KGZq|F;JM-&|gc7^$y{T+52D>KY_v$@bbn$3SdI{(BBgd>Ww`&y~*O7hq zZ}~NTwO5-C7<ZTcIG7YWFM7>!?(VOWU$)(3TeJDb1btnzq`gOv<!rdo()*}(TBND? z?9Fcq@9Wgc%}71qyqTvmWtw3BS)*s2k!m)kCrcD=rFc163FVqS)tB!27P01VTzBcs z^o?@yk!#M^b@TqXmE_D9k#oecyVo*hBWL^B&XSu+)8wMhUP_-G$(Cb&dV*}4<i^W7 z$z?NB4@E^T+dnh@K}V#O%+$}*Pcj+nTuWSbbk~_p5&bvCUK<}zVty8tbHb*(cSin3 zLt$OB#D$g<^^Tvpl-wBk%g5++!^t#BqoX>?%VwpXG1=@hBX82n8(U83$coMWG`-h% zweGct+})*-$s6U`pUl+Tob@+zV-{=H#w^~fjai~u8?$7yHgYyUkzDX2oq5`f&2Khd z(W#v_GxfmpG|5eObd<wpq@MBG>=U7S`iuC9tMk15oIZwMZa?ue`{&m$8^u;%do8y5 z+G4TQVN1kb8(&OfR*TFzk<#5eBWa^yu&!C+EX#>m^;y%fVZ++9L2vzijbvvpo4xcf zPw>A@8(*HQH;LZbv^`?0&en*ndRrs58f=Z&YP2<CtI5`gt!7(q%*Ym79k%@c#Tg#c zXFk)ZwlS1n`E5-aI-Xe&J?*8w<f`78k*jKF&e`uH_Codh)-zULwna?06MJoVE9vT? zD@j*RT}irn=}OYoTUU~<KDv@5Ty|PkZEF^H_PI^B4$fM7-g`68kBd6acBbJPi*`pI z`(kjqWuDs1KHusyL2pm{{5*YZnX=gGun%IZr!5tGU3e#{)-NLGfM++aW$H%3<+^6i zdXB2iF;x%BO*|X)HsNegZo=81xAA9#a^ueiy^T9-l(sr@)!x-Qm*abM3eM@s?wj~| zy64u0?GZS<f1@K(Y_-^OkoTXt>{?^sy|vlYduyYq_ttt-@2$0_-dn3pPtRaYTlsAr z$dRkh!W?;4?DdADNwH<8t9PBLs`)?v?6v39j<Vg^c4LCIm~`Rwq~v#zIfwrK&+%Du z>(H#tZ#G`jsl7Hc^}zHr$xZikl+9+Oo^jjkb3^6ym+6+=HWMaK_`6Pn|LLF9O$WTY zOMhHUinaTjz4_~lyp49YI%W%&A8q@x=|;yrG3m?4lZ1c$+iEybum4Qsw~Cx;cK&CK z9;}RPvoSv1F*{B2^Bo=GxEU!{W?zj}6=i3in^omvW+uBmqFq?*b<w3HTffLP=QF!` zEs{4X{?;{nH0S8Cn&gd~&(B7d{k)}P%Qs``dB@E>7Aeyd+s_(3>WDlhV|uzJd6Q2) z<JJSLn@fI#or_oFzOx}>tNfOT={rBw&Wr4?6nkxaKZ#i^BIkrtcW*?>M#FAhv&7Dt z=w;WQF0wo=cYo&6^QxP9cH~S`3_oM^XjSB~7~|6|uR)>d?d$1rd|B$UKdH%=rMgQa zvN!6z*17iByZdWo{zgV=9kZ0hN8NHZ-I#G!Z1#qub3CS>KYJ;8XXLLn2B#aQrb!wd z*HI3enR+H`v(FEwX)pE9ib-To<C}l-=joJ2L9y2cmy%-LBG(+x=>961ypi#*u35^Q zqi#LhZ_MBnn{9A$j>mMyw3mh#bZXgVrXEPx%p;jJP0;zQQQC}1H6HVmB{{QFrtwYo z1tmz|PchRX+uc8{)7`AsuY2ur=h0s_TW>U^i%EZKKI&$({l*M&vDqJj>i%nO)eAlo z`E5qlG`q`Zj1qQ5ww*CJ-7zyw()hHFaN233I&0b4byFr!@M`ye`ft)nroXyjz1d=` z^TN7A%U2&=b^B`4)xTLAU$t$GC_NsvW>>0i*xk*as+6|s-93A0aeL&iFD9oO+Mjic zU%FkB;v&Df=VkUZ&c8lJva|JOYfb6%Re!SEaB>!7{>CiU{Eb<WSsSxrvo>ZWW^H6V zt{bN7s~fh~S2s-8Pd99>-`UQP=!nfB))AXSq$4(m{0`sDW0^fI>wDI;tj}4~vfgW* z{xW^?)njLLWb;me!ZYsE-dU0D=XI~8?mSwxW<$jMsbbO_P9-^qMdut57n9z6EJ^s< zsqZ;ivHQ%^Z$6$D`Rk6^=?3dG$)f8z%I{{To~hmJQ;{&~<&7=prnHpg{JJz{e@@P= zyp4R;I@eN{AFX<`DPsOzG3gD*lbpXr<s7l=?)`ZxNqE_8;g_54>DZdhSbE-VGfza? zG{xCxjgq<}kL8%2Zpr;0`Rh!@FV9^}%Dv|jr^IBu;_@-`Zr4nEX>?V`cG=9O=Q%d> z%t)N3XnfWvX<Fp5GiIk-ERVg}*<)taZ|L^i_}UAmW0J8aDi(LoNGLHB?$t}2ba3h6 z3o(m}XQX>XnQ{33;&)s5tu}4tHzR!b|G_D?(|;a2Qw3VH(ds6?;lv9kG3nSNLW{d2 zvr0IZAMxM5<7GHE*BL?M$)4>y|2s-;?%s63s5|tvB3|q(k>a=Hw9V!(GxDd|37<7e zSQy!+V|2RXXqx2aBRay<W~EpO?EUlI?d6BZ`^}%|OLzU6xoLK<>tEe#PmR03N+fKw zW7ai$(7N=TVzcgXH=d0*W-Jt&ZE$8z>U96Jmlp4i{Po4)bi=$f$xEkol&fZ^`p9qg zd7*u}<dn9-9UWP>6QD?E6?<K9DJj-3a?SC~?yr`~8yVAe&7RCT>Q<Ay(eSyh*~2Ew ziF(RsFC|Zj{MBQ2y1_6_(&(Cw^0AqzXDT-P%mBsrm$i{rF(yy-rDx|wtvUQ%>~+!U zB-^~`HRol!d3R)QRQ#@E_GsVHV>TOZw6s5S*;SK1O>X*`OV2k&vdu9#Jz;g4WZ_wz z<aM)C5AjAWi`UiIa9c<A8aRXJioM=&Hz~F(V$E^C?yomfH!^1Hnx*s~b$he*#*A9A z*&n7_PSlH^we)z^<}WuBrZGyNHA<Nr>6T-5dWLS=%nesnE^o}-A8A#Sdn(?{?Czv+ zjhUr05;y7@>t0KqcJ$YoZ8sV$#iWg{Cn-OR%sErj?Hi$>?-2aD=)j!R{^qoo#V2%_ z`DUk{5Zv5jku}Zm_!*;zJ9I9u7ZZulP*?oj#M=D?RFrFVmqz4n)QgW;b6l$XYh?CD z#@9M#PrSQ(Bl0&IO6!;<F1DPg*M0U<a%bc(9n;edFViGH?TmEOF+V*+G;QXFOCUG4 zL|T0@0)-!2<eI|?-KCOA8|9pJucgm8%Eq((#)Nrd(uS9klHDS64rLT8#a7K;>Mp<e z%ggL(jDOD<E!iLGR+Bc(kok;J;)DIu=eaK3oX}|K_S_&$&!m)f+03PfjW(B9=1-Fo zKXd7M^k$wN8PgQ+&rCg{y18d(&NR;Oo}0yz=_PWHd(WjeU1aq!*)_q_P2BM2i=<@| zv4=vMz1tVAc6(lMcEXk%fnDj-_`aXL^t3Uu%ErWdzI&SFhZ{Q1^Jb(T3EtdOnKq5n zUSjj}qPs6_@+9V-_g&2W<K7FWeTKU_Zo7#WAAceAu1jz3T`n7Qt9It3OGeATRiwS# za9k($+pML>?KXe;c}j=*+w9a6*V807p43U^o0WP<G5K4Jne^P_Vv9fD%qn5L-J_SX z`=aX`gIzP`x=r73`oSf`=Nm3s${4EXN*AA-we+y%=8~OCdT)$ZhS@%{wwxK#Ew?!& zTW&LtWa6}}qsh~<4kk~_+WT4F_hzwW)|oZO4Htj5%qwA3@6mg*<f7{wqg^vDyG<`} zD|u<Ian;H_r}~>|(6KJh({rMp&sci6WOK>P#A$NIXD_8si)1@vc6x$knxx@%o#baT zQxDZ7f4gFJ`~^BzYLl27^&E_2te)u@ShcsdElEv2H+jF2SNrm`m!Hn)*wz_%&wrjK z`Qea`bKI=dBmHSBr!l6@yl{7h?R5X%bC2J}&zy7Kb}{#k{1Qd}9=%5kFCMiq+SPJ= zk@tSd$OY{;_Pu$%@QX;b-!m>A6YqA%w3kLVbZpgTFFkL!ndiqT9p`VeQ;%FvlPo@< zBg`kY`T3>OFKnu$=laVp=6;b~qWHH*Z_)mXM{CkbIGK6$WQ+ME=N?XUzO>2kc~7L( z98>S<#c3};_D24iV}81UJ5BP_+DNw;lhZTapD}tk$*@|_z31HH6&HWX81HI$>?U4x z=!KG>RP33!#oiXWyS_;Gv+7CDJuGUNIa7PXAsyMUSxZlAZT=FGJIyYBM(P2n%{-CW z(*$3iF-n^lskX*E?flbK7b|NrOYFLP^%6QSw(6Mf>PU4H|Ge{}s*d@Nk~23GO8BIC zJx?E-6PxyO!)=||Z!?x2XDy7J=sEvlnxw%o9p_`SQjb_{?vc!&#wmQH)IlrOOw#!E z#+xr{wn@Yu2wTj3Gp$7McCTJq`$g3^BC)@Gk1+`!-IgBzJY~|1EoVe$<WA!&K65E` zU1Zf6WAFJt(<C<>&~X-<m3l;Jb5CT>G*0iHo5eG8O5}oj&ZV!q$m(OfYr;!6al^we zlH4R?59u_Y-q)00!grbn<V5jD!83iV_Lz82mp^;yaqwr$+Fi3#9r!o%yv&>?xc7|F zvh9&-HbVC5bG@dY@16PeMRJK<e{U+Ajp42d%iY93o_Uc}XRxc~`6BQA3nLb+ztQ$4 zd)61>$<uZ+9X@j@aYy8?9s}?GiD@s5FJqQahShq1d(S;KUi?`yp@fmSS1)D4MOPlP zT{9HjrW;%V<(Za?mUB!%d1jxfcYAl*%TIG7t7442=buiKEI6v;ylz(N5!1~*JM*S- zn)lo+woEONTi$!_dCx`GIi|ZN6uXIk?7et&j`^+@=SAN8D<d8(yJ%UXuclkfdTqwi z!%>?{BGad3T~C~5_xh|+LUUwWj>+i`-!#e1H+6)!fr^LIFKqIp=bjH+%pH+fqNv@g zmo)X_(KTkfTJ%9FaGLbo!)D+VsJ*%5M*cLt_}NR3Gj9H3nK6yg_Gte7G@~W$k!~gC zr)T(|HG1g&@%^tQmr{2dZkDs|IhVftBI_HYT@&uQiEljqBI%n{?4f=8f2q$s5i|W< ztW^E2h|u865f46{FMU<8@~!`~sTY{iRo)c%9@0BpzfgQ_n&!h6IdRrkpVvAt>v5Po z+2e4PLHELpVkTdU*^5m>&xW#|t6vk`&MiOP+;TDNI`10~+gf7tyly<M=KOtU(gvvp z6`4;nTa?*6EYcRV2(xkKmj4XnDC6dtw&Ab<=Wd><8;%=r{{Aw(-~b0_d(V`DBO08? zd!`i}l34h5)qJjlag7^=$|Teir@s^WR`F|ML+w0IiwEqS?Kaa3j&O4x-!o-{6qo&_ z+wVTl4anVpV7X9Pg_6kI-CrgwvaRyEv3z<9bCtKnlKvLuUtSi==C=s9abLH6FiAj0 zBtj#x-BqY8QYW!rRp?u!*29KWA-<n6hXhZn%4~Kx#Hro7tvyDp=`ho3l{Xur4(Y{v z+(_<islDc9kubN#InBc&sp-P3j$)?Xomv-KvgNn`3%#hcc5QmZ&n4f&3dLl#0!+XB zU9=|7HEKbBi>%!A^NU>HT=LeqmAb*fmW>m#bcQ3uSDLB!g?>OOGpEVI{Jv!~buI)i z;Il27c_O26`A(mdh+Yk$vY%du<fK*BnCS@d{Rldw_*z9~W7r|XY?U?B-aLKX#k1Pe zA!GL}*KqFC6_F)VTacFBJ}Bl~z1!=Mptq{bW|u>p-L2cIYqYk+o?X=4Vsu4kSHK}X zZk0EN@rUd_tH>CHA5tt<kui)uWVl&njzMt7?(_Xl8)msq_q_3NN=vMt*Nw+5Ewz2# z77u2$IQMy3JnCpk=JU3AIN`##hUp-M{9ZR6Hnd!w7JNwVx9XeCfrl7>tH^8$Ka_P^ z_2G=WLVh<?x7a>u6<CvYajsC=4aLN*{8Kj^H{<-BGqvD=7-xIVw1OjQ3rz*jvr2t# zb`YMo^>6MwrO?e8?=QVvurpU*D`2a*uB=Yf%3IxDHy&<jiS^@jUA=dO!&RyH1zBKO z(G|D4xkBE$vab5Jh$*!CqKvQc$}qn7udgip{`;x#xs1l=`-L_f<`*if)JW_v4!UW_ zt|C(qa7eLTMW!&~kfFQEoPv;y-BGff2eZU%)e_qu3zb<aCiYJj`ev#6u;H>0pQZA{ zj&!G8S9N}E6`A{?_d?m$c#%^9n_pBe<T34zo3P>58SjX@%tB$&4xFp+PHee)%<+(5 zxXPMo4}`+93l_e$vu#N*?T(wi;V>`f?mJU999QG~tuuK;l&z;l%FY($Fb|8gr7gl? zoVolj^jKC$mTYa2-8W&wX(`U?HB&d7x8htKy1B*qnzuz#b4#+Bmqp^r3*RRAw<ef& z=S|yiSd4Rb&eRRFTvgv}jy=R^ts=82_>kakl{H}kPRh!4f2R5+$8niFJi6XX?fSEv z?p@n!-eufeG*4iKl;G**r?2V0s^he-QB9m)>vU1?ILoWTkOg+`EK&s#3$n}vGmbbm z9+MHsICR}|R@$Zmo{d%|_1pKHl+^v8GQE>$we6mkSUJxdkGooG<vc7N>}zp;=V|e1 zT}$#i4~vJ}E|g98Z{4=~<kx8dZL?zbPv0PwqWY%L`H<apRha_cLyF<5G8^L#8Fs7A z+2E0}`#31Y#fW>|NNjA0edc{5d0tEHGcSvTz82>+Z;Pa9Ey-zK7Kv>a%H~gZ+Au3- zx%Z94mX_FMUN@4vT58q2EfOZRIIDSCBsH}pAM>_IoO9t@gE&axajzSRJuR_r-Zzq` zwA8wJStQJAasK9Ek+i)fxy;idaruRB6P|+<mV4Yt+}#qp&GSa`>XzDV9u^6kTb#{2 zEs_?uB%5*c_WW>MV0fNo)`u_RsgtvJ6~}(+2JOo<zOuFW`mI;H%p*QMw159)dG3;r z%UfSvU;WD3JoIX5-P(QI+J4Ia%UoVR=W_4d+4nRI&L_w`tGjV)f!O4*<p*Rqt<U`X z&~N>BVH>y2zo|!L<vQ;?Z9HN7Y@dGN{FO@KvfFdl1|Nz|^W6G<hGydYSa}YMgf0=; zPcutSbubF`NoqW7nfLzrI``bz+|uW>c111Q|KH#G@8qD%U%$>bH4Qf{&rWjtw_qJ_ z=_@U>|2_KkAJymn3tXuw7ntzu_o9Lss@&6W{^Z)fvMQXn+)m?trC;Uw-G3b`uLi}w zsoc)v=KE;p7VD$)%7YK_soQD2XLOB8xOH5pe1=xhbZw>YJCuv&?^fd9as8WH0B3pR zt#4r|oZlk!U4Pq5DL9bB*?wkH!4V(M)hj&?abC7R7u&yWUitsWpXNQ^@_i5c&*ocI z_jbjcFOI6dx47SR;kC7!3Mwb9FWC6p_V128&v!nxeIL0lYo*?|J@P!q9#+2d$=mpR z?}QD9Psi|UJYtIPx|2Bj#MQ8XCvwp)?>0w1VVu1rYnAPU7Ta&0H`3R)Fn{x~NZH<U z)i3yvp|$Fq4a)Vlx2o<Pi#cC>yZT<+AIDtV{M>cVcdoU~uU^-@m7_g)Q^C#oAj@O_ zuGsUu@~Lh9G54pT-lZF#`<hF%XYPD>Q2X1Sl|p4RCP{zp+HoLbqIHkZ&eI+ft9?Xv zp68m#t}}hrOQXm`a+6iw7&{$e6jqTjiaR9uSVhJ->=0-2r|XN?KCk^3Sb6^Qt?GN5 zz}EY&dtSNLHvgpiqJjt=?&&jsa*0>pI}~&N^Xck)O)=o`|IB+VG47pD-Nxr<r*3dQ z5BB(R*LNR!PsE<<yz_X?iQ01=HV?Lh_)d2f`nE$UasFu`z8xwLC%jjYDGWShc;Cz7 zq0_&rEnoKp@0|C1`}aMQ!C@{A;(Xilc_-gBC7E?64?V5gcj)N6a^vftn?B7e*ACwr zB3^wjx4Ce~Wb5F7H<jh8ZwmCUGk5Jc5H``8=a0)Hy}vH+3g4LveYaHl)L^_{aMjDA z_*Hw4Gjpyko!7!F=V|d|V~g^>X$5D>IMs7b$AcpMm-$m${fzgOi;KIzE?E0~?YXae z7Hoaq`^Q0qPdVkGk<Io4{9U&B5qa%`)4uOnxO3IgT{k`-lj@n3Tb$0-ZJWQVMK-M_ z;2y{gP7|$lgm#`znpmwPxYIv=BKw{bZ;u$QTgJ2Uo7`rVH^$M27>iY8jKU8IepZn& zjz7f74Gw$T^KWwxf1CH+IWJ#&+t)pzJLidS`?hEKPTidDf(j+>>BoQeh*jVF{(k!R zJuCJ+w>=Z8d-dqN?*|fO-aS7jB3gaVmecyqS=+lBkC^rr+b%vKTh)1I>GBh{RULPh z?>@n7cQ*D$&+a=Dv)&g*AF^X!;>2V(xxk~I)BVfjf-~MPr*PI5+3IJ#uC#wv6?f&{ z=Vj0MvtCzf7u)J*&CV@$j_wXPtq{4QG+>|X(Rt<D-rPB>%Ks+pkCPeS>H3Sm_I8I| zzOXbq_W7z`SzG7iR$JYC)O9y+W{d2!e~Z3++Wv^i`QF4GhZ835<`LR?++pJCd5T3> zP45Y=dig0})!ySj_ls>fud#AM!$cuINyUd94~6<9)gHDieE(!!X!Q2q57!r8UzL40 zdTXyKZ~j&B7vK9%T7Ee@^~OJowfzSzzMPx-e9D*ZxSd_wZL(f#CbrA%e0}-Xirkqe zLhXfio)(%|ts}PcywXH=ohhqcUWz>=H{a{V^W!n)nUz<*1szh<SCRP`bI9=T(qOfk zdHch1|0X&9i<<hS`={G-v%;N1x8=*uno6`=?)-FTQk|i7_{VANiODK&42;WbqvTtB z1$G{;n7F$~c<1qmiNDVXRUY_u=W0f!fSL2Ey~j&9fBQ@>I1s|w?lZCANDAk1pGgIW zj{Mo0^;$f=_;G9WCH->}a}U4VucyE9Z{QWp=0b~^+UK=jimMmR%&qh(jXA`3{NJuW zzqZ&d`{nh7@3+glP2o@E*o&_3|7v(u&d@Gldx-D!#X{dKloID37UHu|c{rh2sLw*- z;f(dFb2ix5uf6r_=(RsvwO)$f?m3s}zV663c2{fOV~OGGZ1yjSubIEP;@gzP2aGwb zZO+EdSJEqRf5Nxj^<9zo6S?~xcb+dh!JOxDwWLxhvH!Txw@Q_V4edgFl?o3#ehc;O zRDamA`)BsmYx?V+uMhRyzay=LFT7ZFoBgq!Nd|n=i=VQp{(Tg*?$J%-4yOB_Hy$Rv z`z2D?C}%%?hjaPF-8EW8{qn_+T_^tDBeL^W#`Ct9J-chPuiksy)KYuS(;^|{w)$P~ z0uOmk_b<~5eDpchzx=6u7qvBiOL1{sz$N`U1FQD*oikVdRXokx9Ju>!Ol6|y{)#!L zb51kQa2G18n6cY#Eq_8@S4^+IQu)kzuf^B$CoJo{lfLQ%^Rg$G?tNl-))-RV|6AzW zPW6WkyM_36DnIPFE!4MD^<m5V&(~M2jraLi`3{r-Jl&of7+$}8OTN*^Kw|5!(^JK# ze(5gUd1jl9oTPSQyCEo*Ritb<A<NczCw0LITegln&y6Rte*qP-QHSJeRo@r~9b!~g zkui!nBzRaw#yI2<r}HO}4PQ>jubxy_XjWdo-MannPQHlX=-W$Qzpk0O+i-V?>Aq`` zqFeo+@Kw9M+w^>H{hgQc(>@$q^(?CFd6V?&`#Eb?=B8b@UMs&k>)}@4u6H%dzouL4 zy0+7Fu5|Y5+1c5zE*&@8%Ia2^F@<mOnV{J0&0i#vr`aXj=lq>BeNt)bv0L*uJf2&B z<)!?Vh3CHJm%Kjpt^C$Jm8&~fwcI+Ff6eTgnc1ebduPtf2>6qplk4BL$Ub-XhUin3 z*Y$LD<MoQ#ecy_2IhXtD+Lf)__APtJYql-EW7n+kxYw5g7N45&Z6TX%+v|Y0C+u?a z7c}Kx3lqN)aOs6oaYTIGtSKuCUrv`QnepfJpNo3&S*lfQF3&h0)yul(V#En?scwn& zbIsG5j$ILZKRvg9%Im1=1}Vwc@vGNnu2`RXN<aF4SMY8>{hHA2&)TY{?fI{7^*8vS z7`KMOtyi*a`}L=<TbGv0>wIf|+4P&+t*)Ewk4sqLYt1wHR9;@ztDyMbVT}*PUK<=r zigk-xb6lhQt7OVX#^fb6TW^@Z&XUkJwfy>O*^1T4cYfF3e0e^4tJJqyv!t_E?-FU> zwX7|#H_J{szUbe%uXATxuYP<h*lO#OtmkVFn{<a}&lHLd4_$wZp>)4_=vC4DgG<F; z7yOz2*1R(6N%q#Sd8MJ(|L@;u+&$^ho_7@%>d%izTA#b$*)RWS&XLZyNBoj@@4NN7 z@Wo5V;wzVJU*%2sYT_StLa^@C?DWYK1XeqEWZa*~;Mu^S`^IU3>;#65?|0t__go-a zw0hO<)vvGpUG{g;;lF?1Z@+f^etEucDCh2D|5m+?pIzZ!z0)hzd8g5?<;z2seN6s- zBZax^`;wUFD>i(Y_be)Vvq+w1wd=YK{!i4tb>BI}IPtcHMiG1aF*WI=^Ss+d4y_X_ ztjKxXR=-dtf4;2wlvPi?MBR@qdfQ&QGD!E)-Y3s$7H0O}F$_Dvb$nKVYW#w4i;`bf zT&_8~qwK5wWY$(;-`F+NZg)?8w=QdKxA&~75Z|hjO)Forg;}U33NJtYZ_(T5rZ&6O z<F?v9tx=b~_tEh0v$?%<w^sYOU!SqAz*G0EQ)}v3gZLcz$P?llUz|H;Q`WE9yY7+C zrr`4al`ZK3R}Y+ew#YCfVrr{e*!{@(Ghex{S?-#l-P5Um>E^N2bx$&uUjMb>*|}f$ zq%RfR6#w{b?$_hXw6}*W+LZnNPwMUcE=!^eZfl!3e>^!&`?>Y5RXe3W?UXKjc`knY z-=qq8D~|KMYuTMM*ME?(zb|2zSrStItV$y)@k85(zbmdruJ{m^+`wt_q0vFqPDDet zfobc8xCLfr99IsyHSYQmu|UpFa7Di_6YmB~>jdHKP3x95>N17?2w9-EjqA!Gv&LID z^a9w$rSx~HUOB4RSam}$K)#w)>cN5kX>2(U-{y$x+>+lU8o@Q4CF{{52VEJF6}^9% zN;mi~(EG-5<#1x-FAJ>;497PyZ`){QopAfW#~jvehXfmES?C9FPiM_aZg-G<BeJ49 zmg(z;=mmD?I86@ReA6Ji<}}|8!veMF#>E9u3)K8LuN?Ahyk(&nz%I{u<>;K-o30#= zY5X-q{Q`sj-D#2=y302)m+|hIv-Ut}h3f*fXWUl~HRUAB-8=hE$?o3iLk#cx*QW0> ze3PeNGVRn|k!w18*4Di^=Jm5bk?Crr#@(Lq{A{f&mv7w4ydHlgMkDZ$@Bc?Tekn%u zo?prR_}}Wc*9|qkehE5r#c%Btuh3ul>q7L}eXseM$Grdle%t>EvDTW2`X;~mc>nBs zyM1lV;R|cKgBq0HUD_?~ebnb|Isb}n|9^7dnasBC>;LrI`^~4Hn7V8C?QU6R_wZe} zwL=W-78agAkbkRA^GB)Z;ZyG4L#%gcO?<qU`D;e2<+LnQUx^>vx7CZu?D~AywEuTS zwCqpAok1I;^e#rmzFQ<(P+V=<BcR6jXWd(KtK(TKcWY0V4?P#IrOd6`#iO?M%d%Dj zD|vpY$?SEvziIcD_dVLY?w!q1FOw`E$pmfJFEW?o3=F>Nmxj*xHhtHnXSe=~?~2-S zA>Lnd)4Et$)lbV7#>?F6OzWJZ_jU8>8&6yk4Ju>at!Mw$=^ozR__tPQIm_bpatX_K zH1el9ChpalaYKPy%<NQCuYbzHgK8VMtMzrw*;X;}_6_Z#t{9hhNvluT?olt2f8T9$ zfW7wXl11mVkA65ZVMD*Vs>}mT#>+oHY-tglH+@6rO`&ZcoaCcDw#>h<t<-tnUgdbb zDQ*`HuN-RbTe7bzYyC%q4;9+tt^LtkoZDl#`K>-gRotl9+uGjz`-gvb<&KIquCj8^ zwtV>T(TBUA!T*ctq$O?cJ0?G~?)tG}eVxAA#jY);N4^_&SA@@am$k6nY{m}8u5<lc zi#`@CnA+X%yf%hOChwus!QT_Z^tg^k@0{^t&D8Ez;k7Y2bMl@(df=liE}kB}vtq}M zQ%8?44bx-XwxwX9&%?`MdR*GkI|HKboH}|adTmV3n#`w<9=r|H)4R5<=;MZU>+7VK zJi8>KC0Wt@f16a6;QKEdf2i-$l$pQw;oh!W_M&{>H^10$v#?~V$)rQ8UZ{meR0_IR z%(?L7TulEr^LL9<Vu~NiU2r}q)%Wqi#+%)Xb_E%JI{LBhZ^NhhCEu(*$xe;#vV0@C ztlj*M44>w!WQo$b63gCxnE$onON#yN6|?@F+Bwm})W`N|&DJ~jLU}m-zMF6Ay0N}G zq<rh*B{6d@YRH|-5|NkBn!+G|vMV!TuUp`a1%W;}S2V=zu4#yHWs0!NXNZW;HtlNH zl{$KSxs>XGu*ikARnq%sJ=}lzb@1-iU9}N+kMz}j+q^hFc&ShK%&^brd~VJXn<g83 zP_Itsc-4>aE4OOz-qp1cG5dP`zstoB8qbey3D;Prr{VRTP1RC9k9XmpV+qUJd2ep% zs;HfiYdO)cbo=u>okv>_{+e%`omH}Vmu>i4EzylB6H51b_Smy+-O<{9XpxwlT3qhK z#Kc5ye(BB86&5q@A3A(gyIIFG_tT1dmnI)S-JCPG=VWMca?#zlwrp{ivba8Pnbo;7 z>g*wzwP}xC*FJl|y7pPpK?U#3jHM+loHvSBI_&va{vh2_Zu0r9(iQmu2e{tznLU>- z%}HoIAv&%5&Jm-D(Gglj(*E6d4hk!ke_V0G)<&sFp1<4XfKH~A&mQHSm(%`EI3cR$ zeKjINJyAMa)rYaGC3elk4ejQtZ<43BFs~7@vYWK-^_eZzJJtWS-Fo$9QRl{f(c0eK zm4<xD^PWbo*sx}O;r$JayfvBut@<okkBS?kcIX61KWBaQAZA;#+O)KVt>&9A9Gjw3 zVqnP~n{(QUfA;1J$G_?D6sR(Lv&qSvaqv3G{?o$Sq`sOpE2-Z>_l?+!-dLv64aT=w zh0muhY)#*M;h1sci-cscT{n&=?tCnk<GuDqjeF@6UE8-)x#C`D+xc_`hg`pDnpLvX z*6w4FxsQk3-OGai7%K|nKO07B%n-e0maT3g=9%=i=Gf|$9rmh02exilU-;QFuzJ_a zjAPy_Qxv8=lD>TO(%Biuqt}!@J9L~oM73Uc+mgO7E+)=}#aVGq8!tFNWQco{-M4Pn z;rH6t?UwDy3<wkH<25Va=V@3FpdJ{rYUTMOfxStOJKR1NMl5Ezp<kj{HLbsD`mC>N zo{8;#MjM0GBX2C7ob%?IC*QXhD&osaCbj36OzO`s4QhCABGh{R{%e)iY^#$;O6R5| zu83E@xx-uCa!0iKPKouCZSE|dTytmjWG0^r9@`q%n?Bwos`koj=8Pqib$qUQmbkr8 zVGk~y)SYQ{^6+1)lgCTuiX^nT74g_Cm@Ja^N=2Cel?pfiOBLz(%(Q!j1*W0_M}mGB zoN#z{JpQ-L+gXi1v0wYo>|3Up@<_M$QNq>*EwRQMf);D65!fZ5$u{qzCzt<g6=DCE zD%}3BRJa;TC$%3h4Qe=Ua@c5NOmN4xtG`Pp9a6SBdE~Iw$wNAGQyweMee%F@?vtct zexE)x_<b^TR%e~DZl*f-X_MAN|4&Z$3yN4Y*+=J^r<mAF73uY_RM@#qYqxI<3GVpT z#X8H0@7@)WMQfAxEt}A7Zqn6$-L$KJx~W$~I=j(rmme$7hO^Cjf4Yr-!t|fVO_wiQ zwB?9u9e+|(R{E-yiIcZHE1h(xWNu1Qo8P7n4St&pgVit2y6&kbcga&OZE4fPSy#Rs zlvtVaC%4%>X#1|@&f4Rz0u#L^hl{)ydbMtELB-eeQl1aB=cYW$o}2PedTz?&=($fG zSkHa(sCw>`hqvZ$4!-r}>+;t@Co8<#gFe=2sJ;)gF<)?5B<@m{+OwtecAwa@A>qNP z`R%0vJ9g(sunRwKFW*pb{sW)cJKv>pXZ7vQ_~vS^oG$i^$K<)8ZSV81@jkhHXZ<Jp zc`PkEDZKRiSJV5i*T0&_fAq+ee$~%wE-bm?+mf|2;#~pL9)k%B*EQZ`TKXksfm#^n zl|!M8w{|E6u*Y*<IV#Td^}|kw+8D8n2JgsMk~=g4TF<j&J=)`-J4bj$?`Edb0=ETv ze%x0MYc~F}(7eE)zUhj2f#U+M$wAxgld_T)Iq2R|50DmSdG#Q!an}y_1#-u@uN=S2 z#QPz%Or)`K;hOvf1+gDY3w;-e?Gs<o`<~_1gXqRxKin3`?Gszk|Cjk}xusH+MvHm& zp@_`Yaa%sDjN7u&Rp08y8u8eiOWksI7rX83u5~k-Wx0BVU4P};`nBrf5%;Q#huW(y z9%HY%c<^`C#iP|#7Z2~Qx_I2W%J6{IS`W@QY<pJ}#OTkmSSGG3bG6&7?}aOS``1O? z&Q%u=JMMk)xFL>bgRl0b#4Y~%T5qm)t9^Un%DtO?*5UoTA~c_$lHD$G!?b7BhROdQ zt$rw6zy53b%0sW2Oh2|c=<d-BI90~-Dq*HWtd97Kc2TCU8-o@whNWsQJ-3>5)rV;g zzGuW%w6?QmJr-=Vst8%2Cnvn3{W$BZ$DEC96-M5gcWb>CaQSmzIbzJT^}`y6TpRTO z_Ve6Vj_Nd4RX8pXapE#LFh^%8Z^b$X(Pv-H|GO$JvYVEY*l_gk8}*R2|64Lv?pY$5 zd34VjanZPo-D=NXyK-}XS=8HFb@AZps*6XJ_P$8$jr;O(TO7}ZFzrjbqL+({-V;1p z!F*`<N_StSjX|mh5A|J{_Wk&}Lobrnh3HIk3tzeVHoH{#j+i%Ro@!_tZm_7lxsXqE zn#y}ulLd`anL;C`u03!hJ2K<pSDh^%lf<kljJacV&N}g*-gM#kCmo&*O3e8SYIV6Y zqfa{tXK%W2=yqgAa;}(F#Pn9ZdncW^O!8Lm50yBW#2>pPQgYdcfL#SAc<xMXouZuX zHM_##u+Et?2GaZ~GnyqOpCwhbRqn7qTobc@>ShM#JN<fRe((g}IClC{>dje+A`a;i zN6$*SJuGSqoH8d-MCN|f|175?hqyl(x_{~Ro!+B&{1{L0jdR_zZXB4{`c>xUj}B#a z`JyL}nEPz@oS)eL-Gb|bo^y#{S%J$!es{I9LYIT0?q=T#o+RkI>wPPHl2~8Gr~R|3 z@w`<2y=yZMb@CV&emb}8)uQQ}3m;rt=DWsb)7~2A@T&(L8?|JFR&*X_+FIbUz^sq! z%E776dbha6?x}H~R#ow|@0fL5qDWRkos@>oj>Z2@74q=xsdT@*!8s{G*qv*(rP0P# z<zI${DaqRIeA^12Bw4%5l@&ZmR(EGC+xSsKC)Lm7NWVqUjl&Z=_sT@xI6krWuZ*R| zfr;JCGL;rbCiWkeVYN7PEICQCcu~Pi4R@~N7Dk10l)2{@raWeG=kqIi@@VyK$xjCF zzm@pw?m6-+FPT-DFU;sslBlv=nDL{>x^7HsJoR&gxi9OPc;_20+AvK}__f5w4}8jI z_fn4>tnS%SF<+2h-r&(=XE!FBX>u*C;g|Iu&c7}ZSkWRV%x|2OD5h*CmvrP{bI*<$ zCp+3Zdw$F~=+W@<rQ?l=DP;?fJgRdOS!S4&q@^sj%pfV*NSRN~@X;eiH;tFcEkbr~ z8aKW94;_58rQ3O?yz-Q<hE9_5%2VDNI?a?*p7PZ2QIeVRl)HvbGi8;hTwTikFv;`Q z(WN5&f?n?>3^&dZ<X$ehv9L{$JzcV}uuqWxx#Y*f#)%B#mzzI)h`M#uxS&(8^>ojS zA9Dn`;|-D?d%L|@lX~Q6sGCjA(T@J$9+n?!wjA>7m&evH-#1$HK~`C9U;2?lrfxYg z=|>J;xM5OQD6TB`F73$i3OA-Zmw){7abemsF;B~&Ab(fD0)s|D;qQh?iAu_5Wt(To zu1P;~l+Dd1C*{cT<2@`tp7b4)Kjjp3dM59llHEr{<M!?S=uv5G{$ZAm#vVOxwR;m- zx${po_3qsCAYrD?jtD(&xv&#W{ZlsyBuuqXR*MtlZrT1br7<Wea>14eF%8pctX}=8 zjX^1q3rr%#G(xAbdgZ4m9{ByJCt`-a*n-2q1S10~BE)>oOk(BcKiSmFo_g@0PvipO zKrx#$6IkViQxp&UebjR!LP2c7<oa1U8a`85g@aQL9!iPaVCX3(<1>X-{QJqK_LrL; zJPwRpAmBGgke&M|lZcy*9ygc&iKb5V)PskDHzhpIj4b%jqqCzTOw7hco!f5S^j3z= zM<okCu8L?#nk1g$_|P@dz|dVxM@RJbw+$Xo?8Lfl4otc&{oN;f&%f>Sf~8y^etGL# ze)-f#+c2G9!Ns%You69#vq&;MXl3xeE1jEH9(r=QvY7qc(uDMN%jc|r)LnRXX2izj zCCd9`u9p}$2mD|;K6Og8<^9|THCpY;O9~XPPUI?mlC;WKD%xGO-CL&3dG{Tj)ynSW z+xiVZRElZUOl(!#cgBf3eA9(vS9O-in6ehnUF;^hdWT)#!2-2?`}S(~tSpm|_!Z2h zap&vuWsx2Ktju?Qe0f>Q@I%t)mNt(>K6kZeMJb7L?q+e1P8>Wfv$LXAng6rpr;QDZ z8Mu!xJ-*nWwYR<PhnBW`T(^bM#u>`o<;5wFKR>fhS1kI#>aMr%$%(_FeKj#pH4cRL zo_}(*W0I?xT$kIvw5J+;Kiiar?-!*!wC>BPIX|&ETW05ua}(PY%|44Q+qgCLah;ot zPx_IgJZ?5N2RL#M^swBR6?a2TZ~1QL&0BP1JJd3*mDS1&k`mRG&B_cPC73JgeKUBJ zsIP4I%}^+zzDhvs*z#D7Ggb#W4mrB{$Rr&(l<1aIbE>0xanBBmV;${}dw%@rnaH4i zsd+=8|E*S~#glt9<|G|ClIf;1C-KO!NH>`|$w!V>y4l1e969dl#<T{MN%v-obEU;4 zw`@{$)8I{N`6Ta_qm$ZVB<H4aHL;~g#!X{qV#}skUld||Jaz~C**HtkD?wu6nN~rs zNQs3pt%B^{5)0q72zq%-EUak}^m4h>{GlNJ)=}dRjLKZ{MoEv9-E`KZA34V6CX<tL z<mmC9iXSb4^0Os4H(1(o$O~WA+t;Yuqaow@K4D)cyX3-<PC@C-5``ZOl=b8ij~rgy z^P{3?B16Br{6%ih2G5sz>}>Nq#JNP~r5rgD+!IkTOHlf}!J`L}ZZS5;JKAUV{Frgp zqe1+bsq5a}=NvqDREt_q_x=x0=#rjc<R_xBdoru=<&=Ym{%%T0-lbzPLy>#R`%_Kr z&r=T`4~yj3;5$c9`0-Jul6`s8SzBc{B_u7^iMXN4ZB}-=so8eZgQWF36*ttm?Y^CM zYG8iU6S2cx$D#eJV`PAZ%C(foaTBd)C=_`;bA6Y%=tQlLP-Vl(n|;SD@0g#xnWl2Z zXu;vfvnK7n6>Q43Z?CPl=sw{Uo$pz*9!7Pwc_#mmJ?$U7|FJ=)&8)2XlaBQjtz^F* zJTp*ts?9X6TZ>CRSh#b|UpkB9@<HL1J*%ei&3j@nrziO3rmIGN<|kfw?VF>0r^C2c zE&Irko5!xMP&^f<dGzqk!ml>gM>FNlE!XPYmtu8g$I07&1cY-ll)`uX?)xR`Tlnjz znO><^zzsESwQZ-GTK!WG9utc!_|T}c<Aw@%$(vJ6{r#zm2aX-%?Z5IkPD1}2M{b7n zky#ne(fZsXsVACR`BM)bON(4E$4N|M>jc(Q1}Tj~ypaoPoaYGMx^P62b2I<OWd@uR zSx>p9GzJMpZYXpS({P-~dMY@j@seodf;FyU8kK_SEoNySue(TZK9QL$wzEAp>91W` zm(78`7t^8_I=JcQvh1Dv^pEdeW%qAV5y>aGTt7%1J(AU%lsHvz-|eZPi+a^-3Ufco zx0MD&80~59bUkbxQSq1mVf$PYzNH2JxBu;aXsdB0<6&Y_G&ldLANAsPm*O-`o`lFR z`xm!f%SY19)UZ<G>Y*j~+q*Y;F3pqNdz4FW<(dUf4;LxSSXkgFuqMD_{h|DxrLFgN zFsn#MWb!Q6ULz4^zOg3a?jfVc^0(_^-f8u|d8;S7d)3mSe8Ks=Mc0}iH~+u#EjTGQ zEI-_R--WvFi?^@d3|t`ac;Q09O-l^C)n`_iD6gz)?SHA#dc1T}r+S-P0E3X%UfG@N z?q%t_N{d9)$nL8-y5f-eLvf#^TUT#N9=x|MX{-4ksm~AkZ~ar7w2Nns4^L}w@2XvA z0w0!ydE2u2@h@7dyUb)Q+cVzY3^uOfcDD;*ml*bL)mN3W5Uw*&J1lzc+VWk7>4`ob z;yl7qhy9lsU)j}FG0A&X;H!CSLiE30xzI7+ZThSwPMIO$eYXx>PHeBA)Mj$DqAcUc z`B%aLkC&dCSfSRvx@GytRV$q<W`wVOv`YR->53JvW=6_{{XKA@WB!)E2FFBiz2Z5O z;F4H1tL1(`g3H5+6aK7lJ9M|{(bIz_3nMIjS1fuj8Ms5NPD@?M{Ml#QpGCR47Z(=z zE!LQmud{YiBX{S*f<=XYy|?~ca`f6o(`CZNlYXx7erV#$JN@7N<sW@#Oe*D5&;N9x zWB&HPJ&*4>X<m;zu+%Xw@T;1S*EN5i(8bRBpUfV;Hk(-P(JH%3|7Yv9%o__|KQ#Yp zvG(h8qu2dhzl-yW6umz_t#1@;y_xA0{<hLhWS+FtNB*tck*i|=eUu5TI42NVD(=qZ zeDX$>)uhw^E0a%qtT-gjDLeN^on}C*cJEY2_q$h*1pLr4(W)#?shiT+yR>cL21P@k zH9kDt@>hFmHXc6kZeH8M4d#YEZ+v)8$tE4{y;W(xPQgUvo+pp+YoWu3Zp{+hy7}F* zwuKwa41IJwc(^|+FJ7>y>|UQ%2T#{K;loFscP2h8I@@upa#5J$m2;Cj6CbTSvf)EX z%9O{e^j$?=+B&;C6CXOgJf2%GExosdZ~pqsz^BI9p)OO;d+=}<=R4izPhPw|BT#0$ zX^{5EP%G(BAxW-up~FXB9@$W^D$QlFm5^_JiiV6IkMM7y!-rZ{JUsD2SL(`#c{?8d z*fh5%yGQ?a=MjUA+J-tZ>m>sZ=U><Akcyiup(du**1ELt@IpcJ8m*xGKKB}nRfa|) ze5!4o&7Fx49nN-0?Gx(NHWFE<#@5=^nV48~r6A=9Z@rYcOn*guOtQ@*(ayvq|D=c; zvBrzq=C2PGFx_Ke=%eGw!~Obk+hoD_yI;P)RcD|!{q^3RtLGeFwqdgQ^=lt5ZTNR1 zAYz(?$c)z4+GZI`6TH@jT$y<M@UtZ6hZ~P9*q~{svq!W{#jL8k%W9KqP`3B)(<`># zy0mYSgxESaU-N0-%1w2%s>;@Ot$5klnV1xn6fr}}RCfL6u0?mVi<ewm_iO8lW4A*8 z+Zp=U$nb71yPAHrXT`(UosPFN*KS+!u)8xUvGPj6<<NMs-M0b{UrxGyAz;QV2{kp< zw$}W|dN%_P&%dJ8AysE-=(A^%gxI`pX+GXrCQ=`l&91xf?fTS>|I4-iz534>;LXe; z!oa}5!C)R66(ud2w(l1M1H&>#1_nL`PKLzNl+65qqWomN%)I2H&=6Jz=2!3crRPrY z%|EQb)As(i=sy2W1A&gJ+%VngOHXYoZVj5YP;iFE%VmFmO$>?L${+pX!!O4D%ZwKn z9$pv}C|hPHlXaclHcNc!-N^rS*BFaEHRqHVoSNk?+FL%mm+No0Wwrw+i;LBPpr5l> zcira_-H<=~Rp#xhXPFcHBm<gK-CWv?|Nbv!^EGHLJY^Skb$`<tZ#|ZvIHS3OR=*<} ztMmKCIf~m<SeL6fa<I->BsJ+}P1y7c+n*S*a<7=%IoH4Ye(fI3avzE5E*mBp>`dtR zusK%jM4;H5WoFBC7hF1@wQ1wm;42H~#+WQ-`;>j_muz_5{5x?!pY(OjT$WR5{?R-h z7F-PA;A(!{Ehi|(zyQL$D8W^fk_Znijjj5B6C&bmH!yI0VlW8UAawOrO~9{1^DlKX ztF^E%YhL_sfpoHljpiKj_?~uNSLM`A@%wH){m*^TNKi5G<Jkm@?x27pw(p8g-(7N| zKGglc#zwuYRf{~;8*NH#UQCvG!E}S^4O719YsabiHg1hiEapAw;19j`asJ$sYd&gE z{r&zUi}#HsA(F?1Q>Sa)U^&dMzw-?5yNT7Qhn707j@+j^&1q`l>Ex-=A0A~kzt`fA zw~0KRx@TGBis076|MzBBdaQc9^X&QNz{-AwYxCCS$@o56VwSE`_-d`>G09@qxvN^9 z8=PJ(9A|TL1|Q$a%_@n8hjq$1?LR-O)}6kvnDdL-=9n#K_0LEx_S@8a-RN}cCeL~D zp2enfy-hdU91FA5`#kSp^&j2MF_V|&)T#8Q{j8gOo{OWtOt-!A<B`bIo;TCpswe3s zuQZQr(|w;fE&jvZt$&VeU9e*Z$KJ4=FOCL_T{b)}*nXd5l2pD?`qcCTLQ$HPmC==K z>ko#X`Sc;#%6jt8=8qC-KTmh7U*MiPB}@0b{N+T?n=?xcC*CwEjCghBboPv=QhO?t zY}0h@_f}}_P1BY4cafiV!RsXZ<CJX1oh?Q`&PDkZJv3T%z39)Qm(}ZvJ{}Ezx`Q?Q zr;EC-mY%li!|dyy{xmU7laSY%J=13Uw;9$`OEZrBh_+-~#O5k=Cv92M!Hmt*W=HtA z#lKK4V%)cP+UzpVQ{JafmCtypCx7{4&!dyVS(BfdpZO%Q<h)B-$i3LKpK_(!n$B%& zh;f?N>nn99qS`0K%>ARvzoR<S9UV_{tzh|5X!tWMASl4+eC+8!<&LlAw-i?W@!9;R z=A+1E&)T#zZZAciRbETB@AsUy>+{DG8l_#EJq@~_ADbhjzSTD3z2V}D6PsL)D4u^_ z<uswi^-Z7L<Qo0WHT6E}t|6Z|YgJX}Pv|^(JouG>OX{aDEw{eRIAxeE^O(_GqbjK) zM}7C#X-X24lWmMs<ceA6O`bgA_@r%~N&Ie8)%(r-`Ptt`p7zd95?Rr7yr<}vN^j;N z$rTS*&1a8%)-35Ply5)7@XCjJ;qy~eW(df{)-2JSeS@X#jDkS?11|5gL1`aZJS#+* zxvzI5fA9!?s`hZ};!GLk;64p`;fu??r|{od;3<9XqpDH+haXYap-0-KHYda$vfUTf zA6PkgdB$N&xrXD0mF*Gf6I;q_!uEEYojgma<Lk=S!^}UT{&M6AE#AO)QpC9Y$NnFM zJKDHYJAGzq#vW*S;PI${NiXW~f#RvB-))sXxAMoi-8bHv-FuYwwMg{#53cI1w=&Il z%N~!so%>D4yG*U}Ue@%-tL}bat8=mPJ)d?xZui5;?nlo>@(Ngv2A+Gc)9<m-nY<k@ zGksNaH(%b?b9z(uUcTEqzB(|?&^!FBV%dxMHEDOZ6|x$gzqUFzrtwdsw8+cwwY#IY z6|&B|mhkPjU0L(~$hN{;$Nc@X-@P^A-#qp5o*v_wD|Tmwp3b^!p}Sl9{RZE|X1uq5 zaPbCxytge;YWqjA{Db+j;Tv-wKfElp{;|!ESGl+En#DB+Y@L-_c6$e7WVq?tH0k({ z2FWXqOMW?0Uiw;V`^Sm*m)1y4wA(HIc|&i%f3{Bf`>)%2tv_sWJFNG-Qes=7b(`Ar zjk|8X&YiQEAw-F(b<ed}zQ<<g9%cGY3q2cm>0C<R$GOu>%Whk+`)3>IT+Uh^snGQF z$J&?=kxva~=f?aI>M`xAoMa=_A3yEpw~f7zP4XV|O?b#~zp`}mtz*JJI6lm5FWi3n z2V=eauRG~4g?o>!k8MjVe{7gPE28_6dwa^iFq?0i<6oN3zBwz`=IhtT*<ZtK);d1W z-hT5<-XFWQEAOkn`jnb(<o;pq_0q3-HBHM+uhqr>J0UDpaAeW*!*Wjq&sa~cWUGC! zUH7#~{$u@l*AniRn@h@l_*!;#xAb=Z>@|C%pQow^Uo+0={Z{+ad+m(pGd{iO+2ma@ z$I*I!YWeMV$97Mi*8ie=Vp7rGaNBiR()tGu^(^eppR78scABxq$=lJ^{<n89`e%RI zetwf$ed*+d$5l@6^`B(XEcRjc)phCS_kLb*|FW^i$IRwQYc`AX5^oW~{DA2y1vZQy zC&=lozA2#mfo*$cQR0lR8dLLGrgT+oJ-qemxw}R?HhQ)n(w5%wwoK<VxBuY{y0<s* zt)6)-b7p($%xR@r)9#)*ylHmgox3JHm)~7mc|A$kX5)l&f>)=sRV+Pxv}w~>BiG0v zH=QX#!f_W1GJPgJm~ko9a#4Vne?{ow&_k;w^(Kp*VmiEc(!)bW=a;y)ZaQ$Ig7xrL z&z?of9$Lr6x0Y?oIlQaq^qaR8pH+kp+50_^;8~P1GtlBh{?=eispa?7W;PviJYh6@ zLq}J|>>DoAR7FZxHT?KmK4s&b*a$D59qk^|6y*{_@+93qJZ-7BiG0SpETGr9AcgUx zR=f6Yvm>)Dmhmj~6P*}sZFcl<=$`WRJ{<2aR4iZMH<j=I^G$wFbMC2>bG*Niw>(Nn zsXl*sk;y%kc((~>G~y*Uu{@Z*_MS?-%Y~yeoSp`9x;Hu(_!RE&XzAARm6Q#*67Sop zRKC}+_0$v{UrCuPCF7NjGx^&s*)C@Yv|63@pDtUlLT~G_`97A@4)Au#%Xu!C>B_gZ zro!i0pi8TC9P7t4vrM-MEcUU>Huyc9#&O+mYE+x*7r~t$u5=wUN<G!G`bX4XHSe?o zg54RXIsgB-a7Iz^-T~GF9mUPnTR!uY*?){(yR~X=&XL)Qa#KG{n5%X7^y@80|13Jq zn>8m&ajtUUpN=0Qc><|vSGe>Yju=~R*?6iwUc)%SW0{QQpO1E)k?;RnzWpQgt0U!9 zA&Y-n2lt1qzeJ}@IQDbPLz#vBC#HBx-}|`rm&h@Z4Lv?*)_RKxPS#ry{PeK<p&Tto z>Ddt_w|*X#+4@uO)3oHhmai^aG-*t3ng1iYcaLP+Uc*<v3%Famqx`O=s!iINy;ni} zT)-~V8IJ<If}cJM2!5QKCVcI_+DDFKPc}3ES!&QGdGzw}g;E_B(~CNSpKbRRYG#uX z&{Qkt?3USe`f~fpNt06VDX#d=^DiK+)7g9Jq**7{9Gv{~VZi*Qou=*AW?h^cSo!B$ z<fMd7XVa`hzfy`aEb3HGZnUeoyu?v3ueD&A7t=F2f8p+Zf;@qo?{^sR?2hI<Q?{x@ zNG9%J!NoHdE}SWtuF^WWWk;7l_(#1@nsZu?9d@^=d9wM<uf8XSIc~`_i<bNf+;NO+ z`thrNqJfVL*L>dMJNcl2veqPprHt-5PLHngiMJ(w%ac8sE74YZ?Xupon*z#FZ$t8K z8}S}CdXp4(<g3a(P4kWmVi&tulzG>??T9hi5f&G>Voig~4pyeRn`#wGhgP<2-oY?~ z(OF*alhnCKOtmp<8sc*HC_P*yr&r|E(Ea1#uMZPhoD{?t2(i4_${)Zkd>|++$bln( z!{S>McNVMsi|jzphbxv{G5oRSl$O}NN3Tz$&*1%NwA5{C^>me8-W692UVC1#oThly zVycxUxBY|H+cK}tsyH({NqC2+OxB!5`XONsAxBI@cI^5-VgI&Z%X`-zzBze#$Jwfx z*H$Xq{7Vu$VdpK_5pEG9UOGwbPV?fDNp>>~|9C83+0tHf?W*6^Eo(oUne7jJ|KYX5 z<n;FbJ!|8RXkN)oN~+BhSlr+wl|OG=r?;w4`hf`?FO$-Q@*WxX-gfzuIorb~X>RJB z|N3dxq0Pzjmp&_)B%;R<*Tmnw^ptwi<s(+DTHa1`S{S5a^Ni=4?P=W`cf9LIAxpeZ z3f}?uZF>I8IKQvB`q*INk$5kahu718r8!*T{J-PQTcb6P-Dl=!7tD_L(s`&AH{JS- z(zTWAr#O{XTs_p9EBo$a=<g4&4`+6)<~R^+6PB)*|MAAPhjQ;DXGN!{Z8&q--Q!OK zgU!*~-olTxTw)r&3kd9Yn8Vr;uYHF>Q2fE`r8`a3|0K6cf0(m$r^&TTJP&k(EKV-{ zdEi!i{Ew%f#MJ&gwms8QKjT4X2mgny!R|FP%~xo4${RfTlKXX6i04C<`qjK27wMgz za4ukGkjiEr(}}4T4cjaHH>Wv>+}&l<yDzs%UC!>))+*u1Hj&v5y~z$$x6&=E_<!=Z zPG@*@XbtP3o870Zw>p)(Ej%T(>+B@gBieF#$E&XWa(H-^SA1FNn)F8^6;c-qSpt=_ z4k<{rxUJaC;U(c>d0fOfBPGr4(h_E7A&-KBgru$Kn_e&rGdOxCFHBI7;$}XyAV9?J zlFcN^6d9Gqj*gC=6QR;u4{tcWpPlc7UH<bG1>B*(dgr~rQMI}(FP=|>^ZxhgAL5)n z|HrS~V^eV^m}5Z=8*B8HISCgT->=$!S)!YHl2ybm$&+T@(pxl6Mr@q@z_&?^-@>GR zOV<CswP&OKHfTnwmY+2={HJg>*V*LoCkETgm+I{|MCRLwybtV6&icG(!|L|-3k+vZ z%Oqs2$lV-g6wAC`_RrRb+Lg!RdNh8dZs$=fHk)m!&DnQv!Op$S&;B1?D#bG6>kMJh zM)~hMccv5@zH(d@QQUdyk5+E`ZF}R!iEp-N{NKE+UQa1yotyBC%!~E286HQU$X&;( zJ7aQ0AcI`Zi^%;Rr+IZtHmYn>Un#jFN<G=;sA5WE_tYC+Q;oZ;Cfdv`IRE9NFT?#w z5`WF+#q7)xVG`1u_H?^%N@Z?R%8k#ZYj(~2JT+wTQNCXN2X=z7N=koKbBs3ZiTU;a zaoc9Yh^h6bU*7y%@zC@75gFH0JA3~y^rv2rtzELY<DO-{Ml8qcSqACf%UqRjwps0u z+_W{|x^sdG+xn}Lj%}%3K~XanEj4>nnEcvEpfomN;_r)xI98w1-`zjuBeM#}{4$13 zN%Pn365aS`t@>f^z*(I)!`4p!A(P@|dU{hHfAaP+zjylcmv=u~!Z&FW)A8p5@x_5w zM^xA<76(REeD?|${r#<}Iqm<NJo{}=?r2&)a*Md`y>YHR>q3Du<y~RlM9ec24)wV| zS#1B~*w&?2CAiq!BetBo=W}G%a_61l;&y)Rerb9J0tdRpXFYQ~acBL%Q$Di0S>peB z_%R$%s5ZH&wPUBw!nc18w9mK{yTE||d&Gr<CaN}KUxliwE_}4P*md}FIoly_w~olX zqh5Ef$OhX5wsa)+eyV5b`W7~`qU*e%_h-TOM+*z9i`lAgZE={GyHIK30ZWBxwl(qG zO!_-s6fX6gy5_Ry#fZPz?+vC;__1T!(}dr5o)m^nN%;EONKPYVrTy2*EU%PS{EV!c zS~K^z`m=M-<M%JxuFH}dCS=NaMbuhI@Riize*Sy$%zLaIm^*$1IZm%gn%cl<_NL1H zm!Rf~<4+d62??&4mVH=a@ydy{lBuo7Ki*?Z;%@fe6sXtoSy}Hf+aWL2LYqq7Qyceu z5H~Hn(4AYodWX0|-9!)jtS1k)l<s%cH!QVW@z(o>()_kA)}!?s1Q~T*^Y=(sN-cao zcWU3W8Jm+96i@qQ73`i~alLk}VyX&{!_7mpWIom^rx`yKcd7N6W?vQXE0=9w!qtPj zlul=8uR5^s#MzBXJD(nwa9q{T$2T=!Vdf(HuU#t5irKlwK@Y=Avx5KGXlR7z#b53{ z-jMV7`*i=(AoB~#?TWL%-g)=eBGKx2MC#M8-?vOm<&@PmpD<BGw4|@lGSI(pX2Mmi z)akqaJhDD3T7CKIxxQ`Pa_d(dVN%*w{hVvZy@e%P*NHut_2csS?##pbTNmGnycyEo zw|W)hn;D$hm)s;5y^LIcX2~nz;NQhj5p}UX(=>9;R<EAi`F+lloT<e(#Pn59O!-y1 z`}c{-O}Rfqj4#HVH=p+M(6N~!*9;e*V(JLIpe13ww!UYYZ?p5({Wfn7PkHIOtYpqS zn;$O^_*T{EPtZ9#qbjy;8Efdb8N5fjj<vGNTin@SaZ*tJ)GCP$hA#{DsWJRd{IFNb zNc+PIt=M0G?#~T*zVt9#8w0<%kn_wQrqw#7o%WB_6@*m09Icm4OG{d_EoQG(i*kBs zwwJ__R&GY$Q2&1R8(QZ1OOBLyuy!`ADM>xCb(-;?3Wu%xuKatQmHhGg=9<Yt<x@9` z8cs4*2*?fFs^)W8|L<R(S5l!@oeWo9eV4hQ&NV@6hd<}mld?)P%3f?g|2FOasxM0U zvi^7fRx!T6qR&wrtlP=Wvn?yqs4!7hxi09~%`~xdS}qrYADletvYF90Z_TO)M)oES zuUAcK_>nSY$AiCL6&GKL3zdvIpxf9I=_R4to;tyO-y}Qj8%*;bTw8r*f7G{L$(C!{ z7alB%(@gA`s3m(~Qc-pPhW87NmuvR$_Hn0s-C4M|eZptvTfgF?Cf5G+R(PEyJTFX$ zJNem+4Zmh28HEQ29iMXeYEkK=L!lq}v<`&DRJ40DsH<{F7sbkK&HlE9hdU_C=F9cX z4NbS}`&T9ZjlIYtwS%GYX^+%r7w_9j$%V(fwbunWJ7zI_nQ@ToT5!XH;GIhMGmqc0 z<F{EQQSi`3@Xnjv=fzKV^H2Pj$1+LbqC|zwp8wpkyj6=Xd;EWH(S74s==3HB?r=fx zvzD3-y%wzwch^nn7m+Mcul(n;VBPN%HwB{fs&Z3zoVwWF(kJdZOR@JpQ}=umu@ziP zrG#^4ZjG+>U$etwOWB3D2RwOQE-m!3K53P-<@Sb+1%daI;%o1P8qYE{a^MTA3h}$N zJ%TIyq-v(Eo7`pF6+zrm&!nZ8S&H-SEqJ-jNmfj6v;6%3JOAd&PjGMCvF=;C`zwPT zUkW08o72^zcVAh?>UX-<W4XAo(fX+srLE7OUtgIxYu?1^t*`mn<~c+?V4PdX%xJr< zx2JP)OrY$oKSw5I?%62%{^*PD)%#k5<r#_>cP{OoSktoT!BZ>FIh_nKTQ)Ht^U#wN zd?ymdR&SuPe?sk!{hlkbcCqJ9j7iWsdxMADE5`Gr0>kX@_Qj5g>W<S7de2M!{H!hE zP>xXx^Y7083Zd2!(T3+s_A!4ncr;Zb`NOx(t+yuJUGaF|)y04O`{!)k+A*>B+_Oew zKKlgGA7RhKww;ryzHV0-8Ip2x{f>%@zqk_@oC#k4KY7OnuCqlP>#L)LJaQ7&X*}5c z;@(CJDJFsY>S8<X7N^JrKfH3xGVb=@_NpB&<}6DhO&0&<T*27<A$xyPW%9c#T~Du_ z)qc)fERZNtX_oC^esTVn?ER<TmGCM0Ce^U)6)x^xGNE^xn<kU(vMYz9VjK>%zjzgU zD1V)mRP^hp7wbN~>O7%jQrqSk*cn>TlI{F>TBxM%uaIy9B{rUiTo1CZMF%TfD*CSV zF+!gEt+e*SfRJ0E+>UQQe~CGAHu{+*&!-(V=1(sgGA*lHvq7wV=5jsfm%EslrIHL6 z`-jh+o4vKOKtI=#k!^0Gg-hSYl{sfrd4o45IOx<z-_U<#@a3T!H`j%tiHA4bJnpb& z#^po&M}6d{`?fw>DH&xr>#eNp`V0HgF4fpBF0|`4-p`xBqQB_TtVOPg#~TFa-uiG; zKeSl&9FsrC*JpoD?+H8{K9g1V<x4AvP+h5~E7%&UPCfEeRV>gg*><MKb=Cs!wvMa* zoT+Vd)<(vMvfgih=>PY{gRAVf4&2Nv`r+sBIz@l|{u`fM${4Pky{DmdrjD(wB`sA$ z=eylKO&6O3p6h<>@6zlu<*1s~R3rDF>HMYC$M2UbsGQAExAL><S65N`A@za_Hi!%v za}SY@TyM+F!0?8Lfk6m)5IMdmB~dS{I6n_QlKeI-HvhJTK+XI05fT~`m=>yNcP0Hk z>wD`pqvyM<-DeqH6)!x}O7otm<+<~t=+F1=r##ncl*&Ai3lcHqsn1Ar-+V`KY5w^G zd#i-kOxgBfxy}^5l~29CxqVoBQ0h-asP<WX@oTd8{xtq8m@vtrEBQw|x8C}1bDiW4 zL~zWgXlmq?y5gO}n6s*;alKc<nQ0-<PCV#&9(9mYud?qM<J5cqj$QWAWPBeud+Y6c z)%%wC95niH$x;5>oW&-UjQZJn5;AV?FAHWIYPFY+ZTx&kzK8Epph?T-$urHTZn^5e z!gc+tpX&?x3c3FN(<pp@rI`Qr9rIHr+`$}4+D6w^df66aYwq0BVxm}__weqXY1`PI z>wJ3VmwtKMp^aMJS~JBjp4BjFYl}bC<E0hWCausTZ6!X#Fe_8>%5|QtVNxf=ml_A} zF4~(lan{r``-1LPD9rDfB%l}4sV4G~@v!CD!xb{~6s^OIYR<M^m9YBk`nh|-TFK>? zCcS^+wc7vT4cXB8?5XVQtc5>q5{k6vx%1)h*H@F9QzYakesgNkS^sFly|A=d7Z2Rt zx%r0g=6%24@7cZK{jtO0KLvXipSY*rwsP;x8!lJHBG)U%tgqZYXL}aY<*!ke&)R(t zNMGuib-uvwwA;}&OJeW%EG*sD_9Oi1dV$B8E~|W=IGk~MoEla%Q}UnwA;k$zUg;{r zdrB_*FXHHMTb%XwSdv)C?~N-K71#u)Riv7p-K(L|dDAJ<+)HPNOF{e=u`-ii3RBPe zv|8$0Z0dKI#;vw#?wa!*p>6S5=5mdf($1-wUyC)pyUcHgTY6%rZ+vXYqf4i~?-a|< z5}zkfDk=W_@?PmR+-J8ri|221+Whvylea(I+y4t>`L*88ymM>bBO%r~lfzTI^vWit z$2|Dleq#B&uNHTCOP9YYd1!w3_{nWMtV_?oF6z5=lzUCLYU){+$zMeu#$W%vcJJPm zJA`XP@8;RZJ`DS?`@;JlHV*$-9~oDa)Mz;t*RxmcVwkAW@i=k%g|3OpD(>G!x2ZoX zpRui={MEnn2ATE7^_L7>9ZfRqCCw_dz4`jzUC;j{#QL{+NB8zmUk?A8uNlH}XEXPm z%|9Hr$=tSmWH$fqD)sK0$J4K)&vZ?HJL!#*7z4wxT1E!cshjeYG(?7ry*+8Q)uh_@ z>kT<nKHPuv)=2QPk@_O*q<!^8OBsqi4VIO7u6iBvew~rnsj1Udm$s<<d;jzO|3ByL z|5xk(OI^GA?c}<w-#b3fUu(Q=YkcW*t?*li>|So(D*N|uDtGwB^0~Fw_{$e-n@+W= zIe&Lr$PAWyy}R${ec8X|5^t@>%jKq5?}i+Eb|4|w)#BA|!(|4(YAo;ASW@*~s(Pz) zZ`moZf!&Mw;mN1gT3#Q+pG>@0#dq)X4Y~bQKO+~tH{75<`K$c8vZ<OEe$A-)<ozQ4 ziA#dUKcBh&b|24Q{GU2GKK+{fpT*zIz4iaN+PD3?x@?WLR+yzzaEhbTwv08Z#uIcm zxg2g-z^J_WiE?uFoW=G9OLm!Fuyx3HxZbncZj!u-ysff*jawC)>x(&GRN4|EUq=2+ zJ+SACPFumumy#@s#g~mg9e=Zh<D&8$M*%Zun^uL4z6v?V9XcOv+5##cRkAEJI{KNz z>1o$<!Islv=My@n>d!kQWRd)buPwmxu_TY;=41IM%0t)HB+lI#)HrLkR-outCDU*h zTP^pulmFSugugrUck-dH%`T-r%r(uQ__cn!tn)R!!{!r``_oml{DF?vp2cy8;wHrL zFZw9|dHFx@@1<*2EB@Tt8shywYt?t}scJdz+Pbf#<W_ur^=nJaN&kz-9oTpMd{ua? z$I(#l(F%@rCISV8XBoN|y?qq*;M)4r*?|{W9gh5Xb!k??QPHo4s;iuid)6BkuUk7^ z-z#)+ZQhG-r?!e;=a3ZKdLd@TVy@_2I}fA_U03SewW*9{!?G+Hts2#~>DN{`Ealnq zZTp0dZ*l)a`{!t7{VJI$oY}3tFLuGj&qwz*l}Z0GjLAKHvF)~jcS+{i9WOq+8*<27 zoZnZIf90aU!r8A5uQg*^aQ3QX>dvhj>=neF6ng^eyV75X&A)wj-P-nCoqhYNN_r!u z#b2lMM!0l~-CkI)Te<By`<A=!xBRu#*?i~IMmt%q!mr1kOMH9(bgS^+iqf@rEY_cz zx0&zO)!KV4aSi)-ZtG+4nl9ZGR<QNy)~^q{;*CY^ji+ZZbgx>zQR!}*|6hsutqTf@ zb9rSGoR4>J=6<UX+E@1Eld^le$7`8qr&e<HncdkV;XO&|1^Wu4DWWsm{J)s<Eb_F! z@0XML_0?6D-ec-t=QsQg5N^-sKCnpI^ULP^+pl)-oa$d}ylv0HWi~%23G|xJU@y5p z<E+&6$vUkI{ziEoJ9^%x{RB%X)3d<D2^*Ko2`mwo-);J!c$&iswf=io6}4X|-`b~l z=Y}?q**b++#<L781oKt4<l9{_Jajznz&$G-y;q@{pLVccI>ssIxaUKl>#dqwMfoSs zY_Rip<Nwd`eT_b!U+N1bo3nh)JjK(mS=w~2s&RVsWou<!tnj9c_DU88hh-_p@2D~+ z?d!VI^NQVb<B793g^Z=2iigSbYwIk%ZT{-d5w87}w>)dUnfw11xtTw2+rA%yen)2i zK6}~j$k*A+YndM^{dW}nJ$rd=*E@56>t2D@x{P_-R!rvlAim=Kl^exdw=Pdye$HR# z_w8RUhgR~$TCshsa*q2N80qlJBX0K<2c0i7-5$E@Dy`r+5$Jl;|59?Tao8`Pt|<${ z{&WN=zYs1HQDN$8u==Cn$s)>H`6F-&hqg`GI)i5#-YpVw{OgqdX!x**G1k5aoW-GO z^NwS&g<wd<6SJCc`b}K@cM?rw9L@hcO|pAsS^S`jTU1wI`mB^^{99hBY`J;%^wCr2 zJX0or)t+Th{#^Fq$)}GtOgfn<`C03y{+6((PS;*{pI1^oq&4e;MDBhyZwGV1sY0E9 zb4qViY&s~`;qYRf4d0QCfh(By-b(5I&o!0p{JZD*d~UfhO0OMD-@N;qP#=-OQ}X$& zsiokJ1&<ppywH%y%i6ebQb%NA!5#tijed+~r<Y$!u#^cG$(W{L<0Wn(&(mjcf#;fH z%;eB1*B&%GUuqUvvFCbdXxROX<K?f`{f+BClvtSNVL2`9?FO~!OF#Cp+_B7y=1^sQ ztNYcaqe$na%Kx2dHqu$ECpB+Xmf$E&?p>I|uyW~6#pg>}&d)q$vh2|ls}`O1o@O_R z!^b;&48HzOP(6J6qvdg1nO}AH?o4j?=UGx8`jaQr{+o?*+piw>!_rA>H!fV$JmF8# zb}8qcgI3Ajj!S1gopFgzskhjt+fXIKUGS=vOyhx*1rnSMzgyj2Pdz*-&$%zmw(#Jo z?8Ej`Tf01tG5_W5`gz{e=X$@x%y(BebBS@so!jBw`~R8S?9FUvc<dt8*4yXzFy?<0 z4zu%A^jFIMSpD&0V9%uX$tSk0veDqKf0UH|-{Q-ZimZ#rwIaft_kRjAo2q%(V@rnr z#LADGes0|o9gr#fH^KHy*2i;fThw)=pUXY0X|~_CL)!V1?*cK_KquDUaa~(qmsW>t z`^B|H{&?NNST?1{`hEN^!gnSa?~l0krueON+q`9s277Y;Y*OF1r}%eHb$wZgQjg7< zwguX5MXV<Sj#f|o-L{m~AUt^5y8p6_%-b7n-aq)mS;?~W@ZzU&Rgp@sU!R)2Kg_p_ z-ATE!L21{X^Ae)xn1#c9YXzsCbpHM2RqnJ;OxuH#U!R_|;{G!EFS&0ns^5^=a&`Nh z9coiO)*AFWI*7_!epEeI;==C`KV`4Wy_2F5a=&KA?SHcA`KJk<A}_Yjlw6p)FxmT& zWBZ%u*_rlVavpA#O0i5iqkBWnd(v8is>ov=Le-08*PiO*{(N8K)rD0~)kpL{#mzY@ z=Ki@;{=4e-FTz2euIw`X@?H4{7xTNfvYX_+9pj!vxX)o0_vNaJc{j7-YXA160!`JT zy>_n;N*rmM)=;<g%j&wQ4~a$g#~n_7W?g%*@U7#Mz><ym28WZ{IPx>kM14NAN5M$w z`QP=s3N^#{Y%VKA%}X~7*-$MgxW{(ZJZ@vJ1NBdjX`Ss?{g8YwbC06_dV$-HugWKj zrLS^!w7Rme<iL`N8{?hd@_zSD)i}0A^=pg%6S4d>jw5_$k`i>^uipEmXoG;bSk|%c z8*@U-efl1MK4sbNuIO!7ZxH<<bxKXX;>0TRX~)xD12zgxeX#rBI=1(b3+^YTO?rOm z`P)}wOcmFB@BQ1P`husavR~u$@img~IA48i(tFrqb?~vTOh3QS{VJK_jV4d{k1W~w zC0TC0M;+sQcZY&2k4=j@CVaB~!k69u+s?M@ID2QlZQl>Q3FloV)SY?!qC82_;!)ub z>!!cn%{qAxKWaSQyz>aN-1^RV--y2Nm-?$@bbV!5ByHnnY+3tD;bX(`$Da1@K1Oi# zRoYCdX?*;l!lbXd^QX-}!Q;t_Ctek9ep=i!chRXc&r7Gxzxn<io26~Px<J$YN>?A7 z&Yyew)P*W*-<@A|`tiraE0;cXWgL7zvFhv2J2v;`C!UaCE{=ZE#p3bNzp_Q6L+^6{ zOG2N@nLodR>fGM%&ndX@`QaLeTUOIfdR(fgQ~f;Q`Qwx4AO2KrTRgA7@jz&d&xe{9 za`mdlv!Cz%vuED>+zEF+JI_yEt$XbFtB=*4`Frh-#j?D#tl4+rf5Y*^Q4J}{OZDC- zHOl<zDda2L{wea(hA!8S{}wzIOsrkMq44}ogUv_S3w*Bs{3N~ihvmlj-xH*|&vS)q z{874;A~EknbM;)d&4-L5lV@^?+FQE(`D9*^EaLS@GCNCt;oqjx6K3BwzLvIG`2BUp zzb|hNb6r(#&06#7fLx2?pAQ$R>LkrSdm0BcTyIVOSDE}STttMKcTKzbsZU%#&qVyX zYQZtFPE<0WFn_Y7<get@%X@BI_j0j`3T(_<(bCzJ;a;;a_Qmg<FOpZUO?DAH@I9a^ zCCBte-XEp-H~Gq^g#X{JFeyloo+~Doqb#Epbc(g)SbR*Vb*i)3>9ZeJJUDd6NlCgS zVe*cEK)LffcXG8jhgQdUbe2|0ias>zSLL{EWSq6_npLOL<430scbBh7$rBN2oK>T> zafwQgpX52^n42-zwVZyc-D5pA@!^f7Ctg?oT;CV|>)fVQEqi`4B&+e&HUx0aw{uw2 z7A>E!kLUa`<IBtb+_LfZ5s{LgX%yz0yKJ^^uyj`XMH``=r*yyB{F<Zp@Pw4`71?vs z9c0dJw%EMY-&sUZ%3UsRP2mZj19eSvRxDYu{Pv%72YYt3acxt&bNu_U%ejF+whJ!m z6?i;5YIBdprla34$tBgO%dxHx@ch{OUu%uunU3rg4xTmFD@+c@_8m5Rv}ynB)pPGW zI5tE7>@z);zyhw4$QcC!vzf|dTvjz1vTx*@`fj7f!_TeGD<t18d4J=Mscx5Fv%mi2 ziodrr_Nv96ua=l=cKfZJ^2@g~zG&XjTqC||)s0K)wR&Y-+eL5v<vIVwFHzp*z^}5! z@*Y37<h!%8pG!=YJZ3HXUismv<H7AK1YTNJYprOUdA!hn#;FbKn`2Vm9W4=vSQE&+ zdoAPiCp(UxG}Zd`{qT*c$N%wtI(_6{AD?(<?Hw~2lXJg5e6O80A!pea>58fDKe^tg zCRMk_WV`H-%)R8kxopX)<07-d9Ok;{9?Ac;HBfyczf(f>Zo_2(E06AqIIAwex0~Jc zb8&1=TdZ{Q&1vnQiekg;`+hUk{$(rLcy!MUzOuV@SyvL&)t^s_dR)i8{nL*7j&(D4 z+`srxsj&aHnOjk~!)lvj;T8JAH?^NcJ+7QrYx)15?`b6ug}mdr)4~^S?8{2}E&ODE z<l+Dgt#{m8bfiz{Up<!dV!_s}kr^8<|1b7jTe!bDy7JC@h5bHv-oGfAxFbH5{m<TO z8Sx84<sNJAncn$Ev}o%`o1KhG%Wj74-?p{?`I6t}`x_%-+kUXEm$<yL{AvyJeu0~o z-)_}7H#-W{G-hk;tg)I<@c-64HSRAOT~2)Ik3UE!SWf$~Hde;FL+k&#eQjIkM<u10 z?OA%rpK)vO_06)^&Ta~5zqcf5Ez8@ueTQdPhHN?I`1SI$y@hQ}kJny*dNO?1zq|Eu z?OR2o?jH(xc`rTqePgB6t*IM+{VjO!`S&aTmCNgXf0bYKbXI%WYhGQYjK8z~+OYjI z-{5ck`3LWXwc0Pt^Bzu?+8J?tr*UXY-QT60?@f0L<mIlh?23NUGOIMweXGEtxa)C8 zo2z)39*TdERthMxn$=VE>_o{)>3e&5m;MNe{ce>nzkMOEl;kXN_a{4N@lQA*bE?}j zt)+M4<Uh<I?vJd!P8%P7Q5cyM`~LaHm-6?POw`%5U0_MiOI@DIMU~vX>n!daTCCIb zclNzY$Jf>$kL}C&D)qcKaQ$9^nH874qC|c^nkXbzez^L<%j-79{))O~Z*-q7?cT98 z#q!)Ll}(>r!*B2RIcU#T8gq@I<>(XrS;@^Yi=7#NEIM{$=jP2{GnX2rT%K{YO*gh? z{&5Y%g(kjJVjkF>DxXt$W+sR6_u~fq+x{$yJ|3x}71HoErt<u}-twZ3L%~XOHgqs% z9nsk2sT<W8eskj|5$=MIKdNNs7N$Aw>kkMnImJ<WY*|leXo_A`wWikB#M#eeeqHF? zxI$pLK>HP@uUi6JidTqD+v@agp;o;3?b6yCB1SJ_v)2hMKe8Z4@|l{~-9HQadDrL1 z+u5HwH%~W3YRBeQU-9B?0bYAfPXC>^@XC4VT_+hNYkfsO&*BnY6Z!bkp_!&ewLOu^ zSB_6Nb-SChv1WI_^XvyZUs(D`MQn0g+O3#fBV_%^#?zube+A#PkP|(1rT18J^Wt;_ zRwf+1<h9FU<CYnEZaTTk=kkA0d-gVJPwJdiVTMu@3pF3MHTcLnv-Al0e|j2f<n}d3 zQ}$_nR@$DliYXhCvlMgJ%!u+%aPAD1)ViSgoH6#8YP0$zi}mbB=O-A4&zUW1{UW3w zg?+x!u{R1+e@yPRwzeoWK6y4xTqpdPhxs};`#^Q6EZ#G>-8ACw+W0;Xl8WeDIsH<C zz=`lJ#W&`AZYcCst-r4ncH-{#8KIxQ=~k_txjFyh>u0Oy_Sw{)+pw=`(FuiPuXRj} z^I7M-)@CZ={UbVI-Fl82r5_^>?AfQ3k;rOy{rVFI?$-DaqiU-|<sD)fi<)*S8L6HT z*|;)!UPn+;*s-ZUmE69rnVHiNesJo`wi;QUnNc3n`pd3Ox-p@+{;biq9a=X66#3KG z9W@>t{FA`NYcnI-L!AHFwM)mEQ*#PKHzY>MC3LgNzfG8UYiITSc*~bJ^LuXI`Ti;S z_|IyVmydqgifQ)wPWki9c3OF0^o&W5%uZ$6M!%R*wZ6kw*D`ob)!JhBmD?Kx<`+I& z`7K~i_nDTrFU9{#$b5TnT+Kvi#@gV%5C7gbOH4YxszWLHN>{~_AHAE^o=MCrpBSUk zle+6EWA}-PbGRK}Sxhr{(w)TVyH8@;g+1L5N<QBHE)Xy0{OU%mm%r?G@1H-D$~s-T zu3Mz9+~d4RFKl+t#9OD&i7an^wsUdGh9-f<uD3L5k22j~rt0=_i@AHvr{HHg8&685 z_jzB7>o_?jdF{Q=HQt{xV$Q87G`OPikYO>Kn{#}!l-@t%{rwkR`tJ09ROx=+Ke01# z9mDm2iHA<8PkD0w-{P(p;sUAZ4Go@x+$<$w2~I6P7YE;HJ0-Gdq0%w2p4XwFetK&@ zq@*9>ny4hVW<#W|PK2WLsz=$oEE;lp7thl+yjc7#xMS02hXYGGIGL<WV+1zvPCeLm z>PAEP#|L|IdtL`#SaD*aSwL#~Q8qo-GdCPow8={UXyXz!YSFuNd^T6ynGGrFhwm6w zSr}}eB=A%4T1QPs)(W@yJ(sWlnDm2l4qIgGwHfh`Ps)DJcBx9L?>)=)asJ|`@ygPx zf=)2bQHon2)c#6j%O9adZ)^k%G?SVd&SgDpYRFyTqbMzPDBvOY^y$pXeQzfI-_$X$ zqCV2ydy4<#w$&c;w+sBe5A*J5i{J5%r`NF|OJaU?zvP}gt(`SzL~f{u3I3KoA(x`u zZ6i4G$&O#&;v!eg^a;P`6@70Ndwp(>*Pb1<S^9e{*7@rFSNis7yX@?nT@E+2k5zB^ z{#1VJ^~LL6OPm)hzi`%QRa0Hk9^T6MeMzs+?6`L9#>~%dyOM67`846lwugCsYjgkP z?#*2^=lVCdU0$~xsyKHR{|*qI$$BtQy4O53qVUM=$3YLj{+o05Z%AO#iQ1R$x>HSN z?ORci%z5RCm745zwNL%G+VfYg@t(%`QSX21F^-FwOXauvKdUMH@ZwGEao1hfGL`<X zI6BEa<n{H)bGlR3xJrTKqf1wAu)VhUriOLQJrDb=nP*~NUn`uFBV&EaE^Bp5;FIfD z&;QzzVtY&D_lnqKrZ-j!e4F<=U#4mc&!zq-jl?Glw(r&b_3O!|{#&ZWuQzX9Uz)Lf z^COF_!yRuH-}nDt(6YS!cAN>*|I9-EcMIMxWKQ_Abd`+OO6%h89M3=N3adC5Z~nv3 z?vmJc_v`Ygz)9UV-;`_$WZ3+!;(KAcx<HBcjex{sCYqDZe3G?~U6v@ZCn3md-j4+f z^?%0X=Cq$~ZrpchI=|0~PdbaZWNsS1*x*uUozQaqmYF8shDDF&ElEu?@m$&;Hsg^; z4&xX87r8IB<ykqamv>&fSz?wkQTUb5CQVm`wVN~N?D~6N{PxdZdsgnXeD5WlC>*~$ z_hQ<<YnK+K9hj*5x~%)3$;zBJdqNkMChgTuntgqC_2iaG#ZU81QkM5y$j3S_Q9r-n zZg1Y%wWW_Xo{lNX>OQSoUB?nxHC^!J5%unC|9<+HFBe+7w&!O(>Re9b4YgBc3=9lC z3=C+a%DH95@KI%BlPjDbzkK~teBE@*1x|mRQ>V{(U;lVkN003i*UU=RrK(&%UAcZP zRaFS{%JNH6oxYIKr+Xq}Om}?Uyu2d6x}vhIE+@Yv&my+z3;X($J2tnkTiBQE6`598 z7r3-9+cm9_^F+dit}96kSh-XsS2`4T8(;psgCW41kx7IZvDf3Kjv-qX69Ypr2Ll5; z11|#zFfuSOG&F)(Dai$T6}dT~A)E~0Egzt5AIK({#YROr|2>p3n~{NG8Z!ff0E!7S zm>3umVS7j7i%UwA^vb|Sy?nnf9fZ-1+Qr%{o5{$)pvuC)AdF&E77M0P87UBlflLBn zbkh_%4;k!cU|`t6fG`c@sfI>gHe}NZ5{nXZ;obz91j6X1^<G@@E)KLshLM3m66!XH zX-w?Mrey|XR2KUel;{<u7UU#W;`Oh1?D<<C*%=tv)DSL&1pWdPGgA|baw_#IQj+nS z7JC2mtt<%!hGp!a$qeMEJk5{fLcHdHw*O3=U0&`U%fMh1%gDfw;?qnGWOIr$5(`qn zE&?SB5JnHPo;S<CsxvY$oMJ-v-?LUElj4)|6N^&txQhY2&!*wKf}ScrBLfKYqPWYw z9mzDkf|Mkjt^)Z_^qOQ<rX(XnfFvUW4~jVprZFNCtX@SP4r3tBv31FQp(@SD0K%xw zvDv_cWK3~MA`WvPGryTua`RQTFfbh10xB&a(FRFaQ%^G^nUe~dk;0k}A?|tQ{ouPL z0|NsHqek1?dKM&eQqn321dv4NLS;b)1_pjGMs`t51F}iUIoUW(g1G4i!^TgWw=*(; zFlrbrMlq>~fH^B>e-R8bVqgGaK9nfyvS35<TSXEykAPAm2qRJ?#6g0~*1y!QXJ7zf z)Ibu=Mm8ufFDI`UZ?xU8wu?I(%*gPsn++|Ol)XYWDJ8XxfJvy^?I6JoDFrI+IFLLC z*?fm51)y$3gBS!!Nh|D;4FYdV!)gvBMTVfPMSz$CanRdPBy-{sD--Y-hC0QHY*=z6 zl3{w_30FMkpjN8L=IqQzHV0hAqL{<V1_}fT1__3bYzz#^1sn`|46Y_dCcc5mmZh26 nQND&gu0@7Mjs^vu9;T6=-YJOzi3U-XrUt%_P9@<{5!to?wbL|} literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..ef1b05b2729231b0a86e78c36bb884b87e4973a6 GIT binary patch literal 109 zcmd;LGcqtV(leRFtQnG-o0*rckeHX4Q(2r@tdLfepR3@RTac5Qo0?aWnxc@GT9T7l zT%vcBr}E1h+o`+^3=9kpgcvpm_i(mKI=ivkU6lk0Es$sUAm77zRQ2C~dxxoNAfX4^ K3>$RLvH$>+xg$gX literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt new file mode 100644 index 0000000..c22a6b8 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt @@ -0,0 +1,3130 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:44 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +| Design : audioProc +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- + +Timing Summary Report + +------------------------------------------------------------------------------------------------ +| Timer Settings +| -------------- +------------------------------------------------------------------------------------------------ + + Enable Multi Corner Analysis : Yes + Enable Pessimism Removal : Yes + Pessimism Removal Resolution : Nearest Common Node + Enable Input Delay Default Clock : No + Enable Preset / Clear Arcs : No + Disable Flight Delays : No + Ignore I/O Paths : No + Timing Early Launch at Borrowing Latches : No + Borrow Time for Max Delay Exceptions : Yes + Merge Timing Exceptions : Yes + Inter-SLR Compensation : Conservative + + Corner Analyze Analyze + Name Max Paths Min Paths + ------ --------- --------- + Slow Yes Yes + Fast Yes Yes + + +------------------------------------------------------------------------------------------------ +| Report Methodology +| ------------------ +------------------------------------------------------------------------------------------------ + +Rule Severity Description Violations +--------- -------- ------------------------------ ---------- +TIMING-18 Warning Missing input or output delay 11 +TIMING-20 Warning Non-clocked latch 10 +LATCH-1 Advisory Existing latches in the design 1 + +Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. + + + +check_timing report + +Table of Contents +----------------- +1. checking no_clock (50) +2. checking constant_clock (0) +3. checking pulse_width_clock (0) +4. checking unconstrained_internal_endpoints (10) +5. checking no_input_delay (10) +6. checking no_output_delay (5) +7. checking multiple_clock (0) +8. checking generated_clocks (0) +9. checking loops (0) +10. checking partial_input_delay (0) +11. checking partial_output_delay (0) +12. checking latch_loops (0) + +1. checking no_clock (50) +------------------------- + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[4]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[0]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[1]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[2]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[3]/Q (HIGH) + + There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_presentState_reg[4]/Q (HIGH) + + +2. checking constant_clock (0) +------------------------------ + There are 0 register/latch pins with constant_clock. + + +3. checking pulse_width_clock (0) +--------------------------------- + There are 0 register/latch pins which need pulse_width check + + +4. checking unconstrained_internal_endpoints (10) +------------------------------------------------- + There are 10 pins that are not constrained for maximum delay. (HIGH) + + There are 0 pins that are not constrained for maximum delay due to constant clock. + + +5. checking no_input_delay (10) +------------------------------- + There are 10 input ports with no input delay specified. (HIGH) + + There are 0 input ports with no input delay but user has a false path constraint. + + +6. checking no_output_delay (5) +------------------------------- + There are 5 ports with no output delay specified. (HIGH) + + There are 0 ports with no output delay but user has a false path constraint + + There are 0 ports with no output delay but with a timing clock defined on it or propagating through it + + +7. checking multiple_clock (0) +------------------------------ + There are 0 register/latch pins with multiple clocks. + + +8. checking generated_clocks (0) +-------------------------------- + There are 0 generated clocks that are not connected to a clock source. + + +9. checking loops (0) +--------------------- + There are 0 combinational loops in the design. + + +10. checking partial_input_delay (0) +------------------------------------ + There are 0 input ports with partial input delay specified. + + +11. checking partial_output_delay (0) +------------------------------------- + There are 0 ports with partial output delay specified. + + +12. checking latch_loops (0) +---------------------------- + There are 0 combinational latch loops in the design through latch input + + + +------------------------------------------------------------------------------------------------ +| Design Timing Summary +| --------------------- +------------------------------------------------------------------------------------------------ + + WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints + ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- + 0.084 0.000 0 1164 0.132 0.000 0 1164 3.000 0.000 0 589 + + +All user specified timing constraints are met. + + +------------------------------------------------------------------------------------------------ +| Clock Summary +| ------------- +------------------------------------------------------------------------------------------------ + +Clock Waveform(ns) Period(ns) Frequency(MHz) +----- ------------ ---------- -------------- +CLK100MHZ {0.000 5.000} 10.000 100.000 + clk_out1_clk_wiz_0 {0.000 5.000} 10.000 100.000 + clk_out3_clk_wiz_0 {0.000 41.667} 83.333 12.000 + clk_out4_clk_wiz_0 {0.000 10.000} 20.000 50.000 + clkfbout_clk_wiz_0 {0.000 5.000} 10.000 100.000 + + +------------------------------------------------------------------------------------------------ +| Intra Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints +----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- +CLK100MHZ 3.000 0.000 0 1 + clk_out1_clk_wiz_0 0.084 0.000 0 939 0.132 0.000 0 939 4.500 0.000 0 461 + clk_out3_clk_wiz_0 81.178 0.000 0 2 + clk_out4_clk_wiz_0 14.404 0.000 0 225 0.151 0.000 0 225 9.500 0.000 0 122 + clkfbout_clk_wiz_0 7.845 0.000 0 3 + + +------------------------------------------------------------------------------------------------ +| Inter Clock Table +| ----------------- +------------------------------------------------------------------------------------------------ + +From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Other Path Groups Table +| ----------------------- +------------------------------------------------------------------------------------------------ + +Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints +---------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- + + +------------------------------------------------------------------------------------------------ +| Timing Details +| -------------- +------------------------------------------------------------------------------------------------ + + +--------------------------------------------------------------------------------------------------- +From Clock: CLK100MHZ + To Clock: CLK100MHZ + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 3.000ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: CLK100MHZ +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { CLK100MHZ } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a MMCME2_ADV/CLKIN1 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Max Period n/a MMCME2_ADV/CLKIN1 n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +Low Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Slow MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 +High Pulse Width Fast MMCME2_ADV/CLKIN1 n/a 2.000 5.000 3.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKIN1 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out1_clk_wiz_0 + To Clock: clk_out1_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 0.084ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.132ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 4.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.084ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.793ns (logic 4.359ns (44.511%) route 5.434ns (55.489%)) + Logic Levels: 12 (CARRY4=3 LUT2=1 LUT3=1 LUT4=1 LUT5=1 LUT6=3 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.118ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -0.996ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.823 -0.996 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y115 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y115 FDCE (Prop_fdce_C_Q) 0.419 -0.577 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.245 0.668 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X158Y120 LUT6 (Prop_lut6_I2_O) 0.297 0.965 f rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57/O + net (fo=1, routed) 0.000 0.965 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57_n_0 + SLICE_X158Y120 MUXF7 (Prop_muxf7_I1_O) 0.214 1.179 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41/O + net (fo=1, routed) 0.000 1.179 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41_n_0 + SLICE_X158Y120 MUXF8 (Prop_muxf8_I1_O) 0.088 1.267 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_30/O + net (fo=8, routed) 0.512 1.779 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[4] + SLICE_X161Y120 LUT5 (Prop_lut5_I0_O) 0.319 2.098 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48/O + net (fo=3, routed) 0.985 3.083 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48_n_0 + SLICE_X157Y118 LUT6 (Prop_lut6_I0_O) 0.124 3.207 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38/O + net (fo=1, routed) 0.000 3.207 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38_n_0 + SLICE_X157Y118 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 3.847 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/O[3] + net (fo=4, routed) 0.813 4.660 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_4 + SLICE_X156Y119 LUT4 (Prop_lut4_I1_O) 0.306 4.966 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 4.966 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.546 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.531 6.077 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X155Y119 LUT2 (Prop_lut2_I0_O) 0.302 6.379 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.379 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 7.019 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.820 7.839 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X153Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.145 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.528 8.673 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X152Y119 LUT3 (Prop_lut3_I0_O) 0.124 8.797 r rightFir/firUnit_1/operativeUnit_1/SR_Y[6]_i_1/O + net (fo=1, routed) 0.000 8.797 rightFir/firUnit_1/operativeUnit_1/p_0_in[6] + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X152Y119 FDCE (Setup_fdce_C_D) 0.079 8.882 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[6] + ------------------------------------------------------------------- + required time 8.882 + arrival time -8.797 + ------------------------------------------------------------------- + slack 0.084 + +Slack (MET) : 0.094ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.822ns (logic 4.388ns (44.675%) route 5.434ns (55.325%)) + Logic Levels: 12 (CARRY4=3 LUT2=1 LUT4=2 LUT5=1 LUT6=3 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.118ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -0.996ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.823 -0.996 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y115 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y115 FDCE (Prop_fdce_C_Q) 0.419 -0.577 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.245 0.668 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X158Y120 LUT6 (Prop_lut6_I2_O) 0.297 0.965 f rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57/O + net (fo=1, routed) 0.000 0.965 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57_n_0 + SLICE_X158Y120 MUXF7 (Prop_muxf7_I1_O) 0.214 1.179 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41/O + net (fo=1, routed) 0.000 1.179 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41_n_0 + SLICE_X158Y120 MUXF8 (Prop_muxf8_I1_O) 0.088 1.267 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_30/O + net (fo=8, routed) 0.512 1.779 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[4] + SLICE_X161Y120 LUT5 (Prop_lut5_I0_O) 0.319 2.098 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48/O + net (fo=3, routed) 0.985 3.083 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48_n_0 + SLICE_X157Y118 LUT6 (Prop_lut6_I0_O) 0.124 3.207 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38/O + net (fo=1, routed) 0.000 3.207 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38_n_0 + SLICE_X157Y118 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 3.847 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/O[3] + net (fo=4, routed) 0.813 4.660 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_4 + SLICE_X156Y119 LUT4 (Prop_lut4_I1_O) 0.306 4.966 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 4.966 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.546 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.531 6.077 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X155Y119 LUT2 (Prop_lut2_I0_O) 0.302 6.379 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.379 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 7.019 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.820 7.839 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X153Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.145 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.528 8.673 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X152Y119 LUT4 (Prop_lut4_I1_O) 0.153 8.826 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_1/O + net (fo=1, routed) 0.000 8.826 rightFir/firUnit_1/operativeUnit_1/p_0_in[7] + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X152Y119 FDCE (Setup_fdce_C_D) 0.118 8.921 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7] + ------------------------------------------------------------------- + required time 8.921 + arrival time -8.826 + ------------------------------------------------------------------- + slack 0.094 + +Slack (MET) : 0.168ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.785ns (logic 4.502ns (46.011%) route 5.283ns (53.989%)) + Logic Levels: 14 (CARRY4=4 LUT2=1 LUT3=2 LUT4=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.073ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.746 -1.073 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y117 FDCE (Prop_fdce_C_Q) 0.419 -0.654 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.314 0.660 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X146Y123 LUT6 (Prop_lut6_I2_O) 0.299 0.959 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50/O + net (fo=1, routed) 0.000 0.959 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50_n_0 + SLICE_X146Y123 MUXF7 (Prop_muxf7_I0_O) 0.241 1.200 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38/O + net (fo=1, routed) 0.000 1.200 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38_n_0 + SLICE_X146Y123 MUXF8 (Prop_muxf8_I0_O) 0.098 1.298 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_29/O + net (fo=8, routed) 0.755 2.053 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[5] + SLICE_X144Y117 LUT5 (Prop_lut5_I0_O) 0.319 2.372 f leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41/O + net (fo=2, routed) 0.417 2.790 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41_n_0 + SLICE_X145Y119 LUT3 (Prop_lut3_I1_O) 0.124 2.914 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32/O + net (fo=2, routed) 0.560 3.474 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32_n_0 + SLICE_X147Y117 LUT4 (Prop_lut4_I0_O) 0.124 3.598 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36/O + net (fo=1, routed) 0.000 3.598 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36_n_0 + SLICE_X147Y117 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.999 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/CO[3] + net (fo=1, routed) 0.000 3.999 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_0 + SLICE_X147Y118 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.221 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14/O[0] + net (fo=3, routed) 0.731 4.952 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14_n_7 + SLICE_X147Y120 LUT4 (Prop_lut4_I3_O) 0.299 5.251 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 5.251 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.831 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.334 6.165 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.302 6.467 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.467 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.643 7.110 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.640 7.750 leftFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X151Y120 LUT6 (Prop_lut6_I0_O) 0.307 8.057 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.530 8.588 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X150Y120 LUT3 (Prop_lut3_I0_O) 0.124 8.712 r leftFir/firUnit_1/operativeUnit_1/SR_Y[6]_i_1/O + net (fo=1, routed) 0.000 8.712 leftFir/firUnit_1/operativeUnit_1/p_0_in[6] + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X150Y120 FDCE (Setup_fdce_C_D) 0.077 8.880 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[6] + ------------------------------------------------------------------- + required time 8.880 + arrival time -8.712 + ------------------------------------------------------------------- + slack 0.168 + +Slack (MET) : 0.185ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.809ns (logic 4.526ns (46.143%) route 5.283ns (53.857%)) + Logic Levels: 14 (CARRY4=4 LUT2=1 LUT3=1 LUT4=3 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.073ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.746 -1.073 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y117 FDCE (Prop_fdce_C_Q) 0.419 -0.654 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.314 0.660 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X146Y123 LUT6 (Prop_lut6_I2_O) 0.299 0.959 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50/O + net (fo=1, routed) 0.000 0.959 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50_n_0 + SLICE_X146Y123 MUXF7 (Prop_muxf7_I0_O) 0.241 1.200 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38/O + net (fo=1, routed) 0.000 1.200 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38_n_0 + SLICE_X146Y123 MUXF8 (Prop_muxf8_I0_O) 0.098 1.298 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_29/O + net (fo=8, routed) 0.755 2.053 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[5] + SLICE_X144Y117 LUT5 (Prop_lut5_I0_O) 0.319 2.372 f leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41/O + net (fo=2, routed) 0.417 2.790 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41_n_0 + SLICE_X145Y119 LUT3 (Prop_lut3_I1_O) 0.124 2.914 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32/O + net (fo=2, routed) 0.560 3.474 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32_n_0 + SLICE_X147Y117 LUT4 (Prop_lut4_I0_O) 0.124 3.598 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36/O + net (fo=1, routed) 0.000 3.598 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36_n_0 + SLICE_X147Y117 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.999 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/CO[3] + net (fo=1, routed) 0.000 3.999 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_0 + SLICE_X147Y118 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.221 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14/O[0] + net (fo=3, routed) 0.731 4.952 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14_n_7 + SLICE_X147Y120 LUT4 (Prop_lut4_I3_O) 0.299 5.251 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 5.251 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.831 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.334 6.165 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.302 6.467 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.467 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.643 7.110 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.640 7.750 leftFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X151Y120 LUT6 (Prop_lut6_I0_O) 0.307 8.057 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.530 8.588 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X150Y120 LUT4 (Prop_lut4_I1_O) 0.148 8.736 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_1/O + net (fo=1, routed) 0.000 8.736 leftFir/firUnit_1/operativeUnit_1/p_0_in[7] + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X150Y120 FDCE (Setup_fdce_C_D) 0.118 8.921 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7] + ------------------------------------------------------------------- + required time 8.921 + arrival time -8.736 + ------------------------------------------------------------------- + slack 0.185 + +Slack (MET) : 0.290ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.539ns (logic 4.359ns (45.697%) route 5.180ns (54.303%)) + Logic Levels: 12 (CARRY4=3 LUT2=2 LUT4=1 LUT5=1 LUT6=3 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.118ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -0.996ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.823 -0.996 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y115 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y115 FDCE (Prop_fdce_C_Q) 0.419 -0.577 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.245 0.668 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X158Y120 LUT6 (Prop_lut6_I2_O) 0.297 0.965 f rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57/O + net (fo=1, routed) 0.000 0.965 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57_n_0 + SLICE_X158Y120 MUXF7 (Prop_muxf7_I1_O) 0.214 1.179 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41/O + net (fo=1, routed) 0.000 1.179 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41_n_0 + SLICE_X158Y120 MUXF8 (Prop_muxf8_I1_O) 0.088 1.267 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_30/O + net (fo=8, routed) 0.512 1.779 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[4] + SLICE_X161Y120 LUT5 (Prop_lut5_I0_O) 0.319 2.098 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48/O + net (fo=3, routed) 0.985 3.083 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48_n_0 + SLICE_X157Y118 LUT6 (Prop_lut6_I0_O) 0.124 3.207 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38/O + net (fo=1, routed) 0.000 3.207 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38_n_0 + SLICE_X157Y118 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 3.847 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/O[3] + net (fo=4, routed) 0.813 4.660 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_4 + SLICE_X156Y119 LUT4 (Prop_lut4_I1_O) 0.306 4.966 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 4.966 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.546 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.531 6.077 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X155Y119 LUT2 (Prop_lut2_I0_O) 0.302 6.379 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.379 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 7.019 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.820 7.839 rightFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X153Y119 LUT6 (Prop_lut6_I0_O) 0.306 8.145 r rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.274 8.419 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X153Y119 LUT2 (Prop_lut2_I0_O) 0.124 8.543 r rightFir/firUnit_1/operativeUnit_1/SR_Y[5]_i_1/O + net (fo=1, routed) 0.000 8.543 rightFir/firUnit_1/operativeUnit_1/p_0_in[5] + SLICE_X153Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X153Y119 FDCE (Setup_fdce_C_D) 0.031 8.834 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[5] + ------------------------------------------------------------------- + required time 8.834 + arrival time -8.543 + ------------------------------------------------------------------- + slack 0.290 + +Slack (MET) : 0.340ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.614ns (logic 4.502ns (46.827%) route 5.112ns (53.173%)) + Logic Levels: 14 (CARRY4=4 LUT2=2 LUT3=1 LUT4=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.073ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.746 -1.073 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y117 FDCE (Prop_fdce_C_Q) 0.419 -0.654 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.314 0.660 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X146Y123 LUT6 (Prop_lut6_I2_O) 0.299 0.959 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50/O + net (fo=1, routed) 0.000 0.959 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50_n_0 + SLICE_X146Y123 MUXF7 (Prop_muxf7_I0_O) 0.241 1.200 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38/O + net (fo=1, routed) 0.000 1.200 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38_n_0 + SLICE_X146Y123 MUXF8 (Prop_muxf8_I0_O) 0.098 1.298 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_29/O + net (fo=8, routed) 0.755 2.053 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[5] + SLICE_X144Y117 LUT5 (Prop_lut5_I0_O) 0.319 2.372 f leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41/O + net (fo=2, routed) 0.417 2.790 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41_n_0 + SLICE_X145Y119 LUT3 (Prop_lut3_I1_O) 0.124 2.914 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32/O + net (fo=2, routed) 0.560 3.474 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32_n_0 + SLICE_X147Y117 LUT4 (Prop_lut4_I0_O) 0.124 3.598 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36/O + net (fo=1, routed) 0.000 3.598 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36_n_0 + SLICE_X147Y117 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.999 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/CO[3] + net (fo=1, routed) 0.000 3.999 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_0 + SLICE_X147Y118 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.221 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14/O[0] + net (fo=3, routed) 0.731 4.952 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14_n_7 + SLICE_X147Y120 LUT4 (Prop_lut4_I3_O) 0.299 5.251 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 5.251 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.831 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.334 6.165 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.302 6.467 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.467 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.643 7.110 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[3] + net (fo=2, routed) 0.640 7.750 leftFir/firUnit_1/operativeUnit_1/L[11] + SLICE_X151Y120 LUT6 (Prop_lut6_I0_O) 0.307 8.057 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3/O + net (fo=3, routed) 0.360 8.417 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_3_n_0 + SLICE_X150Y120 LUT2 (Prop_lut2_I0_O) 0.124 8.541 r leftFir/firUnit_1/operativeUnit_1/SR_Y[5]_i_1/O + net (fo=1, routed) 0.000 8.541 leftFir/firUnit_1/operativeUnit_1/p_0_in[5] + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X150Y120 FDCE (Setup_fdce_C_D) 0.079 8.882 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[5] + ------------------------------------------------------------------- + required time 8.882 + arrival time -8.541 + ------------------------------------------------------------------- + slack 0.340 + +Slack (MET) : 0.686ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.264ns (logic 4.335ns (46.792%) route 4.929ns (53.208%)) + Logic Levels: 13 (CARRY4=4 LUT2=1 LUT3=1 LUT4=2 LUT5=2 LUT6=1 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.073ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.746 -1.073 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y117 FDCE (Prop_fdce_C_Q) 0.419 -0.654 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.314 0.660 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X146Y123 LUT6 (Prop_lut6_I2_O) 0.299 0.959 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50/O + net (fo=1, routed) 0.000 0.959 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50_n_0 + SLICE_X146Y123 MUXF7 (Prop_muxf7_I0_O) 0.241 1.200 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38/O + net (fo=1, routed) 0.000 1.200 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38_n_0 + SLICE_X146Y123 MUXF8 (Prop_muxf8_I0_O) 0.098 1.298 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_29/O + net (fo=8, routed) 0.755 2.053 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[5] + SLICE_X144Y117 LUT5 (Prop_lut5_I0_O) 0.319 2.372 f leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41/O + net (fo=2, routed) 0.417 2.790 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41_n_0 + SLICE_X145Y119 LUT3 (Prop_lut3_I1_O) 0.124 2.914 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32/O + net (fo=2, routed) 0.560 3.474 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32_n_0 + SLICE_X147Y117 LUT4 (Prop_lut4_I0_O) 0.124 3.598 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36/O + net (fo=1, routed) 0.000 3.598 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36_n_0 + SLICE_X147Y117 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.999 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/CO[3] + net (fo=1, routed) 0.000 3.999 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_0 + SLICE_X147Y118 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.221 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14/O[0] + net (fo=3, routed) 0.731 4.952 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14_n_7 + SLICE_X147Y120 LUT4 (Prop_lut4_I3_O) 0.299 5.251 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 5.251 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.831 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.334 6.165 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.302 6.467 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.467 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.578 7.045 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[2] + net (fo=3, routed) 0.817 7.863 leftFir/firUnit_1/operativeUnit_1/L[10] + SLICE_X151Y120 LUT5 (Prop_lut5_I4_O) 0.329 8.192 r leftFir/firUnit_1/operativeUnit_1/SR_Y[3]_i_1/O + net (fo=1, routed) 0.000 8.192 leftFir/firUnit_1/operativeUnit_1/p_0_in[3] + SLICE_X151Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X151Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X151Y120 FDCE (Setup_fdce_C_D) 0.075 8.878 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[3] + ------------------------------------------------------------------- + required time 8.878 + arrival time -8.192 + ------------------------------------------------------------------- + slack 0.686 + +Slack (MET) : 0.756ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.161ns (logic 4.197ns (45.815%) route 4.964ns (54.185%)) + Logic Levels: 11 (CARRY4=3 LUT2=1 LUT4=1 LUT5=2 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.118ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -0.996ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.823 -0.996 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y115 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y115 FDCE (Prop_fdce_C_Q) 0.419 -0.577 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.245 0.668 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X158Y120 LUT6 (Prop_lut6_I2_O) 0.297 0.965 f rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57/O + net (fo=1, routed) 0.000 0.965 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57_n_0 + SLICE_X158Y120 MUXF7 (Prop_muxf7_I1_O) 0.214 1.179 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41/O + net (fo=1, routed) 0.000 1.179 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41_n_0 + SLICE_X158Y120 MUXF8 (Prop_muxf8_I1_O) 0.088 1.267 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_30/O + net (fo=8, routed) 0.512 1.779 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[4] + SLICE_X161Y120 LUT5 (Prop_lut5_I0_O) 0.319 2.098 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48/O + net (fo=3, routed) 0.985 3.083 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48_n_0 + SLICE_X157Y118 LUT6 (Prop_lut6_I0_O) 0.124 3.207 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38/O + net (fo=1, routed) 0.000 3.207 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38_n_0 + SLICE_X157Y118 CARRY4 (Prop_carry4_S[1]_O[3]) + 0.640 3.847 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/O[3] + net (fo=4, routed) 0.813 4.660 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_4 + SLICE_X156Y119 LUT4 (Prop_lut4_I1_O) 0.306 4.966 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 4.966 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.546 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.531 6.077 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X155Y119 LUT2 (Prop_lut2_I0_O) 0.302 6.379 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.379 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 6.959 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[2] + net (fo=3, routed) 0.878 7.837 rightFir/firUnit_1/operativeUnit_1/L[10] + SLICE_X152Y119 LUT5 (Prop_lut5_I4_O) 0.328 8.165 r rightFir/firUnit_1/operativeUnit_1/SR_Y[3]_i_1/O + net (fo=1, routed) 0.000 8.165 rightFir/firUnit_1/operativeUnit_1/p_0_in[3] + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X152Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[3]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X152Y119 FDCE (Setup_fdce_C_D) 0.118 8.921 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[3] + ------------------------------------------------------------------- + required time 8.921 + arrival time -8.165 + ------------------------------------------------------------------- + slack 0.756 + +Slack (MET) : 0.926ns (required time - arrival time) + Source: leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 9.030ns (logic 4.307ns (47.694%) route 4.723ns (52.306%)) + Logic Levels: 13 (CARRY4=4 LUT2=1 LUT3=1 LUT4=2 LUT5=1 LUT6=2 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.041ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -1.073ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.746 -1.073 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X145Y117 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X145Y117 FDCE (Prop_fdce_C_Q) 0.419 -0.654 r leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.314 0.660 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X146Y123 LUT6 (Prop_lut6_I2_O) 0.299 0.959 r leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50/O + net (fo=1, routed) 0.000 0.959 leftFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_50_n_0 + SLICE_X146Y123 MUXF7 (Prop_muxf7_I0_O) 0.241 1.200 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38/O + net (fo=1, routed) 0.000 1.200 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_38_n_0 + SLICE_X146Y123 MUXF8 (Prop_muxf8_I0_O) 0.098 1.298 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_29/O + net (fo=8, routed) 0.755 2.053 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[5] + SLICE_X144Y117 LUT5 (Prop_lut5_I0_O) 0.319 2.372 f leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41/O + net (fo=2, routed) 0.417 2.790 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_41_n_0 + SLICE_X145Y119 LUT3 (Prop_lut3_I1_O) 0.124 2.914 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32/O + net (fo=2, routed) 0.560 3.474 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_32_n_0 + SLICE_X147Y117 LUT4 (Prop_lut4_I0_O) 0.124 3.598 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36/O + net (fo=1, routed) 0.000 3.598 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_36_n_0 + SLICE_X147Y117 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 3.999 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/CO[3] + net (fo=1, routed) 0.000 3.999 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_0 + SLICE_X147Y118 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 4.221 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14/O[0] + net (fo=3, routed) 0.731 4.952 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_14_n_7 + SLICE_X147Y120 LUT4 (Prop_lut4_I3_O) 0.299 5.251 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21/O + net (fo=1, routed) 0.000 5.251 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_21_n_0 + SLICE_X147Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 5.831 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[2] + net (fo=4, routed) 0.334 6.165 leftFir/firUnit_1/operativeUnit_1/SC_MultResult[9] + SLICE_X148Y120 LUT2 (Prop_lut2_I0_O) 0.302 6.467 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7/O + net (fo=1, routed) 0.000 6.467 leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_7_n_0 + SLICE_X148Y120 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.578 7.045 r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[2] + net (fo=3, routed) 0.611 7.657 leftFir/firUnit_1/operativeUnit_1/L[10] + SLICE_X150Y120 LUT6 (Prop_lut6_I4_O) 0.301 7.958 r leftFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_1/O + net (fo=1, routed) 0.000 7.958 leftFir/firUnit_1/operativeUnit_1/p_0_in[4] + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 leftFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X150Y120 FDCE r leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X150Y120 FDCE (Setup_fdce_C_D) 0.081 8.884 leftFir/firUnit_1/operativeUnit_1/SR_Y_reg[4] + ------------------------------------------------------------------- + required time 8.884 + arrival time -7.958 + ------------------------------------------------------------------- + slack 0.926 + +Slack (MET) : 1.037ns (required time - arrival time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 10.000ns (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 8.792ns (logic 4.303ns (48.940%) route 4.489ns (51.060%)) + Logic Levels: 13 (CARRY4=5 LUT2=1 LUT3=1 LUT5=1 LUT6=3 MUXF7=1 MUXF8=1) + Clock Path Skew: -0.118ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.667ns = ( 8.333 - 10.000 ) + Source Clock Delay (SCD): -0.996ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.084ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.151ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.823 -0.996 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X156Y115 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y115 FDCE (Prop_fdce_C_Q) 0.419 -0.577 r rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q + net (fo=77, routed) 1.245 0.668 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg__0[1] + SLICE_X158Y120 LUT6 (Prop_lut6_I2_O) 0.297 0.965 f rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57/O + net (fo=1, routed) 0.000 0.965 rightFir/firUnit_1/operativeUnit_1/SR_Y[7]_i_57_n_0 + SLICE_X158Y120 MUXF7 (Prop_muxf7_I1_O) 0.214 1.179 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41/O + net (fo=1, routed) 0.000 1.179 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_41_n_0 + SLICE_X158Y120 MUXF8 (Prop_muxf8_I1_O) 0.088 1.267 f rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[7]_i_30/O + net (fo=8, routed) 0.512 1.779 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[4] + SLICE_X161Y120 LUT5 (Prop_lut5_I0_O) 0.319 2.098 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48/O + net (fo=3, routed) 0.985 3.083 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_48_n_0 + SLICE_X157Y118 LUT6 (Prop_lut6_I0_O) 0.124 3.207 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38/O + net (fo=1, routed) 0.000 3.207 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_38_n_0 + SLICE_X157Y118 CARRY4 (Prop_carry4_S[1]_O[2]) + 0.580 3.787 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27/O[2] + net (fo=2, routed) 0.511 4.298 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_27_n_5 + SLICE_X156Y118 LUT3 (Prop_lut3_I2_O) 0.302 4.600 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_28/O + net (fo=1, routed) 0.000 4.600 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_28_n_0 + SLICE_X156Y118 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 5.001 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_10/CO[3] + net (fo=1, routed) 0.000 5.001 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_10_n_0 + SLICE_X156Y119 CARRY4 (Prop_carry4_CI_O[0]) + 0.222 5.223 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_4/O[0] + net (fo=4, routed) 0.546 5.768 rightFir/firUnit_1/operativeUnit_1/SC_MultResult[7] + SLICE_X155Y118 LUT2 (Prop_lut2_I0_O) 0.299 6.067 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_11/O + net (fo=1, routed) 0.000 6.067 rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_11_n_0 + SLICE_X155Y118 CARRY4 (Prop_carry4_S[3]_CO[3]) + 0.401 6.468 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_3/CO[3] + net (fo=1, routed) 0.000 6.468 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_3_n_0 + SLICE_X155Y119 CARRY4 (Prop_carry4_CI_O[1]) + 0.334 6.802 r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]_i_2/O[1] + net (fo=4, routed) 0.691 7.494 rightFir/firUnit_1/operativeUnit_1/L[9] + SLICE_X153Y119 LUT6 (Prop_lut6_I0_O) 0.303 7.797 r rightFir/firUnit_1/operativeUnit_1/SR_Y[4]_i_1/O + net (fo=1, routed) 0.000 7.797 rightFir/firUnit_1/operativeUnit_1/p_0_in[4] + SLICE_X153Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 10.000 10.000 r + R4 0.000 10.000 r CLK100MHZ (IN) + net (fo=0) 0.000 10.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 11.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 12.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -7.674 4.893 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 1.723 6.616 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.091 6.707 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 1.625 8.333 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X153Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4]/C + clock pessimism 0.554 8.886 + clock uncertainty -0.084 8.803 + SLICE_X153Y119 FDCE (Setup_fdce_C_D) 0.031 8.834 rightFir/firUnit_1/operativeUnit_1/SR_Y_reg[4] + ------------------------------------------------------------------- + required time 8.834 + arrival time -7.797 + ------------------------------------------------------------------- + slack 1.037 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.132ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[3]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[10]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.266ns (logic 0.186ns (69.897%) route 0.080ns (30.103%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X159Y126 FDRE r audio_inout/D_L_O_int_reg[3]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y126 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_L_O_int_reg[3]/Q + net (fo=1, routed) 0.080 -0.444 audio_inout/in_audioL[3] + SLICE_X158Y126 LUT6 (Prop_lut6_I1_O) 0.045 -0.399 r audio_inout/Data_Out_int[10]_i_1/O + net (fo=1, routed) 0.000 -0.399 audio_inout/Data_Out_int[10]_i_1_n_0 + SLICE_X158Y126 FDRE r audio_inout/Data_Out_int_reg[10]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 audio_inout/clk_out1 + SLICE_X158Y126 FDRE r audio_inout/Data_Out_int_reg[10]/C + clock pessimism 0.257 -0.652 + SLICE_X158Y126 FDRE (Hold_fdre_C_D) 0.121 -0.531 audio_inout/Data_Out_int_reg[10] + ------------------------------------------------------------------- + required time 0.531 + arrival time -0.399 + ------------------------------------------------------------------- + slack 0.132 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[7]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[14]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.273ns (logic 0.186ns (68.106%) route 0.087ns (31.894%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.910ns + Source Clock Delay (SCD): -0.666ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.634 -0.666 audio_inout/clk_out1 + SLICE_X159Y125 FDRE r audio_inout/D_L_O_int_reg[7]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y125 FDRE (Prop_fdre_C_Q) 0.141 -0.525 r audio_inout/D_L_O_int_reg[7]/Q + net (fo=1, routed) 0.087 -0.438 audio_inout/in_audioL[7] + SLICE_X158Y125 LUT6 (Prop_lut6_I1_O) 0.045 -0.393 r audio_inout/Data_Out_int[14]_i_1/O + net (fo=1, routed) 0.000 -0.393 audio_inout/Data_Out_int[14]_i_1_n_0 + SLICE_X158Y125 FDRE r audio_inout/Data_Out_int_reg[14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.904 -0.910 audio_inout/clk_out1 + SLICE_X158Y125 FDRE r audio_inout/Data_Out_int_reg[14]/C + clock pessimism 0.257 -0.653 + SLICE_X158Y125 FDRE (Hold_fdre_C_D) 0.120 -0.533 audio_inout/Data_Out_int_reg[14] + ------------------------------------------------------------------- + required time 0.533 + arrival time -0.393 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[11]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[18]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.245ns (logic 0.186ns (75.768%) route 0.059ns (24.232%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X157Y126 FDRE r audio_inout/D_L_O_int_reg[11]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y126 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_L_O_int_reg[11]/Q + net (fo=1, routed) 0.059 -0.464 audio_inout/in_audioL[11] + SLICE_X156Y126 LUT6 (Prop_lut6_I1_O) 0.045 -0.419 r audio_inout/Data_Out_int[18]_i_1/O + net (fo=1, routed) 0.000 -0.419 audio_inout/Data_Out_int[18]_i_1_n_0 + SLICE_X156Y126 FDRE r audio_inout/Data_Out_int_reg[18]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 audio_inout/clk_out1 + SLICE_X156Y126 FDRE r audio_inout/Data_Out_int_reg[18]/C + clock pessimism 0.257 -0.652 + SLICE_X156Y126 FDRE (Hold_fdre_C_D) 0.092 -0.560 audio_inout/Data_Out_int_reg[18] + ------------------------------------------------------------------- + required time 0.560 + arrival time -0.419 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.140ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[8]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[15]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.244ns (logic 0.186ns (76.078%) route 0.058ns (23.922%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.909ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X157Y126 FDRE r audio_inout/D_L_O_int_reg[8]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y126 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_L_O_int_reg[8]/Q + net (fo=1, routed) 0.058 -0.465 audio_inout/in_audioL[8] + SLICE_X156Y126 LUT6 (Prop_lut6_I1_O) 0.045 -0.420 r audio_inout/Data_Out_int[15]_i_1/O + net (fo=1, routed) 0.000 -0.420 audio_inout/Data_Out_int[15]_i_1_n_0 + SLICE_X156Y126 FDRE r audio_inout/Data_Out_int_reg[15]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.905 -0.909 audio_inout/clk_out1 + SLICE_X156Y126 FDRE r audio_inout/Data_Out_int_reg[15]/C + clock pessimism 0.257 -0.652 + SLICE_X156Y126 FDRE (Hold_fdre_C_D) 0.091 -0.561 audio_inout/Data_Out_int_reg[15] + ------------------------------------------------------------------- + required time 0.561 + arrival time -0.420 + ------------------------------------------------------------------- + slack 0.140 + +Slack (MET) : 0.159ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.252ns (logic 0.141ns (55.869%) route 0.111ns (44.131%)) + Logic Levels: 0 + Clock Path Skew: 0.015ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.899ns + Source Clock Delay (SCD): -0.657ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.643 -0.657 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X159Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y114 FDCE (Prop_fdce_C_Q) 0.141 -0.516 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7][2]/Q + net (fo=2, routed) 0.111 -0.404 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[7]__0[2] + SLICE_X157Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.915 -0.899 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y114 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2]/C + clock pessimism 0.257 -0.642 + SLICE_X157Y114 FDCE (Hold_fdce_C_D) 0.078 -0.564 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][2] + ------------------------------------------------------------------- + required time 0.564 + arrival time -0.404 + ------------------------------------------------------------------- + slack 0.159 + +Slack (MET) : 0.163ns (arrival time - required time) + Source: audio_inout/D_L_O_int_reg[0]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/Data_Out_int_reg[7]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.296ns (logic 0.186ns (62.801%) route 0.110ns (37.199%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.012ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.910ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X159Y126 FDRE r audio_inout/D_L_O_int_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y126 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/D_L_O_int_reg[0]/Q + net (fo=1, routed) 0.110 -0.414 audio_inout/in_audioL[0] + SLICE_X158Y125 LUT6 (Prop_lut6_I4_O) 0.045 -0.369 r audio_inout/Data_Out_int[7]_i_1/O + net (fo=1, routed) 0.000 -0.369 audio_inout/Data_Out_int[7]_i_1_n_0 + SLICE_X158Y125 FDRE r audio_inout/Data_Out_int_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.904 -0.910 audio_inout/clk_out1 + SLICE_X158Y125 FDRE r audio_inout/Data_Out_int_reg[7]/C + clock pessimism 0.257 -0.653 + SLICE_X158Y125 FDRE (Hold_fdre_C_D) 0.121 -0.532 audio_inout/Data_Out_int_reg[7] + ------------------------------------------------------------------- + required time 0.532 + arrival time -0.369 + ------------------------------------------------------------------- + slack 0.163 + +Slack (MET) : 0.166ns (arrival time - required time) + Source: audio_inout/Data_In_int_reg[22]/C + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: audio_inout/D_R_O_int_reg[14]/D + (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.271ns (logic 0.141ns (52.003%) route 0.130ns (47.997%)) + Logic Levels: 0 + Clock Path Skew: 0.035ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.910ns + Source Clock Delay (SCD): -0.665ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.635 -0.665 audio_inout/clk_out1 + SLICE_X160Y125 FDRE r audio_inout/Data_In_int_reg[22]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y125 FDRE (Prop_fdre_C_Q) 0.141 -0.524 r audio_inout/Data_In_int_reg[22]/Q + net (fo=3, routed) 0.130 -0.394 audio_inout/p_0_in__0[14] + SLICE_X157Y125 FDRE r audio_inout/D_R_O_int_reg[14]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.904 -0.910 audio_inout/clk_out1 + SLICE_X157Y125 FDRE r audio_inout/D_R_O_int_reg[14]/C + clock pessimism 0.280 -0.630 + SLICE_X157Y125 FDRE (Hold_fdre_C_D) 0.070 -0.560 audio_inout/D_R_O_int_reg[14] + ------------------------------------------------------------------- + required time 0.560 + arrival time -0.394 + ------------------------------------------------------------------- + slack 0.166 + +Slack (MET) : 0.168ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][4]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][4]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.232ns (logic 0.164ns (70.680%) route 0.068ns (29.320%)) + Logic Levels: 0 + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.661ns + Clock Pessimism Removal (CPR): -0.243ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.639 -0.661 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X158Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][4]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y119 FDCE (Prop_fdce_C_Q) 0.164 -0.497 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][4]/Q + net (fo=2, routed) 0.068 -0.429 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8]__0[4] + SLICE_X158Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][4]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.910 -0.904 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X158Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][4]/C + clock pessimism 0.243 -0.661 + SLICE_X158Y119 FDCE (Hold_fdce_C_D) 0.064 -0.597 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][4] + ------------------------------------------------------------------- + required time 0.597 + arrival time -0.429 + ------------------------------------------------------------------- + slack 0.168 + +Slack (MET) : 0.171ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][0]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.256ns (logic 0.141ns (55.090%) route 0.115ns (44.910%)) + Logic Levels: 0 + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.904ns + Source Clock Delay (SCD): -0.660ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.640 -0.660 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X161Y119 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y119 FDCE (Prop_fdce_C_Q) 0.141 -0.519 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][0]/Q + net (fo=2, routed) 0.115 -0.404 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9]__0[0] + SLICE_X160Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.910 -0.904 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X160Y120 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]/C + clock pessimism 0.257 -0.647 + SLICE_X160Y120 FDCE (Hold_fdce_C_D) 0.072 -0.575 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0] + ------------------------------------------------------------------- + required time 0.575 + arrival time -0.404 + ------------------------------------------------------------------- + slack 0.171 + +Slack (MET) : 0.171ns (arrival time - required time) + Source: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][5]/C + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Destination: rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][5]/D + (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0 {rise@0.000ns fall@5.000ns period=10.000ns}) + Path Group: clk_out1_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.255ns (logic 0.141ns (55.264%) route 0.114ns (44.736%)) + Logic Levels: 0 + Clock Path Skew: 0.014ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.906ns + Source Clock Delay (SCD): -0.663ns + Clock Pessimism Removal (CPR): -0.257ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.637 -0.663 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X157Y121 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][5]/C + ------------------------------------------------------------------- ------------------- + SLICE_X157Y121 FDCE (Prop_fdce_C_Q) 0.141 -0.522 r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2][5]/Q + net (fo=2, routed) 0.114 -0.408 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[2]__0[5] + SLICE_X159Y121 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][5]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out1_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT0 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out1_clk_wiz_0 + BUFGCTRL_X0Y1 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout1_buf/O + net (fo=459, routed) 0.908 -0.906 rightFir/firUnit_1/operativeUnit_1/clk_out1 + SLICE_X159Y121 FDCE r rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][5]/C + clock pessimism 0.257 -0.649 + SLICE_X159Y121 FDCE (Hold_fdce_C_D) 0.070 -0.579 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[3][5] + ------------------------------------------------------------------- + required time 0.579 + arrival time -0.408 + ------------------------------------------------------------------- + slack 0.171 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out1_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT0 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y1 clk_1/inst/clkout1_buf/I +Min Period n/a MMCME2_ADV/CLKOUT0 n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X152Y126 lrclkD1_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y126 lrclkD2_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X149Y126 lrclkcnt_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X149Y126 lrclkcnt_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X150Y126 lrclkcnt_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X150Y126 lrclkcnt_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X151Y126 pulse48kHz_reg/C +Min Period n/a FDRE/C n/a 1.000 10.000 9.000 SLICE_X160Y124 audio_inout/BCLK_int_reg/C +Max Period n/a MMCME2_ADV/CLKOUT0 n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT0 +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X152Y126 lrclkD1_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X152Y126 lrclkD1_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y126 lrclkD2_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y126 lrclkD2_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y126 lrclkcnt_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y126 lrclkcnt_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X152Y126 lrclkD1_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X152Y126 lrclkD1_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y126 lrclkD2_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X151Y126 lrclkD2_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X149Y126 lrclkcnt_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y126 lrclkcnt_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 5.000 4.500 SLICE_X150Y126 lrclkcnt_reg[2]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out3_clk_wiz_0 + To Clock: clk_out3_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 81.178ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out3_clk_wiz_0 +Waveform(ns): { 0.000 41.667 } +Period(ns): 83.333 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT2 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 83.333 81.178 BUFGCTRL_X0Y0 clk_1/inst/clkout3_buf/I +Min Period n/a MMCME2_ADV/CLKOUT2 n/a 1.249 83.333 82.084 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 +Max Period n/a MMCME2_ADV/CLKOUT2 n/a 213.360 83.333 130.027 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT2 + + + +--------------------------------------------------------------------------------------------------- +From Clock: clk_out4_clk_wiz_0 + To Clock: clk_out4_clk_wiz_0 + +Setup : 0 Failing Endpoints, Worst Slack 14.404ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.151ns, Total Violation 0.000ns +PW : 0 Failing Endpoints, Worst Slack 9.500ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Max Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 14.404ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[2]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.279ns (logic 1.014ns (19.208%) route 4.265ns (80.792%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.922 4.280 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[2]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y113 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[2] + ------------------------------------------------------------------- + required time 18.684 + arrival time -4.280 + ------------------------------------------------------------------- + slack 14.404 + +Slack (MET) : 14.404ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[3]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.279ns (logic 1.014ns (19.208%) route 4.265ns (80.792%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.922 4.280 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[3]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y113 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[3] + ------------------------------------------------------------------- + required time 18.684 + arrival time -4.280 + ------------------------------------------------------------------- + slack 14.404 + +Slack (MET) : 14.404ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[4]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.279ns (logic 1.014ns (19.208%) route 4.265ns (80.792%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.922 4.280 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[4]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y113 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[4] + ------------------------------------------------------------------- + required time 18.684 + arrival time -4.280 + ------------------------------------------------------------------- + slack 14.404 + +Slack (MET) : 14.404ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[5]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 5.279ns (logic 1.014ns (19.208%) route 4.265ns (80.792%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.922 4.280 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y113 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[5] + ------------------------------------------------------------------- + required time 18.684 + arrival time -4.280 + ------------------------------------------------------------------- + slack 14.404 + +Slack (MET) : 14.717ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.966ns (logic 1.014ns (20.420%) route 3.952ns (79.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.609 3.967 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[0]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[0] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.967 + ------------------------------------------------------------------- + slack 14.717 + +Slack (MET) : 14.717ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[1]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.966ns (logic 1.014ns (20.420%) route 3.952ns (79.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.609 3.967 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[1]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[1] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.967 + ------------------------------------------------------------------- + slack 14.717 + +Slack (MET) : 14.717ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[7]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.966ns (logic 1.014ns (20.420%) route 3.952ns (79.580%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.018ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.584ns = ( 18.416 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.609 3.967 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[7]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.708 18.416 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y114 FDRE r initialize_audio/twi_controller/dataByte_reg[7]/C + clock pessimism 0.568 18.983 + clock uncertainty -0.094 18.889 + SLICE_X160Y114 FDRE (Setup_fdre_C_CE) -0.205 18.684 initialize_audio/twi_controller/dataByte_reg[7] + ------------------------------------------------------------------- + required time 18.684 + arrival time -3.967 + ------------------------------------------------------------------- + slack 14.717 + +Slack (MET) : 14.759ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[6]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.944ns (logic 1.014ns (20.509%) route 3.930ns (79.491%)) + Logic Levels: 4 (LUT2=2 LUT6=2) + Clock Path Skew: -0.034ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.586ns = ( 18.414 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.244 2.664 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I3_O) 0.124 2.788 r initialize_audio/twi_controller/dataByte[7]_i_4/O + net (fo=4, routed) 0.446 3.234 initialize_audio/twi_controller/dataByte0 + SLICE_X161Y114 LUT2 (Prop_lut2_I1_O) 0.124 3.358 r initialize_audio/twi_controller/dataByte[7]_i_1/O + net (fo=8, routed) 0.587 3.945 initialize_audio/twi_controller/dataByte[7]_i_1_n_0 + SLICE_X158Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.706 18.414 initialize_audio/twi_controller/clk_out4 + SLICE_X158Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/C + clock pessimism 0.554 18.967 + clock uncertainty -0.094 18.873 + SLICE_X158Y113 FDRE (Setup_fdre_C_CE) -0.169 18.704 initialize_audio/twi_controller/dataByte_reg[6] + ------------------------------------------------------------------- + required time 18.704 + arrival time -3.945 + ------------------------------------------------------------------- + slack 14.759 + +Slack (MET) : 14.966ns (required time - arrival time) + Source: initialize_audio/twi_controller/sclCnt_reg[2]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/bitCount_reg[2]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.952ns (logic 1.014ns (20.475%) route 3.938ns (79.525%)) + Logic Levels: 4 (LUT2=1 LUT5=1 LUT6=2) + Clock Path Skew: -0.019ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.585ns = ( 18.415 - 20.000 ) + Source Clock Delay (SCD): -0.999ns + Clock Pessimism Removal (CPR): 0.568ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.820 -0.999 initialize_audio/twi_controller/clk_out4 + SLICE_X162Y119 FDSE r initialize_audio/twi_controller/sclCnt_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X162Y119 FDSE (Prop_fdse_C_Q) 0.518 -0.481 f initialize_audio/twi_controller/sclCnt_reg[2]/Q + net (fo=5, routed) 0.815 0.334 initialize_audio/twi_controller/sclCnt[2] + SLICE_X162Y118 LUT6 (Prop_lut6_I1_O) 0.124 0.458 r initialize_audio/twi_controller/sclCnt[6]_i_4/O + net (fo=2, routed) 0.838 1.296 initialize_audio/twi_controller/sclCnt[6]_i_4_n_0 + SLICE_X162Y119 LUT2 (Prop_lut2_I0_O) 0.124 1.420 r initialize_audio/twi_controller/FSM_gray_state[3]_i_5/O + net (fo=11, routed) 1.446 2.867 initialize_audio/twi_controller/FSM_gray_state[3]_i_5_n_0 + SLICE_X161Y114 LUT6 (Prop_lut6_I5_O) 0.124 2.991 r initialize_audio/twi_controller/dataByte[7]_i_3/O + net (fo=4, routed) 0.839 3.830 initialize_audio/twi_controller/dataByte1 + SLICE_X160Y115 LUT5 (Prop_lut5_I4_O) 0.124 3.954 r initialize_audio/twi_controller/bitCount[2]_i_1/O + net (fo=1, routed) 0.000 3.954 initialize_audio/twi_controller/bitCount[2]_i_1_n_0 + SLICE_X160Y115 FDRE r initialize_audio/twi_controller/bitCount_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.707 18.415 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y115 FDRE r initialize_audio/twi_controller/bitCount_reg[2]/C + clock pessimism 0.568 18.982 + clock uncertainty -0.094 18.888 + SLICE_X160Y115 FDRE (Setup_fdre_C_D) 0.031 18.919 initialize_audio/twi_controller/bitCount_reg[2] + ------------------------------------------------------------------- + required time 18.919 + arrival time -3.954 + ------------------------------------------------------------------- + slack 14.966 + +Slack (MET) : 15.008ns (required time - arrival time) + Source: initialize_audio/delaycnt_reg[29]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/state_reg[0]/CE + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Setup (Max at Slow Process Corner) + Requirement: 20.000ns (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 4.658ns (logic 0.952ns (20.440%) route 3.706ns (79.560%)) + Logic Levels: 4 (LUT4=2 LUT5=1 LUT6=1) + Clock Path Skew: -0.035ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.582ns = ( 18.418 - 20.000 ) + Source Clock Delay (SCD): -0.994ns + Clock Pessimism Removal (CPR): 0.554ns + Clock Uncertainty: 0.094ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.071ns + Discrete Jitter (DJ): 0.174ns + Phase Error (PE): 0.000ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.233 2.708 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.432 -4.724 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.808 -2.915 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.096 -2.819 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.825 -0.994 initialize_audio/clk_out4 + SLICE_X156Y114 FDRE r initialize_audio/delaycnt_reg[29]/C + ------------------------------------------------------------------- ------------------- + SLICE_X156Y114 FDRE (Prop_fdre_C_Q) 0.456 -0.538 f initialize_audio/delaycnt_reg[29]/Q + net (fo=3, routed) 0.982 0.444 initialize_audio/delaycnt_reg_n_0_[29] + SLICE_X157Y111 LUT4 (Prop_lut4_I3_O) 0.124 0.568 f initialize_audio/initA[6]_i_15/O + net (fo=1, routed) 0.667 1.235 initialize_audio/initA[6]_i_15_n_0 + SLICE_X157Y111 LUT5 (Prop_lut5_I4_O) 0.124 1.359 f initialize_audio/initA[6]_i_11/O + net (fo=1, routed) 0.823 2.182 initialize_audio/initA[6]_i_11_n_0 + SLICE_X158Y111 LUT4 (Prop_lut4_I3_O) 0.124 2.306 r initialize_audio/initA[6]_i_4/O + net (fo=4, routed) 0.529 2.835 initialize_audio/twi_controller/initEn_reg + SLICE_X159Y111 LUT6 (Prop_lut6_I1_O) 0.124 2.959 r initialize_audio/twi_controller/state[3]_i_1/O + net (fo=4, routed) 0.705 3.664 initialize_audio/twi_controller_n_6 + SLICE_X161Y111 FDRE r initialize_audio/state_reg[0]/CE + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 20.000 20.000 r + R4 0.000 20.000 r CLK100MHZ (IN) + net (fo=0) 0.000 20.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 1.405 21.405 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 1.162 22.567 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -7.674 14.893 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 1.723 16.616 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.091 16.707 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 1.710 18.418 initialize_audio/clk_out4 + SLICE_X161Y111 FDRE r initialize_audio/state_reg[0]/C + clock pessimism 0.554 18.971 + clock uncertainty -0.094 18.877 + SLICE_X161Y111 FDRE (Setup_fdre_C_CE) -0.205 18.672 initialize_audio/state_reg[0] + ------------------------------------------------------------------- + required time 18.672 + arrival time -3.664 + ------------------------------------------------------------------- + slack 15.008 + + + + + +Min Delay Paths +-------------------------------------------------------------------------------------- +Slack (MET) : 0.151ns (arrival time - required time) + Source: initialize_audio/initWord_reg[23]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[7]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.256ns (logic 0.186ns (72.524%) route 0.070ns (27.476%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X161Y110 FDRE r initialize_audio/initWord_reg[23]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y110 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initWord_reg[23]/Q + net (fo=2, routed) 0.070 -0.442 initialize_audio/data1[7] + SLICE_X160Y110 LUT6 (Prop_lut6_I5_O) 0.045 -0.397 r initialize_audio/data_i[7]_i_1/O + net (fo=1, routed) 0.000 -0.397 initialize_audio/data_i[7]_i_1_n_0 + SLICE_X160Y110 FDRE r initialize_audio/data_i_reg[7]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X160Y110 FDRE r initialize_audio/data_i_reg[7]/C + clock pessimism 0.254 -0.641 + SLICE_X160Y110 FDRE (Hold_fdre_C_D) 0.092 -0.549 initialize_audio/data_i_reg[7] + ------------------------------------------------------------------- + required time 0.549 + arrival time -0.397 + ------------------------------------------------------------------- + slack 0.151 + +Slack (MET) : 0.171ns (arrival time - required time) + Source: initialize_audio/twi_controller/dataByte_reg[5]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/dataByte_reg[6]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.329ns (logic 0.186ns (56.469%) route 0.143ns (43.531%)) + Logic Levels: 1 (LUT4=1) + Clock Path Skew: 0.037ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.899ns + Source Clock Delay (SCD): -0.656ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.644 -0.656 initialize_audio/twi_controller/clk_out4 + SLICE_X160Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[5]/C + ------------------------------------------------------------------- ------------------- + SLICE_X160Y113 FDRE (Prop_fdre_C_Q) 0.141 -0.515 r initialize_audio/twi_controller/dataByte_reg[5]/Q + net (fo=1, routed) 0.143 -0.371 initialize_audio/twi_controller/dataByte[5] + SLICE_X158Y113 LUT4 (Prop_lut4_I3_O) 0.045 -0.326 r initialize_audio/twi_controller/dataByte[6]_i_1/O + net (fo=1, routed) 0.000 -0.326 initialize_audio/twi_controller/p_1_in[6] + SLICE_X158Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.915 -0.899 initialize_audio/twi_controller/clk_out4 + SLICE_X158Y113 FDRE r initialize_audio/twi_controller/dataByte_reg[6]/C + clock pessimism 0.280 -0.619 + SLICE_X158Y113 FDRE (Hold_fdre_C_D) 0.121 -0.498 initialize_audio/twi_controller/dataByte_reg[6] + ------------------------------------------------------------------- + required time 0.498 + arrival time -0.326 + ------------------------------------------------------------------- + slack 0.171 + +Slack (MET) : 0.210ns (arrival time - required time) + Source: initialize_audio/initWord_reg[9]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[1]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.342ns (logic 0.186ns (54.411%) route 0.156ns (45.589%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.040ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.655ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.645 -0.655 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initWord_reg[9]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y110 FDRE (Prop_fdre_C_Q) 0.141 -0.514 r initialize_audio/initWord_reg[9]/Q + net (fo=1, routed) 0.156 -0.358 initialize_audio/data2[1] + SLICE_X160Y110 LUT6 (Prop_lut6_I2_O) 0.045 -0.313 r initialize_audio/data_i[1]_i_1/O + net (fo=1, routed) 0.000 -0.313 initialize_audio/data_i[1]_i_1_n_0 + SLICE_X160Y110 FDRE r initialize_audio/data_i_reg[1]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X160Y110 FDRE r initialize_audio/data_i_reg[1]/C + clock pessimism 0.280 -0.615 + SLICE_X160Y110 FDRE (Hold_fdre_C_D) 0.092 -0.523 initialize_audio/data_i_reg[1] + ------------------------------------------------------------------- + required time 0.523 + arrival time -0.313 + ------------------------------------------------------------------- + slack 0.210 + +Slack (MET) : 0.211ns (arrival time - required time) + Source: initialize_audio/initA_reg[2]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[9]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.316ns (logic 0.209ns (66.192%) route 0.107ns (33.808%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.896ns + Source Clock Delay (SCD): -0.655ns + Clock Pessimism Removal (CPR): -0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.645 -0.655 initialize_audio/clk_out4 + SLICE_X158Y110 FDRE r initialize_audio/initA_reg[2]/C + ------------------------------------------------------------------- ------------------- + SLICE_X158Y110 FDRE (Prop_fdre_C_Q) 0.164 -0.491 r initialize_audio/initA_reg[2]/Q + net (fo=22, routed) 0.107 -0.384 initialize_audio/initA_reg_n_0_[2] + SLICE_X159Y110 LUT6 (Prop_lut6_I4_O) 0.045 -0.339 r initialize_audio/initWord[9]_i_1/O + net (fo=1, routed) 0.000 -0.339 initialize_audio/initWord[9]_i_1_n_0 + SLICE_X159Y110 FDRE r initialize_audio/initWord_reg[9]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.918 -0.896 initialize_audio/clk_out4 + SLICE_X159Y110 FDRE r initialize_audio/initWord_reg[9]/C + clock pessimism 0.254 -0.642 + SLICE_X159Y110 FDRE (Hold_fdre_C_D) 0.092 -0.550 initialize_audio/initWord_reg[9] + ------------------------------------------------------------------- + required time 0.550 + arrival time -0.339 + ------------------------------------------------------------------- + slack 0.211 + +Slack (MET) : 0.214ns (arrival time - required time) + Source: initialize_audio/state_reg[0]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[2]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.318ns (logic 0.186ns (58.446%) route 0.132ns (41.554%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X161Y111 FDRE r initialize_audio/state_reg[0]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y111 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/state_reg[0]/Q + net (fo=21, routed) 0.132 -0.381 initialize_audio/state_reg_n_0_[0] + SLICE_X160Y111 LUT6 (Prop_lut6_I1_O) 0.045 -0.336 r initialize_audio/data_i[2]_i_1/O + net (fo=1, routed) 0.000 -0.336 initialize_audio/data_i[2]_i_1_n_0 + SLICE_X160Y111 FDRE r initialize_audio/data_i_reg[2]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X160Y111 FDRE r initialize_audio/data_i_reg[2]/C + clock pessimism 0.254 -0.641 + SLICE_X160Y111 FDRE (Hold_fdre_C_D) 0.091 -0.550 initialize_audio/data_i_reg[2] + ------------------------------------------------------------------- + required time 0.550 + arrival time -0.336 + ------------------------------------------------------------------- + slack 0.214 + +Slack (MET) : 0.218ns (arrival time - required time) + Source: initialize_audio/initA_reg[5]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initA_reg[6]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.349ns (logic 0.186ns (53.360%) route 0.163ns (46.640%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.040ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.894ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X159Y109 FDRE r initialize_audio/initA_reg[5]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y109 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initA_reg[5]/Q + net (fo=23, routed) 0.163 -0.350 initialize_audio/initA_reg_n_0_[5] + SLICE_X161Y109 LUT6 (Prop_lut6_I1_O) 0.045 -0.305 r initialize_audio/initA[6]_i_3/O + net (fo=1, routed) 0.000 -0.305 initialize_audio/initA[6]_i_3_n_0 + SLICE_X161Y109 FDRE r initialize_audio/initA_reg[6]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.920 -0.894 initialize_audio/clk_out4 + SLICE_X161Y109 FDRE r initialize_audio/initA_reg[6]/C + clock pessimism 0.280 -0.614 + SLICE_X161Y109 FDRE (Hold_fdre_C_D) 0.091 -0.523 initialize_audio/initA_reg[6] + ------------------------------------------------------------------- + required time 0.523 + arrival time -0.305 + ------------------------------------------------------------------- + slack 0.218 + +Slack (MET) : 0.219ns (arrival time - required time) + Source: initialize_audio/initA_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[18]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.351ns (logic 0.186ns (53.034%) route 0.165ns (46.966%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.040ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.894ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X159Y109 FDRE r initialize_audio/initA_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y109 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initA_reg[1]/Q + net (fo=25, routed) 0.165 -0.348 initialize_audio/initA_reg_n_0_[1] + SLICE_X160Y109 LUT6 (Prop_lut6_I3_O) 0.045 -0.303 r initialize_audio/initWord[18]_i_1/O + net (fo=1, routed) 0.000 -0.303 initialize_audio/initWord[18]_i_1_n_0 + SLICE_X160Y109 FDRE r initialize_audio/initWord_reg[18]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.920 -0.894 initialize_audio/clk_out4 + SLICE_X160Y109 FDRE r initialize_audio/initWord_reg[18]/C + clock pessimism 0.280 -0.614 + SLICE_X160Y109 FDRE (Hold_fdre_C_D) 0.092 -0.522 initialize_audio/initWord_reg[18] + ------------------------------------------------------------------- + required time 0.522 + arrival time -0.303 + ------------------------------------------------------------------- + slack 0.219 + +Slack (MET) : 0.221ns (arrival time - required time) + Source: initialize_audio/initA_reg[1]/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/initWord_reg[17]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.352ns (logic 0.186ns (52.883%) route 0.166ns (47.117%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.040ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.894ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.280ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X159Y109 FDRE r initialize_audio/initA_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X159Y109 FDRE (Prop_fdre_C_Q) 0.141 -0.513 r initialize_audio/initA_reg[1]/Q + net (fo=25, routed) 0.166 -0.347 initialize_audio/initA_reg_n_0_[1] + SLICE_X160Y109 LUT6 (Prop_lut6_I2_O) 0.045 -0.302 r initialize_audio/initWord[17]_i_1/O + net (fo=1, routed) 0.000 -0.302 initialize_audio/initWord[17]_i_1_n_0 + SLICE_X160Y109 FDRE r initialize_audio/initWord_reg[17]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.920 -0.894 initialize_audio/clk_out4 + SLICE_X160Y109 FDRE r initialize_audio/initWord_reg[17]/C + clock pessimism 0.280 -0.614 + SLICE_X160Y109 FDRE (Hold_fdre_C_D) 0.091 -0.523 initialize_audio/initWord_reg[17] + ------------------------------------------------------------------- + required time 0.523 + arrival time -0.302 + ------------------------------------------------------------------- + slack 0.221 + +Slack (MET) : 0.232ns (arrival time - required time) + Source: initialize_audio/state_reg[1]/C + (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/data_i_reg[0]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.337ns (logic 0.186ns (55.160%) route 0.151ns (44.840%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.895ns + Source Clock Delay (SCD): -0.654ns + Clock Pessimism Removal (CPR): -0.254ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.646 -0.654 initialize_audio/clk_out4 + SLICE_X161Y111 FDSE r initialize_audio/state_reg[1]/C + ------------------------------------------------------------------- ------------------- + SLICE_X161Y111 FDSE (Prop_fdse_C_Q) 0.141 -0.513 r initialize_audio/state_reg[1]/Q + net (fo=21, routed) 0.151 -0.362 initialize_audio/state_reg_n_0_[1] + SLICE_X160Y111 LUT6 (Prop_lut6_I2_O) 0.045 -0.317 r initialize_audio/data_i[0]_i_1/O + net (fo=1, routed) 0.000 -0.317 initialize_audio/data_i[0]_i_1_n_0 + SLICE_X160Y111 FDRE r initialize_audio/data_i_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.919 -0.895 initialize_audio/clk_out4 + SLICE_X160Y111 FDRE r initialize_audio/data_i_reg[0]/C + clock pessimism 0.254 -0.641 + SLICE_X160Y111 FDRE (Hold_fdre_C_D) 0.092 -0.549 initialize_audio/data_i_reg[0] + ------------------------------------------------------------------- + required time 0.549 + arrival time -0.317 + ------------------------------------------------------------------- + slack 0.232 + +Slack (MET) : 0.236ns (arrival time - required time) + Source: initialize_audio/twi_controller/dSda_reg/C + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Destination: initialize_audio/twi_controller/busState_reg[0]/D + (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0 {rise@0.000ns fall@10.000ns period=20.000ns}) + Path Group: clk_out4_clk_wiz_0 + Path Type: Hold (Min at Fast Process Corner) + Requirement: 0.000ns (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns) + Data Path Delay: 0.327ns (logic 0.227ns (69.392%) route 0.100ns (30.608%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.900ns + Source Clock Delay (SCD): -0.657ns + Clock Pessimism Removal (CPR): -0.243ns + + Location Delay type Incr(ns) Path(ns) Netlist Resource(s) + ------------------------------------------------------------------- ------------------- + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -2.540 -1.856 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.531 -1.325 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.026 -1.299 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.643 -0.657 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y116 FDRE r initialize_audio/twi_controller/dSda_reg/C + ------------------------------------------------------------------- ------------------- + SLICE_X163Y116 FDRE (Prop_fdre_C_Q) 0.128 -0.529 r initialize_audio/twi_controller/dSda_reg/Q + net (fo=9, routed) 0.100 -0.429 initialize_audio/twi_controller/p_0_in_0[0] + SLICE_X163Y116 LUT6 (Prop_lut6_I1_O) 0.099 -0.330 r initialize_audio/twi_controller/busState[0]_i_1/O + net (fo=1, routed) 0.000 -0.330 initialize_audio/twi_controller/busState[0]_i_1_n_0 + SLICE_X163Y116 FDRE r initialize_audio/twi_controller/busState_reg[0]/D + ------------------------------------------------------------------- ------------------- + + (clock clk_out4_clk_wiz_0 rise edge) + 0.000 0.000 r + R4 0.000 0.000 r CLK100MHZ (IN) + net (fo=0) 0.000 0.000 clk_1/inst/clk_in1 + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r clk_1/inst/clkin1_ibufg/O + net (fo=1, routed) 0.480 0.911 clk_1/inst/clk_in1_clk_wiz_0 + MMCME2_ADV_X1Y2 MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3) + -3.332 -2.421 r clk_1/inst/mmcm_adv_inst/CLKOUT3 + net (fo=1, routed) 0.579 -1.843 clk_1/inst/clk_out4_clk_wiz_0 + BUFGCTRL_X0Y2 BUFG (Prop_bufg_I_O) 0.029 -1.814 r clk_1/inst/clkout4_buf/O + net (fo=120, routed) 0.914 -0.900 initialize_audio/twi_controller/clk_out4 + SLICE_X163Y116 FDRE r initialize_audio/twi_controller/busState_reg[0]/C + clock pessimism 0.243 -0.657 + SLICE_X163Y116 FDRE (Hold_fdre_C_D) 0.091 -0.566 initialize_audio/twi_controller/busState_reg[0] + ------------------------------------------------------------------- + required time 0.566 + arrival time -0.330 + ------------------------------------------------------------------- + slack 0.236 + + + + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clk_out4_clk_wiz_0 +Waveform(ns): { 0.000 10.000 } +Period(ns): 20.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKOUT3 } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 20.000 17.845 BUFGCTRL_X0Y2 clk_1/inst/clkout4_buf/I +Min Period n/a MMCME2_ADV/CLKOUT3 n/a 1.249 20.000 18.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y111 initialize_audio/data_i_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y110 initialize_audio/data_i_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y111 initialize_audio/data_i_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y111 initialize_audio/data_i_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y110 initialize_audio/data_i_reg[4]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y111 initialize_audio/data_i_reg[5]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y110 initialize_audio/data_i_reg[6]/C +Min Period n/a FDRE/C n/a 1.000 20.000 19.000 SLICE_X160Y110 initialize_audio/data_i_reg[7]/C +Max Period n/a MMCME2_ADV/CLKOUT3 n/a 213.360 20.000 193.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKOUT3 +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[1]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[2]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[2]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[3]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[3]/C +Low Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[4]/C +Low Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[4]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[2]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[2]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[3]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y111 initialize_audio/data_i_reg[3]/C +High Pulse Width Slow FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[4]/C +High Pulse Width Fast FDRE/C n/a 0.500 10.000 9.500 SLICE_X160Y110 initialize_audio/data_i_reg[4]/C + + + +--------------------------------------------------------------------------------------------------- +From Clock: clkfbout_clk_wiz_0 + To Clock: clkfbout_clk_wiz_0 + +Setup : NA Failing Endpoints, Worst Slack NA , Total Violation NA +Hold : NA Failing Endpoints, Worst Slack NA , Total Violation NA +PW : 0 Failing Endpoints, Worst Slack 7.845ns, Total Violation 0.000ns +--------------------------------------------------------------------------------------------------- + + +Pulse Width Checks +-------------------------------------------------------------------------------------- +Clock Name: clkfbout_clk_wiz_0 +Waveform(ns): { 0.000 5.000 } +Period(ns): 10.000 +Sources: { clk_1/inst/mmcm_adv_inst/CLKFBOUT } + +Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin +Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y3 clk_1/inst/clkf_buf/I +Min Period n/a MMCME2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT +Min Period n/a MMCME2_ADV/CLKFBIN n/a 1.249 10.000 8.751 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBIN n/a 100.000 10.000 90.000 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBIN +Max Period n/a MMCME2_ADV/CLKFBOUT n/a 213.360 10.000 203.360 MMCME2_ADV_X1Y2 clk_1/inst/mmcm_adv_inst/CLKFBOUT + + + diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..0da1bf46a5d1a488ca4f9422516c4de7231a45f1 GIT binary patch literal 278399 zcmdO4U|`^2lwvb7Ff`FKR^koG%+1V84=&BkO)RQZ5)5#P4?+>jWnf_70;x9AGnvG! z36WDs%uCFvEY2)eNGr<ERq)I$$Vtsj%_~VwQOHXz$;m7((L2gh`DKmmR9*%K28IVh z3>$=dI9ny1-PrA}N`izI$TNJ9@8LYE`tQHJ!&Ehp&;xCT4LWC8?A@Ujn&=q|En$jc z&&|w>&rPgIVlB=|OwP{WDqyTV^~v*NE90q8o`oHZ%o7;d7BOyMEJ`iNFDi*I0sAMu z7~&xX-Q2{A_=3cejA8{t0|ni*%$!t(#L|?^{D7kTWHiO`Mfs&AsVRCz1tki)1xW<e z6(lL>78O(wR0mR{Tb@{y7oVROUzVAllUS0OpSOaMVFM$>4n~Fpj0`6j87?p~++bvU zz{v1{k#PnS;{qne6-*2pm>702F&$uHIKjklfr;S;6XOFW#urQsAD9?_FflYRGjuRB zPGDw~isWL_H56iyf`ygBe;8oYU^QT{V0U=I?!gejn81+1P{2^Z(7?#h!N@RykzocS z!vaQz6^x8vr!c~t!U%Q>;|oScrOV7*@?2~|;J_6Ug+@O#xhOz{xrDjcTvCfO)ANKl z;bF_=!^IMiSX3h9;*wgHnVf2Co@iuXP@<p^keHmEn4W4|oRn^2VWOZAT#%ZYVykPY zpb-${?-J@9;_2_FV5nzgtYBndXrXIhrfX=d$rZxI9+p~EoSB~|<QbM(mY9;SP-bLc zWTI!NpkZdBo0M6ish|)XuHaOfnUkVmYG7_=X=$dApQjL>nxf#FSgBxSq+n=aWo%|; zV5#8i5~2Xo%%#A^;*waBDkK3?<(8VHU}UCXXlP|(Xk}soQeny^#>E5innG|1NF`ek zIE`@aVdP?W&d<$F%u5j(dTCGV7892Z7pJq2x1oW7uSb+1!{@Jm|NmEZ0Ow5xhDZlR zP>uv)4F&@SD*+G-jKS)J9k^V%gpzZz<MT^P4C6tJ^31Au1AN9X8ZhBC#u(X{iw;Gh zS3?{m_X`x=`~P1Z$u&$U#(>qiAiKr{*%+{6jbWbhL^6gM#Tc+UWtcH(N%^HE7{*`? z8x~AsgdL0)@^bMdXQU=)Lvl+{L4^>5(iSc*j=cQ%<edEEY^nO7)bz~alGGx7pTv^n z426Qsykdp&%#sX+ynF?)5`~na%(B!xg``S_qWt_4s9-^6o|QsQYFdd~W|4kcW>IKf zW=XuEesX?ZNl|_dl;;-g8=s$-nvq`;9~=~4P?TDnnpXl&z41k<>CpzU`hf%L0K<WH zfYCrZz<8h?U;=VL10yA=uqZP<gW_a3uucFc#DR4JI4KUS6TpdaV4VO;jv7pu(1S}! zh>I^dKd-nXF%MKE=O<@-Fer&~2^N&*6sN|QXQq^7K#Eik1|=UZh0;8*;-bXNywsHV z%)FA+qP)bM_|&|Vg8a<9l42>9P-GPf0ibd>Ex$-1H?bl!w=`EFB{e6pQiI8ZQAwYR zFE2knGq0euBpxg(B^i)kRDvj`!BR*X47vF8@<A0MLWdNpj{MS+g3=NsEkay;xurQJ znFTqia9@aU38bgyr4}WYq^3Xwi#-^WIJsDJ^79KoOmQyZg2bYd%)}gI*Lg4~NpOk4 zBp^0H-0i`j#LvZ@1FC1@p=KEHSnZbL5(L*#nR)37uzHD094-V7M|hxfNx>yBLY_+# zE=MT%k<3R8TP_K>8E9dMqy;soxFq3P(8CK_`+|H1_Ar+WTq!Joz>x<DA1+x8S>)j3 z5`jx2g`v<U9xUM}G?S5QGNVFBMru*2LSj*>f~i6gtfB{Nrh7%NpyA=^?x86#nQ?Hr z4OH|FR=0u5<iYATP+>h--3BVfk=!<mk!uR|@&YxQ8!*RBVH6r%jzi83gU)ft*<sK* z4mm#zI>#Yrh$)N`MnYjowHay!D`d%Kid1hH;I21N_2~(iN-zi|;;Wy99Jy=>8=aAv zoS~4Hnwp}3RKY8Nt3Cl+2?n8yj3m_aLY`c%NLCseK<d4cjKmUfwU`I$(1KcZkcOH9 zMhi?2mQ`Ja!nuNxj3U`2g_P1%g_3*)Sg=7l(s}~H5?n$%7?I)wwLv1}%w>;ct)YPe zxW%9V8e+uS1XL(4NKMX6%S=syyWL(Wj4KGq5W;38m6j-!7N-^|WF!_VBr2pO<`kzY zfCeui&Ig57iJm}^1eee(CfrRXAzLm>Bx_C491UsQKw6<#Tx}^7z~zIa-2k6<VjL{s zBb3dRPKt@(fsjN6$Ve_E!W2?c(?D%{(1>1Ui9&vnLP1e}L1KDh38<A<l2Mdjnx3JM zSt5`w!73Dv6s@@^?Q0<`E;AID;B213^g*mI))O$3U=Yf})94iP=5j|d8r~{}8lixm z{PR+iK?CL``3i{;B?`s)rA5i9dIIhe3_|Yctym#rE`1aWlJj$uGV{P=$%#1%;FhjJ zW}ZSxMyf&zcr;c|KwpAEC<%Y_SIB|O2E|y6gbr;pLvsMQFNBnC1Z*T2gyIM^qJ^xv z%uy^s%11EcaimKDa|s5aG#ssPA1)6RW6^>H)aSt#Fbc2)18J0leJtQ1!62o^#UR8W z#gtd9#O&))rNL~#;3Nz#1EDho3Xn+#r%o0QMj-(%mf)QHav|o()M6<R0TOXbEG|Ka zNGWs4xaK7$<)kY3f)b*FbAC}?YLS8?bovCMQl3i!swg0}xHvO6vp82FC^a{~EHMY7 zz?e%0Lq;JewKzYg6f~0{B<z=(Sd>~^q5v9^&d*cu%TGy_QsYv9n(7Ja#45Oey0!`~ zscDI&IVB3tpm9hcCck_s1uiM5x&Y8nVTppif^$x4Vv&MlQF1X@g*2C_OJ*_H7j8MA zVIPQD5J@pE0nhZj{GwC^Pkn!d0MJYeSd$)?24whC!8Nfcr&7Tuu{19^Lm{z5!70C} zD8C$(Z^46~sStCtxl|w$3L%-fso*NXH?aa@lY(nSa%us{tHqE2k>e8gO)W}K1*ruY zgf6efCFTk0{Obn$1c3sjAT_TToKb{$ob&UFQ;W(HOESw+m7KVwp^kLU&r8cpFD(MA zg3fE8Ck2sYup(H&1W5})Ul_TbGJ?|$1ANe26FgSVz`$S#V_3o%jxdHNjFAeOD9d0h zgz;-Z4Dbw159b6%hPjNOS+fO<j4K&I5+J-4%mPmx^>ChGWVp%*nnk<8$oLQ}0aEe; zG?8?c<tJRAkqIOW!W~Qu6PRH0L;o3PAh8!9u~#6mKQJ-;U}CUfbYS&h2w;d{NMMNi z6w1}a2A%{!8!s2?WaQ%V&CF8>NG;0DPZ6r-J#&=JNVNQnwvp^QDG@^@Ne)IKQP7-$ zp?+pwafyC%PIg*+QfZpLrxtUbexi{VHd93z7!(|3LC%+DU|8Uwq{_i4q=;llZf<gJ zd}2yjJlIHQA8$7&|IiSjX{c^#XXNz@7lS&+W94cCBF&+`!=|D-tPR6qDjbYL3iv(f z=|^>UNrBy!SOJT?Hb!1Hw}Zxz*qgflnz7OVxeFYT2zRwJ^6ErKnLyn2Sv=Kbpdym% zlRP-Nf(HJOX2OKJQRBB3Jrl@qFbYW^MQ?IWHh3-#DIa)ZGZ;M|5R4B0&=3QmDX6Y# zB|Wu)jDe&!DmtqH)mcTn+4H2JnKQni(?Cg(gHeb#rzklm+r<zxcct$<kWMh7krP@_ zL!k^k6ht`~g#^K&kepWnaznI1EDb_}bY~b24rdq*4rdtC!Wqq|X`>W9ZHR!{q2M07 ziAA<Y6)1JkAZhfXx}qN46>=PmLQ>$BAMu%a`K2ZLPR>5wpy?OdxJL?HoFOWMR*cGk zs8W&IWr<Q17qd@(xll20*1TDUqGe}R8_LEPaAGaSz&!^e>J_C3yK_KxSKzf9)JLI- z-MIY?D?4fD?`)92%dz<zZa204T?dKpa`gDdQD9Tu?{NFk{Eo~1f%8AOrWio~L+l?o z|AVWj0rWq_ek%K4tD1|&BQrfisF;_CLI*TdLd8M{$#UGK4y@70G7vt87dy1`Ia<C) zYA(Yqr?$`Qp>bZ09_P3U9cud?;Q%z><8r_Nh5)448Nd*LIA8!n08;P_U<g1QK;;nN zTFZ*Gcvh$gWxOi|eTYe!gHcExsjH7MRORVs2x*IIBAJTb(dXr05JD{2l>*s`XaT37 zkJ8Y>PNf`3dnc8*U&GH(6xP-<R3geUNbN_w4-vNYf^37erirx;9OJ~>rj-jV*HU@O zvJRZW$+C{Duq4rUTo;((V@XKstT6|vG(y%Hi~jwx$rv(Hi+zyF7<sT3(S<=WSai** zWloU6+BK`xoCuE@`iF)XVHu=~aN7WN4tT7Vm|mR`BqveNSy(!oC3Cq=AfW^vc^nXD zaXnLpM=8>pcg!eN^qdJAQd<oje8(Q8CfK7C$zV|ih6V8PcLhhHqSP2mls*z%2z3t8 zQEH4Hr8IC>q3L3%v%mw7;3&<^%LJ{m%&ba{2aj#&rzDmn#%F@YG_a1q4FYF@>wEAd z3L*mx0%w6M;lbxDY$JAqAhb-d3?vQ$XPFL8XPFI7XPMK$Sy-x~lzIN3NwC#MvP4%! zR4-1I`WPV%!!}0V0#jup(K66fFL>mWxN;QIG^AdMh3ue0kb}T;&!jjA+`bwd4gweH zgDHd{4x(WQk(!3UwaZ`%A&7$pQ3!!6y1^7e5C_pPgh<Wy;5v6Og%HF+R1P7nenx0F zw~djP)F1*+I#WA{sNkZ(6h@G;5j+?`z1oAKFoL*fFohAMbR0}!1aZ+|3L{AQIGDl+ z;-bM6MvxM6FohAsMN|$WAqx)BT1|EVE?LldCkl+90~stB92j6LF*BG8K<9bPVq{pv z$gm3OP!Xx~Ok6?%ppCK$;h>GOSXZ?&T1{l)l7S!afkpiYJl(@dEH^U`vJplByvG>2 z?mL5_fDv?f3S$Q&cLyU^2P5MoM$QmVUr#@GT|)~5+sI%NBfF1dh_i>T;Vee>@Wi6L z%)E4D7KdX>S!Qv5QRN~=S>Md!V$dRH@G^AJ)&}I2@QWA){qpm4!Dm9GrYL|HtS@4e zbFIiM25)@;3#1mKF8n_SI<p65x&SeAfV4&#eC&m=gCXqj52Q89P$^HCR0ac<<;kD} zWEL<oU|p9CI?@K$vSdb_tCAUJFfpL6L1uz1J?3I$VBlg9!gA(_6c#b^{D^xU_G)&5 z>#$d|gV$mI|KIO`WgRv%b{{iMV1k_W1IpoG%(#MyaT61FQD$+fg02FH+J(aVz{K@~ ziOXLIbcU0V5(lG@9C&CFy6nm&-Y4E4w(cq#RAfu3aWD!gp{M~3V)~bsz?B&q#Ok|f zF<3D;F?caVacKC2hM3tJYGyftrmVngQb7#x`7U4wIT+{}7#QRgE9iokC*x63dfcwV z4!oMZmC^7KyL~TsG5S<6ITuVWWxTyJ!+tH}%fBHuTN#-RwD#`>iysAv?>`G>Uj>tQ z!Q@jg`4&um1(SclWGi@adoP%r$^=?3KbL9#?q<8CV3D<8ax0kJ%XG5L&h98v7w;0= zvtW^{VDc`Qd<rICfL6ORHZU`SPgi1?!OXCLnPCMp!v<!C9n1^|m>EtmGhAS1yur-y zfSKV1Gs6dFh9As~4J-^DEDRG^7-q0AEMQ?+!NRbCg>eT9!vPkCQ!F_eMVa7Co|=-L zs#{W&nVz0nl$xTDoSKuP;N}wK3fTdanxc?Yi7i+aszGT19`tF6IXMod(0C{Sud}x` zgvLv)<|X=CcZ&tQzTy!JmYnzso8TvGf|FPUHC&us6m%7W!K8CQkmd|l#s#blD_9wK zurgd_1ug!+!OHN0mEkLx{TEEOvVp{V!Q@mhxrl8&D+i-c4Hx135h%nL?BnU|8XsY3 zY8h#0WG2NMP?TQ~pO#XT8t)t*sKDsO0*ajoh75)(#-avB#$HChBmuh#jEplF8NnxK zK~L}kAK%6BfRO>b@dCW-ii2550y*bp=0WBWe4>qG6&SpP@={9_G}7{I4RsVC2ajoj ztZ86m=w$?@PQrF%aH(@J3MnJo;ewRAqYVvW<1^z8^*s%dylDaQrUHW(1IRLnpODT0 z!{M7oMlQSs3EV1wbep93zyX?5T4EOOX&CPh3Q<rAgRs4q@lBwGEn(m0acOWc3#kzD zZG2w50fEp3B^bi?W#jiUcp3;?cA|S(fdM_yfCCs`a#_T7oQs1=C{lsJOGN`**JCRL zKt+H8r~m;c6iD1b%2dL>3E)CqKp@2B>F*RDVHjy>WP+L+h&3^UON@gVQX?Za^2BH6 z87eS%alz8I0obYFG)-KnM{&t=FbYY6svLx=nR$lsnMtK->H40y-3<<8$Z2*sQgJbU zv;C3Hh86@MTjM<;$=1^;)D1b=`dzCaq991)Qs7_~l0o$lD3}l@j1fq#PZJ*!VNWU- zG0QN7_<enyeO-;>9bLjev2TPL_k_c68Y35YP#?)Z80%R;dqprTl9B@#1R%w!M&O-V z&OY9reunYRKHeY=3XEP{2)7}JvrfCx0m2D9olB8}Sx6SiT_7e}>jZzPEAW!tp0GtR zT-fK(4Iy*rLcC6)Ztl(@K|b*j29co5KvGFtiq~|1G}ED}6qGe!sT5>8a_D{ed&P#Z zrxLg%IGBY*kPBB>@F_5OiNLb6iK!)KWu;cCL`1Nq6Hy&IBNe6K>X@ve^bi*Z^Jr1Z zAQX<jxWihMHlUmeN}qCc`Q$r9ly8)mqbtvUCBmL0%F0oq0&oBd(IZZw^eIFY<wb}n z4I=RtbDn6$9ITQeDsZV@f@V&9OXCvs{^WEb!i?AkDRL<aYwe@;V!-u0{s!q2W)2o1 zM+F8ien@+?0CqG1sB!@}=s+E8!Zk}ZmkI}y5af(x*f0fBCk57wMk@KiZkFQp$j?cE zb;Mji-3C}k3~c$$bKi;RGr*fSU_I~_DBf10y#j+5Z&7MtX(p(HUXq!c3imN+5Ci68 z#uc!`o6);|b_xt$Jc&g`nPrJNptAxH`W+O{>?5q797mfgFnB@E$A&u=Gzvn{vK?%U z7uXm+urUxfqy$>kLd=ko3C)I-+^=-QhLoIAIj{~XrTj(=DfJX0hLlo%XOKOllwQtn zM`%c?FL~4GkP>x9u!ic8l6kB?`jC<-w2e;VAtiG{LrS332`dg5aF$&Jhm=fk3@Mqy z>S)NY1h|61Tg(z3Qi58AKBQzy#~~$%6X-jn1S&hxYC}-ql3s(44k>}oz+n&?9a2(2 zA736FQW_mnDuE1G4ay;<xyDo(QUWzsk&05#kP>-C>FAIWq$nL7QUYBNgqYJ99a17V z5lV$|`OzVzOwdgPpo4XY9+VFYqS}xWXjvOlDGC~%A+Hpr>yVO3EV`Qs4Jq{>`bz&H zCD0%StXoFTkkUoIlk^)>0*!(YbS!*GDHyU!2|O){Jh6njO34tkLYbH`B@3F3DFr7~ z!^V_$`|)EPQ=-K(C6n8P$CPxI6_1W74c9THji6;pwUGHH{22s!P{|y$fQM>>O37TZ z9Lz$J$krp)DH$3P8dU<NPgsdS!Kji2mQf`$kUyzEssyzPc~l8(6CFpDAWop~s1m63 zM5++MUM6dH{lw@(C58`-qoYb#RxOT>DvgdR4dziL;ub1p5K|!|&#{9mWU|WA(P5?0 zVI^$Kzek6aMu(O3$rzX)QY)1#V)c<rQSw`)bRAZ*Kps{CyP43iQbX1r`VT9C#xY?1 zGIEBM?ykQ^zhNcNKnOv{!iSZJTd4#}UBrwknbK@jNk6IzHmc-0fqtV(=0uDt&Fsw| z9aS2xqe{eWezQPbsRVArQf*KviAx6e<~IvMV@jaZ2`dgL7*jH(-<T5As(~C+f;fS` zV@ja1lPY6Mqbrpl{h-m6N~0^499_adOSmD+D0>-4H@|_m@PV$yBBMhzWH!HnnyW}f zDY!Zw9a5rTAMxl)rO}m2@FN>WH@}g+)^kV=DS?hCMk+<Y!!u-+qI4ZnG9`IP$uw>k z{fCr5gBY-G8975r?~Sh0Z%7F=3PRAa@F67`$Vw$=4n`sSBG3iLZka{;X_-Z#d6^~g zhWhyhsi1p-%Tl5I;Gp>8jLfu>pw#rt;*!)N@E%6<*l5r>f>IP2VgWV;aT*f?HBMn# zX5I)Jh<X?zfOUCOy;hq2TE@^rr8Zj`cPeYZPhqOpN+WyA+-qZHJX_{Kr|2AI`Xl*d z5Di2*)Atl6>RLzpEprScp2D<?nS)ViE+ZF3IRcWckk>z1Mj9HLz=x`mk<Mvyc17+v zfl?T(2*5pz)Wyi<!oe)$Kz_E5k2i=mLK)LC!aO4bl+Xz~rh~%3f)*c0RiHU2upm_+ za_E4!=i%S~-M~G1AkpYLAFQX7jjr<<UFSpVbw1@>`W(zc+C&t~L~n^GXjCEMlnqMD zU`U09JhKh1u*fQdzmJ||1YT=7I+!y$m@_(<Q(U5-oRdw-a5!;;IW#DGt(CLrQ1n8Y zzsN-|`OV)Z7U~>-G=q`Lk%LLdmWYZB>#zdYPv{ML_>v=V?+1D~5~O_(KIo`y-+cOy z4}r!OU|k$?#)r=EpQqpW5NL3MpksHiF+mPT@_>vF5jam6bU})gDhH#GBJyq;<P&+J z14XntZu`mN>9B#Goyz#mM%p~v1?{+PO3p@FD5j2Qpa*i?_Wy+s2hl*!=yBTy)I4r` zHE16j!Q-|KP*)s*4r8FxaoYwcqcd1Gu7FY}tTezqRFsbYK<H?5=z=2?q~aR3S(>CX zU>g{@@D?L5n~>KVfh|JW!)5|GwV1rawsX1EIhgSrEe`Q3)s`D&;rB4S+{6;Hqvs+) zkC7ieYMb&SVn;`|Mn|@2J+d_jj@kybRFR5Oa8(Q$pbA2oLLp+3;Na*0)#v~fmIl@6 z1~x`Q7sQO7i^S-~f__;6aRXG8l%T|&i$r956nUr#+yE!55PeLgleVk4lsTA$<OvKu zAeH-IC&R~*z&9R2$C4n`0C+5EKn~gl4PU@IWaNw`Deu`szp*6H_y<A9!q*x-7XaVg zhP{L5nFqg?%?P}j2+QF~K}c7;8G;Urh2PyqmDNHQFLl8NkwBXWA-4gc-q{8@VB0W; z-xl@GHcAGOS`@VG<}$fA^<%xW4YZcyC{w62tKI0GZB)4;Zt$%Zy2Ql6C{&MQ8~`m} zAT0+nGl(=aqTU(XSdK#~h&PDO%mc0RF*HFLNHWG;<^xKhu%ZC>KoZsyCNQ!!Qb7&c z4nW?Kd#6TE>j5{=M|W0@?yMT!StS8lD}%UJkCLh6!LqXoS4$nO#z1PRLuw4NTI%~p zhdaQf>F9c#(e*f^>v8C{9*6eB9Yi%qk%~}L@*AWN2@ZCE`hbMn&=p*Y985y8D1#mG zXl-cp7Br!u4y~Ae`Y)RSb+TdJC1<F^w3m(tK!bYe1RcABjTy3Rh8o+dEMlWU=Z{mi zt;!N?2$i>0G0e(^4U|kcE`fDhm2OeG{aWw^^;;S5X==i^Rp}O`lfA9#xPXq`TqbM9 zN~{AVbKk4m9c4Nd(mjY)+fa9(6T!>vscYTf8z`a5wkk-rLK;UgwTLt{v?O9%6)1(l ziU8b09F%UWvWNu@xS)==VA)m$O6Y_gL+O%!Xz_tmoqz)i(uII5yM!FqLBx#d=(eiS zqi!_PMz>W#@1RHCusM3v4ebYHXt1{5yIPq(Yx_a%K&q6%quZ(=W$@@Q&gd}C=r9hw zhH+?6^!DD)phM9MY5pP)|B&DOCA_T))Uzerq^ERS71&Scl?i-&2*<Xne4%Fgj}L*y z7GU8)&iK%tw%hbu3IiIPAm~{5wkie4wknFw2eFKe2CZh5a^YYk{}2yD1F$Jn9w18K zZiWpI9a9y?GeGq6Z-~uS#?Tjtb9X2iAZp^#$Fm&^vXbU$#_Q1mqM>pCG^ywA?54)K zJCJ;ZG(co#NaO$!D22hw0NM=@S%L?MP<M7=86W~Bbi$751RVlIh4KSYp@1iu4Ms<Z zC^*4$bji->lAX~dJ9J;NLxXP0l|A$rA%fIcNZk}jjYU=&{9|;X&*(y*(S1#*N70X- zqD<pclxa}(5;sCbrS>m!*$Y~hPhR`CnMx<`%w*(p;$RZ8BlqMT$e;jvlb+BR(cY5D z^dBPv4KKiYIpmBHc^y4RzcC`v_yj@6!pDfHv4+SfHX3v?IAv>yjKPLbd5CE7!Y0@d z(JO5ctV2Y0UqNe#rX{4>Y-Rko2C;_7?ko9gh_vkq4-tJl_;PfJXt)j$QDqGgBwHa3 z5rLP58WORFh(1F^M&KbLQ<ObhScZr|2_04*;U2G|bPW--_&`)Arl3<749V)9jIJSq z%wLa=5RHxyjgAlvhY=#nA4+tX$c9u{NWBzDg+*2wJi3MmQU;H%AsSsnG`fap2(KZk zj-baHB1rQWx#%Uo`Ac{W(fr-bc7&Vsl&&EH`w6{4PiTB-K=zn{#ui}VLC*M)-Hr?N z8y^A<P7rh~e0<1G6?~kI9S5V36>%edMX8A?jwvZcsl~<Mb43kf^_`_mI2eTth|?bl z)@6o#Z@jIBvtv+Dq=~Juf=_6Ok*y(!GKNql5Xuxnnb{gE_=ZNfncEtIX$xCJ%`7L- zwtVo~ZxEwAvnt*|p(wLB)xpp}&%nSSuUJ7>0VIP>2~<U?!3G(}S_TFM$5zG|X+B46 z$M)0|T(w`z$h_*~-mQ%1U)RBRqtz5#1@A^vaJ<TRS$MVWUB<%~CfGg&?^}BdCciSS z`!8kp7tC&DVqjok=mnEgnJT}mv7O8GVn46_Qn1KcFu4^>?qzyg)9rASsqpby2fWAj zFf=eTb}%zeU}l`b%(#G=VFfe824=<`%!~(^8BQ=WTwrFr!OZZ0nc)R9!v|)DAIyvm zEDRki3=>!wX0R|UU}0Fn!nlEjVFwGt0TzZ+EIArQnZ=oT=?bYS>8ZLUMVaa8sYR(N z3dyNCISOtr)ZHFN|J`W8Ir-&}ScEXs!z*lppRfsbvC3*_gamuV87XKhxOl}GX~r4q z8)+)&D}V$7Tv5-4)>d#12-2Lv%D8})VFfD>iI;$V(BNPcn!(6LSq4QOWHO61G(;Tu z174~bsKDsO0*YGX?Iham*NCVD+8DX)Ihcj4iOc(FWd~@FnjvU>NjMLB2(P(0=Al3@ zV&)Ukxhdx|<6smrBF-I=kRzZ?&GkKvkZK^%fo`Bl7m`k!p1{au%E2gPNUF`~r%xN8 zoIY)Ye)@D;10!QEW3s>uA|j=h%bbH*$e2VIQSCJ9YA!1dMj<mItp`^J;PbFe4D~$? zaYqLz1i(8485S_&9%!7#$YsgFC}c{G?U+%*A5fHE5T9FGk!Bw6X$X!JR&f0T$tfTw z^)g;miY6jb8X38)Ihcja$#B?!$BePTK*o%*fj+*Nu|SC#3*?xIu-rn#Y+@@(Wf;oU zrO^hl@dnYT`)n;Ri?IFj%+xQ!OhD)5n^@?38X)ovXw8lhQV|A9poH@bsYMvfW~5kw zSd1vbOyfNbz}bbxCp5$q5+_CABJ4--Vj^-2iA5OHMd%p?%Mo*~d^I$R3S-o$01b{A zS|~7h(X=)Jd)(LpC4+!&?Xf^ag&A^Gm?1~S_RoKb$R6ZGg|P*CRA5;R1ZoJwdMdaJ z^ja<(4n`phQi@G*pct9!2S*zs-wh287g8f+0VDbL`$rpN*e@jtu2PZ{i;5~u;z2Hq z2Psov^kRc_f{-JK>pC$j|H!FXpg|O$7jL4#;3WiWf0<yGU1yC0Xp~`$(CT|o%hZz8 z_#vz8GBQNTFkp)j8O8)wp@7OR6Xf{dSTl!4WtWj5)iO*Cmo*0?Y3&SfYA`|1FW_Jy zH9qEpnmOcI?;mZ1oL#`yW6dr`vDmZA!zvLXk}3)1nRC2vX--K{YH?{!Nwg)Xr-Co1 zBtK^-!um4Ox(nc_F-NK7O-(^tNl1;Denu`*Mrop<79-X15PK2%#0a@!F+$EK`{&Oh zBA?W7nQ<@^-(`b1Xuz|H5qdTuD>lf^CPw<8>H^W?gGLJVYn37{9S&w84I=7YpJ+pH zFCLyZu&fd>{t`(2yaDbt8l&b7V|wHbsKv;618grMZ{Tip5m$$hm^UB}LeCpmn!f=m zG$^CYKs^yd)OawWM?63+Mve!ty@+@)MlPd_k;^FJ8oy;+1{};nIz;3G$T`>1W+=4; z*{$A6k_v0^7z$`e9jROZ2LxGj^S?(Ay$0{z7((l#Ixp-YqIjkJf-lQ!bBVAgl?#0D z0%(jKdRlUBZgOsXVoF(jW?pfLzO#?Fr=Ot^zpt+|^c<u32*XGtQd>0B7`aq97=^%d zJGkue4-GNEut-V{+y?_WD%A+=EQpKZoqfDP8Wb44xDakbE;RfuzCA#=%Swg2X;xG| zCnBI@xMVmOg>bE4@)Y6)T@d3O666yfVGs#gEJ@N>L@8d={n1Q^u3JqiO-qmWgbe+F zY)1~gWwVbEG2TFfZ5+C(FNp}vW=f}7kmu(?y%*@610)`C_nd;wa|(`xQ)d7dF9(AV zr?Zc@p@D&~N0bnkr@s>@0~#8E#sZL%2xJzNu!$jDVjRpu;5jvDJ_Kd`%sfzv<AMz> zkbJ-5P+1x!d1^BeiHq{GS9#|YBJ4?`tn6)IWFS0VJOBl6K<`)j6ue!1cZdk(NW4WO zu7a1Sz@>VrYZ|v}U`kyX-U~zoC3!7f<f4}RmhMi1d*wh&GYA)e&I$}(g2_4g$=M2} zdC93oC5f4NC6%D64}1sMW#QF(2^-SI$YsRAB&0_~Un4ju9=41Iy~QuZ7o1vBS`eR> z0-oB3UPuP1g20YBcxoXL$pPLN1M7j0)Z?9cwO3&9;w?%oEX@S17b(fiO@)U5XiW_) z1jt!IReX@=AYuLFINDr+!HcyxCowr2?pV;G9D<hZVDp8X(rrFKE2zwoS5TQ@TR~-F zYXn+IMeC(gKh$JlOQ)oE({JfiRQ^FcOQ-6xdPbK{DS(S4s%^p>T{<<obSi#y=~QT5 zW=XuEKBx|cEh9r8cqMz-Z*=Jt!vRKy3ycgm7#SWgGQ5Cnk|Ae;8$2RzLcLj4a${z6 z=@cTrj4qvm%&MB8tk)S`Iu$><bP8OXfM>WzmrlhSnWIl~gO_e$T?=B2V=c(&(kVuU z8H{-Q>!VAj&>I?~OQ#qa@hz?&T{@Lf;+9#YpO#q!ZPnx#q!uNXWR|5u`G|7d9A$|i zc##ldS;FYjDcAT2L&(}CQm1`Kmrh~pBayhQ5ZpZ)T{;CD&M-#r!P9H$6gVEx)<MJO zGmvIt3D3!qy1Wx=F`lJU_?AJ-5V5?I<fT*Q1GaPuG?tD&kuth;YGfQfKDu;DAG|0F zv>FP$77EORu7nz0IyJg<YINxod?0#s=@geN2cwWAXn7Z6rB`O2VSFZN-JiZc@>(+J zf<TZAY(b!>Q>YtgnjLc8YcJ#I(y8JSeOed1qf4g@<C99$^vPJTI{cPSnaApnE}a5z zmoP`(YY6Ug5nMX;XXQuwFP#FdsUf%;Nytut!HXxcs3@~6F-HMq>6MKIFa4HIffnTu zbS!-7l%)pv@F`mkMj^|b)HK3FYiQ@kM1#+sGUi|u(kDtgY|WfL;uv!H(NiX%rBd*t zr@#xR(AQ5HfsdS``q5L}z3`)_w1x4lpQ?VZzIQ7lPhclij-Jx~plyfy=&59Z2@Xe@ zHgl~UJ$h=mte@f|@#v{fdK`>G(;2xa%AQCkPnm$mz|EnpLg?~T3QnGC1?|>g7P2BL z=c5%I#2q{(t-6AU^~=zKSE8H&TRdfea_*FgS)`$nF{#7gumL|3Ek+vfgV>9hlrW3; z#5i^ea%M}r*i0e@{GfYQNpleTz$@8Hr>Y?5J`ra-v;`2KiN5pz5+<a^#Z*Qv3l2sh z6EZBvj1QDEryz&uAfGt}iIFWQ%7}=O21YI`4rU=U(%m)C(PCtYvYnW|(PCtYJa-4N zTM7{^7O*|V;Anv!I0cCo%Pl8}Xd$+cQgmTiG=+L61D5lqq@x|FUUGpKr+|)VLppBC z#3a(t5VV4xtf>m(QYCcB6v~M$5PK0N7q-);Ku2HoG7@*%6lo<FG$hco2$oY1ly+Su zBA1d@gMe)}HbOa&4P3P%9i0R6JMmLSq{M@<5lYqoRq2+9crZpjIfsnh_GH9^u@MpR z;E={ooBUvGhFVO4)+x~?KNy>#!~@t~L_C<lN+^sIjEFn+fSh<RLyrftYjWr+9O9BC zxF!eZ3Gjhm$OmqjfJ24Ux_lw@z%MeacSb#$1!BLH7<jWe{J<~c*m$ry>_-?q@IOmL zi9tra4h<rzMG~3KEkhH1XHUdbD>#TqJ|u50^o%JIq6jkGZKCfVZGbfH1F>F87&(TV zJ>x-&ut(32;AGlF57d3}dGVm)1AdYYmSqU9oUCb6mVu6AGe(UX<f9}(4IJ9kDX6Eh zf#Spn=`dWAcu!-<aRNS}At<M@(V|xZ$tG0aHb6p31-k(KEH+4>kXn?@12z8{NoxK> zZAU$d4Prai<+qd_#YTKRL)uAftct6N$fl)`Gj@oJ8%Q%0^&mDAvJQ;yW8@-X$P#8R zaz7DlEuyxkbTMHymnjFckReIoK(%@Vy03;f%aJO3v{Tr~iwbfx2>Kyx5Zke45M1li zm(5loq8Kma(&k_mQYRcb#GJv#e4d!oL`lmP;Cc&f9id^QA?XzdiMax5FH){B1zU^A z6}V4elh&V0MCm|Uu7J1)Jy&3<zPnBla{wD@kpMjg4f!BwQ`iN<B=yWmj0C8?$dLfH z77+=Q9=}$~rO&}Eq)j;eQ}^_>3Q|gFaG`{D_!>AE$XY@8bM)}F(Zko$@@=v7o<|Q~ z8$EojgzU8}#2vm?#-+!>ETl!azy&P<16`=3z~ChUJL1d4)DrXjaL%s#L^O1oD4b_R zTGRp_3<dR~(XO-scV6*rZ(79mboA&oaN#?8^cw1|l%q$lF*44;zcr8wXI_rfqt~ck z<`Q@E8d<Geq=FXS#~{6+-7%OBUh8D!GUQ+q(j{E!fp&L7oBN1?Qb;fmTs)||X)X~{ z!{i)chUeTh(1J38>+$d`9?VMSrr+X0(26vIjve5|gP@&aS`1bUP7GcQQ8*S4g0HWH zUS<hDe2qqn2XDNGFCGLf6os7FIu(4=<XkYhlo4`oC3P1M9*?lavv}~|mC?n6uEXo_ zwb8|c@EdPO7Y~B=?n75a@qwpKb4p7_7Y{}lLc)a9S-R21gDV&rb}(W))PT%I2BV7y zVJ8%hE*=D3O=B{;co2GCC3t#&bn#$(BxG8Bbn#$(gdu2khoL!Q&Te$^Ah>-qx_A(L z#4UKZ16*tvnWIl{W0|9ZpA?U8pk0W}dVO^9AjVl^;OGG_J}@*HT|5Xb%SIOuqOXlI zCuczpxoe|9YiyD4aRpT`Mg~~dMvX2WM7pY-xb?LpuFwG&+$bjzLYJz+`|YEP2O|t2 zVM1!Zo!ksEx_A)f^!(AqgOFPUh&h6g7K;ZVjR%zNWRMvxQu70eMHAFsJc|c$A3zw; zD^A1;PEr>SQtA9b(x+8HlS=6GiKB}LM~@#w+<-y(?SZ3<2S*nVjxHVy4vI%R3Iu$H z5TfuMT|5{+dT%T8-9wa@yrYW;M;8y$?(o5(vv?5g=t1(g$PD$xgD58tf`ftJ;=v(# z^5FKPbX+_LT9HQl;=zHswAB>frLE9I2f@n+;p+yCX>;h{x%m>XOIr_w@jBvOH^{u| z<KC@|=U>-R<<P+wTc_YzH~6-u+u<nFnnO!R4;>sXhYs?Pc<A5<4Gu=38KCpA7=^5e zy9pahgC6PBK~uBQOIu;LoS2%UoI7X=K1YDmVLnoC4Fiw!nWD@=KrBYAIKZ}c5Ojo9 zFJrR63?c^lNVt|N2oV-ko6UwEVL)7Jg`7bP4iwN~YKDleCnQu5(E$np@SZiim$*%1 zgq(Xwj_t@}ui&(RbjY1K>?kkrA$R7GQ`<pK>SesB6iq~=j9%JGZp;`PAfN38Nft;k zV}TMg(BlUoF%x0Ag@`>St&Cju9Lz%2#3dOlO9)L+F6zN@{@{LjW+L`{mUEeLFbWwF z=M3nIEfWir1J@vTr;?gyNG-y^v#6t&w!#*bLZf2z(pJ!RFz7-;3#!#7wOlqFj6xPf z<_hroA8`5vAAe_vbhw!*XayU|XR9rM9)CxU{iw&^LF~u6@B`POIHQ-gj$YcDq6uni zG%zwi`lPTvJp;~70?^|kNlvinXRLvH3P^{j61O0S?As{O*GWOF$C_OzTPH<A>zK4t z){>tSbCePEbXy{$2U47(9<xT?HKDNc(MhxzxlN3Ax-F$krJ$$Xk>((()hpy?6C<?M zgyh8r+1UhrwG_m9tl5OJTBV3fhl5#2gNWE6=Bzd2FM&j~fJw|7;9euzdMV>bLqn1a zEmHFa)MDhk0k#*V3lBM}9(3Zc8K?w@-SNe-W)2a(MH2G{#6jqJ1Iux1VKzNP*iK?R zn1VJH8KQJVOu^xS=!g(kd5{_pP>b=z1MV`4xRakrzO)tfEPAqc1XM!K;v^#Xfg0W5 zRxfBQ9clCg76GImM)7;}$Tje(KT-HE_wz))+>g|hUX0&tQZEi1y|i`o(pK<2waGcz zpi{`e7yNk&@j8XNxjTmhjb7S1dTHzErL7Dv7{TQ_mCN4IOIt@TZ7tC!rr>R0WFS0& zRmvs9!6+mFI!_XDr7*lqAHB3SH9guC_0m@ITe>?3)3Iw^j9f+>OhS63wfWIo`~;Uy zZT<Y$hVURFmCs!Rt*L=o%7}C168EL8f*U#LckUW!Q4T@J4)D2apw1r7bJxHNr;KgE z3#aIGX{+RAN!a?S7->E{>!+xD?pn3aIy~#AAeXjYn7Vj${nT(-KQ(&p+UU7!D9b@0 zXN;i?ypCSlO4bFsqvx*SY?zRFKFjF2Yp^9USQbs84wPeAG&Oo@YZW788QbWkt+-b^ zz^06dJFFTqoj!VLE9}Gx@JgxCOIzXR-;G|{st>-56)~y+I<CmjeDu=Rc%+5uqvx)T zp1YO@YuZ3p|BhbT3awMXYZ1Wp3i^UVP*FnqMTq1cD}}zE5Mn#lg;JEQCxkB5A)*07 z+H%6tOItyUhI$$I&!0uak|olvrv#@@>Yd*S-SI?35(t9UBVY%hFC`p3cg@fcWh;#l z=Jjc#m$pK3#eiPg`p|6$5m}P7OIx99`RIOWE7V@(HH6SJ2w+z^P`ZYY)N|KRM@GnA zLP+|#YoJLbM9Uw3>K*AT2uCk%g{(9gT|uZ&l#hCK;OMz)qvx)Tp1U@B?i%u$Vj)~& z9Lz#Os7szk&t1EL97dz(t{FxeNy&lRWVyM?xv56+i793A&OY9reuf~*KQzQZfzgZ0 z*VozC)hOQ4B@B7jO+fG01F$tcxF-`vFKuP?VgaogM-DpExLrgXqA+yMT|-+v2yX0? zb-M6SKX=U(d2>8C7zi#NJa}p$5ov+Siw8jq%3yhyoW+AbR?u<rAZSGzLC3-u4{lLo zVBlaBvgKeDvLtHs2+QG4&Qiu4j6(WEX@^W=7$cv$hX2x5@KQokTQFs2Ye<{J*ZizS zV2cNTa?x+`;Ql%K_H&tD?B}&#%EZ9Hz_1ofZUvKjnSyq7IUHs3-Zyu2@!)V-JjhGp z;cIWTIT(eeGjdUsJ(12{gB+$T#S3o4q@^UM#yiIcDlmGX4Y2ewYPVk_VtlO?bSxaR zkQGrmAFbdRy|fj4sTp{o-00##<Uv=+f=W{NvyLtv99=xvz{uFkXu0JC5iP_PQi`t8 z#e<;p6-F;@g)T6Fui^7VIxG@gwIZGS0a`doc(2{);z0(KK_=+AA4D}MpzB^n7Y~ju z9!!U1Nh9;o#e*gBhWhyhsd(4N={tKOW?4bYk_<^cm2fU2mo*2YkU4QV6}%)1d_<5T zXjPN}(zp-AdMROWmn1o{sHoB;-q|zWKiVKxfzgZ2*)b?6(gb-l@JDbm5nYo8MlLH3 zW+5}u-8Xt^>*%Ge;N}k6F>9cNI=XnUBq+7GG^Zrm5@nf`3Fb1X(Zz$1Wm2Py2O04m zmrm~LTF^0sD7P9z&Px|Xu1A8S4P)c|qj9aSJ^x*ph$8_DxwJW$h13aGBtFrG1}M1# z%jtLCX2hIANZPtJV^C>jsPAclsMAdi$+=*f#QQ>__9Cxa16zw2%`%PmG>P{IjW7Cy zhL|E(;v0%q5K)Pfx^B%FCHU#MZVfb_fhF|OhE>7cLB<7)IH!LXv5l@<8(p`ik(O_Z zCGC!`TN_=sHo9(Ybln>Anyk@vYp|6LIO|lQ(RFL1>(&PIxpzZn-I_7#sdwPU{)k++ zW{fm`3F&GPT(>rJ=1d}HC8@k_4YZaCRzQ-oZcSH^nSO`fffhp%bS!+`+InU1x-~lv zN^T`|mNMaB6fz*?yhBh=4}R>OnFeHd+SXXXCp5$ecHSNM*gN==H6z+AS(`UW2)1NR zYB#<mYs^%=FLYM1Bc3H|mwekCjxwcRpEtT>ZMZC1<0f&*+6&du`$A*p6EUtx;$?f_ z*|X96LW4)|3r$Ur1}6s8^*9)}QjXpiiZmh%o*Y0dDI{)j4%s)kj@}oFZ3O~kjmGGG zq2MA6eEfz9#wC?lri@(qYN%hEfUO_BFBB0K#u!n7We6U$M+4SH!9CzKdS58x3`Ts@ zTtejBL=8@{MxYaOOcWTrgz{2L6hIRtSXLgKH4dOrhA}eKM_%#?xgZiztAHl_!E=0g zC%nnJ>lpQLFNnp6c~%qH1=OIs-cS}x5qH-c2^Ug=nqQEpFhsxXjqD6V?nQ{`$9qA7 zh1B>U`yxcpN;c#b2oUROaK0A_(L>q+U!(Vh!tO*QZj}!7pfw`Liy-YCP>E%LTw>8Z zo1h=^1-Y=3ZrKEVp$^1)8e|ia?h7TjQpa>h0ui+cbiF#UDFV7=24$&^5k2k;g<6cf zRtIb^q7K2mR%hP(<wUI2A^Dz4DlOI_<DN>;7%o!Z5F8L>&0~z-Q#ra?2h<3~QlyWr z))`%`L+jN#<y`t4%tG2kR6d}CjX*cJDKL16z<W-nmYA!ybyG+0sT{qha`c|c;u3wx z!A?T_h~u2%BMc*vXXpv{^`|j%sc<j~DS+}H^e#ov(NW-oqrkW2I{SEoj*Y^wW%QgW z!ht(_Pi0Xk<PdmlqwU}=cW5hi$ZzQm`Fko+mh6DTf#8yzKPx}df5{GLEeC9fnVcm% z4;M4hZ^;g5F$h7&!k6p}%u!P&Bp)>eUcO^yOQUr=H);f6>vnpT@vYmT?md;syt#PR z?R>h_>2Q>3uiEs{bvwgl-OlJyQ=|7(j^0ygs1KUr1-FI3Yi7`<yho3k0_`28;@tP> zJ(Y|M7Z_2`v>82W3cSji@CIs7R)tKOp)PGRF^M!ZG)I}LFhJi{3|i6E%Q$*ZCGKS@ zqeo4lW=rVQ9Vy9j^r)%PqoziWn#wHFPs=1Y^aO5hjowp<_Z+U#qoziWngTVz(HF9f z9yJy3TbeU^)RZ&$h-mQM1w`u?_hoRzEn=(YGUZ?vG9;zlL%lU@L-w9Z<b|G)TQW&n z!8QO#O+BoXAz}d=X-7?gSN@_MH3h!6gVfc8Bpx*dwHJB$8rWJyt}sJBYRU}xsHrtF z#N6IW>QPfB19j9CXg&jJ@ftW7$XdKMdeqeD;<eGmYgq5<^1C>?c#YPJ*QkH&31`=R zA}YKl3U5<!Mijo_Bj3%*Dtw=EaWD%-DlmAdXe8(4Cuf6K2!U4U#e<d#m1kDP8z>ZI z7N;ttrlhB8B3EY&3<{30Id|NHeF0p&91KF7&OY9T1_r(!Q9@jv{!XCvONK_E?Nbb> zCNeM(HgWW*DZ*hideqeDQB$KwO<~S}k$w@l3F=W(<hOE%`W1;L$VW|qgMr|>wUEb4 z>A!9bw3Z20K$5d=EpO>R`1Po`^&_vo?PY{-$Hu$g7F0pA7Uv`;XM-xe21bTnM$lp? zf{ul+Tl)sNB9ZP#O@VrPm`6>SA{{kl3^{Si6hfKV8q?;esTpf|U`y5xgz-8;0)8qu z_~(MjrHnNNSMAp_QunAS-Tf_imaOS)0xel%T-iUmWNoA_SzE6&deqeDQBw?6jF812 z$pSNo*r!UuW_r+B2jFQ;Q>qVu6R~Ftv>FDnaLokm=n3$o0Akb?6as{IOptw`5$bxJ z(W9o~BM~uUY=C+w2z_H_^r$Ipn_r--$Sm}c?-ezJ9asw;{U9#Skab*(iG@DWTm{5p z#9W1GyeG!`HPG2H#I9c>u?PcCo{Szf1)fYbHIFnjv_M3K8FEyhOdD<g{FjJc6gg2r z#pSj``lu=7DOG5^kaBy^!zvLXhI=VHYRVM(;1(my?b6MMn22x#i6?!6^Q)1CzNZml zs2O~=5XqPHkyUmXp_E+^ixC;dINs9;zV_A_)T1Wt2pw`VjM2cK&1Ptz9~_OchtLdE zkRTdhpz@n=18fm=@`04*A2>M}8lc~735^&r)cdZCW8<Cuqm6M~o%q22ED<eava^h# z0o9HKgYF|BIh;&TuC@e+5z?K!#6=S9YD+RK2i;nUmT4`iezheDSqSAgFmse83s?@$ zYgo-qqteXK5TzvsJ_3q9rJ122a!U+iF`_iXy_Tw7Y$g#|g@mX8btWNMdEgJuGe$4W zOvx$C7BX_#a4-s4kepA9G0HNq{Zce2%V5{QlJ3R<FUpKii!x9U(XS{29olAyJoNy! z9!pVXh@-0EVOmW@{(;`DNJQ-T5WaEL;FAFn#TRtj2N70+24El^Nwm_-m>ws#LCOl0 z!V7FKqVU4qce$uEn~1<C`NTFVt$8Ek#5T}W2vWTY4hXW=U5uXC#>nu2kwGYmOO}IC zND{Qz1bQw_a!z(;o?(1uQfXScz9;VWB7_^c#rVzkM>boE7u<dY*&6Q&=~s9<g}Nd4 zD>^UiAtGB-zVu@B#J15zZ?s<YHhN;)=(0CZ@f(i6h{Rg_61A|1%4M(Qsm*jLdzE)i zA;O*{E@cizA$g=q3*#^~Pd`J9v(#XNG(-j9=!tESTrwPtLJ~-!oSc&lUYeRznx^k5 z#OoC5=I$I4<P#rZ5NSwK;EtZyMsV9K+QK*TTe?I3#5R<bZ{Tnsxbki5=f5_vbzQjE zMw7FM%wB=Pi?=AXur#wMHASH$GdC5~L<KJ<11*VyS&Dn*nw<iJ7f)hQQD#|U4yyib zwLj^%@(r}6ilAfRE8iY4Ffed22nm78g8b4FW5nWgDJ)`&p!IkltjTcEp(ymKi-RGA z5#nG85(Z&Mh=_}WCxj8=kik$0k^tda5YfQM(80(sff2N(ZzXtj8Ax<1n6-nE;Q%AU z2}XvijAqe`oNh2OJ_L({RJ;InY?yw+`Hf5<Q4sE6Vw%9jG=qt00n>jNU|hk({DFz# z2NMG)BZ?nQu=o*449Sn5zyAIIU)jNs0n9K)_9IwC*})SgmC9L`=9s~V#iI`yConS1 zWxOsaZMT4t5vzY6GJ-w)|G&5+riZI=dYE|z6EmuZSvE0ogZC8Zg0~jzLg9U2;`+hF z6)Ob3Ra2dVQAjy6FS8^wF(<PsH9oO4B{N?iBoLlolmcG*U<5i^QVM*yDM%beVM=02 zVtgi8nK|<5dmI`*p&@3rhMHN9&=iFfvS2qk80Z-o7~~Zz=)yKc;8Iaq?cZz%PB5*E z*J^p}u`NoBR7tg8%lPtdh|N|;xp?*cd%=n0C}X5b>i)A}_Ej)>7fe0{lW)P~S1|b( zOtyj(QZJaC$^?q3xlCzBO?FGcB5T3qRxr7j>13Im-BG5PfC;u|!6H||<XtfN6imJV zB|63iX2uR?#tF;}Gng3`Ff*)RX4t^Yu!EW505ii0W`+yQj5nAW9xyY!U}pHh%<zMm zv4Mr5gN0!N3&RW+h6OAPD_9sdurTgmVK~6TaEc{YqbRdDGcO&yS46j@C^J1h6;w(k zr{?4+xVZ$mDuB0`psW%^4pxO~P^y3jeOh8pj)NgAA_`KAGV@bxjiCHm%}aE)?-mP- zTVip^BNkYRgXHvA*aSae6P&~<sNv%5qM)k~3?`ief;4BaGA>|cSi#Cj;tiK;SveR% zR~QkQO9BxCSD=L;h6d0vkF=Dc)OhFkKm|rG7EmmKDiqjUs$Y@-5d(P1T(TU@LXueX zpJ6oWbPtxvYfv(U)djd~%QP;~g`SF7Es4*B+(c-o?`ewUOM^&5L(r8c&~-M%&AQb? zPD&<V5Av!Sur(;dp{9@#Bv2`X9GY(eEr?jkk;A3N!7QYN-vRM?@gSeUub;wl@;|7` zAsnokc)bfRJF$c+T4Di@$KkteYZ2Q;E)FJao6V4N61emQ7a(Yx&4}3=HdHp74WU6# zziSml6abVDdOl5jNQ6D9ly$rzr5ZS)f?9Pe7#Sc9IrM|_i0peCWAyL|oR)5^!05$= zIK~~hXwYd_f;YZ#mx1YAiX6;BvY<u_VzfLyzqAB72O(Wbhow{yc*#!02uBQ#zPCv{ zmcBQ<A46>T;_7}wO!r6a*&9KtDbRcXY-k?T^G6Q7FMqEP(cenNQB8q@6BLHXmr@uS z8DOrm;&xLdBJ6Uwh^&y2cJM+fWXMcXkSoG#;IxXrP(6xc2QPAx0JW=zd!ag1hBB8= zzC%PwM|p|5^88mK>={Hunba&!k2r<Wr#MxVCuZ>{uAxjwfrmDf2`^5G8YiZDS(-WV zEj`N81kG$B%2MK5r^p2<xG_#vLHdZ9gGI<ufx(L(+)Y&|NG&eT%*`y$1r^8O5jIe7 zn{bP|l1qt$Nk|Sy`yJY%MsH6`@p|Ovq{Kt}VlK#iF|gGQS$l}+Iv^(<$Up?xxp*5p zct#XK;~8KB7#A>-GopAf@AN*x`pI!Lmc6u~0TF_h?O<cNz{c=_jX_NqJiuhi!6;;i z5mP1QneoZ_c_l^pIXS6C`k-MXr^=F4aMYQ`>N`u3uFVW%{K<q$<4^CGtbmO_G2Z`Y z2Z?snO|kV_Y4&RweR{=hw=x<$LX1DvYo(Dr{-ji|Z#S38y{RA1_>=f$A-mD>Cn}A1 z4ZiWG4mJ)(p?*d#(sP4ptUmH+6sXKLhBot|B_IW(QP30Ah|Sf}rYOsiv2>Y0$rx6$ z;O?72H&+mCtr_URO+$T8V?<Q|+Rtcc44cU$wl@XaTtTYMNJCf<ixERuCh?xe@F6Ue zd%TGo!Yby{<zN=lB;=xkc*FS2yl68*5kTBXRuvfu5mYQ=34hXuw%&~nZ4I^ce4|5K z`rxboFxIa4hlWtIFE%=~1sYre51i3#Xsd)vhl5#2gHVA>^hnpwLn=h{&d4coLG5Qm ziE9R~`pN39;X1i)bli*Z3TmQ87O1?0Z*<(NxI~}UW$lsCaW8TUTH?mNs9n%nD`(ND zpfy81xy~Ze5ZtvOy=nV};J6rQ6o7CCsf&@zn1e|OynF{`nGU|;7Bl3%Utm8F8Y#PZ z?mPWQ%0MG8un-_;q^v-GIsHb;KtneK9lL{#5i(L11zC!v#=(eXO#-OF0bhz`2^tzB zxDv|{V<e1PE3pFD*26}^o?T_dvl2`Ay0Yz7#^nnTBVm-R#G3OkjNnMv^{MisBVpOY zb|h>KXeCwyBNuU*1Zn8Y)DpBriE2Y%_!eRrMH><tX91;BSiyjMpo_plEJNtH7Sf?1 z;8s3pxe_%OVnOXe8pVQGgBZm!Lp~w|<xCyoMzIJi#DX}0o(r)+MJHO{2^6ZNSLqi< zN2^9htJ3mqu?%*PF2ovLh*bhvmq4@8DvB3kHL(!05G$L=`WR{X0Hi)9YdmUn9TsTg z3xm+;I;_%?)D-aQW$?<4(REmij58SVtzi_R!m`%Uby%o-q=+8rr`kF!LzM9*NL!q& zf|T$&EaJwSh**b(e6kS4#e_ze24o!;Xfy-XFC%Ak>7UgC`i(AuhC>KC7CyR^2^n1i z@23Y1CZP-rf&?7FtFDYdLrcW<u3g|)6;O4X-`SN5VS`KY`>}8Hdz6aU=7&7E^eC15 zZGKhf!tHQx^OIl+vpdSfGXK`-HoxIJxU?HIxKs<-`2xxjxU&fIz!GSzoR}ScbKk2I zu}nM{$DTBhd%!sqGz=0SZ3G%&63$C4Q2<@&XM|<1A1JNDN(bCSOc?~WP()io2boL| z!y)jdJ4u5~jf4j6U{)cGGeK-Z8D}zqua+WXoGFh>gM(Q}1r(MTaSIw;hdKci#K^}0 zW9hsTw@`}Mevu27z$JaG>FnrO)96^!=vb4pud7kKqe~cQGXZ2}XD{RE$|%~ejH1(6 zQwA~hGx9(axPB(9e{_6w!ymXn9UW*I9cW^J6{VvKpU57wCvM>r#pUSr=ftdZBDQUc zT$F<6(8(%FpAcNyMBJ9YYAzKHCLsl!EqL%4h9&Y+Ca{|a<zN$NbOY8yBWJLwar#>N z4K{&>M+iE02b&nmQYP$!O~oaN&@Fxjpur}B>zIsawvH+N!y?#FQv!~mCR&^*buBR( z&rlQOL@Bl`_R)1r!*{4@6TYD)lnjD2)C4}e!jNifm~ag>!L0|4gTzN0pbj-*84LlX zRan`8d#H)PY9=G-K$9V2ECf7J06%XO(f|RU(}8!JHGyNLp!Oh*GC{0C8D%o0WR!`( zY9@#SKw(RjF(x85?t=<YETK9&!UP>YH9*WE6F06mdaRT}Q9f#)XmmBx=xU}xznY1- zW2JD7Fv07^Xi&QqsX#T1G&BTNQ=}KDqf41amoi~n8#=m_X>=)*J{hY*=`_MbwWUm; z)h0*<DQJ%}c?BuqrA)+)FA=en3HcIRXxD+jky55{yXe1B4m6+v>y(i*x^(sIYWj^X zfks3KI(7$}C}eagn7E}(pbhX+*oUA%<A>nY6w#n_Nocc<$+uz?Y;fs0j!kkGAEnr@ zW&C;#v`J0|>A3QXk5b4!F6zMPID(txE>x<G4lWJf!KHQh2A2>?AN6b~&{`V<OPUWZ z!L0`kfW$+NghH%iGR8a#7L-<DMFZ}^rBob;YlE$e2A=?BtnZ0DwqzJ-Xh8DqnsxXl zpJCP@4=sUhq2AC^HkT>~vk>Od?8x2(1uRuYmT>ihz?Ok0T0n&-QuPh?ELqb-qf3_{ z{h-l-rO|<<(WOh6H<6J&<xZ#b%6W)7ubgm!3Tm<<3RFmyOjdz<WOV7$=+Y%DQ+1;Q zOQQozgLq)+Wfn1Kl@l&UQIBK-&6|VU`lJugKP0$riMTCt6<mrOOhU4trZdLQ1K2<W zqTq)(n9!o70U1^T4Q0T(W8@4gy>p#IzhNcNSO`JK?qCy#3@a57H>?EOekn!7#Uq9m zG+VdSF>y0&Xeo9I_Vbr$F|@@0Bc9;UlE)g2(V?Z`JG8W)xS=IOlw-#s?O1vbErAbV zLJTdLVh$~V(kiTYz&*5-$)&-;h;P%Fp#^m5k}*;VZa~iPQWGPg?RYS|kjI$7R-ueB zA&wL$W0PDymnH|ZkSf-y3cN`U>ISNfGZA%-6Sy?R627EY>7!#!qhn2=O|w{fN280F zMi(&+`bA9C8fyZzV-W=^q>d(Qtm(w)SkvfO6PD6+bgXG~tZB%PH4)u7McO9^S`|d* zc+*oVjW^YBsd6ykm?#D{;=$9mh89R0<sgnGH0CrQr!s-YIAHxWa>krC>&&L#m=kD_ zgrH-0uu0Np%*mW)V@|U~w!p@mKx2T|Zoi|&m{SZ(62UR2oK2deV@{)EPD5hM36xf0 z#RDZ{PUg@tC$z)A!PO2)=Q0s7<^;71|Co~zC0phQk2yiyK<6<hP-%+jB0*|Mvbsp4 zV@{)EPNQQ^zN1GoamjEn3XR<LO`~H@pyPrKA=g2In3;K?{VrUvgB(fTi9C8V6Y4hP z(J`mdF{cqS=46g>3^=&)Px^!?eaD>4Ngi_=lIxrntei!^F(=R<39M6zbASv!<^;WG z4*SkH#3px8T`fiMa3%wq4LDt0x&}7jbgdTqIpDN7oT=wnF2Tc@9$%3fL<3I2t_sOH z`N`B80J_AIt5K9$oSB!dkeZU7s#{W&nVz0nl$xTDoSKuP;N}uUUHfjau(%}_mpoz- z0yT)h=ahmN<(XCS2CuLQe!?a=iB(X;#o0wcS0NZoItK)4&R}I+z{;?Km2n3v!&O$$ z(JnVw8D6k5d<C=rg2`4kka#bcoC+ovu@QMV6Y3%-(3(v{#NkZEsqxP7feMUXEN(8r zuE=N6`Xvcaa1J;;e}aZW;vqN9Ar?7dnS}$TRan`8d%%gn;Y<eDN1MQ>UXV1}MBs2H zs6A*SO@@XjtD7hqX(Dhq6T|_au%*ftIwB5d0u`XBV@%*sCA}IS9b+0DV;UV}8XaRQ z9in4Q)H<9A)NVy8P>~L2Lav9w=Lq7vD{B$k=;2I|0(EqZX>^Qf^!V@M68+?yY;gA^ zsdO-}YoglWOlYUv4T-~<4A4fGKs_>#{G62dw3H%HkIV(qBMSoe$iTNo4#<io(0~T4 zQ-*WEM#xTq!HXxcs3@~6F-M^!GdC5~jRZS7U1AaaMwdV%A_N@^Kb%Qgn1O+VQAm%2 zQAi784@pURW_)sfUP)1YPEKl(eoAmkB4{O=zO$4m2cwW7A@xb6#lgsjhtuc=I_=u! zu#u<F*9GjcEp=kgNwZ(e2pT%r%D7WmWB*<-`zRxOP8!)KH`$ynw42Lhp;&3RlnG?= zS}?g4OzvgcP?TYJl*ya_?C1@2)Eem;d?QcYY#fY29gJM0<sRfC!i^&h4b6zyYL_p> zOvETuDVIJ6vye8Sd|4205TBVBZvZ(fTnILsX^FYz4wQajWeM)lsB$hd4n`p(Le|2H zgJ{U84eI$$;DAtI@FHn=Y62q{Nd*ziX5;}Yu*E0?Rya-*Z$5B}h+_e3xy(73g^WoI z3#yG^Rgo4Tpwb+4JTB6Wc4puJA#2&x^U)jaz&lGukA)gN7HafZD7r73D&f-MU>4FK zRP=&ot3dri1qLq>Sk-4}WPn-u<u|I>6R!M<Nv!>mH=dAR)IJ^E#0M^FM+dt`2fIcG zyXZC8MeP!H)5A<6N?0=6wA3kRzYyHv2O0q&TupQ_av5_l3F#B6onW0LXv-XFW&~VW z5ZdrJAd9a+qb{%zAZK{Y<J1cJ4Ud6_Z3sGc2OB43!=If5czDd1gOPC56({F7=aqmX z4s=ky6j7bxl*E!mr%LDrdmwGD@Z-(-HGD!tjBJe*d_qIaY>hOtoM26tcn|};;zpq; zvpChk$Ux7)z#y+!L017J18Uu%D}kygEq}Mdp_YMRfnzJ9eC1R}Y=dR{FPySp%P3en zdCykHoDKEx!Lt1qPJsu@7C2sI3|V==_AcY$3lnUgf``)Hg2}Ipot;K@f5Gfl@IY8E zn4HSQS(fHFmnkb$&>q`h*}+qd_D7kHS9ds^1*^XbChvmDr(p606T=TCh6ZNF4ray) z%#1Ub85b}!tYBu?z|6RVnehNK!wF`F3(Sl+m>C{0GrVAC_`uBYgPE~`g`tCmVFC-o z3>Jn3EDS4H7&ovm>|kLyz`}5f(6Xtazf3DQC%>G+Wm;XVvKks8!CrAj3fc-TUU5d6 zafbRvnhN>~Ab|i^)MZ@S3dqa2SV&yPwS}L9QK%PGOp=_}kVn*vA`J}@=b~d<*Ok`5 z$k@xcY&HuKbx$#uE(f!aCZSRrlJ%pFKzHxK$J4MJVRdtD01=j!a+z>23K<Y-DfqN> z6V%Z(P#{<!M$<q(2G5}5y@{`nq_Bq^pKhX$JeCHwmYA`$?VtY=F_u=%Wy--!c$xtk z_>gcwA4xO9?AKlB3?m{EYPoDU7=<hdMS@$fZ+v=DVr4vdrC+o$Xyu)$zNZ0F#SID- zl8>&R#>i#O!6;--p8e>NVu^?pqj*mP_})(=&=eKqrp8`I;v%Jmk;|5YS;&$she2Zp zz5>z^%L>R^%lSk^50PWeu<A7$ydl*@-xK-JH_%!W6GSd4YG7m}oJ)uub4IrrIZD75 zBcjAC-V?_WaKuFkk%bh@MFz-gB~38%$26TMM9eji6#<5*#S}Ok=o0~kC<PVRVnhTO z#d{(K{mIA^L=O7HA^?&Y4WXF<USC*XMuo3z4iR~wnz;EFnDy`$sw+|<1zIj(OxCFX zo6%8!@KDqc8ujnIu!o3bmByvO!7L;L>QSI>fkf(CFnGa}FP5U*^4eS?>`8^((t>19 zZf<gJd}2yjd}dy8iN3Rsx2K<>5Wg>cv1ojRVWbhM<;FBd$fYy*?C}o`F~+b+N-m%% zzaSpus8l1cvmh>tclPlHX;5JF;zGC$xkmN7`1Sy-uZes5G@VP4gIP!x$z32OS|<*F zK(DBLPDDV*;9Y9!Da7j(>gMho666yfVL*ELQhg!ove`$7Xe3g5OzIxfOCo}@f{UCX z0i^O4)+j{VZvt+A;9uuT$<Y4-N5aW5fQy%dL5S1Y$J@}rz}F*6h|AO836$dujnEoP zkii4OCWdf{aWD&k_Y0vGBnk{(!+$Te<f+X>Bq+)YTjiZoh_EM#vck53kpY}U@pdE! zprj4x{YsybwyW<B5uqGOR!K`#;8MMqC2q)=+Qsb6HMfWeM<R#*F<Q1r1ugjv+ubZ2 zEJBV73|{=;{hA5|sl~;axtYbepei1`t`jt#Nx1lPR$%ZFgcwnpmz-Kul9-uSQVBOC zWaWXqgbnEd4bBiTe}EPg=m8<cADmiJS^!&L>+FiLz_#^uAbc<$cbf&?`T~aoX!#@9 z3wVnKdj$qB-lEjP(oE3qRFnm>prL560gMY6$(cdO`q2T;{<!s%<7jgQ1~1m)oW$g8 zc!Yt*vI#nN2OIZ5%pe%Y>N}6lATThD&LCjvLnu^(XN#ayK?-S!IXMo7FkV4wQD%OM zEo>^OR`V7M%jgV3TFU4QLIy(><LC@RYKkVj1{j?|7@a|IjgK${A0mO)DJI-K8l6Fa zY*ZbcL3qH(@B$JRLvRKG+|)$xUyRNmP%xr3I)i|*Z(wu=VRQz8{40J&XAl?;Ffv?V zWVpe|0IzEnv5n3kKq}p#vUy;12BEk_A2j_6o_vMP34^9ysW?|VI)h*mPv%J(!)*ou z)Uu^Y!*=M;AQ+<`&|y3}g8&}}B5vAah|M6FjLsl{cN~n)AdJo+WR_$m=44i-#wV7h zWajIm9ft#1cMe-kIl2d7bPob_PXzkH-qAe>;MHy@>#axkAUKcCAf%QkfR4Z&-Gh)* zS~3FnAb^{iROx7q?m>WzXpPPwjLsmSojf$U2LZg3g3O_<(HVr%83ab$%V0-m5KxaF z8r_3HkNez4_aNXMmw}C25j^0Hc6$&&EnBKIY=`~~g30I%0@CEW&<NOrU^+U3Fgk;f zqL5UH?J%m*JqSn#>W|JK6zN0406KDxdOQed)Ohq1BhU!x=nMiVEsUOGG<u4WK5T>Q z=qW~!-6trsY9s9wBXCobDjluS8HCXp1W-*odWw-z{OAk<74I$>ok19#K^UDuAo|F- z(HVr%83cWQw4>;t7o;VXrlrSwLbjfRj;aGK#D*PSJKXjlfLgXxY1j_^83fbO8H5ow zg8;h9md2+TSv{LK5ceRcS7j5t2SMn0&FDP{RJ=5F^c17fQ;Z-@h0#-tMo%#UpXCf$ zZ#}vPVRR1y>;}luJqVCIF*s)sVsA?maat@n_aK0qnrIy@(1~6wZZ1KtkXi$NF9`l~ zxkqOZMrRO4XAt0bG$8U$FXQMQgwZ_+$X6pGmABw^9gtJwf=MfHM^7<=l((aM5JvYP zz^_0aJ;iAB6eH+mvY?hNQb7y42Z6kX?a-e=FhHL{Fd3$&7)3s)rQbaWpt0=1dJlpj z?e`#z&LE85r2L8ne5)0>br0Hh2ELQ~6E?xoo0LayQpRzD6m0JU@(r<|Zjl9IX|Eab z1*K-lU2EcQh#kF2dGsb_Mur`XSeD`mk#!9J=uOH_l_ja8dk}`q9t7IWAb^{iROx7q z&LBWWv_?-c8a>5mbPvMl9)x(DTcw?m%3DxV*>ZFSfr5KyMrRO4XAnkb5Q<CmlXJ4c zLpfv~7B}4XAb?u7NCoXko<T4iok5_%9)!U>gJ3>7gD`rE(da2gj6(XEd879r5Ellp zk$g`>q-qn?KN&s62(&VC^b{jdS{OaWX!I1L(Nm20f_*%ZcAp@oItT4N2(+6)05>&} zY7Fu_TB9=vqcaGgns)RQqtR207==b>5JqPZ&@O)#3gHstU={+eS~JuKU!@CLx0ab_ zsK78fgYW`Ud!%tGa4-wWfC2_Jj7Co}@-vKg_VET?y{y3K#pR22x7X+%gwZ_+&^-vC zmMv8pwnKjpg8AqS!U&r|Fr)npf~GnA6eH0^QyqI5L03{w1(S2Z<Wfctq0{zj8Fx8z z@7>Cnv!Q<fUa<I4kof+yj0_A59IrBltUO?Qm+|n03ARtcvTwoUSH{jxBfGy~b}M); zpchO|Wtyv;<2aY;#eQD<rC^b@U~(&%+{<+ERHOY-rcWK!qo){+o?>LoF*<`_!oetH zFgk<4Wy--UWJpptjNYW28E>kOzA<EU24QptVRQyz=*=M9N)n^dDMsL?CZeNdY7uE@ zXbf2sJ9>%{Xh7UR6SQfrfsp~yM22l!#(lHr=pKa8JqV+F5JqPZMrRO4XAnSD2ke}A z$y1w&sKZBR5DX)Yq~yS7t>)$?=cXFPC#IBvrVczuXAqEwK})%0IH)>NJ1~0?0<v!r zkt?dWOgR_{j{v}Kc#AJeO^*h(Y^l<)B|L)w8qXx$FmzU6@DfbU$xqH!D9uYwEh<UO z%qyt`6%pXpWys0{dkGuT!^maK!9;jzEm}~Z2L$0$jKcVI_YrmuIhPXKD=>KR7Nr)J zW)`KUD3oO8rozJjG!zZ9lyL>Fa|i4c7`%8Ai;6PK5_3@Xn>E$Y?-V1@ST;e&?qK7- zz{c=_jloHRfq{cjh>TH9XDOl*e^O?NbAD-F2{`c^#p=6gF<3D;F?caViD~$Rh8Woz zD)@wkm_jHsTO-XZC(t}Kc-s<)0X~67p(wLB)xpR>&%nSSuUJ7B+eN%!B~TTm@s;p- zgtVyXSmzO%KAy5)%lKjG>^-RS2u&YPkv)%~Qk+llHiQ`7(c2J`NA5hrW_}Jvp<YmN zIXaIpI*;&ykwK_>bRNN+&?Jgmuy1^NQDS9$aY<rHYP4}|{7|1qn5Vjvh!!SUXBipm zdzvCzR*(~I6d1f1K<ybww{HR?!wg1-1$eIUo4`m~+cp|JgJFy^wPP4*XlR012yYhe zX$raP%qKL2j5EKAi92u&*2DxY02IP=8$#cvFzQ7Btd$dO1WK8P`kp385dd2LZc3{N zK(`rrZVhZPA_7d~Jx$;dV2Vf$y^O>ix>n0&&cQ5XOen9Sxd?sA6U$O=&>|05lNI*} zHj%w8nDy`mCzhBPy$m6>L}7Fq0aW`^KC)$bZ7vZ7Nh+5z2cwWYs7nJ~g9{o*0*@kr zS1mjHczgO83h^VBpvFfSM#4L?#FpFB7`aq97=^$cBwY4@R=Z+YME>B`=w%4t&MKK_ zY>Zx~jO7f+MQo#&AwZVyj~-<-dX&-VQAR`cC?nAxDo!JyH*As5j03my$yy(?i-m(l z$Wej8iytxvP>@<&oSB<hoD1q7fM)=*LIsIv-%w>5p&K+VM#MA%T2P?3@TK^IQ%gz< z;?q)!QsbTDT@)C-;4OZzm$rWXYeTrh0dIVP^}stRc>B$GW)VOG(J)KNnMGK{M#sAl zKqJ`%9SfgDU>66^BB*mP3MpfB4^vWe5-XEoGXR#c`p#0|CMt$P@YoKx+XlMo194w6 zc%s0>)(B;yz>rE41zb~M*CzKQVBc_H(hr&_NR8p&yOnWQMjd>^fk{9469vUe1$Z_b zcx)@SKgy)5o;!#(90a*4fD4FJ>Kq|-i6vK~D6=>-FI^!uB|TNQq$o2zJry+bmYkZC zqX4?BkgE3GVqqC#*Cs#a<zN(QWaJ_;Qw1UlK~ppEz#A`kwF0yZ3`8yis~ABS2lp~w zt9WS#%jCGnT?)9gIGBahFtRIhz6K5Q#77%h#wsv)!3W)pbugCPU5u$FqAp3}QsrP2 zQpB(kB;W`+lgQ9i-_sb$%jS`WhM*BY=pZM^e}o4)>mhw*kg=JGIhj?d@rk7=nfc%Y zq7e2Vji{M|twD^anZ$b<!$;IiKs6>TXo(w9%i&VvU=~us?*LHPA_ps$0W__>T2u;F z)S*j=e-T5M)C*Qa<dI^CHHcuvHc$z&n%IF#VuIC>h+s`y7eqv`rV>s?sJ9D%S2L5G zSnD7INchr<2}+=X)=V2B0u@_Y1>FQoY@n8K>2WX%X<^u3Ql5#trVu3Wng^=Ik<%=e z+lYNj*og?-5-#GFCLpGT4E2#uD>Jo3Ef_#PCR{M|GIAMlFbe5`JcCj)pm`SDVu8#? zp(bdst%$Iv^tzK=E_DuOEKMs^=fvm5n-K_f)&vtG0zDH)iUxZZ6t&Ud1{$LH1<x^o zQZ&4ojWk|~|CZs4TpUb7kqQi6DjMMS1$g8VJfH>|Dn+dR05=oBi{2qd6T9v`fQy%d zL5S1Y$J@}rz}F*6h|AO82{g27Xau@F4q9J;k_E9Q(&og8(e?QljfK&H^|$b4W4N1V z>0F8&%tErD+yWi&2Qkrd5K^lQOY>nx<#QrRg&4d`J3WPXokHE*okN0r;v)=5pSYm< z!cpP|)>FA;IhchcF$#KE7%DJ$iNGe24UG&iTeMr3y(A*+a)@olBMs_6n(<@}>Kx(X zU?#UvUEoN#OE6Rl)zQ)O(b4nK(Q|r@o>N?wCch(QxI6<#M+mibN|nax3W9^<bX;R! z#ihu>BqWPbRU!Ku-PeQ{)VID4r2m3?&`>L^mLX>-yss(?-ZH|ipPcb~a|H%3*5aJR z<ZRGr4tU@nG*(N{u{+pUAPedrFfcH1F@O)GOH0ZxEkPWyCIvdA4oyrEG^+r@nhc-6 z{{8=7*ufCOP<F5c34^dBL`2xZ6T(n-$Y3Z0Nq}%Ih-hGB=wM`+0AA6z5`4T3NOUWh z1-T>f1S7*$#@QUd9d0l(J_L({RDh3%WBv)}H-Znm0m*bQF->4%nZd-efayOBFs@)? z_`t;QgNcESfq{X8Re(W)!4<?}6mrZ-O)GKBEYeTQEDFubEQvSNhhB9A<$>03=I5nm z<d?(;2gRqAmXsC+gSzan&ZIk43^I(>r;b5J)H2AJS_YZK>bs8ydr47#4lyZeG}uYW zf1|0Ll+YS2WJn1u18Nn3BPQ70AXTbUQD%AuWpyfbOacWwbxZ=4Gt@B&RK8QkB-DD9 zI%a~>JatSO4SRChlA~b{4mG@O?$LA)4kHqJDWhc$sUbF~%X=<rc3)kn)qSOoL7;8+ zRB@4UtUh&IWD=|ILY-pSfNCZgQq3e#cvHuBpa7?iNuUr14;X+GItQpx$soky6yoPB z0AceaCdVhHB*zznHcZ0gi;7F~*dg-F#mPBvW=bNQS#FGAnjn~_2&Nf=X%2P*c&LaQ lVk~=Na(q&9PBxM&QWBxA;DIRNNKB5;DN4@ChMR-p5&*1;QYHWZ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..fa28f1e478ad80b4c4e493f33514006f2cdab27a GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zYA=;4+t&VO5jIQ{IODSi84E3<vmJ_6jqsV)AGeIbVOvu2+(Qfq~(!BtwOX`%)<o zd#yYJ!ve>@@(c}q&PNq?^gnPos|pgItH#i<&H1Ssh}~-hBJUb8Fj%@QH3qTQS}-s) zIQ+F>U|?`MYRSOh;W){P!zt9w-8m%4=d2wALxcTXdj<vt$ERTOtqVxgURRJvs~d>j s>kT6BdV`Ev>H}hb^5Jz432-%vclL1f^K<oC8we8p8wfJ%Xb^}E0AdtPSpWb4 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt new file mode 100644 index 0000000..db45a5d --- /dev/null +++ b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt @@ -0,0 +1,227 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:41:00 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Placed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs | 571 | 0 | 800 | 133800 | 0.43 | +| LUT as Logic | 571 | 0 | 800 | 133800 | 0.43 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 589 | 0 | 1600 | 267600 | 0.22 | +| Register as Flip Flop | 579 | 0 | 1600 | 267600 | 0.22 | +| Register as Latch | 10 | 0 | 1600 | 267600 | <0.01 | +| F7 Muxes | 34 | 0 | 400 | 66900 | 0.05 | +| F8 Muxes | 16 | 0 | 200 | 33450 | 0.05 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! LUT value is adjusted to account for LUT combining. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 328 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++--------------------------------------------+------+-------+------------+-----------+-------+ +| Slice | 239 | 0 | 200 | 33450 | 0.71 | +| SLICEL | 153 | 0 | | | | +| SLICEM | 86 | 0 | | | | +| LUT as Logic | 571 | 0 | 800 | 133800 | 0.43 | +| using O5 output only | 1 | | | | | +| using O6 output only | 486 | | | | | +| using O5 and O6 | 84 | | | | | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| LUT as Shift Register | 0 | 0 | | | | +| using O5 output only | 0 | | | | | +| using O6 output only | 0 | | | | | +| using O5 and O6 | 0 | | | | | +| Slice Registers | 589 | 0 | 1600 | 267600 | 0.22 | +| Register driven from within the Slice | 260 | | | | | +| Register driven from outside the Slice | 329 | | | | | +| LUT in front of the register is unused | 262 | | | | | +| LUT in front of the register is used | 67 | | | | | +| Unique Control Sets | 33 | | 200 | 33450 | 0.10 | ++--------------------------------------------+------+-------+------------+-----------+-------+ +* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 20 | 20 | 0 | 285 | 7.02 | +| IOB Master Pads | 8 | | | | | +| IOB Slave Pads | 10 | | | | | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 4 | 0 | 0 | 32 | 12.50 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +8. Primitives +------------- + ++------------+------+---------------------+ +| Ref Name | Used | Functional Category | ++------------+------+---------------------+ +| FDCE | 318 | Flop & Latch | +| FDRE | 239 | Flop & Latch | +| LUT6 | 237 | LUT | +| LUT2 | 113 | LUT | +| LUT5 | 95 | LUT | +| LUT3 | 95 | LUT | +| LUT4 | 74 | LUT | +| CARRY4 | 46 | CarryLogic | +| LUT1 | 41 | LUT | +| MUXF7 | 34 | MuxFx | +| FDSE | 20 | Flop & Latch | +| MUXF8 | 16 | MuxFx | +| IBUF | 11 | IO | +| LDCE | 10 | Flop & Latch | +| OBUF | 9 | IO | +| BUFG | 4 | Clock | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| MMCME2_ADV | 1 | Clock | ++------------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + diff --git a/proj/AudioProc.runs/impl_1/clockInfo.txt b/proj/AudioProc.runs/impl_1/clockInfo.txt new file mode 100644 index 0000000..a81f552 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/clockInfo.txt @@ -0,0 +1,10 @@ +------------------------------------- +| Tool Version : Vivado v.2024.1 +| Date : Wed Feb 26 11:40:52 2025 +| Host : fl-tp-br-608 +| Design : design_1 +| Device : xc7a200t-sbg484-1-- +------------------------------------- + +For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US + diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..56fef27 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -0,0 +1,208 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1740566203"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="audioProc.bgn"/> + <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="audioProc.ltx"/> + <File Type="RBD_FILE" Name="audioProc.rbd"/> + <File Type="NPI_FILE" Name="audioProc.npi"/> + <File Type="RNPI_FILE" Name="audioProc.rnpi"/> + <File Type="CFI_FILE" Name="audioProc.cfi"/> + <File Type="RCFI_FILE" Name="audioProc.rcfi"/> + <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/> + <File Type="RDI-RDI" Name="audioProc.vdi"/> + <File Type="PDI-FILE" Name="audioProc.pdi"/> + <File Type="BITSTR-MMI" Name="audioProc.mmi"/> + <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> + <File Type="BITSTR-NKY" Name="audioProc.nky"/> + <File Type="BITSTR-RBT" Name="audioProc.rbt"/> + <File Type="BITSTR-MSK" Name="audioProc.msk"/> + <File Type="BG-BIN" Name="audioProc.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="audioProc_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="audioProc_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="audioProc_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="audioProc_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="audioProc_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="audioProc_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="audioProc.drc"/> + <File Type="ROUTE-RQS-PB" Name="audioProc_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> + <File Type="OPT-METHODOLOGY-DRC" Name="audioProc_methodology_drc_opted.rpt"/> + <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="audioProc_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/> + <File Type="PHYSOPT-TIMING" Name="audioProc_timing_summary_physopted.rpt"/> + <File Type="PWROPT-DRC" Name="audioProc_drc_pwropted.rpt"/> + <File Type="PWROPT-TIMING" Name="audioProc_timing_summary_pwropted.rpt"/> + <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/> + <File Type="PLACE-TIMING" Name="audioProc_timing_summary_placed.rpt"/> + <File Type="INIT-TIMING" Name="audioProc_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> + <File Type="OPT-DCP" Name="audioProc_opt.dcp"/> + <File Type="OPT-RQA-PB" Name="audioProc_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="audioProc.hwdef"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="audioProc_timing_summary_postplace_pwropted.rpt"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="OPT-TIMING" Name="audioProc_timing_summary_opted.rpt"/> + <File Type="PLACE-SIMILARITY" Name="audioProc_incremental_reuse_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/> + <File Type="PLACE-RQA-PB" Name="audioProc_rqa_placed.pb"/> + <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/> + <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> + <File Type="PHYSOPT-DRC" Name="audioProc_drc_physopted.rpt"/> + <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> + <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> + <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/> + <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/> + <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/> + <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/> + <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/> + <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/> + <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt new file mode 100644 index 0000000..2498e46 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..4049ac9cac1b611952464defd4cee6e2e372eeb9 GIT binary patch literal 5138 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp(TuMXk}mwVjG%S80eW=m>F?$ zu^Sti>RFf=7_o7&n3<Uv8L@M*8k!my7)P-gn(LYy8D%gO@Ko?jU}O+r;<DqC4oXcc zN-fUF%u84B3{WUaEyyp<EXgm*OfBXTV3lGvGB(j-PtGq&O;62>Vl%YVH8M8IU?^a% zV1<~lmWgWtqg!HTPHKumNxni(eqxG3X>n>1ieZ%s>Z--+)(Wb{T%KtPB^jB;3MrXI zsmUdv@GH*9FU?6&$OCE4OHVCQNJ>@6%u}e$FD+8Y$t*5W$WMcqi0n2Uh4g%oeI*&G zAe(|yOG+~H(m}>1=H#a<B<7_k6s6|om!&FXmgsQ_ut~8P8XH=`ePZOy<*1*LpPO2& zpJQa4lA4s5tZ(F~@0*(9oS&!fmYGvhl&W7+pqmC}>86&Hrer4OmFU71gWO`Iz$NFL zn5SN%kd~R3q5yJAaY1TwW?E)yib6qRNrqk&J1D@6Oe``O3fL;xAR*St$fe|ypO})G zq7ar@mY9+c@&L#@aJWN)N)HtFEQZF$MhGW6aM|gX78ik?t)H4#T#{s{@9XNSACZ}p znOC7-1~$vcz{o_;P#<EjK9rY|SdyrpSpad3v7s??{Jj|z$z7C7xU?Vzl-x55;*%3g zK#^#rV8qMC4N36^26|>@po9+Pf-``jnT462sgW@#g`4VG8e2e;ypaV+z|h3f!U9{8 zPvPQm&d<$F%uBHXrAGl4DJD~6Elx=Al_cjF8FLxtWaeeZr=%8VrspZ>mgE;GB$lRR z<_8qzCoAX{Bo>t@R3w`x8W|Xr6ep#dSeWP<MzNac8iE6srGf<#&7oY%E)WwysXa9< zu`~yiL_vwRxG1?ewFG88vx%{}7H2_DVxD70YGR5JHy3AderZv1YH_?_6q})uu8FaE z215bd;0P`?Tm~oS=M|R}p_*-iW;Rp}+-y*8hMVom#ScoFpuzy`Pt{^j8DMB?uEmy; zT9%od3M!Z|JkMrisB2<?lB}Y+R00x<z<yLn$xkg-$jdKL$Ve<pRd9B7^i{~oOe#t& zs?-CSZfRzQ*K~FxLtRTFBTyK?OP%eET)IK2i76n5LgOhpBQ-g@AU`v&1YFoCq~#YW zB&X)&fO(+o#B5}4s>Kd1PK{!@qQN<d+ybDuC|N(VKtDMrJH9-#D&7FW(o0D$0Hr{X zlwlOBp{|K3D2(B5|1c<WoD7$gUup@sd@ar|0;kO6{M>?^)RI&^q^ici04djj*+vGI z=6WV(mLM-0=ouJ5DjFk0NJV2}YL2y{3E|Rp%uCFvtOCWDYOz9SUS@G-u0m=~YHn&? zNijIKic$-55|hCKp!i}kG}mI!gSgR%g^S73GK$s6Qr8eAAw+N)L5&J2O3W)x%P-1J zEXmBzQ-FCU1yq-)7ArUhgenxLCg<m+fa^6TBMXG#%v_8HV6%-t1vNaPLb&8$K~$bt ztN^KxQxwWGOEN(10Z863vNS{_Dh@6-NXcu&!Nq1|U}&mm3{C*%mdHh}H<xBWQEEYA z5hyI69s~zKPJVi3vO<1ANoH<l71$e~a9}nzv4mRzGS1Z80NJ=BjNrUh3^Fak#aY2E zGbdFcTD3S9Y$j3`V3A_BFtO0$hGdx1lFXc9qg1X$8fAm{r2NF96upX+WC2DaJ}xeB zcF@nvD=rb>v|x;4GcwS%09TCg!sjd_m#$l8US@GdYKlTYViA!(G9Oev0;Nv4kM>a# zHSx47p-707O^jTsF8O(>3dN8nmI5e=ff8t5x&ovsNzBYEDF!!Kn2b%e*dTI7G<Q84 z7n7-(0Fwoy17j4cv4yU&DRQP*%E%?<l3J8m2Fexbsd=eIi6yBi3duS7$=TonfYE4R zoXTQsp$lq)!%LYbgQ7a%<KjtAEs2MO4{~3_z!a$humG`*EX)n`EG;ZReGM}s6Fo~~ za1~%`W@HAc6AX<lEzPhsypB^Pd(%8!;VP<7GWK#tE-|bn6qf*t6tkhZC2E<J#+5{I zDT|cZ^!-vRDvQH1Q&RKeeG`jIQi~wPEVNiP1XnlkLTCdcmpuMr73yfTs)62)2GzZA zN1tQl@(l%70Qp6k>6v+nIiOw=sO&)s2L(`VlA4$T>LG%9UzpMgDai$h2B5JK-5P-4 zdfFJ|C3r>;<kAc%1=nq=#R{2uWvN9asYM#anxGzmYB8keT#%WkQLG6mOf4<6nDYxt zj6kguFcHOOY^ZC9YUogJOv1_%b}m+UW0GJ=GLMl<5qqmIxHLC6v8d9D3(`0<G(>8g zMRJ8JC^#yV<d-DofKrSRIQb;zC8riEl&2P@DwM!lkf|wpTnY-F{!XE83buAohK8q8 zsGE)gNH9dxN&!hX7bvm~4God&pE@pg)nWzDytMpc9Z+L2Jh3PbG(Z97I2UD>fQN%% zqTulg)nWzLqN4nwVujSa<owdSlGGxQLqHu=BMamXDhn5rp$RBO7=j1`FcHOKqH6+j z0XzqJb4jTdBQ=AIOOumRi;L4rb8;#{8J@|=64_8*E^ee=FW5LxH3c{B*`TPV__=tI zT!~yy85)A>CdlxBu{nqhucx@UI1CNVK|=-Rpb-Ls$%~JR%QY`WAt*IDzbHk35ddAU BvSk1O literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..3f186bdc24ec1d63c9a8aa778fc651d1bc45f54b GIT binary patch literal 15992 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^6mM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=dNSqV&MX(W7Ht(W#sB&49Uz*RnREbv{FbeC{?gkFfgzJAwvag zh18tHg5uN^grJdvo`P>`Zhldvf`+e?rj<fLYGSs6t%8xIv8kSck%5A>LV99mo`S7{ znTei(G02RxqSRD{f{e=I%;dxz1zQDEGXuDAS!Pj5DOl9d*wP&2WOxP&B|4s^xFnnt zlQU8kLNaqf$<7lIIM^cJl}ptnKQC3GIJE?vh9X>?6-ppFkx~yhSecAXwb&qXQLM%m zy2hr+kr&3rq*^S%0?#V8T-L66DIgc%at=7LD%2=AXQU=)7nkN*DHtXjnHeOS8W?aP zr}51=qH7W(S3j=kGSD+H#TH`*dd7xCN1B12fdMEE$&539qT@`2OUNfbJu_LszW@}z zRp6wIEw;S5c(?*GKsiLU7@UBmm<<gKQByGs7n7j^xOB|REXhpF$wV;@l;Pm1DxL`A z*tl2>^$Zn^l(-a}L7B}xH7~UY>_7#_yc7i&aFMSNoLW*^0Ch2n6)|YZ!kNnv;sKDM zgbXAiajeCWIC>blI&mfrtSJLWvM@F>vd}ZLFhS&HoXNt-!c4(h0i0Tpv$C0~1#)su zBsS2E6pU23l)xdY;EX8A!NDF3Dh>ToOL8)cL3JIdOh*a!IJ97Q<#L7vyAdR^@ES=( zz>}FPL4_SgIclJ1fGby0J@`G)LPnoU7aB4Oo+ue+7>7X$5lN7Ri^)jANRvw)R3R2A zgchVEmZXBy9<*X{Ni0cJaL&)kNlgZ|WUys^Vu~v^E*2wD`NGG=gKQHv<8VeD$N*%` zw2L|mtVM^Ofib;Gk5D3_4jiOL3PuuKV#LQ)5L&*l<T8ik3nM)vSX%-u%IP1>rt}Kt zM6}TG;Bte81}H32iO3JbIB;BvNP{d~OvVbJ_I^-mNn%lYD%M)6iIFQJB)=pvN5MHG zF*C1NAtYbHDODk)C^4@X)TB>MQ3y{hN(FT~6&(Fs6#PnalTwQm^3zb1Wabr@6e~Cv zr6!i7rYIyr)Pws07RE+e%=rZ+pni)1n22IGHq<q=Fg8JMx+Zg329)OHq=LF&3YmFj zsYNBJMa2q5sl}x^;6`y~o<d?CM5Z`1KM&*p)nbK$%sg=Kh}qoS5^jwV$Ql!fHKt}} z$kqgMX$F*n`$ww95W`ARi!_QgLH!)nVuhUi#1xR38pWEB=C-8;+*GLJ*+7mr2DK*O zeHlwGaaagL+@GA9lLI%x1mQ4)C{|-bT@#evsS{c%*W^-%q;g{gn4zf2*wi@H&>$%h zwSB$`M-yx!BUc~JCYT<shJZP}vbYJCJONK4dNauFw`49oNFb>eD<ne`9f+BllT!>D zw@@fb&CM@EL`Jays}!@5nK>jfxwtrBwt`X$IAV>WKoM(fff6@c8M&GnJ@XU_pv`?9 zP@g;x(&AIdPXcwPi$RH90p3kZO#uxpl%?i@(`-IcS3*w#)~_zjQ$P&VK>F#rDXB@N z>G3)F@NpS%r#dCIBr!8bPXXk5Q$qu+t~Z3Fa|0t#+Y_E71BojhOcad7xJ1AW+r+#Q zg@U5|g2Z%i#{yg3Pm|2!hnD_Lxr`xEYXWH<qq&QSyg**7*brA{u%K6FaNyF$785vp zj6GxIQ<O1qqy=~;F~F8doQO+mrV2*vT&%(6si|1|sP<^dK#fZUk_=20z<N+aAu-L; z(!eaqk_uxK10U~(Tr&8)h&`ecD2ixUOu%E-5L?Xp5f`&&3P!?Qf=;1s?x1olGZ)Wb zM;Mn8ba*8-1ypF}f%+|FnI)Cb`ZqbTI5{yTHANw*Gz~QR2&zcIWw)WF38eI6=3;~t z)kfwh?FVnP^kc+j07*Y)3K%X!O-p7emX>M8CaEZCiOl-c7<<=*ZXIh|E)8ORi#=I& zFmky<!VOfNqSvhXX$s{TnaSXx>BOQ`aL8h>Z}mV`ma(NNB+{@Y4|vi;s(#JPKm)Gu zN-To7^k=SMB+DfooROJUq7amto>^RyT7+#B4^%<Ihuvl{a-{?Z`6vXX<|Y<^k|Map z32uD`2l*63(_<0TIEW{c^C9h0uquR9ewqS|qmT?~Lzm=(S_&Y8K;d9&Vg?BZW-dms zZ;=RS?QMpVm_yMLvkjLOBvQ;3@OYDmWKCw(h$~ssEnT~F8IkUB>?ypAqJk5DLPMl! zY{h8^amm?2!AO!zJRrZgL?NIkKRLCy7&L&7XPhw*Ewxy1nL$#Eg#r#!P*X~ZrHN@; znmJV}(*aK@&Rlw=c^Z2vDWWKq;Eq51Da4bw6k@4h#K*<smYIilVj+tN!`Qf3EI|W* zx?I{&<3MQ*I-dZV8Gsag;DJYwOmIeGL25C!zCk)#BJ|_(fh0nZ`6QT)k~EDBO^l5U zOwvqI>Spo^F(V@!bu-<D4P%Lo5F-U61unU;)S}F^N>Hdn^FF9Q1aB~5ivyA-yPWg$ z;D$k_|DZ;q%u8>;F|N?h$kl^;Tmd|tiaEnTuZ~m{T3U4EvWKNbBL)1yO1tC-n!Lgc z5<Nq@B|kT`P|@YmhK7m)G=G9S{=+x~^tq(`;VWc7!<~8QsS3fRxw(l&m0Z~C0evnh zTU-E#7BMbHl4bfCxvF#r3gB>U7ZcYP#u^0$NFq_d4r&w>l%2t&Zm?lE1%yx#bPx_A z1e-lgO@R-c6%?gn8!9VSP^jS=sL;^G5gc#WxUR9)C?E!9u?0vCnxKIK$nPkEMwmh< z@|YY$P;k^JfQtX(67=CPm~tX)#^M;5ZX7g72Um>(bOZ*6A|h-C`2dG*9KtZ0F?+K} z8i=r&^w5UcjIY0e5vd?eNDc!ru?T^L@nDb+P-x?7zk;<A;b)LJV6{XOARU7)!;w>t z2B6h(=3J)G1yGo4doY(iQMI{=tG+j(S1X95)?h$>Irc#ll-6J(mw9+*PL4uxc4mP> za!!77wgPCjATJ%f4iGF*44Kd<NG;0DPf@S|4TIzrgXhwi4Gm4S*b2&vK$C&s>2U+l zc(RGEp)ty+5AHa`bR@BHNLDizdw+p`%M84TUc1K6#S3o2DLCh(Cg#BxfuY15mH|>| z)<DrpY={oMHD3Wl2d5~PFs#evpOjWwoD6OlW9uG$VB{)NEe0)nL0+z^T8xr(REu$B zd9XU@;#4pjylf3=VJbopv6c<A<d8>-*~9{|B3gz^3g+J8e8@87<b2Sw?2=SH@cch$ z@hoTwEodzOG8?wA3p6tWUQuVn#>HZ4WMKvpFf=wZwE(Z-HV3bF=BeO;tf$5@-ULla zFjpXj8nNk#tQ-njvW8_zumO(nhIyB2%LqNV#DY>mtEEA`bm%&I&_W5&!UrY`WB58; zjAimstcK>g7NGnIAMCE<a#t-@0Id`*22V4GCl-O0tV1}?MVTew#mX>I@FcftF?1cb zLTX-eeraAwYEf#69%$(~laU4T0(Q_s5L3|5lOc$Jj6O%PnCP0I42gSjNr49ap(!Ut zp|~^|H2RoUnv(<S9!W77St1*Xy59g~8mJhD53ny`<PvwSNKGyU&xFI)*TX`G)zr+` z*g%W3ASW@;F(WlG#VCm@0kN=LK{qWkCsiS_G$k`1Gzbb>Y#yIqP?DOWS5#1<pj(iH zRjwdOLAR)&0;^n6K}8gYp^>hUiG>l$>W#^agmzZY!)ciNHSD+~Jp&Y+6H5|7%K|cs z6-o<0vl5^sfS}nG7DFRrBQ5si{G!zK)VwHmLrc(_8kFS=ly557z{I5-k^$Na09uAq z3|e#p+6e%f`^n5x0LPIkw01YOFhfoqPZ+uK^)vEwQ;YR;jEqxKlM<8ljU4rTQ&XJt z^Yq;^b4rR*^-Bss@mx}rs+(F;nv$8ASE3774BD=s?+8l(dPSvq#rm1K1v&AC`Z$t> z0HYMWt+Zf_Vl~n=G($;Hq~{|<!UyL{lzjAfP*gE|Ts#OzS|L{|Mo5*4DTr-sY-pxu zZe(u6!Nq1_p=V%X46Rixp|y&cA+}oO)1dIDESGdTWO03Jd_iJSVopwKPCUft#WeA@ z2bWqnsBj1E$bxM7NCB<sEy-6%%FIhFs#HiUO3uhEO9f?lW+O8*`0fujV+&mq3j^fE zZC?gO5K3`LfP9py0G=#xaZ+%G)+kmAM!a0yu=a<ZfgvgvoRHv&hn<TRxkUm`J4V>j z&eK8RaX~Kr@S^;ZRE2<?#N<>LCzSMJ0LmILzk}H1`SkUm@ToYLDAcE=ImM|dK8YpC z8RYuc1X?PXnq!MuTP`tBVgj|VB3xYE6pHe}?Fn!rhuO%`P>UO~-?6kLGp9I;&B#F4 z$Plejqm0b}g&fdcpTr!6ywnm<1;!%9Y-C`G#WVv@jS6qncyVDjt+*gHITO`1Ljx?P z8G`aF+_b^bdl2Iifkb9derZW+a7lhqVtOiiUNuI|tH$_Jun{aqO|ix3AWOlpE(>^r z9C<kwzkdf=B)dR%^TND~uRt~=KaxKWiegHVOB|H<QxyU-DvMK+a8*P`MktBf*b<+= z4e=)KeT-ZRp!TwAF=PvMMq;r-Qfg|R0<2My0vQT3GctsC4tcn^l0myK3-UAbN{q6( sGHKsz&Mzp@OGz$>VmCC`H8i#W6`1gTC?6M>YhH>%P-=31QHlT~0QkgLhX4Qo literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..22425af86d421d0a05d3a4975e4e7d86bce9e710 GIT binary patch literal 25120 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{7E!E5#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}o`M}6kq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk$SLo+>dBXc8mE>;6Q0|NsiHZB%ZBMT#tfT6LOsTsJyH3#P{o(djF z;g`t8q*^S%0x#q|xZEHy2ntT3f>NPI!8s!}IlH(t*Gj=KDKW{|(#Xh!3poRCVB})q z0w)O6w9wDU)x(G$;9$>L85k%a2Rlqq&%js#d-%h=uK<q)a3CmHE1*XNC`@3&h^PRE z5VE63WO67ZHm?~e7@2SxdHO4W(+H@3*H>`P$xqHk7H}%f%t?U=6e+lX+X>h*o-3EC zOMYIeLUC#dxB!Z9aaJhF%mtNtNJS5%HZnHVVuQ#<u^L<G8k-^)V|i$aIg~3HmY9tc zNOvo0$~HGnNlvyfHbzO=n{lM<NsL_mI8(Nsg%S3|ZDC4<#BFMdHE|oGRH>1~#<Q`4 zkqnm<N<_knC*S;()EsQ_8HN@Owp`Y*XfRg5Z4_#7ry3cW8<?4zp#=9@9Kqeg$kmA} zxUq({5fuX3#1d;j8-bc(@X9NU*nl=sFp}gFcZOB9kjzx9@0*xhlwXW3l!MVi*^0{o z7Rn|HILsNALF|tf5N2E^(13syJ*aJrVHpU%M5HqoE+!)dBOxvU_niEs#2iTIfQoKx z$;*cb1KGG(j6kg}elA|Ooc#Qvf}BKf-v^s{o@lXTz@-O?C6Eayx>4gN#XQx*z|_!a z@RkFn*vkQ9lyV?}*x)o$FjD4H3@u1WEJ;-efz*OYscE3DS+Gx#LO^0khJt?qsPhbJ zexo#$W6^@xh06&R#6}7PO+*QHBLkz<q|{Wiq`@2P=EhiyUsIHNE}qz6H&!rG;!+66 zFD}syD9TSxEe7|hGV>Hrk|wr<9fKC?&RmYLP&X!M;IItz5Ml$}1l$osFO3wO^NUik zg>*U*=5lbc8R?mT3MCCLHAtF=W+Ep@CJKP{$bu{LN-|Q5GqF~#DQJ=E&E*M;RFL7s z8H^ISh8D@mDF%j#DHP`@Gi;4VYIKXt4YB7av_gh(A0HYCMhZr9Tr!veuHcymse539 z2q+embGf<Ymx2e26nsKM{CpLPGZG6@LBmK%MX8C|I-oAOPiTljW?pegVqS7;F-R)8 zC>2y-B542(UNM`R8f$TYI^m$mHUf=3Sb#_i(<pXhBhc^#O8;RBBUhvo$PR^^(vrMf z@K_6^vtgwG>YyYSWtM<@^Qy%<3MJ+F3aA44C5br-AgR36a*zpWX$lZ$K?c59rI=04 z4AGop1R9eu1G&l20>m_cI?TibxnpyTkt@y>5+nhM#l?D{8Xz+_vnmy2vVv-{o&wm} zFs=@0$RH^*55iBa$Sel;t5l0YW3~#p`DGXqkiarGLvkH67o#D_U8W|W5xTt8l46DY zB2bJJgG3E2K*I|@p&?+Nu^F7l%*AM6#LC6&6B+{XiXpfngpY4}b7}hJD`aG*XDFm4 z=H-``D1ePl14nsgo&so)5;8^&>F}AEBHR?kYHXxyh7x6|Tq^zrpg2Mb3ukaz00#{y zCRB^TCNUcsqInPO&b-tTaJYkpQ(!47ip|(a*9hEWg1h@DBUd8+D8R5*M<FFOC$$74 zu<^%&i6N4YK~6IS5e7!QT-<r7C7?J+PR+@Ih_P|8;OBsSVs4HSWLaEpe)$T?iFqlR z;6?_>gko@7cL@$qC`wJwEG|hcQpkq{D|lE=0ax@e8=0FR#SbXlOiay?lkUKU8#rrG zG2Ak_T(E{4sH_4_js$09rj;lJ!NRX3Um+l~AT=j5FBK&$1;FJ1a;SleB10qN;Tddk zT$ZE+8*;MK1BI2DF;bZcDN;-fKs5$DYi8hxm?DMXARporG{`bz6HBz@Y-Wx!Ju`60 zncBrbCYLMLcqvkF3Uc%%E!d1K&C!C*)M${D0@MyR+@)tINx=rLaZQZT!_C5EG~7Tf z8=Te7NDH@oE^m}<iqu*HRXQn^d5O81$@;~h`SoOQuFcO=$jmEAElNvFP6ait6pB&{ zax#;_AqpzvOpTBWR8W;|X@WANJABHx;W>w62A<<HG&VD_z&54l#HGs>T$-DkSX2p` z493#`g0`5!DFU=u1UCDJJpJXwrE5z9;6ltHBAX({#YnQuCPuC~jJkst;PB8nCaxV! zH43n<88~nihygVU3XUl$sVNH1sW~~t3JNs}3PGumP8vc48f7q5`hKAP7)Ys0eqM<} zNPcN@23V(ONoo<;YKTFu(1~jWg&MBG8=|^6g7g$G*B0Iy1yH9`!8t!SDHFV~hKMk& zK~iIA0S*`>5o0rS5vZi8395tvD%TLqg-u0+f)pH8C7=}pP!outklm($W`lwP77?hK zXiCwzSlt$uT2!gv0qSbILAu&dqi|AmbQ`Fz3GXZ6bP$pR9o>efCx_%9B<8@m4apHW zm~?a-xZ^-dq|(uC;MVydb(;&c5f=<;#DQFypQqpnZ^Zefg2ol#jW}>Igotgll7Px( zNC<dT6JZ-c7IK_Yuk5u1dm6dy9eULnIgOzxw225uE_=j8gpmT4#vOcc9d-J_G&MEN z(%3MK;^_x-Y|{@WR9H`81~M7ESPNxU7PxN$Ur!N;79JK{X7KP(z&ewJ8Vp93$>wGT zmXuE?gB*)k76?t4v<wE6>0~doU@+v;hXw;?AfT+z8z%lIa=C*sc)5d1YDr=yf#nWF zE{HM)%`D1rNr4vIXO<{{*XSxZ=jW8><|*J_s)KVu6v#N-Mxmx$GZQ0&6w}nfoPj|z zlUOn^O3IBUViK2)i^W(EGJ6OMo&4ewXaFmyf%*eMj=oqYZX?h#hCP=pBx8UK#Ag^v zU>g})8k-ndn50r%T3XPov?M05Lx~M+V+A7#E-}ZV)I^2g^27p^PAs;m_#m`kw&XI0 z1+%dNc4JTi*f2FQCDkO!lJeQ`feqkTVguMj!AOBi4n83SYT|)s*`WP;aF-ohK;x|c zjrB|r^GjHbLk)1V#3U2Lv=oa$oT9NWTt(d=6hLf%n<^Lya|ya77MBoA%)V%e*@Vjo zmY7WyFib!V)+9p%1B*m+!$BOZparm4N@dUj19-EF=u+8C0kozgI5#mTM<E!zE`ylb z6;G*brhv~d)WA+LHcU25HljSRK`Zt!8gEote2u+SHb$ue1Bp$;<_bn4TtY#qx{1Z0 zO?#kvPoW?)4{OEehn9#<xr||n*jxeA2-JX0HZ?Lzu}G!7a2VKtCAu`WP@sBgY(Y|K zoMxPwY+{;3`Rew84RE4MV@s-(#+C$1V<Q7gGZS+|LsLpiV?#r1%{DVCbaG8W`<gII zWAIudcxjBYcW11CUfQDM<Kg1}R3ZvU7A__e1tTpk4bYlh$Z|r^Qg)QeUIDb{)Hx?L zF;6$N09)%SoCu59xL80-K8>WfB*0cdI}wB$ZdBXU6hnj?Ik?zN^guiIjAXf_!LEdF z%R&hdY+(?_<%mZI+Wt(`<d$Y&YGPuUl!#;chM0Pei;Dy7a!|iofQ!#5)XiPNGp{(c z2()z=n{)k%-l{6XC4@OV1~n42)(W28;)n=g(9%)x%6v>C(SitS189X3+=e_ZSMX2) zj_te|mBsP-1trkE`S^GAG8<bMAlBM|mH}Eo7Wo;0Y=p0gD&*2oEe5TWg|2>vO$gU0 zg!={CsunBMD1<;6pfv%;#>nerxw$x9i%T+d6H8K4jF`9>K)Zf*4fPC+%)l%oJxdES zu%%{(C<_Oo(b^zRTn>;nh#~fYO5~lts42_H+|WGD$Pl%DByY2w0oIW^1M2J~H34-$ zu@vV9$dlmnnYivUf>U-TEM+TXrljVTWTs_87Kb7hV}h1<=s|NpYKj6#7w9Yk9fct1 zA`Ymaf=f|mS!xkPE;u{0pdd9xA*B?&Od6i|^@H+D!7G2A^YhYjGLuV+v8snA5tr2T zqQn$%PZX=NJm?ncRM5WuymW=M#LS#j@OcxUb$U!D#%Mdu4M2$#D-p$NVy26_Of z>6mkwy5^;Twt!;EaG>q!sOiNlEzQ)#(ts-I1r((iodW|s18NNJ5|v*3(82=Vl!uj+ zpi}`(BboVms38F_laW&(8T%#;Y1RZHDh!Be0>JAIBLyQBE+w>D44SG5)zgz1xiYZp zgcX^P0#i@HEx!o7Iz1OO7MYlwTv`O$aR6F-o|mqGbZmw~0rcFB(mc@8tNfypc!(lU zHeoh1G|*xNpU40%OAYjlj4Y!-M>3eBuKY_vO9Y-=?vSz+k_e2zTZ@p|Jy^VpnlKDZ zjLi%UlPMlQHUxEX(esC)0TtTDCZLiUvoJLR)iLm@l$@kYZx<V*6#pS;F=@?Z36Dug zEMjR=4wJY;4GAKLqfJ0#{}NncXxS3nkT1pBj>kD14KfP5F~c%|{fTJFg8MU&i7{|P zp$OEpfSfdft?5e4$RN1;0h-GKofQyJl$lqe0B=8nwxXw}7UOEp-(uxb2St!B*e&25 z95$`slY>~Ln2aru8yP*UT%D|33h`tBF63njHLyuj=lndVTNP>)f-^v;Bq0eY)Ns`( zxMUWkCWCyVPy^oUk)Nj!oLQ9$T^4~=jH?F8fyiu_Fjg^`3;ptoN-~gL3%1Iz!Vs$% zWp)MUm(tBH1=qyl60Cjzdk~2L`IRz%DTJre-Y#%0Ze|GDe+=)2;T-rj(F5fd+<9eK z7EeBCHK8$=A*?1ehBRf63Zr2e0z@7MVxk8*4#X`p5BZ!11w2*Y@LcL{0$b{CY=CX4 z`_O9);%?SMnu3UG5V_-mGLVUqF$_&o&5V+hC|}n__hvn6)6fO2Xwc@;g!TWy$IXF{ zq(k+&L8@V@iJ>LMM^p^3&+E9{Rf`oo^V0H*!CTwI6N^C0+#wui*p73UC^#jk7DLZ{ zR7lNB&MyV66HQIg10C4MWMqMSv?2=^lR0=>Idm5sXmfTHi;1oYXsi(4rSjpDQY{8; z883kBRxU101|5KrR+^Jj2{9Dq+)4DKL_y|(Dm}P)PX@(7dIDU0;BGc_RMiUU@H|5c z&~9(Ynmt2f5F2)S9O$e(@VRkD;KTC>6h9q|T$-@6FCm9%f<h5=3Tv@~l4`M%LS~*q zL1Iy2PEKkLsPoTiYG!O~0&c<PIcB6Lrhv{+gJ_IT&d)0;%Fl@}PAw_cfsGqv=EIq# zC7JL;5;+Wwbd5|bz_ZHmrbZ-}Hkw<~Q}dvkL13qd!VV$@rxmbkAcJ;L*D!N28b`ql zM@b?bj9lWb6{*RP;Y8SRo}ghu(0)9yNe0ONa^rHr;x7f=vecra{Nhvv-L%Y{RE5OS zl+1k4aVsdkj|WFvie6Dc3CuCzGqvD8-O0$cm=TNdR-p58P!4Z|M6rQ^9@fDukTB(k z2^xV$7cfUH3@mZXiYz2CDC4<ep?*b50vG{^B!?7!3c3YJNTG~HQ9%;+FwQ0|zBRej zp^nVV$8cL_K9ZBLTep#sYc?!OGxPC9BxsQk`sfoVf-R^p0A&a=93v`CEiG_Fr3aT< zIH-UI9hVF_sVOC~BoTBRNm6DWX!~7aQF2CRSt=;+GaH$i!H+IvGq%t*u>g${!4vhD zK~ZQ*aY=xDlnPnf<KhI`b_K2#tQ0^8P{8w(fgw7Vi;DwCA!=v}Dpd`QO)br_wc%b5 z3XcnN@rM`Xm!v|vAudj6{xw4LFSr81<zEw+f3a@Q931`?=Mshbw=}0XHN_{fBsl}@ zYtX6Eq@6HpXbkf&*7&vM5(6bE&{R%@i>sSL5oAsZTm>;385(MFLymg^6;8!bY(@sU zM&QGV;mrvzE@f;6DCFd)gAd~YPnm(*Da=L&mRL+PKp8*AWm<7TYI0^`4rs$P=)4#f zDP|)>11zQ)f^sd~ZG)rNCB`KJiA>OZUutkkeo<n2Dq0FQFh|X?_?kTgVswzDVAy%2 z&WXtxAYW5G1rM@Fh8(1;0P`*{7dLsuvLP(nnqe!JKM#sxS&~Z}l=oA?>jzSja8*af zCMb#9*a%OX-VndP4>EEogk*qDFo)c7kdaudkd&I5rvPnDgF9NRQp{#XhOlk(Mm$_x z$)F>!3-UAbN{sTja`ZFub5o1;bBv5rQj-#s^^F|$eN$7M^YirGGIL6bQuRv;KrP^s zqEy|~lG2pS#Jmz+xZ;AM{49M(SkqXqs5GxwKQp%=C*DvW(O`zQkyDZjqSy`1bq$Rz YK<#ySYl4r93v^gjP-=31QHlT~01tM)HUIzs literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf new file mode 100644 index 0000000..8d18183 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -0,0 +1,32 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3132:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:69705f636f72655f636f6e7461696e65725c3c6970636f72656e616d653e5c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3135:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3431393938336662323231313439306638323765623433303764333232656238:506172656e742050412070726f6a656374204944:00 +eof:4271444909 diff --git a/proj/AudioProc.runs/impl_1/route_design.pb b/proj/AudioProc.runs/impl_1/route_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..3d72a8429802714798bdf5563b1ff6965543f36a GIT binary patch literal 17827 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$}cTRjZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{xl&bb?Cr@<5(;3366QELKQVC@4xz&d*E91i2X$6d?a3=B2>G*2oB7*s_@D z8W|z`%8pA0*@p7Wk_-jaVug~7qSVBcVlDwzDJCNmE#{P>WFuxSMvEv`BV%17P`JR| z=)xrma$8zvUS@GdYKj8X2(VUjOJv7zad8+ZxE2-V7Zrme+0p{puc=&40XeCO#i<HK zscET2Ag`5Vq$+^SE=n!PFDg;cfY9+NMai1rIM2;5N>#|rOUp0H1xKMC*is7vBugO{ zp#-W6mk?KQNn#N=EvXhOgd`ScgOi7$fw2~Ma(-TMNl{{EUP-YLCl`BAekn*@6q})i zuAu=+s?6qc@-59N$%KRzD2`I|5|eULQ^0ORPSOgc#USZKh1|r7%-qskh5R&7AUg+y z7J~xV&|HfXtUN6zzZ??GY{sU#My4jn;p4-_!xfML3Ln*CNIqgVG%!SUFbfxxp@NYh z7r#?!W=@JiP>6yHBu_z{Z-^Z8o?J|-#R4qw#A(2#2Ql7I0Zq3;je>JVYI1gQX|9!m zk%5I}vW10dA{Vk@>lwLNxWKMLbx<E8S2trwW^Ss2MzN-qLUKW=f~|sqft7)Qm9d3_ zwL)r6VnK0g3PR9GK~KRqH8;PgQbEJlNz+Q9AT=>t!B)Z8)WA^B#KJ_uS|L3#Gf%-* z!O+4)&)gJbNLo>9szO0VWpQS5Vvd5Xf{CTMfr7OHShy^+sH7AuYG`b1YKH9QAmRel zNWn;)OB9q2Qi~Kk^D;{^6LT`F!08Q|Do}zooCs6dxLAzz3>A!|xFp;%D-=TV3-WXF z(<>F65or&b(IIFtX3b>@i7}92xC|PmkrzO0FdHct33CYs6s0PFqfo&)Cp9szv;bT9 z`l5xe36~Kpe2o+^Oc<sCOH45XPQS(qM*Lj7p#>?4C8-J_nYo#H>DU4lXE9@>XRLst z8#O@9l1(j4l2cMCE@mvT6*I;-iy1RZJtGq%L@{GzYNTgsXa*}!P>LA?ti_Cx1xhhf z$tCBRSCU$ko0^h|2r7l((%js{qDlo-P!+&zXlA0t3a$f;EV#^S6vF+2ZFLRw3@l6( zY7{~s979ucg&Ku$53r1(ks(M1#4$A2GqW_T;fexP8YYGy%i)!?KU$JD<1&FJX-IZJ zFVRrqz}VO<CB?+R#FElDFad=VMp2JD4$RH;%#4kZ<G@nS(g155SXjb^k&AjG3zVwb zn~2hag^S5p0n{vX&&f|p%mIfFjx_Co7OMJOx{y#cRzTG}OoNk{GJ=JR$pqXKfmWIL z!js54(*#;)!c6o_ErFS;5Rh10jIH>=S!aR_!(|Xkv>BOLm|L1ACK^zj3k*psiKw0n za2CZTuyzeRI8j=B!zKXyi7N(76^ul<gn}{)bV~~q9P?5Xf>OanGq&^<NQ9AWTr8%b zq$|QD1T7vsOHzx#El33eY=%-&Wf&V7gDM74m0_r7Xbj?jstiAUM+F51kc>yLEsTS; z%J4%g+)TNQA%z>r518&p$t#A57N!QtCMGEq=M__IdBqH8txZy10hx`_IWV$7=@%0n zuSVd+f)Or;)Q(pJV{nBJidO?Y15*%(YVm3WtF{p75@bG7r!O%jDcLA7jq;WNsK18M z5-_Juyb{wAAUa-+vB#?s)#KFw6x7fro&lIcwRnX$M-cI9gpyy4jMB_flPwaHDb25@ z*y=VD1Nz0Q4_c{Z%w-5IwG=Q5@?jbV5k%BQEL==x3P!SA(k`hviIt#%Qib5`)N%#? zf|AT!Ji{8qH2K)LSj<5696uK?#B^|9I}~eQ+m8sha&WPk=@~*zMCmGG%Y@!&DZq%! z0FnYAW}xUtO#lW47M8|oloxITo(afqHyG#{m|K8b2Y$h}26_et1|SY;<q*!+B`8gx zq=#V|`e8&QX>b-XQZSO_5_itYPtGPT^97?NZ7VJdSkg99z+uiX4dhU?ps?Yxf(C^G zB#nWlLNH3SVHy}-M5H(tE+%vENL@gFafyOQeol&lTV@5e0+h%i-W*yW!;De@H7>B3 zM}yMHK+n+F5L7gUcp%Ctti2eVr4h*aC{9OB8>W`YW)?=2&u$EOUEq$EQS`WUAQ{D6 z0VO32Q|}Ww;%5OK@q^3^q-ExTW_D7srDdEWeiq;%lT-zmW*P-2Xh0gh+eFXiHIZ{= zmf*Rvu+*Z=v`Wy7CwSN-MIkS>1nb}&&e3U01*~Qa)4(NWSc;X4+0XzymF1FJkYAh$ znv{klJ***%vpZyHpnzcjYOtClr&**KC7Dv(B^(NA78I<83PxgFBH%(XpeR2%wYV4@ zusFv4ai&>ALrB4jX2viLTw>;5L4gYy9s>t1xRfozGgVm1CF5FLl9`)Wl9~clhBQqE zUfW@6jxkM!yYGUdwZLj@s%vVFytHBuBiAg(kPK)kt^n!@=cOy;mF6a;78NTb7NsgA zC4yEd<mV}XmZX47wIYR7xLctb67v#sDvL9V^%UIlixd(SlJh|ej7n0$z3jx~<kBM0 z{2x>?XsJ_vTAGeR0d&zzX`TXfeG5cId@*E(5WJ{`+0Y2)bMQ2uG3pu|oHN&kMvz2_ z686J1Nk$UU8U`gvWAOL~C`syqgGIr$EH$r00h(`dWcx6*=9Dd$HKaLZXbcWv97dr8 zwV|O|s-?L(6$Tmxx@dLak^=`_k%BWcji)FCmnMVC&a~2;oJvq$Wi&!s)f5HFbf{C) zu4o~u!=>e#mjY@vfQ$ywpoLk(Gypxg#DY>m86V_N=rTsg@<>)GCJSTuqAZM6iczeF z=DOg?ZTK929hbXmv4Uq_T7Iz(Xjxf!Vi9P?AcW&wlvx5^76lUp7e=bZ&~<nUsd>ry zrJ&^rsVRD(MQ}_;7D%h-j99psEG<Dx(hNa_0howlG0`<aS@Yz>C8b&nFYZzlicyjR zixiU)$~r*w1)m`EP$plVF>)2D7Naj4Q!PfB6H+b4F}e&^2VEovW`pN?kQQzr1QAOH zKx@}{q?k=CP*!V#OM2+KR4WD03Q@?~HB(~*+r$vWHa3M!L4no`8kvHZD;TkHv6xs` zni;Wku^Jj1Sr~&?m70UsU-DG&KvsAzXXFxhtw>D<uQCJ$A!wnj0xT)8nwl9K8)$JB z<Rs=fW~3&j7^QM0Lu-kYqGSc#w9K4Tg~Za7%zRMs1zH&y4|a2kUQt1bf^I<)cFBSy z1>K^83ha_a1r<>ohDN$ZCKg60D>SDu5?abjC)Z)F5Vqrz^bAmNPAo~x$xm0vELJEj z04*Q|txeJsV3lGqG%_~QVo%O5N=;AAi()sl)HSp)Mp+d~`9j!DOkB#KMnq9+acNFT zF=&w|Xz?nj`H-2X0FEiuVn}UnYGH<)%bqcE73gQ==cX3x=NK8Mq$VXM>l-=h`=+Ki z=jZ9WW#*I=rRtXyfMUC(C{;JLq%<WnF|R}yuDGBmKTF>cmJIZYO7n{KGjj`a;tln2 zB@O{bDZ1Nf!5GDAq-$t~lB&E&D?E6(xDXj23Zv+_N@9MR#K_gp2sJP_wIm}y1+o+q z6xPT&3rP-tF2kV^Gsodjh%@&cA(;CHrC%`fsw<bOOMYIeLUC#dxECAY;tXqYBQ3rL zXA~x5Q!O?~b2y6C*h1IX6uH^%&ZPodyY7n=b<hRqC~Mfwk=L-Z85!#u8iN*y!Rydl zOk752c{d2M=p5N(Y+2dN0HtpG$H-Mf`>c#J?UV>GN)4#}7K~ABM!JTkMh3_+6+~Jd z7vU1Z%#xUS{X2<yeG4PkMp#~lBq~@ROF=g`u_C@8u_U8d!O#GsT18ciyN1QC4m0ax zR|l$)b;}cr^5XOJ;>$Agb08~(u-C;@%R~uW;*hCP$P(Uw#G=I9Vk>Ax#bjv+%YR1d zT&fDe1*xei3h70ODXCTpx`sN?IhT;if>bMo+|0cA+{6lSMzsXB58=5hi_00$P6<e8 zD3n50664(}!E9&>FAzX&QHv-xV+&nFRNJO7a%sZK1xPZ2wX2F1lvInA6f*M^3KEMF zb8=F1K#c=%Bg+K2k>$W;2hkXxR9YNgoSj;(1M8b-<|P+F_6+637p0aKr^02x?XLLZ zlEjkIVpyCRqttYfT-s>vN>9y$Ed)a=wZM&Wu*-~*UB=ACXbd+T6vps9Qk^7b26rx3 zs2?%BgpvL+R3K#mm}^j*is@WVo`{fA0Bvkh$ShV!$t(u%kkM1{%U3`$RRJ873ZOm= zsM!W_7$|O-O^lHDibb)R=o%WBfjSHD#5IjXkB4vtLClAE0vcl&enyT?ERAUt>4GHe zp>Tmjr}Z*&b-@ZKSjtntQY4@^PGD*wKEl@aM^}MaCZVgq*$x?g1;%y~qp6gu7@C3# z^2<|;Fp>^f6n9)eq@kmGFlCq#0#OD|XP_9x(I;C*uvIufuElKkc_TJ6hNUK#<QM7Y zfX3P#lS?woGD|8!18@b2>EKFFPY+!FF_{`_v4X=Piq+Uy*A%6|3*a*5a)WI;gq5p= z3<eExFv1OGF*epkF|(eALn)x4M?;#7rGOi%@sM!B=n#=#FC>E&sKl3+WadEEg<&Lg z3>C1{TvSkky+mBd$d%=mm<ie>S&|Q$G))1IsQVWsCg-Folz>Jv(u?v-3n05l^Ad9* zyLa^zLNYRoK{ZHGYGG++5p<6wWa&#$YI<gVUNLxJD-62bFTE%;MUP8>O^Vsl(oBmD zvJu!wh)cjDI4Iu9Kh)2~F(@)V#6KX4-N;ba(iq%aWvgI=49d82DIpx03ET3Qs(@lT z$Z&IGxZz-fj10{|dp6+)d2p$Pg9hM0o0h>lq!dyTOA<j<TvBEpX!lQIQF2CRSt_`y zGcq%SHMYRb8WRhYHuRT4F(@X*B?0nLszPu^VnM2l6MO*JO2LSii`xLawbQ^r&%g+k z3mGIcGc(aMGY5}|fmfM9hRDo~p+jV5pw+eJx@bdWuLp(41-baci}FiS6#{Y+lT%%s z(EMwN=3f)=pdb$a8Vv#eigSrV{acz-oSNd3SdyFp_BCi1H#C+(euTw1h;2-ke{H$M zKuHQT5+32=>ZSmy@-p+%!8HK0k)fd$H{@UdP)SrA#b#unYh;L0YI|`hV>3V@CqErL z^bJ~m05#3P5{qdDpn*VmLmHQ9#RaL!nTa{z#SBo>3=OcDW(dl)aML~vio`3%B?5^| z(9}w5a7lhqVtOiC3O2An&9SEB$LPC3;c0jZ_De0v$t*5Wa869l!0<J=V4^$`zZw)? zhR3rD<m3yOkExz#KMxA;N^*&VGJdK;Kt^S8N)oQR$jB5WWgA)IOWB5a%jScOTneCZ zLe*l(K_eN7#R^HOsd);}o;-LKja7=-%*ap+cG3tB7gsXq_=JM|%)An#Jgywtj}L;1 m(9{&Yl;naac0+SrLt_h2feG(2^Ko&xg15CL=NF|2FaiK0ZBIG? literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js new file mode 100644 index 0000000..52db31e --- /dev/null +++ b/proj/AudioProc.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log new file mode 100644 index 0000000..4ff7c30 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.log @@ -0,0 +1,797 @@ + +*** Running vivado + with args -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 26 11:38:26 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:19 ; elapsed = 00:01:03 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 6642 ; free virtual = 15003 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +update_ip_catalog: Time (s): cpu = 00:00:00.66 ; elapsed = 00:00:09 . Memory (MB): peak = 1686.523 ; gain = 5.938 ; free physical = 6628 ; free virtual = 14988 +Command: link_design -top audioProc -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.469 ; gain = 0.000 ; free physical = 6212 ; free virtual = 14573 +INFO: [Netlist 29-17] Analyzing 99 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2015.3 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +INFO: [Timing 38-2] Deriving generated clocks [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc:56] +get_clocks: Time (s): cpu = 00:00:05 ; elapsed = 00:00:08 . Memory (MB): peak = 2870.988 ; gain = 624.930 ; free physical = 5626 ; free virtual = 13996 +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.dcp' +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2870.988 ; gain = 0.000 ; free physical = 5626 ; free virtual = 13996 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 2 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + +14 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:37 . Memory (MB): peak = 2870.988 ; gain = 1176.527 ; free physical = 5626 ; free virtual = 13996 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:02 . Memory (MB): peak = 2935.020 ; gain = 64.031 ; free physical = 5601 ; free virtual = 13972 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.31 . Memory (MB): peak = 2935.020 ; gain = 0.000 ; free physical = 5601 ; free virtual = 13972 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 1 Initialization | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 2 Timer Update And Timing Data Collection | Checksum: 1c260a500 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-1566] Pulled 2 inverters resulting in an inversion of 4 pins +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 153e10ba0 + +Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Retarget | Checksum: 153e10ba0 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 2 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 153e10ba0 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Constant propagation | Checksum: 153e10ba0 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 1af9906b9 + +Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Sweep | Checksum: 1af9906b9 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells + +Phase 6 BUFG optimization +INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells +Phase 6 BUFG optimization | Checksum: 16d99f34e + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.1 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +BUFG optimization | Checksum: 16d99f34e +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 16d99f34e + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Shift Register Optimization | Checksum: 16d99f34e +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 1d945ff79 + +Time (s): cpu = 00:00:00.13 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Post Processing Netlist | Checksum: 1d945ff79 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Phase 9 Finalization | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 2 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 1 | 0 | +| BUFG optimization | 0 | 2 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +Ending Netlist Obfuscation Task | Checksum: 2143204f5 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3228.684 ; gain = 0.000 ; free physical = 5286 ; free virtual = 13658 +INFO: [Common 17-83] Releasing license: Implementation +35 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt. +report_drc completed successfully +report_drc: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 88.043 ; free physical = 5289 ; free virtual = 13661 +generate_parallel_reports: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 88.043 ; free physical = 5289 ; free virtual = 13661 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5289 ; free virtual = 13662 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5289 ; free virtual = 13662 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5284 ; free virtual = 13657 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13655 +Write Physdb Complete: Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.39 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5281 ; free virtual = 13655 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1bab39224 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5282 ; free virtual = 13656 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 173dcc833 + +Time (s): cpu = 00:00:00.82 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5255 ; free virtual = 13632 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 +Phase 1 Placer Initialization | Checksum: 1e2170656 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5249 ; free virtual = 13628 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1d7e80512 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5259 ; free virtual = 13638 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 202ebee6b + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5273 ; free virtual = 13652 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 202ebee6b + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5273 ; free virtual = 13652 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 18ccd01ad + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5271 ; free virtual = 13651 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 18 LUTNM shape to break, 85 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 12, two critical 6, total 18, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 54 nets or LUTs. Breaked 18 LUTs, combined 36 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1401] No candidate cells found for Shift Register optimization. +INFO: [Physopt 32-677] No candidate cells for Shift Register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 18 | 36 | 54 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 18 | 36 | 54 | 0 | 9 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 15eef931f + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13649 +Phase 2.4 Global Placement Core | Checksum: 129c76092 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 +Phase 2 Global Placement | Checksum: 129c76092 + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5267 ; free virtual = 13648 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 16420d5ef + +Time (s): cpu = 00:00:07 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 21934284e + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1eade4b9d + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5266 ; free virtual = 13648 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 16ab41fd8 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5265 ; free virtual = 13647 + +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 1b1008a71 + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5262 ; free virtual = 13644 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 1d31c1c21 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 1c522d8e8 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 1f3ec45b6 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5256 ; free virtual = 13638 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: 209647115 + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5250 ; free virtual = 13632 +Phase 3 Detail Placement | Checksum: 209647115 + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5250 ; free virtual = 13632 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 2f05449ba + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.026 | TNS=-2.986 | +Phase 1 Physical Synthesis Initialization | Checksum: 22717f216 + +Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 26ff54906 + +Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 +Phase 4.1.1.1 BUFG Insertion | Checksum: 2f05449ba + +Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5248 ; free virtual = 13630 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=-0.229. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13629 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4.1 Post Commit Optimization | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4.3 Placer Reporting | Checksum: 2043611cf + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 14e62cb1f + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +Ending Placer Task | Checksum: 10e1e419b + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:10 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +78 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +place_design: Time (s): cpu = 00:00:18 ; elapsed = 00:00:13 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5247 ; free virtual = 13630 +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.1 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5226 ; free virtual = 13609 +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5215 ; free virtual = 13598 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5215 ; free virtual = 13597 +Wrote PlaceDB: Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5214 ; free virtual = 13598 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5213 ; free virtual = 13597 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5211 ; free virtual = 13596 +Write Physdb Complete: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3316.727 ; gain = 0.000 ; free physical = 5211 ; free virtual = 13596 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 381a9127 ConstDB: 0 ShapeSum: 3de8c510 RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: 6bbe2e5b | NumContArr: 178c64c5 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 2089c885a + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:32 . Memory (MB): peak = 3501.484 ; gain = 184.758 ; free physical = 4970 ; free virtual = 13356 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 26c584cde + +Time (s): cpu = 00:00:39 ; elapsed = 00:00:33 . Memory (MB): peak = 3569.242 ; gain = 252.516 ; free physical = 4900 ; free virtual = 13286 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.084 | TNS=-0.157 | WHS=-0.121 | THS=-17.691| + + +Router Utilization Summary + Global Vertical Routing Utilization = 0.000263185 % + Global Horizontal Routing Utilization = 0.000727032 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 976 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 966 + Number of Partially Routed Nets = 10 + Number of Node Overlaps = 7 + +Phase 2 Router Initialization | Checksum: 336dd4049 + +Time (s): cpu = 00:00:40 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 336dd4049 + +Time (s): cpu = 00:00:40 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 248795aa0 + +Time (s): cpu = 00:00:41 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 4 Initial Routing | Checksum: 248795aa0 + +Time (s): cpu = 00:00:41 ; elapsed = 00:00:33 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 356 + Number of Nodes with overlaps = 184 + Number of Nodes with overlaps = 88 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 31 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 7 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.323 | TNS=-1.133 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 1a850c44d + +Time (s): cpu = 00:00:45 ; elapsed = 00:00:36 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4895 ; free virtual = 13282 + +Phase 5.2 Global Iteration 1 + Number of Nodes with overlaps = 137 + Number of Nodes with overlaps = 71 + Number of Nodes with overlaps = 47 + Number of Nodes with overlaps = 34 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 8 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 3 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.031 | TNS=-0.051 | WHS=N/A | THS=N/A | + +Phase 5.2 Global Iteration 1 | Checksum: 2adbc2af9 + +Time (s): cpu = 00:00:48 ; elapsed = 00:00:37 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 5.3 Global Iteration 2 + Number of Nodes with overlaps = 136 + Number of Nodes with overlaps = 79 + Number of Nodes with overlaps = 43 + Number of Nodes with overlaps = 37 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 16 + Number of Nodes with overlaps = 25 + Number of Nodes with overlaps = 19 + Number of Nodes with overlaps = 5 + Number of Nodes with overlaps = 4 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 2 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=-0.001 | TNS=-0.001 | WHS=N/A | THS=N/A | + +Phase 5.3 Global Iteration 2 | Checksum: 22f6ec8ac + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 5 Rip-up And Reroute | Checksum: 22f6ec8ac + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp + +Phase 6.1.1 Update Timing +Phase 6.1.1 Update Timing | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.078 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 6.1 Delay CleanUp | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 6 Delay and Skew Optimization | Checksum: 200893ff9 + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.078 | TNS=0.000 | WHS=0.131 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 259c6825a + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Phase 7 Post Hold Fix | Checksum: 259c6825a + +Time (s): cpu = 00:00:51 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0763438 % + Global Horizontal Routing Utilization = 0.0985459 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 259c6825a + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 259c6825a + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.078 | TNS=0.000 | WHS=0.131 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 24cf8f2b5 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +Total Elapsed time in route_design: 39.73 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 116e977f9 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 116e977f9 + +Time (s): cpu = 00:00:52 ; elapsed = 00:00:40 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +99 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:53 ; elapsed = 00:00:41 . Memory (MB): peak = 3576.633 ; gain = 259.906 ; free physical = 4896 ; free virtual = 13283 +INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 8 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +119 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4873 ; free virtual = 13262 +Wrote PlaceDB: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4872 ; free virtual = 13262 +Write Physdb Complete: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3664.676 ; gain = 0.000 ; free physical = 4871 ; free virtual = 13262 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated. +Command: write_bitstream -force audioProc.bit -bin_file +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command write_bitstream +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_futurState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data. +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 3 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./audioProc.bit... +Writing bitstream ./audioProc.bin... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Common 17-83] Releasing license: Implementation +130 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 3915.500 ; gain = 250.824 ; free physical = 4561 ; free virtual = 12964 +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 11:42:01 2025... diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh new file mode 100755 index 0000000..2a1a861 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace + + diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou new file mode 100644 index 0000000..63c35e6 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 11:38:26 2025 +# Process ID: 34927 +# Current directory: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1 +# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace +# Log file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/audioProc.vdi +# Journal file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-608 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :1499.709 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :16357 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..e798a57237af6c3a6ff5119fc5938ba3aee7d799 GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A&SP%YNe)8i6gm0~tBFw<g7&d<%w&okoSVhhVGOH9c( zlH!sGPfby9OHEQRGE*=#v@$WWGB8vyGB7fYVly<?H8L>EU?^a%V4c9oAi%`M$HnEE Pm!c4qnw(#hBESd$>irqg literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/write_bitstream.pb b/proj/AudioProc.runs/impl_1/write_bitstream.pb new file mode 100644 index 0000000000000000000000000000000000000000..af6f2d2b212f9e43b03052342370831391961344 GIT binary patch literal 4094 zcmd-|<l=G8&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZGT_oHFUl-QjZexfDK05W zP0Ur$P0KGzPE|-OP07p;D9TUPOUf)!&`rwBi%-kUNsVGP(KR$Q&R{5DsbHDF$RNPP z)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mhkd~TQQd*R%psrf1u8^3Q zqMu)+kdj)KnG6vExs2J^z(R{HIX^cyKhH>ji_bH+ASX39HLoPGBr`wHh>MG(BH29A z$iSc^ip|hm*Vx1y*%1X?2JZPKq}j<T#cXV7K$4x784Lxi6|4}Cr*Y{7mFDGvJna(X ztdLl&kf=~ll$xBMmy!u`GbkuP{z=SBfrdVdp|Odj7H2_DVxD70YGR6!AQwMc_(!oD z8tH<RA^XmbOVTqy!8x%cF(*G=A+uPav;bsyN@7W>o&c*9i=mORkrsP$eo<<AYF-q( zp{1^&g)xd-?YLx+-CCYmlA)kltWc6sl$w}Q%q74o#bjin#hg-<Y{bmPXc5I~WUOlh z3Sqc!cd~IcvH4~e7lZuk?B?zi77U6>1!sRhH&6HYFn^yAM|W2Rm(=3S^gM-tqWpr? zqLR$iVk-r|)Xb8M)FOqFj8p}v#=QI@bd6>CIVFkdsR{)Uos}SO7N?ddWafc2CYKf! zrRJ5yr-04ZQ&4cu&r8cpFD(Km4~3+}yle%S5yh!FsmUb@8W77g6>>|9OB9k)6+p(7 z<ST?ZJNql-7b&>=x#(c?0-AD=vy=0Sia<stqgV>HPY2}2{Gt?)7xNWTQcF^cax?Q% z!Or&7_eVIZw4flrs05rLK!z4%<`sjqg57SQr=Z|j0`_!idU|ScNoooxii-<UlQYvQ zL1q=FDnJ9W6dVU2Bh+0}GD{R(AUO#U=IRP*rFqHVGzbko_fSuems2u9-pHv0Sqsu1 z;o__S%GL@9Bh&J8a`MYTkz8DvSCUv^#l-~*xOjNHKtffaEHS4v)leZCHDY496qL&| zQj1by@|ndjS0e>GhLNag2yC<w0i%sTVFmI7G!{S!rz|rC5^SjP1PNd+uAtO3NF0H* zL5m+$m8He0MGEPqnJJKBD>uI=RUtDkEx#xioXqr8iv`%Um|cRLjl{SZ1mvUyT!Nfy z0y6Uy0&)_;C4mKF6bB@LbPY2Y3fL;xAZ5i37Oqc>?unpSP0q<r&Q?gyNKMWL<&6?h zKFkD_9qCBYd8s7|#rdU0$*Cy{NtFtT3d#AoNtt<IS0v^r6lCTps21xeB&X)&D5w_e zDTHKX7J~vLFTX?~JwHE10g_J?3W^ewOF+des1Pd8%*j#6$;?g#C4k(5#N-l%g480g z@A8sU^%UIlixh%=Je^$?ic-@vi%U|Aigm!LHMJrUR2u6jlomshwX>@N$O$F+3d#9- zB}Mr;paiOrlb@IZN;vsx3Mq*tiAbT9!o{GJz@?j$npWbLS)`wqSrnR=SrTuk57iFk z1qa2am6nti1(zh2qzbT0k*2{Yii<%hj7x)%E%EV2`kC?i{sPQWM5{Fl<6=+>;!-2d zYynOwB2^k`gVT{JI2~nF7H1|W=AagBHO?8C1qwc?WvMx!#1-HY<g9CG3aWwOiR<3T zPF!hR3`$8{dPSM(86>4H5_K5Ga4{%FaA^{>2Pu6Kuh=Mpi$N)bOPxs51vsUMRZ5j4 z7Q!V8YU8A3=4BRVq^2l98xUOJ)`z8mDRQGmj7!8o!L_I;A5?r8D}*N&f!avL;FgWC zp(RSYB$LZ2ASX4kI8~ttxo`&ARg?;@Ej1u?d`eNWCb%%dD3oE2Fhg<##4;lT1LOu< zIF}}@5ro$2g82v5Aku@FX>Mwu#SJM*OG`3yiXm+yb|V8_BO`MoWTWl5#C)KoAE@X9 zSE|K&dU~LiEsLR%xgm-<VAmNMnwg?D@<d@q6la#CLW)p?3C37W05#FzEpa4A6y=v< z8exRh2s30y*mH>m6y+zULYjH`1)w$r!XL(F*o-hWG(k4PhD+GFC^Zq>8A!@3$xSRk zgoBACHYb>Xs!F&o%(=vn^+UQPP$L-4wK(Bjl_(Yy16`B=@Z*vQFUo}34ABXy9wDK~ zWN3(_8`SpJ(?{v%M6sF}=o+FphB6cLu$bt^CGV73lAfBU;G7StR6$LG;L>DJkf)XA z<WxeFo|yqgayGOuK}mBSTw+0~pv(iRbD_O0NI#ENipjzlcgH4*)zDnm0#t>-Tle)` z?yAKKo_T5c#X6t{2`tlsInJOk1yxKiQE;nSwHTVo6;kt(^GoweQj1bkzzLqo$O5^O z$;!oSXlww=J7ypP%rb~#G0{bhcYiJ^)nZUPrywU46ez_=fda}#Oh%T-ref(jfs6!& z5IiZpWaKJREe^@dO;yk+*0fScE+|#71$oa}AvGtlpg1)J!qrpoP0h_Os#MVMb<(s_ zC`e7rhDcZ|q$g(P!Psd<si_JDuv!{w5LmD*v#6vrF$b)WOMpj;*~9|Tu@vSKL@REr z6hQr10|P4q11m#Qbhfdjp{bs!fq@Yx7rT+Efu4nti4hwYi;1b3p%FV5tD%vlnF+WL eY;FYdEl&jxq>ahP#pRlpq7amtoL`h8zz6`4AKefD literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc new file mode 100644 index 0000000..29b1462 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc @@ -0,0 +1,51 @@ +set_property SRC_FILE_INFO {cfile:/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc rfile:../../../../src/constraints/NexysVideo_Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ] +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J16 IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7] +set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata] +set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk] +set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata] +set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk] +set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk] +set_property src_info {type:XDC file:1 line:202 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl] +set_property src_info {type:XDC file:1 line:203 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda] diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..3ca2a5e --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="l23debac" Host="fl-tp-br-608" Pid="33948" HostCore="12" HostMemory="16081428"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/audioProc.dcp b/proj/AudioProc.runs/synth_1/audioProc.dcp new file mode 100644 index 0000000000000000000000000000000000000000..788be83a419baaf89f4af00aca817c30264d745d GIT binary patch literal 137818 zcmWIWW@Zs#U|`^2I298WWxeJ1rn8I;44kYC4D1Y?3@OP4dKI}jp&_gc%rfuyrSF{* z+ds=eq;37{^)m{t#_3pZ(px88+T7%IIQ&OIwX2)t>n$fHBrf>%zWeoz-lJwQU%%~n z|M|%ip2QzzHcJ*9TBBeh?HMcSmH+r$!LH-C=Ub{uvY*agrXjS!)9t25)1@7sGc7uO zy!+>#m?vRZaDlOZN&1a&cIMD3o9CNPY<l#4l1H=Xj43L<4J#ODHH!K*^!hmjI(&cn z)w8yhIpMWpz=BJ=Gi>f(U7V>Q;~liHrRmk2)3F~S-`eJI{8w7lQFzfh@3%+e1o7GL z_fEg(9KNPSP+yYo!`5$x9m_SfxHjz-kxiC8$EzMERkt8=5s!YPN3o$ps?&<&D;i3I z7Wj5;ofLd|?v&lJwY#FP=<eJ-`%=&2$EC~@Z)BWa(W*VCYv+v{`kO7!8948;yW+d) z!d{V&vZ?LSmwT2-v@HKqqjT6?w_J`r(PZMkJC=GCJ14IG6__bBsl0GM`~1+aoc9cM zOJ2{PRXb^$apkP_H?wbNbrl`C;J9x8@&7tpVzbgsbc!~*Tjr%lSo{8&u{D|Z?i|z1 zId5(~TxR@rw#CV9Yh>TQjuKAi%yO^ijZxpXyd%5y&*BBT{TnX5zw;pcwlvHAIRzJ= zbO#^%UT?}HZ(mpR*zdVHYScUbJ(Mw<k%3_vGXsMF11CdbX-Z~(Kv8~jd~r!>l3p1+ z4b)CLn|Iqlpyhp4m*uvGEbD*X-E)F|Us&LmDB&6SMQF{*QlAzz)=8TlZ`b#f7A$?~ zs9d*tuHw!M1s$!q=lu4*W6s-GJGWl4|5);$z^IwurTE==3f7yNtvr}~c;4NKPZvl{ zJNCr$nC82u6MGUm-Bsrtoz(BO;eUL9SJf?Temj$gJ<U_srp*btv3R4N!=?*QG&Hg| z1b>{o;M(SP``H`P<$DiC%@sNI=0@X&t19<4Y<+(tvc0|3?roHDrJJ*z!J^ILYkal{ z*KH2IweQgFYn=OX#DzUhy*c3{mlEWus{S(j^MYOT=0vT0b1U-W%iovRhxV?Rz2@|q z?ltF4#a7QZUwrX#|9;as{L9+xm!=0TcB=6gJ~Qde<U7|c=%`07k4%qz9{D(2zeL2- z)MJlKOWkSiNsm;;4lmVNxg<BQYxdEtWrBA1T?3Y7I$J$j<vv?Zw|dKqi^cBp;cvWN zu9E#3ymQ_Z1Kq^1Lwj0ovuxw-ywvb(hO^ZfL)m8w_==|(nLXu-ZCJACqt)GaJWr?m zu9biMZu;drzo**#-QCr@=a2JMd(;@+#o8;I$;iN<%EG`Pj3q`hQV<!tc2d0mAp?=4 z_rGhtTdbCs*s<abCwt(dfTkG|@zd6=y$}$6sqDnQy>EN|ct+j3_1XISoZkhHC5m=t zibSvDS@OO7?~dCq%N{lts+ENs2wF5MJ~t?5<1Jpb@>b9!myaJ8%r0!Um6)U}G(%{H z(HfPW7t<0~oo6i!(_A7oqv!ZzomHl}@h5L5g@~>1pS;KCa-F`Un5&p;h(wx{gK1*X zG8MLE$_+C^*z^wUV~`WmXXR<&yuqIGKS7RZvA_xE2Y0zYJ+gdTvdn*e+^Q{taSQ61 zK9xGWXZ<p};U52s?QwI1A9bbX+8hb}-KqUuIQ+eO9wYz78QW+76mV2*Dfrp@^Ok(3 zqSB5nkDuuuNLF}}@WDOg`KO(q0=V^WA69K&y|sSHhc3x3-?bVU!Ql$~{mx5CE{+J5 z?v@S=lX+Mgd2;UA^-G?JbiO&U>G)H&iA9I+%+2IJ`sqfgR0{X)M~riH4x31XUe;Ol zViM=}3bDUTs8Mv$+4-?ABLjm6BYG4SBo-y+qLjUi9*o=nMdVb38CtkHDS&{Hi3NyK zFf=yMc+9w6MDe^N&u)?OU&ogkJU-pHdGd|I)fw|7e6lx%9?$(O_v?gI!-H>AYa?bn z4SLAz9ua;x$l=@3tfeaieAm9}=I-$PnN#sR?22gXRsWuV8Rjw@)||EVel0U8M#nHl zZ%x0|X1<RpvWBzIs`?2T+VeZ59sQged5~>&$t5R&kbshj?$bI8muO7n)12aS^vIk? zHfyhz9}IgaP|3f|dA8&!mG4fzztxv1TW>xqVI`Au{8;sl6W?E{&-u_Vy+5XC{nEqE zGk(~{S$Af4M^AWFocL<t>R^*ys^71foPF)AA)7FFHxs{!j_vdUkB$@GjQSrP)YrYf zaoi{E_e1vhXv0#G85^TiL`CcP@*dmGdwbNqv1+=@9Vhl*xn;f^_g3Dm`nBRu&eNh> zt-Ny&{{L&ZykT}3YBUHhn5o|6#K7?S3j+f`a!%1J&PXgsg%^EmV>oAo9NjvvdiKnn zmyMZSnX7zEBsXXFoGSUgCQB%hK{3%#r&F!pr$gXr>k7sm!_(f}dJ4N2Y&xx!r@*1H zLcwgcLWh#p>O~p~r}lL`IJA2Iw0*VSOLqS{U1LyuF8=wIm8+t+Un_nW@BR4`pI+ym zH@oiDR^9*eeN*4wJpI>2!Tr(IQ@6glxc|6(^_SPBnUQyOx8J+C{d=$ZSN-m(?%JoK zzPt=pyHS@~TmAL*)$jRxtK#Y$HT3o0xBscXm-_Qhc**Ot3qF6!`fuzaq-$rX*165E zvHa12ZuPG7-~C?8t6y3X+PzNqRdi|I_t)2CUq^4-x9rO4ZjsWwiwpm?&93^gZ)T<6 zk|nbfs=w@B=zczK={#x8tgBC>X5Kl$?b>y_G%ND{y5QB<-bTmVzmP4!$sM<$cTdgK zJ4;KShppXh@`+37=v<Yvp;2ZZxs-c@_WZeh<g-$*$lGMseRF=@KEhpWnHhH4b<ZOY z=geE}UKUG^-YVa<EH*ZIy-Cojr7V|@WWRX6^izLDuM%%_fN=j((eP!idyd8Ui2FJU z@^$uX4M|?_xg^9<=;yTSCPjX+-Fl_EQCstt&eEQGMMu|DJoZoKqq&E<t8%&5UECBs zJ1lnH%Uj=e3BAA5p}rw|S5cdi-2dqNoy=#f^@A1#-TJpmx*^}yOGH;is`~yj--TZ1 zi*v58ykc!1)Z(tT<Jdh`n^;jtg)_hT&Kon-m<LVLyLdsnyCwK){>>A+1!^6xE($)~ zcJF&dk<{<ntH~{HM}9NPg`fU)f7{zD`=(A%dfz^AnbFqR*=CuXzI)_<+P2PqcVXwc zQswtbHy?}0f7J8)%E4;-v2M++53|<o&y{^0a(%1oZ?$`>6W#2zZ!Np>n=^{3>3Z49 zyjQVOiFKCIN3FK*`FE>$?`b7pCR@)(&nu?8D=|Ja%eAPPciraF84+>K=T%Q9s@0u+ zWqR*Sx0@7u;l$dWbomnt=9&8*>HfT{?8#M;y^GgnKmYc;LUf{s_B^o`>B^~#rdnM& zy~QnV>Vw@H^D3|YQGETy@9r+6^==G74a}}_xhnIS@7|j8I#w`~X;F4<yIAb5ZSU56 zvN*omNN8fk^l!R#ccTvW?p<BcA2fHnjO^x(--G2ly`H2`4EncS?v>NJjo&{j2<1MC z?Nz_FZQr`w?mpS*?dzYPU)g#6P`!PqqjKEoE2n3y@|nBBr}lJN?Cvf3;n!zXu2oW< z<+wcO)z-cZA`7laRpnM3&%OTf`XYf?>FVn&+K1vlZ9CXiutc`2wzkT(PSB;owXU-( zW`5kn&wIX2OnVvOHLFrqNi{e6=lXEx^~oxCp8nF4wJL2%b6y{;a%Y=&cbHA!hU-na zSDiNRzohf4RCv9yPx*@XcXs*4{*LFGs1`S!LGAd&brNP3`7f?HO<8~B^|5xDFZprn zgx+7D<ftfJx&NW9=hSKDj>_+Mc^ED)VeQ)cTDkSD)z$d&+-SSVKW0Mj?i|y9{r<qO z)W7m)7x5&nuU^uSuEMtVK6~^&-{kdnH$KVyWWKf2x9aqF4y8R$|4YpI8&#eY`sA*_ z$^83kvuB&R#`!yzzB#ZbZlaLm)$*6OcE-xCzcOFxXsNDMxfuV7*yBp?k9qtnoojVH zHvY+7k(2K)yxnDH>Kd2t87f}+Uwh>(r}yV4224NqwtKJL#6!Ej-Tko5;Jv<5vCl@` z+vQmow_ez7DfCD<r?cGq$m5JHuXbfj7kYn9<Z$%=^|LxZPT4nW<pUr4vsVh1?N4}Q zIPqYvW?T9P^Ro)gw@sUQ{;iuiOE2+#pGRYY)s%|=0T$<XANjpJVU?E4vHxC%HjnGG z)s9{L@4q5Ev_#|EKVi)&DZbA74?9@hOu`O-m98pZw(-wO{s}p2gudpz;Ppwad=)!s zZO@{hrT6agZNKjMYFgEI55E&e@8i^ibmAZI>Atf1+?hL}xlU{6vU>^pzD$gDEX(#2 ztNv~|k?E(A>mJ3v`^#@7Mf{p(e&ylg?N#5cJ}WLPt-ZeO&wJC{lhV&V1O}XUeQSO7 zuh8bI<<p*59G>fuoT<k9uh0BY=bYn5Zx^19zpnMk&1xdsT+0hLq>qXx=vGdB9oo)W z{oQ)vpJ_Fv7dCd9-|95Ux%l)~U+LWFc;)aE*LxD{s&Zp@U*p}&o|61=dEn)z=Fcnn zttKv;yY6k&{dLOQZ%mDTbXPCx{xaUra*upf=T~i675aQu+Pw(%Ls46@W9xH&|Kj_q zyDs~B>-GZU{5kz)7d1W~D^8skyf<p2$!9f-8Jf+!A&-1Zlv?jv{o9nh{C-Kv$-=$o zSnsXK?DiMg9hZ5=d(ZEq;&R$4<{MVu2x+%{yx}6B^pg#f`Kr$)GRv0TN&KJiTJ{_J zw^@hlyenDnEeP~paK=Wz|7l=c<UBPQ-yO3!JP%E6S={Q#ktpCK(K4xUOV8p|8QsSj zl2aG1HZEy&Ipm|a{jWTq<sWta{VI$vc!DAw)=fV?`*p3!^~yJT?Y1u;e1Fa-{dhzD zqK9(DH&}Bv9<Dp)b+Ln6rYtn7c<C({)9Jy}t}DEGHuvLnldmVDPb!ruS|9kFSS|an zWnMvqcA2Wr*Ob$;X0Cs3KRfVDOa1JWtgjE43zvTUV{m_~#Ut~KdulH^%xhLoztd#( zZ%S#ZyKI@{yuZC~ghU?auVH?DLfigOqJ7fci0vkmeS2>G-EMXGy8IR)HpLc44M|m* zONSI%3^){JntV1F2tU5~sD1Iub(4OvUJ*GXyh&}!cVCVCOQ-ifDKmL#dL~(Xv*;t; z+wV41g&mjv!rZ<!A@ogVdv3vvpKD5^7MpRIM94jTeeLY^wX@epo8QkcaOZ9gSi8E# zkwyB<)k6v)ueA~d79M-(=C-tfCHnOlqnQFXFABeSA$wDx{cqFR!W(++xg|F?^JSlV zS6CtaS33W)Q2W`E8|{3%E!H-kN`1QdWoh64dzzA_s~R}Iop~c=HT&M`o;2ersoxK5 zs^p#$DB$HL$(s26f#aO7xk1|;RxG(<y`qS1;iQUl8w)BoFsJ-`sP!U#W#6<$#;LPK zg-?B%+|2d<U7-F>=440rZ+i~6S+3#S-MqH!!+X{C$#X9rwLHq+nWl3j&f@Wgyl-XU zyswKKzQ4SZZ})pj#d3e^p8wA;F{-WKIsHzDQ0)0tHy`t5pSo8PksUY1`bI#zX-c=u zH|wy*uLq*}q^HNtJCv_={`!5p@Y6f$X5L*?urN#9uQOd;L;kna(<;BJi*sj8uaS0r z{`N=TjNaEbcT1T4m~$e!T<?~_vAp92zZ(vhS*<Z!`(>%w((M(`&l@FwT;ldHC{+CC z;h!P@pYA^}`(^sm%6_fyLboq)WXqK4hUMM8nbW@a#fDVA-=`kFZl8VAHAie3|DL<2 zm=32)zgGM4p11e^*TnbxO_!|XG44&7C1Au`(x%YD!=cFRbaDlc^a~zGjl`*n9Ey|I z8?z{;IB-Y`c)6v{SZ~a-uqCujp~a{1oIuM2M#U5d4aupAAdXO&WRrkVtCh2W6I;s! z%_~1eUe!2gNY3-WT`=20{@l;@bP<U^#Y!K(?~haxKK1tb{%I3q>iUjxWv@MaYXiIC z(?9dJK6U=ZwehHS`g*IHTbc*nJ@Q|3Ir6#mzY5vTlsj%F--Y-Oya?NvA^m>CM!wyh zdzH4iUagt`MZe5cpUwQQ?6ujk)6z`;XNO&8S539sI#-kD@vEbSPwv}%c(iiXj*PY= z?GozWEp*O_&#Kw>qC>H8^Wl#Cg0>`o;fI%xmP&Z&TV)%sVEPz0FZ0&f$Jy(c-mg`U zcX6w^KljGhKkTfm_jdfWdA?z~j7_>X<M|Vu)t$2PYc(G^&wCvAra(3>r|`{Fqve{y z)qZ)~ek3iwvof<_>+NacdK;~6mmhg-EG~L#&Lm^;^%`d;1P3ZCw{0}?{ZL$0`$Bu> zsStq^FBdz^yw|>K&E4SNVd<w%az8OCeSL9@QEqf~)YjlnwiD9Nzie{wbANU>{KfRl z<9}p#9lhRI!eYsMwAl4uc_R;J(Wxbkt~DNQ2j)c17yocj<i%kJ?n_(>e6p2{9i62j zoDVm7Gq+lZX&l+4m>eRkZq_iRHNxgmM5B(&Bi9L=1h~uB2DW;<YgnUmL~R1A)3@9S ztxYk!mxP~iPH=6iVNHoxv6eMb=fq+K@0J4>4j<=^SgGKCFv?lcfGtHi_WY%SUmI8F z<js-!_)PD)Deuy(U#V5Tla~kc>wTP9RWRocPtdgzR*%5TM`lkh7hN%{FM6B5X?c>8 zN95&p$F5mw?9Ee5=T;X@Se04CqotH>#j#{XW|HEGNt;7l)+koGCN*}=;R{I-*wwn` z1k=^&mOK9)X>z)nf6qC8QvVyv{r7dO3$5a9H%&MCCe1NDR_ulO_w`cK!aB~bteaeO z|GoKghf~-7h%CuDdve8Aog;Po@4xZ-I{V4Tko}%=AAX<h^AB2EseUVBOInhl$IbkA zY?{A(i#R-P=Fju~I{V0DgF7A*zBi^gebb!K%W5UHY2s#E{yFv^p9?<qy{K?iMELS- zCBxJ8a>_>iy1dQGhrWH8Bj^2eip*R4o0|VR3~tB^sx6zBQ)J?Ca|M5D)h4GMZ$3FF zmf7r6czaUM*Y@U->Q^V{Ew$=pvXu2duW883tGjwKgXXHqdxV#)ITtfwlhxtiWbY&i zkI1>d9p|mJa@w)RD4-*Dcf6POkyD&2`+j}+)o&Zxk?we^@7KLg&r1})HBD)as63y% zOCUIX-}<=UPbMp*y3b_^D!KB6XF^d!^q!JNxd+P_rplHwtvSi~&Tzq^#cI<Tax1o- zYoBa&@0d6nTXU`Q^rg0I`<LBcIsaP09_IA=C|@StQU%-PM>tlTo^x!i%@ob$9tz86 z8eb}oda_^O_TSE2WsjeCuK!@ZVsqk@+I_YVv!6l>ik8$eEXn!AI^$=`<nt_=$J-{p z__XQd^X4hO|9CuZK6yQHy^QodCe7n*+a0?$D*j~iur%Al9n{Ag?cm1p%j$$0lW1~w zaO1hA&a|dE?4M*D`B*B~O|jjxy<wt*JWJI)hvy4l+!tCg<J5lL3(Fnm>0fA7&=+{} zSz*8OiJuDlwNKnUvBqbDfAgMz3C@mn{?E8S{cft^dBV?P$?$}q)spi`f6GJjz=ho` zTJtU(WvXR=($Bh!^NA|cU4~EUn^I0Z406<Cs+_6tp1pFXLOjdOKRSD(Www5r_hs^d z3%_m{zqHN#wyk+yRi*pBf`I*dHcwjrg0b-EiH}(hJ~me0wk)r@bY&y=Rh`KZzn*FD zQkSjES{OHX4wF{;On$k@HR&@O`PT>9Y8r}MdossXh^c6r<(w<}`AMI;S4O4@@uY9I z`dRnDHg2-d`j;wuiayP)e0Vc>=DmH(4thSBH~q3(OY*;<^15d)R~SsV{Fym?`O_~` z_WzkTuTpWo{E3&XU*-rgr!94B(KptA;@mi=tnO=8)J(aL%TIXz6VW`zeN$QOM{o*L zY=GZHVTV&2Q}s@4KC9`pV@>4(f#qgv{a5$Rn3kgWj<NFFt2y#D5x>osg{EgDtv#3d zZ}+A|i=8Pitv2@jdM4L;SNZ%e>w`DVZC@W*T<85#mtUQINt9OM`ujmiK0)vK<fhh? z&tuY5-}g}Asm7mI7y7?y*ofH1`_+^$Zaz_-F6Cj#b)UO2=h|+2o;_0Y*=}V_yzln- zuh}dvqpNPO48u+z?+DHn5=y<#yX8Ws!!hAMJ<FS?NZRv$S|jPaXpPQ<j~AEDzBKFK zUCHjROZF6f5!(Af^2^OSTSm2X*{jjL%@V;Wm-ic1Y?RyPm$YV*uf6!<xiZ`C&D<5n z8-21m$alWaquxov4+1A_dKu5G*}itK!qZugIai$Yy}B}S_0eTqwuv(8Pg!<|SJzuI za^1`kJ8sX>^FW&aop*Lu<G<CPe4d3rz1`?mYi+yy$+u4K(#4?AnzK*zL}u^;pY9;- zxldl5iT+W{WSPtN#N<@Gaqg)(mv=VK*~XG(eo6mw_RMVe#yQ*AigumXzx?Qo@yW`@ zIonu^gp5~ZEkAC2PGxE1oNeqyQtzH`5qNU5Sc~(CNyVx5dG{a9KY8=)Q_H=U5mP!R zzpwpr#gJ#lLZ<ao_J{Fu?P;^vKTYi%@BEw5?z4^@R51V9-R*oQWuB3xdcr5i`cGCf zD?eokX#APv&%flU3IF;{Ic<l}r`(CMY@8Fv^<+!cxsbV+V=Z=ASNl~q&RMqq@6!3f zpKe|;nK<K_zp(!g;Z+f5PjB>E<M=e9RN(1!;a3tO4tg8k9d}S#-uX~F{Po6Hto5@Z zcug&RbJ#SGubOz%PuQNzM|ksP?MV{v*DpAddEn;kC6Nm}<0Q-$oXDS)`r^%^e&3sx zPmFEU?rog)@ma<M*M6brx9=QSuUB@t>dK-L=1%@#Hlg=xZZGFC*=kadd*Hw$2i|Qz zOn-cMs(i{p*qeDS6I&e5l?SHx4=DTl9pFC8>!QP3!XptOWU#>`RiRShE00vP)C&QF z2{Kdfxx7}-Ia1PeG5PP~<5L^mrm@vY8f^F?&7*Sfqq|n3cTY&~6OW@h?yJ5vPRxqj zb#lwWz`l+}eoLp7W{I!3{K;W%*^+sus;%c;`n&4LC5PJ$jiQZGIhB>Ybz1%Ju9#{( zW80LaRI##<`8vz2jMN2e-ob2>mIs+~L`!7|8*I=@co1>wz?AFaFZ#MSUODFQx}kA8 zGuyY{&BtdL{<?ZNaawO<7$fs)X10A_c-PFWinz)!_u4Us*aHV<9dNdLX?}4P<1fjC z%$(!}sRs^dH%hJfT79vhbQjytX>3s}JZpHbB(%mi>)*eAcT3~a#?{Ph*I2U(PML2= zeP5TVkdpb}IV1CWw)@Qg75Cdk+cRJP<ohr3fp46=LBS#U1M@x43iK^)oX^IyM{G@F zz2Nr4`_JEeasT<9y<ZycrMx}DpySWv*ED7Jn#T&~tArRHZd%Rc-Flt5HNqrwe_Tlq zqh`22dvL4AzPY<Xyz=}+l{RvI_b&SSC32Y)$HPsx92Cu1xD1Q`DC{+^dbl%rmw<Ah zTx3U~LaMjSH|~!c?+e{F7GpY;8qUV`(QSdi=V0B5GEcW3xf7x&<iDJ2V$5UvzTTD7 zJY-IWa45!<xh@cpW`6%v_+M}Hj;zV8GbZmU^x*lPFCzpJxG|+w;KfZY#g@~nm|H81 z13DCcm%X+#w7x3TdGO!kh(@c^PH$YkPiT!`V7#e*BrfRPKYMn~^8d#dsPXIeH%!_6 zUpK>a=c0Lko<{6lG><Llu7yJU&fnroY_`-eF1hpfJcDNW|I3c2?*1=Uc>3}CVTGd~ z%RdE9`1GS%%ctY<`y(tMrgE2Z|NijiDaY@(J9IsM|KzmBE{lJDYnU|q_D_CvC{(6i zeOj}~@%x+?13vxut>IDmXYX@GziFJGv?qvj6^Z)P_)nPaaO&}U6_3I{b0fHdWa`z+ z8byxZKWVvQg3Z4;CXfI-NTAalq)Zd!koFUfGWF_lY?^)hh1Y`&@8nh3Z2529PK&=E zo~%7!t(tc@)n97rt8<-||5#`3xH?7u+k%jCzrN4<7nY^HI_I=wjn<CLxfS_Q<?}j% z3xE3Q9^W`s=SY~0>q)1aBXJ>ONlveL>?-qL$rxJ7y@=#ye7H%Tooi$Af9K5B8~tY& z=^XiU#nmB6@7s(`0+$24e){Piytqf_$l{YBiqqD|O<$<05K|UdP!efxwpQSfsDoYK z+L`{<QtU-moAP3!{N#U^uhCE6mz>%-XPW$+dDAX`tmH27lXf`OX2})QSFzjiR9ob$ zYEvDz;}dy5FL-~|;NJJF8E>jhIU;w~+BYoLth};=f5{$2$$r0SXTRCX-Por-P1p1F z>*l|~({8?!d8Fg}h;3F%LX}^q<Uf@Ud;VX0<5HPAIfq%(`i;>4wSxcDHhIdO{Bg}; z#~P)8j<@aM%SDrR)^i&xeZK2yG2!yMFLNe&?#VP--hT0ZYU#5FOI*XA+b#IS>Hp>Z z0l&rjPh09f{;l3}T8~d8seFL|xBrq`C43&18~K<u@9%eaJmti5Wbd||%e)%9b1t_Z zSKBiCg4co~ziQFrXE)96b?4hO+m}Ie_sv3`mQ?fEd?E8P`Wrbdm&+bLq2Rwo{)mLa z^DWC0ea~cerWe|%KHKs{;EBTXE#(u|&$-;jBw8GmWad%1^!KZUhE;yj{WVADOq<hR z^K_0`s<nLklR0KA{to9dt0%1Ab7`;m%#+%8A1XYZ`Yw;-gk`Qxmg2K5N2W_SKb>Rt z<Xvu-pSR5YBjRQEg`YUgm{5ExCPnSJiDQ?g?oP&^rz~^X0t}a{y68TcQzl+CA$*r} zfTHE{-UAt0&$oPBF=5Z8x$QDfroIb&qWye}pu=qLPmvRzIz4#sdCzip)=HtR9h{A= z7O#4^O*pqWO-K%G^Kz`~tXa)cX>YVzENijDHI9<2D?Yle30t5Z_W4ts;)QCq;!j?V ze(jyBTN)hdwN9vdi-~TU&&fFP=6Tkg>@#C^PxLB8bA0;g+|Cu@y|7GVyJ9KRUA|3I z70jD+YNt)~-Ld<#_>by8cRvQbKey&?_NBHamblQLO!ZCDazEesxE($%{zD~>$x?3V z-UI(wX3d!MkyT9ih3Y@<GncvcWNM4e*WjxRmKHg|oP7Q90t*kZlaHR<IB-O8>yd&I z-KPa%xk(c1RNE3`UM1ZzGraT1i^u$s&|%RHoew9(CRx->m+-sI>vPA`o2UG$(BY?B zIuDkHBo$~*m8kLX<=OsJ=&<gtE#2A0!p+Ppk35LbGK^V0OJYvED%<jiOy;-^Y--Qg zI}`6skWk}d7S7u#)VzD$l;-+%jh)hBOREd!XEDcYogwkAM5S%FT<620;|E%TXCHa+ zX4$Flr9Ktio;-0WL40}1YHgzZM>Ysel9*<v)@C~G$cAr|B*dcC*z#374|aRLTxXM# zbjaQ->BH<vMFmrg41dIX@YG2PH7mDuKAh&5w4-){#5!pop7I`{!*>_!aO+9)`rJ|Q z;xX?QI{fYYfg^tx94W}xFpLqIC6V`Er7hZVru;faUY|S5ym+>+3F5oHO0Dg8bmzmk z@K=wNt{-UeZaMNmOY!Lg>BOXh`O_rstWssO&k}A<ZaMP6K+&+mV}?YGst*qzvrw~g z<B<oJ2}uP428KUaJb3mo2{jitbRJ|saG+)N!XpowG@d>v&PXb-GckDBnsMr&?8Pa~ z@ryLs*<FR3moMr(n0oQZgWVao8iW^Xvgf-AH%BjC$^G9gn6Ix-t&Mf*kqsB7NQ6yO zYg1j;nYcDHDWYVSMA&?_w$v4konKdUCMISjMJ${pF>Tc{-ZhcFmO631mTQuHc}_<P zAKrEC3_o{_@L{j(SB_4)eq_TpQ$wAmvwt>7nLXXGz{GG(zb_AWs_@~in@2X3n7vKn z%}t8%nY}9_bhgB_>uPPK+h)p(-B~6Rc5j)?v<GT!TX(GNp1MP`oXtn)vM<l;(%|nt zZ+k@dbS7%%ze@7WKb2URpA_+Qw#2mG@u%OvE=pofc$K7{kaR<6#;zOEGm37g%#bMK zR%^>`?o9ljaO=RW`%@109h!3Z+My|j^9~)^aMPglyn^~R`DO2Bo@(Ol>`Y8gO1iOV zhS`R2!>1cE4GrJK`NURS@#2}cEr@U3Ry8*HXyN9~Ya2P&M+-OqUfX$)|N4;!6S9*& zoG~@r@nTkL<N9@)?8{??o4>DH$!#7N%=d4P8r$(W;pXi5M;?4qH~jHKaz?>7W5bHS zGj|pIH#YpiI7>o?>Fl2ek{PECx?Y&lynaFF!OROs9@u6i6)2k+{t%ueF^AQcN3JdH z=Yy<_QwN`4m}2c*cW7~F(ub0%yZ*_YIsV{rR>Gm1SEn>zU#-dhJzTh1e$7hjKRgmP z$-X>#o6_n&Of@yMI6G_Chk2%kJF-1_@?yNczn)R>z{s$oXy&ehmqvy^%4Y5=_+<3- z!Q0eR2j8AP^5B2!t3!fkjyw=ddv(b4%#_2a4Mz$*6`mGoCnP+oIxyw1ZDZ%dLkEr& zNG2rha56BQbIyxr`L;`XeVM*I&$p_zHAgcGtM6DQ<CpHslfFx>ZMIbBLpJxRmZr~^ z7!;N#pL!^Ce9Gb6KF#)KHQ~>vAH9Bb!8^%<XR?IfejXp0=9xtn{Kkg+V{6XnclSSB zapuUMx_%4QPDO*0M+E*SB`AfQJR-0;<&{#!$tlhuO`7cmYQcPkzG`g@>cY-TCU+`6 zIeA3jcgihSfhnDeQm2jxh^0Pj|Dhr5ykN@8?heghzJ<ZdWRh0+Sh57qlvuRNhv#8v z{OR`}?yY~?-k=?PPX2wZWlO@$qK>0RPX(r=CUu-MGOV}xYIr1jrUcV=A0ELNwYC5~ zVP~J|or*h79}#$(dX4vC+_F28zxHx47(W%@Ogp8ha%PHi&Wuh)k26OEbkmYJq>XbG z0^*W57EG5=dghzs_Uf)zqEg$vBLY78uYUbKFx|OgZ>Qpqs3eY4({^<nGBa#RmY&gZ z+RTt8WA-kNeP&MuHszjDjER_<zuT_g_0ERIPKKmlzKKWF+9o6kJMY-gsd(bX5rOHd zhDWkJc^ISE)ReaRShnQMlt|j{!&4Zk)|R1nnQdRDf?>^Thom1n8ap3qv#Yh8mz9|D z<6UFtLuL-OHhskzKOau!P;1Lqo*`j3DIw`cR8uDNd|v~@8vBD+T3>f`KD>16%F*Sb zK0F)$3OS$Ie?;I(euC1i`$q)6<tKIgH#e-|IIyKrpJ|4K9dAO?54VPy@^)egryj0m zTqa{DpK$7-HIv%2`7eL(`mw2@^Pw=a8rymqWBZzt15*xPXZNwJS?ZMZqpEev;pbXD zJoncc7}gv(bmT!qYQm$*V#{Rq<t8TmIMKSYdwuMTq91QsHQV3E&ycWdNqlw4_xzCu zmz4~E?DF6_r>n-MFD~4?yStHdzqoL7aChfH;bUj`^$eHE)Fk`tG=8GKC)Fo*$JrT0 zALbhxT5JSq2@=1j!=`p8%7^EluG%)0)Whe`%k$UptkYknx9_onVa<KVQxBW@)Y|l| zXGqw6Oi221t+}!Dy~T{8e{(1G|9mVJ^K^+`&0`-PzaloZJx_fscbuJBRM2T`XmM%g zt`BBYCH4d?opXPFEziH@Y-%!rzLr1c%_{nL=W%lSq~^;jk38tnGOU<COX5xdug{Og zvm|7KePb(D%qsd9yR`pc`qe9~pI3Dr?7n)X)q1ri`~I+CKE8F!WbQ=!?lev~^!@6Q z2Q1mI4oP2|(j2`;ll^~$aP#6dod=Vzo#9`%d6`U2hOec?<yl1^R+$>^xISywhs~x> z|5P5F-u!%R=iHU~2gI)*c`zkA>BBiw!yPYYN&G4G<>}j<7Wv>{c2dDp)6$2Rvy%$m znHpC7ob~hI-Rnmle9wM$i1EghX7vr72UTwzc_5whtlfdBQ}KYqDaAzx4!C4Ab}Ak_ za6}*{0VE#G_mEwUExfMt;L-S`4=>FPExt?7`0&a6>4SIqNd-^MO9dGcPAP74OzNnd zP{dJWU?BK5A&H~fpj7aGLQ+SrfuW1bjGu~+T#`CmCQ3N@^Y|QDFhim#(1#~bGp(+} z!tklU@}yIWd)$&Zc2AUel*1&E?jw2RuLsXZ2cvox23CXX#k`V&6I2XYv^afS)L0F! zb4wa21$iZPESOZqF_SA{-Sq<#1Qlb1oHM2$aM1~8D7M||?{~7}hEb>DGoM?|6CxRk zZNKUS87aN+P2y;qT&eg=w_#`81pNsYD}<b<%)8<o5yw!xS0P^EFpFy22ggXiBiw8T z*MlpUr?~uCbVT5~h9Qd)o56K%X(Oc#K}j4tL}qks;7M2)o^zt3!@pBeDCCvm7vqMV zah;0FPJxx?kEZYhiYEREl3lnm-K%KtCF6svMwjBHJUY#IKCl|y30rmAB3`gpn^)=C zHy_V+*I)P)H1gb-$`-Up=RmX9RvxA4sfq%tWDdlv->9hUv|P1qLRMp^#4CnpRf5iL zM@qbTHr@;3KX{k%%-2~GMoKqgk~o~gzeaEOf2n!q>ntfFC9b%nj=t&N1o-3+#H^2; zz^-Cv_Ufr<#qR5!{k>+~m6xSB&Il@dd+d4t^O3HO$a}YmFE$=sBtNyQ{kF%JisXxY zzAi6kO+7K$FstFc<P?wTnl)FyCvA86<rUbNa->y9v`8&PX>ZKQ$3D$MFa11SyAIA3 zYqgvluQWG(#SCLs)l0%HULwuf3|dcp5<6|mm$c3K==Efwm1)q<hf8M9FwyFBUAa+< z@!WY$rK3kwnN0(&nle`f6_|LR6=~jFY2|KcF=cu2R6f7N&MC4oJ1<vu9h7CM^)}q` zU_t(Bo`PB4&sXyll=zEl7|vOHsXU~%z`^r==u$DCnLdK+l1mb2=4Ah9y7*m$`ML40 zeMt{|-Rvjy6tFIqUnTNm@x}fSF1@`kmClJwJ#o8YSA=1N+ok?c&JA@-yhWOQZT`A+ zbxzfa)q1TwZAHmUA>lJmQ$HmpKJ-4jbVh_^@Xn+Se->oSeB%+p9qcpJ!Ju%eX_!yQ z!Xq1E7kZs*@qQvTW7U$tjXM`+g_^xNvcfI3VKwKfP^%sC%d}H&9(gDdyV9#ySYwx$ zp+(Zd`C5iQiZ7i%l_Gz1p~&ADqem@W>%vqUytTImBz@>zI?aoxZ*j=-pa;2LYeTsD ztXFLHIyh5cYoKP+&SgGjTyq)%qE*|Hm9o@>k63d2bz1shLr2}pk_Ugi-iC7Z-C5DB zvm&B6__)zcs~bH*>L)uyWnx!vI#x8fZfVhBs~J|SMRZ=R=uX=3dC@wf?2SIl^G@-7 z%lnc&waL}?ubbhGmlsP<X>7|Z+3R&MZerc)AePV8p#g7}ysQu5+9rM_c4@+1@BQIN z4>rwsCE6Tn^4BqxF*^O#!Z!*0p7kL-W{XRjPf6`&c(u~#z_iw?l_EbTUzk6IPi%6@ z;!{#_=SzZT=49_s56(Y&VEN2{;YNohcKnM`v$nJeT+x3@D*VV7@u|}1j=W4uWp962 z(k&vqI&W9#RNmwEU&4<*xYPbii><xpivQC#Vewb`#lpw+OJ;i=wB-BiE9!2reYMD( zo(uOyn-|OOa!&0vcKy}vb#S54-{3R_zZEaywOHNLzvLf%P&4hH|5bK&voG>XrkoOv z^s?~KnwoA>qHeL|;gv2n4Zk_67ah(%Y-xYT6ds~=zWPPp+IC^9UGCnGd?viRI;lyT zMQ?w|FXKxI1xJ@&@}B(evP_L(X2-J!jNZ<s?DO5FmRUTU>3-Oh-QHMgp(?9+Q1OR} zivy#C=B+8&|LnnGuf?Y9{-IKfzdo4l!Jol5Z~K=8(^~2^Y?oYqu-7}eRH{ZkbB>?z zor<#u-%n7>@T?HJ<T96Sf5NOKmmf6xPd+HR$o8P^8jC__zu*I{-cRT1`m$bM5OVL? zMUw(PFSfj0eus4pY!_KPWOgw=@G)IwPvVQfqn*2Bs(56oIPzY;ES%f+JE6+ydDHBK zsvz&A`5wjx7m7a!nU|*NcZA_W@PiB78-kbIwBr3;F>CSHf=zDz+Mb2y+={2N7XI@8 zyhY`aa)zD77H*q2FD2Ku|JAfzt$X0|Jh2SVIUg@N%~j8nd||2ES<F@yS8Q={vG{?7 z+jcBo;ChS6W>u!4#rBY2s+YSTa9wD6FfD^`qr{g<*AAbXH)$8&hs{fuT8ZCzcEPBi zLTg9-f~Q@=g6dnGrc0IAZV4*x&{!CHs%c01;^_w$et%%r8>a19*zcCTMJ|v1rRdp* z=lZT`*%q#Le{Isf+psG1c~i7Q)OuZocdc>E?O}&{CHJmVE!^%Zy@l1~@CE58{_?~v zf!>eGy6;_)dH3yw?%9WCJ@>Bde6X(9OtbnC+eEY1CU?$W6g#VE)VQt5x%$xeu5FFZ zrw_mG+Scqm`{4Gjdlxf5uq-YQoz=m-Saw$Pa;v@UeEvNDPJP+8Nny{C%;nqKjs1Qx z$lME=^XyW4mvH)`E#9vmhD<NZ_Pq1+f?TZFoi`WUXC1!F|Msd(UeAl<SoS0O7u0WA z<vq%rKdmKS>n(fx@=gvZs|6N?`A+Opmvl^6==|Wqt{v$M4_k@5oVp-5m-}7Gixu1Y zqUEiYsXlz{b$lypMd7984?dU(E_PGjBBylxh4Il&3v;WLmmh@sEI+Vt?L&F5`Kx1E z!bNKrzCCz<>b@(PALcAwZ^C{*)M~9|g<{a5(5epG#nuNG&iUZBgi*`)$n}d3Q`HvT ze4%&tp-s~}w(uY=|LPZVM>~yWc7+#zs9Em#z+ls3n<lo`CN3%$YPEcSe7G#2+nK>2 zwd(SN`F@8@+Ji-;))hP6VeP$8Wx=^<q80D+a1*cM4@%2_m+EyWF1q;O0(;>EhvWlO zr=6Nxq;lo`w^c^VH`;vc68W}c;lF<KFF%WCUJjTi=qmN)<yGUjXD&|!LytUWs{O^) zdF;~{&li7OUv_++?9sgM@2~qjVKVnSbawO>Kgo<)(sKIyi%RF({qt;peb;zAdB%-b zixs9mI3mgQJnsdwXyF32kg9JUD@~?6w^n+bwXiu^VBO{5XYFfkJ_%<&dcYJT)AdNf zOCzky>XD8222m&BcF_rI1(qIPn7qXC^g7wsE^Zx%7qXW`D;;W;yCU<*;DzE@#j1{D zO^<7%j(A?QIIHN?bu63jqqB));i1#B)ta33-PSPsUYhhFW%)@h-ydR^4nMfi{ZP>> zSVaBLgUnUaTuNAcFYi?FYhBiScn$0O%qo}ZQg%B{oQpraTk3AwF5y$<^PKa1XjRNo z&U)V}rWofN%-@$hYMHNk_KJ*+Z059SB6otVc;#N2L_g<@cb~QR@`Fx)|JR#1^7JhB zod2+FS*4Y4!DrKUjle24nKa!WuP$x2;*GP|;xL`nE^Ui|j9XsCql?9}n%_&=uK)UA zrHB3tlOL5AmChbq+#%NNT;DifZtsfA4<cQ>x7hypeZf0Q=$_}4E14g17cY(CpBJ^o zXZgYX-P5x9?iFou@;-EaLfEUKL&p7KjfaJs*URo*qxx{6`|47uKRp?}=Y;2Ve(9Rl z!mqaWs>~k!%(>4V+;?4{Wm|FVVpXoJUE!Bm(^{TO?_Fp4qxvG-+V&INRm?K;1Rq6Y zC|mTzbx18VH*Mb`ROMaVv1;M=gAdO-@>t|vGHp7ppUc+1ow?9zi>tSiRoAvGKEw7c zzSE`5i?_s14Zd^oqV=vTJMJznpSolR|6<uFA@dnu=1n^?S77h@SL%5uGnP*~@>imE zfoh?&mwXnV`@t>TF^hvLi#>LiO1;~Y(SIuVj>=_sEB`ysFT~~YKi~aj<0;oWhcBo{ z@tap~@xRXay?aai^QPHKRt$W$Lf_Yy1T24;*2$O2z2o$v!?O<a^2uHlD|qhaZrUy_ zZ?){R%Nagd_V$S!fwo^(K61IkKlegr$GQdQ4<yR8>^Ggu(teRQP^`pj`9c5rcI@q2 zS!^!8<ksyB&iN%IGym<8w*s|GE$1*?&OEE=HAhHsD!1Rpmwp8vhi{tjUXXdmld<5@ zk)OtQmtHQ2cPei_Y||61v&((?L%z0mp_z|PGn#nZZeDNB%hnzybf<RK;q4q59g{>R zN}7nsylQ{Xb-`dR_af_z9e&O+?7abVKKxidQOjTOvHRi!3)c!(x_{U9`|<X2#@coc zHz{Vm&8&~)Uoggsxoo)D9K~PA_+`Sjz85S~tBW1aaP?l6*%OwzXPV0!F5e589~_o; z&T5ud_q{Z!<HGWj4>p)J>ucDqx%{BgFZe(rPvd!2->W+h1W#U;>G`AkQps7xT`h7K zCw=(5MAC{^Zu^%N&jjW>_cuC6AGV$<cfG2^eWCgmm3?{+{#l+!K3woQ+SwsDYpLo- zU(PD8>m2jGn@G$2GTnFCp?Hg&Qu2$;x#~4Vnd{E63No1R%e>Ot@zaV|ZsnIAz2y() zT@@2}=+1p$p*Tn0!e;`FZs#>U1=~H(zcz7sbBX)G1$6;=@9vOU9hVjyy(RZ3@P%uv zn9IV8La}~FmR|6FV9=%zG;z`c1HFUn9n)5sJhXH<y+tMITjowZ$wePBSuM73|CyVy zbXv=G(X~wN-#O|czijerE|*w)EmiQ2l&a>Uzb{1#DzuuSSATtQ)#G)DWoy0W-c^<r zVHd0Ba{sya!gMYhzjjsZb&k4>Esn)M9x?1)cuJ{eQO07utq<;n?BtO5t@1ARKCpMK zciW7-TP%F%8RIW5IJ=9l!*Q|hgAaEE8=ayLK9p1p>)ZCyq-JOHwr1z`&NVW77hgIe z?)D;fs@gtDC+jULi=Mr()$NS1u8J@I!NvLZO4SbQrThmH{~QSqc;P&^Er0n1y}9a2 zd70)GTNIK)GW%D}?|87Je+%mou1j&T>@`nY?bzC{Dn2TFDZEr&$ux7mpR<kH+weI* zx|n1c+U*+Ot6y*|sBrsX#VmX2+lRkwR%=v0hO$~Q@xASQuX!PGuKK<u4#!LMev~oG zUZ2#lZjryJ`lBx|Th_@h`u);4HtUGVMNi#M4G*iOr<-=@{)&kdxES(<)#A8rIoBT1 z<~W<cp8lsc#e2RifB2$w@9!`Be!fpFwaweH^7_)$((QMu-0$BrzjtN7PMDmwe)h%X z{xcJPPyPD#>iY2e`@g=btTZ;)*MHCS&wl-ZX!E>>AA<_N?tF2i>G4v<_bD$<6}Zli ztABNWS^52E^{?)3zkPP^@9XZf?-ymS-)H;l`@d-YqvbDS?!Vu*|H}8brH|jA+I{`| zy8f%1*h;2<e_L>QUj3f6_5Y*u-d+FGHv9MS7Kc67r=Mq^H(nN6lFnK)HA-@yjrQ#8 z#jFvlQ(C`d)w%xIq_cI=g)XChu}F<jPt|+dKW)~J->MNmrS+xDKi7ww?%Av?@3-6g z|KjR>$8&9~?_b)JzTVFE-Gi&*Ti3rl*HtOM!=mQl&8Lg@%=D^YU&Cd7ewD<Zizki; zo%PD}Pb}SW$8YVTS*A;TTg{#wDPEb{`y#k{t3`6jiB(fB&d5l+Hp6b4dH7MKk}F=m zCSJVRPV!F!PI+CM^6mM8@T0RXGOkYQx^;C!@rxaMqfD)*_dN|bWug4*$h{a=>wdoI zm7VDUC-=EVzrC7ub9-h!-_+F!&oA3)7cVNB`i99WDb;UZsp%W*EjRDQ^wdu0dzP?n z+SH5F4jJ>EzIyj&>y}q%oXc{{zu86=KHGBY-J9QAex1pz+3=fjZOM6l^WRlbCb#DP zoiJ@~<i=IjzXkWcxz@5cGW0{0>Bf%db%M4r6PlA)ch680|DYyXobKkkC9}6iy3R+r z`|a1HH$qZ@HK99<vTf^M^}5~k&&c6(TYY1xi52@^uQJKI`OBB9Ek6CS<c633jn#=~ zUou)(JFTBK^>VYNnO6LHm&~NTQx_$=FYb`>iaGnpM9qEiMyW7I;Wa&4sbQY{kuOgk z3frJ16?!JTJM#5X(P^QVe<b}&N?W@+YTKNv7j7qZD+cu|Y&AJ}x=vJmx@zbfu3Ifz z<I9$YWSPG4k_t#!9eQ-#A{W8+Gp35^Kk|RMAboY1WUBq*%h$4MntoZ<_N8qJTAdPp zdHT0wS&`ho4#nx7in?T&z4cU4n0tKW>W{5O+E%HHmwjhno7wX9@;Z(5+!rf)O>cT; z-83yZ;^r&1Y0lQA53jZMT;EVua^qIimT7;R+HOX#PTRl4+3Z@1ci6^nC6{)ohi~R9 zNuPLi!&31{vM=>^8-~5Lv<g&eFWj`LDb`#4kfny(&Gj!L_`3f1zVKl$4p`H(S?|lr zyPF*Bs;*6CyScUZo>|#q#xj2A-sJtueis=^r0-wYXeqHK>H5V9GGCJ3RP8cn-y8KU zT54W%9Pe*g-p!YTZ*Tg)(7HJDg4VUU?=}WsiJo`=^1~RbOv}~F7w^<Kc0>Nfj@b9p zy4JAEZoE8MS^Bp!@3v~Otc=}_ZO<+(F<qlByD3|;R_{{xJH2f&laFfMn4b~5Z>r_Z zc~{@KS+!10F6*jVv?9g)V*0nw-mI^E)!$rw^rrr0@cT(0zVH8NvtiG_Ho4m0_X5w~ zZ?wN0_PY79#cSv6+<xOq^?%&Fx*OK=-gmz1BOhtbbpOO1r|La2a)qk9r`m0<JhG^4 z5BvYAa@!&+J+@|Do30kU`sk`id#T*bwgOq9YwT?^b9?xsw_n_{=vIM__tr1M6ZT(Z zs%|bUvp%~ae04(oa`(4aliu)E9f|5K-%x*H#+<07)Ba8ti;{kF`P}}DuDwyJzs-HO zywke+=6}Yrt%i1X|Jvt0$SOOg6?tgkO4qB~u4&zz%V(Rm_W1R;%rdi5)*hd}m05OH zTuG|MyruIho;jIE9eh`mv2pKNt+MUT{n4*K?tCoQuJ$DCT2a}0=k7JR$C7d;I4gJ0 zYS%wy^ZCfDjZ0+TT+lPu{;8pL^j-0b#c!S_=X5w%-|;?de?o)*;X=N*r}c_O?2ffr zen~9*B<fVR!`XV%<n<z-lx1$sn_DwA<IF_9+|zz?KabzkXuo&TZ(oebzrTF7llIok z=`DNl_|4PBIX%wRn<w{k|74e`vOnD}oyu=jqOtqT#o|S86x++vXI9SXEzPJeo4NQ& zq1m~Gb8nsw-|&&IcEVhnswmdk4=z;SJneqdrTyO7O`Ak>CONam-rlGvmpoav>O_@K zr~A#re6}2W*NDyj`-l0ZCf{7WEf+2?e;zFRYte^QbN+~D-7slqtGecveWRp(-xmEG z-RdI?*B5E$m>f&9C|N#zcF`2uR{@9iEfalX*zPCxDN{3g@0ZX2j>}3V<Zte>eR$#d zx3$qH=asw&NnHC?ZqceaXP=x`%~^kPd)Ve5E2cH8)d$XdG&z=U)>P9Rv;6roCO>Z# z@yY)zy3lj{=H;3#&#mjamDw^UpM83{gir0~vU)lBvf>Lp;TwL|Xq|R7J~r>6_B0t2 z?d+SIYh+G28poX!`_O6CzHDt0_fL%}%_k#NtNYFeSY~`$`r|X7?1_aN3coSUUs!A) zxjL!)$06OCLbd&?BFeYT@K;>e({%Oj&F-279Dgkqvy082I?bX)DaDZcRBcJm-X|9d z&+pq-^MAq<<~)nyn_9a!FXfZvjGcJ6&+ObZ%P(u*ra8-93EBLV&o+5;x`=4^CQ-h< zjVBeNO(QR9M4!B$dj3#M%&h3A7ox8{TeS5}>b_Uoudhy9{qxnGxb<CYAMR$$-dkZ= z-P(WrTGhs)ZPV79zWur=M|S@6H(yuXSp8?~_Uqjnzt()%Uis$K?a!v|X18XqPFeqX z?%J(!Cg;p7wj5nH<B^EXse1)4e5;E^a~3;Czx}%Cjc>cz&DWuuw*Qd38mE8kURlQG zt#a|cxsN_i+8Vc|E%%wVQnu~BBR38$7kgiGn9=v`yc=P59=k%zjz8Puo%1;QdQJ3J ziQDJCXKu@?asA%sTrL{1>f`m-vF&!J*Ppqi8^ycITBgd-{xQqx<;%YRNYeN+IcJ^8 zqc^qp%<ryAS)8LTe>HaY)%ICCZ?N;(#^$U&aa}~-%4GKst>||3oBB8P+MnHu?k!K> zEjueXXMfFu{6lhf^Pg-u^T_z3dri(s*UhuuK3(jhH*4#gWV`IP{nB>decsE@`>xKX zd*t)ERkMGsUQx8IUwoy(@9R$It$xc^soqbLnb<CO^-Y6w@>+iHA4VS+I6uz07NlkK zP@w+h+p|Y+Cbl2DJ!5jRu=S%0cdtGBF`?|r!s@qQAHA93+#KMux;^|{pY@hoNi0`` ze=O(I-5R=jMS}Vdr;A~|<!8?Ss5%kWYrk`HP1EV~JG48lmZUHL;iZ<6*|1*6t~_I= z>&`E^$Hn=2x6G*fvg?s|`?TnY%a{Lz^R3+!`Z)W-WxloB111-z@Otm~(z;ga{)Rg* zFNJT*s);r|u=ITH)klVA7nWYWmUZ=w-gF_>vxj&;I`;qa)7`yw<@?&={r}$NO<$$Y zF@N&EiG5qHRLAUkw5PzO-a<w9Y25U6r(Q8gvp+F3JF)cfx-D^Yr=3oip0()|Yx=*! zviaWbo2<=_vj$AzEqodA?UQKE3UBw#laFt#nZsNBGB|YgsVz~w<tw#T#}up;N>+}s zdK0u`<1aDqC^zNURZ}^CZ19dcq%nQnnNv)9leBL9)tg(e_@Q@;!1;&MZvQf0|5(|> zd!Dxb;j{Ixu5Qm?KW~rC+3M@7mww2YUia^9f$%-WSGSkGG0Q)$X?AR>>CyCP;a5+; zFze->$(tK~POjwT**7W4ZxpBBE7P|~Zt<)vH@mj<>AIbVrmwtv(_;Fyxt0^x|2ejM z%QxvyPge@ZL}hKXoS+;bI{U??t}~A-wrsPW{p1qk?LwQlb85S{94xb0u_lFox5=R- znd#hJTxLg?Hi~6!nh<Dqb*Z`0cBdZueVKZ1&p%qyXMg(TpSRpsZ?*qDa#LB)S8Ioo z%;pPIw5M{J9bW3L6Z&*X$XU74%%p?o4_}R3`R(o1#p&JiY=3`yb=`mda@%K@j9JUR ziY{H3*uUH4{-z5>w^pS1?=aC;zbf@@iTCE>ptY&Sv9l(3XSq%}Ta+26x9X$Tw<+HK zPcI!1&DrMd|2%2SqB&;^GuJI$B@=b(=4HL!^DCWK8n4``r+Z@QK0~wfOC_hx`lOri zId;~B;F(to?;GpcX5WnYbX7O~{4SHd3d?VY*uB#&$lQ^>QD5}bj6ShXg<|ZXVO>-2 z-Yov}uxi`&4UeKsPR%RN+_cr#ZqdF6mzcjz?I}<1j**&s+tqab0kPdB+e<SGmmfZU z`A*NL#H3Dd=c3?e%tyWT?oOUv<l3VeE0uNs#GjWsrI{&tVLw0B&-DKOY(>oBX}e8M z{Nns5dX{Ut+_razi%OEUW2MrQgWI32D|%@x9nSRi-iGNvXC1f~*R%Fn_Uk(`Hb2zr zd%X|m^sHh_TED~OT2%k~#Ou4hEZ8bnzyJEqF9CUb+s_xQ-&Hby>!u#Lj!j?n?&kmB z_F>h&XO}dpUGHsMzuV;4t{tCzSEfqGNcG%axMs@NN>|?M!%MG!+I;VV>rJ<NCpX_- zap<$bse2_aL%w}7{5H*7{ccb92Z{HR`MbXqYW&V--8$v&lS|y!s;3ogoB4f7)0v}> zlAnrA|CYM3`+?|<SC=+kFqiX~zB#vMVoE*ZLH7M`^>nvbU7YUv$h)mPrs~W;Hm9?H z-+%h_EMeMyL+hBTL;v0_T4i0`pReClKP%YAT3+s6d1e$J>$Cp6<=)1{7mhp?xPSFV z_|)~M?4IoIe)RdU@9(=8)c?k?goJN9z4Yq0ou785o5x>ycB7%D?B3%`BGsG!sQ&DD zUtVN#Iy|8IXHk@i>xT{xv!loL_D;&aCi+Hry4=?5*Mg7PO`msWVe|*Ko9{0@{#N$Y z>KjA6;|+WEeG_a?n18dJ_4M^gXFc0Vi_PY5;M;9t>Ylr=&hpUrM$4?vrY{5c*_;X8 z-6i{Y_MAsO&NXxFuRYd#d&Wlc$j=kMS9;5*OzZi0@o$T_eAJohB(~LQ^FP*3I{I?v zobRh{dhVO1xxpmtsNct>lh<yHu>5^zsekVCXI)v}Snmsqn($8lw%azYKRKx~;O|oJ z>8D?}UP(WEIcR^8re4UZ%Lgv&9WAm{5Rl_GoW^mnc$181=FEGNi=Hv9@nL3fS+OT_ zhvL49d2M_=7gL?KXz}jRb_uM!5I?c5QfQG^-y`kEnVY`1ya>{i_TO*78R+_LtyVtc z;+%kvZO@+<+&S33_@H8y()7ubB6N4_yYxqYk%_!fWTxVBTc@here|%Mv-6glVZHs; zhn>Fkt(B7h(AJ)@dTSJ~wBRD$oi-g2b9#9$E}j{_HgMkrDbYoTXSUDRm~Zrs|Ds~| zZl<4;!Zu!1+-2Rp=)=(mGX!L}n+mK=<uBN(bMEI)p*IHw-flGw2s|vub#bp)Z1Ae1 zH*W~=YHYZ{dn!^b?BKE17gL?Gk9K*P*}3H3)c*9&NYN$xji`2Vj#9m1Q9#dTPsOV1 zafWdx)!H)_?^V*9@APHfS;gK>CziEj)bg>dzIjF9?HR|7(|oxvwp(h1ZFs48D{;NV z+Qj~rjMlwLp_a1+7v25VRTENS;*x(```D@*$%;|w>mwqM%JE<P{jKX_);E`qX}7hH zCBHc-uy<Z_(wwtA7ul+hMySpfU9|OE*GH~zAszQN)LX8)@kZe7se=hq&T?MN{?=8q zrQXU#`>m+<;vBvBYd5srPT$-kpnKABW7k>!i$>K)Pf30A>9E^SFD3fsroi6F8I@{J zihnZwyO%caYx2~)HxCKarWmH5n*1f}n@qjWO!cQ3zUAL)I{4E1mOs><D(>{9GR<+H zf0W3g^EzER<u--eEL;w+S^iU^+R&x^R^F7Xo09~3Po^$CbN}jeCzH(z+S{jkO?%?) zaovc;J#5V!-KX75w?D|PlukYULulEHR|URhyV476BF?q{ykitly-Bp@!`YQzYWD6k za?yXj<g|GHYNszje!D(ueKV@hTw|M_ee;#T*;6M~;(xAv*c_erc8%Y@xQC|~&*}NR z^-k2jHyWFIbSJZ>zjpeP<hM%n%~gT5=T;u6nZC=;<>Z_**EU@Lac9ot$bG^!wl1rS zF86Nctr0u*mQ(wCySdYsth{5VZypoS-Fo%vI<@?^jCr}y+Wr08olNduTO${D|Jmui zi+V2e-1p7nx;TB?@xs7umM*__lagA(RBsC{I=HP^_`X06@5O@dot|6bcyEg>>d)=h z{=t-8-SI8riqDGBjpaXn9l9oQfAap*(><<bUCm*?Kl$dgjg$5s58t^h>gt=n0&lnK zC5hfX`Cap8K{IdMcMkI#{6CI_<jhXh{XHRHW36}4$+C~K#dTray<FR@U1o3IvG?ie z9kzRBN31#bL^}4g-J{Ptb7dD9Zkx`3L#*G=H%q=fqwv|)Y@KU!YlRm1ugMlp{r%(C zsa<AUbL{K?{oJ@9xYYXJ_iML*mB0KrJ^J?-A@@W3SHIEw#pAP)S#j2r4{Rr*RJ){K zG%HHItugm`8FkA)ddr1{0(T?6^}aMLo3Y+=lR<ZYa;6#IX7?XLcMRtoJHJXa+1>d| zxpc3Fwb-KD#?#N_3j}U@T(kFG%KrmTf)-D!m0IM;DycrXqP$GWB|i1u9hcpk&pS=Y zNQe}$vzX6wQJ|aCrEG_W_0jOnJ7pX{mmYtvSY<R_mM`A9UU1QIF0Vsz)9?MQF5Ub1 zTAa>)M~nVei%aWjmuKI)y0vd3o9n|GJF6mVy>{zN3ws&87nkNumYs1~Bj(QX@A9v! zzP?oclyPM8tEiH9HMOkTpAPZf+OjJ9?4hO?cV_KudU0u%Xn_;Y)}?bY?yt?BBI>Pl z%xO}`X0}Ny5?Uv%NclNwMUtF;SbN^}tt-=bMMDqE%<CweGqu1YF4X*2J?gm?c1b5^ zJ>+3zIKjinz=LvbMMWO`&<X~AhY$NBa^UBN7#SEC7=X?VF;6lwShl6T{dGae^|EEv zzczb(F_99T7_Ij?*)x9Qt0b%KtLi47HJ@Ej(b=8xPGp|psa288kF-nPctkWFWO()d zQp>j5>Y@ezc}_2>NtHcNUEu!h31@%fUn|SFy5l!9dEQs){8FBK>Xyys3I0MmH%6o{ z`0>vE&&h+i?QQ}!0$V$twZCrNAfNHqTrFohht;L~?A&{f_?8Ey#K!)8dh1;DBKN}F zCm;NUULBWpzZtXUEz{&83+>M{x4-8yuyi{sWOv|3$luwG^H~a6TvDX94Zh@jyjNcK zZJX7-g{%5KLi#P<{z<NSz@I-qWWkqN%O<&Re!8LHbYNrGv&#!3(o>(!xW3GM#lblr z!YdblV47}GwWF=;+lA$~h5z=t+Qxl!3;KQH*rN44ibrqo%u1}Nd~+?fsJ|qiea*yc z8@EcXJ(tdEr54{^cEclNP58!$nc25x`zF2nv+VfJeD=%hR@P<hpSLvi%z+zi66@<C z1(|ERt>irGm$~ZqyN6469Z7#x-F@QE+B<H~*cV#n>F&`>-m|1ZYg_J;Us<iaJ?8ad zcloBX+^^nw?bJ#Z{`;4uI_Ex0G)%m}zCB1<_`CeWKTM62j_S2{vITfEd~J>^x%E*0 z<-94*Pn|zF{GD}bb-~8B5lbE_eN_Cit@P2k0BIKeBMVMht*BgTp1pmULYBlP!}Cl0 zUn?)E_q=9N_V1L5zwFa5zr!|%yg#xrZmXrv^tF@Ts7ABjSx}}d_}bFAQmK5$t0nK< zFY$Ew&6WSWD&)hBe$`W2f6KYtEZ;m=EApOpbAI8zF9kC?RPQe4n&?=q&?4Kr=<l0- zHbIOcGKMiVZ_aA{I<$BXGuP`dK5d<uovXwbT`*iDaj7Xc?JCdY&r`g)UtHO8{Nr54 z<udmaiyp1t;q-UA{@mx=rexZ9922{BKDcHf!&hbtF_x9y(YB8zZk*dP@rWWrG?UKd zlLed^?@QJ{`6D_lGgNEd75~djd_Qb7_j5_T*dn#nJ2EnbGrZmJyW^(s|G6a(-n+Z2 z!d*aI{zUXsJxNPv#SL<Qwumm<c4n#Z+~$9?q&q`8_aFFkFzbxh*83UW2Ga`H#m|fh zej+R8`s<;l;G{X%XRAyueRQ?;jNz-)`4NYs3q;?pPWSo$tNby0u43=Du6N!dhTkO@ ze?9)ujQRhEY|}$C_$3=<H4<KaW;wk@CPTMIb?emI(!ZIF*z(u*nS~o_3fTm3$IItU z5BKtW`Mpy;>WKNumuo&ICtOrt{k%O(V`-JhqIqlV^3_f;T&rW5czyk*GI{<DSNBhg z(pb;A-uu86;}5FaZicUx6^r0qk~4pD(DhprmgQHxD{#L5V9TK@?FrXQ*Si_9zObM5 zan+w6^A0$5A8C$=of|MWCS&>%m9Ij2Z+0?m=t%o4n=ROTYO8lL-=nqBCHyWsB9gPh z)n^GD2)3B6`1ng!iN1}3VE_H!w`>v)<p%~Ce0q_2i-j{U#;m%y?a_x!huBvg{&Vkj z)}`*qO-nAn)hWj6)mij_-C?FZe_DH;&xajiYu>I5-_FNc(%@E5xbExDC9#W-G5*=V zgn{+#^XXsa)a@*px80;%Ty^@59Sf8k)ErAY4K}sPEDBa`_|5E~#&h%KGsF4QZ;6@A zf2W)ovh{Mo@7f3Jc8fedE%5mNlXm^jS2yRn{$IDwtUdIt>giJ5DevRwil?-`ZVhl) z)KJd-@7nR%Zw$9T+>{aZ<*%5e+`W#R3#YfNFSNg+H0vfyHSel7R-xCU&eYy6{h9Hj zZt`)ziP04ge(|-Q{5X04%@2RMLpSVUUsCqqt_Z)>?IVxQ-Yz@DRb?t&_kW#mhUfKC z^NY(@mH1t|xA)PE@AJ>FInESWVd}&C;!4tXzQwzwCsx}{-lcrE_`y-hC5`q|+XF>k z|4!c7Qu_Yyzj*n3f&Wdl8l~b-A8&VGFemj_7uP1Y(2RM0WtTFaZ_dA5<ooyhi)8Jl zSAO3eXH1NoV6&N**Uez()W-{62+Aq^7U*tw{ID!lP4JBDgwOw%{!{H#sT8$5mm$1I zWEIbJM&o>0<;KpBIZIbAH?CFr&ZO|k>;0nAUCtAibw#G#4e^NgZfbsU?rGTJqsx64 z?|iC%JH*#+R;_*RVKuj;<;Cq*7w)c|_VYy6^2B)Cl+Wku63=FZF#V5=_>uQ&>5UyP zt~mXjAFzC>>5ayNXA(m03glUw+Y_H~aBq%M<hQWP^3vz_9BObjF$;dZEqmGeJ9nyG zEaj6^z3&KTFg(eW-?A}pLCWs_^<U0v2lBhgrj`|Gdi<Xi^mpazpcQBQc1$?%bt!LM zO5d(7H-E1WQI+QB;<$f=&N!-*#<z8PuBo-@o%F4nDkt<#@qNV~89KXDcS^bP(Zki9 zC-`pjUYo13n)S!-8#`7;hu*UFC{c<Na5~$+pKax);@$tB^`CXxc4C23(wUyDl$*b2 zyid9ux$vp}LBCHAMWU`2{k+e2)=%US!>87d&;0xQ&x&d+omYJBVL%;U@S^tnaoGpD zZ_cZYW&hQ`dbeN3jyCf|-xb9d)NFh1&*l!Ad2dlZ<BomR1w~8t9zJM0|C6Ke<s+gu z^Is*rjN5vC>&xl?1e#gqx|RQXbz62(h2P5~qPC|D>vn!x^qrw%_rtZB0$X^Nes10J zROO6!_{I-=CNs{OnI{uEZSOK;2ll8dCzi~TdCb~h{JK~&K){}@%*E1CU7g9^ZReH` zd8G<lWh2$Y?#+5Q!E)`BG?nf5PE8hMa(A9&xHIau>d_~g9xmW0;F!Z7tE?F*$YNN= zE#G%ntMrr0vEARRcqi$mSzYnoQf~grUfs-fkKn2}AB*sp=Y4J*5uc;uaeHBOkDC4= zhj|tos%+k8%{3JczdGrMi>1q4!|szF91?$AWiO=JcT3*eE-u`8CgNDHcIK6n>LrEm zE?i=s`0w#A4(@dBAJgy7<iB<<T0FH*dGqDB8>WB!Hrws7()B-)>W|rl=B-G&zK}8P z4qw}q8{G?hgwi}`8PE6GUi5>Z_o3<9jfuAcbNz%&L@xX2WPM&}dL?ISUtl+j(bR(2 zEn-?<&UfyLNwt)I9a#T=$F+skZ4Ap9&ZqA7UbOG-m15b0xo6!ab}ZnjVAy!cKW%NY z^7Mbqb@#RvF!^+)em(J*SNrDO9!`(sz&hCz-4CBmes<yjb1LiocQbFQy)v5~_V8%% z?S|iaijUIyb!9luv8altg#B8$T<%4aiGym}$#0JLBNTKlwO!xO`Chiw_S%naR{0D* zyZCkTUaz*fbM5lmxAKAS%|g!mJ$3jg)?gCbut_-Wd62Al^sPln1~Rj^`L5*pd`C9x zz0<2dyP70KwbzL1sTzn+T;{V)^3k0IOIK}aUcv4aFqL&K)Bl8YaWM`<-|w#CI`{Gd z%fIY8bBIUop9qKc+Ubn5EVKSB+Th7l{M>aHZ^@ivN@dp!*KT*}-*s#HwM`qQRTT02 zSfqSn?bg(fax)ibi`aNEAYb-D;Iu^6K(+1z$?N?;t!DTl(DsVI$l-tb0fy-(UcKD& z>5&FQ*Jb&jFBy~WhI(G%QvQBd=j=k}eq(9Pz|yE=?;aVs|NU}F_=dx=1fQq;7k^8v zdU8#%er;^uj@1YDZ9c(radEfz@*oROlby0%hnGHQ*L$1bwdZf}`XA*h7jQ1PyY27l z&J8cj)ow_6%sTtXYt5`fcay$^WPW6cs9ewKX6&8*@#le~S4{U!yYg;<guji+ahCPB z9;z?oI`{GCe5K4;A&p(P19L2*Qsb|*<#+YH?wb5baAO^}eEdy|%(wUT^*71tc{m#B z3B~%p*<Nn=>xIJhqDg;$m6^SF&)Qxf?wm0DXaCFITmPAlUbhx8)Y}?;^vO(4l@DRe zQ!a8IFuFSX-s(9%i~jJZJ;_}0mc{s*9pkC+_fwl26rU*E+abZ8Kf69TR$N|~WzV&h zw>v&ExoW&&Zn5@p)XJXYZ63zkrN_H4bLWNm58nCf1O`bKlx>(P|7=y%8}kW|`80*U ziwi`}oVkbPQt8`AhtG2V-pyJj%bj~abVg7`+m)RcekrBzUc8Gx+yBslSH8;6w;fhY z2;3-^*>k)4-Rk+S97~UhO4_9#Y$%9RW}5%w(bRX3=Fe=qVD&?n`9b~MFU>cEC!NV# z7|Hp(<kuUQrMjmC&tIMsv3-_~(DNtZ(Jo<<KFd!=DT#*%>ldWVoIXpiu65GH6Vrr+ z{BDa)6;#Vy^@2?(?VCkh$lv$gTN(|&&7VKXPFk?iPu5xa(${^fPEF39xNX~PkF)-q z7CVH^ABrSSxVU_8k(EK4@{%l;n^#ijn<Y0b^zz$X`!z#**P))9*Ai#nIs1Um+5i5m zZBd)%u|Ho}=Y88T@X6|6wP~A+=I+~PT_IAdV?J%eag|)<15@qZ`@T#Qb6QaGFS}IN zVZ9`~v+?W)JKnCVycBru<C_b2{;$2a{Q2z+^Y-wkMaj|EqW{J1<0<{xza!7=$UX&* zYW;?v4+`}-gi>D>-SnP2HP80MeyyniJm*?NtJ5!*>aPE;YbO{zZRO_FvO9(uni|iF zx!kSJ@4fRl<A`|kmq_EU;?oPgYE#Zw2wv@ex^VUE>pn>W^A4tcHmUs+>vQAui<~^8 ztX-QfE%6Abwi5l7lPaI-Sh6*OMbDJ?xtx;m3r;12UlpoB7JEZOkMmnrTs@QUz)I@W zg*|pkQM1DqF^XM_DPQs9#I^9MtEn@$l_sq%wK?$KZHuX+u*LV1OU5i)JJdJ#WSO<C zjJPPZV(JdbghP#@{G27{EGEtSzHd_#=TZ&*{WFrUUHKWj<=KOY>2rFRgak9E+MTO< z)VoA@cKv+~C&{CaUOU$@87FrMe^ULqB$1gVcM->W(Vc6e@{MjT^xgkW;KlZyEgc-% zMcV`o9K`lS&ffE(VztxiV;mFDKP~2oKR&mA?V@X@*)EMf;oi2qXENU(bN?3;rut&* zG&?T_hM))YC)`$ze7MgdttO`ONKH30-<qb~^L}mZiP`!r-Q!BV*{Xl;Cl_jNzZ=~s zf8dFk#8U~e{)NXL8Atl<cQE9-JoSY4GUuti>z94Fc<AD(xjSd=ZCZV%M<cMuuh4DV zeEsGc_HBxGpR?~ruqi+P)Uo=;w7Zh-J&6LTN4I4=xpalD=5yj~_-hj*zluw_NV?1J z+zb(o@_UyiU5>Ldl-8WG)@_3*<4cKDdAF8w*J)KpwtTEt)U8q9WMjJ2?u+__YvI;E zc-M>PDl|Ozxv{2#M_;{PcH48ov+9d2KYZA?a+V95$BipT!_O@=EnV?0yRTC@BjCdS zhadkkE#cu551g2qp^(9OYrey2<`pKYF<;JUOq{dH$>p<ZURlMm16AEZ%NN-5f9DSK z^>Ch_HlcpP()WKgyH<MqJkap`;jxEbbFP<sxPE)jr*}(|QtEF#?YS=Utx$K0@}-Py zqs3QxZ10v{Kl!~~zvZ*Ir`nBW^_(Y)`hNw<-f?0$c{pKm<*JXD#4jvstGad9VYXK> z%LivR|GpRUWqa=n{|b2c@v>ZZDZA-TrkCMo&Q0!7nV6)OaPV4b)rRkGHI?VMds7`| zgxgnMIkIMh)ouBH&6bGz?dh#^G)&g9GA-47Cvtwx`Gw0jcwTrKz3J6Sj>n73e@wWu z#>DIB^up%nG7TIXWE354zH*rwH-TM4td#%X%8hHENq?Um=v?t6JR{B1x&3Qp?T>e_ zxZd$BHd$8v`R3%ly$jNA7yGE5sN>N8pmh4ggZ-B?AMD<^+_v|rXJ3?rje_9T1?P7b z7p+k->7D=C`NyFpN*NkH3-Vf~n;%lmP0<O_<lrp%zPidhu6g2I+i-qY*4J(Ol<!oU zM@j!Wq2E_^L2oVV)9&^^FU61M*Ir8N3|Y@~_t^=%MuCkdyr!O&IcI3%-zFcQY#Dv# z#MN&PTzWZvazD;W4_k7Fck(OA5B(go6x}5<@-Oq;47x3`jU{g8<^JZG*S?kP+T~Il zBrV5h^u2Lra?G@sIx?#s3!ULj-aPl(^FRA9$yMgg7d?6>>b1bD12?~ft$OlnN9p+m zEpMcL)PFKQ`u-L}s$#$^mX{`aIfY91WQ=d8%*yYzeQ?k-*EYZT1m8N-LwwteKGj~3 z=0DbzeKBl(-pUkao||iwr{4SX+|AQgBdl&?aM12~l9Is{>Ictd{bLigd7dLRcf}X` zw%PriFC$Ao1}8^+-`BF;>_Nu4Pn)-y?JfD%<aRSddX@7<m5pXO4+LjQ)?D8gD;}5s zt##K~lYdcGue&q1M6xT-NNl(hRCIGrUd24a`K$6b96g-!TczXs)s1Gaxr3H>rX5bK zVg2{@j#SP@?hQ-)Z=H!hlVvwC^wi0Iot^4|mse=6v@)qH_;TxLdFcxN36<L)JczQ{ z{UlCFX6wA<UIBxWI6rQ^sSob_e3+0N@hxle?b$2cqWf~3>ff%Oa>_B~PxuP`9A!cK z2YxmT96WX@vvMl?#oG_)&)r?Id6Cn}C3jkWly&m3^I06%m%Mo0IrN%t^3Cjus?xxW zW%mo#e3`qEpMf=K0&`!}1hbD%xBsa+aQWBO-~SY^{N9mKwQlO(irh~-%C&wx+<4cr zF!l6-Wfih6VHdm3Z{xnw>c4h{+PA5bj!FkGh+BFbF`KmI&&OwJzh>pElYd{n@N~6D zR!{tWw=0S6cNWP@Ui|B(IZG#R(e1WRCoUD9wmLsoi|L}{W!pDm3QfVK-{%<peh|pK zbH>--{IYj1Psr}rtQwv_!M^5)MpdZX_Urp3+P0p0`09_4&FSe=tU{`to|&+m*(K!T zcVL%s#(6b`rLFP{#UFQF@mRBvzjAg~b@RHp;&;D_&N#B|`0XP33*O-@o7Chc`>{Ok zUn4nfzoX6rjXLqSq4OLBED~4S>4+VycG#$Ua{cjXGo0Q99aPuc^r8KY((!~XVX@oJ zO?{*#w<chk)|qYZcCzFv&rwOTuy%gpQJ}59z{j)o{_LmIfA8C(7c--VbF)XYvPS2d zfGKPTw31Ji+*fKXsH-(@F56%A;Lb9yV}Z5q>kmA0-2ALTa`D73^A=}@<*3FgRjQg) znj|#}rOIWkJ%8!OdfmlRzXiXnimvq*uyS<$893K6s3ODo*^MKU<YKM71P`1R{H1*9 z{Jz(AJN{_xVg2y#@Wds)Nj>W8ejT>!zC5+U<cd_fp<BHg>&@v~idMcn+*Xr&=Twv7 z=ckwKa@9k(DT)~{`pWxjYPJr~g6%p7?#y|+MvHv`w`P0I!8oa{y_fcUKPxO?a@GCL z!hexRzCK=Av}>EF?$h<FXYX0}_sBQZ<0*dvR5mRWPL#dm<NNBrX@<Xu$HR)~p4-wJ zGyZj#@@(J#vnKgnhu7gBwOf@uTF-uCm)hBtX(|_Y?!wu!uYUr#9ylL5Z&b|67I5Ix z<=v;ID91e7?RK<5??h|dJ<EjJNsm%GBtJIv&se)fmhVy8`J=3XR`(YcyqqE|tGD70 z$G<;$b}R0DnR=4V@SI{nyHv7zmzc<j4~a8QndNV)R#~#PtXXl!G_e_z96F}C2mJFt z`=_|$@kM7&$uh3_d@G&UA4Ri%SrIY)`Miy8X-sSUcZcmudScDh$+jgqRPD*8$D7!` z^}A;(e7Jt#`(F3U|M?ejX6pZbuv}PDUS?Ip+}<4zUkDff4%wK-8<OYz@7HXe+X~Ft zUoz#3EPO;*uNBp3-pZU;_Wf5<yZ*w*XKgR06*}H(d*&d%v|+9D!+FtrPqPM;%wK3D z^eIm1&eHnuRW<iw8Ma+Xz0g^GV`<*e;}1gqBw1cNd|KEqRxF+4=*NiDyt}L!7aXbY zT2xwS@#$>IZuW)D@*&IYeluKR@cFyTMZIOal{m|zJz*6u*F=OaiezK0d>Ab-wWMsN zTd0?smQv3Rf59Tzg-;$?w?2CR@!Ym$La+Okg;$<mceF^){*U8=^-Su$OOj9f=iZ#$ zr<qXq{G`(Sy7&#oQ{$g(@?17W!feH%AnAvzAMVvjsr&Nq(g}kbs@d9(YiCC!E!nh_ zzcMhzW6hjZTJ1Z%7qlu~x%Ru|GQWGkg`VF}i=Ee;U1{upGhRxtr{(=Q)srkgCEX&; z*y?2piam^tbhoK$tmC}sq9^yyf&DY1sjcUh&=yghv>%aMZ?WpW;C+3&|7+CzLoYs@ z&^~#vCN9nK*<UA1Nuh;~_6j=j5;AurR$8_e*}584O=5HNVbvFq|GTADZ>{g?HeUUS zeSAmGP1T&GHo;C!n0LM1s*`es&o=~!yJa11slUj-`_RM8Py1%vKil;%`teM4XY=5g zFSVxb@wF2?0@t1m%+p_|F{$jvuW!jU2H_hUkH(sFaO-x*t<1do{Yle2*7?tQ%{dQT z{yX1wgT@8F4U$2tWzKE<&i^=*bK1A4Zn0|tIi-J?lh0XA3w$+G?|8v1Pp-SJ1%kGk z>E0(f3>7S&F5SFs^G}`glZ)4RU$!$>lxe(QIwNT5#sxPsE-EbOine;l!fwc=`>~Jx zV7r#>_RHREj8$#XfoFP+^IguJ5ocKSp{8+(!v^WCyDImt3E8&DS^8SPm+;-criEsf zg?uUHyAuU+-I#BuR`-|)Za=uToI$|krG5R51uZ;Z%HQN1Nm+T<p=~aE%Ui~nsmmHv z*J{_UwSVonf6pss&Z~C<%Uw2?r#*IGR=oSjJ2S0aYua0apQJs#e}erflUclnSiH@) z?fc|X{N`4?Go8RBB0lYn)2&saGnTz5+!Son@Aqd`+Px{qwEF_9Qn%E^M@^a(kbkcJ z#I-oFWXn4)+cv#rooaIQz?VQSjo&{^6&7%YNg9N_30=NGVNz5;+|4;6BA)f4@2dA0 zc<z_F&r`KJukE0U`;3`xGe0@|E65eCm(iJLp!KHr(*G&vl>4~nua)<@cx~qOb!}-r zFLuk6{yX+}o{`F2&hv{K48FuBSpL{_S#w3s@B3;Sm#$=-*LC@)z?t7IpFIpV`nV-I z++Oj>;4|ZCrhsYY8<(kjzPM*5V%jkC+vS5X?Ug-3EEm7b3Cr5P(l9-4np@bU^lNe% zSB~1ntee{x_}0s1*N(GuJigvha_C>j6I|1?d4=C64GXu``nyV74|Hx%v^m+le>&^M zc~4WM%OjinCHb$Kh~)kH+K~Q2EHp_gZiD>I)4sAy`YfyYPfiZ=St`<c%T47($%#2z z!h;$ZtY#VrMhY@)na63y^#7g4+I1p&ee?ZJU*@|NI9a&rx|A@d+snfZ&x9|}s>u18 zc~PP_{ohotQ%1he<}EO_Jg`Ri(qZEz`krYXy$YKHr__8*jc2$u_awtUwbElpxq1B3 zug&~f+^O@z<I2%BkxA?mSike#ntt`{QYTX`Vb{N>>eUhwx}rXAmgQNa*KgJMRqwab z?rvuem21Tvce<n%j;N$sFOu64^|fZh7q4y8ryZ|}JO4!3o<GS`URti>aL4kgFV3ay z|7tB=EoPyYTP4}C<_{N>z01Rky|xEfW^J7MZ<F_zoeXDKd{#E8CoVkE^Ipf(<B*5= zvKvZE1=)macn)U&oq4?~NNDZO-IB%IUIt6$CoD?TVXll?&sr59pl2YMoVlRUPV4NN z8#?>c<Km`vsxJDiSIV>5Kylk6yRVVwEqV6ZN%=h2W;ZG2dE%4z+4<h$y57BK8eZ?) z;(1v0hS`GXjNezIU6*{ft2nm4aKiOzaT+^L7?*`-wH&cIS}!xx_5V%pc){6j*I&!r zm3Z_g`T4XNdJb6!^hGi^2d$V^@Hd>vIQG=@(^p+KajUOiQYU{yvBcx;ox4eu>mAx- z%Z%HY*Vnxc+<*B|vXSq-WGBw7;FRT;+v;cVA7$g-dgO)cJn8C|C(oYEZ>-7P!(gsr zZf`KbbVIn>zN&)zajMsv9fF=L$UkFvT~ouXtxGERA>R$PMbSNrkJcIOzCTkiLNVqH zv)0S!zZcKU=6t~9=)t?}=F`^aD#za3RpA$A;NY52Dacz>)b%K$BqmKkrR$jSqXiuv z5|6)b>`toOKW~5aT-A93F(D$mCd8>KnmzxXmsT!xvg!P?^HzKZqJ6Rs7x}92|F!Rr z`Eq;1i6bdtf7WDKpS0X<sj2%hcA7Nvp0KKZ{#{F-ociFJG;z(MJx(ilUfF#(qV#@8 z;xxa1ZQimfPL2syuUhAB=;Ql6!?srUrBW5+B$YX@vi82;dw^BoyJ^b2Mu$r(lIBl; z6(}@%<cF2Fd6gdcH%aw}6`RdWVc~V2AM)~Sg1)Zu*ZiCEdjiu*(UN|_YwX32d(y<C zpK^G`1h5?coXmYqIGj1IKBZV(N#H4$%A@1Op@{+_e5Wk?BKm~dbM(IKzFT%{diJH% zIXAD#7o2&!$IK{lV_5BvgqEu5OZrc~S}Obc{yt%cBAYW#;a4~Ume@O8I(u09a-pTF z|H;zb6=kztMl1fv_%FWwVo>oK6-E#H7_VSM@#47Y`di~RaxR*p!lahPuQuz%BD;CU zo4NTiJl?fL-)bn$mo)EYdf5CmUiNctUwDIef8NF&rw`Z}nHV)}P-(JRHTizr_xjam zzn0p(YMXkh!gzky^{0(9m_9~YTZ>-%I6-CQ!ik4E^&U=BiO#!`yDF)xWuc(o^MK4= zZ*}J*cYIEtPPRMg<kqs(tNQq@HGLsx_e>39Kl{JCJ@uHyi;pU|({=qLZW=f&<GR0O zTMc7kiE-h<=+2k(6pnlpQ@az(!m+jB^1*2xkH2s--Jh!Lt?$CLXI;U2t_j*tzntE{ zS+LlPZQ<j@n9kF3Uk_<T-<tXMpSAx=lYJX=JU4c%+wy(()Z(@F+zab(?Y7a_QekWN z`q930o9ZoG@d8WCrDw8KSh7fc&@pe+bV&K#qWJaMg<0z+CKX;&VXgT;N7zRCi00`< zh3p(Y9JlXt9hKQAF7u@F&*QUm-tOS-+mo{{LO#T*{@K&rZ8K-NO^816-bDD)KZ6}M z$2IaLT|{Mtyje=pS1<ffefym5)0XS^<wX5M4Wq23()9E*Lblj4<QpvE;b_)6dc9Tr zyUA1~7yjL5$$j~UZJU(~<5sRwl?whK=UI7l;g5y4rJ6QQ-nKj-D!u=JwTDK<AxBm2 zqZx{y=08(>m&=p9FZZ2vH6Q=cd+c_;CzQJQD(^Sc`Wq+JZi?xi5u+<}xPW0vzxQG# ziJ3-6b_KHcH0+wJyCwNW;2z`1bvjIM{vVO_;W)W=%O>%&t1ayweF>Pir^S)^w{HOd zn%@VP^sQseIvCaU^Z&i9OO59@&5(N`a<u8JyD!6!rq`>3UpzPJ@teM4WmW9?uT9=> zjAXX1^{aB-ZWk<m&GEuN<GI174=KI<{Oa?kV{`1>B-~G*Ut~Bf-|Dm6hj|H$xMsbI z;*b8%?j&Ow%QQ_tCE&}UiQfyhCGIi#`^w_s-6+mO0Z-<HpKDnW^nLBYW&6UeZVMIO z#DDGHs-ElD*5zizG#!(uTC}ZW#)aj6*E%n22OYHi``ovP`OCD|O({9@pFFQ@x_xxU z#OSF4FV0y#dc2V@C-)#bGxs*J3DVaMJ4FuPJa#Q-W_0Dh`EQq1P0LD?x*)#qkzwlN zW1rItR#dK^QdMxo)Ts9i!vfnS|0kMsUj6pdFi|_?<nIT1Hy?>jHRZgvPoAam^);VO zPg;*?oZa(a<~fP7LqCLn3$#{$WIeQ*)!_ctp7{@4-0!rUeYh&wJEy5wV11s5(x;mf zF6UfiX)eFr$#QJ3>zXKqsd1dwrxq<;^W)Hxk3JpxC1zivJGmvxcCh$6x#<<EC?9+? z*{SoEtHImX$EH1vpK1T4RnLM=>w@~S2MO%UZm-Tg6ZCjnL8X$dn#f58pYjJG|5`V? z&i=+|sL*`lX;5Abv!YMV5r;0ZACpz%*x%jU!g9@g$<ORlN1r7$ifAk`Pj)=$?)5=C zk?Y#ZfRwDfF7fUw|Jnqi#40Vq=NztRxUTug-XY}q+MrL2#j~HEXOhqVR~MyRTesTh z2ixq0@)H|&Ufp(lZ-~>%Qk}V{?~Bhh%$$G2dg^68vE+Sgt@I^2o{H>mje2flbUNpr z<*X;Q`srt7*8kb*JMr8(m$Cytw%nZ3zt(?k&7AxOldSX;i#M4b%UM3h!TIby#``Mw zuI#vWXqxMnV-7~kL_$xlWY?2t`}Ag-tJ{6W*Sn8oiYGelGOE)&9bGR_yERA3DF5=( zb%J?b9RE}@QzymuEO0rmx8>lEs!!pqXEd}KzZl;u;d~|j_yf<8>a~UrDhK6smz;Dc zl|6X)vp|de^E;>Oo;IfJsO52Aw6iKWm_y-9D#OpDwfxWD?(bz^@KSkl*rf?SqB>5^ zzplYif9hFp{5RWuvO+V~32dlKP4dbTnipusY21)7Gi$fAPk4&3{S1i+_NE6ryqA<O zXKNF^Z{oAlru+~8sb{m7-<__1?m)cqo>`BgPu9O_``N2_?9gB1;y)@1JGs;up4evu z@}|yB<~Xui$S+a#N`p)EvzQI<ChamhD<|G^et(asLsibVs1%*QEE1Kvx`}z623Zr& z-u>!$!&SocwbF)VxgUay-{nl)X>OK#db2BMZSr5%SFbGnEPEMTzE0V?dVODg$cwo- z&y7+w`qH#~SD7xcDEW5Z$t(Yx@y%Fgt_@FboOu(Fe(jR)E6y*HC#sdNaF=lCFR(OE z@p^Qd!}g5QvCI!SrT=9ncPc)U+Pj?BROR@pGwwxyj}&|<|5fgMM1Mu+yB=kiYZ7tW zBh<Djd`z<Yd4F=7M)rzNF?s(icsovMIqU6q?tP=^vcq|0s4Y+ao1DY*cvjTBRE|<L z{=>y*-1+n2mv=ACAKU)k!O!}=%OgqiyP3QH35#u>GgAfl3)`%i3Y!#LFT6@u)Zx|o zG$VYU(9y>#IUgs^nBNoObh~s#@Vwt;pNpS~o;`9#@W-Mjb!qS0DpxO63!RrFwXgQ@ zvK0#Zyrw*}nII$?IAy*&?}|w?HRe08UJ<_aaEW5)?~B~2ix>2H>U`#!<{GnFBgFOV zC#TIDTo$c)!NhY}Ci^0v%*VA+E02fFHQMoa&xYw+YFVEvFIQ(j`@ZFTrp9m6zC*UW zO8#nhpE#YaP7yPns(v+pmT%J4KhB<_)uywwCtcpAG4XS}bJ27)F+(R_L4M)AQT!gR zjaEU+ZmwbK%8ghxz3|n6hOhhvI{k&-U5p<M#9YGuWHa22@HJ}VKD1W*a;bTg^~@!j z(~h&-ecHM?EOv>YUe1=AaeaA$$83|{n%1x#tM`su-}`LKho?##{<*F`xybpF`l>mC zmpwuk+VGf7vDe-$a@>?*SC+1PaD{BimE{2qinq=trZb<p$zy%#>aM69-i6CGS028T zW{`J=cklNlC(m8mdR?aOKi4x0=NhYQj=J#mO$SXI-YGR}U%YeRS>eNX+3V{Jsy8ui z;JY*J(-Yb3FSFh6pSbP8&2oJAtR`uVYn6KizP|pV{Xj6PEWGybk?Sf;7e!94J$LVp zvY5sOLD@gAeH)a7jx?K;S~}UxxES^J=<8WR(Z_x7ChtjT4w`!0JMNdmjMjJj(gn;- zpEy?(GC2ComSA<dVY%+EL0~{zagpLV=b+!;o1z737gjxaoO(h&sQ&f;V!kz9l5K}( z%0FAg_;|}<-Bs#eon>FEY+61!Rxyv+`8|tt|4psbnF&leZJQ1UU3kgZX;yJ`U5!@C zMCAsd_x_uF8$)AFMAV-ix}!L={ouZM3EAa5v5Cg-TUWga&M)YioPLCV*53HboQDpc z_?~Bf+dgW}_S#67Cn?9=f9lTXKOS0>@@jg2Afwq?n=fISBAHK~w;TSuUeWa6q@df^ zhFNaDcXP9s-QS(CI_F`t(?j0l%bvYdo^&fS@6znuzot(Smf8LKj!z#yrzwx@{iz!y zEMEVfpJkw4k!CPw={)whFRX8l+p!+9==k2W@5*jopZ;CFTatPe&fGP>nsEC<@1AdA zKM#5TY@Mqy@Akxi6=9MM%Y-+ll_;Ekyz5X^NAd<w-ADbZ58wQ|wET<Gu0**@v-aOv zAjLnw=E0jse^+$eEt}A~@=eQ!PwzjO9lv0f#ClI*?(>a2CwJd$-+FcG8Rx6VSkm*> zstC=WtvlP=_Lr5zqHRU1?x#$7vO8jxg7K*Z3j5wTsFeg~na*DD;FzI1hfhhBlFej8 zyR#Ew=Putb%xAK>wOD82g!4N4+g`si?8$fNnz>_&-hYK_`T~Zc%Wq8#ZcDOV?R&8y zRn+h31DzYH=1-aAw4b>Mq&>Jfb>nkUmjubJrTO>IS4}FqvD4$baqr%E(c7_%S37#Q zCPv&e5?}5mvoG!ShaV~zz8VF$Zn=0$Pm_JIG?Vt~8R7pVyFbWIJat|F?9z{$|0u1S zSTWOW=F26w;yhG@ew996;Cm-uo@w4DxdTNq-gB3GHoo{^|K>1bS=1K0Nyi!QPkh>{ z<Fk-u#hwHGiel0AMXD9ye9Q7xS1bS4DYo(w4O5*L9lYFZz15UUv2qKhU7Ml5WaGKK z;N4Mn$M3y4^`_SIjH~wiH7S1|Cf(v*XJW(1dwBNYvq8svGCTCLraxYA`b*BtT}|OT zV^|+OO8B(%%kS+4)6a;^_`$rua);ZTIluoNdidsfdDP_2>|3%1PdM|ky!M(s;?QL~ zD&@Pm{z?hwye9KSIZN$#?)>Nx;-~REu4I;oh=sYlVQoZ=o0x61cbe-nqq<*htXu!h zzr<o`v}9@Zk0{4`Zdtl(8Jj-4#@wu7T|R+rGSC0=O?^}4Y}+q-X)gO2ae`a<&gI#> z35TlhDDM+D$ld+-g1mgvofp=XAx4?JdsDlbZ2X_fRJ}Rl=~OB?L&8IUq4mYr>#`DA zU-(%UCUU7<@W@*q|MfxQ{mnjB1xucIu*BHDe$$|BdDx;UrB86O+xia=&sB*=C0;)2 z=lWDdhxrtb+Xcz872meszx^*U;P4VB)`MNQ%ab#od-~jN7i3cZ`k*rAzD`Qr{OJ#O zdoZWW3>Grd%`7;&DPU_#zKq*VsVt9FPS>ASTRLxL@cw?Cwy8Sgf0D%8l$#&KesOj- z+^U<rvtx#n+_Nt6l0^%3dA-jT8~=Rf5o_w;7?H``w*JTto248p<GPNYX=jPNR`<;A z&~cf6tCyW$q2{wo;1hqOZ@?S#r!T@oS|_fp5Id8%Im0?+@3+5I@x{-M^ykd-kCA+$ z-#%k<W1{sO?@LVA3baDMEm66mUUFS{`Ze!}pMs}zIXc$ZUGsmNa_W&OkA06!_Z-pg z?g&jSpC3=$^jo5&&xt92GkNnc$$2tU_$2*LyU!Nwyz@Nx`hiVJj_%PnQnW7bNNIY# zcCCXmU)C=Ncc0ISkBsi7ZEQ*Qes7=UcgbMwWr3$Rg0Av-?NybYA|<IAU~al{y6(kX z<&J-|r382BMIBzehwbOFHOi%GrYl4{!gzT_TW`7FE-W@X<bCt@{4KL>w1NehuF3MP z4Lh&<Q;A1D-fe%omerh!8+zjFKi%kTtNG4R&);pBz1c;!WDj!*zu+6CjjP^g8%}w@ zhhbmrytizb^QOoBeb)ZpoMUdegvRYRGdN_k1y25`y;?cb%1UMuv;G7Yi-+fC?5$mW z>izWe16N)jS-jycSI15sxyr3Ye0>&?Yujf`V$JgZCGuEpb@qM#BR181K8eaxq<-oJ zJp5WEAGVBhaZud)Rn@jS4<ZyB?rY7iZkPSEUOV`?38#GPrwyW)S6P*3I9*&^yJ!E^ zj)MZbZQBJxtIY)0#!sqRa%0CW&6bXXlfM;NGgO?8au)jS?<k$Ome*;D*_YWZw^{C6 z-oN-_#WBf`^@r-x*5}N5==zDrvXJxkj?3p4mN~IrmpE-$7*l#?nW3)I`xV!J8qO#X zdGP&jnYXZWO-RrQ+2fTLc0Xfwe<AGtLN_$|$t|%pX3^K3j?A7f^5L3{<0*^w<g!fd zKz7kdPK?%X&+IvHNK*aI=``K>3w&;RGyJtO_N?L!4*or%T&r5|h;+{-`)8c7_LdK} zZJM1YaY}f<!rYgIzxY_@9uD2*Jt@Sy_d%|zz>a;*{ILfvb1<HKksbJc`}^z}8maaB zA8bwbmaTA25oFurH7n<w?NPT2&u{1?&#U(OwQfKA(>vK~=50OW;Hxo-Ws=OPp31hz z`##@#I3Yo#zD9iQTIaP*Wrs~AH~PfRkg_dki<`{$Wt)Rm_xgP)2QS=PR^%rjdO~}y zjkd<BFG0!GRjXA)w=G(hu;%!kWT&ftOw)tEF4_~een0z;<V3wUh2P#^KFt?yWXqed zWzm-Nudh6Af9$TCvsZ+7y7rUIZXwfN--CZc1z%1JT>kdcsmi+(YRU`0h6p~prCYlw z!gJphoqYGa>An+RonU<~V6W~VHRHLesQpfH1qr^Nr`45fI`g}K@V4B`Kc8pN(d?nJ zjy>DKS+K#&+vU>An39Zr5sRu!(~p>4xoYXMq<pgE`$fAqT9&=sy+_izhON(;|IY2E zD*v+J(sxgNx^}lqzivN$yJ=Eq&s&?bo*(!gY}t5q?*8f3+!@NtRW?aoj84=qzCOXO zhbcZ+oT>lx$5P9e3->ff7jDsJPm*9eJlE9b>YoD#cG(5)-=Dpn|JbTjJ{CQVRp%R6 zLR(Xo?yx+Tq;y!eMg4v2A?faAd^6UaC};C#)Y<#fT)MB}zSiomqMwwtXQW7$$y}YL zt@<N*z00Sz-7Io@<c!^-N`9}_&a(CvJtv^^CN|_rdTfH`o%F5SMCJ&)S$Xc<yW#2Q z*S;TLILGFlQ;wPDG-K5z&jo9ereyuP<fg5>{Z8}5-Ll`las7z$OnE9Pb9j3F;ms2F z->N?Pwc<x(YoUco?EiQ6`=^Qr&M`idc!c%(!?n|IuRWC@98`K`X_scnT-zTX|2j3x zz2-}*_Obc8NI0qYhU_V|55J<~=6`eh+9KEVF(_c^an0YAtIPT8H&0e^ot<^>lhG_y zolh)tW;->lnS1B?4?p3(A7A=?lgr)ycgLOWir4o0C%oU9{&A*HeUH1F?6GDhJ}(c8 zC2{N;OPOc!->UHVey8G$?FsG~4oNWzdtLio)H3EiJyGGy;J8J`XqNrby2YiohZkpt z*N2~<WumiM=;ry8|NcL4tXR9EIg5|mZ=!|iZ=EaVjSA<JyZi(~pU*5`t+C!>$&7nX z<n>R^jAYsUSoq<Yd=1NgpU=4aDEq!~+E(~n^_c%E>nTnTycKqKJfCP8ed|@1&YkTF zZHncOCz-Ev(q3Iyy-#Jgo6aOtR`-dEKbzEwXzxn8|EF!wUl-QX@hWAVPL|<^TuZh( zcFzhtA+@$7MBRFqRf%4i$&3kE-A;2}$ZqeN&>ZaY`YpSTt$R}EhF?~J4cXEud!s)I zEYg3HSKIjP<92t?EhlFF-dq^}C3(M#Omd*B;*V14fE#=4q<73t{gQfAvfxZ}lGy{F zS6qc#Uaa9`3J&it*e7yXR_)G~h*uJ-hSyo{vWI{E?%J2KX1g%wKl$j&{R@9oCYH$s z_S-AHyLD>S{Kzr`@iQ3;&N|P(Md%;6!4u}{ne1_Y`X8_G&TxetH*W7-qFn#dRny7j zvg^zQS)F<o5n~nSsNj<qKHX|~x4kzw#<tLr*Kd;Dxv%@?x&Kov`KNe7RdS~E-`8xX z7)~DG$}eb(esML)_!s*o@kedjwmp|V%5mWOQKi-iHd#@-q|PkL$P8a_IpT3lih-)| zwD%R&`)Vh5`fo9MDEoJ=kbA)Y#~MEtsTup1Jv7=n^GroT?)u{w-ha`0P@*1nWwIrE zfkWb%&71gRuO2mE`}D1Tx#Gv3#q)PHJUDrH?rF^n%h{V%5)IEpyqafr_+IWs?kl@D z@0=BOF7#ArgT(C1E8cy(o@IAJB0Qnpmg)54C7H8-$kbT+oIS^#z*xsnJh|-Ps|ng# zKBq6QdwlEehlp0eu=cE2_WD|e)9d!n-!^yFDPzu}n|ImN#ox3o>OT;6eexpRhh^cf z_?cQHTihlsiQ&C3$YHZFKhSSQWcJ^;?ggKp=xBdYbP8XX!}~gUer5EN$&cplj{0EL zz%c!jw(Z_4&VrR1O+`oV>K&+E$*(Z?e&GX=uNTiv>A$`ybl)^xgM(@xg8v>X&AXl2 zUbgZeL-LxL6EY@E{ixg)Blh~^0j^CiW?f&cec-^a8-90<bc3~OjxE`jd%XU^oVLKW z+Hdx&%GOsUgq_fxzg^}1&U+>g%D2sD{;%!2YPHF#)T~eITDJ$r1>EoDSW-Ht{F8TW z^c5LVHlNb$cQ@Y&vEMnudr)`57H_@J=bURb=54&KXvKb8W&yjz%#5C>yPHm3RN1=W zp8TS-j(hcPO!3Q|Rq0xnmXXH%;_U8ai)xo0S|M>oqqt}Lf^hZF()0<c#WCw;6kkjf zFnyAwC0|>?V8HcC?x})7E^mWQ&?TWP{)Zi3W@zwpK2;O{xH;?ItlvpTR6S?D-?nWZ z<C|4)kKf4BZobPKTGaVObIMfFhE>1v?<6h`Ht{}I^FcYtda{0ndz21e@x<WSjO-~l zr|o$1)-%}efYo^)+YUaluteuSo_4nKKWbO|xpchnEuFmUh>n-NVDYmRA#sPj-_E=| zrTWV5)TrGhCf)9$t3p$4zgn!>Db%;R`a-+x`k=S!5mw8s>|_EWuZeG+b%Hr`+3va5 z4)ynVTxis`@YvSDuV2};lB1;RncdB<FA7f!UCzdP2=`AY&Hl*6eOfTC?&Gt$%?(i< z6Z$5p*zW5#J=k&cTOdo?RqyE^KOPg~sasrHA>IDvpka{U!s7x@rZgJ8i*Wzd7$~_& ziNkH>G8@5L-tVjSFaIgp&@|EZS*z2&A6&Kd4q2%TUhX_4dtV5*EZ)4as-S&i*D9C( z_viP&Pnj(~wY9<e)ttBC6;`vaeTZM)uzb78{tGj87OcM>IMs6VzpR99{ts7jWo{@F zyVml(NdDwCjl{iA)NiS%gov8&pICkW)C`N|!9UC+XIIY(Z}MJSerxsey@%A2no4g4 z#T=JAFxAb~VgD2*8$FE;r~G3S)LeZ$v~u2ruIA1wS#Br4^xnyuvqiGI=6t%@GFwH+ z;Y8_%*Bhd)pM5p4_?3h66Qv@<XFuwWZ`?d<rNqRwcW!*&#x?D3`>xf#|IVM7&X##O z@xR>*(TQ^<w|hQ}6ftmnHqr3w%KF_i-gc|LbrRt`U=%#dwEN-Poz|jf-b|mS)LJ`1 z^TA&OH^az4RznqbmOV?Eg^!&UUb}N!-oFKBA977hoo&ik{-4|J!+EPnsnzODt2}Fz zcu$A-vLCL~O1?hviot^YddvoIPP0hIhv+OZ7c;HUz3}{OtgJ|^!v6<+mOHL2-JWx3 z?z*rSMohmZcXsU2zVow3eIJuYVvg6e+77?WrrS%tMc>J2Y2!V|8Gq=Df?22Qonlp% zxv}!DA6IBiS9$tPP2$7q=s(pa&kMP`EP`H3+5d8E+qga1o99>Ru|tQ|J3LM|nX8)% zu+2Zd=1`}9(Ykw+zGtee*lT5L#ni#u@iWah>Yu}h=~wn^PiCvJet5z;_qr?7PS2-v zWX?(__}%)VHl=4u=$CtiH#*|FtX(xr7pHD0nR!iQvsY{IrcDB7TZB}Z4P;f{s|jyA z8eE{pb#;1${&qRXm95Wyu_;AAsCrq(%jPK*THk!Hc*4J+YkTeq{O0@?7J7%xm65G_ z=WgS=W+{=9Hj(V`_5UsjsqR_k{Uvit0{cDLGl~LF4^Da+bEB1U@_Xjho*n}CFWk)K zT6<u{tZP~vFR%HC{@46+@K0E}PsaYdH3!#i^HOWR^T{>wzU>s5Jr0lR*pAL(TWN4r zS+TssNp{oG6cgiy_@&FW<~(1_q%vLlYFpB0=e=(xzB(y?Cs*85Yn|I~{+(Vf5)1s+ zJC!DH+2p=*>CQJV{<7NbSfDgPJ0r1KtYO8~AD_JcI-k*f5xMwD_ccFfGtQ+9EfSZn z8e99BcRx_!yL0yitEf9mW&aw}m&ac&7CgJcPia<u+tC{{Ok~`XJum(I#XUQ_A@bvv z)l=IOm(I=RGCs9#;pW=cj|&YhX{G-CezQ#VF3bMYUl^A0l~`}N`CRM{V=Z^f9c7^u zwT{<{TfR;><DYUnNcZ*RBP%u97l!7~2(Xqo{XlPHm(;9_K1qL`c^vmH^|;7Y-6OSL zP3~G)X2j(=w{F<H<unU+GI!vs+O$aG^T{pQBA0ASreEL=`Ss&Cw?qFg+a0@(r!QD@ z!2hb&jTsf!gy$<n$Mx_%OrNee?e4RK-P*op`>h{}=RJ63^-lX(mGZOb{Sx-YEv^nN zyjy1Z_MLC~_|QxAlqOH*mGp=ekDH`@(oUXLDbcd-u>EEK%<%37q40&f?-zC_EojMl z_iDksm+t}>#-}N$E&ovWd)cOzVojNZqkmZD6qO2Ut6!ab)_5yV1mkg;;OL#P(R-bJ z=1G;V{KPy_f6<<6Y+LLD<jpT9c{uN}pJ?>&SFHNCM=OkN=P}P<Jhvn6(zI?T<2%1P zrJtOis+W>I$F4X#=X-Tp=oX9b=WL^{KX6#`voj@Kjj#E^3MLuxcxD#M{+3<MU*xWS zaM&?RLHXVGJ1ZwSJn`AF(D{-2oO8QZYkgF@x7F}aj`SYqYSt~`r`M-HxWZx0WX&Y+ z*;rKGy}$Md!_xnYDz0>0)-U^C`~1*j0sn&L#cexkzqo`Lw9Jmbf91m7<tscbe%X|7 zN|D|*?+{n&>>F>J3Z|d@azWX?^Ja2~xT5{<L+e*eP|w|Jz){_1^8fgr{-W&tA%UUo zoiE<sKB2&^A$@O8aO`SU5B?SXTe|-{RK;!h>+GYLQF`zdqvLMDEJ6LNk^ZVH-srr1 zcli3zJ3<R?dX@KaKW{I&D9ibIQFFb7_o9HX<!5B9K5ResK6csd%EMCY5BF`kA<4}& z^SJ5j%i+!HCVPMXTiFoErgx(|Xy1edNg3C^^PhfnP|3oxW9Q_hM=Hv9NA^zX@DZEl z_rFzsQPbJNetGsCy`QeKZ0+!8>OF5Q9@oPERkixFxNqTQmPh;>R$N^_<=LHsd$~Vz zgic$~8`U^VCq7oKH)mGn>4{qZCD!?FGtr4_@%pi1m7$k{X{dak-ljGGJ|DiM`8Rg2 zv2e~rYrZWi_Vt~8=}C2si`GlTs%{INtNCt`+8QzKiO&s-`rR^EBk#2Q?Ak477w0-_ zS)+kMfZ>Xt3%YEo8R}Z<4(-}K*Gg>LyjP1#Zt<Vkci*x|=}@Lp1f$f#qMX_Te-%V; zX1+gIx9IcD3fYRQuV3$5k&?=j6A-7Mmv%hunlYbwz3&>vrBgy`Y=gf(y0w0ran9<$ zB1Lzue9Y@yl2iQ6r1iMC)lExv-H=)Vg}3XS4$75Wii&V)nrS}mX~)KHmwykK9T_&L z&)mNEG2h{Uoo`r`mmi70l3D1x<n~QdopAP^OTydNW<6MSs^SZ~%lv64@7+zAu<G@! zb2A_BIeC8lWTTnuLciVdu9R4vG|g1uU;B;u+qc>qJpcK<^2HtF3SO4$dYY9lBYBoD z=y_+EWp_`~ohjcqJ;^<z{*BKb`N&SWgx8XZH@a12L^=xm<5x*>di1U^aqIeX?y=dr z`8JmpH{D}r2s@hhu3ze+I{T)No>B~NPnM=GJC(k?{q2myZ~v-^`MP|OW9gFLy>sKP z`ANUL*I)R%JoBFEo)sov_FlMevOzB8?8P0ar;E}<`YyRGTU{Oc<3(*pnEPJwTJh#= z`PZMV_O6wg%>D7cPLz;_&^kBWsyNl9Pd&Q*CaOk!IdpSr{4@UrR*WqB>{f0SEzvBu zSjnr#xIS1j<<%sKX1{aA>rd?SU6$$3ATD##Axef<taq{htOwsLCROUSuH7v-<qoIl zeg0FeQt@6F*)1#&F5Jg;F*9&)P~x}ng^F`LU%#Jt>dIxuvM=USKI=JoG@XB!*}Xz6 z=j4wpXYMK9@3?ZOaH*<ajFS5%VaKcg)?=-({?Cs$Kh^j*Y|pq8SIl>z_56&*t-f0f zr6u)GPjg(qw0@r6o^@wlJ}P=|>UewEz75y;7-lW}_dqW7ooCpieOo-tZf<uz?DKzT zWL<;pb{TnZ&Rw?`3#mUA&QyM-%C<D`8iRk8kW^N)!|q*c4c{o#i%j;<tX>j0b9z?p z%;T<pTkRjJg?g`&y%llVWt+rmqX^T7ZcUR`1XgALncu+6)|TLF5qHen-SkyOqm+c* zl-BRtpTE|!xs#I3^pH(f?0AgW_6@V5<kZ)(7I(zvUAcNioN>nuPL`F{@drHjd^%{g zRa)PjaZ{#r`DL#?_ndA&X+E+x@W_#_r@^thk%qq<mWlWUPi%O8K`m@v@P!APkIbEA zTDP!a)!X7{6V4W!9^QQ8=(msFGM(FeR-8LH^K@bQVUs`qSY`wq_&xVk-}lwp3JjOu zWqcB1{id`%@SZ}5&)ZxpxqY&qp3FaR+U$VSmrK`uAFF%6dDx<?pS$v#xdPv--{C@> zd{=qT?LHnHd2WwZfn51SEx}hut#9wu;fiFGcp{rBVf0K^=9kw(MW=NQir>1ry0VIx zR0`70$v#=@++E?6Uiv9icA;Hn#iz2jf6Hgrg@q?iY<w5gdq#h%hDLwh6vsIs4B`$i z_OD#mS9R-X-UO9LSpxCKmH8G2y)tjzHLtZk_^AAR@0Ra>EsT0gr{$@-W_%JBkW65` z@~2Xp=glp%a~1y_bL;A@Z%6)>6F8b#vUN_um%ZGMk0(Zd%9y}aq3D*F8xgQMS(B^d zTxDVY@%EPs_CDme@-UfEKHkwJ{}<PajmihP(zX8W{I>2+Mul#X_?>MX`nL|9P^@;n z?(Px!I`eH}LewLL=S`b?_RhHD;^!Qi``~EpuHC<Rw09i;uz`EF)u%&mwsdk_`qsYW z1xLt>^hdW9E^gC1FM0j!>@LYydv85lA}bgx*j*;2B)l*4fT-xt^it->hvv!(9G_C( z{(V>5ukNa|)w1hTSe#Bp%vyXxc+M1V<*ikfKNoo~7ZqhMI>61je=obGsPUAdyX@^p zmIj@@8CC2jrk4;EzB%%<){+CF*FLP!ytuaHPQBmqmo;LYX8RN#?7vY|w8BGa(?9u% zifVyQX3FjEdW&S2Y-g`I=sKzQ+=Y*YIVs|<D`&`gZC-F-`32F*D`t6fshp^N^P+0; zYw=~ipJG)GHCHp1sV+V=>Fqv-&-1cXOLt7)JfB_R@AhIY0mj!Xhh}HgU$Dve`b1#b zg(WW&63#_t9xl-AHVM9EykzC$2@&^LHhZ6O?8v@)v(eH#asgk9_N)7fF)eo*S%tII zLqipN7aI3XuT07J-6Zj^CUvcxNW;zA^xL<~*iuu(7r%Spc0=@;Q)kGh>5{T7HLKJ= zZ_eX>w10!e|9MLn#!sKV_f>@t^YROF$EI@K&QrPm;7X0H>y*;x_m}wZUpi^aWC0zW z^IdikS8W1gTWec?CFDll5|jO>Fu5Y|Z|43&Un7z2KR)pve3`vq-^Te_XIFf_5;L<b z`Xcu+zM`KmF5m9Y@2h>Y_n_DzC+18S$rg!&-WnH{7-R^^+_`ngN|_;UeZViJ+BK5w z*`_~==QI0#yvaIu!BM3qrQY|)i+{aq&%UUm*ydHXq}*(q&wS@!>2l0|!g=Q}aa?CL z($p^bu$bYLZ{|lmsc$={23^(S{4n!S@&SYU)ggO?s$AcCC}jJ_>~;@%sbv4V@MvXC zigA@o%L6u!`fqlBwY7}&UC(cgnxkYPw&Z_hi1#4{2dQ<xn!~S0uJWnv?h}!?GG|`P zi`gQw6P7SX+m|a;M6F!8xO!Pp-V$T;TZ^XZUwAd~m2tQBW!dHGNy4jc1>a=XeEPHW z@V0$Za(>l2zL=j}anWcwpLKiOg2y{kuDFy>^7JYHsuAb@F}<+;r`pz-O?zwhN+@nT zcq8GQm&)&thu&V9E`4HI<*MVI^;?7tx-KR?zcX=Ob@Ep3XQ5})$~P1p`mD8U%m3)* zer$^;+&r@L$E-7sJKscoUYaekMREJV!*|cJXb0WlEAT$3sG%EGe(9TLxaL02R}p%C zQt^A$?;D*}EUBAT9y>wcmw<wl_mT1q4p*n0>=s+<{$uHkCp-NEzXtD*^}Ljyz$C1h z^e=zA(f2&ZUH)8L&s739)l02>y3^5C)2iXyztd(jJC>|h*l{U+-<Plj^%qUJt{rz@ zoV)*pM*pTyN7nQ+axb`Bx>`Q%qKL#NkAqGb`ioV+o=RX*n{n)@$8(44UW}C-{5v`} zU-OxHK>F?ZkJ^$YJNg%XUeYYO^u5_F5vkutR_)PfE#nLc-@o~>Y;Eni9W9%^FHcnR z=Tw-?**<sm>k9{Z1*cBm@p(zsTgTTbF}d8$XBLNst>j(2^yZPgxg{$rjQ=OjR_Nxo zI=E!Zoqz?UYNx`!$v=Hi8sAj)<hh8{9L2a7Ocw5zCq9)V=3AGS=*t-&UcOXEv~T%& zEurfi)Bm!~n>EXG&*Y>%yd1~V{n;5#%hfW*9Bwwdufx6Ku3g&)n^aGJ!DHKYr%9g< zv@4XCUH_i<>hqGn8+{*}J}>xIby`I6(7n?>rndqOZe$8Ex`(hHKHAP8+T^GAEYI2U z_YAFnI@i`+i+e5c#qY`EePUl#?=lxI@L`eqbt`vcG5ahR^CR~w?#R3@aF9?tBY1pQ z+a~^ZsZWa*^B?>Z#<#;Zj(vJf>x3opX0gjH&fYd?I-Fs+`nh2|^XCbC`aE%|+PVIw z;dy?mv%lOe?%sCpLR8#=*N0u~{?v5%T2JO$Tz|f9`K;G%KlX3s`MqcI{nZE02_BHR z{<6Z$`%vDWEinR9r!380X%tc0n(*iPp{mN4!BZ^$XbF9on{n4ZUbLuOPDAb2Jei{f z-F~<EN*O;-wVlT38@fd7&!<)ITP7v3Hq3sx-$Cm}Ps>bM&8=cRm9OlUho(PYl=S(X zHsi16pTD{uE>97%xO*-{EYW(V&nBjyYh%Sei8Zk6?wD<F+Y!LP`|~ev{6wE)k?t$h zFWQ}u(vbh8n{8|9F2Q<V$A8t)bBCnGHcfH1`F}pI@yDb;|9;djn#-M=;QmS8S1UuN zm0$AlZoeJ!wzfO&Go0I+XnXFCp_5a{hO>*lKS;2c7PaH`xqoGxC*$HcTGN}iZN2z~ z|AgO_)6*wM*#sqQSR9vYrBZZP{hr;``!1V`y;NjBwH{bfb!DRtXR~eFM2o2xb$<!| zxRc}_cTO~5#>I;w_g99T<;eN6P2z<OXM1f@TI8-TPvp59zVkaP3q|}iIKJLz&1M-{ z)5blE{4R-Z@mCklYhJ?J#qsfu-h-ImMk!4P{a376CAZYRx1)LTSDB+f&c6$t!r;B| zE}zR0j=lAsg&V_~o*WQ*6I)biT=A)5S^RFHOSYRE4|20E%bV}gc~JOSYUwj`#_9+6 zn)oC1=bmXP>95-RFsa+#zftG-p(Fp6`^xmLH`-9=@!zHPX5xGa!3m2WdL>_<ka-~f z?t<-Yf0SKWcSl{*?&SXPSlHB|PJ7>vmnZfv3!kjDI%nx(r@(E0MW-4pcM|H`yh-c# z$`xCdr|guLX0GgCcj*wv)QNYZH+OuKIsHsJ_{7bn48`&<Za*oTmp`-c;Q>LV-M@oc z{iO|0?Ps0wYtOXBVQ+*PcD{4)aDS(^-m*NWU-@xX?96BmrZvxnnrBsu{&mgc^<DJK zocE0W!`;*F*@|78+xAv>(lLu{!B|(0{}oFQZqU?!@P)fT<o4%|6LLo?w6pAY7pL4a zI(%mb_u@4|E#`9y?n(r`=P3>ev{|}{RsNgHiaBj}_KJAEaP%*@wIq#e(eLVjFZwM7 zosV)CsqdYd-0rlmvz5PK;T|T7-X~?c_bN4Z$_7tYEpk<f-o)145_0#-&1Gxb@26aS zbmfZTG3L2TFWp)c=3f&k-PU!VOG#^b!5*zsAC#wDem&{q2L{=BOa6UvOug~N<gVk? z*~;6FWiAf?8@#}`f8MVJ_VxT{E_D?w4NzP4V{7iEwO<wYY0h}YnzKJq{qR1o%DP$g zlXHCe9<sMu%Fj38JzD!~iEy$*I&)*-nH0Tm#!h_p?L19_lBELA1$x)dX+7M@BcmHy z_j+HXosrn?&(_@m><SFaocS**7Tum7bbHf|NQPEf-g_Ixw=Q%!-nzl{-in#m%GkZO z+V?d}#pTWCnY3~uOG@y9yRAN_dFl_jtm@%gSULIBgYM1A7a!V9eSY6b{1)Fvw!hCW zF<kkex+=)X>ixkNUo~d`-Ma8aa7lgdE;ets@@rFWSIDf&U$Hr4h0A2YZw!;#wyxj( z`!Mg>@As5H&Nw$AVC!S9_E&j9T)w;HPWeBnvljW`;t;aO&+}j2`|j7qJvDz1Z?-X; z_v?iBo+i=U68<|P9Tt^ec#;bD+`V7ym0Qz#f6wk)`D@tk)^#v{xTyB^_-_&a?zYbN zG3|HvC@toW->3I=-EME4CwJfJPrmfNo%2+kMY`Qvo?iQ|q=b(9e=jFyDK={t&0k^t z?tzlh;WUL+Mh!=q=czxM{WveSt@vQf&G1+6j@-OIL$9fQzsQf)d;dE0zgztcxwxeM zdnWhW-z%;b%nOyXG1l8|x$w4fd>G@|Cv5&lE(Qv@7~E^i^=LObKIOd7?v3014_@4N zy5->6%q^$l%IpjO$!~v`wffzw-ru$6mVW|8P0hDOoA>;A>9n{(eDVpFsR2z&TnyL# z+RQw+WOL!aE{5Og0SQ8Z%dfZec_i5?aT{DXX6|i~{y^2{fbdIS4PUi?@f_FE+9uze zvi8?LskQ~ZJD-NmH4fh}U-eGxncZiu9OmRqxFmLe!ncO7<Zn-1H|z^!`LolSfme|~ zFY&M7{Q_x=$&$`rj%UAoc+4P&=cupw#U}-SCds@#{a!*p(e>W#TUsGeyoHymukf#* zJ8Mt;vIS?>O;@_YefoSwzv9-f&6NS+le?NN9F@~A{9j+^e(Xb0Lu$oTo>*^*OKS|d zGiG+nYqtki_^ol=lX2DbS@%ZCd($Q-w<+KFwEKO}jr}u4;{S<l-@CcM;b7~jzDu7^ z7OvRJncXI_Mk$O<!{=Xo`N{RXE6%O{sj^mLy^_{6zOp;^AImn+&HnSgN2>6@Ofb)_ zZVRby=B-;EX7G1r)~{gLCMW5^_IB=W>*QbRQ?})ZvCrGR<Phr|>8g3+Cmon(UJVox z|MY*s$7w&#w9VhK+`+*jcV>szrcGRKq0ZY+Z(O{gWJ31Bi-P;VFDyFem7d$3UY2ao z)(|AjmDPFriO0UxkEZRIa)0g}dA%od7F8wYZ2Ui!y=m%IsbCJz(s{WBH~$HSYI&^n zw^^&cjK6Q6U4E+jG2_=|d_ns*Hib<LsfwR=<L3WE?=S6Jf6?_&XY%3hiT~sI)8w~{ zcq<+Gk>q;)Ja@}GD^0cSk^%Z^6O7gVl%BuI!60Q5TeRev`p-0#GLZ?_xj3XJ?a`aM z>W-2o?`p0E?dSR=7>-F#)4H<ZAa`fIX>ekZbh@d!*p>M?T7D;2Bz*j`a_{Yb3l)un zd{kpMNv3gq$>Kh!F!kJz-h@&&zk?eub`|i7Ea^^s7PdX!<ss{oEJfB8)l8)}ffHC3 za4+a_Y)hEhAk)tBZ%T*Zp5~~jK_LZQhK9NK_hkO5_X+$M<|VE3yrJM3TdsR^ho|`* z_a!l&yxX;^E8X*+zq{<Z`D9Z2r7a(pnfx>3nzBgYqUhH>Eh?4Ig*G1DE-LzE)ya8w zeM;)f47>T)7QNig+QoO#P@(Prt4^&w=UZ|sLnW4c4!YKJzx07*=E5ZBXI5?YKPIh? zOv<>n;^+#Q+x!ZRo0bc#IvD=*VN+4i-!J<PYn~Dg=F@&&@LBn01Y7zYW1C6)f=qn+ z7hg;Jx_^VBroZedk;@V_Wr_XHpF%gSU-vovOJiopUW1I_8-d(Wv!Y@XSKX>i_<pxw z^LA#2VxBu6>>Q65B)^qRz8Rj~BG7Ut`q(z68P46(Ci`~IdDph<bDF$CYV6m4S6+pM zou7AAWy>q26;oKN1UE3Okk~orr)>VS1^@b9<$T|KU?rQH!?8EXQx^oY_HX%Br&h$w zQ?&n>?ytVQ$GMK-ucxp1_x}N3-uClxXSrP`A9nMJKUd<woLI#BXy>aX4;Fr{xX!ZP zF`z#-l`~`g`My)?Nf&mPJ)G&gCnlY1>*1|cyV4vNvvbeb@xHG98Yge>Qn&tA27Rfs zUKew$RGz&M6779j>B$)LL*~Cl>SFm><}-LLCw#u#%4;frXzto27E>ZWNN(AF;nT58 zvdIA_*+WuK3NF&p?2j~1Iatf_?P<-qZ9dg2Y!3gtuw(NY@BXc}OJ9^9SRVN8J<qw{ z-Fg?cm1tFe5({t%6jqyUbZE(f#9*aYckDl$d80C6dG6IV3t^VOtzSyTZZ5f{zm;p| zZTX9VRvHcQMYUxYb<AXZ%Qe2f?D095xW|6e>dQyZRv7O|<f+pQI3D(AdUfLQLn~vG zTz=Fpi1Rtzz~0Aw{n*=Y&Jl;AH~g=354d{CyPW0y{1VBsYX>BZTF!jA9k+kiVJrSb zW)F`F4V9m%tvv6hX<z;Rj76*7*|ktnHYF(KL0tSlS%b!vekX6}&P(Gh2ov3$I5jT* zrNJHM1IAwMm3{$c3Ie~hJ_<kDDSUFze*>Yv;U_=MG!<bAe0J}32D9YbJ1@RTsXE=* zvS6>j<`Tsc#$S%h-HdI2x>wJ0U4P|%Y2z;Lc;kZ`mCK#n1a5y?!awg6|LoH|k+V9* zr^?@b5Eu9QXSxn+&Zo+jlegb-U5&r3DBWElxTPWXSb1aZt=o1x5~GuY_5L_X&Mq!j z4V%C<<=h|X-AUiVuP$Gxb1`Z;|Lo&QB@YihVqUfW)hZjV`C<~2-$`qie5=z_5XfB4 z!zRJ|sq0saA7iF^Y30&*zl?J>a~>c2)#<m-<&n2$*24o%{J}rt=jl4t&YNXYDRO=O zG2^v@dPXb(_xKyV_rH3>6<=HRx8?HGulf@652gHfYV|sM`>Ys))Z?Cpi_6u2@iw-x z&h)s*`|G0Ai?cDzc_IlfSL<cnKEypqUUR2K-KvO$Y~w=JEw82}7y7MJRcyU;c4J)m z75A*n?_FVuGi5%Vm;Pn<^>n#qO_1{bf)hT0*(rBB7G-hSpE(<()%=3z`Hl_D2alUp zO=U1mZG5-)oQtMu#>eUX0me+Xz5Ei(6|Sho%#HW%JYvVFw(ji5rK!6(v^r;8i4l@g z+F%*ayZCt2MG5g`x9>Uh``nXz{q?QLvEIE0nGd8KTK(~?gms8{_Wi1}OSzVIUgGp* z{o>k^z~+8(jYvs#o7E1F`GUI|pWcd^=N{q{RN%hI!$v#sfBB+3)%h&qH8+0o_9|XI z@wF~_$&*g;gDo*-GngYbvflsJHKD2PiHG~at+&I!Z0t!&(W|<<i`kmhX(pS?|3@Vz z317K>^jqgV;%<MdS$Y5M`rH!7PX61s*YDwf;H<s<*!1~4^XEHgw(B-OOPo0Eb@uTG zo7QboGF~Qqd)K4xTeHo?+$tn2e;iwyyjrdBb^hYh=dQ%sSo*)%_`m;d%oo3NI+Gn- zyiW1uU5j0KIyEL{*Vnd=+s9e;KRY(%Dj(<h5IrSu$K@-RFFVcHqMokG!RtMD%8eZF z2ZFs$LMbb9_oU`~{&@Fz3cDYV{aamugO61dHFIt(wSPGy<3DrEIg^gyLqYS+&fbc5 zzCFd}xAAuA3;P|7c5HLBO*yq=hPv~f2%Wr}tY&uWeiX~?tle;3e~Iidw<leG;yK?r zqMq>1zH&Qq>TLfAN42%e4A))-bmgs|I9s74cmAB1A9ouJCVJobZt|k|;rY#zt0%Zh zM*Xgin8sN;Z{hox%M7>wukU`97<*;zyUqZq1+h=q@BUG!->@{-wsLQp{_1eEwJsHp zTJM#3ZFTauta@@yRGM+mB%9<3sxy_vT@$CNUb6ME%v1aMXYs|*51fx@8CpD>==wAJ z?8cpk!e&Q!J<v>CnZ!|YvwrKHS2w5ZIr*sQ#7B;}1#ep#jan87-{bNroOLdgMJaiA zLFJ*1A)6k~%<Mm@@me5heHmBd_tJjGfINN<&5Bm{*==ptSU6Jee|?y^^mmb~)h#E> zEr+yh_0D!*KCv^o@c+|K(VymudvAJYne1bACc%f#kv(hPtsSpIXG)gLxxn$-L#M!E zI`g^f%tec?&-+*4Q`tRrWl`D&SM}pP$8Kn~<ye1yp&_NdH2!DQ;il<}ET3(g7o%wX z@Tc3`>4FY94MJ6|Qx>22ete78N;gNp8MgC&m#A>QvfA0oqPgPj3rCx#KPJ(gNnyJt zd$u>sx?&fwaLeX{4d4GK9hw>BWIKU9v*zz7GehxB73Y2ynzHcND;X>aTs5m9AX)Xe z+w^05R@OY(zb9WQwIeO~`9{s%`VHRq-q`Ep_^RvAsWr;?`sJ@9?klik*)f*8N7Zah z_cd+TU0lWVZ_VX~D}VT#IgW0a^u<)M?eK5YJ&o@D%Uurgf0(#X<Mb3w{*AoaOAE}R zqKbA_M|nAZn(=eFXjt<uF{{Ndi(PEu1*Elf>>qA&DF_mEp1Y9G_lZnZ@6YONewH|$ zOv3|<VqS}0Sn^WseMg0G>_?euL9@_37W<CoMu!=nP>=R`^t~|Q#_HSM@Aaa3t)*?# zUcKGBLHx1Ip=~8z;d?#rf7vAb!bk1sZzJt<*Obm~HJ{pl_?WgwpRqj0j~m${-y0Jq ze7_bHzDAHgL5d}oRr8v6UuFNUgn)3%qZ9V6Ie+bGk(0u+gEBjvUc|LM?f$XwfY4Q8 zrT1DT7tSoTJmv64LektqJ&5O{#FgfptNt8Ewwhi#mSiHjXOX{M^s653W+%(swTx@6 zO#KCGg&3N9-Mgwk&JBt^empL@{Da!MKXVptIh9f@S|t;v?|Izx?adW4Q$>t5lP<Eq z{Q5^i@6;L{*82U6u63%G8Epu@bE$=W`ab!|`riu6pLJ!i@cmf#is{(z-Pcz=@NfPY ze97~`%JT^`zVsEOotS&<{M24cZ&TqczmIwTB^9$R-sA)&%Y46ZeTNP6j7$3MH>&P2 zZDGrB^o!hn_7D5UtmQ8rOK`kUSoOSX`#~L5nabZ>LAM_0vc3F!tnSIw%hk*7Z@1j< z{k8u7k7Y-~3tCTXxVZIH*D>J>t+MS`)-vsITK=Zt>CXbI>0dtX_d4$X!QFV<H1#NV zTW{UDO9Qy4$$YN-*<W<9%I=OoUxMFOu_M)n)0Cy!BECtz`BJsv>8I5vHShh^+59JH z%5;~WlZP6)=05nb?ttZ))A#xAHJpt}oVf31&k=*miyluEoO?tkc*!PLfny<Y{S(<w z?^~r{Qnq$QefgnnmrUBXNcgD7#NTrEjo+s~vqZW2=$~J|4=K&NIO*{;(`TWAm;W6U zj@NxHa!2}|d}*2H!v;m(Igf&R5_Tz6xz%}3wn)eqTJT-xB!^31R57RRtNS(&3|$sR z>HPZr{+Q^FGr9JLcSJs|iSk$B`d59_V3&%yYyP@pae3_83W;Ky)}+3i^kKsi->0|N z{J7Ti>)yKQQnR9*q^@RYq$)ft><YPJ+x6X`v&HhE_wyScxEgs_?sU%IUh!^4r9ynp z@4qXb^gTSF-uP(izc0Q?YYiR<>U_R$x=T~HFmrmZ6~_kE2S?1dv3@k^uey0l)M?2< zGae@2J4-g*ukYy({?Rm7E9Ik!>vmncS!XBPYm_=(ZuJiBp8w)Rn!)Pxok7OULQT>q zzelFux~?TwJO7G}W=Y}kteug2I~RXb`MD~1(WxEJJ5|3lG$kGQ^IOJFLdfaP*2|3d zdA}RUt!i?d(R@=OmnWc`L3PivuKUU}lYS;!yQFVeab;cZwl(VxgfH3ofZOPx?e^IQ zF@c+3hpd^Ul=aRdaH`agXgTd0Z~iZq>e)Ugz&KZDE6;P0;EYpkb(!zhFZ}oHPXC?I zqcUY%9qhwWt{MD2d~APG{F?wvso;ZNzbb6Ei{G1m@7hxjagGAkuYa$f%6g>cbp3jX zYASbG*6ZS#igVBAyeT}ABx1M!uyFFnr%!^<|G%>#y!g}si@2!|<{F#w@@F!hd0~D^ z_$*V{tmUqzabFv4|JE)pTflH<>z?<zEe_KKvn3O9COw>88vR-^{-~V#8vR*UV(S%5 zd^+b81ujzlzv}$ic(3Lkkva@h+uj`AxvTN9$>xjYX8apvr-UA042$=OD0_d&Fa6b< z&Sfdg$9Db92n&?CRidPM_VjlCU((saE`l4aA8oCjJ}HA!U;UH5<u$>*KdgUDD4ZRW zc>ZM6|B|^sH5Hf-IXPdlxjaedv41X;iSqRA9?>hMSbY5wHg9cvqQkoE@qO{Tttv@( zpL?{%m)kOUK8-n&cBb~hd6PM+*A;j-y0bUhSEPJBb$Lf?;J5q(|NFOvI-S4#bLX;k zZm+8{^<N!-x>4e^8TVXSQR`U;jZV86X6)U3?ZyW6n!tB+6oVYRFQ)nJ@U#o;T+w~x zL6z`7u8H?28~@y~X!A<%fN768<CId_AFgXw7Chl7+dFG^v*5%pJ7>G-#57v0zU+JT z?#wj>x%=mGy%Jjde;4D1ZwGvSuD)rWWHT#{bz<$x^j!*l$Jb}=Rle|&>*0S{Lm_eF zOMCBrtyW2PJhb)E@eeBVcRpnL@z(nL@%*iKBAed77garL`e4dE!xuF|wLhg2)^k`0 z?BL;MVLdqAA~o*Z`a_LEB3|2;^iR9*>p8{1O!L&Xz#|Jal!8j?r!u7qnP0S&Q9i=- zfoW09`JJ!V&o)>$^{2$ARgaoWXXdvro^+1M@7)u&rZSHepPv2|H{ZH0ZQHgL?>8>Y z`}%U(xoplkYgaA#)N*x7jIN`~jEFxh&aV=+^q*Xx^U%X|>4ir#4HMiRcZ$z1=AZ7H zeKh;gJIP3Y@8Hj$cnTEmy|`v*H@#TRr(l{-k)<ZHVtwSAAkEdwQ)W6XT724gq5WBd zU7f`YjqkZqFByLPTW=OGD8)J7zFW0WEUjO6<MJJA`qyXvZn+m)c<G<ZF4^#)3kzqb z)iN*^cAm~J3Yq-%r^nXIg<?x?v~DZ-X1Dl~&)N85`9)?Wvdf|t`Ddl)KJ`-M-E7-8 zCHKWOF4=1wF&*`fBj4|qJ0Fu<E1mz{hxJimZ>LgneCs{^`>fH{%F3s0grpC4|2uuI zm5qUa;n(;uAHC)CqW?c$HH%eeO<-Y6pwcaK_KOGBdvFM5Jr#V{`O-1FP^)&u)_<z} zE4#{Ho!X#X@owLZ<iAcg_qhG+QB!XEzA^8!#6-~(jkj+1Fi#VH|LX|Hi61F}Atxj6 z&O9)4vDCEZ8I}iL=KZ^R?$e|__M6pKm?rL2%gg?5{NL&Rf7ZFvzpe<heJxq(IjP#j z@k6|Si)dWKW2VCUZ<i(WUE&k|@%f=YciEp0LF}p3Vm%#l*Zv8sT~s%j!lw1U@5SsL z5;l777f$(|ou9#5+H_zQkFBW}|2n3_;XhLPLwB;s{MjA1PvI0}NZ<E5yRhe;y)S=G ziux9{HZkejo*7Je0>4V47H!%xlUrrE$Ipd_ECa2K_U*WsI&*8(zk~Vf*|ii7TS=bx zop9v!B%3Fi4{qGK^m{?h3D(^Vm*1OSeEuLwWZJ6axz|3|Ua{M$s&nJr?+S~lo4?BV z-u%of(|@=j^#4p}Pm}o5uhvF-e~C~^RedWg_F1s{r}fLXoqrS-TzK($R$y4`0|_tB z*FuX6b_Wy`^@>gjoT&Ol=K6!1X_{^S-@jQuzr|?UD*kT$9@jG)uVh6us`5A7?l}G0 zS@qtgdwLP&2aUdkYnBF-uI*7N-KHJUaA50;9kz;}HFwTea#~R+TQlXDVf(C|(_adl zUZ$FMcGW+J)C12;9t-+tJXLO-J2P6~=#AMMt1{p1zxa3c**eD;&ug-0M!7bGJ4{-A zqMTFk;fuQq8dlxfV5|S)%9+W{R}!mN3iKRyif=vAu-w%Dc3g(<<FbbIFRNcG=UBwc z{Se!IFYz+tj(<Lz`?p;B$7^%UF?WfN&Z^nAnW6jl=EbBGCZ&YRo=laHD_4`_kSTrT zC=e5(VeGy+(Nke1V>agng((|yn*%=u-jQfq`?Bgpb79zr)msjI+`okR&eL7n(;XGM zC$?r=on%?P$V)p`SbvvHFxS+5Ybyn`RTC%b{l4@;>fENy@%!Q)bi2Ki;ch9PzP#g8 zm~P4+<~e+3p?lO_va}DjOl)c_)u?IdJv{Z)$x#0rH_uo;Sg5sp{j?8Bxo;V55B~b3 zWNvZiyT*UV&VUz^tYVQ(R&P|KKHd=5KEG!%kCkEfiS`#|zkl!jc=5J-#CpYdB21P2 zA7)fuda${u(MVh`F{U*#V2_N(fmJtlPyPA2ETeozsOXlPTfJx4^xW&Y5p;{)HCgep ze@%OJ!PLj8CwDX}T@0vZSew6V^_R`t8aGbAC@Qq=>l?PG$#p)@xTJ0@-T3YRo9CyN z*ZS|Z>YDahKJ%B&+b+~#{3d1nQ=yZt3%Vz8#_jmN<frPE+wr|q8HD@ueih}h{hqYv z#$D@G2NXV5@mOtDekJhp>H*88uiY*u9@o}jb#Q#3Z>y~-f3IIbS>SG~^iKu<AG5tq z{>+xGvQO9irMFU4ap6nVPbRb2<lnOB|6lSUh5!5kW96Cq`<)DacHKT?Qpf1xbh1Nf z=V$)JJY(~RVF^(ZtM!sR<n(SvEK`>#)HS?0)z$m?uQjU<YKYuX;nq3SR^U|Em^OX> zC+Girg3I&`%^$wLx7GKMb4y=!*PDoC=b|EFS^h3lj1$#VmRU1{pT%7^G_>M3%gQtB zo4osuzN=po{(*D55%bN<mP`L<U-J8Pa@m|;f4Wb2HJ-R&5-EPZ;JV$cq&BO_cfXa~ zjBM`ve7|>ldFEZtZ0p*nAP3hohtKS<)#PJ0HxN`0)M&5V8?mSU)5(wGKl}fA{hph; z_p{ri2F|aHC&k~cIPoj^@#Z7TtoEM^W3E_fDDGs(m2SYQ5fOdtn!(JO0$T$o<h^<B zd5O&@_(EM_@HD0ancX?2SuZVi%C~&X+ciz&@=TTu-$K@;)HarXmouH}a4t;bzLs<5 zo`yvee<gSPT-SUv?aRfNFOy@BmcH+wZ2oos3Fl|(%FDLw6Yt{qacu5+TWfC4qi37H z`!4TcR@_&xd!gRSPq)~%=W~j^F^rq@J)<MvxJ={I4KDj`-tE&~9a$+T*_l$;bwsFF z+khclYv$);i_8sjww@?ZNPD*L_KFft$HVes$Cf7Ft~2RbxMHr*#`tfx+dOi=#<_n? zw)LCeci<yeLWxZE8ujI$H&$6aT(<S?*K%*mme&ox_tyAtTJ`t;i+@Y@Xe^j^!STD! z!nFa>pQq`sxXE6a+uAmRD~9Rzuch~O^=2CO*l8}!R8X6pc~@3_e~-iFC6oGmo3|d5 z*>L*Qi$k^E|61A4GO&Mddh9me>0fe)(9>3RftUNf=U)+F>@99%cUV;svPxXW!gsZJ zL77eF8-@u2YO4-keWv;)Gt5S;<9~6L7vtW$r%m5{;ooy3@kWfjVeV@a6<ZVjm&?{Q z+B<T6Gj{U3J3UZi(IOWy@g3pS$+>GXcib)ytjzlK%%#jB{Jmn(dG}9;-U`hBT^D6j znrL}VEB6A2;(v+I=_!)-vaQ(__vPA4clpZd?P|I`$(jA&$s_kO)y}r9Dr*(5m$>@) zd!&x1`I>|K66Q_Wymd+GLq~!8myd|?*_qtxe13Y(vU6Q`<lAf?Po44qkN$Db`nJHL z<mv;<dU-BIJ(D&PI$kC*;giO8^-Z}-8FBYr9W&1Ch&rg>m8qcocdOC;_>3Fh%vIKJ z+5Kad&yS??r%jDddw$Bk4?WfzZmP-QS{XgfGrDGvTtUygk}JC`f3$CX8XU(wzdp0* zduGFziQ*HF3Z*<-=5hMLS@!=^v^v(jUL3l-nAiK3$P8!ii>&>szh;V=B-k=OyFXdD zY|VoMDlCR-cea^*FtIw7lzQh_tmf`Ne=V3}*Jd8Dn)dFxZ<Xk@RN3B{Hv{Gg|Gjp3 z$%@>=hFcbCn$|rkzrHIg;eU2O__G|d(7SFwm$9?XedS!YWa1Z1zUR#^_NW)GdYaVk zF!RRNJuGpLUSIs%;CA;yZ=gk1^8prdqvlI#pQa!2v&@+M+}7sjL;dAGOZ=9WNCeky z54;rbBpWNSO)Iq{-|NStpU1rgW~G1hG!2YmFpb)A$Kj)<<AV$v7K6-Z3-3Jrf7kZf zry~_&CeOI`t`*~Y^6pvoxt03c#r_m<>hRtE(DH$a&;5n;ho~<%!;?2kIQXxaryRal zXUY%F2=N(;UDKzWJ(na<xqZdWa)Dr(EhnoER>nN|^jo4%zy4{2h;Ezei|mHD?HkR* z|J*76@$~2Jrw_8#__OLdOQSL`eGr_JyP@|s)4JJ0pN|KzoMv&*{JNEG(;IVX%bG1` zm)}vX<ULZ&8T0MU92d{KwRy3Rk~NR!3%pvF{aW_anYaI*OFh(>@b+<$Vzl+ec`^$o zEx0ntO?>vXe#x^rs&x)R8x-x9<UWhxPW(|KcyQg`8~dEqEe=k5`KxBPLRyu^OmQ<& znWm4C`YZW2{Z@%%yO!`Gg+Xy&!@X&bqBgHtSo89SMk7ZubMV;-6Pp-&+p<2s54_BK zE#y_6F6U0xsP%%YtK=-SB5yz1`$i*dx|E9e_2kSZv!^PC|JRpIsZ;vS^F{ZqZ!>St z@{%uQM{j1R6xye3yL;lXtGS=<Ay1ufw*Oms+}76%`1=O=ui%aEl>W5mg63h@RayTl z8G2e&-al5-@vqH&G5_(!Q))p+A1bC8XCCtUdFtRJPo`S$D)H2v!un+`@sn;j31n0) z7U7HPd7z`x=EAHixm_Wb^XegyRgIT<azD0Co0EKK>Y1D$Kf`wT=dIju=4|rhF8dwN zg_W(P7q?6P3U3wH<J+ckGQ9Qf*~cv+PwF!KRHpjXvvc;GN#$8|ZXQ$kp;y0@_SBRs z^|vtm*(^M5!}KG3<$uqvSS(W5wq@To=kkf^Y}-~&XG<^G|9wgWZz?l;Qp_b*w;8KX z*$ey2NtU~5SHIPDpUATA|L2^2PTGrZ%#@RCa6HOrRs4BXp~AZ8mZ$RzIbP)OO&2vc zDN23!)i7safVKC-M49rJJCe=cmfu)<#qmn=bnVM)vN)yZ^j^^2UmVrdo65kt^^T>O zhstNx>OAScmVBZA_A*PKKehDY@2~IQ-uT$J`g@Yd_1O~BR~?h;7gnoV&}+KAJn`Xw zBOwJH?f>QL{o`s@$!o}DH$RGda<Q-AjD*SLeOjfbPIBEe)KHq}^yr|8(=_iD{NGvR z8hHeLTpPF8d#%@E>G_%y{QRtOpX}#|x$<JcwMzwqj14Eeb(DD?vs-FIVphN>MJX=_ zU8_Wct7ev|Osm!IaAz-Zdar62^gQWwhp}*h!=HcIXHS05cMsOnEUa7f=}e5{`X_QP z|E?F@rJa5x(x+(UwG5L*CzfuTSzl&d(f5+kM)&yvlLtOu3mSQ98?85RNa=HYZMvBM ze3QxZtf%j?^1gX&dQskHykPsL1^wq=oMMoC&f9n8uhI5fMYm7?c%q(pXw%Xes}B07 zyq*_YRP=G(#WQQ1i|u(AeLL{d!>s*>x8jkc*&KqGCT?B88@>0}(S}ONh_ip6vaXZu zV*I{BYt0d+rf=<j%5wcJyZJ&gm#>yiObTF{7;F}`QQo#HEa=p%9Nj9;#P~~-0y6g{ z_C7j&^4F*I<|mycPmg^JvJ5N8I&jbOt*Pz%)hZPk-*=VA?CjV!Rds*n6@_z$E(Lu* z@l30TD@uAM)5ZrMwF287Uo|n`ew$(SSECo_#n#H$HE%M||Gh<T^6mX+SH@Mp*z1}0 ze%16vyAN~cIi~)pXSA;tOl5V9In>l!;4s}nQ|Eo()H^@DrTdmJAD`e~+||FfR-pLx z;<k;mDi!aX4&te@u=y3^ZCnvFTg>#<?}`2=<|qCtYVgZ=era-3oPPd|+cOyYT23CD z{kGAbTaaVI`>#(mb2e|^6Ljst*}lV(1<(5qZ?`&krt;8?_uEV6hUMy6RDM2pIWNO4 z(__Mm_sZvbA3Rxja<1U3@77CH?WH$I+AKP8IBsT(sOQ{&6&=iKt=7rynFZ-~A(A&z zIf@ova>$!d_r4*4BW!KLk1MU_1=htU#k*v-_Lu5f-1W?8{dnhZtc<$g>3x5<d&p<q zyC|QX7$;HsEk;Ffp-q@L?^K`e<-%;m_m`*lE)I}-`|@b;F~>KP8-5ht=-=DKEBQG& z_jSr7Ev8(ns56T`-|;a1d3&Z@XZh~*&4)D;f1OQu=lMta*VV^5k1bR;FtbdKEC_LW zcwy(Wuz6>jtbU&_Uei7;>`&O74>q&RrarFxSfrzH>A%SOlcwb>{&cUdIUze`8~^u} zkzHvq{~GT$C&dZP*AdK>UG{bAfzqq}&W46Mz7YwtZYZ-(y~e2O_v}^k7MK2(ry2c$ z*OvcwT>No)v08lfV>X%7i_0{3D*Pzv{NDC}Pk?)BY00J8Ow%nI{%kBed%{!1-k&Rt zA?HE%#>5%AxhuIUev01_WBBeS^R?7==lmIRFQcBtN_&5}D*eRN!_2$&*pkmC)3UFe zd0}>B+oy1WJ2o5te^)qr{dwf!2X3;f#H@Hdf<DJ49DG|;{G#51u{`cr*<^o{w)N}$ z!(Y5J<Yj(1|KI_8mM1DR4IVNpPq}sFr|yUJ0?Bj7+vY$2^UUR*&g#FrOgfUM`@U99 z)tK(S)8UB3pVlucG?twc&$V>>{m1M6$!2>=xd}JSq)zHT-F)weKs#^p`6rS;k{N~D zF9vLEeYKbK)XMEE|Gb*e!)jLfB5(J~gxJT5%T}*<@K$;i{rj!$;<DYYp)I%6CQV2P zGZj9N9kRnf^7+qDZ)2lP_M0bF+wN|eII;Xq!PixteG9&ME?a*jXP4GnJr}j_XWTn0 z_Xb?i*l5djkUM*Y#5cX8dRDfU!X8$68qZj=4o+FR<ov>I>qWy^6Ib!SmslL%oxZ~S z;WLAV3y0h)q`kTy|I)mB?rs}LOmFp~T~Ch$?`T^z{n|^#%oSE)CpKj<Ub|qmo4smj zVV|;0LHgEE>jz)1mgEYwUE3ki*OFwT@iMEPKl0<5Mb<92E6+2Bw)P}%6%-QRb!t%| zm)%rdIom|3Q!n3fF0SORo-pOyLH1{w6Ab@Y&ldbMk=gRj$Kq=ytGBzU=>#pmv?NSh z*|T4g|CRW~N42dV&pYJ_m^@H<r}eedIO57)E~!iVekZx;<?+7#B;ueN(Vn5yYP|N~ zdqaz;Rl2sm=X92C`TMr(?*SRHdB;{wQ{TOL$JV`*JOfL^->RK0Jy?I{^xeWH!P(h+ zPJExV(#rGVX@fSeC5GF7m+tD(P;xYXbeK=Y`M?SVR;kXO&vNP;(yOfeIC{-lUr3k9 zJiEQ~vO&mv{g8)+x$4&qU;KEj>GUGkDtG<sqq-Y@PUMZzK6+vP{7<6)I9S!Th1_>L zvi4e~!eoCBV+Dm|;j;PmOh-kZ{g=+OU;a${$tt}Jlhp}kJFYMI@zdE}-oM-XpQSSQ z0h!+)JU<Dq%laXzQRF)9nexBALdhpL@-pjkPUt`Io?C9#=3@1j&OhHbt4xln+VC!m ze@*P2Yp3Ja)!zM_BlMJaUvxs3T;XZosg*YrtqdKGGOw1E{1&ex!BM6d@aR#hFDnO6 za^QmJnoV4jS2@3&Ds}l__nLBntuuan?2`Ri_kZ1_H4;<B*aOXvOMi6G{W9GnD<>#g zH~zSiO-02N9r=03YJVi{H2*g1l{l9t-|c^i$6~l&|43<jJ7ZmNd-TQZirxDz)cXjR zWt}rWw1Bgh!*|!8zxG=$8r|JJ^_kY*i3{s2)uY#*?3_H+(`L&2Z#mLktRJ_Z4vxJQ z;C<hWtL5<V^wM4FGqlb=`qA=_)$omJ(x#{X`<E_Xu;F#`@5XntcRc3wYjgct!*6*y z?w2`-$HAAo6)r6M$Mfp{?D-~s^J{gJHfARN^PBW>-89E5+XbE6HYIAAwu`zK-%E&T z5$2P5@#yBXv!OCO8>{AC`^H*#;;CE3(i0_rttHOA507Us-g42_qW8M>RM)Eql)lvG zM_&s_$v7waYlUeZf9OSp#B=K+S2Nt-nSQVR`iW(Zr9#WM#n0S%!Z|SW&W&bX^;OsT zCrx7A!X`T9GzaI!vu}=1OcbxV!P+zPX}5(_>U<`}W6y7_C_cj0vts4WTrYm{g3mpc zfgdJ4Ie4Id+8SrK1lB2E{u@l(*k@XJ;OLW|eS22$-HMXSV~dsc-u`3t>B@HocA@<_ zkCy1}c~RA-?{<LQ&QJEx&D?Jb*LT01)OUZ9Y1WT@^VKYM-fezRDCF>>rgFk@sat0k z@4Z<2v0%G*%7#O?WhSgJnUW`FXn7z&`fq<>bQH7Tu}vKAlalrt$f#64T)`F<<f1is zy9(={&;OZE#-{9T%H;JCmpXLbocHFr+`it@Q?Hmi?Ej@(U$14`xBl0h2eUq@ZS(Ti z>2Y6h)XMJHSr6sqZXyd@S~}<SJpUoN=+(MCbvtef$?oZAuBzvE60g^rVU|6!-9Yq$ z8M}O;`hzOXuy;+ZdMyT>d}eKybuJy9QjFdk#Z9zovri^oUF|W+l*Pv`L&t>Cj{m~- zlR>^+-q|mU9&=UPRLz*SeV4%g;Euw{=Yl@`bjf{tLW8gId-eI!$B`PpIBO-=`L1FO z4caOE;#0x2mQpE>E}o}n-uSLFR$i5~dUejC{>+4R{1bGR2`ZIrU0F2Oww|YcPOba_ zji^g3q9WZBrXEf!;d4@OEK}0ax*`#NilOSy;w@`FN9@!wdC%xjb4Ap%_2;~-O{er( zl22??NNAhoQs@7XLs>Aq*+=Kzv|OfdPCu+x`>5{b-h8dvKjOQIqq7fRtNOx|T(8a? znk<(pVQSDkvx%v_;=|Hnfj^4BYo)>}7fyJ+`bVK}v|r=@1|{o#5f4oD`3sL-OJ1C( z(6oGQ%5+A5-}7637p?aCcH!7{C4Y;^R&^$;L*2c-aX#g5XJ5SgYk{HKr7{n3>7ON1 zi$1%*F4(auQ$AQplWpfkPFZg*>E(``@kZ6x4u9M9%gikPqC&5VqVU;_$8R6&DY=|T zy<^yt9=GOd%u&-5d18BxZ^(MH<JpIKnU#4F%biX3KH&(ip5#z-=jXOcg+g@|_wTH? zg61FSn^VciG5Pw&4W1#B=V`q*Iv>3wV)qu4uctn2N<O~T!7kxda=b{-QQbXG2a1kZ zzUO9rdy~J~X=CS-`xe!Ain0#Om*Z;v+L!)I|ERdH&W4!8RpQ6?6{qI@cgSMNWal+q zHh(|E(i)#Phb$L4{`UEOVXl}QV_TPVcJci^>`SUY?`Ae>7WgsK#%$^L93A!9Y_^^! zJk#{T#MyEtt~(mOKzZu(fDJdJ{&FW8WfxxVl)5ITStn-qbbI^UJKoaD;zjYU-OIW^ z3&u{Jw|?7nlkCjpw>Tbo$!vWSa_a8G<;FpFs%mGhlx*o=X|9&B#)aXsLiU_TM-5Ml z3oZ^~dcNk*-})~e<(4I$6P8swd95<b^t75|VItZtTF^MHNMI?W<aM1J_X@if`(3#; z_u}iv(^InQOXVy~cN@P<lHY#j_@utDrJh{-eAU_tuYG<gvGULL&wDRSG`r3bW|h{* zkr~iF<Mx3tTRYvxgp==ub=M?lH2AsHy}YGT7SZ(5-Fevy?qf=?Cn`sCUwiHoJ#nk^ z*&8R`3ab14Gn(no$FY%(({bx-;Z(oZr7P0g1dfRrTb+4+YjVlS2ltiPyE;92AEn>k zG50;=zM={L!`Bxd@!nffoTn`~`|7tFGlcX^_)Z;SFg$Z$TS!gVnxMHietInD?U68k zx#St^beY5Uw<VUnUh#zWf5gq17E#B}S#hsCe9kyBw)7DD3zq#E6;rI(;vyHm)rxy- zsBq7t)$*=#x#qMc$47@^Pdi>-YNR#oIIFKN!`s=FHPhavw{6R)WXYUeKJC--iC1!s zcLf|h=`(A0!PM&=K9a$=V!qvYIiq0qru-K6Q=8ah-?6WbndG5Vewumv>E?OX8<jWK zxjFkgOiK*7aPZAMNz44s-GVc{?lG5dFl`ZBTb^Ao@#b6peWo*yu2YwlpJr=ucGI3Y zbGox-Bc6*^B?Tu`oi9<Jx8sqVi2SW>Spp7y_BM0c-#fm}_|-clsn9X#?EOi}9&4+9 zsO}A#nQf-GvgJ({@2|=@vsd%pHJM5N+>tlw$g>SjQ=)eWpY&x-*m~aK*sSEw8(da? zYASkZl9I6K$oyL>Mu$|+dF}n|%=$I!ceF~-j8k0y>$q==_6weGuRPov{W#k6Ij??} z`I<ZXmlWmnt1jN{%6BSj&Ft!6izb1yJ{F~UYG!}bmY=B-SogkX!G3$|8EGy>rZ%3h z7DbuPT)#U$Y1L-uIc_x^YIVy3zZuSamARsx_1m)tr&jYM=0BO+pHTOFHDh-2pDVf{ zY*GA98v8Gt_M19u)>^G^r!#c6$+sA*of0`KsFAmI2IEnU72Cc&6w_zrVfEJD|7Y*q z;PjZLwfjs>*DHjc@P59~`pw6e4XvX0ChXQ{-BVNfR660Popglv>LVU*E34OJzu#~y ztFeCmzyE<(SMR;MNYZyE=j@L$$+i*=cP*!7yz&sTeXv;Y`nttUe~#KOH%`5vvuzPm zspy1FHm8NPSu8%V+na>d{M*eTsl)N<j%fX_{)@K1Ya-Srec9!-A;I>k$;G=p_f+4Q zynk^`Lv6Jq$D=wCzNQ)KI|S?f6u(+X9RL5cVt4$r3Hm{4)?o*BHP`)IKPNnK#<$4h zN0(firI7k{_K8K`GRtnoY_q);e<}Rj#Pf%>rrs6vzrM$9asFOy=Tq~VxW2W7dVc$K zOm45$-M1fZIk?|6P)UsV;kW&<-@5x3zj6P`4WE#z`c6e)=}Yn4tre*Yvdw}%ynGkN zp`XKb&_(>ig}XX0-@dF?u)NXW)*5H%Qg6>WTl<~;G3PU~9*h^h{&nnpUGRQKWTT?e z*5@0VnBIK8w|nx@fKAstn>YDxWBhDB!*lcBi0!XS!Y^GkGk<&Mnh;N0JZD3p;bPun zoYjlcGxjk|T6M##X!FkKN$qp~_EtZiT|0A;AK!fT*%cCJ66PdU?ONlQ+c07N+uJ<B ziCsa5D@7wF-(Xsr*zmSlr}Rw0zN$yhl;aoAW_+iAvSZ$_o!8^sr>`^o^`UcDn3-OV zWYHAuZOKiZGuF-9e@?zZ%-Jr<J6P{|#=F%bSJ$sIk^i=Or{IfECoMD9M|YjsSE#Bk z#})Z{#g@>=l67kP&Q9R@u+M9D;Fh}L=Z?$jtiIGJmtS=M@m`sq#W-cF$eXqZ|CtBO zD|_YUe65++EZn`&LSpUwFP8;nWHT>+w~;=S=sJ5UQ#H3ram(3^_B|q*E;2uMT;)|2 zW7xK}wDkLq^ZFWVR<yX>Sra{Xx5G*HIS()H$xT)N_4n|#H}}oXI<qn+OPzR<9TU9p zEQ5+kgf7oEwx|BsF3&bgN;BBhKH+t^8_T+VTr169TQ;2#kNzgnY`RA3y>|A~4^?{1 z2OQNMLTb{y-zhC?+xbhOLT%qV+4=3f(~94V{)sZaUEiC}dDVAMN9@^E8#fmh^c}hM zKPYpZcFPOl%o&A`cc^cU+w6U4Ef1f`Ovht!@jpJF+IFz(9M9b=(~Z`+`-DvR)w+iB zm*uyL1(OvH`p=K#oN}{%yI)nMoqBGT_NjH@27HAHf?tz)C$~H*v6iy&zVx)(RKe;; zn<mFznY81*wi5bvR}SqmpLDYH`BVXct=%5yn=}d@+i~Bw_|~T~?fiu^Qd>`%{JpNL z&;3~9W_aQwzWQVD<ktSYHuuNNGoIoGk&7o3ZsYvTTKzsjhe=*w>7MlppB|N*?(;Kw zGI6b!Qkqjt;s4qPI*XPa41B!d!?g`D%0Y_~j;V@>-WEB&^4+Yp1{`ZL+Sjhr`*0y` zYuc(0OwRo2_in#Ez$34@MSIz{k4q1od9ya8ckSu7q5bMBKb3xalvjKB-~tD;qAPCg zm8uUWh914W?PUJmj?yje!c{Swr!DDoN?xcgAyt~@$hKQt`gD8U4xXvez24mtUeUi} zd#}8ft^C!*wpf_gqwbzrwdKTDE{e{g{IQSk_Q=H^?znK1@!;24_GT<KQ@1@!kv;h0 z&HS0>O0BV9H`RV=6MT93Wpi5vv%;VF3BITPMU>6_{=mb`EB>eFvY4oC2WL;_Ta@4S zb<T3WC*L*(1@tur{k&$rqE$XVe2K#8JHF*yy<2vyy?%&W^8U25+uZj2|NU-ZL)6(- zt+&5D>S#2-b|<4_o%OyIn_V@d_uLbIa`EJ*X%j^z*i^VC_DwjDa&LO&BA<jQYTHj= zkJ;}tThS<OLqXJ3_v9PjG;iD6ZgWUJ?fc}|mWL0uqgVLr#CLF5{cF?xBeSgi^jyom za~xWQDyMeM|95Wf%D0CS8#$M4Fa4IOx&6LXzGA@iInk?9!psktope9Pu$14+ImzZ` z&dwCc2{ZLSl=0;2R0qj57~W@#{bk-zu)3QoxW(qi-&?C6$_t9UU_AP7$*N1?>x|2v zDqo$Xyn2rKmEKQIyU!SK#Y~kom>9cb+hmLL+to5#bX*H9c|F{pc8b4P5Gmuo<H1bj zJCi0=eR!q2HvQ0UC&5W~-*a6%P<QRa8`)O}9z<<^!SepysvW{h11lD+ytjSM=ZF3q z^sm0sc_3SQL6+^~6UU3p6FR;HG_1Sqtd@AA_@?3sXQ4{Q0Q-R0RkwF2tc`jh)aN%_ z`Fq|<!_S6dY}R$Foz`rAJS|aQs+uV~=>W^EAl0y}TS*4jZ_Q)1P_0<%wq%{({k$lq z`rx7idTy7SB3HkYO#JB{HP@`NrR4k5h^H&kcIae$|FB+X+SEVQ=ErWFX`iUK+RiM_ zq37D?2NSo&Wlw2RQ}><u&vf@P^_nA3@)d)+Hff2l)v@+VE{>nbtp0i94$eu7xY`-w zxF=jcQtumdj>X9KMcBs9JF-60&q?2A<B&deS?ySpsMgHRxTE1KE3Dp@aOCElZQbnn zDsMs6a%tY}Wd|lq;(y1Ixh4EZTWv^-0;6Qwfn{IPqFL{V1n#@wRQIDIZ-H}W`&}93 z_)@QqS-kAp{Z{p2!VfH3KI|7Yxv*P0aOD;gx0y3T+cW1XCoQaMu`pm>P_(>}FH$$e z#&~`+>#nUT%}3@cOuM3BR`N8V|HZejK@5xz|MusLs0jTt{dFX5`cJRRksG)ZUAY-2 zRb)%uG41=_$)O;1s-F9W;IfMP1xt;aBuytYXYW+eulW4h#XkM-;-A-b9oD7tEnceK zw9foQM89tQv0|6+!Oy2fGB*BL@`QKotBE4o3)I&e{0z=^>%U{t{^NUARA=Lxsp-~7 z=5~IOI1nm&aJtH}yyo=2#EuC~sx8Kn+jmW$xlZ#(%Gx#aIke98t@VlfegE<7C2!me ztPWMo^V;;)CE|xuVeW(Cz%D<7E$8gQL~I?lCw0FL%$qDQ`;>7-a>%rU!Ys_Tg{?Qs z=j3J2Tl49o@59Bq=Sz;B+o7fu68=)Ll+pg8E<4u`7CDYRXU@%;pM1Jk=S8;hrrFGP z2HsuUIn|d<{2ybq{-!hgHj9VG%E9~dU#?HfPqs)|bKd1yKHs7h-+!?4zLzt4^5*7l z_t(2MHC+6kUTbY{f9|4xZt1sk(H}Bz6)d~4gx#JwmFsPI^3zK(3uZ1CSXTc|zUffN z^CxG^+af0`O<M1FtM<}cPklfCop;w6eoIv56%g(`dLZ$!;=<yeA1CUaY)(lIn)ymf ze~Q}KO*hWG-FW6y?&QSp2WMD@9AobEz5Q;%ZU5O{U#~1(Vsd})v5#Hl-mF&6FAOao zZrdm!9u<AwW$C^X_m#fbOuG0hY**#EBh4qn7R@*FOFwe?Ye}a?-r+CZVOo~rbG{pY zIvGB#&{}P8-?oFA+4klyMP|2dK3=|e*Y)WCYYfBepM<M@S-jTiTYJY#ztf#{?Yyq5 zV-80C+<NWetfh=hXPbF79Tdbj-sxDG`Xff?sDJ6-_03O<xl%M9oBe-1e?Qyx==H6U z*_-bi`g3PD1H;>^k83sSr&~|=<lniprLOz)@x5wW{!HAyUy-9KvnSxQNaZ(v1K#T) zi!Uc9b@p;Rd^^)Vok>0|;(GF`e2t??rJtJI<|nPQsR`cg#;oSWzi5~4r_${$+rF<U zTRpi%=6#U!rtc?h`$`wA-Fs`kkozQkxiqbv!E+YRP1SC7TOiJD#GbZs^#<dqzu04+ zDtdifb#hyIrR>#N$18sqTV#|!Kgz-SFHG-K%u3S(9_F{B)%A8H%zmqQ^F(s{%GM+& zo+p{rUnQTtFq^A&v*47po`kp9l@E)amCO}NuC13;ir~5~$eHc`^5uC3-Wuz!WwWwG zw>QTwdNt=G-@5+I_ZmVztnR65|F?anr`KzhsgjbC%h$g>zVF`lX^pd(<@vv#zT57w zx8VZs+igznUghOVb5c|9A6*k#my-FVVb3|aF242VCDA6<cE?S)cj|9Bx6EVLQvT=N z(@z%}@_dh*k-oL~eEOwFE6a6q?z{`wUAX?qZ4s^-k$i`jacRFleh$^qTPmO{HRGST zf0!s|V5>^S?VjR4C7*r8j9+)Wx$Gv|7ygy?tJ3<H{1t1CREnA`R+_mdZvH2&&g1U# zGt4uZUk3!8UDdGnLhJ|S`;SwUSbxYKtWeqOa&%eP@%of&>dV}7XS?hwm#ds5U~R^o zsxBXJYgX81qqAWven;H-H!&`J-qwH46Ftj91rID)wE8mh+IWwUeUEaJZQR7C9`WNW zl|H%Fe*1;c&K(P;`#+gm#(wtM5|P?G9X9Vn22(%&zSyVy_R)LCtc&(CzU=miySS=c z|7_Nnw=-DhN9wl5eO!9|^AZv=BhK?S|J!%(!HH@Cw~MaEA~m&-_P&eb`@LG<T!7X8 z;NI3&8MPh@<HmV6{I!oJ?)iDpOzh1(oB0d3s2vc`GWxo^ec2J6MVItg#b<aLuXz)* z?eFWDxz|~XZb;bu()#X{qEw+b$NK)V>J77=Yn#@w&92+|_}ke!^TVIMe^0n6+i3Y> zYt}Mz8*!b_zFUj<D?%pJ?^~nzATUqj#H&dkPrP0x6E?F__*Gj%{tLIx0~IU^K^DQ` zH<wI3cqTBYb@9E~%bXkpXKlRfrD-K_mHTN!QQ($TZqpm{e<?<=>omwr`}BFnEQ^bW z&p*C-jx}!Y<!XTz`!|{#H}3{&M=0KVF?)y2Uo|!jxA!@0Z}NZ5V|ed)?Z&lD%a*c6 znuK`n71vt0_~rLw{Sz|-_C-$p@$!*G_?^DX`z1r#PoDVM;jpHq{C~D}dBnopT|zs{ zce2){U*($^Jt4I1o_K-$w8IQu?3W*S&nuNv{nwwqC*f{^-2L3ASMF^&_;UL1>~fFd zKkqyj@VjY0;1Ud9;q<-XNWhK-C8xJK&O870kMhnKm*URF{87Bll|O$k`c}0dx$t@% z3q!Hg9NDJp{ap9gopuX1l>NQ>bmjeBZGRe1CT}uS%3h*bW+4>lAL^y&WjtlU(xp8O zt8X!_U99t8USL<jo?o?(_&1(>v3tGR-Tdm%^~WU5&;5#SlmA|!zTQ^-y}-t+b}QCr zOp1Lm<L><hyqyA*cIF0VR?7eVrd+CjE@xNjjyKWHJH=*9+0H#j?ZF<8k6RzF5<Pm@ z?83ox$Ex<Z6&cm{H~K`LjI!pPeXad@Uf2VsKW&^py(ek^3=RspKk2H2sOd$imYZt# z%@ppxs!a1w%|GYx@5*z*_di;XiSleba@=}H*6eN7(-&!YR;&)$uG#nHoJLc{<f`jd z#d5ism9}#ay*gpdb3Y?A<e>B7=o+1;oR3ZRH9g{8f5S&3S~n%mPG}O#`Hm@dR`V`| zIj-8iN6`Apjdx3TdtO`i=En`qpY0ref9;+bKe=%~&X#-8LAf1UuI8E<pV43qU*)!Y z(J9d$uPEIID>4&j-a38Z)~08^_nhi=Os0IiZ}8GRG5^7bPxId>D||0J-puN^Sh=pe zI#5;LbE;fhRQSuym$P{Ogv`q4G-PUjvNB$)BXT*9-MLi;GHJTYUF#S`J<d(+Wy+H{ zst_7_)nap<^UcQ9ir%l3clbQr(i^T^7?EjzV8f&9Q;Y>RCU))*3YFTjFXo7H%&Q}> zj;yRsj+*|~HcGhUthK<#4|erJIr67MpZ&<1AXDyN?31gV@@KV)TI=?01&g-!?63&0 z>wTz}e?Fw=?vcA6(;SkvICj6&ShKC}+|o;)&+N=}eP`~vWpMq&?4CCdHr^I!Rc)A_ zS!sBb`RAl7eUofI?)5!2IZ8J5{E-0Zw8=6oXWjA+-Df(qOXx}HJ-LJ_Ey`;r?MPqj zHp65a)0Y)7N90c}N$0nfDKVZSo@Hdb@Ntd$lck&eAKw&;jk(ibylItdtcJ$=Mbl$! zuTSOh3*yqAIr;D+{veGFYZhOgA8WyVZ|c&e`w~8WzNMTmA+^6%u0>n*wn6Idnc>fv z_Z{aEyKq*7-EN&uczNPgZ$5($Wyb^!3f}sP3TvF%$i3w6=MXzT%^9<ghsPaOfAU}Z zW~dRD!IMk7ycRrGw0v13zjPO?+WoU<TIw9PM10FKx+Fet+uWoR0zGB7Q;kJ-HQh7~ zNbjxJ6&K&b^Y~lvVcy9_9jaj}7X%DyHYXnHdLpKHk$L&?r6+c2w|e|;aJl;AeS;B; z->oOjC1si-o3<xiOyS`zcr2cA-t2by&O12@JGb~Reev*={PQ+DsW%TVyc6IvzQ8<V zTJFz&N1pd)j{hI*ZO^eg%k(L#Yx|amn`YLS<~yb`M^Bvf`?!_Fiu*^_@|o8ByX6zn zZ*wkiinP;?f|Q&E2mj97zSd!f(uL1XVXuT;-R^$5_scKvd5h^+3CGKfk2;?wsnj@5 z>0Vb6wB}QW=3i~jYg3MUtp7fHgJ*k#Pvg&LQj+2ZDc4dmXWd%p7(U~6;@YdL5*W7^ z85zG3IvK<0GE-FQ^7*OLj3whXZ`d>Q%aZAz9rd5=KKpp}l1U%dSwApg{ARyG<#ENd zCh<oG&NZ+3m9~9sIDA&3D}VD&iAe#QWNvqC=UE$}Q@THD+vy9lYNEA7R3`mD*1+*y zR=(9sKHg02f9t9<8wGd&F6vJXe_tn>BtO-6CR6(A{J*h}SI+rgdfoQHhd&pX&0OZJ zNS6z^^yheijoy8}X}s=f%Y-iV>8M;#I{bIG)$NrQ@52IWnzoiq>+A3{tk@lHl&Hv8 zwXxji@pDJlyB9s<?tET<bzzwF&)psd`Fo>xE_QRXlfC>!A|v&FNBu4#t+ov-=CGdi z%AT=I;^9<AQ5_`*hL(KZ!o#aOvoB6o(hOu#x7*pXda0|b+Ku!J{|?t4vblTOYvt+% zLUKzFm@*Z=ImhPToU||Ye44@D%7TL%;<Ub&dVWzm{DDu_<9qtLSu(<}mVWFkt31Io zb;s?X4c);U?t0}ctR<^rqf|IwPOMw7jWMR9KiT<wS5^HUuD$E$=b3m-6DhbaRHPPX z{7Ze#-c^r;Jp8tGz1QFPBiBV_eaP~(_o>d^O?$s_DnCf%S+o7!^6)q7!hcE~IdN&O z$3OY+3C@vUO~quFY`&GK;U+SF@$#?<wr+Fp%{!6&MOsGTf5dfTmB`Ik8ZUOu3a!@m zHk;@6<=Y1B@8`d+aQ>28?bP?Lgzq;SSJ9nPrq4<I6TfyTs7P~s55JvPGC}bg3#Z;w zznmlA7x(2iCS6-(n!(vt*nCvA{bY^R*XHQe$Ikb19-lb>;fM3b5BKy{e_M7)U}-!1 z<$LBPizTj|vzuR>)*rb2nU~gF$1Ck^_jv;I&X@AeozJ>FuQcd{9Meg~16dL&W(Inx ziEFD{zh%5&_?`b`$B#o*D|~~}^_)&G*=oB<?9Zg&RHpU%mwujXoO+yld5v)#Q{?64 zv(N7=+WYAa=lh?_k7oa>4Jo-V`I<{Crh0|fvg8zQ&E|!lf3umL^N5h2aC{N>{O>m< zT9@vhFOX4oY<qYSSKixy_f@<;{bf17|E#oJ@4f92p})C`H1iw(WUGBI&*kSd&)v7m z$MC_n>@AP>hQ>dYFgWu@B=?k;aoneGbFVBur8qZwl9p9sQQJ0`KOzmG+c~-qZY>H+ zcU*PzZp$2ll{?<=i|LNZwz^YOf3{d^Rf4eA)+OgQZdq`?(BhP-Bx{w=JPT3rj|Ymc zm3}zCZEA~~?e%Axx|SSvnk}zFm|`veHtzGA`exm~&B_5;nJeX|G;*#wcCKj8i)rm* zU$+}(PL(q{u9&cE&(S-D;m=B@i%xiM^x~>lkcsCr9^cuzOv%X`Ohlum78Yz~TdA>Z zw!2;Gm1%QIzw8WXz94m3zu4C0(b7-L&q%Uej9IvRPkhE+X49Ig2_a7sVxF&(i()@H zFSOsL_5RtY9V&04Ds=_@a#^hExI1-SAFh~kZ%6%y+IuTLHXVzWnUUVLuqfu+%$=1E zu1&8l)r-Y@E-gB6;DVP;l%r3t&jaaSyVE4(w5R-z{qZ_fZPAnaOF!O;Gp@6&)f7@x zo>}~A)<O04RaT9xvd^_eGj=^YXuHx@`RKeIpKPmRi?>;x?sBV}EO~<MX5guWr5{#% z=GUn-EO_v|X<tfpgH=gLc4dp!0i~M?`+U#Nu>HSomaa$RgVnNJrkiGl*WdMMTwvYh zdroOv>0@@si!M|7rPn!&xP%(X&zbtc&rpZ)R?`Ff`8r%@G!{E-n69xeJX!5}!)?o; z15<Bq)LUEr;Pgvnwt53UMlTMby_t7Q4*y!vZu@hN&cpQ*SDmf4PT79&`p!oOF5An? zO#JY_=(mc(;e)T{Yk3<UeX(J3`gE`9cJqbbEP7hQR(;-N&7+K^flo~@tYcUdW00h0 zV75=I$HcMk;kv1(YRalq?Du^spP#WpPn&rO^8(F>uZ-62J8$1FBRKQs@;<d?*Cg3r znQircd~urRV)qrI885P9SLUT~v9+d$b2ogyw|d&-r?$(O_Mh+z*WOrtXUXzud!?8D z{5E4^*8c|wdwXMbPk1*TIKg6Y%2+9G-o&KUvO$+V@;P)oySVq)zP+Jb(-N|GpVTlc zIN7xK=GT|^ql>K4b?0Q%pUHVwx$jl*%#MDAdc|e@MclE+EaqN0Fh~5O(B|zcKYe8P z;98-4@po-?u0$gHZ|Pm~0Wse<bQL?Md)*Z1d{kO_^yMeMgZc7RqN<;Hw(brto&RZN zc&E}ulTgMfmO2ur7-bgRERHL@)^hjX6|twyHD?q5dvMQGUhFda<gXKFW?8Dso8H)^ z&>-VxdQkLYU~`wqJ&l=7YbrmxE#``|5My6-dYzO#t8ka4pK9{AJzuQuCfOuBed)7~ z^8w2%z8+?Emue?fb@3xfQkPaAtPoqj+Hgbl711gA1|Eu{$9SKvXKb0bZ%+ho<r>Zf zSNxAVh8}oSzh!<%MA*5MZ%>ShO}=T|`K0pJYTxBw-nVz>xnzcH&Hnpp(_`_p$5+hF zPwe|p?D3`2{)^IN|NFVG7^8hI1SIx~EIybyF+xjT*?L~$(qqdWzKl7R+>>}m_23Gr z*{hv9raxWR!ZBxeee&$?_2RPDbyN4)Jm+@%=WG;tBj?)Gj%NiKrdQ_udmZ&D=h?Q- zW7mDB@;%$Ts9)W&WUu(M2(QVpwhOwp{>osP$Fb0f@0jyk;hQr)+`rlFc<Ud(UflJC z_3s>Jy-z-o^0BMvi{uVnEp^|p%R>9svRJH7%yinb-NJ0gv;ERbSN~XB?3fdA>&9!o zFH18!U&%}N9x_T0yY}3#M}qV55h+pjMA@^F%gTGS*H4UMo3s01YWS9ad%6PV-aU3$ zyY*{WUEAxH(x{8&i#IS#Yg#Ta=}PhWvm()*TL1L#8ZVl|`rJph>e4Bu9shT$7*t&U zvH78iSYCK%;H#_!N~bUAdg;w#s@yzz@n?PCxyG8au7#|4X0_qasWtmO))=3hbUX2D z_t|C6VcQa2cs{IS-TLI-mYhj9DuNjuGB`VTotfa$d`hOF;Uv3uL(*L1hb0>>|6jvw z<S%T%?N`WhN={wnux0bnMQ4RvE;gDca9n8e&cCp9BS$ok!{q>9;Y*5PFQOHVb>2J@ zS=ISY`M%5t8@8(gyHDLQIr2xjB)XsHfc+n7-v4WEr}pyfdoMbR#cxK<+G7c_Q(5z- zICj=fS(6pp6MFPd-WTg9chh6LdzL>_W39P#=iS+?FGiEsH!;re__!f|ij1j>{n<k) ztG?bn^Rg%E|JkQ0#*4QZPhPGavslycLfi(f%Lm!TF7HxkzhT&ajZ5``#pANpldQgp zG9I<t?TVf=O+FdOte3Udo89;a(@W;l&!?<%)O`JX#{H?M#NxHoOj6G-{&h_Br^4df z94if9{r_$j>Eg=nBYuZ-T_;DA&Eup0EI7a2J)W0loVUF@<%-i4iOM$?+5aSFrH7nL z&Ur5RUBJjEMWlIcrI!^?Tabuwo71}|j4b=|9^Ka9yO7qSz2~3NQi}ubSJr3E`Op!r zS@es!Rjuyp)Q@j&bW8m2sC;#WkwGAQ`;1w#Q%)TE8toi#AoO-8-?Q7!AqBY?@4NgK zv+kQVw|>s?LT)bmjEyx#lLf_Qy*g95X>PBj|COIU4+LKRXPUk0{mHUwef7DI54~=< zxY%IU&(|GajSKG;oBs{c)@S^_Ct}XR0$1IH^=~I>ipLqewK<^Kx9#Iv-S{J6GIi-Q z?o9og(%#A{VNfvTpWUVoPpt))gj81V_OtU_Qdul?{?3z|Oy|!S@$Ef#`&7_#;iUIf z8NvNNJsl5(gLPLNEnxY3W5<SLo;U9OXJ)9ot@ZN48-*$VzZWiMaP&}PoO~wXU%GVb zlzm6CjGE@`T`=$e@2<TiRco53`Z~8tM%>C<X5K7r_@-lbip<RU&B{8aH+-C~oXWV> zws*mfONrm~wC;q?|KIsj<c_WO;qT?$s+!Sh@72x~yxVtM+`R7tH$%_K`&;k(uUqnB z&N&<Qy;+~4Qgr+;+xe@0W~*Lb8Ms%xEBWe*+M5aNe<s*Z{`mQk;I;q1k0zJ9?A~Be zYM1OfsVQrV`KPFk_a5@s6&Fq3yFlvbP2EL-q5D^^6ux@jC6{l4N2vVlowv0gUlctU zx=7!1fv;t*+?OXg{nc|89rnm<pZml5aFX&}W%n6Dwx&l^9l4dZz2=#6mwmzIT><}m zlWIP@95;5JX|LKp)%r=m-SP-8g+AVmojzR8eecBcu8a5=?Rfm%jLm)eH(xDj`fC1T zX@E#@)t#Uwwjz(WjK?-sD}M{fGCA7#jXPYn|7F6-gSw|4eB}$6!nr(e*0;lMth2cy zD!OKvwH#!riP;s=y@x6N-SL#GZw}kMyZ5QmJj`g#+BbXmeD8PbSfl&t|85>8PSrvQ znc@nEw2U7<$8N^0OJCH`vvGBp;Hqz)$9u9Y3_t(cERwCV;M?Pw5eugXFWSE8nO&&e zk)E6-6EE!A5O|hpzNXXumFpWUb*9%!Y-qnOetJgJEKUodz3q2TROjDHyRd(CUv}An zwSl1l`u0uF=C5DLcA|A^$jAFP*F7rE+tBXzx}opRKbafGlHOGpm37)qzK@yWufJKP ze9Feu9ZP5NZcUpZHSMd`7s;$$$3CatFnavPT;cM0twf&F-bWqhxBXYJbIV`Wvqs^L z!e04iuFUESi<j!wd*{?_I_0%Y#nh!q;pVPP>Gxk>&vnT9*0<!m&#l_!rl%Ve1%LSR zRHt*WW=c+a{*bRQ)$Wl^M&9~Ok$b<o?tIL-;GEe6S;>WZf0gc4q*d1FEl^&qbCqYJ z>fV1orawE?wKFfy_!7O$S$f^2#{0ER>9hXSu)TNqZ}RTNf$9z+zrQA@FGU`YNP4lK zRqjx*ynd&3f5OHo_V@R`Tdr8?`77(&u>&VAZ=AEI{rKwxnj6<j-3wuD{INqRqCUvv zoU!}y7tO~LI)k_*^_3bgKV!^o`S&qDcTW9F#|Mkgt(ln5`(2~PkN4KS7uSVq9&P@( zA+z`D%Sk&EW{UrH-=J9S)T3G*{jx>$;BE0~!UfM3rCUWdJm03qwZD7uWgo_Tf%*e; za!oIAtEt=a9(m-${;nYBh50OrxU|ePucc;>FR#comzkaN`{x7O<?A(nCdkYYf8Tu1 z<yY;i5QDNQ(X|Rj9~GA6<ZRm8m{hjIF-zs*Z=o~uJlCbfJmvlI@k!>NtkmOnk3<d^ z2~Kj$^)zs)l?_wYfBu|Bc0<%hO@5XQ=eC@>AHVFzzni>F`(M9QVr1cEbQXNGuJ!3R zlRazrDi_aYY7d=c`9X2Q-!;c-MVBr-Hak={)8~lm_gRw{J^Q7w=3Z{-^2HZdi=0b- zwDPZo$gQsvCw<-eQ=@Xpwx*BoTeS7^uZJB{H}lEq7JTJ?`oz@M^_y>rEvxRRx!~@g zbbo(bg*W?CP3s$PZlp8L4Lcx_a6-m%+s%jhTW<U{mYksZEAF3rsK@2oHNldKA1s)- zuI81W+U+HGBjJqJhOCWA4XljcV(toZt!P-{d%&md@n#R3N8DVEZ2O&}zH@*2DJA(i zt7=b$W@+&E?73#Q{;f<+J2GRxhNHcIqu+X#_mj3XKR<u}`tz>DK&f97k0$$u99NtX z`04Wb9Gwr69|fLtnCGw?E{wm!d}Gma$$yGWF4JaidA`<e>6Xb$ww^m!Q+58bac=Nz z-OTEk6O$QJY&bLC2)tY+f8*ukdrRC+8czQ7op<u^^d9DnWfG@#wudU+=Pp=u#{P_^ z>=d=b{>i)F?LQ-|QgwRck`L$Sd+gb=zTw;r^`>o|UHx<W7TlcuF!6=aRjx_u=cc`% zc7L_ve0S4L)7-CiPxW1&;HBcp!{OZ(?6aceLZLj{ht}Corkt^^x#Tg|o<TVCAivU+ zw4<}%ewpkW$@A%~z(*tDgC;9I!vr-SsqQ<uzU;E(%h(T28DgcopXYRJKg%e6f0y2N zo~#?&%sj8XZa8~Hd*P1Wl@G1u&fYOFa(MUuV^Fv8>z&U|JJ@sV-ut33UUTEL``_aN zCAd%BaXfabH#I@{Tz~T1bj{Mp-xFkt?`*x7THpVY(eS$LPJuk;wfvJi4y=8s_vToQ zLGWwdUlXnzU9#S!nBne&zc>3;eHLBTV38<nR*Ne$&8lmhp#37~A^&Rm?XNUeIRqY= z*;}*2_t?%y=X};D|6VRCQ~N95RPczEU(zeL4QD@}sEWSwnd{k-)4$I(efYoKT}SrM zbpBt57*9staA(N~S)0buf2cBNX@0!Rf&7=&vdgX?IIA>swx15?<?<bO^cZ5EoP2yK z!q)Y?_?mqVtDIh)NVb$Yla#Pr>i*-tt=!t{-yN9I`MYSd;p*(P*O^A!mIZrnPoB-X z<FV(bs8&g<4o%m+-`DTZkbAl1wAz#v%g)b}zx`_3v&l!)<}aRgpW7gFqt{R7$+hRg z^XK3GlRD2}lKG><x6Hl>E>iL3`uwLTeZ8;IRX?4-ZV`tbn%*s&YTWqm+2cD+*%L+E z_L}m=ZN0f%JjAVJ6N8+W>cq^yZ8Mjiv5=i9wD_k;r*p*$u8GkPBI7?9ywG(NJAUSN zj+fTykF2Zu1G~2UG;!Ewa$!xS$m5r$bG0XBYn=a-T;!c}`LFo>9(~>7>Ae@;KTE3# zm>rk%Q>NJ4t?Bf;9}6E(tGCrDiK}z&Qor9gKdeCG<R9fr$y4Y4uiN;Xt7=8Z{w|Zg zU7==6W{NKIUR<m5@Nw5JUfG=mTS89#(Qxc#oEZM)OUC`>Gn!hw54bJAmuR!i@N$L8 zHRa$UW`lic`W@l39%Myde-avMy}@7ZW&5{Wfs8ddZHJ3|Hp-jW<xTQTzgo_@a)JCi z**u308!xKdY3N(p9ln|U&54Cs-yHTT$}N54lh$@hDF2w>>y~%MQPSrxcPFjL+R5l9 zG&R3%|4YLyFEr;JO4RtJzfo~sQ2!ML@AYMCTGL`;roQ@<`oQdQ?xSt@4HM$c4hCNh z+118!@Z60v<$~9p4+(Co2zJ|bOSXCc+Rn_4u`e&VO%j;X>z4KN_0bEBw$;H8jVsI^ zE?hQ2*K~LMD%oN$^M&Q_bQb(l3EAD#zdD+=lCiP9@x!a^RqN$nR2_L}b4NdK_S-g> z8M;yr)qXJ~fA7{4xuxvAL^n=UR)W22Ueslgl$eC?HZ$kWPXE`c@sr{2JmIRy)YoN& z2|kkzR?KReI(_EJ=-2!1s|uFNs!i;Tj%nGe7s*_?`Ods&?}J-oFTLbFEdO8GDKWNg zpWSyu@heJqgUlDo%vmaR{8pY}Y5&eOW{FqW_!Bn2cImsQdO*qVz3S=<Ve@1(IdkIw zd&-~Ow5>B*@7)HoV1bGEj;-cs7G<d7Q{;}fI5uISxrpY!A0J-an>xQS^_TS38xMA} zm<RlRJlF4A!rzl30fO!p#}8dR^wVXNaCGgPie>5-W4y%cyIG@u3h8%yY*UN#P1=3& zadwh3>*mu<!S0{;nNMDl5%ysHJjsM;`{N(d{?^FbvL%S~&U<>itk!HvW5NEXGv&k* zA_L;(TrFR8mV9%)G}W;r&PaYT=Zu^EY$rOU*^WM8*b*VcJ$cW2!O+k9Lu5k~7X7%9 z^Lv`#w|~l4bvJR}DP&C+U$DGjLS)2+h5s)4?+Muu_Fzlhbm6MC2Pfxk<!x0x^@S&S z+H|dw&L1_c_xH2jG`O})a;B+P_(RDS9>uW0{K={&hD@E4@A7QBP~EWbdf?Y1W~$5O zvp1}iYdPteI&Gf57q5$L$(ywWjUV0oi#X1=m?Ve=a!&1ujmpZO5xa45glOf;Z>;NU z?)Ez|2~_y!NN~iQ`2HuTJtE_fb@u+&pwAnonM{j1E&pqyZ-2%#jbMSPr`@jY><qtc zax(X3bd1(5r^A=zdfWD>C&*r$_QquHx<1z02>}+Lr;7wlxZ2lviT`4(gR5YHcve1R zII|D4z~6b(k|!K1Z20<PiQ^B;C2UpyRnjb{?pfBl=k%|xlM8cZwRSYW@8J8euP;E4 zLCkt{=fYRBJYsEU>^XL+W1~i$+K%uSMQsmR)7KYE**|uDYU>~O^(S+mWMRk8^4q+@ z5AvrnSky5uuQhi6RpN7kd*atOem6dEe8p~7yY+lS+ievE+k2Vkq@=`qXHU1V`uS?b zcSfDoFNw0p-Oj8`V9l4<=k)Bv=dzxOzp7?Sein)~FFtbO>{*Ri#uI;gt}{GuzIOXc z&w<5@mS}AJw5L1daS!{=kekaYe*JwtbB)w;IYYhn<xe@b{Cb$2G%>EOly_4I`^o;L z-7=>;TGRDa9-2J<zh%S!N|u<$-={L#<g9P`Nh&D_=zQ0WOk831AnaYm<lN)$|4$9z z`Dnn=zT$SY$!z0$iL>jQSfnktC-bC+{+_UC9=nLjl=EyZEw^j}8LCeHcVU<m<FmoH z_EGcHr)PFK?s%x+?{|T{;D7M-PXYnAbf^71v@QBdb7@i2_W&vDidp|<8+4zyUz6u! zTgyD9&^R^d`LEP3Cs!y`CjQs$vHI#U=S#!=zh0;3_Sk;O@Q>w;ox~U5w<Y1wLS5&x zA1uH4Z(SO3GNbs!P8;92iYUd5s@x<+HLmo9Y7H`XUX?DhjGDM}s?WAcp6$n)7V|kj zns(*W{<HVGyq=xARCaPZ+qC}uTxr|FRS(*goclUQW|pwY8w;zb!>j&1Q^>!%(;&`3 zef5X;t1Hx>?cF%}QDN%z6Jl+wVNz4~U7v92?-JJ~tqRp#zl7wKn8FrlG88m;r*-ys zy<z$%dx@j!`NFH`Q!=+dS~chC<(})Oj2EBT^zf_f`nC(18`M58>$h#$aB*k#qtAWK zv&vSfc;_i@ud!Hv?{&}KJ0FY+A9Ci*c;ggP-X^14!>D?#CUt$M@b8^_iyT=4=RM+? zFhh*tdB=vPdwZG|T<xE<cb9(|M~~#H=&TE`PU!^wv~IOu$QR3?VN#j?bkpCR8*?Lc zZK5`o@0+wnRd8dd%{w+BzI&4D2`ayjA9XU+$q|+1=IaUKeOzv*W8$-|W&PxBia%Bb z-A+(wxybZRz@}qC<z03*&5er`Di#=fUbC0->{|3|>-q;Z*)qc2`tyx@ia6Nf)jY0t z9^C(-c;P3u6_tIxA@QDjTE#wYo|7?q!JqDer@E2P*c@Mck@>jI#bCkxyDQf$<Yy@P z`bl6$#3dgO!wZ#NB1(;C9c?Q<A3x6V>EmUO$NFp6w*1^K|DQjs)IhlU-_K_cGbH7I zAHVqWdEAQ^VwPXN%{o??{Wod(+_O*e6&(bBgscuNaCzt$mG*3vg3QDE_EN?PoX(|f zew-(DW=DmG^|u@4de^@VkUKQ1=-iRI37;J<-rAgMomPH!c7|Ezmt((Eqpn{U6PtZe z<k5twfwFoh(zfe!%{;U7p|bK>FShQVtlFGfOoEL^Sy^W`DZSeqdd*mr*LlWj-!<uX zuJheiz0oA-De%o_)3yEe)o0oLzW=)ymo~BVV^OK)5%C9;&xXGU+Ecgl-l3#-$}jD@ z9h<%so0z9mX}zC$$6=3N@8@|EGxpD5x>C&Bv{>xyhILo3uE?>q*{8W}+V9*Or;1K5 z_~*bqMIi6?wq-%x(d)wYZHUj!|Jk#3+k~@=XTE+BcYRsW`&pB1)Bp6fMn3pZa?EC0 zU2f)qavQtL_ww&d(X)6Q6nn#XS>m>PB5(dZFc6QiUTWsR_}Z~#DSKH;?hZLs*ZXNF zjt0MfF->1cJ5t?pV?lSxOV)XY%j177?Tq@mF`>>$?tbUR5bx7Fxl``nzH(raz+}#C zhCJ6f4(n^=zp5&VU2)#w&%FGVy2%yt(@S`|Uv>()t**V3Xu{NVY(k>rta<MbdOZlT zW(|JIz_rVYZ5^-To#*vQH&^{C7YeZPTNf3xE>7Zs=h=Vm`d#t2dY_zPk<ve}^vd$s z>)J)W&Yc>flD`T(UiUxAYn@hpJ0@vG>xK(5nGIJC9$qF_%5&>M3HQP-qd(i%D+u1Y zUXnLY^gsVY*2@o=vX1?oQW{rb;-9M^_UTlM+&tCt4^Af<IWiah+P~vjV6V~C^(U^y zZharQiv8^ZN4GoTch82pE}3yv=9gV#rK`}{?Tt>{=dvE~?p?Ms)`-)*$)tOdrO%v% zX?iW6yu$99$7U?3Hn{EPsK47Kw|WQv|CK+sZpsSW`6=jA5zEGZ8K3UmlV6*Bsw03q zPU7vf*E?oTv$SiTHmg%ix%HGtVNUbi-c^O7A&mR(MoG$US@zY}q4Q_p^XP}Kzuzg( zxHM6GyKhkme=3W1!o2+t)za@&IY;WgbK5(An#}3XE)x}AX3h=MywsQBB07Dd-I5PN zStk~U#EVNm$hJDB#Byy(-7&R`>wmbhHgA%>f9{g_&$-TdXWMHu{;rBw?G_iPoWZ8> z_xtLZ@7mm#e3zOMDbCk1kNJ|?L6z(5tW&gww@u!7IWWjat^fG!*EtW~CKVN##@|)b zsV`r8ea6<wyZz*ocMH#3y!ZX`pm(vFDbF8eU%z?N!^803bKWJ7&UTnrCLPJl=dp9T zm~}F1x*&74X(~TY&ws^|8T;~g&bk}TJz?(aQ#<Ff9+z+IVR}7PV^8zygQh$7T}WGE za=>sa+obH{H}rj7xXUbdJWH|g*z+%p$+h9?TK}(wzFjUR!rwx7$((Xu@$+uq3HPmT zFJf{sjnhuM@dlVje|{+wt^V!t$B%D5-ktmOT#@bLp8e{LOZfL~pRUkwImuG^)RPI3 z(@x*>mT}%v@_)aD$Jd8D(@(z$O7Gj@u}8V8^i@J*2H&CSCxm9c|NrA>(+Y2c0+kqL z=ZdfP&TJ~TEUh=M@TyCFxQj9OMRBw76X98M`sWH6<W_Ze&Tt9I_<UG6)bw(_{Mz1= zt*lS-(oCgq$vSGDVPUP4*|zf6ye<ni&Y+h9(d!SMHrUv5FTGb%C1Jv&8K=J*elR#> zR3cpw&(c>XBhEVg+51N&pN`Ddf5NfVw%geF$kzWo5{-Q8$|Z!4S8d!P@Yvit?{X>Q z!PosPEz6(stNfY1FM7tVM}4Wm_fB4GjsBz7Q<$O{tM{X6cB$Vx2h;os9{ZL?P76E5 z6DF|u|AlP=yFXmaT%L8uQEvVOl|MRvPp#Te+>r9|-!@Lpx%yobTTd8%X|%D^_03O- zTBOQYAo=oXOnkfPyGHK}uJ!-6JXbXli4L2vrNM+RNjgSeLj2ds6TfDLn~6#>+V~m1 zdJ$xN=G2oQmaVmdmuhamYh}!i|IV}jQk<2{zdDX&wPOxDS1ewxd%tGimx%$a+0Q39 z|9Gb;d!kj^Xz97l$)cayOXE-f&OdZj)qrnPcV>R~?3y(%*(9Djy$mmtiQOS|YT*iI zGnv5s1_>{_Zn9UJ?g?&8w6$)vTxGUnk$=(5G{4(Qt&iJ0i<F-ox+fM`yMV(me|GI* zCyVz=zf}XDJ$86do9rXN@o~jj<w7&XYz66$AG@5}HF|ejZ4>*$YPEj`-@fCGEXL89 zE1$`IUixcMdtuy-rhSYHx4g~yHA}4P|F?40zc=<Dt+%Mn6EWK0V|e`EwmWQ56M{mn zTqypdFkyzKqvzbO@-{Q0iyLk_7&7?DCL1|^c%x^vJInZOweni&`WyOt!oOVqe?>)g z+OJ&`wy%oRy(qI-!R<$1pvTD#Y$tRXPI2vNTXeG1S4S`X!zrzXQs#EPyxh-gT_)H~ zo^oDi;rIA^CoNP~T71}aZskHt*R45<2j_oS&=UB*NN`_~|LMI-%&v2C;yZS{yD>Y@ zU*1}$wntn&?EZ=ket9oG9%#AAE%b6m-=z5RO~Q-wSZ`M(%gY~K{Nx1ds;61gXT30y z_Bgz0&sMHyPk*$ZkI~isrPf;QEb8BNCNB4B*)~bx|L^WiK61q>#EN~^3S;A@G^3|% zYm60L)ft}aq%32|%3S~GSlczOu;(#0F9Q;IQ~om5ysYhzS>dv39%s0V!;9APXrt<A z`Hzp8{B@Q2qAZ--W+xmpd~2=sa^<I2H*I+{LZ2P}(tGfL*~uGwI2J8@Bg=i-^1z+- zx=hEGy?dFS_;T^?e2r<{x?ff=HmZEY&OT36BSbSpwE6v#i<N4(v}130{bHMt$8dJn zhGQo`zGtg#++@0Bg5-p~J~I~8D%`PO!uw>x4zZ_8RQej{YU$sxy>fs_tdc{{!f&n6 zFa2v3659DO!EJZ>rr&$N-?scWSEUH^?i%~gR}Am&msJ;^5dGwpxx$`}Y8HnZTR#0f zBV@he(NxJ;-lZ?sFV0hVDtqb@|5WW|LOXet&Oh0=m2cXAdoGVPuNT&auT{KrprG)? z)6lsxt+{Tue)%*j?v@Btn|r9Sd$)<=uK71#%Di~FleK#HecR3jdTp~#^R9?VXx245 z()2p{NRj#{<}c^%7qWM>c^)+Rqc$(Sm*?r}xk|+m3}=eHPK73J;8OVTbo(#$yD3hE zyWH5U*X6cc5m%OSic>3;@ITSfx?_?2U(IJ6dX+21cm54dEKrm<vnbVc@x%?Abxgi9 zJ@c<VI?en2+(R>WdA#P<NV~Bw)HQaxpWmHd?s|<uOMev1nWFvg0oPZ?9~TU{_nnrJ zj@XjY;}Q`0aQ5-bEbWb#mDqQ#%f5KqK<;FJB+u~)f;_GVicFkO?V71@uHH`W__beN z3#WKDD2DScS^w5`&hFw?whwcJ@8ztSBJ$7nUA0EqL0|WljE%ekIbqwY5|nrR+kE`= z_RR^aR;w3H{gtwN>)EKsvz8Sorm*_S&ej)jxt=s%VWIm{yTzNIUV9Z$ebv8Sx70ph zH>bP#+ro;O-)pQmd$MecPxMZ{^QxxPWOlmkC+!mvCa0txvV2wC&$=Re<w4fF?JK5U zR!;bQ|B!v9e3tMf`>n^W%vdY3q@Lr=uX*>E6!c2WzPRsVdq8-Y`=;#q%<^-;?+W8R zSpA=?Zi4)goo7SuOH}){w#hCNJd<l8@Mce8utm(Do3^V>n<Q5mwNKx=;~cO0jfNvE z-Rxg3pPuz+sz-#+iT)X~o$pU6^@X+7zArO)|KG{e@bXsieX|sHEedIVu;xieZl=r3 zPVe8MSFc{#|6Xy%x0_0jXLyE$UhhaZoo~L2W9yuv$5W1l?l+w&cGYnC=2e|1+@npm zKmV6y(izjTHY}%5x-s_M&tFZxW`VcAZNKv3)^n}2O;#Gx4l#SDi?x4naSv|yRg6#G ztjf4gqr>ax?%cv@w~SjiD6{P@th@E(_Kl07C)^p`Cx|H3_wEd-7Qf2Gpm=om<<gn` zG7ncih+W3vP$?Rgu}ikWe4?FOpRW~9ezIE0RLQ2oMU(m;_{BUsc%eeT=$%9D{>_*6 z=pHfel_}qNTX#vu!f8hnO69+AbzW+_Q25W8fVIDF<$iN{8aXBQ+i~ViN$tK{l<G9h zx|NR=*~s_!I;L6OO4^c~|6|JBgef^s-*pGe^Q<b7Ueva?mn$)%wCz^mz3iW>{PtF< zX79{&e33sV)F$WN!9;UU21#cg>9lzdtF;ckw(feF8Xdj5>(ZPb58h1rrg7-M&BD}v z_S!FVcC%&M#G5~xy8rI31&?he>rJ;==6NdiOG=(Zz2*H2f44{;-gx5Gfea><m!5o& z{%$uYGweEXbK(AN(wd8-@9t*f{$TdeevzByt%zy&Uez;Bk6u;Q`cL&%IaBmGl~V$8 z-`1rFNck_^^l0Uaf`X}%zcLo;E9uzkZ0!;JbZObeT>aF@sB15?F8vesKC@u+e1oTs zM;8Qew$(2Dah2zT{A<P1qs|KsbTjWgQL$@g3&Vj&^Pf)0>RoMX;9~gnO2n;a@yDl? zZpl@U{_6NN`}4-zf7|nz?&ZDNCjRm1>4VQS8(3f8ToU=&QC~C9LweC_`-zkOJlxfB z<n!l7E60Z-EB0*c(kKZ#+10eacF(%5$ET;eKl*Bat&@-SeYW+}X&Ebz1*}?A%*AoI zwfnYHX*`FE>nU}=BU@t9*V}m6?_2g^{=Z4{?%g`J$x_?p=GLH$Rh-G&Kd=6^)*<xB zyZdL`KOSsIj_-Z;=ZXD~8NbD6%SSOy`QIA)evjejoKr>&;W2z~j;;B7|I*{I#XGiK zyn1tDX{Yn*vR8|XWXtm|Yo{0qxcpu5-#jDJSNi-JUZK1f3CS*!f6Dt}57y7HbqU>- zzIxTl_cHI;{xa{$vF4H4ZoJRzA>YEq-`7vt+0{OC&HWcP6Y?D1TO411Ikn)Gdbu}8 z^L=sV0?EoLik_S&0{rfrRJ1Mmo#Uvl8xqeGXwJ6Hu1%1)YNtz-sSs=9)g6KAX@SM> za``=lEQ0&J_J4blYdFiTGxnbS^}fFQPCtEq&2cy$@Kuj>9g9IKXV%uwrzFIkx_P<$ zZe^K8g&pa3e$F@jBop)dh?2KO5%Y?t7KWOO?XB*8eQU!)bK_OrpVFp&K73+V8^^xd zwc36!yu{}mJ6FF<-zv6v@6pX0#5YdW5btEOSbAs9;!8HG)?e|x>G#pTXl3fQZGV64 zIdXyNl3U51c<(w{)<4zDV)!n~{c)G}wtv0;gZJ}=yPv<@-YF)w<KD~i`Wcu1{@-@W zYfn?|xqIQ0KNX#vmD*do*lurIi;Jf=w~pyzg)>LJr>)TMb$k%__DbFN2^EIDlllC# zq%P0=WXN;0(_+W;d$XRcTcCE~=YeYhTMJB1X!;f}St$9b?U1Qwt=)=GULpAh<wMHe z9eUIt_5IR40iEE58OxPRKYcF=G1wDfuJm1lRkZ2-((hl6><kyn*=x<m_xh%DwuT_z za@(U#wOK_6u72X$x+EneQs#Bl(RRPb{CSR_rnB?Osx11zIN{TRw^}?3T@@Reo*z!W zP-)X@SaGVg_~d!dA1iCWaW%{{i}vPj3%GbB=l!HV>UQgvdH;3tQ+?)|JilY&tfL`k zITxL`dH?%Ud;@F3u9<8ms(Yn=Kkaxv|6;THlPh~VIK<|@lZ<v?e$hMsQAF@t@r|-8 zQXKYAlPgqj`o4lW`Ny`$o7Zz5Y2U0qZ=1}9Gk5sH?(dO)&t0H$Vp&4uWO0QgquClA zwkOk?YU1w5-8^|ydU3O4ZAOXVH2(?94%s~{{VtrIk=W9wuD0mOy=4WtvbAq_9AXO% zmz$UodEgMAzQu8!W!ELE4j0Z}ro}b;t{!K<iO&*)HOgz3<y=&c2>FyL>MzVzzd`5F z&tT_=DSUJ5f6SX&vVB2Z(3@>?lU2OVYj^49u(EV=EB^hnfAy|!%NECRKY!`&BUY;C z`0oBb6EC5}mV?`KFBBfQr>^o$yX&w-?M2mtuG39-x6fhSKG)UDneV@a)~%Zo6RMV{ zXrKPxmwD`%*WtGpWI`^c%r$v-I#lb4!3Q2jsXa^+KTPbJVI9O}qQ?BFU3^a8vn4E+ zm8-uki+r`c>C~mX3nH@)oGi32(D}+RrS?=Ell+Dqcb(^_Db~tpL`$!DRG^!^DX08& z!Kp=@%^6SAPJZU$zM3j$I>V>a#QXoA!w;YCwcB^lB<;$#lfhaYs;jrzyp**lI6J%b z*t9Li@rg&({I;b^9kpxfT4A|t!=)!oVK2|A&;2U=Q&4E)W$X0SiSb_|&)>Ck*dk`L zN97eedpXa^P1Wi``<j<KJvqvh#mBMWT7*eebAT3KoXlpUO$qrY%o5L9n@`oRiMn~` z*UJ^V5@*_p-#(MWz%;j1viR)DcN6ESO6TslRH$(H*p;WN_P;$nv7jyd#&XfLSz?#Z zswAgsF}`K;)!896*Z2<mVkM*ZO7+TbQp%>6h#3Ck4L03!PS{|2=*ueOlp~909(r|8 z|Lu-j#kR6O2lbkay_*`Qo%pBHI`_fjjaweJp07*|>0T)j(P(TJoqd1xEE}#L&$mmf zT|V)Gd&v|f?$&G8H(RxPJ=g59S~1<hWn+ofr5hW6SGTSFy}`|Mv2*Y_2L5tShYW*& z1y)ync(};8Ui&+(h9gB=Chw%zYpqISW7SDp{L?D`%=%_|k!g~L??GXngF=UHdO60= zH{2+-&UE+xNrBIEY#+OdhcJ{s>U3$_5b@4-cl+|w``6pa3s_~G&k#PHq|?GI&OU=P z@RYWba*|+iP2$c!4R>o_zwhKcz#;9jm9^`cTUDq-gj89;`)ZpviCR~!@;${&mfyc= z$<kb$b=l2{!7fB^_0?^huQx=!J=wfkp!r7g@wzhm)jSIpKc5uTY<cmj?u10u+*&>1 z*_PkDp5EYCa?@OQ*FOGT220K_kA2o3xK~{+so%T%7ejzaZL|`@8%}MdWiKb6YFHnm zVyF9hb>97dt@)AZC#I^HKDa$M*u5&=)qAd$hW_zhW`#?=iHyQ;XKj=HD8ECsZw<R@ zwV;B$1k>4slbU(c&1ZJ|`W@ll!KQC<s6)V_oYUs_r_?F?eq4w-dEUw6<AinJR&mTa z*;S!<-Z0mF|K1H7)<j0UI*_+PAkL?GzSi=k%&RY)yVEK!>v1$uQ_*e8{z)6>RNbss zs+}Qn?z+!TryY;DZ+(7a)xX7A=&>IA7uAa?ETX>!UT!<awt#b1>aGya15;WBo>tC0 zERyyA<i^`;V;*tUa+#I~{B{a+3*WAFXp2sjkpfGB`1`mA^1ZEY4hqe;?oN4Ea$d@s zt9aw{%FT{^X|t^l>4-|#E!d@^AStwE{r8i(4qX;?Uvf5o&))R;UpX^l>^`$Xz4!Hn zg*)7HzeLssS?~BYZRW$|qX&wv$}##^A26IME1VhOdZWO1!|ijw=Ga7qm+6{?Pdnb% zeBk?wN7GuX#aX@;d`W-sYu8cU$r??y%O_6U!&{^=zlf{i*U{T6c<XKmR_E<Gu+{dw zglS||{SpDi;%aLFUbo*#TZ=w%{j1*dRlvJ{+BTnOr-fDoHvNr^?R~W6$?5+G+C_RR z|3?SR)!#B>)uJH2FI8LCU$Xf9#NB3Aj_<De^w5-BLSi=}%sA&y3b?xBxRNB3&cBCy zL<RKDmh6B1<F}yA$EEkDT%IUvxySMNZnx>IUyANdez-yW^7EKSq92_mdM!I3`c8%U z!MlCa%i{fiwi|C)o%T#YYVw-K?eR|ADmMOobNk;O_Y<!ci94U4_Il3W6sC(A{l}+k zPyVb_{5L7xL8ec4l4I1BkjzPX3m=ykYAkzEcS_AhdBf4o&c`O(y{ot}yS^pSe2as# zi{cvHUs_+^hVLtpU2%3=KJ(0dn~(FY6a03hS!C8$-XHd|7R%orndGumbmNiY8g|Kz ze=G`ZHPb5|D}D2>OrBm}mifapQ$I?2bMXsFF>T2@^`-wKKD#DLwwmu;mG)!Z_3*<H z_nA30t{H_p8o4X(VBVhlv^Vw64g*&PyGaLre>~CN<5}5eVfIOE#dg`PZ-4jiQ|=Di z&*5{W@qgQC`I?^%uWxG3*%f$QQS8LrIK_w{lbZ~2a}WQ1`FN33?JcWG`$GfzKKMS~ ze)yZ!qdcn#(wlrQwA8CTQD9ViE|OVLb?4Q}w-?Nc*VLYlWGudAy6H<z$>&2cZtwOz zd!^m|c-c(**yMTA*?!%BV{hbcyZ`5Kms6(lmxr1bQ~#WaXljqTdEyIioQD2eFGX*D z<ymfQn+%GkRf(VU5v$7E7;r)E$^Y_A#goiy^n)4F)$0$`cmH5GF=5)5(mv&sT^6U` z+&6rEFSYWoS?<bDuk-4b=lT9uFTO9}_o(S!LrKx8Q_`+_v)tFuJiUAKGKYKejS^?q zSxO#iN>w@5CcP%4ujozqvfQQ4m-@xNNG%B3bxH2xm(Y~*8s~lG;?~_~ZaM`_kl1>s zXwip?kSp()FUnU&^(C-gJGYQ|?Sn$W^v(OfX0#q(<gkz<^XjIuD}f4=w?Db`=fg7D zNj2;OTMqvc-+JP<>j#eb<D&k{>z+!vn|)e%&3*o=*mW$&P3Oq5&#VwOl;+}}`_y;t z@+YtB;=0)*BTJ{+#)Yprd_O|rasAv0w?q#ujb}&^^F1<i-uf%|-yU84xwPt4T!QTS zS`qz}xzbEQ=CPLx)v{Rr<<=Uu*>AeV&CI6uKj^RFk$t(RT26lPE;@5J;?A$7XHRBC zev?hTxguk+_iRzU@I1f##|~-2ruD_*qHBIwPkAfs8dkBmb$dc(&Ev)mVVv3p{QGM& zSRB1yJ(ll$^1U}h`fS(qGc&i<R@HO+w|B1HepIheh5xvEHk;8zE`{!#c&RB{pW7Tt zlv(M}m2M>w-m}9mT=`?q0+Ys^ip;AT4R6<eV@OeaF215;>YB&qohJDfvsNc_KH2?k z+tb{A3(tSPWSrvI&$m>Ic}q*G<ignbceX6dZ{DXQGo{PWTxzy!cx(L?o(*eRLJvo8 zT=Lg#S~!FL`J81I*TfH|Up~Fni{-+7b-Va}pUURr@13mItWcR1>uU8va~8+BIg2XZ zuG-Z&b?)|Qw?1tM*Y-bqBmI1!M_m0^t*L9Dc^G;XC~}5>v*G7`+<49>=l4dIzn4DT z`nR&OOnc2trsI3}?f;+H7d6TIcZJRp?zbP_JYQ)3`_I0WsSCV}AMbJa&Uwi5;=1Xp z>)3TVRhHiE@+f%jXKCbqbBgN;!59<Xt)dn0?%!W~UBhN>Q$tOqXRF3(HP;>9AI*MF z3{SrHNyp@vmCE;*X2*nVPR`G{9KTYo!KCTgKKrMJ1<4_&SKJl9{^<jE52wy)_VrJ` zt=e1ucDmTppP#HnXWryp_t_=?3nOE(-)fuwyL)(_R(x{Ka?N*ryJ&6YGoF?9jnB8N zj$z>saA8fl>autGm#9<xJkMBmeRurNGF7LrEc)lnOZ@&EzZT7L=9Tbft>4&wa^D)k zmxoV;=Q%4(>Wh-exmmPBF6;HmY**dus}I&|@6%h-DY>;wg}p(VRU{yB$-0^B-&4CP zpQwlkd{Eu`?k|Iw!^`~|SJ~&(_%Bp2FnJ;)dt#A*fUq|EtY`LIf+x-EF7cW5Y-(Be z^NX?h_j1PIpZ>vL1!BtN3b<M-<O}Xzu$t@Ik(g|`?@^AL;j{Tsp+O8h&HpR27pxF2 zHLI}vzH)0}VYT{+Q(yl6-NAhGwYt=opMNEe@Opo3^9^`Y`?!3G(d1{$N_q+_@8|s5 z)AyA(^ylB{@jL$s7Q4iMZE?LdJ#p2i4+jiyYRu+%oPP4vDZ%$L8j_c9uh8ndt+4RM zoRe=Z20AA*WOdb=-^p3<uRnpan<e~?v(IVXO8L+o>m42#O+PN3J8M<-D({2b6VIPL z=f?7X;o<wqNrrcL)I6VVXgI{4aV^EK@6l9|D;Ia}E$6<uF;HN|{_-X2+zK4c1y}DV zi<<dMX31N6DTN-h;P_+2mHWET*JjiFhF6B~4z_aY9(vgNys}?>!6s9&Rd*_m?kH+} zF2(yOK(?vF)wNYlas$)E-0sMV^Y`*sZ&qLOK-FyOR8Ea=?>{fna=plY_Mz3<LvcH; z#7bCsKSbYovRzSuvBsWHnUj0*4WVD`^HbNey!yG5W4W}zS)IV?M<kcM(DeW6yF|+< zvG<eKp9kOU-gM2okW;p9<-L&qGjbn?O0<4oVafJ@y^>jiQFdiQx{dLq|6RQgLSHX2 zia7XZ5#yuXk@a&sQ`4QEG(S4Z81q(h=8l|z142sEqdNEGG-jRA<9l~|ZQ$SaFK2V7 zeG~}ys5sp4l+C63{<1yUF*j@6cD%iQmnGz4<bDg*<(t#bIa#V)F1dB#asD53Zjq{~ zme*pUUInj+miWt-{Q1kBJ!&5Qvr1;qi^~n*ytDYg@;<8x|9(Z@GkX>MY?H#Z?g-I6 zg^V1Iw}tJ_bwuQSUJ>%<7{kn_dCiu*$L{-Cu9~37vh>z+S#`^6jW?}=b3{|LZzQk2 z`X=LC<5`=HAMF(7PBgt-=kjnDPkO?<vvwZ&i)Jm-e*9^+z~=_binJPw$?-q^wtRc~ zRK&&FP|w3V@>enIfvg>+mD9rlX0T5Sb34As>*AgVe~VsNuYF<q_s;qiQ}vrGTHm?f zd3wF&yYbqcMhDAZn;oCD_k~n~_^y=7!urboc#Xigz(uo<1|LqTx!e#x%||0Zxl7>2 z-Cft(m>Q?dlI@%zW3zJR%SaxV%<O}|{@SeDsekK+%jBoUlO(5}zPEw>Qpc>YsT@&@ zN~P!h*yJc=>~L#&7@JGa-`xJsvJLZeHym7=_cGwif|eQjSL9Ce&Yi8Q5gYpU!bbbQ zHr1N1r>d98-mZ2$bafv~pvQizgRg^r7iBE`GxL&Y(%<_xP2_d5KiNn-v9}5DSil+( z{!?s^ZpngH&!l%DXDf;vPD{0xs%%a6c_*y5gKO1tzfZ0^YbseT9pZNuR8EOFd@NsQ z!eiwF{qhpV)yllQO?H;=PJZfQ^IC52w!q=cX#?%<3v(B#g-#clvH!llH}lCwuCo%4 zl`)m`P2haDRy}W9w`G8%oar*b>ADrQx_?ZT6k4bSy$`o?by9R<oE&fMnyCFE<zma) z)gr=79)*7yk6aQjIB`5+k?{3v#W{D*J&(QDn{r05?b2B;;}<HL8dATqw;fkx7C+SS zgH>ruZ>(|sskpFxbK0Hd|NSoc`uO9ILoZLZ#22~puYK{##^z$`Y=P5{46dEZKE2<V zX_mz%H&F-eTY0yfW~Mf~guj_<BU<uiZ;#}&FwOMBr!Sv`*2_N&m#QtcowH74si16p z@wBTqcDcD2RX%;uayfGIkr%%%xN@4;zR<ZK%^kSZ*X3(ks;#Bq$Jd?uGU5kUUhL+J zJ~-|BS=*Ae#|tNXl~R;hrlZC4@yY!SniJOO_!?xkEKb_v!)Ldho$>oQGt1d8uSS-9 zXFB|a{qIxP+sv)r8&bn(+le$Tl)Y2>J?}!E&&zm^zl+SW#o~CSLYHkd{JU<}%~hel z!^#u;IQFD1$vb*Z;m6;@LHbSWo<FshX*hk(W#?2&_16g>mUX>et0~Ul@G9}cy<2<V z|8n3Jk3Xua!MVJ;cSl}_;M^2Ji8!rAf+kxmH%cuGmVamVA%b1_<-a=Cb?RDAR?a;5 zDMc{&fWoHx=FYMgubngHnbRA-^)BDzrD_vJSKF`cXwtD>tQY_Ca-#FLi#oHrdvgsJ zr8FEryEeObhkDcZRKr67%6n%eI2>qMwe$ho(v}3<ujW^oURW+o<hr-#Y&2uP&-q{T zf7|Xk8JAFS>)M7``+oDIN?#5gPFTNi?Xi~@B0~Nvf2bawvp2!aJ~ZC#`X7O*+21bZ zpXd7aK#2XF<=4GhE>;`zg75W3F>h(CNLH=PoL3$$<(webR5Ybxa-+-2k`5!2$N8Vj zql{ZZl>1WF*q@xdTWET$d(pam{0Hx^Efjn!byV{u$3jkKt`97-`!=r^Iw)3{yp8+f z<vix)4BOTu)pCXZ_+8-P7GJzQcM_Z9iF+%xmlqnyUMz5&mcB#TH-C$`&+F5>&tyeR zjbG|A{oWzL^$VXx#Cc54IhMC3KkWJ!*5(W18DVnWGq%-uJvB%Q*br*M{<JjGLI2<H z=5Qkux1()4IAgo~+}^Ty%O!t!!u`=Zbh1tDue6&@+df~syVYbPGgBfji^ndd!<#vR z&h0;Jwl(fdQEEg+t@fm{`mzfdEWIw<?j;)=AG=d4+_JmJl<7|N&uLeEZ|5COIJ*Au z(+%@D&Frl<FaDTPog}!gS^G3sx8Dxgyu|RbFt?vendb|g`QO4;ru**X^SUM0dpFxC zi=X7Zr?oRL#AU7eq9Vbf)XR(g(;|{$a<@;}Z+pGCUiRUlj0F-tGiA%lCok8sSW?a? z6PG8Vw@J)G=tAg%&~?`u${8kX{S&WY|K*MobCg5!dr!4gW7+&X2TiS<6F2<M9MKR7 zo_PI!_=kUcoqRKGxV*|IYR@yAEUo|lV666f8Rn#lrT5A%K7Ju1bnn2~!sh)OV(wME zoB65Fd9nJ283s45wHm$-X?WzADX}f>Qndi{i)oDkKenXHZl2|tteX%lD_+B#ES&g2 zMZB<?YnoQNVO_uD%JmzT^(eY*HC&_Nmd5$u*v+)C=|^PugvCiZbsw%fuyf|D(4|i# z=FdKt@z|R~|M*||`OG>C+5gth`(2#z>K*%B{%c2bpFZb#e=Kc#!rX*Km-1UBf6Dy7 z{k(9>QeE-lIe&t>CM)|@?>1+iz`k^1)~?UqkF9+#8vl9x&oqJMUQNV?W7g9ib!<;G zSieq#&vCQW>9Z1g!AHzY1&xE<*S61%oAdWC^Zo~mrFcAE_$_F-qOs<W>fyI++wC*? z1#i4}cAqTtN14Z>ZeruV><=az#ow;{KDYKu`r(JA5(m~*b1bb&?W(^Z5P7VC>6UC^ zXKFv&Yh}Zry|4D9Jj^_&b33ZKYv;@Zoh?y+WEF1d%Y0?wJaMb;rMjK^;^>W{Y<DMJ zK9y{IR^V_!-Pz32r?t&9dG~$1;V{`VocGkt#kz~uRc|a%4WB%1{ti{$#|ul+{^`85 zi?*(mw%9(skjYbwWxv3O-Ky8-?&x8@|9^w(lpih)_Dvg8?d$*j*6BTR!T;{-O>R2c zGd<2U&so;}Vs9p!`?|)r*FQTcO~}~G5>mDJmZs0PYgX}{4PxK2&U8J@l1xat6vmOk zY(C3pkLlyzC4H~tR3293)hoB1zgZsa`qYR~Ah1&V!tY7VFHDtQUwZN>_UnQTt0W@b zrp->WX#Aq+w|w4pVVQ^X9@ndE{oC~~jH7e)#k`MeD&A*yd}rR}@0m9D!OP_Np(U?; zRWDA@J1n!J&EZGl{nOvqye<5&r!smyn;gHy%|9_MzYLC@KX`T)b5J>RnALmPL%PfF z=~#BGY;2hF>k;1>4Uu*AleFiaiRD$zOn!SjwOL80W%i{h2C8NnKQ=z)tCh&&cRC## zaYQvUWmA8KqL%!mmWO)lUbL(<xO`w?R$GP;|8fWJ$A*({h&dz*&Y5fZg<bNg%btqE z8D&$Xbr`Q(6m!0OICs&cmiK3j96cLiy2O_pIzQ=YjSp{M!<LeJ`zP+3u_gY__ijN& z4@+mu{Ykfc(!PB?ey`!lwp(TU@7&|6S(5lK=B#?};ajN_o=pGo)|%HX#I|md#?c7b zSldlI)@OH0G$!7@#dt6DV#<zbrWxV0lh$;$KV0{;VN$0PyQIb!=UYt7lXP5X{rxq` zdcnl)EDJSK*zM0MG@d!;p1aLGE$!$VmfdqDgr-h!b_j`&*YWwOUcPJkjOe-gHM_Le zWf+?Gd}FK8n*7T9g{WszL{CwbNPKkhwQWBZbDY~ULD7!C?frMX@ZDcn4_&;>rd%&A z^Q5C-afwjc(|sTQIYpGsu(^16;qBn;?=^iXVv=8cpWHd-edhA7RlWTU=T(<_seCYg zysISISmySuuvdy4{fxhOia#(mzN;{LtzUFEF7EV^l*DK879VZSuG)9?jQE_jL6;)J zZx*UWMpu4_T(gs}@UDf;d8M!glIG_wYBEiFlX*zQKva0w@_l<k)=&DjCWE&{?3MiQ z{PN_hJAKdHT3)he3Y#`d%0}_dBMglT^}qg}vd2}@evS9jvlso&EUQ1{-}i~H<o%|r zK}WfkzL{?x_owETnpVq%kSbAwBl#b0`>jqp)#72WAtdR#|L*v3ucXUDn|Fp^Uf41% z)2aH;1)Ul4{>-O-Uobfu%75Pb%1c(cy2zFfM;KV_KF%shn{;zh<fCtS*&hODFg8yA z;n^g1D1FzL`mzb<_M}f!FW9|<zhCkGOWW1_5~0q*k2aeBW1O&YqS%)M(dX|^&%ZCR z=)0l&DQ2a+rkO9_tXp~i;w^;<9xQwz3j;aa8FglD%{jOIy3J!XQT;d4H9_5v6W2Z| zwORa3>y4kzr}SI0-`E4q``%2Q!NRnDQoNzMO{~|lkEfRgY@EsRVaYbXuS?7LX8+dc zsO#WuK6<~jU$OF4DVJux?1XuL*Y-_3r0Mn~B!1!7qcd6#)Y@A;p5)4HyKhhE{*_y2 z?0YP|YrdRVqiy8*DUs`=f(}_uuDGT)XPTwq%#8=nMOYOxthGH7_9azXKJeU@BmdMs zuAG)(l|T1+sM`Zuvv_~26;q<xed6TLZ0+NZDY%hrA}45@+M1%E(ea@8wjZnf)}5vP zU1@KW*!M|zhvv!UEk3zyCNs~GPTt~G;n_T~oyqQ8WzVcbu7pNyWPbjAdF9hnlB-m2 zEMK_v%t2Q5zpJ`-e2Zi;`d+_AtYfi(!}a>DM=wWmm<gBsUclC+<aA4K`r(kq{Q()R zNlqLGrUq`?^mkjw%g0t5LM##&ccob|tj>BBAu1TzrgX-p_Gd`r8=VjLdAB~=c2M!J z(&e5-d+r}%=r}2<W%5!nJaMr-)1F;x3eG+I^?JV2^BLFwZ+NrBeSTG6Qc7D|?45b{ z+(gv%OCH@9IKOq{c~>_96V1ryE;j@M>MR&5yIQ&JIG0?uvTe7FyLo-)ZkDow!y8uq z4U@fdd!OlFy@kTQ*VYQ=#`J1d{dugHTDC^O`RM_MeLMc=_ZY3?4t`ksXydyUm2K00 zuUq!&)vd=Zou*4B9C4C3AwIuzeYt1hPa`Wa^|;R#4=NsOD4qS@J#XDk+XsO!zKWha zq55EEd|9-`9JhooynT{iH#>iPv-icl3;(}dzEi(>UB^{U-$!Mg8z1)wUfMG=tAsC1 z&S9NN@1*`|8@K|CnUlOs&S{qK@q71V@#XvbmHtY8X)xZD#p8OaOF{Q`_QK_Sk#%z~ zMLl`?_}TrZuR7-y*Us-L_C1-aRjb1)o6Ns4EBecFE5|ig?w7SGr&O;<nL6jjuEO8@ zZAAWT5&JzcXLYCv`@J`siHt$h=iPsB?|RJ-!K>#r6K75j`QyqwwZ_BMZ{Nb|d-=Vk z-`HoK-hFbK^>_dIi~jZ5zFn=wdVGECLdlt#6Hfn&x_c=5{;oB%nmoC;2)1^`e1D&l zkagN|9^Vt&@(nXIih3XF7|hB((>94KS$>1Wq~{h{P4aIio>_THdrteC#@5w7t9P)N zx-1KsR#2|Aw`z-vZ%=pig}-wq1pf~CziIUsId@y<Pa?bj{;>Z4XH!sBL8`P&a<aRt zWn-^!S>U<F@BWuevU%5I$gX|=_GibVO-)iaJvVlqd{Q&TK5w1;@-M!Z8Cc3)PnW!5 zog4Gl>uXf#wmV#vOTu`P)(9L^jx(N_!u;}LxT@qLyEW;3TOO)6-Hng<pWAZlXYlcv ze{N0L&cA+!vLjnugMi8*o!t5A9@mz4O4e?uwd)saTNN=gzN{kW!L7r)`wsZaKKYsO z?q*K2V*^{^+|LE(+w6m9O}}{b(~Ki??Y0T+km}CrlKuXmj^mx-$~6XxA9C6G4mRig zm;0i%d*9ks_EL|(WM~zy7dB_`?+WTxR_|MQF7C{XyFCVvQ?#xfGgk`UyXVuEPjc5T zn;qLfn|a&5FSi&Og}7#Gr|xBTu<gAq{{G+0Glxolamgp2IQ}p!O!I5~1U}zGOuJ2t z*%m%8*&Ji0uxg2z<7y9wf9K7+UsxIMjo-63@7Z&vE8pWZ1!t|kcipbB=+4<0ac){G zG^XtTzdXn&Y_i5-%^TmvS1vu?_hYBX%YBhNqM<9kUY&8Gp{ze?e$c{<eP7?diK%d@ z{QN+uyy(+pSL58gH`Tj>PRAzBdb+qt=#!-~mxO0d%J&0*{@ppWt7yR)(aQG`y9}CD z)fW7Z-pY6TmV=o@x$=rXAKjK2-*tW!ymw-7<oda8QL9y_zSi6LrdwuH?Zu`gJ3AK# zsg=L@`rCbfh`Ma8k1dCen?XeSzBhd0hw}@}j=plPSFKvQ{g}pGmz4_MzShlm67!=w zliqb-(CX|p|KzOi_ju}s$MIX{topEE;w)odUYp%4nbSWDq`D-Z<JrI(+|!qKEzQ+) zmXC(ows}*&tvc|TOVe7k^QBrEA6IVhM#)#ld_MlSH)Ii-9~N_rrzzpgZJElQ3LU9T zp`0GI#uvnY<+H53u&IdCfoI*)bL>Xv4!d;vn9cwArRZ0_cMpGZ(lS5K9kzG*{${Bc z?48WJUu2!`iI%r}t<SArd3>(S$7_8BA5W<jIcTx^?|K>espyHN|IV9M3hO><@XBe; zWtWsxkSX1jZL?F)s84otuE+BW?=GsA{W45EvMa4raNj{8jgO*{JS*#`ooJeH{Qr8% z&tgT+ZHtSKb=%ZVd#jiIt1;+YS?B*S$727PMiW;Q%KcyZO?GF@(s|sA_w0(${5aXO z)uu>5=Y0KjnYZaTs<h60elp?g8T0$sb}&!fR(){qT!)2o?V}D<UQ!m@;G`uWDcu;q zJfHow=%vYl+1k3bWtA7#sUNSd$$Q#2P2#}C_TRj_Sh|a~rXG-Zz2<h(q7U5D9u&<< zc(&rV;hz(;RJhN&lqqZwI#;T}dCXeR*NlzrlzGsX<^b)Py5HBQ?|EXQ`1!C>+fUEi z>|bPxF4rGB`6#?T>G+NRxrHC<rtF(6@+M;O^w6W;Azq(y53Di{Z&|<c9oOp>*KaKe z-N9!jc5KD{r<{RBKFb%Kbl0`lb=C+@F`k^gb(`z<NUziDG&O(TNLP&1JfL1V<>L{% zdsYkN$|SzEa^3oqT6?r0c2-_lQPK4h>!+>}mr6EGkme8jZzrr#YjKeI*zD5UG?ta7 zVY{a9J+fe~b=I@r$Gtq=rn>r?82nV5-_Dz0o_jUl(KM%qh0*BO(tj`iEYI^a+Fw;O z#ld&u`rPe8>CQUk`L-f8cMZj+Sjcz9&(J9JEq7~*d0wg}^+BqvYR|D<Gj^<-#(GE1 zqj;;boaq6f4@-Ll(sc@IYtOyT3H{Oa%;%3s1@HVtf~*C42JWweM7E#YUa|PVp-syF z7wQ|9Hg7Q6-0;_LwO^z(U&z+B3BR~9)V|){&pX5KqO(Jl{4=}SeZsl#y_!xgddrwT zy|LFI*!bABMHi<Zy|yfWk>klNi}yatdlSa0r`>nuz1v*VoeV4Ujei#A?0?!Ea<OmY zu3Oo)b1w-A#h#ne$<aRhuDPe3?HVhmMc<Yz6pENv;*>DqF>6{=)oZDxFRRqv9=Wr9 z=k(WAGJn%#KSXhG%zN|n-m?2UJPyrPH_f@-7TT!wi9y-mZ5_{P^)}w+Y1z!(dKZh= z=sZ@-e=(g?)-Jp_d#31zV>{1$HqpxaRP)oWO5*OUIJeM{-)f&l-IldZyYS(|s>Mb6 zuG{yet?LL_YqFHZb7u~_!HJu~F5mXM+l$KjDy!9e+k96zAjX;D@yAz1x)qbR+q$k? z7Nh&%BuB-ZRrNn^gv@PgJ-NlI$ig7&m3vTWU1vH^rSz?mSzoq!`F%BSxwhfV{+BK} zcN=_E*ZyQwkyc8tj!$Dax~Q=^l~d(e-joW()jtZqW>=O6Xmh{gvuv7iS$VO0h|Z30 z&y*)wp6jVz^KHr!<E~ds)7+(eE;vUli(0w)yzxm6og2FGcQXAqeaKnoVENft&Nu4y zdo8IAuMEl_oP45n%k0jk16ezEo)K_WdoH>w>q6`H{jNW@)CKYS<gY8R@6)b%Cbd4X zye}ZW?48gAujkA@qD&sfzm;oWp1$(D;>XX(h%(22Uo|B9MH=okJ_~S+*f+1{^zF{v zB9pk)+m`$_XjaJCH`PEvZ}sQAHHSqiY?#z|j=Zj4W%H!R%Ter|sKGnQX@dK9zvf(h z>5p7X@F_?B6*npxpZ28kZszw~IZe`2FMZ+Wb1MUW?YUj;U%NqP-K8~8WNYqT<W^$| znz=G;mr?P-5UsbR7bmnF539dkXt{&oEXNtY#`MeWydsM}CrDkseJS~m!-Y4jIsuzs znYLeNS>_bFLgJQvU%u&)S-B3e&W&DsZ)u*j*J4w!&s=Ank-OJy>YKmY>s#&D2N`Ot zi+z6DvGK?GJAZT5u}}MN{<-IG;Qk$JCmmnM{pH1Po;?NURgJeOS;+Ov%@>zHu#81= zW97Mzx1XK}=GY@XuVnR?3tPUPvOchF)%TTmx+N-qyx<c|`t$hJ+v6YKzg)ASH>vGI z)4!9G`u45mKkm2im_bS6!NhlWdn;K~;}w^yE$o?U)^KEoR^BreJ?%u{0+Bzz?U?^h z5@FtcJO6}kZem=Wgkj35^$g1eU9HOhv~K#awk5Sd=lb#Q5xkT57=Bxqx$fL`<WkG3 zlMXBl7j)WG&pDowtNL5K`FqRM7f-D=Jno6tkG`?iW9m`6mygx%dVMxx@?CjW%=T%^ zs=LeWXUsKv{A2$=z0%4zA=T=Ao#lR4CvnOBTR4qr4ugsM&4U{|UClKL{@MHNO)Nd= zuC*swRIJqW;RVMvd?x#*2tC?ZP;jUCPiN!y#Ud>8eiX@QdrWd!>d*f#qr$0Ob?w_d z8`f_U+4?=>$-`%h(!QKzlbP*M_lbwsbmjb6FFrZ$zhaYg!kF#<UGZ;kuZMiewUQ}~ zc>Ck%lBI^4bB><L^))}0dTr_n>m6<W*Uql~`%Xt8i}9b7?cbR%MZDT<e{%nRrGMr( z#|duPJIw#uo<=_|(E5DETL1W)rUzM<V^;7P&s(;<F+lw3R>3!)_}=sgJEqiS&1(30 zQpX^D=H)#StG8q?IKDAmeapqLlOETD9!yPn)TA{z@TgwK+R0v=dkbQ>-ah!)f38{R zHx+mLm8X=uMY2xpc>9}Ou<c@Mcwv{%KQmT$h2?kOa5~jkPr9A^w0q`WgVn9l;eXO= zyytdJ2w9tItru|Mc1G8+^YXmQo=rLSitC`SzUPGUMH1Qjyl2PtZfyMhZr<eXz55%D z<Bv~xU&^R7Id-Y0&-K(}0x=xdXXV|ScyVn@4Zq>OXck7_1@;e|ES|2P`uEJQ&kaU5 z4_=cl%bakY@7>{C=ebD|#}973rR?eQv*F_5>=dJpPesocm7n^VC#1J)-2;0+>Fh^B zH+dhf?J%7-{aYUUCx;a?T9_5Smsf6dJJNm0N+wxJeb$mIN7}=myWR{iD~+&@m{Fv; zVu^>?@~2a|5Bz#|qS}0N|N5&ZPAlJf|3^2+wO!w6e&Dt}Y`?14Y!eE;dUm<Sl>Ll$ zzb76x{(WLaNZ!tfA8D~&MTrMO_Y2?r6I5&X<It(Ey>e&%87-N2Zt7vrcZ!dh+UFG9 zm)+`@clVXh!>f^;4;>#JRR7-d{Hte&&yyd!Yb|~|ap~1EKlYxo&pKb8@!CqW;>C?Z zPmd*?<kH()a-a9*&&2zOn=Y>in%{oGx`f+p@t2Fs%kuqic)#1SQSG{dmyq{&%PiI? z(;T_uTK?)OPp#~h1vVyVIfzSTh`w9U^2{{JXOZpfmyU1xz9=ug>d|;x_9N>S`LD6> zl24YV_6MzsWvJrHco#77ihGM;*1CT;pSznGMZ2Uwob-p6KmQ{0a_*ApF<Sh~HZ(lc zTAi}Q(7xD)=MCSUX1BN)@6~ouGjry4t?LsDZ9XC9bCA91Z;rX~pZ0eN=kihybnvJY zo?Ex3Bt+}3Q0cz|ja-Mc&133<WPKJIv2V0+x_PQyx&3gScE{hyCugTCEINE>iKpPf zr{#N1Pt?>DU*zi3o~EQUUwrkpG_#(imERX-?Ed7PYPBmXVC6Eix~ZQ^4^?Ho_-y1I z(yO^8%w&JirguIrDd+v`n#IbM4srYCTdz?2xaV~~+yB$SwHyf(^`d8)#vd{hEsK9X zSw&Rs?=0_&^($ulaP#L-aK5<g`_E9nmCXgW=V`Y8+Wt*0M7U<vMfuCYo2ST5ejgQK zZy;AzzMNB}tL9_Ddi&)@Px_WR`JFX5r6MHemni61bSryD@qg*o`A4q{i(k>)CiI=5 z!*|l>mmh^WFQx3fUe<k``EvcOAkP;m49};=SO`gfN#Ahh&5O{+obPTYCoOdNF!z-E zO_sT?h1+<~uU*#1(!b`wo*6g#y2}n0B|KR4);+4z<#K7jJI|A<Z}ziiJzsv~UTTD& z`{Mr>eEcFUje?G<pUqU~IreAe(Nothy*?E^<zz|v)sr2Iqz|SC+DfJF{?EKgaG$E_ zwg+w=F+U|-!w>N;KkwD|R`>_=b3yy5S7R5>T(+}~e}zEwp4wvRX-mGhNU-aqBz1S_ zeAoNOaxVWcyV5ze*q;qGE2?{DE^u(nN_f^<yCP1Bw<Gc3@oa&FR|oH$Hs0{!^K{G3 zb&4`K*aZDtWDk9PpcLCwQ}I*znrP#rNusY7U%vHXR(ns!)Cju=9lA2!B7s@i2SaV0 zI1ZVm%J{1oZ(kD3xRGySrn6-J(mtEUNfO^(^$ss8QRUeGt7mV_=0&?@>q82Zje2yd zss%auzD{{6x@xN#v;K<x(+-3%zS;gYD9bZOHYmw|^3%sl)5M%!p0+gUx7*mbiRacI zuSz~w`v~s@p-6`7*RA<-+8y%Rwp@L<?%YY0V@IWYb#6cJp0~2X_5OTy>6IVTH~%<b zB7V+l!rPRKHXEA`v(0s`Ss&~YTUKwn-0Q2~9I-FC@eLQvMRg1sv)KOJ`V?5cxH;ih z-|Xd$>91|eF5EHsZ`Jqr;7xJkD+T}E^H#C<yf?D?p6rkiB=7w!;r+bz)*UZ3&rh}a zaN&uN#M3n^Q|?W--Wjv@{E^T%7w-I7b^7b~I}zsF_C_aumRZr{cbwf!o#*N4X)KRj zq&J_MYwvvHd&aai6W>gGqPsd|d(Vf8u>UNj^1&;%30^YX?aiz7x8Tqh5nI2~g_6O( zt;G{_R1y|)olpI;E4=V|?nK^(Piv*#+(<YT$CXtYE28}R0oUcFD>RxOL^vESKG3PT zL2$O!?uC!j`z!gTKDwU0&qBw}>=DO@yFuEk=1tqS#C@vDrvsDMvhTC)w(q#cP=3EL z;jrd6ug%MpI4<9MV=en8;Ch_j%+;PNm0$VkPc==FeV$=;c)^^HPv6Xsa<5QyI)0?p zW#QS<#NBtMth7|;%&;x=p0BrU-M4&qxebO~sVbk@E=6VjXRG%~I;Z77QKQc2y>s;S zFTR4=tGxZL>F&|5E@uvB`2SpajfK<o{NwgM+niq=u56Z6@Oa3^!x3F|Bh=o0#)6o~ z`wlA@tQ0x^bW7)kSg&xlRT}ebPDk@C{iO7u%X7nR?z;k4Ikw%l&3pTB-u3tWpDa&> zKi*z=*huTkxt^XR-pSrw>1i464K0W3=WlOXC|qhhDY_-}m+aKF7s@a1%=_fMc%i6= ziov1_Co0W1dEE7z&X#SwBx;kd-}buQuQHZK)qZ5mI`04XLs9bKpxmp+vfH;?9lp#u zsk5^_$1F$lWW|AxBJ0D0Hth<WekRrR^()3BU)7tJ_Nz;5N|P$6i`M%o*nVdf|Mj+0 z+hV?LzP<2$>g9`bwP$GEm0kDY7~6BM)U8Z%|G4LGJo82U_N{Q^p8}D`jTn2q9u#DK zv&?>1X_as^CX4r<=7CL;;-=;<Ys+o9m%mBYyshv~`5*u9rzyV<mh7GWeM5|C#kw_4 zWoHsaObgx^FINosdGm!4d+`L-l*+U0r`Qi{|7fUk#M;utyiT^d&``JQ?75&mDe01} z8`u*3dpiGn@R(=?F$o_@xKn?zdq=<)wzvCcEVOdIwKrqF!y|hmL56he`IC$`20msJ zxErpu{ztWYTER*CKewGfmddL1f6bcH``vq5m_?Il%46e6#jM*>zNT#3T6-aCX1nv6 zs8hQ{Kdjd~(7C|5KFF%-K-bB<_9E+lt=<f$jz3E|&b{Qh{tBPhO&$;HStN7wzdSjw zHTR3x>|fK`b*yeI&DeJ}d|r6lZC~4}txi*aZv7BddUH#_w}#ofEnoc0`{{XaqQe@q zZ1YzacAI*Bt9Ckkn>%#s-?%y1TC1+}pJJM3XFc6ewRdAmw~?r3{dM+UwtFH|=Wy?B z4%K<jTA&kT+7Wzdq3~g;iw9(kkCt~IIZ*qHDXi9fHNVpR7|rKQ>85uVZERf4SSQZH zw{v=V>H4P(Z<CB$l!co6zAi3Zz9{lV=APfiXWx}C{r%p0*7X>kO|qX=WuvP!Bqq9Z zg(c|4x$F(q<JQpL`MXW9WZCupE6Gp4_?zDRTy`jx$<XcC?;WMJVGF!Cir#LkywbXN zMcxZx0fm~n&fP2tCo6md-V5GaYrS@DY1i$=ZXPT5u3GzL@AKo^dD31B2wy&VSRjyb zm-iJJQ^`7=rH9`ZitauVU)8uxg-P5zykpKph0iH55APY*JH9#o{h!kHrPr^Tw#?yq zbw~A;`SB@CN$15{^!8n~J9vq|YO$&BgHBdeo+y=_x(5?2yyuk*{S=c^WAvzburg}T z%Hrf&6NQ7^pA$JsRG*eetgrZSxc!7P>w#AbMa@D&PNxPOx5+&BTkG9=+dftQvZyxU z)Nq}{x;>ji?|ggD)Oz%|>Z!(;qQ~WaZe?2aY@_9)^bnn3wL)#7O$m;{tk=ETPx8%E zVJ)3EQA+=wtcJTiL$dv9t8hiTzBSQvGiUeKPc>g`pYYP0$#(kW_1{B^o~_f}AFH5k zdr^#Q_UgX>v+i9;FPQhE=Vy&V9Iugi^Ap*FpZCrFa`(ofMf*y99|S8Lmu8GGN!RHy zU0mD1&M^P(O0MUHjQ)Yo&c)pD=w2OcG_z_x+js6cpDNgR;|lLhocQP7(j*bLH;c+| zTnTtx)VeB6JLl;U{_2OGqOuiYJMX^S<hOY8&XrZ0_+B}FpPSGeuy-@piH_OZt^^7* zyS9F3{x0XSEur7yT~?mg?()g6Q)V4=douT7UQbiNMCKd+vovGGW~Dr3$y5>IW8M3x zTL1srmJeBnewStD-kT*Y{CN#?!^41&2M_b8?X5k(dH<~zRrx8R?grbn?pKR#m}4Y3 zdv;sgg3|TsTxz$z9aTrV5zH{fBF#uaK|#UD)ZAdV*4G6x$_=sBF$QNCH|xw~`DFXa z?wMD#>$e6=F^7dU?KLeIvw94^?hEI3S>0gXC6yGg+(I(*bF_H<Z`)g^0ut7~F8y~R z`nCLn%T69|#UK01rZ)aRc3;D4-X(LknNQPid|rNq`NXtTx0j1~oBppY<}km=YM|NG zuI}BpGDWzcX?g72Rbgqr<g6C`n3uLe@<{Rf)ogl&hE9T7b&JJL9N1;Lc9-YGZFYw} z&vNv%HEn;$%=Foy{^sJ>%w}a@UotQhZq!wfmKWLdRYmzs%^kZwo$Q(a`19T@$a(VU z{A8^dL)Il7o*{)C1!```_FZ6MtNLad*ZymJ(UD2KJKj|;*%zyGY`#YO!<&h2FV{?d zc>k+IziDKR#9V`@Sts7wtZ#f5`qF3Hv_D5U=9)ZEnGhO$;@#f7a}t|!8LFjvk2BWU zu?7U!iO%NkRB3ED{^IqPHFG6nX5C>|e5`rD@LSuXm$GvTPx+i_iYd@fX}^13WLfK# zBRjr{aTZT6ttxfcK3gbea&XJ^lPw(meu7M<mCNSy|MBnp>#AaJt5Ki1j3w*-{Fv-J zqFb;3N^S4mJwdh5(RPQ*xzh!n+Q0mC#CK%*>rSY7#H+t+txoviFLukXXO`Re?b%;# zb!mR|wFe>oiY*6Tx&LB{;$C;<V_eqFC)#^2upDSSCU3iGExTg6%ufCpk(X=^sA<cI zd)F+CZTE|tr(MLPtsXl|-Zy4@O6s0hj^`dOu2B%My<IUqByU=j<c&MeZyV(WNm+f( z`?9|H-LqEVlTmM<{517F9(ZEcf3sVsCbBpiGyXV{J88=Ptsi(|_Qv0DTD@=K-*OrI zfa~8D-8^_+>h<$*rKYl(FD|Ihb+G=T{>$%HMX<yl-5?$NN9B7e@^X{2GnaH$_3iw+ z=KPPTakqOdHH>T&l}|<N*`w_gwasCc{qY3<nwB5M&;8cbx2ME#_pJ^MkGZ6td1by? z`=ROlJHBybv_&}2dKAxe^2*eCMw2$?r9F38!_#{G|MtDHZw>v;nU|?QY*W9z`d6-v zR{8;6+2k}E$<$brH4e92&U<Q@v&~#PE41Q6&DWWRFJt62dqWBy|L+&xc{;gGHs|*Q z>&_R!bIK=I$4zo;dwEu@A~-@n;L6oEex{61<B!WUuwK1!t~%Lu>fA6Ux5v@zoWA5I zuZ?(g>XF^+b93M4c+S_T30|c4@Ah);ndS4(Z?nB0;UJ<luZuVQ$?EAcrQJ{cx4Oj} zOkVK%;nV*S6M_@HmtJ2cU;DAS>E#>0)0Ym;4w|0!W&8J@AB$Hh?dwrt{BvcWMUPNO zO7Ovro(ntY{1oe1ugr0odq=YLKPQLpo|`9j{W;Df_xILP8C}j)%O8nJyC2N6l|QjI z^`HEPc}JaN`>*W&Svgx%&ZoQe<cS3-H*~fcZ@v+~f8kQk!)q%Jux(ISzk<1}_DaZx zq_gRrcRKytR%joevwhb?E{S8YJ1o-$j{jP2$lvxk%5#rpUG9{-ZMXjKe-Qd@gZ0tn z!WS>SvwV5oEIZgBZ*6sr&&BW=Uyp2bJK@uLIlp#_Z2$D%Y3|ybS6=u2@nU=JR;!(< z%6eVO<elJ>Z#=HKe_CJh@}7A!+5OeR{>0T+r?#(~9Pw<z^JPEIFIjx>!SNG(1yPRj zg|#o;*m7l47JrCj@|`g2u!q6Mi5I-JGcIqKbFA!vlYVfOwE(Y#yT#1B&h@$R9PDAg z3Y3GpwSLWi#`{P1yezlHLNf({#XhBtSI-=LtE(m6lyP<Y{dEhE>MJX=hR*ymU#;t1 z-zA5gHKh-#{EsZ+ewlJlq-AdWVw;O+-#OKE%n=C`*&4UKk>$qx>{a~xJ&M~8c33aI zlrlZ*fYiyFnQy~pDW^1U;0t*bsqeZq=)U$-cI^kUhS6R3g*Jb(+!%MwFPGmS@@3>K zzxb)wuLL+geil}<tY_Cxlap#I;sTZw^El3sQ+gzj`0U23)+gq7gW}}R-|p=B7&yba zHLl3!`vTdEY-)G3Bu@XibYXh#W)4m>{?+gEk1XSxWxq|mzgX+k?QWiP-~ZT2tkn~_ z#XeVZy>fZr%Kf&>^WMG7pVsv!<&Aa2I>n!%rK@rdv-@7Zeg17pnfhtrV5v)Ywp?9x z@c_qGqu$Wp)k^o++{2!-vEOQtne|F5SADwTqo9XIzo)FN(%w5ovGYLMl3iJsW*?k= z<6F|q*gE$I{G}hR^I848-C8R#n_;8R<kxNuNk=9xFXp*0VdjZw!|L0&c|P53U~##b zBM>&pId*0Izhx1=@`o}QAD+ne`msX)jWUz5f(UPVWK@vVv6zc_fgSu0+vk2rEPeZ4 zU}w&eha1~^UR>|6wSRfp_^{=aSF!C*dz0QDnto4SOwoDHJhOm3i)L}T&0CV{U#9%; z{|A?c3D&p0lv4iWun26u`u)4KeTPEYq}hK<N-a4utK7_&%Gq8y8Oc=f*s=T94Q3tN zV(DZZ@0NKz=YQN-GbiPxb?E8R9fxLkN<Wy;VSnrnM`AaZ<?5?!>k{YlxVqo8v9@mC zbeG|wz`@xGiQGGw8)T=yxgg<_aISCD+4ak31gnKqX=R5#nINUD!Qp@F_{npVEkc={ zZ*N^TV>f61@>11a)ecvdC11`Q<^RpwVs$Ud&+KEU+W+XLV2Ok25_fsa*}0yH=<vIS zHdo%X+kMheaLVJXrG9oEmw(-lKf~2zd(z3{#`K)r>kkYzNOwmX%jYhuliFf2ujLd| z>FmC5A{RWDr_Jizy{5r->6Nk>>t8vg>@RHHsakr#DAD}%1wIiqw?yXYA8*vnOs;SJ z<JSF8Z%M$Mt?fb2rF9+GI~=;bwdaFP@avs^?@d)=0+wyM9394eujJdM*EtrGf-1!L z-!A|BRwKGNiZQLpUsY@BLbq9`1)WtK><)Bq-<p0-z;{>OwzNF;^Xs4db(Xz(@U_6Y z432#!A_7$(d3!(2nYr<bq|cRuue2IWv`+R+Zgyhc%Y3zWk*bcg-t@+Bw_CgX9J{1- z(?Vx!b`|jK+cBT*r&Ip#BOP`>9&Y#i?p3F;^zEbtf*#g&jRoAsUtS;Bo#I%lI&)zW z-w#uhb7JQ%9}wufc!X!dtc6dLC6~YS(^&S2{o?02Me7{jmCBY!CI9+q;=M)S`T47Y zYt7$FE@RdV&GY#6lWW_-|4#FTZ=dbkcR%i0GFOYZO2Pey4;P+dv|&@py}NvYLb&o@ z-%Nvw-jmHMOyrckUvIzn|NE4AGLs)Nf4-P&m|OKF?Veff-g@pmw-xIoBTn^3U9u?? zT9Lo+*OwIOFF!q5vuk(_cCM}tNRU!=`@HibW8m3Gk(;+q_FHWnWLrA#^M2JSl`pg} zK5F{9c1>ehfN=WHTT)+VHFa+9e$}co<!bhixC?j9j~y(I_;YdAGtr*^k;lVa?02@D zSLf_!^hk2~e4}B_C)@WmO@}Lvh~%4PFz)%F`m3*NXF#Iy=NPuNe%H5eU8A{C?i3^E z(SEIWm&?3oSF>C*iu=4*GV!+FvN!Lum3F;;v3Qf1!V<<a%R7XZ&)`_`DMC}+PWi9Y z<$&^KEDMGB7`4_hi_5Nj<)R`j^yQWEjuqkIR=M}*$0j_UbwgI*0gJh@lE|8t`qOFY zH7m_eXFmD&>xtVIzMT!u@kU&|j{=>aJ6-<N$-BT-+stXUj$N*eT9~d~Ll;xMhx6XR zZm$4g&iko0jmx@Dc|KjefzP*pes#&6-&e~n1V;H?$dg#Q|IxgQ($hIj`84N0eBW=( za`wvn)j`Jn8ziOO{{5VIAbGp2r0>*+*F#oTnk)Z2B=NVANo)C&C4GfK&vL^yReCR8 z655m_&7YP3>)~pJUvf)-Y)XHqSGjfNCvBsLTUTFubNcZ*;m_=T#de+o7v`|FYkuZl z^~b(e>H<sanUt)RAFHD~;;ZHeI7`cT1gZ+2o5+4f>=e7ro5(J+B%^mfH`H~ewa@i= zU~)6U%`viUb!6yYcek}y-ll(--^*Q46TR!E|Br{0?rwN6|BfX8<F-42-$H9L4=>3% z(0(=W#;0F72l)>MPP?+iwdt?(v9HRrt$$yu{%@x(<hSNz&$)w-Zv9RF*V{C>u*D za)FM2LE?M=sQX_;<$PYg4YJhSDY8ZH6{DQaaa-;6^3A#n1lNT1)TpQ{vI##`QfT|L zRC8rgX`yb=(ihp&7idoxF*-kg%YT76C+Be+`w1GU3;bm3|9D8`e4gpu022`-<F2^H z&Jp|C)TjKHpL*`cox8&9@lSWj&wd~L#IEnl?%7!t!rv?T_~hQ5-Y{*KZmZzw*HLA{ z#iFN=mpqhM?y)dw%Udm1QzxI;N5&VLqwbje{QA-E@s6Ij9KZ94_Un6db!Y8lzL{V5 zeeteyOAiIjT~>4Zp7WEdJ(doW1LRZrjCXz5d0>ag!hLo=PPgy#bWSVCdwy13{Y<vU zqUDdf{x{bPvz^rcHrx1(acNp;xZ=aPr7SlDZxnIPU%Fq+XHkq)__Mc*o42$73EX>P zFNb1ITVE#QLnFWKKHnC|g)8-I2EJUiA$Q*60|}ykYv#^-u~mLSuT1QeP2r3GpNQbr zdOf4~O<G&4`%Z&QsV?uy)v0v{4*q>wv%$;e!^(O09o^ra3tf36R&1X7^5@NAdxWCH zk8t-@zu4RNs@LiIqpi%`K^NzJs9&^Wx9Zo%C-F!1pZwQsJ;LTM`Q?Xx$Lq@VhabHv zF!4$`6JDyJWvadHmfFSe=d*%Vnt!x2GWpij^S;J9h)31Mn#&^Lx~%>6iGusyoXd(c zHgI}1qxIMNnR0sqD#h<l<@ak{zGu}Vd!ut-&)rg8l6YNf;>@iVYQO*3IfLcWg7QNj z#PU22|9zo7cUz)E_n#BhHOmZL8{I{(&NBHQ>tpmS=zulL?+x1aA6kAWx$Ko?og8{? z_rhf|`d{8TRDMi&IQ?o>^&eHIORQhat7q~~Sa`a*Hu~D;Pr~N$S&2?>{;N4L)qZ~c zeg7X{g|3zZ%Ukv=_1?nyTQoJj&03YGZT;u#hksXRMjsI7)ct#MyWFYwmt&grGFa*= z)2!Q@`Mv9u8t%+GA8FsXBVl62;&*kM8{Zt1nw8}pv&B{=$7IHyD;d{~COAEE5<M)o z=vBI6rQ{Qt1czIHD{b^%#m63yUgE*{aYm;2WBXqric0r1)^<L03tR4bI{spU*2%RJ zUk*=b-fzd3-YRRcvO&vo?|cV-^K%@hOy66DEtykermM~$&ZOA(XG`;07sFfCw$s<& zo7&;_@!ak5l8z_dOVbS}&Fr5Tclb!3*iQ2;_wD3f9nI=`uQAC=|7^rRmGesRks90c z790~evETXQ(Tw?#%D=AjrC)yUEHuab?A$BSI$tfHC~eN*6`JvZwKV*M+7s=5zXg2_ z+Gdw5GV^!xGZg1|WG~niqW4GA^8MV>KhN8}PXx*5xRz!W2bQe#PJVGQ=-ch!wAPkm z)m%F-E!q-!l`rSV{(}o+-uGLr?t53ZPF5mKTRw4TdTem$hbJxJufhzzaP2E{SD3}g zSlJU%vYcJPr86PO`-5wcd9dN3H{XmMZ!Ub|W8Bw#khRz9g6AYY%L?W*AJ1uOIWpYT zR5LQ0_q;_hw`#_d>)$??2rN^x{h$5nnQ~^8z)4N>tVHIunU}sTNZmd8*U6Bx+b3$D zpSg`Ae^Q%p=e;@W{En8IP8Tbg`6ny<N!OnZ8=gmt+OF2ReQ!pH^#wg`P9Ar+)k<e_ zziGa@v}9e+mi@PT?Bdn5N(^TGI}o4Hy>hP2bjP>7y0d4>Zd-Sz?3BgKKSgCczj+LL zR{Z*XH@Ra$Q*OVhUhQk6zYlr@KTEt@d2#yk?a^sVKko?q*Yk3s;pN>^Ca9YACNDPm zz;d~-=4Rn_2ieK{D}x`doS$$`I)Qy!Ltz(l?+n|klLJheeixs<_~OhF+tWUhKQAit z%s3+Wbp0!T!R$p0jtt$^?N_|q-dM68+~Jvc=)U<|!$}p-FQ-rc<^DIE`EZ2N_G3*y zPUv4&oaOg>nNsz#gltKJ+jHcS1P$M+x2?N&y7N=)Nyq8edffh-&Cu)NvKL*j^X>|( z%Hw~ne3siSF`804`*RuNhw~YW_$9orew=)uy8L&~G<O$+8n=rX(cgug_O4@@dVckh zt=Dy$Ss!%GX$n)fG0y%Y+s3nAPlC%ma^v<%`m7Q9AFN|DySJORhA~UNyyRUYaB$v? z%(gnipu7;dc!m|WX@6xnmM^}s({_^FAucZS868p&r|)}U_BEr5rE=f>xB54Zd+<9% z_1B;5Tol<oH=Zj#{gCAj-#%>?E$3Owu9xc1cJyCSu6S*VWc{tUqi*ld<O?r(^=H+s z_txU4H|=TPGDSOCUg@37S)0xOm$=+Kb1g?>Zpg0`&9)^=N?ui;UzxpXdc1t)yv#q0 za#zLJ4-}rOKk}_)$;t>uZSN8h`CNxyx7Cvu_(d}rR{vU)xBX>p$@U#PE^(=azfbII zKKyRM!|NRDcI}gt3*Q|Pe#0T&$>+Asoo64O%bG0CzjAq@_lh5Z$*;?G-pl3Oh+VSc z(!>q3J$7DyWN&&&yzp`T`RHnv%1Q1^=f)*$=oFi$nDDCek8tcS2XS@gi;D~m_@C&x z&1ufa(d6SOk&-xc$Zq~5(McAMW;QW*s`sQU+gxr?es#tFm8Xp*-0B~3&##DivA=(w z-RWJ^wpoa1xwD@>Ja47gDfd4g!+dVf?PnABl-vH~*!)9NwkCX*e=CvQeg0eYp0DXA z<&7*R-OH_>92K|Krkr2%&^Ixae;V_XB|Xlm{Sf|nasI{6X&YCq7Bnhf@vUjU@dWj( z?#iuvZ<<qQRws)sIjb6Xqw>fb-UrsE(?2qPZ8ZP&<K;2_yhQiE$xBYn@?7)aLoWBJ zkZ`lJw&suKM)ccRvHS_WdTZ16%^Ox0RCrygedT7+96sTTmFjWTvUN2+)91^t+|s#T zLdn;g*|y+ebd~qVZF`eu-whK`;FUF;o?!p-@WuXinH%8@tDo)O`6O=I=A}M!7`&DC z74A5k+Noq(kg;s-lg;l>_We5&^VWW@biLB;vwt6$E!{qMXMlK4;HEjtS6P%cu9<Pa zoo6QdBM0d#k9{?C`0clpDph-`&pPt&`{`%r1y$IYCrLhBUh^aM;;FSo32laFMK-=} zuAegXl-8t^zk0fM_y6Itzvgh9r$X=5T$5kQABvc3CfgoVT6ov#cAns+<I8T&)HP(e zIzPogTiP(QAX@L=+0r>Pl8^7(#Amo(M0ZW?N3Or8)9lJGm!DH%-S%O|{4$p>ZlRGI z@;gq1w|8~?et7Pp)TJEF49lPP|9hu<-50Ww`kix_t##Vlp2#2p7tzK)%tBnXX}$Y@ z`If6l)I9(C=in*fjpfWblTtOO{y%Q{HaBgrt{z9%^LqJ(+8%s!Jr-Tqy|*lX+lD0$ zFSc0Sd+&2|rsNX+kBP<Guglj}ZRou>D~6Th5!>l>ZuwBjQ;|o`)LL)6a_`36*I7*q zS&lF6+Azy<b;GTnd{g4QUS>Srm$2*N<2*KD_XW}&m&Cn&vc5h`nm#k;6X)&Yg`b!_ zz2&#Avo+k&xB1EZ{`@WKVT;R-c%MCc;lkhlYI+Mr^>=-G!z_1KvTFHa4)G5un-B05 zNNC-#^ZUuG_CvbuY2ioaX*zmmD>P4S6P48S*cY&BS{{dK`m~hSDd!hRx15&Jlx=qu zVB37eJdyY0G$x7ck0#4Lc{-g>nj^}v>_(;Z{kIj2=kIXpHU#`R5r2`{G3m~oUp*;a zeL@BttrM8$uDWpc>C&9ji=<Cy_SZb%+xpObw*QJlo6qEPUMqVL%etsJ&x&c%%s@xR zTkC(Cm^)0~#P{yN(JN~%uG?7Kv8`+C@B2q(*L>g5{UcXBGx%gU_pyEa_YZxSV|ZD< z?)C1^$rtUXc(1<OUHzw>%e5nLRX2kNr@_5Dw?0m{nZ1<Dt^Zl$G4>px`M1|pJlVPU z%CUPg!jfCxujqbs`dm?c*e;ugdnCdwinLNL&QL4*@~3DYtG-&-{W*aR`vl_?8@l{5 z)gI5{luvInK6^1j{&;-n=Q~~Ra~5>o|0OyzCH&3~r{l8s?ml)qee2?)ogW;RP4}6v zd18xJbm79JG6()%Uyq|5XChbJ$;h+G^-#Qj`$yUV&KaARzIuFSmv@h&`?Rxj)_X8n zJU_T`rcjst2P>W%Urt`(lizTSZOWABU8zr$`0gj%T2uIR!uPjp7Crx=`ug6s!iVQe z`1$AG`BOhTt8vD;yAv;8Nwm9lNnLLCkBLjp%&}(e``5vfxMRa+&$_xAjk1DG+qou% z&187KG`4B6d+Ed}HRVyx{7xz?g6cb0PyZApAzNRk(K_)Wv%C2Li-lAF-q&0Byt;7W z#)+&=6_xLLZR;i{W*crUll_@_I4f;-z_Y(kZ68NgO>dF;dCS0Q(<H;o?TvHZFJAGd zE5zR|`Cn|4(A$Et+VEd@8}F`Me$Ll^OS#t6`acUr_dh%tc(u3xRmFo-0q!#0idG-$ znrt=WEMEw|-THG{Ug~>K-YOA=H<Qj?J>&jSslnFW`#x`k^o1*jl^#_r4)o>xDsZ&< z*{^`tQBNx0RI_>r9zUhBu}JBMEMI?u2!CkU^_vTF;wo|#f;wK=97^S#vc%_9@iX5O zKUGdddK_q(q~H5b^3lcQ#~-fkK9=gQtG}lDU7=dao@x9Cp0}r$IG9Bqcu<h}RzBwK z$CzL4Y%Po4r%Ln+s;#Y^9&Kl-5wnQ3;=D8CZ^m2YJN|FoU|7g8%P#O&WS(^=V>eI9 z=hF|&7ZeqWFlH&SCf&`xI`O)x=cD4;Pk7&LE1F>V+(>s~n+4y(%bjyW)~u=Uy?y<y zt+HgqLh+d@=YRaEUj2F<7hhW7dd90>M(@inW%<rHG3yw|!Im|DB$pojSDL)CCMSGb zB#-CI-7mH-*d^2Gc9%6s_tUj*bCp?T4BKZ082l7gzA2Kf&Gm=-im_s>#5{+p6FN`2 z_q6Rkrn0@`%=<f>0gGERs;kat6=W%CGoSt%ypYc<uV=G~_)Uj&ju*~;u90#VU#=6L z^e*yqdBdiR1C!rsuDrg@YNC_FGj5(&T!#0K6!C2<J`?ff)!%~_IU0++m-e<SKjt9K zpn0sTbio3piWJte8*BWS^9&uhXI8AqIlM6Oo><-c?9;YZOND<vjuJFUXPcBFaV@kz zT`+*pd&Oq!ptOLy26Jx||4w=ro&Tu4!74!R%#+;}G5?JAC7NwhI2jroDN^~K-L|gr z(uX~B>{m@+@I=M)wVBa$p(T=27Hvq<J2~n2`L)ewTz&_7KeYbq7xE<T^|#EXRrzbI z_Zu=D2=rym%+v9RTgS>4XSV;eXa&E^*T@vnhxc0Y>ry{F?++GXP(7%_ZDw9z&@Rrw zvHy+V|44(Gj6Px}7hX$g9n3L$-FI?Y;K{a~eZGrlaa75E-<{mCYw4P3!&&n`eG67U z9BG+9_fXo#`Qm*2YOyn|d&5lcZC~E<#?E+!cg&I1f0o6({ki1T{M*+R<hFnR*u1CR zW5>Z&oC|he3bwJyUZp8nC9>?#%QvfZtaRUn>87Q4?A3o8IQROTgO`l29#whPH6iSe z13%;LCyrJptl2nDypeoiax;IbyWJ-T^AGk`lYaDwZA;KyxS(Ri<z49>vT=)hm6LR@ zvwoIu`gWkFmGAATnI3`l35{9N?gASMray`35?pxe@!h~PUkZ-vs90~fdFSDwz!}q5 zzCE?=^OW{AON3<DrF#8%darxR6zngxX)<K8JD+!Iq3*7ysh<w~KHvUrpNiAPh60lX zDPEq=-@S^Od(En+8b1E?s^x20nya>v{3ZS^k~ure`q{l1XCFI~zx4Na+oQ=>&Ix6C zsx7R&QQhkD@8;J2)7st1oabEn+Gb@{EQt>7+@AQDJ2A|3MS@-|pYiiE2b%TF&R;Lm zzrf$#V&i4(QgSQ9=ZN3qKOM(eB47GkJ?z0*n)-T4g4R!t<tG}&*A!0trXd*pUu^Qk zHIY|$h5Br4Iij}jf8ex(Gqj%c2_AQQb=s_{XY2b1zJ~*6*8DhE_wD4px}=ZczuCSm z6xQ7OB!~CQcdOO%lk?i%HR|rR=vv6P%2^}k_=dYJtmT?-MJqC0x-K*qT>kQGV(sb8 zLTtD9Hcz}av39}#*WX;0{0I@~ZOL@o{Cg(DO7HBJcthsa-<g-~_TAg(|GSz0tj+1r zKZ?SKr)louxBV96bw{lIk=Zv3p#{7*O1Q&i?H8oWl*ej3lW3iJvbcA%(xz=UHsq=o z9=5AjFWj7Y%6P}ww(5DcpLg&pY*?l7gI!NfZO^8n=H0L2W;~xJ`eLtkotI(j;_CPF zwpdNQ6V4)6$bI=9L%_{Lau-Z|vV=vV?{F{NIpfPB?_Q=kEoZ9c*a_MHV=Ll`W#1w7 z^RQf>%BjL9f6`w@^B&H+%M++|Q%AV<hMz~^J#WKrD<8~vS@AwpSoGr#`xA20J^Q96 zpIm-wQpw@W#D5F;Lj{A@>uX7~@mn81r2qTQ-e2Y|><fy5ZbzD(U-JFhg|6T)o%u&T zRaSWa2z5TI^TQ*zPW*EEO8HY6b6w>=n;4m1KWP5&=Go>5$-h&j|AbELcl+b`@>tvU zW6u0;zZf6hn^VAQ9OIoe)7?YsrfVeM`s;l4iOQ!o3Fp+caw*!1Nd1oA$Q(a0HX-lv z)upxuA|25xg`QWtzJID?GP_dx(Aj>M%D$O;te^IAzn*G8KTF^J&GM&zxlf#)@_ORz zt)`p@E|kT_%darj&3c}={M_`YU2B7FWz^kxv-VuGcFei8*7yix%_q_OyC2munMZa0 zsJMS++Vp_8Z;pKIlRAH$ajsiomagWsM2AXWNduub6I9iMOe}31xE7SRs@Z)D`t_mv zYW>lMwDnx(7c<(A9PU|n#%Wew0KeIXABkUk<mXtYn%uZ>|Hu7a8^eT`>{+pYyly>Q z;qiahT9NrX=C;Tb2F^2dIVkyb{dt9+xq*kDn1r5nuZ#Z^TH89+a_Mf7zs)b0XMOsS zY04nLdF;Hqrd&?<;=Cnm;=gUl+*-8%tA5PGwsTdDKkd{qF8Nd%v3^i;$$N5?V`b~F z=<e!OUFk<wWk2U(id=QR`2XQWQ}z9<=H>)c_wAU(>2UI9u%7k`{-%nzx*KZMnT2(j ziuL5xxSN&ygBX59r`mIIv#*`;FwXM%PYVX!?TxLI>vnr*o^oEe>iv<M^*S~ej&LoR zp0s%F<t#JVnmtRddsV1~aQ&ON`OfP8vp-L-y!oOywbXpi{R=0eKL2Yg;}UC#a=yrT z*!+N0w`t~vd%KEHoa|TX+g?0n=4pkB8EW!{tdkx+s+nP7^TCbLg6CKm<CDf^4?I_W zi#}s>U2jHTpLcq4_UV(G)DGM?Zd3>edKYqf!lni{-<`*&F*44%Tav}BxN@3`FVDnF zoi4xrbg;iQyq<ZMdEJeTer|K-f4LY|y?A@3$&`0<0@tyBJv#e|{p92@CJu??M||3j zmi*r{BX(8%v5j6ur=Bh>*z_=Lujl7KcfRYpZ;G$2mNydgou{-ZZtc?j<%xHq4`#JU z30s-V{nW0p4Ga&tdc;5Ofaj#s&7MVHcdpKhytF5Eb;vz|$eGu@9gSn}{!u!zZ~0Tb zM@OChMzr&DSnMl26ZvP4EThma*_!ql>7RZbbYVDplUYP(hm=|Am${Wa*HSWNmP=e0 zG5k1fb(QChs{Ow$z8q$~D6rW3YhBO|>6N)LCubhM?P{aHBj}0@ztJ(@m0Kld@5$i1 z!2Yyo?PHfI0)6cb8nX=f4_xV-wlz)C{OgkQnet~=czsu!X7H*x^>qCwd2^R5X7>(V z{MQt4?$2h{AAY;#ExCV(ehPo7()9Yr_ok_LiXQ#ByTWk(=j7WbO)u16?|mlzZg&}T zS!fpLn#!>Ef`uB%+UsOY_I=oD%p}=s!=Si#Mq}&drM!RT8ItP0PxybBH+#ye9KnMO zEGJ_hDeb$)`EEnTvZ)Gcr#%(pg}+~!@%Rg8fwN~)knMRjqqO@k?=o#)UDp$@=h53d zOZkz~EH2CQL6IFl+m_f|(qL!V`Luntp+MQsGUaUt`weSPztvb6TcR`R*{gMFN7&9d z%nVXJ7WqjsZ8FE~%mweG-u~2z*(jnY-*<h9JX>9}boZ<q>7~Cj7;bubTo9IB_ncE~ z=4Z1ni$DKy>TrG~`q688x5hTj_WLiHzBbB-i*m&NIH+=!&vPTwM~39*jLRZiGM+QG zbSJD!oe?!xeh=sLT@4?$8AMj@ztA+7rJ!Or|8kC3tLB-S)Ss~3QhNHzp^ES9;ibXy z9&awc7k8M<csnM2`NMXnB)O++n*Qm1-eA%$;r8&}%4m_wcY*U4ec5^I_GDgm-urJ} zdKPdTUZU=tsHoVjb~k?6*Xe7+l6_7Hc5d6w;=3{{r1j1|qbtI%nW95XUrfy@OPAiE z_xM2kS@%h?hIevfnJ-$}m9s~`xG?kF+YYTTnTULyYth9?_G>!xY-8vAv}oI`;O7#% zY9IgdV>ue@B2<32|1?&PDVN#h6J>uSD{|S=Te=wuUv?jBnY}9J)5iyv>5=V+18w4u z>0Lg$^kQ1_?rp+NGc~*y20E+}-MUidsI}~)@&hYuPdNonIDU<J)~Q3so?en({<gjA zmtekO^Vgcdu(@u>Yo5+q99W_?Wp9On;Nrqn4%HrU-G(a|q!ifmqyPK7ke-m&ner$w z_tlCDp3v&XMJdeH`ge2Feea%%zP@p1gmByBZSsrfpVr)<^h_(3ab9oshYkKulXq`l z_Kx%0@#n68j~d>z`kHBalqtz>=9ZmeKbId+HMuF8J=xfYWta4c*Yg*|%FEqq61`S? z(AuHWQB~+x#J2bKRbr<X-C(;OW~1RaYdZtm!!>NXFWi=C7ErzzyzjKinXT<#=c|8y zK0|5dmnx(5>z(Q+?!Mn8QZF0fn&Z9X+3~dxT-=(JE--lWhlyF-nQQj$NGylt5w<4w zw8t-Ulta`f22Jq1BB7c3bhgkUhrp05sh6`A9ri4`5z}w_EMfPiR;H`Psm3}1Keop@ zoILK_e#LK_v*$tcO;;u6cJO4}j5#;a!!Erh|L?XBe_pG~Or6u8$h=9e(|guZ{vS71 z-{AM!DCPaw^L44&r;N}e<~`<X_e@=wv~luGOPy2g=XWrlzayjk{*T*h``XP(38gnD z7_k*epOXmiW@Zs#0D)66QBh0Rzh2U}mVp6;c^Nnv5=&Du^8<?Vll4+l(n3R68JK0> z?@QO%s{fZE;>}Nntiv-D3=VX4t>B%Y=5Y05pw@2H_<(N5qZ_`yGWO=IYc3TIY7*#t zbN1xVU=1-b7Ds_?xy<5|n7%V!W#sj6VL8~4`p9;MhnDdAQ#%wq7I^ThO*^F;tCIay zyzQy&3ZG57^ENKw;&5iEn$X5^IQznZ3k@fC=)63X6l)=|Y|*{uQ%@RGI|VEkC^9{~ zAkgU|BXvdLP(w#Elg6WuF5F@|4qRdq^H|&1*(S8JzEG6BqHu`eUFu&uMI{M2sWZ+g z3lF-8DJbzyWSO`8poNOg<O>B2Oe<OrUrvybbK5i_WYW_FBb8~Yg*i-tPi!tSJ*kv% zSj76GlaEXA;DZehD)|C7Tv)I`QK+#af<f@1!9<;|M+q`BPH&2_kZ_yjp`r6~l?MA^ z2OAd&1qI>*c^!j=D_H;NVkx*y%EXt%J#J*NGhqI^0`UhAio9PSoNq4HR`%)Qz~* z!s_-k!AMI-)Z5f|$?1azJk1Z392e-FYTBeH=Q?u+k9U%901t=I4AzO=tCX~~UKLGL z6MKCqROeb~q?&G1q=>8ky{97HlerEXDF#k6a^zT0WGl#`@MV$KtG!M$6<7=!3uEQ> zv8~{E@0hwsAu=G`RVk8-*SgVk;)6$C0Si4Ej$dWr5?$rU!1Z(4>Qg&3VzhWp2Syx7 zicN81c4FWXJ+;JOqaNovFQ-5QJxxZ}rfzS?P3yQ=HAAMgD@iVR5R<4hbAraNu0yVy zHu!MyE?cx{&Fe=A0*3?|CD>G^r#y6+a3MoOlq<QZvF+rH43lab=8Xvqj{_RQ7^95r zCo38@EcD<o@kvcw$JP2V!ysHWG9p5avzI5KKi^_TTdPu|gY1O_9hI=wqq{6FPdS<L z>&(Q5k7sgopZuy288E#$Q6S_}X<!%&d-H>)LeF*G%#Kb^ost|k>IfPwVHf3WIx?Yw z$0%KkyL6)phlq%4kpWLr=h3Kyg&Gn<ZB2_j`uf`+Tb$!x*v!{5SMRRUyLWo;l>E+? z8E*@|yd~QF*xXs?Qp<Q8Z*Q{{aLHM__1rXG!KNvikLwrK&U^02(KJc*ajOC!i}>|M z3xTWbR=*wCWlJO;y?d8dx8>TbnU&j%izB0}ZRFk>nudqD9$_=(Xi}(gSXFOVf8t8X zyZ#$;0$1ezJBv2SEdN`vr+BU5wX=Ix|4P@}viq*)#8s>QLxJ^mspPw7cCV^W`(~Aq zwQkL?l{f$IIbdTtceT*U&?laHo+7T2nwNVQZM)v9^gJqg&FsqX_5c6Z$n}@6Gd;Fz zW_jrUGiQ$Xy^(r!rR1IDy+i9>ou6~t_@DIQb+6{Xyce^2!kTc!$a7QwAHVXZTz2{L zWyPU$=gnGH?|;_Lc=@xRE1ol`%Iz!d^v}>RnZa{<lFz-xa{JsT>Ub|&v7(({Yx42k ztI`*p<T{!3!%sHZ-`9J=gf(2PDWO`ITAVh03S>2~;dh%AGU;_tAm6j1&`Y6_YJII~ zoHcy6Zr{BtT*1ey*7$tGsk0hEtn-;eIInOYe(0%p@(|0@Sgq5gi9RlIrLj6}JRdAC zc$-Mn`2W{Zc^xbIRVMC>nAGG9gP^B}oIVvK#)MqyR%l`4<>8g;Q4JN;;Zs}qv4L5{ ztC9OyOUOnaK{*c>e%@yfyXDrLn(a|_^I3JkOrJfSDtGUmIkS8Bs#X8z&)j)HD*5cL zoinGGmxivdx0`(MaJc1!iXW@O6(d)5`G;Qp|Np&Ic3iDnpol9P`X8HocJ=u`@4l~I z_3Qu4nL9T83Sq6iy8D%}>0%o<nR%==SBoQRoz`_#P3>Z-n#U}*#!g=4^FzfzkT(B4 z*=s7l{@mcSX;CX1E0@9i1wJW_8<%i$pFH$YL#10ObV@2C`{WA>2@LE)yw4t%uGE># z-Vn0%NU`3kMPKI6I=9!VB>lFm;T*#`vzGPvo}FjN=E&2e@Zt@Ryp47BmTS`tr}fyL z;BgdK5}@wAZTH@{4m?T69aE0IbI)GetEl88z*1_g{K)U@)Zf9EcfS9wr=MTGzwUin zT5Mcc$4bAmQ_B@I3=0>|ne{fTcG<F(n?5CH%`&Rbn)UAtznz?bo!qJZ`&ZtC)*sul zKb|8{zWuA?nX|PDu5EMfy<Pm+qAU1vNO9JzWy_3|_0*I83p7h43AFIa<_LWGpP!qx z?e}?~I{x+EQ(wkp_mmpHU-fV1xvj?{%s;Ptwf+rnR>y_KmglaNtXuYM{`t1oG1=9} z<ZAC+$uZyj*k(@qW(!l_&_cxy=0=6{E<RUWu9m%(<2zC)(=KZrR%^j{X3o3$$3F{; zPTiW8d++Yqv#G1{Q)6qh=YM@ybM0hj=ghA3<LNcWPN&~JdbD=+tMxNyt*o(AFJJ!d znVS0Jsq1UfexKFP-aTtY=dJqspQp~AUj4t>dDpR7;q%vRe0KHuXPfh%Z9uGa<aE$7 zF?&rVI6h<kf>gYSoO!QwclNz2_Wd(?Cm!6t>c8m6^gY#AeXbgpACq`=^X5CV{QPX| z+_%@>U3qux`|j<#ckkXg^XAN(Z?_r0TlcPLdDbeUc{?SI-@khI?%k?YtG2DmdQ^RU zd0gPtztG}f{r~^}_ZP3*c`YJ&O{BT`=TGa_z504SJ@207^EuhCKq)mX&Cb+x{?D4U zWuPSX5+by#bX{h4&iNZtFPmQ5^K1F4Wob!S#peIdoU?p>X=(E5h+^~CZaWHZZ@V3p zy>{!hsO%oYV-ZHjB$Drf!5J{vy=xc9xjR?M1cru&Uj1Kh_y2!=+Okz3kfrsi{<?sm zprNqw|NrIZc3z80?%CL5w4&u*tWjGA8w&%20t2J6B!f(Ip-I5wHLYdLA`EQ8a(psI z5^5qcA_7u;JVFvuJVHEDd_oc;JghCu6D>q|IGF`oWM48`N%$tEo=stV#uiq;&Cm8o zK<T~uFE^8<&IlckYM1obXc5k`M`oSDDjn@SA62JiE|-^F+&IbfWSoQv)7v`vi#?nH zv!c((nV-J!pyzN$#LHECnL?IN+S)v!#{Zg`h^gDr4iWw2Z-#klV%!r|49!-4l)fuE z$!zDD>w-y)-i!&QlP?&FX)2yQs<32rDWAKpgVFJIf{OWufs+>BPYzmtdG_wxJS!&4 zPM0<L)+`Zt<p2+3(gFX{EVF<}ZV4y;`O;!S9osb4c%BgE3YC5ydrEKygG6$Izj)s{ z*7}3XWqjWxsWX1^n|!KvH%DU>W9g|0zQ1c6YUP)kfAM3uvuDf1!e{|z?$5E+yPChJ z@t*5T7Yney|LQ15(xnsMd|EH<{`@|JFL-sU3r7{(@=oWEkM_j+vvy2&J+yMBtiMQ4 z+U<KC);BiQZTlx$xIH@es!Y{1r(Esj_WytC1#``McqRAy!Oce-cY5Vkr*G@OuYKss zpK$e+PB;F{&;3@y{{58J<EFTieH;BwHO*aMk$TR_(n?Dq(lg~-+Nv~Vfd|hsCUX4d zn`&on?DB1*-wc&=-7~hu-pw%0ei8ERt@iU@#jL+hNM4hi+xu`5>%YFu*Khsh%3jMg z+sJjL($qKQ$IgpMm45MHyBMy?YW3smf*0&*ZeM*a3s0T4Wmos@UDZdIOq=#(+p1sN zlH5IuPdFRs6$LygRoP;Ae%ivVU+0;w+*qTnROjtitC0D>`E}{Ev>WHI8HhH#$xjwL zanF25(;Lxmi>~f-=nl&`*cEbdkw~upvBe5cCrl~`oNOuiJ<jBc#}_9pCvN|~!;_O% z6dh^EQ)M@^x;jN;<;0M%b6F=>Yo5^XSfMdpSj+!(PR7K^O-?goa`kumo!0$rG<{dg zkFApXo=i_$G57h$fKZpL&MRBKUb)I>DJJc5Kt#dKaBB69x)y^Iy;Ar4=Jm1eY;6zN zHD&UmH+M77-t6iT+w*vZ%l+W(=4!nyUl>d#3eGDFoM3CtKkuaa<3){!&qX|RY;oQY z)+(B`_t&fI694r=rWbSToQY}Wy!J28@zL6S#mtVAW_vjKE`O$V<$8&MlYw>5Pfbmi z>-X3e#9RpXJ(sV!M{9Q1$*xb6Zmd3+E0}icgy^>vIlIZ>$JSmK<zH`YF4?ekb?=cQ zf7n|h;`CNAT}zab<(i)Gsl4i}_dY8}*P9=t?kKU_3Q4LcKR3+`Sgw0`B1^>WTelgQ zy8k%r*uOMh^ysR^w#SM$2Pw?G9QCaJisZ~^S4+0+o7a1`L+mhbm&@wD(@yqQpU(?8 zY@TqNSM%-sr2^B~1&RXZl(1>Uc1Uoax8!+i&K~75uXtf>tA<*U*Q&V_r<(5hev0wv zcOhr<Ul%_+#Kx{%9w^J?5tD!Mjq!uoMtZuAmkz~9zMLVOdHt0$b0bUE=l2Y$3o}2= zdj2havB`PH0Ev@5OWtsu?pbi9?aR|-j<V!61`V}UrYpEYqCaolJZpQx(x=y=ZkSIk zaw&+ued&3|6~~AvSxgfO?>e_Fm)Wv2d!}YuR=7@K_ROG#calP{3%i{NkoeX5dw%$- z6B$ouZ_^6BbmU~2<^=!O&NG%ya-I6eciWGPN@;8kftHiX5>5o}DGIw*`RwgYpXE<- z=W@lye|Na&<$tN~+QNP3rn5ZW&AlgVgKn^O$O-oyu^XnJOx$+={Dl*{{VQKAUc2(z zzIcr_a-I96N(xsz({Q<cSa^;q<1(cKD~uL@EkFP2K=9Sw3Q6<M+yA&`E_-M}YUKO! zxy`?qM1MB8$J1gJ{P&{6sZ&4PR8yR8rn+#6{?=uT%GxnUK!4tQO-`?_g1@%*j-_w* zO=DT65iggncVO$aq9v>BHJ(mkGMZue>68bzh0eZ1HykSE);iz&+w5pN*KJ<ajRh*X ze5s39D9`Xuvaw_4tGhT;GK*Ey_1u$F#~Lq2vI@6(FE|-q<F$lcq_cP;lW#5m5rv~q zUly!U<~)_F<+SVPYlhgBf#0qy`?JxXdy0zaV$Zr4+;Xyus+_lIc&o}Mo?3lpYuLt# zIjdhzK3jD2^HHXSeI6Mq_aZ$*1P;8pDztcJ=jzp+?^+BtR4H9u7;!CH>*RNzvlBX> z3&@@PyYbaJm1RqGLtPrKI+pHCv|WF%^@vKLzqn+A>JGi|h;ysU7aEE#>ti}1o<FOb z-z;6|?2U`O?7H0SuXH5heL^h_qk^Xi`##<IE1`uU>$Gc{|Hdo3j81z5D2BE)9P({@ z^CLX_w{yY#vIvfxfQ8aCi=IC?xoz92bu%RI`kxY+)4b?}vXQHO%BsBC7SoNl{8+U4 z)S-2e_kSmfod0(IjhSD|@4)7=cT%^!n$E8iNi9s;Yq53O_t>do`<WIg9<;x!wX5Z- z<{^iw*2G7tU6FGyfA;4+{HSdGth2fc6Ms7^uj8syiQFQx{QX+7{m~{>T$aAu_U(() z-#z8-8y1Z=CgwBSz8IV5YpIo<56)V6=XtO~Z`JOPlX?2)by`#{IiRwcHS3l3)lXZ^ zHd)TFj?(abumAQ(l;upxGcr1DyJt&ny4o6jVv6O5lDBT}=C5FoKNpk7dxdG|>(~uZ zAFkhccJQxd?SatBENiFB4?_24l$uJW`O23!SA3uHgf+dw>%ift)93us!t9c@8~oCz z_*S`kvL6Z2w9o7I_>$QZT$9NB?4jXYVUDIG<>E&x^CwxnZ*FD&+$V40eSUe}(i>Ki z?7nf1JEtsmyDXn7<-XU}Vvo9z*JF0kYZ}L|1&MtAcIM8?*Ma;quf)FU+y7?6nW*C6 zo=>NeukoC!Gqw<2B9@}}jr+Uk^0SYaj|XnLbL~K<ljM|>HDUiQx+$q7zIYn^K_Rn2 zcCzU5#`byZ?nZdb`K|D_^P+^Wg|+ybPy@CH(_B*6Jp*_JHux3AFoqnuoWqo{z^eB{ zw{`?`5Vzn>2cZyUo*Z?{b&S&m4zMU*J0zvJ)yw#x!;CLHF`t_*GEAAW#31O<_k&Nh z7OmQR_}XpVSwey3sw@i5BHoF-`&V!HkdP519H(>Hf&b3qhVn`a!vw}jQGyv2hZe6X zxgmaTy`KPY>V=!Vv$Bh}ecn`FTwoEWvsw4_uQ;=LlkOZze-^*+`l9$Ci-Jetleo(( zCK|6Pd3XKhH0k8OD|sJeFt|RSvD*5Ym8jVQsoLxpj%Qy5>28WE6)dd(E5vpFdZ27Z zP^jkK2hCcpd57-%?>FIl5#zd-k#o&4jSn-jA{@B_890;HwVq_~i%gcD(2&*9czjFI zk4r|iFWyAV`!Z#s@|C+bO#L&TZ;qKi%QMm9Lz1(KT88TZ={MV|mDn`Q`&+y7qOT;0 zyorvew5YyvY6a(G_R={U>o?t+$*axm=AVBv>%otO=T2TTKPUTZnbzje8?nX}OFG(p z%I4paRJyfB`kdyk?-S2j=_o%ucPx3fD<{W}wIaKp*34Rv!2ag*kvG@gM>}LY<~*OH zl6h=ORN+Rg>RNO8e_hMYysdCyRheNB=*suG^4LQa&Bn<s6DQ?|9qOOfBJAdR>;;S8 zY7-$X)9gTAZTCNUx2Dy8zuUdnnWz5V*BLw~PpPIpT%<O6^Q)Y$C+VlJY-y2Y41U>} zqR6@W^t`?&XM$w+Z@kZTxbR`xmKVPL<!n!QyQXA$YOY-Q?U<OkYWd>Tw^uLSxc2GX zyJqHMsc-h2KE3$l4fW)2g@s$cbr)LPb=#>wt<Y>Sr^vSNY?(4&9^L9nJsa^|cCwt& zXKyvLRJQFthi3fjZ2k6h&&ea5?i#ONT={t8%D*24!87hLG5eO^vz#Z@B+hojcPDGB z_^nh1w`Y3Jk2kAT-r)GXoy~u`X04&h!gLLrv-JUcj=BHv=r(b!lwx_?!+X(u>KvIB z&wsnj<|vk%P{1b7){%aq<dk%7TX;(SG2@bL(+(?Ff7lq4F}WbX?tG8%Rz;`#*^YY8 zEWh%!pFKbSbfIVVF<++F6LX~N=G;~&{u9cS;j`CtwiRQ@myD{D>!0tQ9+gulbu2@5 zn)|64T63+ZF8?)6ccB%}g6etsORlf|KdY+dT}JU9?VQS@m|g2+B$l4&vwl-~vo;`X z%jdgGoA>SyJR*~6<CW>wvM|L)Q{~KoZNke0o(WywWzMw!K~Kk)($g2k&Q5yOn)TEv z{hNsC(f5{m^SZm@X58zD^mhEQH%Iirm*e)4d!DX3_TVb-|IV6+^D`ZrrU@i1%3S-S z{jyNAlf|T?OWrN4I=QaoYS?D;x48=M&e^P;#<eykb8X|&U&8LEKK7K9K6w4{CjXoh zQ*Nw!b*fvmc53SFvzMcTL$k6qv|SzR+EcU^F*#|zjDCI9RqNHvW=Wfl^1H&ktEY&# zH;b|!s$pIm&8~QG@lhvZ)i0q>*6uX^I_=c|w^h%ZcBfu2^q<~0efd#6t}|PgzfgDZ zebFtK{%+Uw?Uj>P?ssjx%C+s&7H#=yYd?w1^l4pY_HxG2WirXL=BatcR!&bkwduI| z_1}-&`kd5VgMaPk=#hEZ)$`{65`+7Nt0j)Ud8oWfpiXn=#~H_`NiDD0zt&0O6UX$- zDWX1|&m-;G?u#qWKE6PJ>uupHiCcPQ2V@Ewm!7{;lJrL4Q^ptjm$hAoERtTY|M}RU zW|D*YCh^7T_Y-<O0?#!6&sbR#{Nz@1@rjBJb;(B(>n^MfsSsnEYg_x!?(_YdpIX#e zm+?h2p5(}TH>G^y*-b8!92VbYI<5ZanXSV+kx4Ul*BpP)`a`fm{9yZ=C%XSP2<_um z`l5DNWSgG$L`ANo2L*XvVjT+(?eCluaZhgA-UCPaKgrK|)o#kd^<^c4`NiIbZm0Z= zDND{wE&uqsd&|VD^Uwc^C@blE_v6Jx=AO?V>~8mIKD(VI#ATH)^)2kp=NI2R8Lpo^ zrTSW=aIs*^<@x_}-mLk#JHLd(w>HV)PnO=%3-%8$I-gsk$gF(0E!5(0Yv_ue?<w2a z>z0@7yB8bry?Pq|!SCraPA=-Y6*5!$|E4Uy)DRIxU6l%leuX<K`xqB*INOo7<B7Vl z>UIT}C4UdDOg;ap);;t=%L{L(xT75l8@G3U(i8VI4o{W2-|h1FPifZtN9EbB)e~7n zKc?57l~sMe#hyucZn9-G<KJUDem$P=XaDDy=~hk#1>L^sn|oGBZg?NB9OtO<CQIp? z`1Te40bZ3S%nKJvmapT~Y<eL7VExO-McdY{W_i9$<eX@7_NCAzS!>cJD6iHD_tyTh z+e}o^SMHk9#HY_3mN19vT#@15zU$M|CYC#{c9Eydyfwn3_N{2m505^yEA{lR=W7_! z&T@Ucm=%^Odi?t2L#F!i+dH!JOKpE?|M?!(vVQrZ&#j8PE`75qdAla+#Om$)?0#qe z*)8ULee%Jn+OejdzQ_NbVOJ~aydpQlqB42W+na?KL@qaqUTA%DC(LpK&r8|bAXf{= zI18f^o5-DoMlQD^mvQNubDJF2SSxVPr8n1U(p$R=HzqkeU%4Ut3ftG{ssj@D?mWEs z&%4UiCLx0_tgKji&6;&lFALib)i|hph+*%WX|kw`@5w>?r~7&|TG@mOJVF?cU5}Z) zX8)vqS>_D8g}eW3z5BtY<W;ux-v=)o45JMDShWpVy}wkgmUTQDpnl^0`<iWKK02SA zbG8Vcy^zx$!w_)i4{OsJ)6I81Qw(D~I%I3!3kSBzExY=4?JMmfmUjzl4y(>P`S7F8 zTK(&Vli$31<XNB`v3AkzyXk!oeyM*gdFf_ezAgIKan{oFuBHul1uXQg*}V~bReJ05 z@AvDi_c?q}ljuCQ{>gON<6#$P|9Wd17G)TJef3uRNQPf=p4>O;Ess21ae~ji*TpRB z<IZ<U_w=qhWEn(h2j<#T?5Xy?d&T$0c2$qoY2UVQ{iXKh@0n{gf8TZLD}PPf{X+fU zt=b=^FItQD?YXLS;^cb!%KLJ^l%gE+m!9s=XRE&O^yx2=g(cm8E<U;a>?31-|D0uu z#CpFy|98xP;@*esmQQ~3H@)W%7i<)q%xk`Q(xQ&?tA;CNESPR*Env2JSFb8NSy-TT z|Ls334>*70TRdm!Tq)@ZN}UU%Zg5G@oW8BNy7-?}`i}Q8E$5d%vJAH}?=<$m#!|zT zaeo)j{|v?IYLVw+Nk`Y-(g|pcP^?=0R9|v=f%Nmr)sL4Pc$|~pI)}^UTyfY*&x0p# z&YN1K6<~QjT34M_FWp-ES%^Zh)$4?O*%zyrShcSwY}LPJck0#awHJFsd$zMxzh+1m zEx0C`u;fMg)T#P9O-zONtPVso&nYcPmo2#Fc+ToZB=g?T4cW3e?v<yda#C0~hOD`$ zv6Gv9`>z;{`zJz#e+PDayXf-kXl0I;(4RB=y}wu4T7G2bGruw0Kql+Joj2uHcTb)7 z+kVd}^U76&O;e<2+~rxfRC(6V-_tf2XNt>CIP*NcZK`8@xl2loon*P%T_@Q$9GBvk zoY7epVqo-i376SopXEa4%R-WP-^jl_+niFeBxFWUkr%siu+OUm<5Nrg+}t#;o=g)9 zin?*8$cuHhrrN6nqpp%yJLX=UGFv~h{TIJL+OgxE5})>VK6t3lrf{lfXJoJY?;jzS zbMiQR&Dky=D_AvAaqBGUwVE@Mj96}X&2;+v)IYuEsekI9Ps@$!bT3cu@tIMwYDTYC z*z#j}E0fGt&G3`m9DMY}l^MNO+rI2{-gm<Bz{$7STN$>R^SlUf{m=Bc`+0bPg~0~y zT@O1Je7f+TM~Z91wNH6}Lki<9u8R1W#|r%R@@by4G~oP#3sD=k&M6RMY1-LT<+eWA zJD}*_xv0(j2dBJph>8|9ny|XU){py&I#(?VS8ca$i9^R80e6Rw86Us!2pkeW#jKH~ z%Xj|2!U-q)f1RTLSxzSDq&^K2(B8Ukdz6IX=bh;~8<U<2EbzW`?3U*5*Rf}1?1bKJ zyE0E$Vnea@yZ4<E-~RS|`OeAnKGnv*V8Y_4FNb<r<%K5w3$9B%xUMBZKf_|5-Hp1& za|!_~&v7Mbs`V%@nd<(mMNm5Zf@zM=V#7DWuPpwW$ma(gcUSv&(RE{E=oGF)|DRkG zT_3SU<Kbq9TlSHO&Sw^G@qVMegn!TX;QH_q{@6RbLH9zxhu!$peQXcM&i2Vm9ovir zg#L7iIlJ%DPh-sMzqf-oYsHJK+40_0ldPAn5mr1N^wqd}O3~{u9l<GF$F^n8;lH(g zzi~;E?l-Qb?PtTAYCmSqlNNNjq_*&+*jZi1see1pRGLJu>RNHibw+y9fy3e7PeuIT zo*iK!G$-)Xln-lI5~nQP@<ua%vG~uU+Z~!`Pj*_ywC3FY?sG!-eC9NsonEm@VxF8! z!IO3K@8z9z*<B*2o_PC4XHlVX;!d?DmUW+<b(-HZU1*=C$7uh$Mzlv!JGan%?X43P zMlUxBcW*VBlvA`VG+LBB*L1;Jqse?6LM<!*9}2y8?F{QT{=WxSbcJ0B7Kk@z>y-&C z+7xK>%%khg<1U%b=0oq;HvXD1eUbeZ28qN9@yvBbdUwx!Q}__{g3+YNPomL%q3FZv zKd0UEY)`xP94>ru-otuT$D3&{{%!v9U}EAfE#H8Gq8$yjoJ%@pDXn?+VpHXkjCwzo z3m!N7-}v2Z7Rh{U$o4;bR!q7;>-$^vX}WbK!cldTC)ImR?Gh8eU@zRNHYe;4tB-Bg zr%9}nXM`N9vdWQ>JDbQ-Hm^W%B8Qv%i#?@Gr(LU_3Eo<_mAC0w;i3a3{3mz5NXq2f z^zc^k*9f7Vf=>*-yK{Qp61uP<m7TRDj`KOcvR&capj$h3Wd|K;Gpu^8_}VXHcEJbj z<jSs&WIORsvkKaTREj&M7vKLp*K<LbdG)53<|cI-Q&t2zitMSstWf{?Ykwc#Lit$N zo4TBSJnh!cZhP=-{COej?t@C^;$UHEvF&*gFHW!OHU2y6s@HU9r`rWb_B~v9k<qc| zdQI@r1L2xN2FqkOGtK_Ywq$GgrT*#im5o2`JO1lx9kNfq6shyJp!%M4it;v1rkQnb zbQ!DWO%c;l$n3bpczm_|qq*M;=CZaheVBc*-d1?E!dV~gE!(FAExYpCB}s;%fa3+1 zH)A;CBt}iu<x}Pa6?vURFqV30E{(63-W6%H>Er(NhjY#H-Q(ZR+t!=o?rp#E+P_x< zR%M^Ro;$hwZ&~zq9~<NQd4D_7ci-Apwzc&3wzFc2PS4MIo<5>H@BNuK`zPMLJj+@0 z4lg^`(MC6=hr81M{_5v=`0?cKTfhFv1bb;Noe~5lR7-@;U+}cqon0==$vH`(|M=sN z6(PpeEia18m!IYNeg9@g+p&WeEF`4bC8gM%AA2NZ$nf+oHria#^1`~@eEH13_V=q+ zM?1~E_cb!j?RWjQ$hidzR-DmM`t>f)-}ra^wvE@cPHk6v=x{OQvPXu+6em*|4H4ee zH_zm4{{JsGJNLTT_1x^;Z}$nENh_PZ^?z>m&Nu(Ii8Zj^&fR`h#bQbmNO`%?`8Q$T zUDvI0Qvdj7oy($)ZH*uM`abWw{qJ(RFn?1z4-+q!7Qg7JO(uLI{DLQ33ST^LSrEzK zXc)3~;k7r@ZoinQ7;@ZxdF9Mw@*mU7Q@2Itf4#kZT7Fq}-s*m9lZdnb7Ei7y+b;8U zS?}MeH}madZ*0rG{qIu8S?h1Nb1fxUB<3F3ynCGoD3Bz*qp#jt8-FHl=|PvJ2U(n+ zrzqW<`L`^)tj}~a+rKkKW|y}e6y<Eu7U~GQyr=MhAw!BMvqHwDOBp(+L^)k<o_pE2 z=p$n(10%bRAjfmP!wf9kYo(=pc9@kc*f8_v|J!BJ?JkQlO&ygkeo4H&Klk^x+}mbO z9CM5P($th5-JLu0=Kt&40;?CSn8>c!VsPH_xq<OzKEaP=<<Do&{P{oo_6qiM%AP8- zvLya2*}DJZg`1ap@&s@0J$?A`<@Q+}$AhZw<>%GrI&J$bd+PD?(6s_qcDrwWJ?Clt zJNLTL#dBxMZ&xYK3-<EUv|RdR$|Nu&&S2*QR{a}#Oa}$-CrGp<8ss&#>m4@mSu%N1 zf9Hj%+ZdRPHum;Sxe}rxlxp`g>e<ZHFvHG{vNGdIofYZdZnyTV|0R=tt0SX)y^*&3 zeE;Rr>7d{W>z*pPTH5V`Z43irXNc<N)2nX%?)dQT-8m%z9>J!H^l#!x;`6*X_7yr` z?r^YhKeD^EKCSzx64OH&SA`j;0~RD43Xr-Ht8?yH>)h#~GdWs5cP%-{vRG-N!o~22 z&zp3_wn`Xuy2#b-p0%P}NMTE8f<fTIEg}*=B1?5ySrz2ORxk<hPh!;)VceylbTMd! zkDS&NF4u;vsZLKE4=!;MT(HW^(a|;OkV7j|q=1fq!>nVM3JiE6W-%%72sak=T}XOv zGe2I;<xA|%HF5v*e-y9lb+D-a$`gFdp!WU#(A@5_uxp92=I2UpZ~GQGXLE#(L3G*t z;#FOi&*w}LJ34oH^xkBNE&EShO_-4t((LHIS>i#)j<qQ(Jv>xoR%Jd&Y;p)@XxaH& zp1sT6nVFk^YP(0%Dd(0=3{8(dv@yFr77!BVWLe;0QJ~RU^w0J7w+fqI6*h9Gg{Lk3 zvwz!NH|L85d(tz^%x{NXeK_;(`n$hoK8<eTI=c7nx1FnBOpo36*1o6jI}dMfMcMWq zH)CV>><P|1noAUBtmCVee_r#q>_(#9_vfXnW1h~-{uisS71`xxcz<%|Ntc6<mpT|- z-1S$W(dAtJ{k!$IkLN|spFHFH8lRG+^UrRtJKgd8$mZ?kzBaq7U!T5OvZM6(uGQQM z3W7GfXIGRNpE|I4x87sD=7Slx_xVZ-oPXD}<k+@s`!}DncIJF>dU3}xvi#Zpyi}z} zci(KkyJz$NvfuxI)&Kv0_txzD`_FHCeXH#DwsmdyW6RUi{=aow-I`v0*UM)2-Q6oE z<-Seq?P%qc3Rv}KRg^&}x7)+|;;T(lT9alhR#;}edB52b_f{@P<Nsz-;(YJly<_6= zVxCa5Vv4}tt~3S(PN~b{3=JA80xJ^dxayXC6Jg*G5aLs_Q!o?Lu#!^Y;S%6tlThGm zZ)sd;AtTaz<lzJj4VkUEOKlHdslLHudn-#g?t;_t+r4tp>x&NZe)M@a%WkRE%X#US z-u9ebv9ofeg<Rfc$NipSb@5-)*M1l2TD)t+x}Ez&elC=l^jhwW%&qlBjC!d;5e}^D ze@|0i_|xl4v*`lyq7RC9o31#DmujUoi%MLxu;b@#;4I$Yd`z%!qSEfaP0ywYR4r?W zx!xtjQ08C~w~6&%)?xFVi%J3`k8p<bc2C``zb5s^)qcMd5%Ukk<O&?k)P1MPmU>t% zO_cZ1X78?B8QuFoZaA=I;e4_1H<vq&T(cimO75y}ia6}Ad69A92ZdGh+0!OX<XrW2 zwZYEE(ubSg?@;yf5M-aoJ?%2%J*J9fz8ARs)FiaG>dyJY^-m$u@8{~dFWT>~aLHS8 zNT#rS_e%L&rpXGf$F;1pMBH_JvdV=c`%g#gJmIrY<?!K~3BR9+*nV$e3t)A*QN3k0 zU;n<hcW>SN_rKvl^r>evk9zp6-(;}CbN20<ypJt!&0(<Ee|_n>ed>m_v4Y#R)c+k? zs&&ZCvHJ8L=5rNq6S-sJWJ}DtwpXoN;`cT>Y?1Yc29J=~St17?Uy1DFtX)1QYm2-8 z{r7?!`J<d2SA18BPvxlaovY<9;j5WqzdI@~@AQdvGqR6w$)4({zi;z#nUt^nI&<I2 z>OR=LA?e=>EqB4U6GYQE9p_HivNZbR8}IvvZ^Z_$eZJRt70+3p1s~(0^3|koL|(d^ zS#RTA@M2o}<q3%gJ+{vAsW>z3|K8iG)z|(_j95_7vLu12)luGPMveRY+RG2Kaz)wI z&OG{C*?&(urgnBoFWV~b82)rFopn)FI@(7Xw#n|^Tf_MJOoASNda@2*I{QMteIGq< z6z8&9&$=cY=vDETr&HSEeawgHpFS*4ls&Hzao7JrslmjZldac!I=5O)=5V$Yw0pz2 zq$lj%sVCaGcNr&G^UYObOAf#CN5)qs%(^>%%efP6w^U<<Dwm0VWqvoQ?@^?lc-KOu z>AEcYe%#rb{&q!tmFG0}#2mNcG|$r)l&3%4D-gf`sF<r!!|tzwhwnN+I;(w0oLBsI z&9(1x-wQ=&v!ygOhL!}dbe*eKiA`y%>}uRw)H8c-*W&0j)2sUrZD!ihTF{oI{Oqty zO`F@Nz!2$EXN^Lbnc89wPx<h02j>*#Y4e{{nuY!nOaGzySnBpm)h)+8JQ@3MWr**P zUeEC;?=D{$i*-->zMVTR{hOT>pV*+Zc~(=+UY*D9PfY$0<{QyJZC~9}wXJOFE|=TQ zOTMQ#-2ZxLwfI!?)X>=nW@xxEEjejb$kKB9rQuZv+qS;j@;s}=Umn>zvnkhctCR)f zv8-Reg_3qHmDnc!=;4?C3;$<}K3Eg@INdVk{Lb~QN>?wf_#zR%?&*?9*$>J8TtCgr zV$cY`!K(GD!Ea00nm&y=Q`lwi1zx+B&sEi}&}C+Be2}HRq-xXZ=t(D~)-^N*&kA|N zRZ^yqVpDa$<W^=nlc>tat!i#RwrD+c{;+$N;ePGCk&eub^$osqg&(bI&+xt9+kI8- zid>W^!%7CPD&};_`8y34aLRk!N)9?X-(HPt`NQVzFL^EEpZJs<y`1hECAc{6U{d^= za5d4l*KbTZn^&McTlI*Wh>_vcFRdTtZ@F=VZ=Gw(Xur6FzgS85>$y+=+u!W*j||wL zuz2f*86o$NR^&Km&F)xjdhwe5=lL=>Ep>I$T=;^vEUBxS$z1TV^GVI2c_$-Z#5`X4 z!(e%%!Qnp_jTj4yjGv!XH*{>gcdE0pUaBf<TYK~(|Fd0M_46j3<n+8KYm$1^^m=*0 zyp%m%Q$?LN&0HqEKlV!d)|jN+pZ`03s+NXtIILz=wqwqeLv!sG-V6VIa6w_r)y4BX zju*uK53$|DBtJFnXegVSINysS*{rs1*<BkYg+DL2zvKNR$(+Xl2S5C3Z9A=~-oMRz zDbuYMzs@-^JZBA`l$o3LGrtZzUDQ4=npvZc!`?@Be<M%tl7;hs=C5QwVANn7yZEln z3kyYokF&C`gsiv{w*Tm*<hX6^N*&tiHzbZ9GCii^cEfg_Nbv5=8MDM9T~v;~dg1fc ziMPx5-N7y5<vS}l^dhCcCHTKSmd_QvJKOzKL+^LTJ*Vf%GE@rt{@61s{rh8M9i{(! z-`R8LGOS8sbPF(k#VT!^dV#recWL@GbBV)t_nA)>DP#tGyeH9RedXn99ixBA0?&Qx zy<GIVifT1a{&`~Zd|uJcCqZ)!RxIM4%dp|*N6ww!?r<CYkNuhdapBg8rKf`0WSeS( z8y>Utso4Z<OR}A`*(Y1eDEeH$mj!vITuy5Byq-JSzZ_8vdTXcMuJO<%O~|d_-jt^4 z!6p&~fu>XIk|$)%59?VoXI0tKY>p{zA#dB3Y&T(R+uAipG%nXCbe?c;#dVivmXz%~ zeVWu+w=q@<>z&)4Bq)(!a%_Txa2Mmg1Dpa(CU49NSsS95DyN!EI-Pi8wU)TI2I~xA z36_qnmR@|<gDbB(%Ze1~1Q*%7SXP~+uf1&h;+f2xi=&kn-8DO?reY)VGmC*Oeq)6m zOT@L{sEWB$=9Q}}EBYlJS*ldMJL;m`y8nmnJxmpjdf)uR`IE%sD_IkJZu|7PscPk= ztdHE&H1~volk!C8g&(H&F*~X~_RN@mrLRGXsba3>*L0PlvmD0`EqeFt`vvdrBnC^d z7qhZbvv+ZrE9cMcDX2aecd1c<BfBQ+oVuk_jw%xaQ;f{HHGO|4Pku6~_+mrbi#_S@ zn~buredJoT-Fu2f5c|5r3Y+e3`KZv(qUrswEU9Sv3Px2HHkZW;f_gvLWF3l^#$DC9 z-*zr(*{<9Ev$!6}7+!t-=Ks=55*GvJyvwUQQ2X=il<BjV+s6lgm^?A&#zWQbGj2xw zTH2rUPo~{}-Oa9E`HWQy<j-%rbl}}_M_0Ybq?}f{iAF^Z6Lq#NN=->WWwdpD(T#Jn zXG&XcdgoD-5+KUq|9{>3RZ=^fnba0Glsay!m1%#*(<3bKW*;B(gE`;3eP$Z)dIxSg zc6OWhiZ}TRbARNV$Z0oS{<Y$A(Kjx(yT<G~0h4&Qa_*VaBD8e>sj3;CH}4wdJ+YB& zZ!_<Bkl3GQo-~U^@Y!`6kr?x@s~ov&zgI6g`OSnmr(p8m*Ul3D*X6VBXFfPHBUxg( z@SRWR=WXTv6W+nIq*8ralGK77b9Wq2o4?gc#?|)v`!h$j%w6zr-QJjP0XB!P9}mvD z^3m>Dh+WC^soeJ*Zv^ygEOpDu@tC_iLhG=^0=?v%veye^|MW~%wK#A|qj8HH+xIuO zPDfdKFrE3g&!gGO|8ugF?OB6!RT2$C+SkkGF|s}ENtaVQn85!kcQPAKkBjbY+t1Nw zPN{`vE|GXKd4<Q8L;V{)qa<Y?EI!D?$Z=&)$jMumBwsEvH!Qb5)cneS-NB5S&(|kC zTD7V_V%6VyoIX2VRR}souC!ap;u@34Kh5k}T{2(0>Fni~1%(4$H(JbLcDU9r&vx-> zx5X~5=>?Y?tI8*BbZcKLZTX^ADvvAL-^HqW%GPg!p}RwK#kb6O>R5gMMDfn=1tMja zxGI0^&B{1!H*LoqR#|Ql^(l{UNr^pb&v|D()k*roiOvlPixk@H-rrG|b(MHs&FFl& z<!{5?d%KjjI^A}zSoUe|$I{(=4V3{7izJgS%THwZmVCJ+LeOYQ^w09_rQ3?v`(22B z_3rJujeYO0p8eda^kl*NurKOITW3EHUEo&P%W-*W%!Aeavg_7%oHw=#-1&dcsvg7L z>s)8<u48$m{rMK#+|%=xY_IzAt(hnA(RHUo(#H??Km6Ce^udGGn<EUayWD7CeY_-N zQD?%py8H>ZYfI9?I14kyc8eM8ereYFb<6C$8)m<a&wVqm+<ER5(`ko^>5Ae)8!tFN zVcfL9Q@gs^;dShaYfk?se!tb^w(g9GkZ$$FS=@VF=e^9_vg@7c5{{5{oXxQpUKna0 zIr<}oX}hS%#;&)FPi|h{dp1ra^Mb~!o1(m4<w?_DPFa<8`^9@B_kV{||Hb}rQ}AI5 zo2s$FZ&uj5xm>T=7XFC0{HJEHTkPF+*@LAgZoZeg=v!_3+AzqiD>g^(!o=M!@m=C` z*NV;Gt$(-KegS{+&%FN;@4rN`CcnEgbC=-Bi_!1a*7CbnFRb;xyd-c<kdM6Iwotu? zwu`5{S-ekfZMb03!8JMC6ZRxMI%v0ZR=uZL+Pe*MucnA^*MDoX^uATCxX<^*WiOv+ z{<Xd)`%3Gw!O~faBp0X)Ykk@>Ys&3_=|wU7?zjkDUGyyW)X`S?)D_o@?xfEAtUG^h z?nllmHd}n(PG>(Rzq{0k!>GOU%WC&KbMKr9*(NZj)m72<#1%DJ<M6u`2lWFUrrQTh zdm?mgS6o7ni;W+ncrnlN_*v6;U0$X7a(&+0bCKn8VMi8BF3ml6cAi(pmGr<SnW+xq zBKfx?+WyoBN<J<4^3Lty#jh`SO?cz|t@=UQt2gGs6)ug-tlo8&EjSvWE4@6~z3`&` zHO-P&X4|>8pRSn_ox{0mPEs$|r}M#$eaF-FyPwQsXRw^08}?*VZsXe~?HU`=u+{8; zbIJ`i#i>nJ+bC1JAwOJOaIv12^V@~Yn$IMr{w~S-wd{&{uvltV_`bQbkNZzK!=Z9F z_|mq5+Z#UJHL6V5xaRE6(5d@$&R*Me?N#O}4*khzMbF>xKQ*W3%%r>96ZtnU5V`-2 zjn${)b@>#{DR&M?Brkk2`OajkU-Ne#@9sBU`Xk(F&iel*`?}2z2&J{x9bfEqCt}{D z<0n6{OHBP%WPhMy=l5G;cV8DgJ|{NiAS>gRr>yQ57M(~_n&YYVVv38+Dv4{6-Ypw; zZST~a@$>lCCIcTsNm*9me=p<~n);<LWxcY&A^o13^3~pq`Qdw1ZyoKMV8Y(ft+fB` z#6$T9o*KEzzT^7v?WKU~-0k_t!`4@Gvpns-Cmt&|Tj!GW@s2meo;l&(JXhKuH~b4d zc{KNF*Sd|WlOyJtWL?z#ac}LqW4+&wi}o{|n%Z`?&)~SKqQ%!EtA0AVFN_!AnRfTZ z!f@MNf~!r;Vpm9POc1H&j+}IVr-W(e&Hdri%aV8YuSirD<DB{}aPDIH{T=fUDVNRK zSlqNeQg`W%cP;DNv@U&~e%z4v1$XGVR{a#K$P<T!JQ*EQcTN6Ve}Z{Vm(8~q_uOw> zKXS0++E*LbT?J~trpRdeo%M137gL|7G@)e@x73jXX&JL^bp%+K8(SQid(t;gMN;-+ zwpiu!PfRHeyC<+YFjkdE*qoaDX^P%^)tE2Gy(dV-m?T+$N;>G&zz`;Df6>V-ZpEY| z(eLS>Z`*3i#nf+7)i~8?wqTz4jA+Ii2lo1Yb*_6@a`e;dg&(dPTkh9PU3tv;4ln!s zQw9w}HFqo*w#o|ac3IdZXPEXt`M37Iy+=Gt7W3TNw{~~n$?x_S%*sF3CfyJWd-~+{ zleghhRhGO7YO!qM3D|AEbn&L+Dp{ZN1LyQkGx}w1-L^wgocF9!gm9uC*W20<KmR+T zk<u<-{1hkXmM|@{{(6ODj_`%@1icgPtBUupf4@56*ycSc>!03Qb*JI^XV28dv7u-A zWsS6&>I5B@r7D?a8%}uju>aD#hi?vDj-MkiXU)T@J9=H@ZFmGjYA3bZHtb+J745FH zIG}U;X^}6D!uB(3R3nxf7qCBLsl9#BW=)AeRb831;+sb~_r9Kv3F|7Gci?Hh)<@^0 zH1V$L)DssXmcB1`nUUwRbEdDT_O;#NTNj-+<Yd}8Yt3WsUZdY9`#L%W^(SBT^m*k! zgXJVoiMv4h<1Ds*o<Q4U5A~)Qo-eZS*L~&Zf7g9|o!{rRYo0oPe!JpZbs2Zg-7V{z z^|&VP7tz{#Q%zdrs(kb;A9=6kUC);6m6g!W^WftYX5D(M@Z)5y`@hsac6O*O^L9!3 zG1qIixmcgpvR?tt(t@nb{gYm2@`*(snZ14GhZl3iKYZ~Ex>53Azst$At1dzlcFc<I z5#{{<V#ihwQH@*YU+Q0a#wzd0s@(ckBL7>$R;hr4!be-!OxHa>c|MJ~Zi3qHt)KPk z`-<MJV$yN&%3QJQ{Bp~5oAT@Vx0l!kX}-<(TC(SE2V?c^w6|L(U-x<Pt%mtjn5T$m zlUSkh(%bxxr?v5ZfB7SBe%opTi33yI=6zeV&CT_iT}Er+;|-^yHUwn-@>NI<zBo&B zX|3_8hW&?M#eUH}c!%#xhwKr<Nm~!Nv%W55QETWGuUvc6F`@d9rPQ_kEFVjsrZiQD zC+wN<f&DGR*FVbX#~nD>p6}i#Q?q8_tj44a$r_z2=bp3vZ+CSu>uF})R3U7TTjp0e z?^8AR(%+U5w_lmZ@M*4ZKYIWF<nz<x+Z-#(Z4Np;lD@w2XHbBA$EVM4&iz_oXC(XA zY|XlEd+xvDg@?8m<n7*Q$$r~r>)nF24yCK#{`pkOVrNyr@xtj}z=rD0)&Xvfb}yAa z6?}M5ukF$N&veQu=Vwmu()n*+{=I}(z;S=l-=iCLbZz^}kipMn+5O$Cb>GWMd)5M8 z=~w+T&d%u)6e#rwTOMQA$KtSrJ408F>+W?sHE*MZOw95r6E2;Q5Uumz73FRE*Rk#M znI+oH7wQgei!2H&-z%zYSQp+qZ*Te)=^4RM&DA<b?#%d6o4kPO;iT|mtTvyxf~L2J zls&H0UOPQ<#<?xO57rd8=VU)Lb~2w>x-<CkO^v4#y?rbXr0@0p=4w2$yC$*R;)LRo z$QJw0ytUdJmKk=<o>TZLYhG~b6_%MhopUBJKa-rZZhB(oq{PBmtJe98^Yu*sXJj!U z$fxan#m3x;v%5VHH5vG~E|i`+g_lWe@`BWP91in0l%8w&ccN$gGST%fggDG!^KeON zcBgFo*z)0q^Mb62x8MB=E-a`!cI?!iuoEq^hYS{r1@C{-m&6${W1mM>=vSudFz-<7 zjSr6Qh>>nSJxAO`Qu1}3gYEyF&Uy#sq}e$NC(YC{+;{!N$q84LrfG@uas*y#s>$zV z-R)cIsyNy7bZkg~(Y{S(y!>2W_TJasd+=UOSFyaQ!Pca6N5A(Q-P-WrtV77L+h?Vp z$-Qmh`cc7_Jpb3{*!XPi;^(&==e${RZvKPHxD&TOtqa%X^-tcYb^Czqm975@`*+-U z6uX5<LtyHpm#%JWl9c40BuvQousHi<Z<^lBlWKxb-1R1xoAFhyKPz-*xtw)Me{R${ z?)w?LkM5tRf4tOh&Tdyjw-Y;bzTfJr_1|IlBTMwizsr+9FE;1PZ#uem!gprX=A9{; zd|!G?OON0C-??j^<hGqUYE2csg1v$NCP^@g9rv_|O|sg3e$L(WId`9*dsm$P{rvoc zmjv6?HRX1m(fd;Ps`$>K&xHw}W_*-W=9S%4u<g^Dj~uzK$5*Mb?A|4ryeTg>KFg;h zZj)lkm*yW=jw|g-@|WGd?F94AuXFG2*}(p5UAM35&)XiolhtQvPl-O%yEgW9;KmR3 z+#8ztoDNP~`OV~t?Ya5K&rT9No3K{!>{9oQ(?jk)Y-#!&l3ZnIHpS&`wW#jp=RtX! z*g6z^&rChEakA{;V6{cga+B|#cvQ0PjxckqRm~#7BhRHogRDfu*DHuz4{<jM`1~{T z`GsKfb4NRqe;PABR1dV<aJsnYlcL&58?P;`dWwslCiqC5wvP31t^ap9e1@~PWrwTi zh2{NLf&J+n85Z2}Y<4A^J&Ip^-1t>x-b5*vNdk=1WY^d)=5B6h_IbU`+{1O9*$n<( z6Z7qrEprra>gd1Vc&-_LV!FHFCM%0wF{fK%Yz2=QI0y-wDX@QBd^)T}s_x3owonnF zx-Chom14a)MCM+#_j;zXQL%WrgV40_E|rZHOC5yb@0@vGvwhmVlY8bGMWpAg%Q{@< zZ}`-+`{zryO1A3x7Yuo3tv&4e>i(}2mQhERP3^uG{CD~xzV&Lnn^X8=roQsH{3PW{ zSby*O+YD--j;)&cZ{lmad0g>JPfe?nzvnThD&YU`72C}}U0Jf})8CgD4zFZOy~}Pi z*-Xs$m;3tv73*p=LbrXnpIta<ZI+VX%Lj|?%-9Q`&1+M6yZhLQycgvHw;O}lq}U4A zX}%5#DllX>4U&K2X>uZAi^`I9^QuDv)H~VwEj{aAX1&mnnB@7$_0V~n)GqC`b!%pR z+W+8rs*m+=3p3^2OHTg$eQDWQ1<MyblBXvb_HE4gdHg_S)0~-eo>+T+&=*?D{pZe) zWa}44xAI;$V~pbTug*5G`JVr?LH@7Z*~vMvd>3st#h(soZ#+^F+oSALuusLU{eI88 z8K%+cdd`Y$KC7>Jy=(DL{24rLxzV>pB~>dMUY^g-nKNxM|10+&j_Q?~OyB<8jQJ*E zy?sIE*QYgE=C|v%2ObYxzD4crhfP9#60sMOF6+PId*|Eo_2-JihkTPvv$v-Fyjm)j z*rmmuwetHis}6}}tZRhL)WX_?K3T04;96pRKbB*QMJvm^<V&s*a|IvXD*e}>C#>q; z$EhFgrtmm6&pB)3CEp*q`n#ra9*`(oel)B1?4F<ZD$Y!LWc|iCNV<xH!%ZmZ_kMQ0 z#vN>0B57-`+pRWuw%}UArESmLCp=vvZR9MGA+bEJ+rKHlc=exeELkCkT-NPaIB!k^ zo8FtSFL^#~UUA&|T?@6<LTlQsAN-ler6Vk7=ficr^=6@HkI}@h-h97Li21Bc*z%Lt z$ctU7!@sypEM&6r>u<5MjkSNf%{I>dz3ilJ_HQ}alU=p_eW|JOkB@KKw*I1E<n8M} zJi@-!*63*ema?1{THD{3vUlHc_f4zxA5V_1dv*R{_?C0cc{g^&cCVhUv-husmgHtO zMctoQ1vc(!YJGjh%)+?yz^Pjo7}m<xM82^z7rnb#GBQk{<yFN0|9wk8r7`H_{}jzI z_MQ{E;`S<$j}Ko++AiXJwSInHtV!`rNhYB^)qI@x6C&A{<wwhGusL=lK98OGUbyj( zo!@qKwPt(WH+mEHZ|jkh7n22J_b+<8(ZXoip}CU0-KL5%3zl8laPF*`hS}?DB^==% z;u98}t_spR-<c6^Aahe?@3U&F#J8*wi|aM^*sIKak?CKp+vdA)%F50ge(ZKB5BVSc zXYq{Mef-Kajs6J^&zf#?oO9f0w8EmXL(AdA$JdV^d7EC-I{o;;@kcwHJF4RQ?wc(* zT(!>q&CH70rilL<*MoE}D==T1C@8$`?9B~3#Z1@@W~R^9KXY`yOuf$X+f%zmpJw>_ z`KwH?eNw?$6lJUDcD(R)a&tCozRV^MZYwSMz^wQ`J^QjaO*`IA{h;(c_<zT~?W?4- z%D40u^hPM<iEZ7|xuK8a(haWU=lKWUPZW~gV!eEY6q6<QT<3>6hyT3x`R%lbec{m_ z3*!v4$pTh^dmg%S8hAE+<P}j>{lAg3*3js9vn&gj(At+pA-CDuw-iS@PO=hT%eq{^ z`Q?wAp9ab0+6#`}&}`<ubHn7aa_51ojly?)=9s1$i>*syexA}RVL#h1AdWS0tJURG zs#@Darwjbgo>n9@eRk)o+9wO-Y~`28c&>R>;`m|f`!6pl=grpmI#1zw$Lbw=FITm! zo@KkWttzzDW|zqqt=F%vE?d++|H7qRlQevMCeJoJe#L3hYK>FJD&7@O-WV^CdW_4l z_SoD<j;lh0R0BN2<D+YR`K&5zw64up{IXU(<4R)x?HWT%uQgsmUNf%?H&o1Hoaa-s z;MeUL%PXv6-Uul3E!Atfox5%`cl*0TJ&&(!>Sr{K@2LB8=e5d{iG7Y9>o*ktstR3t zW>3J<)MxwW$D6miWW^ru<IKH&e?s%D0@)AWecOK&L>_VPn;OvnOzX3pzEth6lluIv zTi=|>{q;fqY`AypOz~HbdsgN)zn!vmYxMi|B^Ly5PK;9aR94;9Jbl|bu1C8YT6TSU z{LH)gpw+ELgQ$+B8Z42|)<^SdKYqAM<3{4jU(>oDr#MWkWjGM@|F~X&P_i5A!M^sZ z<<T;|N(?21Z`S<~H~)Ly$YH6(I<E)T&r+qV^f$kpaY8%(t)*w$Kb<%8%R@@lB6e1J z{=NKnso<3V3(nbIo&WX8dyUoX?<N&K6`3}t%&)zC`N}8eKDGB>)Enh!DQ#jqb8OxQ z<_lduGp8&%xbymJ=9?Ku7Bg7L>5EThW2h2h6j*z1u2|OED)o2V4o{*c)$FyZ$p2Dm zxvy17<iYZUbw{V~_~?AgJ!@%7&sUH4wW`~N_siT#_7wlH@WhJty~e9VF3d@bUAe<5 z_rZ<BbAJ~sId)~;zdPSE;@fmHZf>a1d$W>z`VPLC9V`rbN8d~Mmh*Dx>{;2e%q8&G zz8^gK-s!2?v*zE9`2X{JWQyO+g%)#|rd;}6shhR<OyF~Y*~bfg-X84_Sk&}swRBtW zyPiqW|2B2Se-K|O-OYAtul2^7kQu%ERSuuH=Ue+$$|j-Rh(}`mge`*E4Syy`PLP&) zFSSS1YK@M%wB!70`K7CbP6+1zT2Zjt=HU0E-Fwq)LYN<TH@nnqH}(72#Zkz#`tJTk zcV_Wu`>x_!oNT;TFPnd%;;(11+cM^_Wv*JvaN7Lh>{$XiQ#3la7cG0=>*HULyJXLi zXj@6Q3oqW;P3&ixKG9}_+X<cdQZN6<gn!{Z;`GR1$@|J%Ty+U8ETRT?meeOCGoA6e zqb&W;^lsQpIo`|MeGfm|t9$b5e43W~aeY{E3G>e{35R*CthG<yKH`xxy-RS%wF#kr zHwp6e)jqso-}IqPQS$xkojk`gCc5c9`gU%Xvyj~F={ri?^!8XT5)Mtb<zMh@|H_Up zyV*pRFJ4$0-oKVdP3`9W9S@`5dVEk>;IVh<loExHN1Tce%S7b3B&dt;TPk)+FFt#5 z-;YJ*U3>=qR_))`eTjPaHpR#M+7pi>jb|svB|e<H)7nv|U4G(u@ubI7@?Do^1y5X~ zm9d*^>L#U&VLM(ep2f)YNTvVglcf`-19`hs7D)AF_}EHZoKR)(i08s{qsk*@wz8?_ z+s!gwNf(qJDq6F7dg0Tmce9r6;4Vm*@VESv)c(U}$JkBYy#2-7d7|Jz-#ShaOB<ie znBSeR?`X`P$DJNs8!~V0(T|rxo!48|Z+NP%*Vg;!t(_0w8l(3gmAMKZ-oC%`0sp6> z*`MkK8|QwhUh~>ZY;~b-o)`cBj_)5{R>v{jdCzdF{tmyALGNU~8wsJV>)9ft-}0Tl z!JIX}W#-$xsa~3)O|N1d9T_E_GAJ^>Vt7-(DZ0pIwqkIz1ly6rjahuRTirX~u28wX zQQ30RAI~l9rN+Bk`|5VDdzs~#Yq=>bZ*gHtM}*W?oA`C^wc9s&^tmKiZZ5O!eG)e* zcipXR$v3C(xmk4EV{z{HpA+5B=X&c-Q@8J%>%BFmVupi3U`puU|9_{wy_e_xd+nqX zch~OB7380PTg!4$2YV+NbyZ}9Uj4sa*VE<e)>Z%h@5{Zuulv@e<*QYScE_$go_jlP zx_4gV(kVfqUq#O?7W2HlB0%$K(AQtJyYp`9%1EqU_3!?++tXCU`6e_N2{15B?2y@= zdt~C1rSFQ4PuX=^b*WGXd+6P#t76KUIRsQ(Dmp40JZ2c^tIwE_aZ+W*gc*mwEWJ^B ze;cpf>7z~C&TeZoy!J9q;o$*p6`32lsw#U6LQbuyaPn#~FpxMQsWD?xszOr4geMPA zv?gs#nByF*bIvlo=W_3^AkILYytYH>5qG$cT3R0$FqGhOl}tAJ$#X;^xqE6-&!do; zleMK4SsVq}xYlK@OepAJSa=}owm@rBW7A4!77kW51v!TeueL{Tdiw179f@g|e&udA zoh<#>b+z`YQx`M(ET1h{lIuB1#dDI-q$MpN=yT3+*%U3Wf0j93QANcwoVT704gFt# zyX^Kfm7TeI<{upxZ_Zkn#MIQm-X657ZntW7Dy!p;garrobp@s}JSsd~ly+S#dY8@G zSC(n&$y|SzicAfy_msN1)pK%*r_{tNm**y5liIv(smRGy!ar{>IlFC%;LbwnPPbUa z>@x45+--|jWreO@wJIuf&8pD9^?#q5|NsC0E9f|Y?YXzN<=);0B6lw}eVciETkhR$ zxwp5eW`0VUsNy+I#dDI%PO+fe+uM3U3b*asU~*^D)|-7Q?3OZo)-rs@!M;@S?9<$; z66lb#An4SpSN}72=Xy>$ux;w5O_vfju3Giu^Pkx&z2IoyzE-BlN7hk*1%xK-@^)#I z34Ud_>`LsqE4?C$MlBN<kFz{o;q~0cY)j*<u=|Bq`kw8|)mHYLzE0)jS{IW?r_<(X z-&5_pwQKI}Ng>lzJTGlMy*o#G>ARxdHm%6gdB5LY%R4#kjmPwJmd8zAsl~pV5mdf& zTi~XgnJT?yx6fG~mq_-~T)OJj|J>cVo<+(`{cfeE(V^>aFH5z|S5fL+apA@O{Ck({ zXUCLz7Tr#}{r7c{_q2AC<Gm*YCf>SKc6!p6ZN0axCT*_uxjjwAyGZ)tw$Jz1J=EN~ z*2>EI_>CDsrw%{Ox+?uI_xlzTwd-r8bXNV}{&t({$z0w==Ur5dRFmp2pJ41gx?&3d z{WA;<4h#&RB^X2wC+curw&0xU%`d{h!NtZREXE_s!7a<h!^O_Z$-ySZ&CSlq*XiQe zs3F7G#?+j2MsJIqpYr2pb*G<SHE`SCYkiLUfMegh$6uETMHsrTS@Tn7X^c9@$!jL5 z+TK#<MTJsJyan6M*TgSnV*mN$=A$(YX-$T978{%WCfHSU+;O;kX;X4hUFqK62mTc} zXRC9$zWWw)_1&|kaKB26rS=zQ-`b$|Am<(HaiLRNeD}ZYZTYT!z29+?iOZu+f^#FC zCN6)`{`JwWV-hNw`&0JxoaElPneTj1IZHFwZgJ&{o(Y%o4T}VwVjCrw?a^J6u_JNc zBHjznB=_vAdN0|LwYh%rpO)bHUTiOy-O;y>yQZml`UKM@mC#@duk&VUN3;dbEb6Hh ze17pH|5fAbJJkI+-Wqhi6e~LLDM`-wLa1PZ+?uMd8|L!r#!kD`uwZ^X(`NrF{eLf~ zM+>BOsvJ8zcl+mqbJIWm`BtHET4qjJ$n8nW2HxD7KdNJsH=k;BY@Yu4q|gz@+Ag0h zzFRZibS(V#Dq^{k)TCE`G=l%GtNgd~s7YDWo%5fvJi|{UOf(6x&^+JBye+Gi#fVYi z?SuA>>gUor3Yh1uP|ns{tkW;~tG|Elj51b^Tc;k%dd}>;ALr}*#P#szg|Qd(TXwDL zU_0Tf`PU~|;CSwZm{ySkUWbotF<||CpK*`Jq*H<mQkL1Z?bLZZ^_%7M$9wyyZo4(F zcCp1I1K++PuXp~!M+}%G%8zwDIQa0w9sT~a{UQH<+~GP?fBtjzcVnXzSqsbHk3F~6 z^z7=-4|38yXkB==Mw#(*`Qlld#BC3qstl_+ZO{Al;g{>x{O6NT=YNoD-h9_GYU`av zQLeVpB?q@YzAK|Ix9Gc?R?ZpsI~w_JKmSQ?Q4hS5`1XYPyUgDLw%d2i54pVK^;CtU z5}~^LnO`kps1!NHXYl@<=z1%MJ=Xehr45zkVOtLHEjTKreb0vTw#}<YzpiLsw~9)y z>3qF7$bM_?<Ju=XyV-9Cwv_Wdv*BQ?I%@oAsb{LDbk^LTQ3nN%&naKrWU_7ro5_>A z2b|X?Y!A!q?XR!a$!&;{IjdWFJmHg(LiALJ7rw36I;StKy2^3Y<ITYvQCSz|VhuC1 zR8DKTsftYt5597R<N4C88zu(-`CjahU9q$yt^OYK7vY0v{@0xKT`hIycp`_VtbV%x zqX|})TzOp2{}#Qt;E|zp&g@X>YO^OTT&?c2g7fF*z4nOUy~b|u(w=yTziLj<!Vf>! zbAL0+UO3~lK&ME0+uTbFUp%&#f2($>IeA`ckmVW4GOw=lA(mDRW|t3Xg*B+%*;!z- zY*CNQMy0;v3so-0c063~wsxn0QY<qcN9dVZCBC<OH`l$0xzK%Ws=99T)!7OG6Mjce z_LF{m$2feE*p#G`$6WIJRQ~o$g@-a+41TxUyYk#s^%px17|VxT=G!<=pv6I?)@}E^ zrGk1>f*YQCE#q>qow{r3B{z4u#GM}l)z>ld+|F<Clw**5u-I5Y;REAh{`X}X(>mTH zMf~jD^C0O~_@8aDjdr)nKK3`&$22wY-)Vke?%Q$1A@$H);ggKl9g}Vg`<Fe7pBeHk zkbCX#l=?%q`{!<QylDF-Z0Sl}yQx1uWhF;uUpCsH^g`+9wL&XhuW0qXOW1>~_GhQM zZ*$A>p5VE1@xx!cQ=26dPFaYk#<-pCs&lIm`S(gC?1asfV}BS7mTQXHJDaSz$Z}St zsmJBv+&o6Fy|*`Y?_X#V{^1G70>jULe>|0VxIATd%F-s5vU$_iPG8;;c==!Mlbd() zWWI?NM6(=Bue48;TJl|NOOexB?xN3uR|{ukJTqljv1-D^tZ7G2pX!|(AMjxB{{CpI z@2gJne~ZWpegAUv({t>q+7_w~Cnp-3>2>#oO?3*26?41xq3!aV+ACp_JnwGTU*0@# z_Qk%Ljknt}f_py+q-XzF@RoP^Pt%izuctoSCG5+kERiB<?kk<LGe%iE`+|E~+Ru$V zJ5Nnm5f(o`<$U`@KOa~1Wz+6HeYDcaTEh3Z?EYuU{R^s;A099}w{Xohp|idA#kD<q z!jJFjjQh)(_w)1f4pzGqfdw<29F9sKb$wv;DcU(CUDf;C@v<Mwe?>0-yr=VL(A00q zK6Q#u^A}wEX8Y1#_}J5aJ-(^8LS5^8U$b0oG)Q@{V8+W6vX75x%3hTaTE^89H_`QG zeygS4)$bd>c}TGNXV1!FpZdi@DD7H_c-(3)CDE_G%NDXc<@ouKH6%PxGWb@<LpLpN zkx6@<WxD3>*nRl#+-ZyX=d8Z^?9c4DycG{WGTP0!vg5J2|EijcVZ5h#S&x=|kj_p` zX}cgd(Pl@~omCGXEZO<ysdH%4l$GaeJ$8FsJ+fBKEiPcD@6y@oM`bRX=1kmgE|GJC zOJh>%?8TB>tv~u?BsIvz?_d0LgP=o?`1E_s+scxKBaZHJKe#n%y}sI~*^A{@RCM2! z61iq4eW||ozI*tw-@lcdKHahLRGohR>)lnmYrm=rZ?9MQar2I>{InT8YLm~msGU75 z+I`M<o2t60yE=c7zy-bCEiWW2Gs-^6_`lP#n_2&W+tZ_IlJ&wKE0>wKnc@X5o?03t zll`ni+eJ`tRiBWM>%V0_UCaen3<4i7xpC<dYw_G`4SVBe==#2$AM}x__x6pV_kT_A zMFqC+KXLnb?y2JfGebX0E<F)E`@_vU3F0b;{3Q5ac&jf`T7JP}_WZg>N(&C(zj5hv z=ll~LcTWa0{9xSc5!HTx?N8{#g6_}Zip|V%zYDd@dUH>xOqSw$)YP=qWx>a=!gmu5 zGbT>oS1!6%?(ux9z3b03dCa_8kRtvoG+m$LvRcu_SiWhB4=N3=Mb7<YJ;grYsFHsy zqk|FChjkni?lLL9SGaQ`=H-0l%c`nJ7QJ8HrP=PGlm6t}f$~~akv~zUS34*4AAkGi ziMAfg3NCBgg;D44Cq3;ryp^|I`GUcfdDAz!AHMfvuA^|ggGSNbvf#B*oyN{v-#J~{ zBH(1Oz*}GB$vmw%KgQTAUJZ$X1{b<~l^Oqf_*#|rY_9&a<dXQ&Rn9xE)X(0%OzYD9 zRZ;TMMz1D!+ps<=te@n|+kUtzi_NxX&w>SK&vuJcGb!@UXQ+GKw6X2C`H>@vKbAgo zdH411<!LK!E$pp-vE}&pzdg<_P8&2byltK`f1h>XY*76P=IhM=T;I85J6%}3`Q|3B zb+ZL#7QS+h`QTpvs=@EN>H<?aOAeFuJ13Rdz4;e?=6$fuMa@Key&tn2kGWpoc&Fy> znFq^in7P&MuZ5g<QRwksHTmlAV84v7TNb_c)YGXtZSh>a#^6rcv}5rL_rKosl<&4o zbY39O`H+9g)?5cy{W~O-{yytQ@J~kjtRi=@$uIhzK60qsZ>b-~a)Uwja{Pmu1HM}) zpZ8Fod~?BT&w^<FTSq^<T&FRq+1(}G|I+u*orm7v+@)76aV}T0yIEgH?}*^>#y`oj zLiI81pSnv0CYk3u&iJjd&Gztfi9-TX1_pQT75dgsUUN3naQ^1~vbiUuE+s}LOH5N; z;=JjY$)C_GAMc-<XWzNAKP|GarsuJXwb5<AhwtZha~HN0D#XXMKN7#Tu%_^j<2r-S zPx%hrsb81)zi(Z?GwZn}R%i0EquT#l{}yu6IKSxlH=QjP=Ba+&(DX@%Pry>*m4ND< z#~b#XG@rueb>YmSp3gd)4c1@VQzoz8o;*$Q?3pusLVrEqK3qIq+p_t$R(i?$r#$bv zzjSDBu}-`DSazGb=f~aKYu0X57B*kBu#hXnSYdKA@7vP9>Kl7@9H{YOF_%h^&9f0J z_J7Sj_trk2C9m(^j|jZlI3wm#$DB4E;dq6h`sMGYs+w&U-uUT6U)kvoO<BveE^?hX zUMT$k*58&Oj;B%;bFK#3uC83eaLGZ@?7Qr9rTC;UhxvarxW#nV=KbTpwNq)&_jOD@ z-(SU*|NW4&!N{rbk*xyTQo~jWMTV3VHJ3>r*4u^mhdz(_`Q=9h!^F-R0YNcO?cI)k z>Q^<t(l=H2u&%B(%lvEgAAU;;Jc@76{c7YJ!?lYwp{(nu_MTqFV@>^u-&m`+nwdNa zYhA8rqPpzJGnf6X?&k#aKe5@yyPD0o_eV(EwsZcL?~b=u+?sE+Q@&E3^YH28Tb9LF z8KxCY4_<wD?<&b2t(4DRMq!!y%Pxh>OYDxAq$<6-)H-}K%R;d>Z;|&VjqDB!k0gJX z^3ms5lln8C=$9#rjh;MUeOqxQc-w(Xu@><{8Rc`Tlw4I5Z{M5w%wH`s$E0(j$Sfb1 zB|N4cO_$1LTMPELtaTNAUq3Zu#fCEzrc5rjZk=(?_)CtW88_!HUiAelR<E|W@@@V* z2ad26@9#)4{ByqiyuadeV0ZL?`HcGhvqi?=7g}cepObwZP`1Y6+>L7K^_7XNnF>m0 zqbt_`vtDE=TxIru;>pjPcQ5}nW}mR(^Ykx#T@BHfEh2x<UQ%6s@AVglF296#UgaEf zj@?r-)zEnIFYlQA<5RCB>{@)zgstCPC$Ds4ZJxi|JoS71ub)3_KjpLkh(}3CNsN+` zf@+IG(#Fm|=MFIh#Cu-PmGabixr6Q4jz5=u7OkASX`7?tg@_-F+iygiySs6HWa_?V z_ZYs!%D&@Y4^CkJqWXNI+1@V#=CQUrZuQz;>#gix;rD>qw)(SSURA9CGpp$QnLIqc z&(3{FWD(2ZdXzW!!>*&nv;Q};Gal4Ce&M2C>y^dndw!bl&CO0t|IRx3nD4V>23h{x z{(bNNGxZq+1hn~QMl9rIdo;mSRzUeofOh#*Ue+7mn*|GI{?>@dl8>LLbEETg$=>ve z6T9Lp#3sk`S3d08ea}+w#mXOgmEX0xW;ky@v`gXW`j{ikAs4$=ZDGA4Y7!86f#oDy z+<*Sv?!||vcqpzr?4`14j>NRv0ms+59+>y(UZl>Eh*ive%in3YO?dkH%c;W}Yx$N0 zX!1^cyYr!%U-{#T<RiY!8s3Y3U0`ln7`XCgq@=)}XZv+xc0E|q$C%sfr+T=|L$K^( z+Knfk-6sU*>eQC5T&C<%;CyXWSPt76%}3h`YoePScw!a*&FOUA;E}uQ!vi(}4v#<j z0blAS9_8Nu(&5RfqbGh;1u#mT;^oad=-#sWMAYW+TA})~AG=EbKW*Dkv*7gC1Mw{< zTTG5;yp@=LytVBn|Hac=oNu50mUhp)HD`U{>|`FczkU1fnfzU*y1V@Hxqdf=O>5bF zrxvS!+g6crMkV^<_wNa!Ykhb*BY7n!nLTKnlH6>P7W?hrYW4(%#;b2sBj>H>&TV|8 zuPGP%E_3dTrlc)*uPgefH-{H!y!gIwPN&|>e&6Tmr#}6+Z~qhW%2Lur^$q8tMfZNx z{fgHy(OtWC-sHnR{YzrF;&*s>#ys>t{x!9zu>RE^4cqsBHNR9?R@J^_atMxndRw(3 zYrnEZ&#$-BZW-5Jo|7<rm-K|XgKp+a^>lZ334YF32ymbH^{KD<r}!)D0=975-I}s* z3r8sL^zBt=rkwq_?#oig73nX3Dq9q<PQBdux6FLmVP2)Zyh6-dOfIYBJ}S-MH@~Lb zb)R9wa*K-`a|7mXR9bL(meOYy6MM&+GcjvaQg+pyahu%w?PS(WoiAC(wIlXeEzf89 zr|p`d+fiO&;(B)H$N2v-bNpf@1m2iVV{Y2F^>lnoW&5ufDGrHGPu5MHxIbi(A7=}n zQd#?vF6Zx$`SYcMt>3i&J>C1<#3E2SY}%SVJD!U@GA-EEsu#B4*TK#WvU?1gTMj;( zytisX^B%je&rAyXXX-O(i8dz-{<L7b*)}h$xw_-t#TBnox~mG*^CEIH9*HfFXb8*t zzAjqk=%qY+h0find6Da*7asT(##az*t#hC!X!Dnd4Nvypwr~uxc-!8RD*W}EVA=9z zKexwMbesF<-Q62dw}hcGW831Q+DFFDPAv;x9JMNRoct#1r(bo{?4Ct`zM1}xbdgKF z>Yi(Rr+dQcH;--iS)`hNYW26M?(wLXxw|c0+hCo#UxlB)|KGEp|JEE^KJCmUA1=m^ ziQVhgo>}wmuDDUUjB%!JFtg;lROWt8!5cG{^K|}a;5fFbkcDe*v|pWnW9aD$!SG2R z_Agv7zyDa6bX~-ez=pki+urS*dE)j_G4t&q!TC!cS;<{}wXxK3byn~8@(A9e=N4SN zYi_+T*y8cwoA0e2hze}{@w@np^%q7<%S9E<o{Q`D&q%vboX}P5B)NB;=voEoZlfZ_ z6lIlLTx_n(@(XxxMsQ5bRgyN0TkYgnef;lViP<N3cXwV`V=8_p{>^o}AHH3;Pn@0D zdtt4q{h<!2n&9v9r;luBj6cWB^?JvK?2;MY)iX+;_6a!ow78s^8>K$S>&zy#G>5mv zw?!V$(mpmfxV!LOccI3Q1(L^(cpf`awaRefZo}1?=c-PHdKSiA4!`q7dd4rdW&aPp z%n!Jkp8eRe)LyjaoZt1UGnpn>Z#^TN&89fP*Y<dDo9uPb%Z9h7%$O6Ez3k<y*RqK> ze-*WDShMe*rb@Vf@$1ZY>ksF>=u=95zjwpSW7m#Y7EX(72rY`pzr3@}x$e`mM{T}! zIggmO{dllt&DkJ%n|oK+Oj{RM^=Q*f&!Ui&SLU2|?e_kCsr9ns_nc(c%YM&hRbKG> z{P~y2>QBpmNdEm(zi;)m&7VVTiqD69{S!0)=<+|7tK97C&;PzzRkZqa`oEcV=l-}b zUJosv9W}?*q>}M}(U+F0L+igLev-(yIpr;(ap$9~a%}75wO213PMzMn&h^fdjXLxH z2Iy&9>=!+wzp+?*<F?t`Zg>A(>c)RcpXu$qTdw9F=i~3L-nk&2_3fGqH9Wfh7v_IC z8~Sibbo8ClySMg+@Ys}X|2EMzdS_nC_Dgkgal!&S-|Dicc4+UEUy?Ju{7GZ&jfL(1 zOYa;};<7j1T==>}?Z*#o(=`q9m!mn4^!;OCELoN9e!I^9aJuWaHbLbzyy4cJiZl8b z&EvaX(pVJRuDW7c@N5UCtNESh77K?v1ms%&XexQsTY2kG*xe6WE0*8WYP$Q@`A;{O zSKmg~8h)Ka;wOVOzjlcPo)%EPTU7H=SnKL}R}qU-zQtXc?hoS%dGBXV+r+SLb$r8y zD;ZxuSou9#b=Xv(bIO@JJ*$5^Up}cf!y_m7-Iwj!vuB@TnO6A7f=6(-h2u>VpA3l~ z_Rm*XqzaWyc^ovS=<=%{d*qhHUF%zw!MVgH>)?l|pO&HdzE*3QZM&Cy&zC#D+(A0k zy@!|U<<r!drEgxVsH>#ONZ#7B$m;ki1@nW4l$LIsGyS6U*7uDS0&1qan=Y-rtXsg` z(Hz1ea+Ck=m5z|M$CX}t7EayruZ&03vNH2Ymii-Z$2EJde$l=zxwc#W(6_s{^MAW= zN(K9gx?0&f?hlIpIivraSmy1o2lm}xHAlWP@msL6^CW4x^5~c^2kO{+CeGiZYWv&% zvVZcW?&!8W+a=y{7Zas_)q5^@u~n~h^_nZs9`dqgyF|V@_eGpb=PK(QonzdSiubXW zcJ)gw(R|@qI>ljqhxo7U>UUn&KQ&!yX!VZ&c0z5ODVN6^om-C=@HDvXyHtKHDQA7? zYPGJez}^LwlUzPL*SeXby8es%3+b>|QNPR{zTCHsDR{%|=S4|vxAS-2`G2s?DA%U; z%YqHten#tTd^dN!9;>WUar=}k59ys;2mGGPEnSoD;5V<4)!2LQA@S|XniBCg*WYcp z_fpJ<M{e1@gg<#-mLEFVvcvmo8{6h3jaOE-ZTiEew)&~h<(jP)o4uZ8?V1<zzyEdk zY>k;8&-Qj(p7{N^LpJj1$B6f53K@SYRMzZf5&N#g+q<T~<=%tT-6;`2xEh5X=ig>q zoL4aI#jfu3lfP#4wyvLLx<f*>Simv%68{S=1?HM7BH4zb>!-Ucp0J-q?77*xP0K!I zMJ;}6IhAY98u6;VUbc;UH$I+o^qBvGh3Sz$l`N&*A1`O~e4lrJ<@;Zq`wSmS2L1fQ zxyRxB36X_jABu0jFX8W>?s*|UN?}{At~J-m3i}Nca#t6yylHwn*TcwT@uxRmg!x+T zM}+>0=@alNG2~sfsJ=J)T<OFULRX&U-i!&{XVfPDO;SI_D5hsU%d||@6K0>SHG89z z*;sZoh5UW0FuCNK!PA$wjXGOjDycoXD*9mG#M!Yw=V$XCxF+hmG~lws)P;H*_Z`#| z%zvWyFfVVdD);WSF>kX!r@U{u|D+?|?;0~lc$@1LPQ}TVv97nh>Q66F+8(<^>15!p zKYF{)xSdrfdGU6}?4G%=4+U-4_D!<$uvWRbf8pE<+zmH0+Vxj|GH$QhKJRi&hRa{Q zHNs&li!X<|^>7GGZxOtF{GYx<tMu;4k<HupN9+D}+IZ8Dxj&snz_#>T%R!&M$g?M7 z7V>5@F5Py@g;nAFfyeeu^7r08Iyph~0{5bu2lsaTROore`=R{mj~v%7q4*=~b_SOi zhq{$bV14*+mZ~U|n7pC9*b7I+$87t!qIb0AUEmOtzmnqQcDFgrdwGW)&mW7NbHBuU zZ}ISzXqUGLvSrM=_xj{NN9DD@&NiH4oXGUB*TG=w@65mZjSGL-%)P4-zdlQT+wSBI z^9~n<guC6%ZaA=`na|+rVapr*-fq4<eG_~(mTIoxd)eLVa_8fWY{8&w&b_9U2Q>K> z1+D(7Fn9lpvc^p!GbXc#+U7t1v&>=NQT^vvTn&X>PRsCWyxV^Btn=G%cV{|ZQ@Y2T zEqs>IoAbGRQ#kYE&#DtIi4}i~iQHb}Gr@B2K~aD9)J*Ov%j5%v?#`^dUp3#i(feoq z)gl8me$LbU_AJRyGnY*~eK_K9#BKver3<H&1J5~0#h=Ray`~_U@#SIntgFu@x$7-e zd(u+Ym&lyHbk*9ma-z!pr<dF&y$XNvFW}$p6(Z$9*=!pPd4BiYTVf^^X#G>-rriOl zuk7#5O4-;F@2@q;=P%i4t{wPfavPJ<;+Tv_lID_v>(0)OF|g74s`ufqP1xg-6_qC! z>+7GbVwk^e-h~8<uEmed8@x;IcwfEwgF~u1jnjWBv*ZnRe*M!^ubtS`ShJQPYhs6p zra-n&7w>`pe>lp!UtJV*Stzr2@6~E;rL`xSZ71HfPCezgik*>xfx(@*mr<2bjj^n3 z*;~o=|1X}e+<ZUOc;y@xr&zODy;CMT7*u(m{phK%pz|Kzq@ye@tZr@1tjUaB+->d5 zB3u!2Q`p+wWP~D=_%h8s>`gUvEaU`OA7w;D$cdR4G}t(ZDNJPL7OIF4$OxFQKxRQg zrUN4vJD1LcaLId3h94g^bg-)#DCj6@X~=Q&wz*ciOk?p_lK5xZuWK$T2QuGXQYqI| z^pr5Uqk8^s%kC!$9y{*;Tl%y9c3$)%@XVLeF7MMv7-FX}FbF7kO8hCa=1f}6;$pF) zfZ<V6<_CqOgEJZ$6b`0@B%}nW%;4hUV)t&^xZpsAK%rn_;Kv{TZ*5~?+Lh~VlB^WX z#MrxCI?#ioz(9rJgNK5Gr-V+1j)8-i4);X1qm2s%D-~K<JyH%%a=7GCp`pWJ;2@&F z(c{YIc8tmUNaDc-6FMp!HtJYt^et6vVv;)G9%FH0MTElqO^W53yYAi1dlnY@e|PEZ zE7x+XOTznSPK*xyKYwd)UVlf2f`Nk66%a8+CZ}||Quo?-Lf<r)wx8da_U`y&$CD~t zvNtXBUj|GmwpgPOC}RI+-7_0bmM^iYRtsm|UbUgC%cVTzQr{9Gj#An8=F_h5aklI- z63pe>_~xDI=Eo*dm(@HkW!zZzNxjfQMIbLt`R0|omNI-+yQbY;9-_(Dp5z{WfA8rn z*_#(GUbN_b+>%|>-yY8jpK81E*sNK*Jd0-QeM*U%cEWwm%ih=ToaL_bq#Lb_JO8|1 zd5%wYm08!NsEIPqcW808?0WI#P_UO}dywh;-JehINt2Sw)j53pqM%*hhsOTRpLb3( zcRsyp(ap@;W_(uzW3PWm`82WCX4z-s&lcul-EPrq&lPR0+Wvc=sac+v!h*NIj4t1r zW#1te^6KuF%bAJ)R(wA*$6s|(Z)nw>*r{z7&b-;Msx7KMb~&TF?Qf5C@wvS&>5pT) z%g%0{oBfh+^V(C}ZmK0^x32AWnc^PeenmM;>f#K+pII(nb(B``&YAgib&h?n|CH%! zi;RS?s%<)BZM=B*DU-h!-xOJ!n5PLg78)LOaA8Sq<m~MJJfn90MzfbjFH^2={rMsB zdCff`zF+Hn#Ki^0c%@9F99$w6<WG2~$9wkDk2Bhf>XXyjLeuKg{^qP&wS1XT|NlGp zs(<dCclPCr4?g93_kCm2DsOmATeQk2`@eVaty(`1m#c^W>+cTMRf>End-4%OWrvM~ zfy1;8r%e_PYClcipYgj^5i$Sct5cuWtXZ{g)hfU5RX^9P%35u7YSYS1*4cYQX8csH zs!LA`3BTIB-}0{f<as@lG)|gKIaaN${3r2c|94Nz@7_!YHH@#hF>g%d&oWtepyS1* zTf1*%Bxqm$xzIk-#HZ!Orl!MIZ`OTrJhCmVtpEF}Hw%BAbWTaWYxSi-;qjry9`14t z<I86<G%o*3KVg@B+GVcfDWB6WCh1CbMuIO51dm^s(b3$Q@p!k(nREJr&p(}emtN_< zeb4EQdp57$Q~gvn^q%NL+xM^hjW=DotaCoIt+;&F+&O72zMIX@_RLCiJEiG0C&yRK z_ZE+EY^UWF7Z;b+T1UhRKYe)h!S+p{RFdSi3y~Gl+Iz%=Lgn7hu;q)AvNu=0Im0zR z^5W5%iv;hrn6#K>d`_rjE7Ut*Ir;kG)n1Q7Z$1bOtS=8;9xr+>^lGH&&oI-J{dceS z*WZm__51zz>3_ffcYYzCKRYLS<2KoLn-!O@rQR@}+@#{ko-CojaVS89dy<Z)$<k@; z!VZp2i2)uGT<olk4jKwPO^pc#3IYNGha3_#1lW=Ucml4h*?5UPF(h+`Le`Pq7~hiX zo6FlL&NT?$Q1ZZDC2aPVh}8v#YqM@o-nRSwhLf3vYc#y%7gSH|-a6B0Z?=+at(*GF z<EOSwmVSLRW}n#gu!4IWedknW)$1Ht)WC4|Y|r#vZjQNgQcrQ(30&Bb(zMKX)yxSC zyj1sX@|yf$+WqoE5&qj*6Iv&E>Tck<BIL}u_0QstbxpY&=eR9?_2+otGu}2WuT?st z5`VWSSDjXzyGf_*;%W242J20ie^I=)lS!~((krj)Cp&}=7|+Q0Uz!)VnPt*mh68IS z+p9FBZ@BUA^_`?|Z)4t?`|amkEWB**t(WQx_Y|IsoLgVBs4=@;SLN!@`c+vjuc!L$ zXUUzg=>FXLrTg6q>Rx`C-NCyhqwM+mH($#0W-r~xwWm6Lp=s3I>Bn6bPG<eF<=mSo zbMqZO+?{zLS+(G6^zx$kuMzKJ=6Y98SiCy<eaNdFt9UKFpF8bm+IsZnT(0UzS2Gh& zm>CG&@SFJa)9Wh<n|O{3WiL<KzsE|K=jJgl!P}Zfe|_UNMlb(g@+Mq%WBEUo9nxGA z?!L5NXu9r3?7ZL$e?tOV*FH`?`)N(nm(5LK`MoUpTb%bi7o8%`-K8J7pC{OM^8%Zw zfHTWJ?|t@YC+ph+$B&abnXj%&7f-p)-DPvOvd%H`;DQgaLemVd81r~(v9Zoeo|i1( zZ<H}t;o$cAFFMTuvmJHLi<~$1FqJBqJ?Bisn(Aea(pMK4A8}6ayIaf~mC}69ga5U~ z+j+Sc7D<=5Rm~`xRlUzq?BRmR%N5UCXsnkJ*+0|Z?vAQ+j=M_&dY&A4y!}xm`^`-D zqdm@%z2fJsU+Bq+B+mMNrg_sVM@4J)f5{!s<)*aobBQ0f{jD&s?gCe1`PrW@ezGs* z;@Bu8(zC_oyn{xl;|kX~pJZAh1f9%Pj?QZhh;Y_uE1AT<;e$=fI|Zi=E`p2Qy3&=V zxC?e!KerKAT-OsYW6g2*`bRf86iZtiikkTugU-9Z&`@ESWcD(lrNYh0)5~e$UPq}D zONzy0yqKL{SjYPBI~P?jiFe1^nUVW<mfmZ+oOICVi5{n4y55Q|HU*!0&j;4!j&p4o zvK|>!-nz-X?i1s-i59m`emNH(P%1Ft>dAy_Ypj|N_Aa{6^=8B6@G`rCDJos@uT8>_ z+oe}8z0A72Y;IhS6W4p=&T6^PP~Gj7M=$pV^7QR_<@t;wK-F&YmCbp=nLH1+&b!y{ zp#E{@6WeH8Cf0N5Mwc_!ED~F>?bgel)i+`ehNwSxY*_tyrjAuKFVp_dGruJIDBbsb z?)X5oR;~8@-8n}Jm#ne%*Ip}U;Pg=P9EU~K{FC=SUswKcAl=BI?eDF*yPnrB<gK6k zDfhwQdAW1%{yFAqvcTG3YsI<Zl&dd~Bs+94?z+q?(YUJMc*@%)cLl1xUiE$c)`V%^ zW&N9#>zv-ow$FL5xm(coZ|vihXWb@=b-l}65o69f<yGYY!@h4TzuBw}nETB0>B}Qp zGw1t$H3?f}eIe>&$pQVoxY+7>At7_s=AOEIFlfT|L#K+(uOGO-{MEb#{S7kmy3!8+ z(pFt!ZD=`umg{s^_B~OvOkLMG>F!%NMbrueCR^TKlzg!5YcX@(RR)2(+1B%a88ZiT zF>ZU6dt`FMd2WZZOfpLxQXZa8XYEkpEWZ6gd9}B}9_xZ#O_NT344Ly!?bNK9%x#x{ z1^8?eHOSl7G)Z_}>$5NBfw_)Nvp$y}y7@?;ezOk4zsxYv#-Cj2A4==0_>`wGu*KJI zy39~@ZNsWAp?!T14l4@n{WXchE6?};?>F1-Ib3!+y>0&9J{~!@Ep;yqUk4QCK3`EW znL+Hf5}(rl6BFjmk!|?O{x{99Iri+PB&I5Ho;&*vO0hKkWB$9zye~l}|JvpM>@zP+ z+?+MhCGpf$O98eLD_JEYoyV*n>e9B$yR+Dt7}mXJ_t3IPKURC{{lUEIf{9z=VyZ=0 z9PO>|#aPD&T{slsV{1FFJ!<}pMeffR-8wS;!PyX@$AL#B4j9^%eAt@9a%ao3&f8y9 zdw#77`*Y=*M~`yfU%hGKbFZ7R&$a6`tGqfZ;n;?)5<N!8GIlzp9y3nJ{MHh-<z&(X zt+pFW|DSf=@$!4zy{l52EMJ|=7YOJIWO=l1b<~ZN)&KV8U0Az#HusC_cC8IR`Ccv- zoT7GumzU3}sCx1B*><y=yiKEb-<(_$H`7DtkYwQ8d5o3UIs^?D>0cI0WDZ~`Tl+y( z<B?XaZeYrhOgE|31;;ih{mC!3b2?D6X5F(GCC6Fkb~I=F^vpb~CQ!YqeO=P&dkMzu zVMpTGSNf>81%$=&$9QjEm@xmsrv}lz?=NkbyWHzJ>z^spby|w-xn9rEuM&L|Ybm7i zW$(fS*FSbwL}xEZGw7;6r+f76e#bp;W`ExPg)c(G;qjNvu1mURAJ-KBm~ejSoHRS8 zLpp47%g>y5l{Dn}^@`)rp2^ePl8zi@n6GTR{osjvllW?SBoEs4_5A)@tiSxjeD%lc zu20sv+8uwEb$@kdhs4h_mCp~o)!q77u2Mp6@3S8=D}Tyt>tvi8u##(?w(iTy1JmDL zjInO<;QjT}ru6Hs=aaGok6&F?eIaZU)6C2ep%>TFCS3gbQgnk_bIE596Qj8q`Zkg- zh29%eJ#?miU;1JFtEB-NVp9aAuFaV6AoA6ATedu7%^e-OZ2T-jE|<j8<R0wltjZPh z`|{g$Q|o!oDT^H3XP;Djp(`dVWD>k@r^U<$wdc2%CJNr{5ueZV>F1KAXKxwaznuL* zd;e6)57JYv2TSg^PVw8ozFqGS_v5AP%~xgnoPRa&8_W^;v0_1j`o5)0rYgjK(O!M> z-B;UX-DR$^5|V5eQvxoZ{^#{6mC<Z}vu^z{4!=^VQ|jffJkLJo^EvX@@OVW=Xm)?I znCta~U+?v58_dKrWcem(%G-4ZiOYx8U#MMJelc_L)I!C7&;Bz{JQ<Y9{Bh>~bIbgb znmE5LiTLwa-KS}f;=HTMi_Q1XZxxuZR8#PxXHBQUwPjE4ZH){HnSE4u*DS|<ttXdP z%ubG<eb6+Iamf|~_ppu2oO_<He$u1h7i1@(|JwM={n8lk#ZDHr{D&2nNAmWcd&;P~ zm|=QHvhGKpFS^$om$0&1cK-1Gn5(m4FQ;mN;U~_0p+`LS3Ec>FFgZPk`_OF_Y0<!y zPmh;O4k`(`*!nS6o-3*2(4npmFV3@mJ#XOt;@rW;^YUKhlP<?eXe-)!JFt68y$QPb zLwNanrBy!@dcV5;`Z25b$C>*MGh+kIb$KdgelqQ?KdUlv%GuPH6BpDhopZ5G_(D;b z_LR9LskathS*mxrRo$%G;+02R*8anqf4i45Cm+q&=deX=Ly^rvm9(b3+{TVJKf@Mn zH>tyAvNkKKl3O-U4|Pc478F~g*Oj+a_oIX3tq%6@v+fD>1!=1UZa$)UCH=`$&VWx# z|J4S{&b}tO-F^LkcBzXm1sU{>PdEjx`(Cm3%K@u%4t9&UHW^pjXXQ;<aAucifYLPY zE1pu%uP3+UwY=)6mblOx5PHm<sWW2bF@;AwiyKY)Qw7Bjc&n|=@@4B0Qggeo=)#OC ztDSBbCAgoQRA7<rDJfr*yez4G;bFzKr>FOIoZOgss>|+;$AlEO$*vdl(h^HU&ab(y z;9qb=H?48=ZIK@<l=3BP^%zwoV-~pAUtnK*{sh|riQs~UBikp>Wjv+Vefr>@FANTg zD`uJ8?zTI)jA_!hWvmT7^1J8S=U;jg#@xJev%oWHp1Bgw8D-3us_R|Ool#i3c6P=6 zt@hDz%x?9cmLEO#m|ej2>g2<FR$3q6W54&zK~y_-hs#bE9-rkctvnVtK5dmV(kz}} zs~sb5;x{iQr7!E+qf!IzDOXRKXH@Q=IzcL};`*AFI}+TQE&YPgH~JFut)|Xh)hMCW zIem7A>)V^oeZNoNi`qA_O!WG5jiv9xj_mF1=I1QDn3&l-dG_LMwk?uf4?f(|bzEiC zV#UaE<Y(7Jw+@ak7yr!Q=&?}b%DUmOVs6DvHp!C3RXZ|tLyu&AP14%GiOFQS&|kT0 z7Kz7WpEvi2Pd`<+d$NQ{PR7(zDQ}V`&++kS*RJ^V@Z%{)zZ)?hKYq9>T;BD>O2zfX zhS<3`^Q4sOcf?Qket)_8VM5c~JFXKA`sS^9ax3M~)(;x$hXf+|v?d9Da|-h+k};S4 zlftR}Kf75qX{pw+2a@MP9vXZv+$ECkbz%3XiJwG|oHrFSS3S+L>(;9TqgxUsJGXD{ z?UphM2?~08byaI+!O}^r5BGa|tmGA2u5+`fEd4<>cj2$)2V+zUFGSkxy}%RjC2L~B zWTw~epB|mmw1S_n`-fiGNA~3pxTQGSUEeDFKItzZ+ogGLA)oHbq#&D^)YYK}=kiTZ z{Fbmw%|zvY?mAJS((Z|qf|}=<TI<K=$oM}lj1t!3`!I2u(+wx)uXf?F(%s*?|G&=M z_Cz*k+p1_gGybb~8nMr(Kkd&@jq&-a65)SfD@z-jK<_6`u_B)<jD7{ZZI8vaJ_u2d zImmqJ-ol!oCqcWMBzkWD`x7&zWz+1Ni%bNaWv2f(WH)7<>JiX0_jfSw?diApGW=Yc z_6tojxv^l)d=@qhf!CfM&AiKMTms8KCV#x<FJiB7al^Lstli3Ub7#tMIZ2va?Ui4; zr=m&IX?J3I^@;y0X7sBcI9Z_jO7wxSgT)sX1I{%|;u!519d-zaoXnYTrTp^qroR7k zf67HZn7zf~*@vZ^s>*%_A6*x2diCV^w@aG)8&+z}7gSc|(!6B!u|!Ad??s6V545zm z$YcmusyDq4%Gj0oL}S5;m~Ta2IQLcd?hoLs=ytQ)X(k^gl)U5o_KPvD|MUHncPSMe zFZLF=X?N-8d*0{ur~f{&KbJC1gf+oDVA;W4KW;Wow_CV%_2i8o>>Y0ePOUQW<Bqy| zJFS)Z)%=I+JYDQ+yA_phx0t*XHn{TR&?<(*&2=-<Bl0(VI~qLos$kd24dxH3rXPD> zuC91yZp_S=X$#Ju{^;}0t0gu}-7r!}U{>{+8@^gcs?WQKc-K6*)Ld|Ar}NCt`oHz^ z{VsdC#1uL`de_y@?dSLSvh?=*YyGP(MJ&-ctnYU%-kUQ`UR(C6x6VP1tS#%ja*sEy z=RB6Q*Yg+8joH^%Ty_<XS+|#OYoc+3#$o=dg}jA7ve$lhZU1V`(WR){vQG2FTHZrm zJl?-+6O!)O_S}$makt;G_D|%2^>U@2k4i4KJD#4PctqIwtDM_xsfw3N`OI#YCf%6R zwmVt9jlVsk;Z25h@k?{j{*!Im)wvcoca?AUxcZ>5^!4ks1OE&>x7}N7wB%CU!b8GJ z5{1syDW+=$mgp@v-W*gK_Swy6s^Q_b^OM)T)@VDlRzhDeP9&D=@{XRq-?E%5)M}fg zmrj(?k9wQ-kI`V;7ZF|#rTo%!v74o)t(EBND~e%Ga5jHES*^2o{z8p7^UgIpuV+r) zy1L!)S9aNw&kiAzTsgPAd$l{K_!zHF&x^C2zk;P5>;jTpW|_YTxL)+O@}%?k<+&&G z*4=24-KHh-UAfJ?_1L;_DJw3;#(Gi4d^3Ts9@Z=}{w$yD`a&ubMdm*`xWyvV$=_Nm z`1H1K!EbsF2rU-;vsvuC$BL!%FD|yOH=lTB?kOk9`%*Pw8#g(A2+D13)KrOB`k60q z)A?u7{K0Q)YMJ&tX1LX4z%LaLs>!ab%EWq_J?K~boWk%^T^=(Zp6S`Oitz)(U55J% zDm!y;t9ec;akso1=r`w`hWf-OiY6@vp4&<{{hwobIWR4SiPPvqL|@_-ix7d|4yFN4 z39ru|S(!F@g^}QbtxvX3Xj%KhSoza`zS$`!jD;`P<T?3F^%Jw{nDJA~=t=&$J|m?b zrFkcr#cY0<%`90q%Y!4jJ)>XHaqR}7#Mfu|5_^7Zm^$fBj+o7b15NC*x0EIs-MzDK zf32I#tN91bWCf$Q1Q~4!I>Mu@BH>sxd!_*AE&FY$lkS*#T-N2}V%l&jLDGaRK%gP? z91H8t84CHASadyhPT1t{m?CuOTXU0+hrt4dFqS)li_hm2Rlo7w>il8bzW4ustxafG zl8WlO)8aenVvj{f%zot?3p>oVh}<l&^b&metu&iuyYHp{GX=Y9_NTgcD4g`a{`J4e z#J|%;pD7=@Ew7k1-BdYvV*i)#9&ZKOI=XHI9dmg1`d29LU#U|wXLh{U|2DzpdXUQ5 z4ZS>bW-2Ic+1n9tn^R?H?xZan&h-R5`K@cMbfZjVYp?9i#dFfq($ZX9TwMPB|DSt% zo3fIU(yeW|o|9Cz=iYv&vE2I9%f6yri|2rupy)Xy`&2|k0;fJB!JOzx8_#mF2mHIK zsHmi*locAv8ydQH)vMb@|1-2jRz+D&xV%guQ|%0gq(@u*rmY>@7T?Hys`_u6noG-8 zekCX{npu#hru0ibhh2Hsw4?=ZTW?L8WM(XMxhzL2%|$8whpx4l(yD1(PecThLe92G zPCTLdCoh_<{f>^=L@tr_`M0-Cyt6I$_6gaiM<Brw(c;yme0<`Z6S<S_)eD_!aGB(0 zY~*-kld?+*7mv!*Z3}Nb_doEHmG!5({T9VX{I_n$|Kq>C-NxFFOEBlg{*7BZJac~+ z{rmr)S-E`i?QO0uJ$C|M6;FSCXocq_wca}yGjCg;nrMCM+Y|ZJo10Qk8-9@8esb%C zf0d!^d@PI>jVzKGw{Puz&p5$e<1e4sK~{kj1x7ZmoPdMO+)cOa4wbYQFHYU05UKjo z+*nA>$^Rk$^Azt>u3K_EJ-6m21r-z&Ja7<Lu<Ai0n~=^1M^=8JiUtO@L$Xh|X!gk3 z`$_LyJg4VQpu6cKfpjcUxp(m$*{3D$JFQP?{+a&PmF4!dl$^~=8kqP*HYi60UAp=1 z^NGufxr#p&I{UXNSC*zdIqjS5&=4;wP|(o8EWTiqa#WG=f<{KZ0)c<0zbW+=u6WY- zSCW;Np;?ka!YNSY)FF%G3MR%P4IEso%tC@ZVjLWNylg`3!mR9ET-^L@oZRfJtZXfc zK^7uHOT4cueq<E#YH(n`_wV}R!?Ss238gG3iAWDu;_<c2xXpUQYFjOD<<Tns-%sQc zzJ76BA5kw+dHRBC+V;!k8#vag1%HjQOa09ow`AeYDf5_BTvwJ)`VgRe&UpU@i<(w( z%d)A*WC}j5*qdeGs>%`W{9psasaP-Xn9b`0GS$AE<1RCGdE>Y4>Ao(Lzb|)fdSJDk zd%@(#7x}j-2Irl9BR%PxoC}-a);=Z~jvI_E7msl7OmsELc_J6BW_$KeD(9yaZwy?I z?>Q;dZuIHY_0SiFJ(W!2?f2Xw8d>`K1x)Vzzw&w}-z`0{+}^p{K8M*Y;M_UcaBEBd zr%bI`vfBOE%02ZD_Syz$q-@=0Kanp#M8!Qz$lT3Fb&uyN>1ur@o7t-_Ox&jv=6m4! z2aWGdB5X`c9`>~cKV6dkh+TWbw}z!xPNi*-F5M#XF7dkuvx3_1p1a;Qi6+g5%6CQS z@4K^WIm^-;fz$5VR&L7a6&KyKfOo6#PtSxG{`<L)?R)S1muXq(?&Z>^@7eZ#W?!GL zk+Nge+&I_hJ8DM+f<H|&>2Z)!yS;ktJOhPOo({96>{xgFYpMNvMXSzk*3F*`C0rLS zcgR`YxcZ&x<;kO|!Tyffw!*7lDqMXN^Lf?$d5e0KH$^Ova`0K*l)>niE||&v;gkB7 z+wHZ2t3#IUw$>6nd-ROUWD&t%3^LQ+`v_e1?ldqsx9e%$>q|1M&Ch?$V>7f?t^NAd zC-%wCod4MiKKBdRhn(v*a7sG*=A3>*_qLM_`+mQi5LX!a#pR-<)v3#}UmTuoeI8!- zB&=YQrs))ot8cz)+kd!iUTbjM?8@_$4=;a;uKOkvutPZW_|t9CcNb0S_2YNjRx09i z;c8LaG~0i92{-SSu9I7pX8E(xy#5o<W0y;tSG06%*xk6dtF!)^Uhk)f{SCWQzD#(r zianNNtrOqz``rce8V}aWObhzCrQ+7_@4rOy4!OQIc*~HhW5E|=JM%>MOS9BPR&^VF zj6>(Vc8J>7v(5P1x~<24r835T+V-qNNZ5Y1*4zRO^{vU@r=I7MQoj3d!`@xflvcEg zpHXRc=FCduHapqCcTMv3$J}~m8IEIb+~ef7tY`80eSTq!=mwLG^0#urvjPs?Gk9ct z^>u1fuk=*YC4phnFCKK=Vf=@A&G+u(uampe7d4xxZn;ny<Scq2=HC|`m4z!xM4Q=u zKT<o$rv9;e=i9un7KMTp!B>9#-YNR9R$u(L!rEUy7`oKWSMHjAASZ45-M`zMqi_HI z`_AO*rTd;$@g0-=POK}QTNx6wM9nl|lIbaftnc3G)9<<QZ>jl^^ui-W<;-2RN3S>| za}J4^N?6J53(KpX_oQuUXRoQzw(goACnrrh)aa$%*zR=m_WtbG9(D%LbFXRqe^T5y zY41gM79G6{-W8ID4Xv#6D-PS|E}k&eYd!0_sQa_SrW~+c$typ*J7sxbV2OxUU!Th{ zfr}?Bw1k)%U7V5vI5<S4SUcSnl?6ORSfsX=Ib`yF*`T#B<?{>8vS$qk_gTMqxW4UF zG55mvx88Hu-kRq9qSY+XX@6e0$gf3z*Ih6#nxL`$!gehsgT9VEDqMGjYO|F3Yy)>_ z{L137)Naz-_jLX4^5xe9mhIm^G4}nfz*oWl7Ol3f<xTzd+WKbc_jQ-{J=Nc}`*OC% zrTzOR#+SX<_^A7T(QfOleM*1-&izyR{q=+W+c$*1TD>L9>{#CyrTeQwXRXo~dUns# zzMUoD@MOCqGg7ShdUf9#toiMI>ddT7@4XUTt4^($66xq5k|-q?%g~(h#NbU*Aphp3 z28}}|Hd)8Nluv8D{4)9VPwtC0ijFasOLslb-ta;;pmE8p)n6im_q!Qe&D`MJQWUy3 zl-0a2RAkNGNeg$W)bxu9lxbhMZ@b>&W{8!im_peO^IGk-ZJ#XpF2A^>b>!qh;Z=+i zc|`MPOvx^jSu1jMXXn2!2ls8TS@--3&q|dUP8GZcJi7B<?VRlU`%iAU2ivn1{D}vx z7d&j5b;erCvs`77yJcqK)e~zjJ=i(>P|uD1zl5qn-;1yu7V^v2E!^?4b3W5XH~yPn zcYB{&t2a5JwD?`Lg3Q@-w%%4;dW-CH5;t6(;P6;R>*Ot!>nf46pGeJ{qh`Ko=aJ6% zii_*Mlxu81{%D@HfR)R;s&i8eF1F;nu-i3BY-f<M@zt59q?L68&#%~B?%B4|HCwtO z&*kccH0@dKDv6D&R<GZYFs-hs;7y#u(cc2T1`Ew<HvK-idi~ZHX+0**KV942yKGD= zJoM~mW02OnYi^4fjBm|#i0Uv(dc19(o&fvN3jtRR=I##Pti)M#|IVB{W{y+YZ6$c! zgU>kU)^N<5q+rA+EuB)mTp&kKoUedGK}*1)Imki5YV|tCrK}9Ek0kF{$G*8FU3m9Z zm3Hxl&)mPYD-L%x8d_*xwprx%+|<ZC`_SRn7jvzP^875?7B?mASBjjY^r2N-qxRC} zLhDKPS2TaIJ!!0Y%fj!nGeJNt!EXM`ip-}oBzSD1gc6sTc`OPN?Q+SgU}Q;o_*^*0 zcxSq`h{Me%44nsa%2^FRbuVvV%*~6xn5EwtcDbFs@q*mRYo~7hQrYHjzsU79zwx&` zsdmX~^G$|}YHxlswcYeH^^x@1^_K%O9m*OSbsAp_zURCc7`M+eVo}OcHSx<29SpLz zq#hHn(2)pEkoX+8;i6APze?_G;phM!qr}@v!iRNvpC^i5K9CzEu;agHK)Db5l#eCl zCyw~bb>}!bN((Udyqvn-PDU%HIMO4^@pPEZ6aMK3f+CogX9!;D`6lFh!aieZbdlAH zxV=j%8j`-;Z&8U9iLG1V@O@6#KQmQ<%cqJ?y}!CnZ}FA;PcC=O&RH$DQc|r+O4Vyd zoA*1b+S*0u^M9sr`*zvfE-AM4j4XIP|6cIl+g<PN#2IR>w%+6`xtn5rp>+0BhwDDm ztRKwxI`-iSyP*5Q1D|D1T|N2onWt~_QP&l6d&~s7Uq&4>*v`(y@}<&c(wDh23<Ufm zPcaow&`s1z7W`EIxKixSYp$PGUpMl<RL?cob?{|K$dt!Q0?VFUn)s(Vd&0J<`7eYc zxP6(f7B{giX7A}@j<kroSnx*e)jzfy|2cSNA3tIhihFuNPhtM%(#k~Zy%*ZoeT~sf zd)K3*e3@hY5(lw|8q-g05y?}_*|_ql(lXx+VK3Db$r&>ww|!~8oo92)n`_pO^2Vlh zuP@2CU6`A5T9eH$IV*+F{9N?UpxgTwJo@AE(KB{Kdyh?HSW3vG*`c3~#+w8P<<_|~ zbL9&vxSFqD+}O62!$W!Y^-QNjQB10WcMhf4rU<+9?z@%x#`D%$H_fjN9v|+-`@WM~ zvQ4_-S)CGphw>tgc4wA{A&rKys`*)yLg!y*k4*gUAQgMt`p6`MBPAv^?OSwt|F9V@ zo1D07al@M^uX8yJIriEj_xOKr`|u}Z>+SOo_1FJQ?asPVWmwjy9sR05#y#%sRcX&{ z2P=FoWjSt9HEB92(8VY=apI-f{a*XZT)C&-Jkk|z?P<w%{Gf!B{RUUg1*)s=yRg1> z{?&1K^^H>*bqglms9&-rzGh*f%*izynzMbDwgtZE@m&-8ZMv7=+%K0j8bXa%H)y|G z<?$=?o6EyE=7%izQZDV2F6M0%t(d&#_@A&tzGhzwoW1RRCmmX*Rq>;3cJdP272AA{ z?|pxIaa4@tZRJX>3!DDxy>2=4YO>RGsddXb8t;3pjI2GiZsF1+*A(>GR9{=vSw>es z=H`{$`)-f?yd~@<%r5448JHPF9Bi6bzdtaYd#~sAq-aa?X%0F)5=V<JAHVTAj#v8P z_Rif7x&CtLu~w;O_TC@7TzD+SUOBOeM@H;@xTsF<VuY|h&#W7f7aJB>74ilziWSxN z*t7ciA3;7Dx6A!g3waJCDZf7~T&|mS@8;F-NpTZI4n}MYTr<(mRC?nwmZs~GrDD<V z{;sI)GfY~3;dA+aAD-9)^9!099Tk=CuUe(X_eb`Jos-vbzLd(xdxbwQ=zUn0X0&Rf z#d(W+Jm=-Ms>iaN(DjX2BKliq#Y^V1eQ%=Q|7FQAI`~%a@d>@7XLBp~7GGYeP{e)t zz2?nnf#G6-?b=Dti?;0HI&q~bjjQ?T^a%<1DHne;9X@wna8s6W6;rmsq@qi`{(Mb6 zH}3@0ZCm*7{gmkw6H2+O>+f*qfBCoXMnmQNCas>3hEUbO#;<nAR?qOuW_B@rS}xU| znstZYjBhhfi1xc@K^yfm+d~(K=ggORQ{Qbm@$8}(3y$QwmEGF4>*bR$gKe`;9!Pk( z>}I*i-l~$?^)u)CE{)nd%U|z(on^rbcjg(F4&Q!yL;J*zt9Paf9Br3s$$8G>bLC*m zul3?e7h>G|nWjkbEZ8Je(Bj+leZ!__6L(!XaI<?O<K@RuW&$G2j&9$-wX|Amt9ZY< z;KZJ*VLkhr%D$yDg~T!<mhX*LjSen4_fVFx>FWglMF$%eTs_11yfC@))4QG1xbBMi zZ`tR)?rn}vj_X<_@$$sWQ!Z}3w=Cks;zfQ{uT87lg>qi4iJG_J%iCYN)!wTPXWz;U zar+~lsq0q1<HoC;NoVFYB~F{9#5ZTH>i28eW$jis^44YPY&G<Kvvf7rtEN)*RIe{h zv)EogYO=YID4=rjC;Pd|9R|N^?|1timcFz0Nx0YZ?-Lm|HXS+RE#<JM$VH~_$0FaG zMV@iLeYgD*Ip?)Hz4QM;xt@hzZ#eJP2#_l+(Y*TY9-A{`QGEEn32V!K|2iOK+^{sD z=i3~!xX6<S^4;tmrfZy7k!Vz`D-b%X{L~JYDaYK+gv}Du&PPhLEShv>YTF}*knMRA z_fr?1&udHlYPZPJL&LlHxa-`cqG_oKygX0$%-x`yE2?PrKXGMa#x`-m>2=PI4VB(4 zU5hw6IX7&v;%z*9vSVuONo9p7-lGXqi#I4ryjrNUxbN<Px0hcg=8D!?OT_GQOLfaz z5qSDV%(7XG%d?rhAC$WvJJ2eXDS0k6$a;3f$Fhfaf_-OJ?ud<_Cg(rH>Z9?Wj!SLv z*>|>UEq?sl+g|)k=#^U>i~3%L8t#iZdZ#yp(?;9zUe_B<CW-J_Ha6uZUsIjVJl=EF z)Iua~bNr|2TKo3)=vqB9{MW?m@w_AD|2e^fk4{G3T9Vq6)8O(r_1I;@zdiSFEMrcd zsJ?81d)$AQ%IaWduFWyL5jU29XGolD<7~X=<ezTAAL2#^W^<PBR8{Xa5iRBuh~Qv7 zEO_?0MVS84?0eO>I<tKn1hNlQ-CA+(u26s3#Dx<$x!EMLoaWb8&7P8f^4M#c-JM-` zIXk)%_FOFxdAK(0wsy1M)AX=uhZk>B+3?OtbxV1A)$a8VS~L%7uPhL)3(%eFIqB55 zqLUok54F6IYVUnu_M}6);^mgstkApl%<pb2w5n!5$fA_s6w=&KA)>rO<*G)4lTKFv zgOY!RQT3*!iE=Kt9E(qx&Wc;1qaJYn%tr-Phb9@u#O&)fYmV(^E;xO1s{20k4f{-M z?szIafB&rP$%(IakteooGTLAjdgaMfzifq#U(fGuV$!Ytlk<Ra>B)k#jh}8zbNAU7 z+>=|`?0402!pq(>NrjyD+d{;gxb>bb<lM*B&{+Cbc+Q<A?*%5<FFqx3K(Xso^2^v) zWz%DVSxT7xKd3ETxU6o$7ahUkS)c!$bUmQ`>`Oyd4a4c<Ry;E->h=5PuQ(!O{mRhw zMdGo~m$VH$SeZ}q37(lRt2CpzrEyWCVLQjSbUwj1=Vcr&Oyqrkt44q2oTpPi#Kg^x z{WkGe)}mtut3wY|KEJ?V@TSkEDS74>nF&`ri!;8N>O6iV@$V4pnz!tC-sXrqXa;Nc z{xa)5kv40iuJ44)O{U9vuK!PaQ5CB1_Q`$YWRVDt<>{NInA~`@Y+<+OY4iL$Pdk_D zo!$9p-p;enZo2lT3tH?Gk3OXR=7Y|R&c%{S6R++(>$1vLB||~6Xl9kjs`<-b?dLXl zoN&UxsP%N)gV}zU8|M1D2>LKLMNbWLT^gXV($uSTYSh97S2U(f<!W6Ov^F3lOY~IM z+Mw8_p{up8YPoKWTDxkAhQ`zo(Wy;~mV^a{#*0sxaqw%Nz=r15x_}gp>3oY@RX=Pz z_%e5srF?SQhFcN8%k+%dAO2=oHgkLD%Lip&i>B|(d|p;65wY&rmy6xsu7xdLeDl>0 zx$O(ip1zv+<=G04o>i<22@e)6niec}%KNC($8RjVKOV_G^XOzifu|jZRdF!O>Pv^c zGrA&5kNjWt^2JGx`|_*4+&GjXHj%r?<>~tc5mSx^X?b|0zfa%w`1FSKM@7Qs%=`Xd z{#3@r?X;cQ=jy&I4(^*S-P>R)CEey0eE3FA)~wk+xy6e&re>IJ^X=tfyZopu<b<rj zZs$q&IzL$Rv+%pOsZV+Iv)IPQW1hh2&+eZ;e6o4ya=!WH!x^tQi*4mo_|<O<yM5pD zQ;x62f5OL~%W8HM+a)eJKl$rJm)|Xw^YtIKPyWfdysqxHy#6QsDSv-2|6lQQzXsEX z;|!k`H_SSh`0Qfk9TgSj?v_Un1O#+!xVrkC-3&HpaF~fP9z3d~Jfq?$<5Y#k8zata zKRB)EeYnp*CP}_Ct5<s}Hm+^?yXL|Uj^bmMuUD)yG>>w)Ju$i@L2csfsmta{dAaaO zt#$Z2Sti51JXv$smIV{75AHmn?Ea*oBKh-~_RRq@r#a56n}po#<CY2x)LOIS!9gbH zzUF!C#=HNfJr~q|fAWR-`XA;ik8isCe8Kj<x`mM}Hplebrdvwb?7ZmDo>{jfU&${2 z<IE3yYjb#>*!|g)d|<!v-ORd~w|Gq?J1vE#OiEeJ60!UCIq{3^VR!20y-=E)cg`&S zeT(|~)Qi^gf9KQ$1SG#yt^ZPRea$&mfp3#$y-8!r_I_<xna%XYDdpL(Adln+I(I_( zcs9RxEwym2%B%J$+mLdmT1VM|Gt-YZSL)uZyqh)CwVv;l_;Trk?!5e^uXYx1msvF9 z@O3e3%e9tM7k*=OKQPfI;l!if7f!L4UoX{tey7pOM4IjSKbA-8T+FkYj<Bu0{OzXl z;*990VFH&XZ%7HeEV=RYU5;LxGR-8JqZ%jQo;ka7({kxMOWQ2oN<LFd4Vdft`&+?g z>!9Dk3pYOXI41lmqkf0ngssY&(Y75r-;R7yoNVnsov-x3^{+ga*UmF8T_3!+z2<A{ zuhO%TU2l9DR}^t<)~d~k+h28KxATS-wUM*eFPpEnm+L|6-dV-b)5_<qD88BZd4i|q z)`ZwSjBnYJgv-@S!m<}kv2=O7=jF!l51Ln7z3koXp<lIW$28w>hnBM(iITFfG$`4m z_<riww0gs9r#!E%nSIeIL}A00nQLM=mL6Hf_Ayg1Dp0ujkjF&vo{Nk3$elRg-uU3j zh2_ehJUAmaPJey)e$1A%FY}Wu7#)sio}T)RXNl-h^(xn!8u}3%b;6f2S@iiccr9$Y zE0A^Nr`%Il8S_mCW}my{zl^JOW8Op)AFc=K&VOq=cven2Zau*<YgumpEVpehsyn9S zPCL8v<&L)s+;T3{I^&&gGxgbTnxC5|b2c=imf>kHAJ@9jy&^wL)*3SY_|K|y=%fCD z9rB67qJLj}ww=4L#d7<;pF+Q~-0Xcz+!qP0DSyc=Eaf~$DQ`YU^|I8bM`e$3?bxp@ zt<3E&cHv&U(7UM)g|adH+^^RBytIEpw_t)y@prLb{Zn)N7TqlReNq1Q(+B6)o~vB6 z`U-dP1J=cDIfAFuD*ycsIl{iAtK^He^O~7q@*3YR%b%9gcKN`*F*uvU(t~|N3YV+D z)_a|Mtqb>-><BPl`0w41txl(#RTp(OMQijvk(Ryr_RgB~(p>p7MHOv+{SXywvHG(j z$hK3;@Tu6b`zF`cuGVGcJaqZgd>y};7f;Ml-?j2v$Cm!5SGIjzDWZ0E;hoine7^S| zD%eju!&R@c?5=e=lh^}wg<YwS+P&*0U%C3|7I)0zpC|Wkj}nZ?_;GUn)vyUgOXo=4 zFn(}o+OI2)CmPt-G2N(m!`Qn)^<ARwl}y%c|3B_qZfbQrOfuz7=gqbaqVKm>O?%25 zE#DV8O?>WuHrb_xH+6n8pJJPqvQ^yY-Hua}*e+e@PN?Xz-Xbh{Zri&>hiWCVz0}T! z%BP&n^NOBW;NRH&Z&Rkofj;e9nOm3Y@#Oqf{uXzZLFSHAquylK<wiE0Q4jR%LM;PQ zZ53YnAMktP@Tujb+On1B>YvsW=x@6aa^|pP$L3>trHVJ#zPx;F@$|hvw4UVIMdnVu zRvPV>(iK!9QDbmj`$JyyDTTG==X^Yxt_kflJh7|b71!)7X4Ripx)!FHZBvTbaoA!a zk4mamz@E2#U%d)8wa!eOQn+gFO%0CE*2-U3{}3+h)SH?mS;`r_Ab!&|*TOk7ChQPn z&|90x8nRxG>1X1ftk_S?91k-t>FqWtds=63_F_J3__7rt9Q}bmc9~^|O`nxEb@AN@ zR`r!@j~RDOnAy8!O>vf<SDxyDL+?^@x?BZk%;f&S8qMCiTR~Ne?M*s^mg@m_(FwDQ zZg>f@)mTQo-%=bL^lwJQGG@j?hHQpXhDj-&_v-}(+d=1ywdPK8;V=Imc1BJu$yL|d z#>=obE@Vo|hT~NyuIVZ{P0fi^N?LqvRc`dur)IZRycc_?1nyF4wL0c9Em)#eP&neZ z*Yl)Tv#zCH*QjKhm%1}_(h{%9Chi#<S3dLTyE8{=rGfbL;D*^deDA0gPO|Wvqv9u| z-Z|Oj-u{jm+iEAzPLCA3z3xs}p7!>sw@>BX1u=9ZC+T=jQ}LdpdU8^V=Oz`)*|(c^ z_CDJ-`}Ub!<L$jWeb3|u`vjrDOTXh?j%?P=mGsDqPE31dVEo9y_+Vn%69eP&8Ism# z&e%MwKl6Xaf5ZRD|BnCZ|0)0Z|Dx^=J*8b8FO=X6rDZozVOi$(y?4XPqHpIO&&%E} zx;yvnw$v#p$k6AGTAuLs&f7;=V~*%|=9t~wwq&m-oW<F|k;o-vG2@t0ooCACliO6^ z`l={Bn(ksYJ16?mJr@?6hK3F%p(bWtF@}T>4F?#QxSadg{>8f-*>-!H%68vLEL-}f zi4}dypCqT&GIM5k-A@;rEz+x|#jH?&@ZS~UTRoi?finV5Gpx>>v1r@2;_=b-%#Wo) zI9w(wxJPnL6top)U}R)uEHqHzXg!pWpdi4~#HjSpz=wmW%}IjIQGp|Q=Cq2`be{f0 zr4@OueD{`>T=Ts1;MGG}xwVTA{!=MmEyTaJy7y@7w%V<4|0O^A!)Rn8y?|e>pXuaG z<$CXFF8@>}wik1h3;m7>(tZ{FruI>LL+6{~g%K<BH@|1(@cX;3OsMx<4%f})Lc6%G zJlez-(q!tcaLANh<IyHA0WjXRv?^=k&y%8WBvx~HPScq?`+<G_CRr6H#_cH+J*p1I z+_vstJ>SUB*?+@<iWLXd+HSPxEcfW!x%NenLaL~GdC0qinnwew1;ymn9^KWhb(Zl@ z(G^j%w>MV?X~#YNQQ-98XkympRn=;*XDo}I(`%qL?YsT1iKl(8nDFk2(9QK&x_h<T zgUHvcl_y2Qe}|f1Iq~^c=7UcwmiB~Pp1a^kNMP^0@HM}8+&Ug9lpvIS|4r<Jz7;29 zelI=FTHVuj;+6StxfRuS!c6`Jb+%+Kv1t2YY9{~n@wsevmMP2TJknb6rLsHYdd)(P z>pvC<oPOodar#w5$F<5vj%zd4S2=3sdG%~IxV@RBH06Qa+=m?>rkq+|u9O=aFu#|R z)nfaL44d|e|K9F!R%Uo6(>^()zGlj?U-<?rraM2KCKP`DxwYS3&B&T(Q{Mz0)#vYE z&2xNSY`W6o@~#V?b5^|E-oN+zx-Y4&6I#s$Tm1r9;vNRg`?p0V-sOF58|z0EpWS_v z7A3y!RogA8lV0>{-GTJE!Vjc*%0KS-s?L(kF3vN@nJ>28({^se)6-pbPamE)xNCG~ zdXP1<c~Nt8@0!(<)sMF5R127-=B%3$x=Ypj%Bs)Dgq^ykF)~d~mT)vUd%VOoETek* zs&x#P53jm;uXXXcO?P`O?ler|c(cNN#qS-bKFby-=`Rf5v(?jdnfsk?(b})(LcdK| zYqqc5oPB&RN7T)Z+n%wVm)#W#`&N4>9b2U|;llS*@)7^ntb6uqt5v?<gqU?L%2q{7 zH$P&z?ljk1exbPTgcI+aH)}8BbKTz2*R@VUR&=M~7meLcFEYw_FD`s3XBcmCW3BQ| zwS5J*C!Ww`?=UMcoMj=_k;`MXd+WyO%m24XJ3g^xzMDMl$~2Q5OE+ep<=o-f8@z>i zcYR-<oO_7o#n&4X45qKGo0-P*eqQC3h2baiCu#0nV>9RS%?k<(n8oH6gnW};GvUN4 zwX*`(mRTNl_fq(fVSQxrqdW`#1+U%He%*g27gQ)dXTknjafuD})!`Zo>UZtp*R)XJ zKO8kZvFB@h_rikge<tB_9p&>hiVeTT8kE$_?a8iYtd;wa@?-Xi*OhO|gjLf6zDUkG zbn3^c#H}fBoH$&z=-f)4)97hf!oq9ib@<G~c0YTajn^0c{M~eWv*Y}apS?<DoTrpN zRH{1RlCm$??oW{|r%dkWP*0Wf0lbQNj;WlTQq4`91pm(Tl3<upvuw$~OjgH38Ixmk zp3a<noV#e!n#^5oAJUy7oh)Tqgy;HiIJErOLC2=H$$61eQ!fAC^Tp}XiVRWar`NdW zcs8+UEoE7~e3IC0#^!r@ydh_veA{$OLvs7}b#`t6K22U5zN|Ova*bi%@=NTWU8~ON zkbI8F+f6-e@*9oy*81((x_jCjrfq^}?oZEljNIdzv|ZP>a<h$Z?3=vXy?+F^X*^-) zmD4M`@$ST-jxAD$eOB}?p8Rq9cLNE-ZGH)2w;w&+bnmSk&$J~g?vw@Ic(d7M#;wX~ z*5-+ay*RcP>Pq>&J|A;9^W+Spg}PeZ%eMcVHao#$$BoBj?0u?-LXM<4`^xNlHuLVu z!yFr}7W-;QFQ5K#+xG$q!<47XVt<-G|5g*2ml!$cZtiu-UuV-QZq;R;`6fNF^Ul9a z$=t-~IR`hb=9ycy*~azhw(dh|^HmRBId@xIqDLmoX-9AN#e%5%XHtIN$EI-R21c5k zo_i+#wS!zt@9GV`w-fSW1P&Em;z~?<{+5qtn#XFxGi|dhVj}B{`P&7PO54&F>dw=9 zocp?Z-ZXCiTMa7?O<*s7s@3z<Y=(|_%a%D?oMzmp+|AsqdMS%%^FlSg@N4()98PSB zn7c4C<MyLJY?l<Dym0wrGM$^n|5ms>*R&aub1a^Rz5mnP%e3zh|M9AW%j3>#=WA}t z)V4UFbA$bI)9MM_^Hh5DYOembZK(*b5B&IRYum&f*Pb_7wR7;SD3G$g5aAs)-Al5D zW$~(48ghrS7S&eQ-n-JKX4(|e!}Tlo_V15s`nO&xW>hJf%SJZx)fgQ5++twso+tQF z{f4U2&$T;d7|4C}6TV)3>M4in?r53dJ^eQ(hWuDFXGdAP#(ix*?t9bU2q^s(v(TxO zD_kD<+VY85Z`~n1$3yv@-JOponnx8WbuD%NcU8b^dd>32E4GjPoFj`n;@4iRzpR{4 z^2D0g>qFLV7Pcd*|4Sx#EU!Ir%QooeI}5c9`i1T-Uv?K5Jlu0c);I3`1Z|UFtYs}; z_T1u&&p5yF%$+k!_DxNG$f2*6>nBd(x$#nY?G8bOsh&ztw`|i{E8}<Q^1hH+SGitr ztq{wPzP6@ZI?H5M-Ks2`86DZ1qRY&>ITWVEb{L*L;BoY;uB3u-YTnb@BkbWbzUH_X zPBxz&X}h8$a#>0y>vE(0ZANnK4E6t(NAKt{lrWt5boJ}m?>qCXR<dd?KhK>~Ft4=g z`R+X%PseOu$ebdSbSY4e-C)XQwhtZ~CM)?lS}Yb_8!VO5vP$y4U0?XEiAx#ptuS=1 zzTPYG`7*2K<GGXSm_!ZdJds=5%Fcf4X2jDqDrKA1Cow*~oVuU6`{~ZP;lD(fwOzP2 zEh*O*T+BFQ$@vTK0)GApaGSAFV)`6E&Q;r&G=IJJao(9fbyLDx6joVEwJ<WA%Re;h za20Rb)qVYmyL(P4M4BFTIdR02Nz|UvrvCAg?jv*j&$fGPm@MVrY@r}<Xy>A7S5_W) zlJ!lKX~*W3?uj1e2Uvbf%#mgOmLtXEGWVHk>P2_4qYLAfF<#Z`D)9F?>B=fTWz+gQ zIX{-Joo#63^^x&`#+g^1ju~O;ws|HCyVX~oNi3+<h|K<P^l8(#1Jm@HycW#6^lZlS zMY()OmbG3z_`~>Mz_Cj1dr7NX7iv_ooUA(g;ANtfL*L0-{yQRfc&2R-ICkaXS{|N4 z0rtgb-@m&fUV8Nr_r%ZXitlP(OO}c+Ijop%aprT^^*E30AC@giRZeGGxA(bSoA=|? zjd?ph<owxvc$Kx+vi<38H#Fac8BJC6ohbY1j_Jke1xr4B+MhUUwuDHy>h3AkhAn0d zv)doAD@i`BJ6v7-eIwgaCBp!1w${tB4F)H;cz2zdyy1$arqV{EwU!z4{JoTZ>|K!m z`M`y|%|YwS-_Ke7UGCl7`+ah6ZXc3Z99h6PRan#U!Ix*z(Z{Bzo|)>x!yhw?znC-j zq4eY5a-ow{k2aiiU3khrzV2b~@5@s9tF-!3gqE+3_M5!*qj1KA;?=(=Y;S+A=Dfs! zS2=Um`~%4$E`nw!SSBVUybMoEP-=G3H<Zt8KCU)lSL=z^EQ^EEPV?{Ui7wb|TWg+@ zI3bj`<+;Gsr5ul==bP>n3<y;?a;?gI_hZI}4UEbgJ66sVx#iRQ!rT2wtmBeRmxaEp zS^4~AuZ-KSThlF`YZY)XE2MUuiAfO_zNx_X*H67*{oCUcJif4~a<C)`K8fai?Q^Xn z<89x!+<700sxDU)o_=?FkG;a=AJHaP{OUI~M`!RgHDqp;Kb*q5FgYMc>BxQy4tble zL8emN!KLiARm;8{y!P;uUxe0K6W<NzuPa*Sn0Kr%<vU&>A+Th{g$fCC%`FQzx_ndf zVPN1;Vr2O9-%@~S2@k`eBZ3kQuKWx(zC2q_`bkas5m~j!bo+1j%(gX|r@lFE|6ln2 zaqJw%{_@{*?H69YAYJ9OyzcKW#$U#YJjpFhx+%P8HLuN?W#lK>oVX&vJ6Q7A#1_e= zGKwxz6FX{!Ivf-P+7)HE97P;m79?;qx-bNCICUs!9>1dOU3{S3GFxR&+T^D}dIwun zstnh8i?XU%{jD_>P}=?ITDtyshBfP5S$L$@3*A;T%w1J1c6`00POYpIV>5&Pl>1*( zl%8I^`#Z%}(%}D{47n4|c}ho>zWlj7>BO1MN*(&|{Oi=G-k)ZYw&EH48MZeSQr0Jz zpL7n8{`xm-v)r?med>!==iaKCQJ}<Cx7JK4@bAy}zb42kooMI?y4(J%h|in%&dYTN zKi|IU6smsW#-p46*!*iR{FXRiVsv2RN`uK>>#Ws74TD!0FWWNVz5WZ{Y9mSg&2bYH zx%yxEebft>^u%S+vJWC}FFf0{^URwzv+nxPif<F1?Fre{+x6kc(vMP-88b{Pj_y8O z%Uj>_YMNx%^Zo@dx%j((D~p6o*?G0^s4v5{hl#2J>sK(}I8w%UKw4m-K~h7D<s|88 zUzlI6ol>;?iCBhVXs9e>19LQkCqpoUu#4kI6PJJ~8#S)DCdy4<I?fz)Ab~T=clzFR zfhTj$Sm$mE(VKp;uugiTrMv3)|J(j;@9kjdUA&|2!^{;b*R7bVV>Q?%QcZ0)bYD5T zw96#M-0}F{+_YnhI<%Ms*{a1$Y<d6x-+tfx*0+mp|2ry@Klf(*5xo8Xx7$e}FWq0c z(t;ar-aP;A-Mdw*R%NB7`K{2J6(IWLA7`j*hq;wZuwTd|pQD_@)`^R^#=3-Be=a|_ z)Bf_cp4L<yon&ACV_6B3+@~YfzExUvYv%sqbAM-U(|TkUb=x&$tMT05q4V!PublVB z@#bl{DSM$*dSJ>YB@flgi`+eIiyN8F6;ADF-}>x?m(B+5AjQO3(F?|^E<%S@Bt>*= zY?isRSbDGt1TWceAj4ql>BVY{47}eoyq`8*2w1dim4>@>q(U!irUqx*E)6bKLn(1F zp4OXc1-C;>Puo~M|MNVzG`P8E#i1#gldmj4`-sbkZE=QB^C?ZCW&A=80SX))PXf4@ z6uTS+I2sk4c^umWl6nkJC?qet#JKE7GtY^=rCASWJHF-*Rnt9W%G<v2IZxEGSHYiE zLho9bZ4bCJYsERIjdyu$nuWsdYo5F)xg~A2Nwe6}{^UiI9!4<NcK9^8{NY@`m)A#! zOK#bX3#G5-oZo%B`M$AZ=aRphGv|D@Irc}0ORW3t%9%d?Z`s@9(qx@BzW7<d<xp^X z`cC_R*6w5@PG#|;s>|xa&#pXCQ{zz0RS!BgX_x-ff*m_&W$hHWwM44iOxsfUlR9U0 z&Yq`7goDfDZc2G;SQs^Rf640Mm9k+u>X7W|Rc82X(WIS;%sXeiuk1KwReh0-$@2Z@ z6Q8m^ZI*Q^s<Pf=#29VBS}C=cXZc!(eCa(7K2xK2{*L0T*t2`h>HIJIq*uLG3}50E zSLk?V-<^qFvK3#|OX>_>dala(aA@|cH&3?oUz&Mviu~FSC%y7tykW1mV$`nKv(l;b z(=Nl*V@@6%cQ@_dy?Ls~>(lQ$WUop27I4oKvA1$Dy4@zRq~(q*>-UwBvN|uWW|~Ug zxH!4$SaGg}$Cf!+_C6Bf)A(HsC2Z=s@0H!0I=wyPt@Amq)Z=fO?r-ayb!wU62ltRw zBDr3t)K@XC_S^pE;vz2prnNH@Lqk?gx#4x{{su<=bsK7UqeT{Is4a2!7tlRd9O$1U z-Xy#raDURO2`AQYNXT)%PfB=K<neiS>$Q)&=D!l=dL^;QSGZU&JxS{`r^BNc7ukbD zr(9U^B#MLIfb(hE3=c+)sT=J)<LBxtI%hoX{ZaTN>Mf`D@0dSgF#?N~Him6wT)kWI z!jtI+t3N#w3)%MEOY!WHY)1e0wcckVmV{rd`{McaM}5t@_(k(Ci7X7A_w$jOOt1Q{ z>knnBOxMUnL>#tct}1lgrCxDHd58M6)-UJpuixr+#W{KQ_EmNTuf<N4UY@y2?AReA z&UO7vdlu(vs`Q6#cln*P_ix(yy?3p`4uq|CTyXEk+Z3jcSNV#0S_N(~z1415zcbTi z<~*;hjI&u58LjTmG8GQ1+2JejB=$(ctVG5I!L9GK{S%+1<uXrMU(-<bXg%Zh%H_rn zT*RZ@jjsP*vFX>=YdJNdcT`wkZ_+V!U8_8S@k!s$Sy^_w6^idp<X5}m!{jD6Yb|HY zIZo}I^ex<x4?|dH*_kg6l~b|WpmL`-yLF0adDxY&r*l3WnKsicqrC85;jad#h0nhk zGKuI2P7zd!ycOORBRRF!^`Ag&q1d!Xd;Ncu-aJ=5dyAs>oS+N~wIhvg4Lca?^j1dy zo|~ZP7J25s<E^m88(+Pe&3N_Ss%smioHwkxB$n9!{if)R9*fAwcT*o|$f?v`>eEYZ z{xs?A*O_7!Gv{8J%(i^_KIL^?In8@s8JK&EH{bJ2ZuzZk=+@!e9@236_UbpMzMg&X zVYT2(z7-oUy_fXk)VSQ%{O_{XboJP!Qu~xsI`+IbO)puazf$P<PEIz3UA@JAAz#>a z>dwuT&yrid-1?Dw&(@yn^{Nj<v?p!fYpcfdHFfSAXM<g)*^zE3-)&0FUAnX!?QcmO zyktFhm*IU;hOHCx4X1Xu2gjP;>}2YS{=+5q*#CgVtCSzt)K^Rtd8MBI$HV!!XitaE z{wbFaCat^Y{vb}al&#`Mxsz_`rvCDSvhK@_YgG<#t4=SOA8}&Q(WiSnHM*MZE=M=B z&Rb=;O!V-&bfw8AjF0d3E=^wZWUcM083Icdxjf19G=0)Ld25^EK4Z^q^<p#jT}(P} zv+YRURIiK6I4{^XPAxTPH}$>Fuq|lskLCjj&1JqJeAW3IMZ2D*X3n#6`MR=f`p%@K z8~#RYd=@>S^@f0{!N;@OVtbM}FE1C4OkB;q^~*foZ*$LkFSw|aZnNMNi{i;n$-QBp z&k1O*4@&Q8Iv5w58)feL;L_1^&6>AcJ_`!PbII15TsbWv?l8ZF=bDS?CEjH_pFGR8 zVC8!Ebdi~yR18DcBf%%{Z!D6_StnYbAJ=i-aq1=cYsYn3cYnS9Ue3xl>F`eDx4Zh8 zy(=m;bp8kbJhbgoY{FZ$nwqSgv!>RiJBsLJvj6v3&B>G(&-B9i%X;olKKA?8+jy9` zuhQmtnrm~mVY$l@H|D7}ufhtAn^YQJw(c>MG)U6?eA0A+(sQ$uZ59PQoYS@%Jlnnd z?&-@Kn-<&+Noz>I(D>nQNoRhX;j=#O`AdqI?FpOt^2O|<`*hnjPWsXlvBS_LmSa0x zEU!vX_|=1IN8O`(7q`t`$hlH2A?QNinH9P&Zl_bau5Ga~NHv-4v*mTY?G(We$2N%M zUE<!bBJRNFgcnE5CC{--&F$V8;vHJP<7Qo@zyZH0UPfx4WlF!>aeG}Z@UrCjpR?*= z_U@=a$yF(~TU#EVx!urWJb%@@U0Kri&*$Z+&y-T$AbDkb^s+hIUo!i$cKzwi61rnD zZ=%tsU9As$GW(3BF6~`2^MT5ieHlMj{OFTCTYdQF1g|^N<=O30v$kKq#Sxz%+!*=b z2>aIlMp=`i+3j9;GE;dcYg8(jY}V-&G}wNSo5TJiljo+WN{44IOD`<0d+{}3jUUU6 z57A%NuH5)?Pi3~?+^FCiy25XYznSGY7|sfb5cipqb?@yvhbE4)oa!IN3<1JtmTz&p zU${iL{uzt*@|jxCR`Jhu+PG;!yPcwuUHr|xb&_B1nAf-JGt7uS`l#$zrkc3O%=d?X zZd*6EMxl4<8~LEssgZT-oAyNJY~`L4JnPsc7vG{k9+{zwpRQZq-sIt4A+bkd-|{RI z)oXG#(~6Wtt18b~`+tc#c=*{d##oMoV8@R6k?p6?sGAnOWMT~PW@Zs#U|`^2I298W z6?oiqaWDe|LmvYJ10Mq?Lt<%4W`00XezIO>UUE@r2rC1#%=>-m+$YbRJ>%V9?y2M1 zQ+`^%>-!mRJ+HIAXSF@g6rMh*ukWpEbj8@*C`iLk_mt0>lWV+mPM$fxd6Sop*SQlq z-k}C2;RXQ)%t;D|*m?siBrau4;AViig8}T0H9}{<J8&^DfG{tLJBm^g;m*+5s{c2E zMRjW+16T7EN!JTS$8sHPWuAz!?VRP<$2K|J#^J2zGYOSKPVe=XnBs3u?ozE@q4mf` z!^phK)#k3HsUYXtoXYa@@_+A3E#3rWXPWI`n%l$J%UI7S_`c5N=7EBi3x7mE_PVOE z^l<lmFZSBkq~>|yiCdd<aPIGuced@kv~czA>C>KsKi(8*I@Oos-CE6`&jWwtdff}X z@XYDKW$#ZHPS5#X7=8JSx7N>Pb!uBmJ@<Wlw`yK_*DATU0b;opcXoxDm6$)vS+}Lb zw<uldmhhXIM<iF89-PkNrP6j@=cKano8N^`USIs-q58gpM`+Hh#+?Uh9BMYd-D9T8 zo|>a0>bo^UYu4$dL5({fJALQg$S!sDywbbB9ZFn{vAnUh`!_r?dmd^XUe%rVuH<T< zC)eD<COPw6i@Bcc{xK;aG9p9k=FMN5L$4)n{TOz{a}T>zpw-vUcQ4!xonD%nJ9|ya z+Ard1UQ44G&n!CmZ^!G_+kQ5}7uV(7`;oMYe@jJJaA?}qLfO}m!r`~t90I=XbyK~+ zKDXoWh95}|zl|627VzawI8ya!+KUgH>K^1V{J$>7@}{OlhA(jc`K#YPeZ1Slw6Adq z^Jcb+y%SzPP%4;^V7+OEP~+}q_fu2fu3+gfoO*g$nn3W*v$0G!nf=YRcRyG;!S}S& zBAbtehc|Tk%2h6WbRhfomStu;S>?Z9pZQ?J*Hu~mF=y_IX}o%=_IQul^#>~_thp9l z&e3+q>-+W4U(Db6tmC+^u=+2*W0GC;bJnS4Sp|9`e23UL(~qb%OPtTsYnJ7U)_9;$ zG~veCTwP{`2TCh>K1d3F->&%Xu*Dya3g08Pd-g0j?=t6+q2Tf#z0T*7^%dBTDW)|` zgcaXq-|q3{nb~n$rDm2r(ns?CNKCaU*2#Z;>u!$o!{dkILp}-FFUsi24LAIrx_iI( z+sm3ik5@0~Q8t|MoxMzYf8?a;AFivmI`we<7jVfa`O~w5^L?XDz30h|$4__6ztE|q zBPr><>`>v)8Z%Y?NIe^~ErPC(AAOEpo6DXy@p$~SCmJ@>EHf(?XsGTFuTT8zbB25J z#YuZ^=X`HXI9?^`uY1x`LoM~|wr!85ESYlWvohaimG=Uv+l!R%ZBqGe@z7MW^11DW zZ7Z(`iiLRb-P*D2Nx1Xm#Fs0yY{UwySEi)AI+n!TtbAC>xu77ZLRa|mwvNP`t}DgX zYhS83kS%yQ$;^^De`DayD}CLk#kT9j3D%rh*PAvu{X>Yyk_U#BXMO$rJ{rAXJd{1# ztZA#{;=_G4+^08b&%V<v@p#!$O{L_UDGq;@o;`C)ZTbVpyUz@s7rx`1pBe6^>-liL zdf=hUGa@JXy_+lW(0Os#<A5#u`TVtmcxT5n3v79Fdu3dl@##fNKJd-sn)qi)@aat_ zH7m59Y)adfE4EztmG33Z_w^6-T$uIKuWZZy#N}bLEcN0cwfAXrqV7C<GAS&D%U*Nq zrtG2%!HUyd)q9P*i{b)zKYQ||pncx1hY$Ltwyv@K`C=>g;wi_x&-L^9zUdNw`e5^n zi<YXb>He)AD-&ld3C^BvyMEH{_f>L}L)@%(p12kN{o%&bhxD?GIO?kPIvy7)tdpF& zW>eX`bD6o<p8T+mt{0PCpBpmy#uF`@7sbWgAtzK%T~HJY{h74w_#-imQ^_SsH|E6r zJ`j8E%HPOUvQ5%$k>P0v=dRmy^y{=6lea6WJvXbYdK_@u_i4`!kq2@r^H_Jz$~Cmx zapc{G;?MU)eN%&(j?7@r6!_P$Y}3y>e67_d4rFZFtH8BhAX3|@xp<}^+m~|-+S2X& z0y;h(lzj1y{Y=j4w`^P1w;zk#uiwD<&_vZC<{|&BdtXmHc(zG2wZy6Kd8m!_C3BX> z;15Mgdyma*HWa@k`={ah-LtpbUa?Qlm~i1lby)k9Zx*v1rA7M~o_$MqHY>m1x=-$p ze8cv|yRB!hzkbkm&-2}kDj!;<z5G_V*~{)to)dGeG2qI%IT=oY!d~gSzy7@u^F7_r ze3v5kwyrgQ56G?X(-5(#w%xv2Dc1bQ%nOd}a;f`YZ@kxXOJT(={v~!F-u0c9K5}is zHy@3D_9b@r&&qg&-+n4^#ms+&gyz=#7mrR=s$Frd&0%}VdG#Szo$$%l>+{cWJ`m!O zSC;S65sCX~7_^Ate(LJPV#av~s<$3uU%~jLMqDAG!>`p}VWHEKe-8|MvaXv|a!Ayi zF|eG^H8Xg(+3QQ&I!<PP;&~*hx%R;^@7WS_W14f$?M$3pt-##axk7QJA%~`kvayoq zq!f#fEk`uimQE=XI^g8Ubwr~}u|*+yieryJQb$LNveLsFFYmG0S}qAxV6uJqMBKo( zK`1#(YoCz8LF4rG_g8S7HGeaYcSZVBk>z__fBxtEaimGz$ylz9JzjzPh)ifP`@};* zw`Sxdn?Frn@!>+?(jea-pBr}tTRwH`c`{?g!$q@xi<$0O`E6zLjGfGa8uQm@8B|P< zxOHh#=Z)Z+ludW7f{*az7#zFVz!)en%|zx$vq4G6b|LMOQ~#`#`$gIPU+V0y4pQo! zty->Q>AG~norP{sS01!~x#YV|{2#WHNjr-ty<!$%TXSR8`b6>M6^gr-{Ebq5XY@^` z)OBiCUe1QnEj9*<<*iODm@ZxNXY!b|dco3Q%gg$1d&^E69*<b^+EH&C_tmPpR=oo! z5-#w&UElO($MyGn*K9tqFaOA%ORJc?*4+Dd#yvyuc-CG8aqgRPyVX1b85>TmE=&{s zb@?ab9p-+mCa*K+_jWV2o^(-&S@ApV)3>P!1s_c(#H#rpkXiC@+UM+l>OZFLSu<I? z_qdaI5-($Iu|b5}SI!j;e;ga89kqJ7e^J<z7N&?2y(isa>KXQ5Pi*+TuuEcA)8(&y zVHZWTm)C@N>?=@-E&O4zqj7=3X<5NlHqo}uo6mS~Y)`OIxx?NSx4h+T<n3U`4`(t2 zy!ngUqkJ_*bVTo#EM>jRl>EO#VafNIM=ZBm`_+HG^oCd1{+7(1n>^)d9i|7Z7an8Y zrMv6<5rH+9UnlD?$vU+15mWE-i<b@RW`1Z8k(}nE+7?sKqxED%j`rPU-TMTiH0>k# zV*Se&XmvjLp*Huz#qx<d^VrL+vUC`Y4wl&VN^LEcW(duHmAKi+<%HRe=IUkQCr@R3 zHPV?;viI;Yvl)+;P0p>lbWd=)ffu_({GYlh1^MR%>R+|l^zPtG$vJT6{i&&Yf5>k! zz5j~$*~}yM`AP?R4PH31pX2?R;#6C6)k9oDZS$pRo_vWDyPr(FYdQIg$B(Rxh@b@( zcHCMfT)M4)gxCC>7O^UJfw@8Rm9O=0ynQa&7t0?p6Vl7>y?ZK1z{BM6al4BXj^?j? zf2(@k)gO}$Ux|C2f7Mg><gb{%gN5d$nYJRjkEYi4J*-rF<FQ1zy3B_~wQt|6&XD3S zGd^g~ndZ!TJbLSdS!WtlJx&Vzt6JT@bIN<>;|>M9887{mUu@U9!c#wsOXeVhSyy9C z>gjl{;Pw}bG{q!B8kMF_jL+C4TffwdPw7Q&OYncjmvjGVbTgl|kNcJNTW5m#lNhn9 z5xz_rp|Cb5r0sjpYLeG3CI*K8tPBi7$ZgK}qLf6vtm6DUc*C=IN}|7#p+MXI`|D>+ zh*{K`I$c!o$FY-@af|M7&WTC#3Es9j;O({>Wr62@++QCXGQs-jU#8oY&)!-azqPAj z`XBPk;_|VB<x?{!8>??wwdLW$o~a*|ZKEX&XIkywQ`H!?`lf>C9F-5z9t+=n-{Tb3 z+Bf9_e?zNXlu7ZE(1_I5mI<NleDjT`DzzI=l;Vw@(=GDWT5Iawsmm8$6VkhIW9jWv ztuu_*CC2eyx+?Xi!oByGUX5nUlL7&Gv#7OfJ%{pg81EIYy?HNx%VV)QrR@hpYGe1F zmSMYkK>o4BB(^JAZ~vxQcQRLRI5#gN+DvWok+UamOmMry60D(mg+;2=NQ|$pm-{K# zhjWh--j!HHgj-yyJSW1hv`mKeX~t2Pz-2RHA`%-55^qK*Jw3D0=aqHWu?*%XVbWRL z^Yg^A18#a&9rt<J7!$o#Vb&{|T$RbSeaX|@Jk_n7>z0I;=qxdosQeuHvwwm1j)YSa z#VgXjlwSF``q%P{nODQpm3If^d1VJ)^|z1OHvi4_$0};C=XO+Bbt`|H<HehP^}+Md z?WePxf9l_PU7(@f^8T{(v?CjTn@h62%S>rbIKBR_W1Qy7<Enpn=DoJ#nI`-B$J19c zreBzFQ~JfmJyW8>cFZ|?YntkhWU+Uhyb^)4PKk8Pm6~%QMnkHsomoLLcvnG4*PZ!| zRWi(m8KsGT3f@eaX&^H<b>3#nqt)pVQZA0#OM>72aWE9-I{1Hs)2_1LL7DDvd4eZ$ zJ_&bt7OeL&>=j#~+sADmj3=#-`D)f$`tMzi*i})sy{(qhN^{+Q|KsVFDX(cQGiUgy zX84M?Y+lmrg%58pym%5!{i}RlfBOCsIpdf6&G&6zZsE>p`)MlAi418Q5h45i?DN@P zCGXNMS^X+O%5Jax<k;lxufBEF^c<7dFZdT>6z8{P-yXq-m3w}D`5}&)<UgsIn0qiY zFq9ZFFrap`%2U$dsr_!`&Ai(N0yXcid&Cr0{_$PI{KA`gi^Kl?#@aXex>(jqDw(i8 zzp(MnT*aNI75`TM{rUR$>wcTMVBWa!nmy-k>IDn<?)stYbMWN~?RTN(G5S_*obSzI z|H`o0eBAKsy;-DekDx*K>B9~4*Z1n}DEy%^bCb^^U4s>V2Oe(j=n;}u<X{#wkz{r& z7n8M}5T&?5u;9>xcsct6BA@lQbj<z6^W;tbp}GJ0SbWp&pP46ryI_7Z|Ky%G)tTb= zC;q=7)R^M===Ra{cMttH|JY|(|4c3`fAX)*42~hL1qvBPY~d^uD=)Y(-qB8&Y{;Ro z{>;Ji$B!9vUUt5?TI;~1A74!qIJ<6XZ4huam5q?vzf4HyXn;TWGzE(*3CjP>Z6jQT zrz*@?Kl1{gV`ywx--3BY+Q$RDXHQjLvGm+2!7G>2pK`p?+#<xY)$~@n!Ihv)Rf#;S zwSl>IkJ?vW<*E(sTH)nhBT-TO^aa<{+q0F|B<^UI{&Vi&9QKp_62B!Enz_Wk;eDHa zW8IZ)9}Hp)53Y@VP?0?ELgKRc1o?y6Zm;&7=-$8JZdwfM$Gt|Y9$i2D{!@t0n%#?M zR(vsY-&$^Wa?YIA{t3)d-|f!pBxj_lF{;%FNiHZ(YiYfC&63+Jc2~OLBSys{_sgcj z#Wsn(g(XK@g~O*=)_%U_`*7A*%SAs$f}Z!R=m=PNHlz7)%Q5Bmf_i~&U(fI{*xq5W z+QWT#on&uGmc!qBEQ&$jrZ0ErbN@KuwAzxX{@->r$YwuZd}!C%KZ3=xbGB`i^iSKB zmbUEmN}~?*Wt(@$_nPGhZrfh7CaaiPs`|Xf)~to<7o1EU$$iyPZdTj9P5Rffg@HeJ zeZN^6oSRlQM=GM@NSEx6eOA_QEgj$f6TkgAWoFb<V>{{IGkf1iIqTouTwN|xoi3X9 ze3e;|)QNNQyE<1l?0q>~x$#jU%a)6GcJ2E)<MD6Lqn2AkRCIP6j}tswQU2zmE=O<j zeIDk-$;r=e9<}0lzDD`(dl}~RTVfSqeBx%eZ~R!lSEZvsw!!$;zS)_34x3s(nfc>w z=_BQ^@1bvH-L~f+muLL0F!{iC<-~=GPV3!sKS#1{Kc#Sw_f4>M%}*zj>h`w{pV*g2 zZh!B6{kpEdUQv~``5&~Q76Xf06Y3Zk81^tQFz_Q+H+sdDc_kU}+{gVPEln^nA>l!S zg`BYH*OE_na)TV^u?Tb<6&R&FQx#_ofA&&++Om{q>>^LqUoU;Ww54r>kwN#~`_tFe zUQ)htIQaXV^FJR5TO~@VpKs5e^VzoelcKP5gSql#4r9IIN=b(X!47FDM;)1M1x`7P z4;k{q%sS-U+87jM*~}Oj0=yZSM3@l+MAln=Z#v7!z`)7Mz`)MH%K!qP6UrMJL9CSI z0=<gdoX`+X$T$&btO(r%=f8(CW-~G{Ok-wX5I`~EHzNaLz$w1Cq%=vd46jkUSbJqN z85tN<Sr{0EQH(mvjA>Lx3Vw%ObasC1%gDgs!H6&o<f(?n0#;<x3KEMFa|wD=c)?8d zCMO1l*Iz)<3kfKQY2Vq9Ow%jQNGwRj>r1<&ld~T3FfyFrVPxQe`VwN&dQW7ND)Mj` z0~u0Vy8iW&zO@VtAk2$m%;7LbM7Zmvf=Yfo@rOD@2=N#s1+=m-A(;aiFvMyQ#6hTI zd=P^m{^DgtHV8c8ht(Wx?KX%x5C=8#BbkHLio;_VYK@3&ScwplVS3>D5sx{jxf@~* z#B(1+k<EeTbrh3W*+43J7<d?pm>C$Bh%qtfF}RxeSrjB$xMgQJ2PCDY`9}Iwrk56k c6c&^kTP6iqdU{yoM7kE3mKs%L8hhFT0IctmLI3~& literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc.tcl b/proj/AudioProc.runs/synth_1/audioProc.tcl new file mode 100644 index 0000000..2bd2b27 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.tcl @@ -0,0 +1,129 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info +set_property webtalk.parent_dir /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.cache/wt [current_project] +set_property parent.project_path /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo [current_project] +update_ip_catalog +set_property ip_output_repo /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_verilog -library xil_defaultlib { + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/debounce.v + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v +} +read_vhdl -library xil_defaultlib { + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd + /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/i2s_ctl.vhd +} +read_ip -quiet /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property used_in_implementation false [get_files -all /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] +set_property used_in_implementation false [get_files -all /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xdc] +set_property used_in_implementation false [get_files -all /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc] + +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc +set_property used_in_implementation false [get_files /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] + +read_xdc dont_touch.xdc +set_property used_in_implementation false [get_files dont_touch.xdc] +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef audioProc.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/proj/AudioProc.runs/synth_1/audioProc.vds b/proj/AudioProc.runs/synth_1/audioProc.vds new file mode 100644 index 0000000..c5e3fe1 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc.vds @@ -0,0 +1,758 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 11:36:46 2025 +# Process ID: 34020 +# Current directory: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-608 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4377.582 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :16340 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:24 . Memory (MB): peak = 1680.613 ; gain = 326.840 ; free physical = 6668 ; free virtual = 14973 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 34628 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2500.789 ; gain = 419.492 ; free physical = 5505 ; free virtual = 13838 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/.Xil/Vivado-34020-fl-tp-br-608/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:85] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:85] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:50] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:16] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0111111110000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01111111111111111000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0111111111111111111111111111111110000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1000 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1000011101111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110000100011110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110100110011001 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10010110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110100110010110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001011111101000111010000001011111101000000101110001011111101000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11110101111111010111111101011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01010101011111010111110101010101 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11111111011111010111110111111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1111111111110101010111111111111111011101111101010101111101110111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1101110111000000000000110111011111111111111111111111111111111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0011001100111010101011001100110000100010000010101010000010001000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11010011001011000110000001100000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00101100110100111001111110011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001001101000000000000011100010000000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01110001 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1100111000111111111111001011001111011101011111111111110101110111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1000000101110001111111000000110000011110111011100011110011001100 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1000000000000000000000000000000000000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101010000101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0111001001001110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000000000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-7071] port 'O' of module 'CARRY4' is unconnected for instance 'SR_Y_reg[4]_i_9' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1283] +WARNING: [Synth 8-7023] instance 'SR_Y_reg[4]_i_9' of module 'CARRY4' has 6 connections declared, but only 5 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1283] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1322] +WARNING: [Synth 8-689] width (2) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1325] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1329] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1332] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1386] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1403] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1406] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00010010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81887] + Parameter INIT bound to: 2'b01 +INFO: [Synth 8-6155] done synthesizing module 'LUT1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81887] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01110010010011100000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0000001000100010100010001000000011111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1100111011010010100001111011001111111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized11' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001000100111010101011000100010000100010000010101010000010001000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized11' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000010100010101010001010000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01101001 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized12' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1001101101001010101000011110011010101000100010101010001000101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized12' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01100000000001100000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized13' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0110011010010110100101101001011001100110011001100110011001100110 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized13' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized14' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1001011010101010101010101001011010101010101010101010101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized14' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized15' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1111011111000001010000111101111100001000000000101000000000100000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized15' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000100000101000001000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111100110011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000100100000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3161] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3164] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:16] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net O_FilteredSampleValid in module/entity controlUnit does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:39] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:225] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:226] +WARNING: [Synth 8-7129] Port O_FilteredSampleValid in module controlUnit is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2588.758 ; gain = 507.461 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2606.570 ; gain = 525.273 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2606.570 ; gain = 525.273 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2612.508 ; gain = 0.000 ; free physical = 5378 ; free virtual = 13714 +INFO: [Netlist 29-17] Analyzing 82 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.320 ; gain = 0.000 ; free physical = 5361 ; free virtual = 13711 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2754.320 ; gain = 0.000 ; free physical = 5361 ; free virtual = 13712 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2754.320 ; gain = 673.023 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_presentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_presentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_futurState_reg' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:57] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5369 ; free virtual = 13721 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 31 Bit Adders := 1 + 2 Input 13 Bit Adders := 5 + 2 Input 8 Bit Adders := 2 + 2 Input 7 Bit Adders := 5 + 2 Input 6 Bit Adders := 2 + 2 Input 5 Bit Adders := 4 + 2 Input 4 Bit Adders := 3 + 2 Input 3 Bit Adders := 3 + 2 Input 2 Bit Adders := 3 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 2 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 16 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 7 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 39 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:22 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5356 ; free virtual = 13715 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:19 ; elapsed = 00:00:27 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:19 ; elapsed = 00:00:27 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:19 ; elapsed = 00:00:28 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |BUFG | 2| +|3 |CARRY4 | 46| +|4 |LUT1 | 43| +|5 |LUT2 | 113| +|6 |LUT3 | 95| +|7 |LUT4 | 74| +|8 |LUT5 | 95| +|9 |LUT6 | 237| +|10 |MUXF7 | 34| +|11 |MUXF8 | 16| +|12 |FDCE | 318| +|13 |FDPE | 2| +|14 |FDRE | 239| +|15 |FDSE | 20| +|16 |LD | 10| +|17 |IBUF | 40| +|18 |IOBUF | 2| +|19 |OBUF | 27| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 39 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:26 . Memory (MB): peak = 2762.324 ; gain = 533.277 ; free physical = 5350 ; free virtual = 13716 +Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.332 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2762.332 ; gain = 0.000 ; free physical = 5666 ; free virtual = 14032 +INFO: [Netlist 29-17] Analyzing 108 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadY_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadY_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2818.352 ; gain = 0.000 ; free physical = 5668 ; free virtual = 14034 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: 19d5df0d +INFO: [Common 17-83] Releasing license: Synthesis +182 Infos, 167 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:48 . Memory (MB): peak = 2818.352 ; gain = 1124.863 ; free physical = 5668 ; free virtual = 14034 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2291.419; main = 1922.301; forked = 418.619 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3867.035; main = 2818.355; forked = 1104.707 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.363 ; gain = 0.000 ; free physical = 5668 ; free virtual = 14034 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 11:38:21 2025... diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..e762cfca3ddc249bd14f0c89af4dc9b719530c19 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zYA=;4+t&p{Uv8DQ~h}jNMxyh6BPbdxaT_m_1rW-kp13*DJ}uz`$@<lA*%HeW?_P zy;h!qVTR*hd4`5Q=c5W?p;sNws)EGlsxdTdbAGA@V)q(>$h$@i43;iSjX~_S77Ppw z4u3(U(@{$Xh7%5xtT>!P-Q1l+f_%=}ft1X(XJAlpd}<G3zjXnTdtE^yt!^N8uQ!Oi s>&?K>;IPyO#Qx;N>mCx|Y83D6;ppe*>a#WwB>FdyfuX_vXb^}E06ol0B>(^b literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt new file mode 100644 index 0000000..c08b4e0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt @@ -0,0 +1,193 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Wed Feb 26 11:38:21 2025 +| Host : fl-tp-br-608 running 64-bit Ubuntu 24.04.1 LTS +| Command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +| Design : audioProc +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 591 | 0 | 0 | 134600 | 0.44 | +| LUT as Logic | 591 | 0 | 0 | 134600 | 0.44 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 589 | 0 | 0 | 269200 | 0.22 | +| Register as Flip Flop | 579 | 0 | 0 | 269200 | 0.22 | +| Register as Latch | 10 | 0 | 0 | 269200 | <0.01 | +| F7 Muxes | 34 | 0 | 0 | 67300 | 0.05 | +| F8 Muxes | 16 | 0 | 0 | 33650 | 0.05 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 2 | Yes | - | Set | +| 328 | Yes | - | Reset | +| 20 | Yes | Set | - | +| 239 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 19 | 0 | 0 | 285 | 6.67 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDCE | 318 | Flop & Latch | +| FDRE | 239 | Flop & Latch | +| LUT6 | 237 | LUT | +| LUT2 | 113 | LUT | +| LUT5 | 95 | LUT | +| LUT3 | 95 | LUT | +| LUT4 | 74 | LUT | +| CARRY4 | 46 | CarryLogic | +| LUT1 | 43 | LUT | +| MUXF7 | 34 | MuxFx | +| FDSE | 20 | Flop & Latch | +| MUXF8 | 16 | MuxFx | +| LDCE | 10 | Flop & Latch | +| IBUF | 10 | IO | +| OBUF | 9 | IO | +| OBUFT | 2 | IO | +| FDPE | 2 | Flop & Latch | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++-----------+------+ +| Ref Name | Used | ++-----------+------+ +| clk_wiz_0 | 1 | ++-----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/synth_1/dont_touch.xdc b/proj/AudioProc.runs/synth_1/dont_touch.xdc new file mode 100644 index 0000000..2220302 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/dont_touch.xdc @@ -0,0 +1,7 @@ +# This file is automatically generated. +# It contains project source information necessary for synthesis and implementation. + +# XDC: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc + +# IP: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci +set_property KEEP_HIERARCHY SOFT [get_cells -hier -filter {REF_NAME==clk_wiz_0 || ORIG_REF_NAME==clk_wiz_0} -quiet] -quiet diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..ff29683 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -0,0 +1,130 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1740566203"> + <File Type="VDS-TIMINGSUMMARY" Name="audioProc_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="audioProc.dcp"/> + <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="audioProc_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> + <File Type="RDS-RDS" Name="audioProc.vds"/> + <File Type="RDS-PROPCONSTRS" Name="audioProc_drc_synth.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt new file mode 100644 index 0000000..6eaa206 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js new file mode 100644 index 0000000..aff081c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log new file mode 100644 index 0000000..cf8e52c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -0,0 +1,748 @@ + +*** Running vivado + with args -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Wed Feb 26 11:36:46 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source audioProc.tcl -notrace +create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:24 . Memory (MB): peak = 1680.613 ; gain = 326.840 ; free physical = 6668 ; free virtual = 14973 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci + +WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design. +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0.xci + +INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked: +* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 34628 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2500.789 ; gain = 419.492 ; free physical = 5505 ; free virtual = 13838 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:13] +INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/.Xil/Vivado-34020-fl-tp-br-608/realtime/clk_wiz_0_stub.vhdl:18] +WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:85] +WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:85] +INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:24] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:51] +INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:330] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:363] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:381] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:399] +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:417] +INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:119] +INFO: [Synth 8-155] case statement is not full and has no default [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:151] +INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:24] +INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/debounce.v:23] +INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/debounce.v:23] +INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/i2s_ctl.vhd:63] +INFO: [Synth 8-638] synthesizing module 'fir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:28] + Parameter dwidth bound to: 24 - type: integer + Parameter ntaps bound to: 16 - type: integer +INFO: [Synth 8-226] default block is never used [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:50] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:43] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:16] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0111111110000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01111111111111111000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0111111111111111111111111111111110000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1000 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1000011101111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110000100011110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110100110011001 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10010110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110100110010110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001011111101000111010000001011111101000000101110001011111101000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11110101111111010111111101011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01010101011111010111110101010101 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11111111011111010111110111111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1111111111110101010111111111111111011101111101010101111101110111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1101110111000000000000110111011111111111111111111111111111111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0011001100111010101011001100110000100010000010101010000010001000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b11010011001011000110000001100000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00101100110100111001111110011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001001101000000000000011100010000000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01110001 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1100111000111111111111001011001111011101011111111111110101110111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1000000101110001111111000000110000011110111011100011110011001100 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1000000000000000000000000000000000000000000000000000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101010000101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0111001001001110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000000000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-7071] port 'O' of module 'CARRY4' is unconnected for instance 'SR_Y_reg[4]_i_9' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1283] +WARNING: [Synth 8-7023] instance 'SR_Y_reg[4]_i_9' of module 'CARRY4' has 6 connections declared, but only 5 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1283] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1322] +WARNING: [Synth 8-689] width (2) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1325] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1329] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1332] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1386] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1403] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1406] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00010010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81887] + Parameter INIT bound to: 2'b01 +INFO: [Synth 8-6155] done synthesizing module 'LUT1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81887] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01110010010011100000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0000001000100010100010001000000011111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1100111011010010100001111011001111111101110111010111011101111111 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized11' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0001000100111010101011000100010000100010000010101010000010001000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized11' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000010100010101010001010000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01101001 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized12' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1001101101001010101000011110011010101000100010101010001000101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized12' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b01100000000001100000000000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized13' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b0110011010010110100101101001011001100110011001100110011001100110 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized13' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized14' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1001011010101010101010101001011010101010101010101010101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized14' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT6__parameterized15' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1111011111000001010000111101111100001000000000101000000000100000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6__parameterized15' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT5__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000100000101000001000000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT5__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111100110011111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized9' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized9' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized10' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000100100000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized10' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +WARNING: [Synth 8-689] width (2) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3161] +WARNING: [Synth 8-689] width (3) of port connection 'O' does not match port width (4) of module 'CARRY4' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3164] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:16] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd:39] +INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:28] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:195] +WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:204] +INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:13] +WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:236] +WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/TWICtl.vhd:313] +WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:135] +WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audio_init.v:150] +WARNING: [Synth 8-3848] Net O_FilteredSampleValid in module/entity controlUnit does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:39] +WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:18] +WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:19] +WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:20] +WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:21] +WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/fir.vhd:22] +WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:225] +WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed. [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/audioProc.v:226] +WARNING: [Synth 8-7129] Port O_FilteredSampleValid in module controlUnit is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2588.758 ; gain = 507.461 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2606.570 ; gain = 525.273 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2606.570 ; gain = 525.273 ; free physical = 5385 ; free virtual = 13722 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2612.508 ; gain = 0.000 ; free physical = 5378 ; free virtual = 13714 +INFO: [Netlist 29-17] Analyzing 82 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1' +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Finished Parsing XDC File [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/dont_touch.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2754.320 ; gain = 0.000 ; free physical = 5361 ; free virtual = 13711 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2754.320 ; gain = 0.000 ; free physical = 5361 ; free virtual = 13712 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2754.320 ; gain = 673.023 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc, line 6). +Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file auto generated constraint). +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:17 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5358 ; free virtual = 13717 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl' +INFO: [Synth 8-802] inferred FSM for state register 'SR_presentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + stidle | 0001 | 0000 + ststart | 0100 | 0001 + stwrite | 0000 | 0011 + stsack | 0011 | 0110 + stread | 0010 | 0010 + stmnackstart | 0110 | 1001 + stmack | 0111 | 0111 + stmnackstop | 0101 | 1000 + ststop | 1100 | 0101 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 00001 | 000 + store | 00010 | 001 + processing_loop | 00100 | 010 + output | 01000 | 011 + wait_end_sample | 10000 | 100 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_presentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_futurState_reg' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd:57] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5369 ; free virtual = 13721 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 2 Input 31 Bit Adders := 1 + 2 Input 13 Bit Adders := 5 + 2 Input 8 Bit Adders := 2 + 2 Input 7 Bit Adders := 5 + 2 Input 6 Bit Adders := 2 + 2 Input 5 Bit Adders := 4 + 2 Input 4 Bit Adders := 3 + 2 Input 3 Bit Adders := 3 + 2 Input 2 Bit Adders := 3 ++---Registers : + 33 Bit Registers := 1 + 32 Bit Registers := 3 + 31 Bit Registers := 1 + 24 Bit Registers := 2 + 13 Bit Registers := 5 + 8 Bit Registers := 3 + 7 Bit Registers := 3 + 5 Bit Registers := 4 + 4 Bit Registers := 2 + 3 Bit Registers := 1 + 2 Bit Registers := 2 + 1 Bit Registers := 18 ++---Muxes : + 2 Input 32 Bit Muxes := 3 + 2 Input 24 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 16 + 2 Input 5 Bit Muxes := 9 + 8 Input 5 Bit Muxes := 1 + 5 Input 5 Bit Muxes := 2 + 9 Input 4 Bit Muxes := 1 + 21 Input 4 Bit Muxes := 1 + 2 Input 4 Bit Muxes := 7 + 5 Input 3 Bit Muxes := 2 + 3 Input 2 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 39 + 4 Input 1 Bit Muxes := 21 + 3 Input 1 Bit Muxes := 5 + 9 Input 1 Bit Muxes := 1 + 10 Input 1 Bit Muxes := 6 + 36 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 4 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[15] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[14] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[13] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[12] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[11] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[10] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[9] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[8] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[7] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[6] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[5] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[4] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[3] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[2] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[1] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port din[0] in module fir is either unconnected or has no load +WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load +WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:22 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5356 ; free virtual = 13715 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:19 ; elapsed = 00:00:27 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:19 ; elapsed = 00:00:27 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:19 ; elapsed = 00:00:28 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5347 ; free virtual = 13713 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++------+--------------+----------+ +| |BlackBox name |Instances | ++------+--------------+----------+ +|1 |clk_wiz_0 | 1| ++------+--------------+----------+ + +Report Cell Usage: ++------+--------+------+ +| |Cell |Count | ++------+--------+------+ +|1 |clk_wiz | 1| +|2 |BUFG | 2| +|3 |CARRY4 | 46| +|4 |LUT1 | 43| +|5 |LUT2 | 113| +|6 |LUT3 | 95| +|7 |LUT4 | 74| +|8 |LUT5 | 95| +|9 |LUT6 | 237| +|10 |MUXF7 | 34| +|11 |MUXF8 | 16| +|12 |FDCE | 318| +|13 |FDPE | 2| +|14 |FDRE | 239| +|15 |FDSE | 20| +|16 |LD | 10| +|17 |IBUF | 40| +|18 |IOBUF | 2| +|19 |OBUF | 27| ++------+--------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.324 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 1 critical warnings and 39 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:20 ; elapsed = 00:00:26 . Memory (MB): peak = 2762.324 ; gain = 533.277 ; free physical = 5350 ; free virtual = 13716 +Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:31 . Memory (MB): peak = 2762.332 ; gain = 681.027 ; free physical = 5350 ; free virtual = 13716 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2762.332 ; gain = 0.000 ; free physical = 5666 ; free virtual = 14032 +INFO: [Netlist 29-17] Analyzing 108 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadY_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadY_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_Y_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[0]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[1]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[2]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[3]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[4]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[5]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[6]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_Y_OBUF[7]_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst +Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2818.352 ; gain = 0.000 ; free physical = 5668 ; free virtual = 14034 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 12 instances were transformed. + IOBUF => IOBUF (IBUF, OBUFT): 2 instances + LD => LDCE: 10 instances + +Synth Design complete | Checksum: 19d5df0d +INFO: [Common 17-83] Releasing license: Synthesis +182 Infos, 167 Warnings, 1 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:29 ; elapsed = 00:00:48 . Memory (MB): peak = 2818.352 ; gain = 1124.863 ; free physical = 5668 ; free virtual = 14034 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2291.419; main = 1922.301; forked = 418.619 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3867.035; main = 2818.355; forked = 1104.707 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.363 ; gain = 0.000 ; free physical = 5668 ; free virtual = 14034 +INFO: [Common 17-1381] The checkpoint '/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Feb 26 11:38:21 2025... diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh new file mode 100755 index 0000000..e0afb9d --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou new file mode 100644 index 0000000..f6c0177 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Wed Feb 26 11:36:46 2025 +# Process ID: 34020 +# Current directory: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1 +# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl +# Log file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/audioProc.vds +# Journal file: /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-608 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.1 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4377.582 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16467 MB +# Swap memory :4294 MB +# Total Virtual :20762 MB +# Available Virtual :16340 MB +#----------------------------------------------------------- +source audioProc.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..dea0c16a8f73872bb8a066e1a1e11cc54f5b4fac GIT binary patch literal 94529 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp%H>@WCCIvnpqg=nHd@zadNR6 z8=2`@m>3wbaj}@0nOPXIbFmtlSehG0u^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6Q?KYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`<jm!$pOK%NTCAUA zWSo+kl$fk<<f!kPn&O<Fr|*`TQ&N<wUs9l(24?A|mXxMsCgzps!WDzuVx+(&=bV_Q zUZRkenU|sfa!PSQYI0^;W@?H;L1IaUUKBehz>G{RG8hWjD%c<)*2&1F<ddJ6lA59r zmRXjVk`M9#$UJbkLxM^V6!t8J#>PenCp&Q2>6aE4ft{_Nnpa$sWT@}!>Z%`+nUk4U zp<f0z%gDgUM9)wkVz54xmy%eLsGnH?agDK|F>?IfX5`{=&d<$F%uBHX#g71s6qBj3 z7AM5GlH?qtm5f}=7>g_ON;2Y8Qj0Uw^AvPT@(UCaOH(rQ1B&vK6?6*{i%JwKlFbv1 z3=B$&lhRErOmqzubkh>^@=HtNb24)?OB75D3>0+JigV*rD@uwIlS?x5^Az&)QsXo7 zOB8goQ&S7#QwvKo%Mx=^^Gf22QqwbwOHzx96?BVIi}Op1l2hZ0GZKqHi8MbgO+hy= zKRzc}LAN-gC^bDkH#0B3II}8M!8D51MAs1PKb8s>NN8Jei3OJ=7J*WS0<tH#1X!e) z4NWYOd<xQSY-E_hPypAxnTcy5V@O6SIQ=S=Bo?Kog3}`?uG8{!a`MYTPVx*WR!A&L zRVXe=%t_TzD9A}oEKXHOPt8j$N-RkQCye~kl7i9_g@U5|l+xsqVujLTkVcR(FlF&D z(~F?)%q&m<6$YTBoRpfDUzDm)RGOCu(uV90JucN^0X8XSLo)*;uNZN2aR!5;EVVeZ z*eH%G2ApchP3*-*$@-ZE`pG%j@#UFS@dgN%UPW>y7dYH3L8%I!#&-<Wa1-F-^UN*C zNzF~oD@g<;(g6s(`;1&-o&k^~mszZklb@WOnqtMJS_~=2jLZxWg#tGhCp=n=rZ93% zWYhu`Cn>3EnR%HY_baG7gA4#AoAAu4#G(`h4O2ZMO?8E|d~g}94%e=(ppjf!T#}!g zS(TciP@Y+mp-`NkR#Fa1*+r?4G;L&HXsTzdsgRLatdOXXms*}$q>!7Km0zS#mReK{ z%F~&7;Pmbppx~TX0xFB4g`lAssN!J*RU3=~OkCAm4vr-ysksH<j9HSe0Loa23OSj{ zsd>ezV8^AUCYF>IL9<?BUW$HxkwQvpS!Oas2%Le94J_bQCQ6d$;^Kf6NZ@+U*u)$; zUuSa}xaXIUW*w^(v#}wfh9+R0C2}F_%Eb>V@j;OQE-O`wK~<}vsks&##7Cg|9_C(H z0UE_-WT<Om0LoYJ+BTX?B_Oe=1XNNhq~xa-E9B*uC}bp-r7AePI{GT)WF{3Q7FFtj zOt&;M!)rRbk)f`okr60Z;Kt{3dH9y*lw_7<6s0DnfSj9}mzV^q+CixWx!8dgV2KL3 zi4~c-rMaL=TD2Hdz9pv?7pE43oWNpYVPv7jnx9x)S!~42#b^@6W}$0tV2%<riCp$R ziKThT;08!WYED6F5zG{DDkv^UEC&~Q$r+hBDMhJy3Xqx!rYIE@lpveTp*BG+0adwh zfBA7Kd!QPf1GW>E&I3GM6u@x;GROpK5UAl~Y+`0)0X5MSIYtjNaxtkE3-D;Mf<wh< z6(iSjMtDUN6yl@cnv<B6Uj!~g6s#1`+mn!noPjY)s}d?`qJX_^X=G|(pl5DjsQ_<b zniyK@nOGVrSSz46GfhnmOku)^hNhvhg|P(}aszNZBbOA|2dTxGRiFsZ%}*)Kf#fh~ zh?rQyf)-S(z^i>DCN2h}5Uv1<YnF_Z9DTT{dSwEPMr>S6hQ<O+7K{#zps+VHG&M&K z`?ri-61dz9O8I8S@KlFVJkDg~n!-qtb3tu!eMeZsN3W<fuUH@Kl6XUXy@<>lXuC_- z*u=odKsPN%x1>NfsYut%z(T($H8H0oGdC4ccg7c&lqTtwWu)Xly=(#XGOLBInK4TG zy~D_5S&&~;0;v=7(-d+Mv80e$tWcVloS&DMnp~2a0?ss<dBr7(dC-Cq)c7^AFocH* zI~QwFYH?}_C^q4RKPa(-IEJ)~QVUb4{~)CRsGS9GFT7{u@<wqqs60XTB&fnsEmnYf zGc!N0SRo}fIVZ6wHAP1uskB5PKQE^e)JsdxEKAJ;d)eF+o^IK>SRtN6Ne|3ijOL(n z$&6lpHUgF9a6fM(DeLiYae*^QJg8m==e<y_K#CGGs8t8gdthT>na{`s5mV@yZv!Kj zZZfEOTU?S@0&XUO5+JA=N-NFD0e8GWag~>^kdm5~SejD;%8`bqrm#YlcCI!xgu0s5 zLf6m~rL<l`e2Kxq#TF9o>0FXy6wKvMmGFfa2g>LIj7IES%!Y=R2#2C&^tp^&($H{I zNCLInz=4}umRbbr0;hn&(#XgR7M8Sfp|P<6!i7dgX2^x_AaS7?tbSm%&>fsEv_MLZ zgVTkUNa=7;y3oYX9A`S*&B&#ilAo6f=`3Suy(nm?7Avb3Yl8EPDLl_0@;5UVqXDS+ zH>6KaH!?-ZM;ixcISMMD@D!vc7`fE(hPrAoQn<62m{`IKe#{CC5dpNT6ws<Nlv-ge zNfj^`7e`8JQhsS(aw@p`rB>k!GZbF=!b&za3tjZe_XzpHkM4X#;M2(?C_%rJ_zIbm zi#^k*I6k=~hic6<sCm#TnT?AHxi&$ok@t{U&LBA(5!BS`F5&e6T0t|H_@HLxVou8} zG78}Gp-RIiEwcy_#74M#H(ubbG#9KZZNz238IV|%n44OXTBMLto|#gTp#aKwDGDX| zRtiQY3c3m<l?AC*3YmE&sh|l1P>+_Yf(tU>>xpWbA(uXqX?Z1y1;t2)8JgiYY$i$V zF`5LNDekryX}KsZvnVt#vxI872x=Z8ij9%l6KJ_;E%Awvmy0_&Kd+=HKL_mE2(A#S zBt|4N5iT}?m9>~%?jvO8do<@G@;==>f|mbxkyOj^bMfXEfTk@n%TmGN4;tl(<_f1u z926AggGL84^U^^^dj`O&JVP^RGY?CZw}B+*vvM)J`?-MYXHa;PH(geoT$EW*QmkK8 zq7R-qE6vL+&diNZ&d)6XyO@)U)x^-!5|(zbIQlsG^)!+XP}2?_{en_elNJGNTr5tZ zZtgUW0S+z}LrZuwmAVmt>;rnl05-p%L;z{yL~L9vo=%}|G>!mHE>?46GkEcdB?c(y zDIj}+9x;H`Gn7SvKV720(#*sZ)~up>6u`YerzpVm3}sQ^6B=Sn`&?jQXlV*-_F#zu zN7TlsCYL%=W7N~nGX$wEYN4KFXaE5UC@osbvJyNT=oF8bAwqFH8qp;ljbH<ISmMzZ zH6B&DlyS$SiF%TOp`ihl;}8-C^ov8Z&_Id9gCw=d#JEI2X)r#%0M@AkEif>kOPo?M zZyFdH8iMjCYP{YczomyST<90iXd#3W&m^}zd_qG^=n$_)1_pTYD&FA)v@+Mw44hd( zQ45Y-Q1Ocz!4wz1kN}}qgkl5@#Sv;smk2e1jr3zFe4|hcUnedH+=Z{P5mJOgq7@#o zpvVPbDn~FRfan*&XhB7B1e?(%f-Uhxa209<Cvhd<j$kuL^$Lqx>@+Br@n9+^07wAR zF9DzhB}xJyr)oADkg6H2fq}BZhs5R<4XS1AVM4DsHnc<w9jeE%AssS3hA$|JV~i#> z#Z52tIEIAD@QGtcmw~3^pcd4PV*>+2)UuiCaSREQp&Q3015j3Dv?)>YF{#aMP+<+~ zOMx(mP2~v39zgVpaEzd$xZp<j52TQyZKlTX1!WNqX@G;`8q``t8yKNDhhq<*;S=GI z5^E?$IB4|C&;Ue2FpYCKB!Gr)gd3w3>Ga6q)E>1q1jRI!;~9In&?}xXLWts$9Nj;V zbW7Wejo}NFlAOfZ2?GNIP&`w+iH1E~hHgBgS4i}jg`#ea4a&^00vyDqa>m9UK=g`m zjG&@8W1FEB;PjZ<Ffu?J1wzT#BoC-Tb2ey(1KLhA0MW1<4-<#+VKhvf$_WH}fYL94 zpam_(3B+_j5(wHjF-ig<bx0lDa0eIu*jZFg0N4YJehC0A=%}6m=+VS8GC=bMMF{{@ z^FuIl0)U8sNE#;qNPrEW1VE3rA9WJ|DB3|7JZxhKigE-G#G-KmfCSjkO#tXs8YDr} zw((EhHUcP;VHgzsAPnO}Xi(AsVMB28fXRbIK$yx21bcweFM-gyjX<AX12wA%)I<P@ zeo(}NFi4Kd2>`u~00}VqB>=RbqqvQLo}eKm-q0!`Kv50GNR#iN(g1=%i2xs_ast5~ zp!7>1)Gi^={R2txLn(nEjR}KN1gMAr4KKhks22*sAQDoGfKn2uD+<CiP9Tr~9l8kw zy+nkpJfn32K`RF->Scga1td9uNeBTNZ2(2T0kx-&um>3Z5&&AzQCx+f`v<ZzZ73xG zaK48OJ%ULH0UBlkRV#+n9(u+eU_&<npjQ#}DFM(bI+PNCtdU4iwufYRQ0WiC;1mEG zk^~9h!ZfZTAOT9h1cDZ{6qgX_b1jf6kG3TQb?2a<DS^uIj6GcF70(zUL~%T$7X<XF z3D8n2MFjz9(FioIk@^gX`T^8b0AWbI08;{LQi4ROUQ=KXP<ka0jFB9wClJUQX4)16 z7`~t^fk3JN@T3H|g$PaqP(euT0TKbF4=@IGJ|Kw-#G-mz5<P)H0(AH!5OX>#7^X=A zfusmBNGew`=m`W8phGu-pwHq%nntuPL8!a&3shZzQU+wGfyxn%J%H#J;b=icag~AY zANsT@(0qYXWsth`7*Y>_`jnt50DX%t)mNfI0%-U|IHXD&S~(oj5CG+IP^Xf{IUEu| zLpQ?Ft0;>hSm%S=gP<+|EY3lh9^8=twFbcjJ%|AvO8_+pK?-3sl@kc|0Ht37LF<sA zQ~@M!?sju=cBOrSFflN<#B-*J73x+|B`yWrTSX1klh8(tDcj5q4*)tvAZAdYMBpZp zPGR8SVsmy33W}t4GmV3b#mLwU&t~6~<e!*;>IZtn0yf{EM8XSdp3Kh7#prK@@T4FY ze{fKIB<MDrXp`9Z%y>&9nx4c4I*H220_j*Ya|3gfQ`-O2_LO!k{zU`^GZ&*7=(Ju_ zx`zSksqJ4GxdI?ZQfjCcYl4oc2OrFjIG7%CMnC*=j@-nO<O~I{8dPmar`v<>?=iEm zgdOY6%*AMI#KOho><{v=2~vundGs3_89|TY1D%Ly0lKLJeqQ|-y7=4(<mZ9#IP7); zOpnti%8dpr%3<eMVfvgtQEogyQErSot&>)_vU9QehDNy2qq%HhW{&4_1`6s{6hF|T zYUSi&wZQNVO5I9UBtVAMXxmA(Ff*o20|y!j5I@i_63~2u5()Gv{06MPvw$5vi&^;5 z$L9l8?3)<C8hV&MAJ)abi2?2?r^e|y^qEpeJ0AvRSd_%mb3l`4R37(0KUoD5CiE)M zFwP`FDbUD?WAx<#wC+TM>PC!30Vr`y`qo|0kT=?J2*o4b*u#Z>@r>{ALUQ66eToB8 z5!1FYfw3PIC7wy1;sA|if-rapl;%?$kN~1rgkuC1#TgrY0U%@qpVkqMw(b)p!b#nk z35shJ42^j3NVfsi69D!AqhA6*3p$Du0D1`qnX{v90-$!u4xZ4Yat)3>T<8_g7$Jlb z&m_-W_=JYgW51oDg$15&p*8Bvg))~S?wJelA*QG?O4-x}JOt<#gXm#_5`*N_&FCc$ zr0St<PNnV^TF^`+XaOT=?h!mAiBfJ;eMK7f0Hap|zz8~u69D>TswM3wBQ2=AA`KGX zpd|?q3?acu0xSiZm<CnfFbqyGpp*iUp!V(u>;X!@1cGmN`VdKzo8nxeKA|D#{xKYS z2eE+GAwVJ=Tu6WjP`?eFARr7-Qb42&1PdgNzUuiV`BSZULv$D=5qh)>s9uOb%MEZs z0WV<$B^z*B0m&d35IHa(QpkX$QJWRiPa=>I9UMu78tn{v%<5oNmQ?5xf;xbpSO?Ld zcn8toT87$#K<MoZNPy8V0noZjNRKfAj1CV<0w8s;4^&-H|0rejE+Hgb=oQZxA%xPN zq)KyP2%fkAY7>AKwt|NMKsg+g(?N{_kN|`a5`ka{A5<oQFo;L%x&RWQ^hzQa^(;yf zp+;RmpDqVlfre5PkUTsHZW=(!eNaMyvfvbI3ZQsG0KG1N1Q`7i09w$YBmk<E5s;ZI zT8}!Q^^8zb0LeS5K`8)QBY;u>sANE<L2P^&HHA>Wy$A_W`Xv#xu%&(yp~vJPMo~vm z5<w&hP^Ey3K|Kg$K6W;(lL#b4hfxxt$1DStlL)x82^wSoR~?{q09rSIA_{6{fMr3c z2EqWT0kdeGL?9tLbdv~r#Yv~JE42C&r4%8#sR52?P}35`fTj@W-~&j6%1Ho6h|w<z zpoJYuMM6$v6MgXkq#sS|rUo_lSAvQMP+bDXhUlY_6gMuh2N3-t94)A*9^sJ2@=%I! zP(cqZ^}#Jn)GSW*2!{mF(2a2PWpR*p4Q(SFV=){`8Bg+3L8>n(!5${`ierq>LCMtQ z^cc|#DLPf;Xk`;hB$Km-3{-@JDs52D5H(j*+ylfBLiCDq{ENtj^`dWMLo?XD$s=pg zx3QraY}X8?$4OgG#LC4S=Il)4r3YMGY=$NVmZq>J`dBVSreHk~k{9Ta>9BhSrJ%h? z{zNrD7jJ$+YEfcIW?5=zUS<h6W)R+@>5fS@E+#|RW&%hQq4;VKBbRDQeqO3Vab;df zMrv_pRc2ng0@A)u1r60=Wz}L$0ahtyBU3Zj{!dOW_O#3*uxAh+3E>LR&&bbBE!NL5 zGEPZNN=()_a@6-tO>xf8(|60vDJe?TFDcMX1G98fOG;BR6Z1-R;fjlklJzrEa`d4l z>y>4s2rwG4aWNSqZT~YeHOpWqV69-Cz{nuL#I=P?53q7Er)3s_cJ>t%U)E+1++ z9^r5!*f9aD7P@E-e?ZM$*?e3)DM{(^`K2WVr6utOMo`~za<S*6rj@v578y}1ZW2pV zGV=q9@{{$-1Q?CjxtI+tVY^b<EOgO#W7E)+h9kj~MkB$K#v{R#CWFS4Z)tl%0#ca+ zErnRPm<$a;g{&FfN+Hzq5FXN@sO93~D9TLFplw-eWB_X-Vkv8B=u3E6JFI;PFKmak zFX5%_u=XXqxE*+3zN2k<i|j!<7Px3d5o&>ZjQqY5Hy0<oiHX)vqD>PP)Imb+!=iMM z4l;6Shvt<Qr=}<rrxuo`=9Oe7<|w4*q~@mPl_;ndE0iY|D-@;X=9i_W=qYdsut~9) z7#qR+NdjDaC7HRY{-q_(c_pAzl8l16{HfB<2nqLeF3Ev*HQ2eBjf|1Ic?O0i84LyR zb8+@l<_kV9p46hEkjjG8Ae#EY*bq4;(ELDQOz?5>6s4xSB$gx^g8dN66-bqM0H@aY z%)HDJc#p^!sYhf+bzgw2a!U$NrL`|i4WP#?frbsxeDQ#h%fK%coc=TOpu+|FpuAC1 z3Ca`jlch2e%Tg6miZaVmi}XNQ!`Q^g2sVTu&L!#}4;mFnElN!ZPRuRHNexTP$xJcg z<>F4x&nqd)&jF7wfaV^kF{*%MCN$R|k1ViR=o(v?SRiMb<5ch@j*dA^1{(}vgAH`? z3%pH88^6FteCXmAc*~C_elbGINVHF5@b(>T`~n-Cr%SxRTXD4U3+!wyEPgpmS%oRc z#b2CXnwJuvl30@H11_m)(|Q3_{6=`H8*2IkQuou)A9xxt&5T@@0r^F!H4dn%$t+e# z%`C}CEmA1WgC200nxc?jq>zzVtdN(lkdvR70;+jTEX?4IG9qi9C{QQc&<N##!BQ$Z zLy(IfdC)f6JQg}eL~o1D2Fha7fwI_Spe!~XD2t5-%3{NTve;l?EH)gdd~6s!K>64( zdVun=Ve|myW5ehH%EyM$1C);qqX#G-8%E>J$3;}Ek5T(-us#8{%9wVx!dmb2wH4OR z#%60S6+@Vli#;VXFWL}qc|i*c@s<;`un=$gKnn};mJ7775N~-v3k&g<136Sor5s#r zpj2v!ul+y+`z+{eAKvZ;4g80<bwUIC@D?&Oun%t;Lj(Kp7BMui4{r%W1N-n6Ff_0a zZ~2l(#qx!Xi^bPB-qVPai#^k*I6k=~2V1>MO&eLbn2O7dkf+wL*?5={eEb`a7Atr$ zpwTKuuH}qwnR%JT8L24>K_Na0t~rTG`Ji=-`FRRf3L%-fsR|m!npO(Q1*HnM3I+yN zAY`gwt&p0NSOA(bgA1A~=qdQ7=H?ewDropRX<8{1q$Xx7*eV#AT3G0rn_4JXE2Jl8 z<|)`Jm>QVtnV1<WSSzFzrKTzrWK<SsCMV{AWQ;99W`l*xGK)$|!J>x7=0-+b84LwH z6+Dn7fjMAraKXG0!WE=TZ2+>5tAYz+ALglf!6k`BB?=yic_}%d<&@5)#U=T<3XUZu zMVU#ZC8@<I+Uf0FdfRsnEm>`4<l2NtR=5L+S}DxTz)a87+yE(s8JX%CnHvwG6h`kT zJA)Qwn;E$_AfgOZ68IOCWaeg8C6;97=P3kaBo?PC7>-65yh!-W$W^3Tj9x&h7NZo6 zs>L`8MX)+(aR_FEi#()~5Fv;t2UUx?1bC#FO)Lzw*pl;ebMy0zWVodKQcH3&i%S%W z^NUJAMNx7-Xr8qsRZjsl%?c{6L4~%SfuRu>7YB?BVjGzm8tIuDSQxQ$u^Q+Z7#J9_ zaj}>hn}Y=mjm-^BqSy@0b<K^8kc-|BE?vjG#GFd-Dst6gh0wgr;>=vwa*1MvwEQB4 zqSS(%#ANXL2`&LvDJDa6E%rQ!GeOtOSQtgI8d>TZqHGU};4*?56;hO#SDcn#lnY6E zF#n_|WafdU1Dpdw6^c`n^Yc=Q!G;@IAPfgBR0o@F1e)fBuORp4(hMj{El4Z^g%8vr zV29@9r)MTB<RhhYkde&BCYD<41x5K;smUc#tcJR#<_5?M((SlpxIpWpQ;Um121d9z zD>&!p6_*qxX6BU?a|y6WF&mkfpqOA}1`0%$3KmFxV#_7%nU@J#X98J`56WqI=?bZN z>6v+{U;~UzEKm$EH3LmjzzsOZ$fXjHSOmA<Ei)%oAzHOK7VNF$)SMjf1yEq4EKDr4 zxKmP#Gt=`*OEPncjY_x*C|(zlS)iYslO124Sru=9jTN7n7Y~|_Ppv4?t4K)}U^L?7 zVg>0i6yUUAjAAn~(1py!!@YE!kxLie6d~Sk=7ZF4pu`RL+j2%OF|1w!N06bpC8{UV zxRNN2pkzdf)b~rRs4Nc4Oi9g;_f0G=NiBjz2{axI%~6up21YJ<{P6&FG+L^nx1&Kh z93H@p%v?7aJwXK@Xwep=;3zIgP0mcqOjbY&3Wf62qEv-6(8R4mc?Kxc6s0DnfO0WH zkwO|s9F&>#6hbmmi&Iez$jr%6NJ>@6OwY?NN=*UhH*jM*wK%g_A*r-Pp&Y6LyrL{K zPazY<S$Ybdxdn;IC8;SW#wHe}Du9adRB$m@l97t!3UHZZWNZvC5R6jjkwA=Oxuo?X zGIR97>z_acUVK4OegP<yLFornFd7-7?9^F9S~Ab(N~b89gGy+9NBC})qSCx#eQ;F7 z8|tUz=as~l<d-IAfZQs;h&`=uB{QuLh|5tDya$(>Gqj>lQ2@maj>-s}SB*?e;b{jP zZDz(93<dDa^I-@yWzgC#DD4<?QxiR7BLlQ{jF}-w0Mw2##MX|<;Znrj-Uu$u%}p$- zwBk}N2JMJ5G&F>Vosl}1s$V`vlLuU;l)zdosVRC~pg=Y>1l?K*Z-e|96oIV7h1670 z058Ua6qZ4yd7xFS3RW1cMqVy%SZM1R7=as(gaX_Mdw?^k77Ng?&wdiEhqHl^Yb~OO zgJ`fJhXSq<4@1yk1^S4GA<hvGX!s!dqh{vDdIm<=`=j8I5peS#(b5N{T6544!hnr< z(7QkCf;yt3!=(ioVe!d_RL=pR;g-a_6wr_qsJiydgZB_X;RNrN(c9gYsP0zel83pt zAhD>#N}(dzJkiL&prkk{-NeE~*Kj}`dmSxH>|^BGjmQ!(hvNxqoc(`NGl-dyp0SY$ zQU<Xw)H5(L$2wp$nn6Ijpgm9%ngN#{B!f5>6y#Kba)f$uY6-Z3mReL&sjh(DE6rdi z7_dx2?@W0cEu9}?<l2u&=ZVCH*l1dZr<W`)<IKFY)FM!S$1T_w(&s5jEJ;-;N=?rM zbtu834WI!Uq;my8ttSfu_+|iZF3w_*9`I6G4lXvx?v^N43tbBX(BKHXrBq1`+eEp9 zgM;D=ic*VH^Gbr@_M&b)z+!nFYBo#bN}=||0v7X7Dh14wRTVG+*hdOAm=X#K3Vx~O z3a)v{`QUCXhLV7y)UwR{(qe=X6x#>h|COjQkjNE}>C57h%#@r|9R7zX2c7yzScw6O z_ldB)xTF|7_k$6#Fnhr?=)^(7mZLTYh_bxAD6@nJpBoUg+>m6;6^cuW6O*%vFqx1N zLqn2-U7@%HG*m;#WYAr61Y*X3B+H9Sa`O_Cvx$pyLqfq0I?#&L1gB73l1oIegHAdm z;B!OL;vDYv`~pJ3ZfIagKndu8J~Dh>Ttd)t(8<ySEC-zsh+_G`7jqqqT<)o$QaUw7 z0aT}!XO?6rfGbF}O0^U`$PZQuZsw^Lt7BHQEXKyB#;|R7C^an`7fX6kVx<wH#s%$# zF*XHl9)(xA10NitwI0go2zsqoo|st@Uku(si9e}>PRk>d6%`B&NH2aBic9i~2vj_v z8kbNBs9<0~bj4Fpl%EV4*No4}CsYoAkNm|SI0_1+mHptFTmf?A5`L3G)jeUK6JPv- z<2yAkg{U|O6|Dp<H$;o`fzR@@XjcN_X#I0?vFGQd>SpAZ7@>6pu#}0%8Mzc8y&h1p zo0C|QoB{6mlqD8rCMM;ig1bBdY*Ng|M&_`RSB^`@E!a0cKQA>Sza&05C_b&Uq_ha! zSO<4|Xu8qa6n5<=tA(zy5orDqemDTVJK$ukE-@mp$8Dj2W5Jm@DWgkfmasu5l;Kw+ za3M8fhF`5vJ6%d#3Xsty&_W>4JX3xi=qwCSLe4BM$xJRrJ&cv!$qRGP)g`qgF*66c zh7sXl&?vEj6{=%3P`xU^#iy;StLvDOl3G-ZuGS4ztsa*Sr-Filk%DJlL1~GCf`YM; zf>S1l0jeorM%XGCg1D%*5;Vz>S|%A9V>QW?bT=tjU^U5z43o^UnnaG9%&?k7j+;!e znq)$T?@X|oWK4!h*yD~Ildz{uEGB8Arg32|K~R1Of)4CqWC_f1Xi$~_dlgC;!##my z2-ZwNgjsNJpqPcl8$_4|&tfQMVacq7%`$>VIf_|Wk|ANU5E&N5EG(IWuvv&Ki((d8 z;iUl2v{Wz)p4m{$!jjpD@FNw&UV(~fRRMciB`ZxR;7F?$pge{$lA(^8efha~LD|>0 zv?3KF>k_Pk;2r?k0yV-`0ZZZ`WD+8KpqPXuC<vK^NYE%I8JdB(C;>sxBzO`8X@&dF z62v2>uC{>J`U==h!cvf6cate0lZXx{ON2@AOabx_+;_yf$;gmeCLy(s6tKI=9OOb` zLL4ca;Mo+U7w$Wv!^s%oJM3wk*l<Gj9XyMoxXBotpomDBCI~lSH^~U>C?ZTk@*Q@Q zuv8`3QzlY+!fq1SNkqh*p#dS^fio}>CK;O%GKp9>DIldM?7qWN9nrgMgLxGSd@b(a z?2FMmfXq<qJ2@^HNKX~KY9%POIKQ+gIaL9=hz}+6(A%ZOs4k7>ibQc^u@#p~a6qw@ zg1L!-f<|(FjzUgqUV2G}m7#%wCYMu?qc2F#7*$Ro$kEry&_cn`zyQoNHd8P)&>T>2 zVs^&iDHg@QgCb7ood#S{(||6QHYBw=7v&chD>#AHp(hqqDu5QYITocRDj;vV8qiqv z;8F`nEK1DDNzH*@98s*044Ql{%1l(q1f9H9qL7<f0y%lh$PzjP3f^^UU;)}M10Q`H zJy9#AG$$3bcZr;%%+SveGsSm^04;+W@9uY6T8wuaJS{E8yC0sG78?#!9OK;~Pdguv zo~T8)LkLGt)S_ch4^TcHJy8qZ_MqK4UZW>!QR}c)*qLE?>v>w7H-)!;r-g-h%L7_i zh_^nc!3jH~Cu&jY0AuJ0Wuqr*Q8zsC7BMu)4|q!$8rX-ofT4kXc*_@Ro^Lf+Pt>CK zfYJ@LtxfwGx%MEoHW4$dgnN}0Xg?nMCRZaPs;sgyHN(2f%Fq-v$TebCSvjDN25NAr zK}G}Nd-y<`_ChjqK?@EM8<a=ZSz?#b68J7guI-2fP9UUkr)|(GKa8}EdjmHqD+o>C z+g4Fl5E>efsI+Z@nzm)Qq#$V<nw$sCz&5=L?Bi(Zc^xCyYD9X*5;~)47{2e6-f?A& znuevhB%x_IH8~?MKPNxEQo%Q|pdd3Z9h9{P%$f8KmSbp1cr7E>Dx@Tg5jZ5KVCt;n z9xa7Irv&JtreM%M2=HcAPk#l^yyDa%&|!`vD(%{%28cSBDkMw0<s_Drq~?KIT285H zpq))4Hi(>1gGh@@13rO28cOg&9@n}Px6Hi690k}RoX$C^iFu_31DeIcP~+2%%O>10 z$j{Ty-3l_39}hVT5`64sex8DoZdzhqerZX3PG)Xqi4tgPKP9!GC^b2;1ay?uK%N_d zXKBgc3-&lJEvKBs<ZP$>3g~s2pc#MA9c9SZmKA^xG363qmtrwCwS=vj0G(6@J{%Lo z0G(M;0$N95VqyY17=yin9dc?Yz3cr0X!ZVbMy@4@dLOyG#98AT8KInq3pq&4*pND7 z3ZMg@u^gOb2FiUSW=sKd!62l93rfvP1P>&*r{<*=f$}n_1yGWhmpsA_C%=G}inlXz zZ9$}Be8DuDs^PUCy^9{4`w>%<N;7l7C)<P;rxq!AWTqA+7A0p?g7W-;xt89ca~3U0 zZ(-!xh@7Nxgwbev9<UUG*^<FoN`vk|9a%%Z1a^yKR%GBH8codumYPSeLqR{p4W;^_ z`gJIyt!P?b@MD46XI9{n!&yH2rIw7W;`uaMYhx25*LvjE21Y*v6hfn=^MIAkxY`=w zMVa6WVuCC4N;1H=6$GUg<QJ8olwI_0%Ic$LJaH~jE{LlXV1p^C#b^h3VD@-HJDzoQ zb)gijY)0a0qxcci0O+}SH849B@)C1X6>2>5iXk0lg&G0|K<$E3<hTH`i?IeY3Ix7X z1+=LY>C7oZD!Tv@?(mD?kiy*=ba_l@abkKZB;4U6DUjJQxE5(HNvL<B6g&dJp^Zly zEVRKkDJUq^IOmt<l|aH6k2a_^P>OilP(v0PrjYQ&V_OYmb8(GRsGB<|E+CFJ0!ITr zZIIpaHO`JfL6Ig35Zg@52x>C{*`ZM56B+_`F4#5`V}jZsJ9|Oez|I9}Gc+Wu4YK(b zqz&v`kTwNNQ-ZcZc5Z{Tft?H1W^O`I8~D%yu;0MW1#2V1Ht<Gjur{!BLE4Or%?a9O zXaEYz8sE?eH;{Wl+7yflJJJvm?jUU-_ky(<5{@@RNVvPXIJ<(a1sw`xXhGPKkZ^Z% z34m);P#~PR3?bp}<`M+gW@Kzh*fvPGySW6zwJ8`G5Y`3>cOMs!&!9=!kO*y{U{|Q| zbP9C?X#|I)2@%>r!LCr_=?~Ed)<#T_fRjlLR2L+_84*k+&_V=C;V4V!T^Hi-2ji-~ zM_XF(mR2HaW5Scm9nscFgWYlpK4{fIA+@L|zo=M8!4SNu6@33_d14W0Lbw>b9oN`W z0mj!usr*kun#1smOu+YwAnZY2=%j$SRR#T`K*&sp5oihnV_BLR(bFTQ#>RR^=J54r zNcRVt8k>U5#x*?x53*BezS+pgwT=;oZ$Rg&<)oIRQZ>aHgJy`JHzAtgy9I(M?>KQO zfUghCNdz}_!M8!B7H3warYJz~{R3a7Wo&8yztjhGSC6T=A@T)(-v-59JtW=jh;mC0 z_^L-E@G*$SMxZH0#PyD5W@aD(LlXmIthe-pQh2>1D;Kk&fd%*u#vyyZ<03{bzo69I z{4&t$kfPL-(!7+!yb=X)g;t!Imz=7QSpu3S&&w}SNJ<4MN-4@LOU+YAs#Hi+D9JC- z%}Fgw%~1e#uJk~Yz+3`sQcT81TFm(cB}S%P#yP2JC2pBT`e~U(p?R4l@rL^O1)w>) z%(7G{-!ncrCqFqm9%N^HW?peg6sxhJt}$pj7{0Y_1x=l4&1Ff9Gc)s&iyTu@ic*V< zhk|1>ONepo5}JmrIhQGMA)8qeT$+nFR9Dl~p>|w0#5uH}v?LgOHZ|C>(FU=&lI-Ag zui+4Luh9^5ukjFbugMT|ujvqTuh|fDuQ^WlE~9DrYsqC!O!=FWpO_Myk(pKkE`4zo zy@R4o13Q&Koi-?1Um%A@;tkJ1;Y{#SLA=gfOw$x<#${5JnVvy~#_UR(y3>ZsiYRvy z+nOCLt|hKHyOgG3Yr$nkWY`jJ&#s}VOYOOAiF7H^4cbBKVB9U*LF!=KP1-@~VBBrm zLF!=KjoLx#VBD?RLF!=K&DufgVBGE6<uomat+*_RDu+RhFv1Pn!BM+`-AbTkJ2={6 zAeZ8A+71qP5^mehWaM(gGENJg3Pc~L1&z>xMr!el&l*F<XHB?_2=}`D<0Ioi_Mnb+ z8D}sQz=vlCgX>V*0fWwUD3$)8a~(<zKj>VCQk@Sv*P+zigU)p*74@KV9ZIc?;=08& zDes6H<@X2OEDybl(Ir1G6<qis4K<;-a~4h9Y070xM5}>_f_YFl4|mBtD4d78XdV>K z!(BEH3g_W2oCk&TaF@=5!g;uh=Rx5-+~xBUnv{FQjardZKnHSZ29y?Oq^5utCuHW8 zr52T>7HJe~DwO1dL_j?Rg@VjHjbcqb&~h0jOAAQTn3;>wzz9S{u^Aic8X8+5FPix< z1QyM3adAKvUKtn|p|OoD3@!AGO)-|sSiqLcm_)G|n(LYyf!1Ka7Xa^K<WdaH%Ph{! zg{(VD%P-1J1Rb&hyY0e?OSM>lRf^fr&=9`j$f%U7SV6&2p(MW~F-IXkO~KGe0kp;g zyf<5+JhdoQp`<7=uNY()=m-V{1<0aETRSL21GFqeM*-9U3DLAtK+?^npy1=8U~8w~ z<KpaUrC?})FqjLp(8<scWua3TmnwLzmx4=bab|j+LUMj?0eFR1je>JVYI1gQX|9!m zYB84pixjh|nUNM}S!P*cN`6Ul4k-8xEmKTW(hO3f*i3W{O^iWzKCo1<K+Y;~<`N4^ z%}GrJUtXS*nVgzeoC-0DRf@^NSc@$=KQ}i&&xo6g6YK)eeuOAiLvvjVP*VbaF?k~+ zm%D1Q0_YykVjWQ042Q1K19O}~I~tM`a};2r;FWu-#R{(A#eE8(OVdm9N>YnJp(wy2 z#bjiG>;=$TB?}`X5M^c#O4o)U!XS#pMArlqOmNTma7n2a!viNpp|~^|)D}uB&B>_* zg$<LDC9=7ETs+`~XV8N-z~+Hc4cxrvgCeU4aPc8K(@Fspr;zMnWQky#Sb*5@?7_vw zVQ6S%qGw@dY{bUJVrpiFKZh(}<dRe^RwzhK%m&4uZ)$FSQKdq(YH_RrIA^dL8X1|G z!P5g;*nzAL$fzs^t<MBSR6uaBku8_ChOd*Rl|p`5D(Hq11zQCpBTGX)6GKaDh1|r< zJOx_?LrWtgJ!1nyYtWU=*{LZCwhAVO7J6oemQn16=DJ1}#wN&R7ln@G<YEuYEGj8Y z%mKMEEI8Q6j>`t!jm8#c=6VLkrf@exgVYqsjfRE>CVJ)u=2#t>3|`&JD#d7~#a2|B z2g-!*T(0okqfnGuT$)o-tdLlgssLJ=mY9-~ngS})OEOXwk`t3NQWa84Q$YgGL7pL= z&W=9u(1T$>>s#Sj?%kkBoKjp8pasvV3c(qP1*tAh3b4he$jKC2DQ;q<XKZE+DzuRo zJ>pNJyBWC@LNdTNw5Y2Vt1EyGZAnT^%~ME+ot*)$2bs-`4B-ithl?v2R74i!XXcd{ zWpJhGXXNLm7VGC28K<NsB_`_|IqLhSra0&4>APj-loX}vmlWuxfmyn#C8a5uiFqZu zaK!~h`C0mor74;D0Y&-AdPSvq#rlxUX{etF7fwko0H+K?V+&CE1dp=$j9lWb6{*Rk z;6<;Xk`;8J5UjXmH8nFfHqhcM$Vtp|%t%d4F^c7iE&^};iZ3n6%*m{R)L0<br7FN} zLzasNyG5_4phQ8pAPKLUf}|)8LnB=y6AL4h=0OaXh-(F81Da~FLSl)6YO$UkBv_3M z5c!{ji!BUX#~6W9Kx&GDTWXSmk(q*_p_Q?Pm64%>k%5sZxF$9-FhkD5d|X_vc_|7( Lsmb|8DFTcDKc=|y literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..3e5b19b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,28 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Wed Feb 26 11:23:23 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile Verilog/System Verilog design sources +echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj" +xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log + +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..9da6b2e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,49 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1322] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1325] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1329] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1332] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1386] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1403] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1406] +WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3161] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3164] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT5 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.x_lut1_mux2 +Compiling module unisims_ver.LUT1(INIT=2'b01) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..5b30de4 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Wed Feb 26 11:23:28 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..2daa52d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Wed Feb 26 10:41:42 CET 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..857c621c4866e6f9dd011ead34051eb769ef6570 GIT binary patch literal 779971 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C(C+dS5ytlntUX zL_s72gP;V2&U^@=$t4XK7#Ls}pBc<JBxgeHk9-DEAo&_XkCLMyFd71*Aut*OqaiRF z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%GqNFPRw_x|tXl7&ss@I|4+& zj1yoI523-pkix*g5boln=USGUSAwP#HioJI9Y6Je%0tIrJ%clI6+$8dTov3reOwg` z3=A2*{#pEbUpglP1A`$G1A`U=0|PSy!-Aar^vq-iR;X@}sVv|TR6~X+h6u2zG6Mrh zenD|^VoqXFd}dw=h|9ppz|ipj|NnZB7DEOG1_1_!1t38NW`+e|eIN-K1`9ASF#P-f z|Nq?o|NmP+HDu<Mq^749fepl_PadlAKUAL=)YZWuF7ZD8?w-yJpr8d|(0DG$Z|b4y z4C)c;4C)5z4C;pJ4C+zp4C>+P4C+4W4C)^04C=b-U{`u0nG{NyQ3}ui@^cIc4RZ7W zI|<}&Sm-!HJqveqe3+|qh<^}RAtS>Au<t=3#m2w@b{j}D2*W}v7V6fJ_~Mepl2ouh zke@-Z2EyTvo+0tUj=ljtt_;B;{z0w`0YU!GuED{ce(v!;{{8_B{-GfOp&<-lg|2=s zFvTECH9$5oFfar`=~5`Y3`*aF(i$Me3=9m#P<k?yz5=D82`?xl#1pCmLP4b&W<V2a zCCKFr46um;a6)x)a#t`gFk=8E&@cc0|KGvDz|g_Mz)(@fz!1T~z!2ccz#!m@i6{6m zFhpcCFw7{1;D!<ghKy1MhJ-Q*mS$jJ0O2Y|1_ls@`4!?a2wB6(z;IlKfx!lvL1h^j z7(mz-A`K2~Mn(n(5Qaq$C^>?pL0$p*55@<HfiNgiKr{%0*r3D-VuRGnKqFZZBml*r zgbT7BlmK`c7#Kh_NUa)FEl3%NFUY{apu@lbc9S0@Q82h6sR5}2xdntl>Wvu~7(m(C zACDRnNa_V=c935{W`Hor3=jsHV-9swA|7+B7#JAX85kI{pzZ^i0m2|NKp5mNP?&=J zS%ycAD+2=qC_I|*r~zeOE(QjMK0Ipl7#JA185kI5;ZXxBhIkkl7*^v^W68k40CM{t zJZeCG=VM@CIEzP(H3I_!KLY~;C_jP16cjcf3<?_%2H63s8Uz>^7~VtU79<Y}FJT4- z29P^I;S$KezyL}IvW(yY9Haz{L1uu`0!U3f0|SE)0|Nsn-a%@?3K$p|Kx#l36n3C^ z2bpWl2r&<v8c=+J+~k5sO#%Z011M|z;!y)iH)0G73}JZGBrz~Bh%+!S#N$zu%)r0^ znkUS_qXtxIN-{7o6yZ^m%D})N1@$l}JU|!}79gPmaVO+JG%^O|VNkq)!WNV_LGcKR zLs0buqCt5Yl%GN7fb@a#Z9wb^IZ&K})Wc}d#3U%qfy@DkeTY3F2V$#3(>%ycATvN1 zBo8tRW)~<ggTz5%AR2^WY8>KD$bsAeic^p{J~g1cOh^qVJ)^4u<!z9;AiF^Jg3<;d zHK4pqNDU}26H)`p%Y@W`!j+I3P#z+rh8Vwt@-iWFK=}ry<}WCI86o8wDC`)LPRN1s zpf&>o1BeF2yDlRne}cl;gpq+Ep;w&^R5pRq9gGH<2f|=8p!pf3pHRGm)L@G*m^q+0 z1*rk$WsvzGH-KmmMppyM%OG)lYCw6JkQz{4CZq<GmkFr><z<)}kegr_lpjEiTu_|` zsslmdAa$T}8kC<I&Xll&@*YSWq!ttx_|$^RQ<z#%9Ska$L3skC2P6(R*SV1mR2G25 zK<4T~>r7m3Fa;@u)*GO7j%+T-Y`D2Ljco2nYV`@28wXVjia(GUAhV!C;CcYmCIN@T zVj~Ywe1YUZYC+`<KDB8eh0r<?ls0jy{rQ#+)D{3K2bl}1hj6N0Y~+y-QV2B{6xR6E zRv@VbmD}iQL1`K6x67~D8j;k3@+7)iQ27j2>ln_~jieS-U!kjohndwawrNOeLG>0+ zwexPVEksfas=sim{SnEw21zZb9s{KtP&xwX0nu<bxW=$;K~f7ULy*;i)PXSAZ|*A? zK<yWha!_1=>MM|WAU+6#@&$+nt6gm5aRkJH#s#P?j8E+uB(<Q56QA0vNNPcKCqA{0 zkkl$c(>hcLHT_1Pkoy3Y0Qn8nT}3w+9uAJNZ2yqds^ByCU?dw4)F6<#s`%78-C>hL zQVXj8p<J+Spzwve;q@IhO{gTuTu`3`%7v>1F~D)bd6x~;J_GSVYBjOA0VD@j8+}5~ z8)^_pEv)PV$%8P+4Imn(HX2DStn35HgD|?<93-`%vJc7yDFw#`hygRV4k`(91E@TM zazRQ^)h;&j=!Hsx)WXU$utI3v24aKFU2Nnr2T3ic9R=lrlwg><8Y&4g7c@2j<ziL4 z3n~dx3u<FQxmeYngGz$bg6do-7pvMwP)U$lP#F*9VpaPMDhX0+hA)m68+mXsL3*Je zwV=8JH2MH47eGdU@;`_MhuK;q4-q7_pt=rOEl3TrT2KQL#0QxRDnF6cg33sc97qqt zIwKEFBy&N1EM&E?IuWdPt&xWb$Z??d542wmG6&>NP(Xmv8N6;fQ^Ib8q!w0|;8N>? zq!v_`fWiW1H;4^4*Rzo=2uUr-t@zxKili1)kAuvDnF|U-khx$bo{el}U<RaJ2P>n{ z-3wN7ri8r*%z&r`)#KRQ01mS=CG7K%)Pe@~@Tpymq!u*tf=}%(B(<QSG<<4LBB=$n z(ebIhf~3|5ntsv40p_>+NNRlvsD-5+P<n*bL+Iv$+y!dO!O{*$EupjnOAjEmptJ)r z1DClkpzZ~!C6so4BB=$X9c<=;m7FPIX9ZOV(Dpx}v?Gk97FNHZyBB7zB9dBI{f0}e zHj-Lc{f0}eDUw=P{f0}eEs|PL-xgmu1S6@1)d8S9hg?3u(oQmxT38)`OKl-kEvWB= zt=<GlgU$W-|9=gL!@$4*Qj4wL1WAL{p66nNrAd%lSegX66@)?M8AvV2zYNJ-Z0#Tp z)Ld-!CP*4=E&~I@6c7ih7S?9~nF+!$bHQpuxY(8<sfDE-kU9{CsRg^ipNnk^l3G~! zg4BU9OfA@41_p-XNNQo}7o-k^VQRtVdT_B_MN*5cjs;1>%zXjkK>Y?wzaTR~7{y#i zF1AldYO&SFAZeJnusQ$~7odC$N(-QT0CFQJzk<|)*bIw}JQ$&g6{HqaA0w*;)nOpD zU~?B6d59pXh2?pWTR|Aby^LIJ3P@@}V=G|$KnkI47`VBhi5Dcbu)GOX3U0%I)PnW@ z=47)*QVSZl0E<Hv!`uLNF9QRE7m`|7K87kqHTM%ITM&|3&^QJ@b75sFD9m7GDpqsf zaI(cCnF|_gz-Mj_l3F|H+!!q0Kz4z`0qnQMMjm-cYC&ZQa=d}sDj>BWy`VTQLQ)Ib zaDc29RBwaS!pyBkQVT23(ESEB_bMk_50Y9ZXq|<w7Hlp91H&RDwa(BnUywPV@BsC# zk=?KXNv#WX93G|?)VD@fdjLr-Xj~0s9#%JWX|P>JQVSXr#;Nu|0NXPpwXk*xR&$kE z*nT3Zg|$nts-45k#sduyP<+DLC0NzQu&~J^sfD#mu&VvQ%w~k77S=Aos`dvnn=6u9 zSi1zP+KJ3;5lCub?GmhNCo{8UBdG<=!{7|x=ge$%NNPdj@i^6XaIsB9QX7CToxNse zTY{t(G$(-5+~dq_+mY0Q=J{}{z0AyZ3Q27+J~td;X1j}|HUyvAJ<Mz$kkp3aQ+tq^ zjTzM8fYujb_|&duW)nkF8;(!y24*%*B(<Q)ZJgn-m6^>3No^!PbLTU&`6H=~!l!l# zGg}gp+Gu=g_i?e6BB_nRr*?H5TL+R_(1bBgzwP?WHXBJTXq*tI+MS=+)*-0{%`4$l zyYU0tK_s=Hv1FWTr@mvmf}|ESri@c<+grBhNNPc2l{nS<J!Siaq!u*Bj8iS+BQ{=8 z#}1m#Kx54~)w-`>P(V@(8gs^}_V#r)V<fep@lu>>4_s$+LsFZLFOI(&b3`Jk&A_MD zCYUV;No^)Rwa@+8>XFof#%yuAca9(1BqX)j_{=>P&$bjvZ4N%QQ{&mTBdN{Br&cna z?Ie=gJbY^3#<AT-QVSYm#_6}fIJUP)Y76k0%NNH6ThIfl-wN@mJsry?3~Go#(-~+- zBu+Qf#j>d(sV&B5Zd@#zC6Zdu0wJ8{7R9jnAgKkd@xZCpAcid-NiAru9;e#-(QHLX zYRmDtcUm-CE0S8!7(PyOFGjJ=KvG+Y&)hGOY^#yfR^e025XrU=No_SgwfPZj7m?J~ z;8SZD!S)16Z7n{vPk*z0M^amdPwkQ4Y+Rs0CurKK$ESAlZ#EeuwGH^x{`<wIkE9kf zM}jjhX8mGwLQ)G_mw{7l^)I$iB(=@>+>rT`Edxny3qG|DKiR60)VAVN+x3I34@qqs zKDA~)*cKwGZO5lp{Ri6?B()v*)N=e_JC3Bb6Q5d_?`*e_)OO)h%ln<}Es|Q$d=<{P zVEWDmo?M2eogRGVZvMt54C*jL)%N03yZ9TM8j@Pj8XTN%==;WIiKMn4pSjiF*nE)G zPQa%&>Kj`elG=&*)OvhlD?m~^37=ZsZ){CSYA54UEBB3U3X<9>_|)F`%C;Iw?Noef z&wOP&grpWU&xkX8mwjcsiKKQqK67invVA~OI|HBEgs*Jupg|F6dYFk%t@BqlIV829 z^;S6DtMHZ06iMxDeCEnOX7fQ(I|rXyhA(W%NNVTeQ``TUtqMu)JbY@cKeJ6lQac}? zTCLA)E0ENJ7Qx~Shr^%P_93YS%@5;LyY&;>6(qHb@VTMs6Wc2!wTtnoefN<KJm3k9 z<0bgiMt)=y12uG@YM0_uYw?jy2T3hx9Vt$~{r<q_grs&kK67h6utgxLU4c(6*9W#d zB(*E?seSgItp!Q#Dtv0o-m}d@QVUu)h|_No@7XpWsRhmJ<5bJ=p6wWt+O_!H5c7`h z4wBk+_|$T~WBY`pc0E3|$KSGXfCki{al8Sa+PQDpz>C|UYB%Cj`}7T)DU!LH@TrY^ z!{&peb~8S;oNw5Yk<^0LLf{PFW3Sn&kkoF)XRh~awuwk;x8YN(`kHM8lG^R~)c$_O zwjW6?XpJ*Y_wIegb`44GPJHI3KVy4~q;?lRwQ|qcSU>}z(0JR8Pc7>+Hfbcap!Fj- z-Fx&Yn-P-Qz4*+HdCKO2q;?-ZwLhP*#UZKPk5BE(Cv2riY7gL3>+yuG2TAQgd}?i< zuq{SXdkCM}|Bu;rA*nr#PwkJ#Y!{K#g4W#N4Bz{Y*<K*2J&Mm<*2irBk<=c;r`G5p zn;2+N8k!!C<5Ro-0h<nzTF?d-obKInpUnwL?MZy*UcJW_fu!~nKDDdvvgIMEJ&jN8 z#oKHxNNPdrlyJJ&<2KtYB(<P*+&I<R-e%i?r1l&>H`Lr<JBFn8JU+E67qdP>QhNcP z+NM~xe@JRC;!~R&%O(YyOn}DmC46dKW7*7*)LzD?)-0AS2ubY~=w1WZ{DmNN4Lf+) zcd?O229jFPIxtWd7^W7q-Vb@MqYg>!HMIF5(0V^)wX>1bUPn_4TJMLfb|;eB8)#}l zYuJ(1UPn@U6HP5>Z6LDRuSjZdp{WI}4MbKe3<?-%T--)e3tAh9tkw`o?Hx3=ptXU> zYW<Pa-bGUjS{sP0HU~*9Xg>mST!8k=Agk>}QhOiGT+li~WVOqX)ILB{3z{oOR(lvp zE$GZGWH*538j;mLKvD}@7l^DDH1CV7_Aip!$7pT<&E+Dil>#L^Xk0u&Qwy5^MpkQ% zr1mMATF@Lkvf2<NwV-pvaF!+eqS-Q$)IP_T-!@0H)gh^UfluwsXtv2nYG2}0+Z4^V z0!i&Fd}@oM+4dr-1)YtC({ItyY?qMKzQJd%S2WvmB(-nxskMq``-7zR9X_=h(QE>s z0s|VypnWws-76W*ri!E%w5AlNT83ygD<ria@wwq+6q`Si+E4h@-j8BSK~nn}pV~7~ zY?VlAzu;55CyK2PN$ppBYUf9>Ek;uN4WHVUD7GC)YQN)CTN1@~7D??7d}>pp*d8IN z{fSR)XcXIbB(=Zrsdb5B;|5g<(Dd*dpIY50HU%WLfAFaliDENFQVZJefivx}M6r1x zsRgY^#;NvcBwIX^+W+|6a5j>y1W7GuCn-*Iw?(pbAgKlIZN#Z|VkFyKB(+S8koBin z=f>+I*)}1mWyYsAGm`B%l3EsgY6BzL?jWgU#i!OTlI<gsS~h%YH6z*BKovhUJ+R|b zD-+2kjii<XpIV+sHUlKJocPp!k6?2}Qp<%;?TZMuC?vJq_|zVXV9P^N3tG#LGmbYz zur(v8<;7?2+z7VmNNV};sqKwmTaBcaAD`OF2)6x5Y6bAAb&6oSjHFf&pIVa$wiifh zh485riD3JSq*fT8TGj|QAy7jQns!9+sr?eprjDdm6rb8#;cPZYYQ^xW{r#IQ07<Pl zKDF<Dv!x)ZmB6R=%5Sy`B(;+G)Nc6A)`O%Lv=0JjI-B;JZ2^*6X?*5({bt*Oq*ex> z+S1=_Cy>;F_Qv9LL*{R`J4kBf@R=L<o9zRVT6uhGwSTj*fExSI^q_!Gt=Mli2_&_O z_|$U!X464ZtAtPOi(hOGNNSbwslEP-Ed)ue3O=>_ez9dBsa3_NcI_{=8YH!9jF5fL zu)ZE>{U>r;xgANZIzDsDez7e;QVTkd0B0Ph{$ksSq!zT_2B%uTUu>6<)N0{#gT*hl z*GOu$@u^k*#l`~a&_UCK4nDO%f3nFUsRiu?#p&KxKiSNX)av0g_rgy$e<Zc~_|)$B z$(Dhn)&QT{Wk1;(k<=REQ#<V^+bkrtM)=fr{bbvWq}CXp+KQiSXOPr__RQi8v)rF- zPmt7_;xjk$C)*z+wPyI#`ut=Q0d>ToacquHt;|n09VE3D_|&reWOG4MYl%<o`yXsE zNNTO{slEM!tprIeXm1(LFgy2ytp`c14L)->{a{;)q}CRn+UY;o_93aY!>6|92itWd zwf6YbCjDUhfTY#|pIW;gY@DD$5oo+Q;!~^egG~uZtrI@A|G%?YBdK-9r}p!Awh$z> zpfeV5#>I>8Y`I8kUGbTF?>k!?l3F)>YA=6hn~$W{9iQ6W-`RE`srA68cJ+6*i%4oc z@u{8to$V!(S}%NRyT7wBf(EFd@dn!Oh%?L@zOzXpsrA8UZrpb^LnO7Jvn+6$>-U|_ z6G^QfK67=xvn3*_^~a}H^gCN6lG*@#YJYuWn~0<~5TDwI-`G|nsSU!X_QE%|gGg$F z@u@xVjqN6q+7Ntd*MDRCh@>_YpW3)@Y@DEhMQ9v@&J4jB7mDB56p_@1<1?4#8=EDP z+6a7V-+pBaL{b~c2sytC)`kJ?-9T=`L?Ee+VuYNz15*pyj{#RZ@he*`l3LInT+otw zm|D<21i0G8Mji{1)W)Eh3)%|-S8M;34Z3s@)-4C``v8rT;t~hxg)4^cRROsLmpEvg z6<s}OJe6AFxZFuCap;s7_>3ZuKR|nE@P!X9dtv5)%m87KIiRT+P}qU^Fbon0&3)hs zPh94YivRylPP|Yn+;GJ&F7t84FR9}3CHVymB}wsVnMI*_nI#N~MadbN@kj#cIY~JT zP}z7x7z?TnD$bCTnU@+LZ)yl*7{M5(Fop$#XI^GWW@3(+VY~sHZ5eL}W1E}C8^PEn zX7R=heyJrvsp*Nu#hK}Ore^V`r~>BkW~c%d@#d%kmhl!S0!9Y$mJG@Hc_l^pIUujb z8zS?d9?CCBElMoOEK3EcU_jx;8=~@|J~M-P+R_XvVrdRzSil&TP==v_0h|Tey~w~2 z>>Qt*lb@UoVictor<Q;inRx}JCBccg1v#l`+_1!)%oLDVT4qj3YEfzmLKUhAM4@|d z5QBS2FoSzI=x{zC7Y6qrSIAL)1@WZ?@g=zh3;{kq@jm{}-tM5&``n%4-F=*V7@VDb zy!|0eH|Jm{DANT-dqSk#gM+~5GP;KZgXw@E9~UqKW(%0(72@a~9|F@0;W>LlOn~rQ zpyok%zQM?HLBSzNTxZ9CkkBAkB#|K3U{_=n!5*G&$o$X%7sn734WWJ^t|*2EyZRU+ znHcQqV}!~zM&+6yaYKV$gFv1`HUK7uYzj;a*(jJ8)Vv^n=lF0>mk<wz5I74IkWfJ| zGdRRCD1^Zinq)lVAt}Z)9y!6F@F2;+KOQx4FrW)Slm$D-7Zl|urxq7y=B2yj=YbPn zPJUuaa7JbtG+}0zIHsf&r4|>15@BXuauEtQvn04Q7bFALg<wW9c%mEa9}iXoHrYQu zGY?@fh=(v2#Df?M)lr(u0AWQk_{Y1UWCN%-g5nE60h3n}3_iCqI4C}?w4}5M!i%v< z&d)0@F|bo$fHDp36ktIb@97lkhQf(=3Uza50EH>SNhmy+7GxiQ6u|w1tN;``5Xs=u zT!cgcEVsji5JBUK2qqNC(p(0p6v7~oE>K8=X_#tINR&W0J`Dcxpg@a^_Xl~?A2Ui& zqaUIy*g4*}G^ZpewYW3~oCtDDb4vU{<z!xp5kpLHP<&*xNo;&(yrE%yUc7+<ijWbe zkTIr^38s)KrjQw?kU6H11*VWChLDi~rVys<jWAtrgz0)COxGJ>y50!W^+uRZHNteN zF{V?EG2La1=`LeTcNt^4%NWyL#+adMjOkQkOsAS)I@JU-R825lZ-VK16HM2eV7lG} z)Ac5pt~bGSy$Po4O)*_>is^bxH()ve(*-CFFvrX+5FwO+fe4`l3`7XU1rQ+=CqRTy z+yD{6;s}&b0INdH84w|qP=E+wIu$j|LsVh9%Lvn5sM!Fl5!2VGi3Y3)HPJwXP!bJ9 z2s5})6AeTarn68J4MY`YaG@p|uqxC<0};XuE>lcDnqs=%6w~#ln1O1F>3U3knA$LP zA^R`BC^a2gt|Mm*G+{$%agQc!gk9JeLl`AJAPfNuW10;X#xxr&jKgdb>{gm$7dFE# zY>p|6RDU2jJ3cQSi>u@F;;}e7J}(~A&B&<&VJ=7%(_D}!rnw+d?B-(n89BirYy*j6 z+6EHEv<)PRX&d%*1QNwG7bJ>lE=Ux`TyQ=z#7Kz<VHA@Q!YD=~gmIXSl3Ea|Q9=$O zjFMUq!YHW)A`A*9EDi(-V{r*c7>n5;VNA0@MGK}UL86!@qqGvh5e{}9N=pGr7`YHZ z5=Jgbkc5$|7bIa&Ou`z42w{|_0+KLtRf8mqp0B{p289Qv&q1P?J_k7tQ!PjoyIRZ` z2dTx36p$!1PKr_!Q=pxpXajH+k0>LM<qYx28R3yLCL{-na{~p2;GlRU>p+1RA8!C| zlox|KTS2MmnZ+fkMbQSakWvjr6r>#FdRRLiQ_|2NHXe_xAzoP{yt2l4WliwPn&Oqk zCyCchc%6jTMR*-#hR;Ff_#`dxNm?RFmga)uF*6>y%q)frf@%q9u0#levJQqIQXzm) ziBtq21d-Yk2tiN=!7vl4OhBka<QBLXa$^)Bh*U-(1fhu^)Ez|#V)G?(cMYKun=g^O zYzURud})l$Nyxo4xOU_c7$Jzo>&TrQxI*NXD?$*Pi;!Ed2$k5v9b2R$w`$?Kk=wNh zL2TiU+_pui#A*??a7S+8BD7=kr75=5Ws1$0*z7SwYE8iH!IrYIS&hwRG>Z+vr8!yv zK?KnfCPWY|fFOcs4u=S$ITAejf@Tj`49yy_7@93$F*HlSV%Y3J3ka|}w15DMp#_8m zsOCa*A6N{{ePA&(_kqRG+y@pzb01g?&3#}oH1~nUpzaF}iZ3qB1yy;F>Jh?*7MrM9 z8l(tG0@{{?>PC`)wlOdzpv??S322iOQv%uq!IXfuLNFzu4G~lc)Nn`g2S@^|KR^;# z{Q;7|>JN|vHh+M`5rsd(Zjd-Ot3l$}YzB#Avlt|f%U*232U3qM^g!a+0uOm43?)>N z$HP!1ph*i_4<Wpa+~7sgfjmNnDgkm8w$MW!_(0Wxns>mKLkbK~Vnz#Cgalg1A|%j) z5FvpUh6o9?Km->CAiL4L0T)M01aNUQx5CBIoC+65O9XInG#|jl(L4YbM{_^8u)yMe zxHy{o;o@lShl``RA1;pOez-WA`{Ckf?uUz;D=-wq8^mYkF~s=!gvW!%=E11|;z5IW z|M*ZpXMaCGSLYB{7X@sJjPa`hcf1Tu;+@e<V$jdX&rL1X&oMGiNli*j);Dt0_f1W4 z&d<{aP0<vk>X#Jgf+nGgQgu^HN>efu^GbB#ii?Yq^)pg(^bym}dSw|Y4EhB{`C0lE zNu`-NDaHDhmX?-!2JxPLA@K$#2Bz@_7A6MznR&$}i8(pN`iVI?@dY`FC29FZxyAZn znPrJ7`A{wTDTyVC`eh&sKo%93q{JH;7+B~Rmn7z;Bo?L6!>*FlijvHH8rqbZnwkpp zR!ThhdcAl<Lo*YQl{qvDNnCd7CgrD8(#PVw(%jUd%;fmu5^yxq-ls?wB72h>sUNzS z0u*r6$xcX%9Uz86@>6ntMoMu>Vp^J^eo10MeoAGjenfF*ZbWi^aAICcQhtR#D4V2K zl;~F!XXcir7G<VoCWG@&d_iJzc4B&Jv3_o5MQTcXPGVkqd|6IOWkD*$0%`;UVh$3t z2E#KzuZ*(L0DIc8G$k`XpeR3CuQ)STzc@2D-cUa&H6yVM>_>gjA{UT7V6{alnUEsG z*{4|F)WF=t)XV@P01Ato{B&^ml;&j?XXX~`yZgD2sS`A_Or}=QG&Px8K~ukEYV`>X zF(zND5&2q8$k%F0zE(3bwYs@DyOOEZ*)b?6l3d^VhDNwqkZCbUuQ{1|eL_PF$<!L= z?5qbm=@D`V8EEYy48ztlg3k8^VbHlMAPm|k1H$td85lrVoQZ(}ggclR7(n<R69WSX z`!h2zfbbG#1_lsjWno|d;aC<11`s~V!oUE+|5+FqK-h|vfdPa|Ss55Wcmpc~0|>un zWnchdH8utY5Kd%cU;yDcYzzz_e4UMf0fdFv85ls=pPhjLguB@p7(n<qI|Bm<GjT95 zfH25^Aiu$A5F50H6UHZ%2I&QD4T9+dEq4a3V+8GY0xfTciPM_~ouLo9s|Iv7J?L&b z(ETzXHt4=Q(7E#V3=9mQGtEI~m4nU|2c6XoIx8D=1~%xtYS0<bpfi|3XDfrwK?a>e z3_9BvbY?H;EMCwVyP&gkL1*G>u`)2|vNA9jvNAAau`)1JvobJ%&cBZ2VPJ^iVPF7l z%LUyD1iFU+bbkYA<sHm@pfmYFZiBfC<W|u6^q}+ML2d+{=MK6_7vxsZndYFg%0Xw4 z!`uowha2Qx(7D;5xJ1UtYcUI;d&MiDH1gVVWIZ5uALw9L5QBk%VFAeT3=9k_pftKZ zWInRK70~^p8=y3@I*`$zwcj8bgpu`afbKio0j1IPA^Qng-wseBWME)80Hx9Ofzm0+ zE)Yi6_W_hh85kITKxuS+ptZ~(Js^y%PXM|wRsu>R`v;^JdK@!|iGnXc)!%^9==z|- zD0)Dg4p63IU|^U4rP1|4g+WSDum|+q%m65jt`91Vq6fs`fS#Es0Hx9OL4`p|QSb?< z#04mgt`B-lABr9jrvoZ60ZOCmgC6GwQi_5dpb{QX8eJbRifRPs2UI=yl3VCKga}y% z2K4pNFu4s-19w1abo)SS;$do$=?tiW1yCAYAM_|4WG!H}0@OeaD2=WUdh82WEi$oS zEhML`fYRvtpht-yYXP$()<N_oKxuS+(BcEE7MT!O578$9rP1|4iw|TiVD<^9`U_AR zT_3dg0INkNZa~B10hC792Q5C3wSd_ZpaDGtN~7z879U`>$b<(ppaY;Zx<2UENn|Y$ zHZ-6)pftKZ=+-@mS|oA@RQ&-cjjj(`Tp{UzFdH^N;-Lddqw53J&k&WUq{T*vJ_jg` zt`E8;2UQaT1H%WX`X5jlT_5QDBMeQT`eYNtz70?sT_5NyBy9Q;HbeAfKxuS+==*YD zW=KFU1X6&~==wl=dSGgi=?hTxH=s1SKG1nd$m(J2j;#=PPJq(r`aowV!PFwt4%;C5 zJfJkXK2W;~Sv`#X1FD{3J48RaKG5DRm|A3d162JED2=WUw2uo}J&c{P17c4Blt$MF z+S3J7i%cu*gy_?N(&+j?`@4|U!`KlEP-{43eIPdIK1rBbWIBO>KF}Rm$m(J23<CN< z_hrG<BGUx~^nvc2L{<-Dqqol>p#+m<U;y2th2SAr=<PFfeV{wF5ULR@^!6FLK2UoV zp&G$LZ=a#-1GRAxsu3*o_8Gc9P`enR8o@$upP}mmwWSfN5v&`~g6;v7M%QPAP>RBO z04?ZVKxuS+pmsZo1}NtQw4l2HrP1|)`XW%JDAWdMLAL`+qw53p4^T8fIWwRI-2y0$ zt`F3wfGS0y8lVMT2b4zF2kIlCXn=Aupaoq4lt$MF>c2phqEP7R8d`nA)qwh9a1Ig! zJzb;g1ND=Tl*5_m=@VTasBZ;VjKn}sFX;MgkkleG(bEgMKG2<D$m(Hi^z?$R57hsI zsYRyI(+j#jP@fT5J&cW>UeNV{`k64b$TWI-LDvWBn<A@+vC-2Dx;{{U7N!=NM$hl) z`au14Wc4t10JK7lfYRvtK=+Kn)FRUs&<fQ7N~7xojSV2Hhp`o)6{-f5hBnh+(hQ)! zI-G;VxB*rF07|3l1NHBbl*5@Po<Vws7oaq<K2V<(G$sI7jKr7#t-xnMX=HsMWuS2n z5RHyKpcQxklt$MFx~~jf4~TE@8sbh1D2=QS<WA7tWgvCP{b~@O0b22MKxt%sAbHSu z2Z%<;0k0tTML=m}eIWZl<2fKb$o+p1e+Sf_15g@SA4ncFt^%UbvA`RMeG*U_Ss%zg z&{zye4|@N<0NOyQfYQkNK<crL-+;s?ynxs@14<+71K9_<0}Z4O)CL04FsuP>*cd=* zWPKntp!?83G&(ML39+vNN+at7*#{aI1L;98{~ti@c>$%7^?}rb#!x{tI{p9+#~)A{ zSs%zg(4A=@JrGxcSP(n|+5lSsrIGc4RDj0rKr}kO0KM$!29!qD2eJ<|-Urfy+S+7b z2!J-+BA_&~K9G9Qy=ovD9j|x}arp))jjRu3A82e5qzA+X(J;&bZSV;|X=HsMHK6;} zKr}i&09AhiN~7xo-Mxmc2gG0S9^%dwP#Reu$ZeqUPmnt3unvd`#uCtmq5_mg)(27m zx|0n=qvH+lAolHm(#ZNi_JQta1L;8@p9+9B_#&V*vObV{&|PgH8Xa>$8+-y#8eJb~ zY!_V*h@Sv$@MS=0bbX-lV30a=ECFrsDL`p-eV{R9bUh&c1*rNPP#RqyXxtg34jp$u z8;TR4G`c>}ST(vH5Z?jXQ1pP(==wn8+aPu5_y<%y1GK@2t`Bto8@e74e*;wg4k(ST z4|EqCNF6%PfHp7-pftKZ(7kZzdO&;yXaiFNN~7xo-4O>;hmLPR)jxpJ==wnS#i8o~ z@h3nVrZb>4x<1g|aUgZ**aO-y4S>?<`at){q3Z$jp$$_GD2=WUbf+9x9U8F%s{R0! zM%M?rUk*(Rm|FmC&{jZcbbX+^=D-Tk2n}e1)&NSQ>jT|8ho%M0eE?Pe0!pLnLz=1p ztA`OYpbg;#P#Rqy=sr4_T4Xu^+7OO_(&+j?che!Ohp{=J4PgN&jjj)LPaRAxGJOE5 z{sfdp*9W?@4p}{nT>))CH$Z80eUMpAm}&%V0Bt~9KxuS+pu6l4su8RgQ1u_6G`c>} zy><xI2-X5<!+QmkM%M?r;|`%3!HR%3yc3`_x<1f-cL>!8mH@QjEdiy`^?~lbL#Rfu zPC(UPfYRvtK=<GwR3lgo&<1-4lt$MFx)Tqf8o{!FHrO4YG`c>}{dfq~2-XLv`X5jl zT_5PKJcMclYX!8SzX3|4>jT}Jhfs}RB|sbc8BiKsALtG}glYs!0@~15fYRvtK=<h( zR3lgypz3cxX>@&{yY&#N5v&eq2Vnw~M%M?rXAhwo!E%6h5ImqXx<1gIdkEDC)(@z9 z253hCT_5QFJ%nlmYXemM4k(ST4|EqFLN$Vw0qs~6KxuS+pnLfcsu3&&XvabWN~7xo z-O-0ojbPn?s(%2b(e;7u>qDqUuqHq|Br~8ix<1g|eF)VEmIt&$5&)&q^?~m3L#Re# zK|3TIP#Rqy=uST*)o|txsQLp?8eJdgem}TkBt`+WBU1sT(e;7u`a@C<XKFw@G6ql@ zT_5P)Ke%Ef#sjGO7f>2qALtH1B;|1C3}^>v0hC792f7ast{90C0PO%pKxuS+pt}K) zl*5@E&<>CQlt$MFx+f5>7>RKJs{RC&M%M?rGZ0BRoLK?wI5j|NbbX-v1L2C17zWUe zlLeGU*9W>w5J@?l`2wo`1C&PB2f9}ft{9220NUYN0j1IPf$kVYQVwTEKs#IsP#Rqy z=)OU?VkCwDw8JF<rP1|)?jA%^4riW#s=olG(e;7uA%rVNVl+TIY8_A-T_5O9LL}vI zrUkU4<^ZM9^?~jugeyj3e1NL|0j1IPf$l0qQVwUXfOhaUKxuS+pnD79ijf!z&<<V( zlt$MFy2B7jIh-j0?cgauX>@&{`wZcVkr)@C>Tf`4bbX+^4Uv??nH|uM;RGm+t`Bt2 zAzU#M!vWec^nlXn`apLcA}NP6e?ZkUKs$)&`at&|!WAPiHbB+yfYRvtKzAV`DTgyN zpdHErD2=WUbT1-YF%m-o+M(2d(&+j?cO)Vyhcj<L)jxpJ==wnSCBhXWF(yDeqBEd0 zx<1g|iAc)fOb=*BGyqDY>jT}R2v>~EfObSVpftKZ(4C6N%3<ssQ1u6(G`c>}{faQP z$aDd;16u*5(e;7uT0~Y4V{1S=um(^XT_5P)MVMM-`T<n^3n-1Q4|E43vU(W%1+?S) z0ZOCm1Kr06Q;ST0fOdR;KxuS+pt~87)x+37U>#p*M;2Wl=$=NHS`-@Ef#rbG==wl+ zHlnD9ayXzJSOF-Ft`Bs7BUC90B>?TfN<e9JeW1G>Q8Yj~63`B;0+dGA2fEi0suYD% zfOcRtpftKZ&>fE`8lW5vXb08+N~7xo-S-Gpib5GcJFpf|8eJdg?ne|2P>uz(1M2{# z(e;7ufrKhWp&XzcSPv+Tt`Br4B#H(o#{=4d4S>?<`at(XLY1OW0niR?1e8YC2f8Z~ zMFW%*0qwvhKxuS+pta3Vr6^Pav;&&~rP1|)?vO;$0Oe#rJFo>%8eJdgK1rxj6siE) zfvtej==wl+OQL9iaw?!5*aj$#t`Bt2BvdI1)d20lc0g%#eV{uhQ8Yj~9ncQ!1SpNJ z4|M+|R4EEI0os9`0j1IPf$pM2(E#PlfOcRPKxuS+pnEByN>Qi<&<^YhD2=WUbVntM z1}J9*v;(^VN~7xo-B$@!ib8FGc3^ivX>@&{yDL#NKsh_09oPd<8eJdg9!scF6zTx9 z1A78Wqw53RX^El%$~gh;z+QmT==wnSTSAqhP#2&b*c(t9T_5PKOB4-I&JAb>_5qYe z*9W@y5~>u1dI0UfzJSu``apMJqG*6}UO+prAD}e4KG1!bP^Bo;2WSWO2b4zF2f7;* zMFW)c1J;3sc1Y3nf$qtKDn+HB9Z?P_jjj)LXC|r!2#*8W5fy;a==wnSXF^n>k_Vvb zPe5sOeW1HEQFTCg70?cB1C&PB2f9}iq7s!ffOcRlpftKZ&>fqoIv~6kQ1u_6G`c>} zeVY)KsN@1@$9DykM%M?rdlOX$gckwr_$EMUbbX+EI3X%gNdaibR{~0->jT}%iK+v_ zI{{UH0ZOCm1KrOFQHe@6Ks(GGP#Rqy=&nvw9T1)cw8QKGrP1|)?(KxAL?u5!)&GFf z==wl+c%tfn@K!)O+8dxWx<1f-o)DF&WCFCKodKoM^?~m8MAZS|NkBW=3Q!tdALyP> zh)PuQ0#yACD2=WUbmu3k4hXLU+CiTHrP1|)?*D|SL?s=d9dr*Ujjj)L7bvO@2=50} zJp;6(j;;@MFDOJMD!Boweg~9B*9W>I6jcX=mjUhA7eHxreW3e7Au3Tx1!%`!14^Uo z1Kk~pssqBi0agD1N~7xo-6IN7iAqj@4hYPE(&+j?cZ#Cwfbcw^0|Eh18eJdgeo=@@ zG!i->zyYPv^?~jhMbiQ1?trR40Hx9Of$kjzD?}p-paTgNP#Rqy=nhgeEnsd2bU>g0 zN~7xo-A4*mh(;(t2Lv>rG`c>}-K1z*z}yIE2R#8wqw53RQwmmyMofTqm}fv~bbX*Z zOVPA|xhJ3<*b7h^T_5QFQm{gF0@^X;fYRvtKzEs<YXR{spdB>_D2=WUbgwB$9Xc+6 zcAP4pG`c>}9jEAeK>QWZj?4xqjjj)L-zi8PI(`7{SiFGJ==wl+pQ7sl@g<-Qb_FPn zt`Bq%Do7nV4uCeGBcL?8KG2=0=z2i>4rqgR0+dGA2f7~>qz)Y)fHp8sKxuS+pu1Ah z^?>+4U=2QK0}fpu=-yP2I&^FRZAe)_X>@&{J5<s2fcP2EhD`yKM%M?rPZgvN9WQ`3 zG*&=qbbX+^Rnhf;_z}>CMgo*Z*9W?16{HRw3qTth5>OglAL!0ibUh&c38?xDP#Rqy z=>AoZI&|CsZJ=~OX>@&{yI9fnfcO^B28sieM%M?rmldQA9e;qT{{f}Z^?~kaMb`u3 zuYfjeHb7}~eW3eVLF&+P0<>Y10j1IPf$nZa*8}29KpQp+P#Rqy=pI*)I&^#is{RI) zM%M?r(-mD0h~EKi5KVy6==wnSyMolAV+Ux1$OB5F>jT~OimnI5{{dCc0Bsnd>jT~U z3Q~uTH$c_zfYRvtKzG2R>jCjIpbe=4D2=WUbRR589XeKkHl#G5G`c>}-LU9-K>Qm} z^$(ylx<1f7u^@HmcmlKmHUmnd>jT{xi>?R6_kcFQ0-!XyKG6NKAaxiR+5qE#(&+j? zcgbStVPIg`0abqhN~7xo-7AYtUjek?Rsp5a^?~k~#imaK+Hf;~(&+j?_swF{_W-K? z1(ZhD2fBL}o4y&)2HyfGjjj)L4=px*0ni3t1e8YC2fC9Mn?4R`gHHfTqw53RPm4|8 z0jT;DP#Rqy=&o99`YNCe#Re#it`Br?EjE1y(1xM~lt$MFy2BQmz86sSAD}e4KG1!( z*z_%cHZWH}X>@&{yKS-Qi-0yT6QDG@KF~e4*z^fN8<-MM8eJdg&RcByPC(UPfYRvt zK=<Eb)7JoPn07#EbbX+^aIxvLfHq7WpftKZ(7m|W^nHM;{{f}Z^?~ll#inlsv_ZQ8 zN~7xoodJSPUjnp2n*pWK^?~lr#imaJ+Mrc{(&+j?_vm8NcLA#Y29!qE2f9-io4yWc zLwEv|M%M?rUl*G`2WUgs14^Uo1KqWYP2UfwdIo5N7+oLe-d$|^HbB+yfYRvtKzHzB z)0Y8lKo>x1bbX-vc(LhIfHt5tpftKZ(A~V)^xc4}e*mS?^?~l`#inlpwBbDiN~7xo z-Pwyxp9i$z9RQ`#^?~m1#iow|+VJLp(&+j?cllz|w*#vF0F*}82fEi6o4x{QgS`Su zqw53R@rzBL2DHI$0Hx9Of$saortbk%{R=3Kt`BtgFE)KMpbh;6P#Rqy=pJBf`U0R0 z{Rk+Ht`Br4FgAT0(1yMMlt$MFx*r&uz5`J8C!jRCKG0pk*z{FEI|vO>8eJdg-e7F{ z44@qZ3n-1Q4|InxHhnLk>OVkfbbX-vgt6&c0PR?;fYRvtKz9pc)5igAKnp-=bbX+E zhOy}rfHt5dpftKZ(4E8B^hrP)&<ao*T_5QFVQl&opbcmZD2=WUbQdu;eHzdPv;mYx z*9W?n7@Ix=Xam{;N~7xo-BFB9p9Qo5?Et0G^?~jy#-`5!+JN?e(&+j?cNb&R=K*a% z2S90beV}`cvFQtdHlQP*G`c>}oyOSoML-+S2~ZkcALxE#Z2A(Q4d@Igjjj)L*D*GI z8PEoF0hC792fFtdo4x{Q1G)lAqw53Rfs9RG1+)R(0Hx9Of$l@brmq3ofbM|O==wl+ zBV*Io0c}7}fYRvtK=&kL(>DRyfSv)R(e;7uOva{f2DAaa07|3l1KppDP2U1&19}CN zM%M?rOBtKK70?Fs1}KfL4|K0GHhmkQ4d@+E8eJdgj%956GN29U0w|5H4|Lx$Hhl`v z2DAp0M%M?rdl{R)8&LHRpftKZ&^^r9^i6;^yk|gZbbYa)&3sridO#cA0Z<xUUmOm7 z4A6!*2b4zF7mq{V4ygJAP#Rrd0uFrz&<1-2lt$N=h(n(Sw83rwrP1{z;n4R0s{RF( zM%R~&L*EQ&Lw^C3M%R~uLtg;2p&tRI(e<U`(8mF7=nFt;bbV<!^a(&4`VvqYU0*s5 zeG<@yz5<j+*O!4qp8~X@uK}ge^=0DFrvYu~8$fAveOWm489*EQ7El^pUp5YX7SM*i z1C&PBmxDu}1GJ&<0j1IP<>Jui0d439KxuS+c{ub1KpXlIP#RrdJ`Q~m(1v~jlt$NA zfJ0vbw4t8?rP1{j;?S1?ZRi(3X>@%>IP?`j8~PPc8eLy84t*8ShJFK-M%Pz@Ltg{5 zq2B?e(e;($(ANQN=ud#s==#cV=yQNJ^gW<7y1sH8`aGZw{QxM9uCD@zz5r-LKLSdl z>#M|}FCsH1Gp|Cy#R<Bv4pxQ0lsiHXT+@KgyE*TJoG5V<Iu5A;9k=m-_NymD+v(?^ z?Y-O3^85%if4e{%`o_=(qXe|!5d<yB?4bdpQV($fUps_WnE|0W7C`7<8z40AAqf5T zB!qr=5kkLw1fegzg3x<^L+EA9kP{qEi9qNbG7x&X3WUCF2ch@7LFl!95PEhPgx;AB zq37pA=xGfQdg(+6Jz*w<E?)?tV^%`wy2B7U`!s|OxD27Ao<L~VHxSzR3xt+og`E7L zCkCO#<RCP+8ibZJhtR?v5SlpvLMtRfXwggv%~%MbKUF|z>B$h9Wj2I<w+KSBLeE`$ zzZ=4TbOu77x&ooEJ%!MF-a_alUm^5vHpqz|E5sr6WO)c(tq!3(EFg4|1B6ZqgwUl) z5IQCcLc110Xq_quZPyK<jpjgTsU;9vZ!3fr-3y_?hx;-xFmT+5@IO3*(6`<}=tJKi z^mTSfy4}YQp*Jf)=-r^hWEmJ3R$4;%6C5FQwI_t0nGB(uvmtbLF@!E_fzZi45ISfI zgtlD@q5U}+7!)`d7*aSG7_2!M7+5$M7(hpTJYi>G03H4ClpVqc9j5|fgJ{sv6(D(# zIuIXp6bb0K4iF!NL3|MXnVo?FbesdooL}q=3?MZiJ_vtdXJ7ywT>~-`WFF{v7!VEO zgUkdS#{pu4>;cJv^nk=cVj%OsLfr?F`@qh?@R6N?0VD>p1H=Z|4RQlW4@eD&2AK)c z3&J3~K{Uu*ki8%^AU;eFhz9XNav(N{2H68L2P6){ATf|W5Dns^%fa*^+W~SPOdZG# zAUA=+2c#dQ9<+_-C3KB6tc)9_M?+vV1V%$(sD!|1`88BxYSf*hAut*O=ppc;j)9?q zG4&Sjo>{4~#|rnXvz%GnclPCs>WSB~Zk#$16nOfA(adwMGkJ5hmZ{B@+%_#G?T6G% z%`~;O!Aa95>ho^qSzfGj_Jq;JYl~`>H@nXAOlw=4nsa`qe7SAC-TdORbKgH#%e{NP z?>)0jnUmssvHK?Zx5ZBz@GY*NW!>uZFns^l{aOYhw-Ue1oo}YbwqW1la+PEG?=IYG zaoCYpA|CC(^=tC&xAPR%@E*GBxI>#GyQv^uU|ZbHZM$tt|K#1ZcyOTiFuOUQ{2hy$ zg2x{Y!Z-o<&PsR8j&c*zT2M8CX|j9OgJ)AVE{$4Hw~0wuTl9jCwt?xghHqJ{Y41WE z$`>=e_OE!r)^^7@YQcWj#@5VxYz5Q%A2P8{o5aPTADHk|h1DfoV?)_W_PpJ{9^B8Z z34Ji(*jg@+b>Ruw%}keSS<B3{6|ArRK3Q0P`d$s|lkedV5;ih*scRUNY-2hlu5Az$ z>hLv<_1HDd1^+sjglCIfsEKS`skzv_{o+|)BbgnmU9F#q^3AB6&9uv1!{ECj>zDIE z2@joEdDaIey!_3@u|70m>EebLm$}ybjb{9Ka<TiCi)Z&wJ7&YG@;x-+bph*={@{e? zKCCI;TyJ9EHqK6wzhg1sf82u!2c=j=>en8)5X`0V-@9?5=i=jE->wt8YRT23DiTmX zok{q#$ORkc#+9E%FYNPbocUgB!R?TQci~(w>{lK*WG`wk$D&H?_Pa+Bw=OfApDg(B zO6B}#uKzFl?&<FFe-JX&SyaF_ta0lerbo{;Hxw>qnq;oAp|qXp62HcV&pVhl{SQdU zKlEZ{;qFKWyHy7cDzS>(UwPnyA(uwIOXI|1(SX03n3AtoKTx~RUjON}J(tX+4DAI! zS1<*i*IvN;{%fzbrg62#g5BGhir)t~*e^bCXeF1#yu}ADO&1Z^=hrw@UQ3~BKU4Pp zaECvZtY%J=OEf1Ni`<BM(KtKBqTqAmFQxS#%76Ue-u2~RV{qv-Ers8Stlz@46#l!i z@*NWi$k8w;na^};e{jN+NY;@5%MaZ6GPgA9)%xIsM-Hqe(=`gtU6k7q-s$sni$irQ zlW}~2L-|yuV*3vV*0%Bg%ldMiolo;m7~|o6Oy2)P9W0C@_2y5#uBA}bz?AK-rBJts zNjhCyp|X=HI+S%ueqciST&7L?0~7KmGky9W_#okwUQwaOKDWk&e{BjT^RWL@nSQy^ zS?RQBz~2_8WO2;}|4doc@`4<S*D?k3YcKe_g~`~NwM5;2;_B2qebz7ELlYj_a!JT7 zKk)Q;^@BR)q?eDQ6lxlowBth^wyZmFD^_kt<oAQ~zy5G;be8hhRQNfGDSC3*)YI-& z>zJ%LSyS$>J#f#6^@;tm0|$R{Y1FwkE|eAx*caS5kzF+4t){`ZMNF@(eW!LOCv9Vz z^;^TBbOw9gq=yIQKl!$?(b-5o)Zx1g>oYB`7xxz&IOH#4P~*_JR9!@%&arW-ta8!T zj&nf?Z+p2qmW$l5aAQ1qS=7ReFE9IW<L|rk))s#iSh7AK;dvEnO8uGxakrVb^Vt13 zJ)vHw;KYMURuN0zXUz*|a%t>$Y@FyU5>PvzDS5f(g1C@`M@6hA>w^<sm9cJlAD-}x zpX-I)@&kAHE<b&AVgAAcPBDy!n?)n4)<d27L9@|WYkru+7bDhd`&Ksm$mPnpv%DcC zym4*1=!81g#<?%=Ronja*t|YG;k^Uvlm4&=3d<WFEf@K5!(zu;UiNpn+HJ?~7k@e) z_|NTu%*3l)EB=KvZtRuYk^14Fedgcwjm|>RA{TnjOn7_X3KQ!V{(yvM8muM$Aqnp$ zbG?XPbl}i+QG-3Mjci`Jx(Nz<9J!@d{Bh-$=*YX)#Fn-Dot`lp^T(f27aWr9brTeJ zMsQ1I=zizg{;S}@`hAThiUmQ;bGx@RG``syb;04inr?zZeZQEAK}7_&gvP%}ZYhqv zYgl=vl;3ooHi=EiP&Z-0uQ1&Vg|Zegg8=(DZYhsBOIdkL^e;5AJ&SoE`i^r3-<`!G z!Pj3{CM<}(-o$29p3TU7w|&ck#yj)(9LmkL`mlXl<3I1l$x<3`Y=Xt4+1iS?E@-?I zy``b?!^5Zx4yvnJd0ft4X=2Nof1Qc#QFyi^bFcK)hQ=pSx)}?;E)X-A@Nco0$%V>5 zZiy3Zi#HXST$sI<mFLO*HLScme3zNny5z4ku{otzwBFuP@E|{i`RRF9zbu8KsbU5J zfBVEt1hTUjnZL$oIWl*uZ*6FN#vOIRA?uM&q?bg-`Abb~M){YR*mh~^W+;4T)J<4W zze~&{;Kv#<1A{$I+)@#BOT%{@Pq8R?pZoJcoaL_v{|--Hn*Vz50f!%ax)}>TaqA{1 z{MsRA5+GgCdizhwgZw(?kDS$~$`&*p^8p3C2e(v)>>^g4CHL2}@|O5rW@6hk|6&tc z)%0ve=AW~~Obm9!f`UATTZ+SW6)Vq_|La(JT@0eb_}EtM&UR$pxOZzq<FDIW7c{!K zaZ6;(Tg1w{<lIND?S&5y+~2`=YdXlEpLKN;7F5p`Gnw#vrkKHn_gRk2t@|?>nGc6= zS<rYXuef9*Z;N=ABlFbeEe(w?f^;($lx-3-5QtyN%4>2e>VQL$54V(rwEi9Lbc+K1 zOF3(=6(4Z;6{nlAU^6JZ?H050e%V&hdiz$%gZy0PpP9v}8#5C&IB-j7sBK-)ctJ=v zVL{C#F%yBvg{(Xw`d6FSl2&hNX#BM{>ViYkUNM6UcNVbnF8LL8z@d7xn8}2hul|b8 z+`o-&*XOMZ8t?pzI^a;hQOqR3!jW4-LJZ{Iqj3+-^kjaBrz^zp&bi|7G)*@_VV57b z)C${0tUNpHpA{=FZOpZgkGB6Se_5{ULIq>$jrtvvQ)Q2TJbu1C!R3n4=9L}Hfo&Xq zE|QB3Oq0@XF6x+=qHVlfaP65GH#5`XnJ+t5q)stbS{`{$CyK-9a?V8iw3)ii60X~V zivP*Y%=|Rx_s(_a_dV|~UibRw@49t&@BhAcZs&^kGhgieH8n=;?r&q}^Q-$K=FQuF z{=aDF$M!dW-Pv>8L?_Hzd*GWAYs$HRgz}|KMbou5><?pXl@{Gm%koa*@&2Hy=q(N_ z*B<zg#~KnBkWezmzU$YEiS1Sex7~9W#akrQOk<Mb7Jc#T^|S?BlU8_$i(ZIaeBcc) z*NfbsgnxCcJY||2e#~KVN>;C2U$;~I*e4cNmq%P{<}GTt5yX1!e6Yi#Bv!NV-w)b& z*x!{ZY)#DY+`X{j%wDcH??N2jNwR)(_n&rmQNaSHy)(Hq<}Nw#L5MY^h^uE^ki%Ok z)??ST7i<h{JekXt@irjgmnLh8o2=ICWV1)j(nSmhCsbc<pY}vtBVj{C<I#RCg_4O( zr{hB%o>Z}h)vs!Z@NWESudVQL3DfKuO1oXN>RGof*HkE8#I!q;^@-i01OHmNG-_NM z@0Hr^P(18t@Z^$z+q9PVfeugoxq9ptHq0uY@n+h`zakfAtUB<-hINZyc*3v4TrXl4 z9C$Nb^g{IV12wUX&AUZ*{K=EQ({9pLe}!q`agm6B^O=->%dNY;UhY}*Y%5uo;Qq6+ zQ9<?XOhS>YX6M5lUU9K*TOaE1>^Rq(dn+66$cx-~uesnuJZsg%m7Aic@P#H+^f77W zhbMgTVZCCv^1zR$Tp1xEGxpqMH&3zPXuEUEYKzL>YSt3D(1dUHTrYb2Pv*^>zog+{ z4y#x&7l&?m!cSqYj+kW!UYUys?DlN@s>|hJv*JLh@`ltiC+2hUne{Ww+Fi;S#LT+w zxbNh*%EcW_yEoSq92amX;MuvISNElUu*1X0TwnA89bUfX;<+vours#tBD+Yy@6}9? z&ucF*{Kj!pcQU*70@3h<Uu(Hu=!7PGOXhkJyXL^3>mmj>794n_UsK@R)|_x+;qSI- zQ+9K8*sMPAYR1b=(MR}#5^9$*38`yu*y+N!vRL#++|mZ0$i};0xla6zY5beZrSZqL z@wR)y>fNvT?mVvw*l}9^z}t6sAK0$tcYSH<eyTyj&Vg~NvZ#dbuLpcu4G$J%y_bv< zvUg|f?G~A_CyH_HYtb41W-?jnvZig<UhsVh)8{#gyN@Q+vx@ZxIArW&I{iM(;Yki_ z7&li(?9v0jO1V7lEIaVb78>_gl%2hA`D-X_KJRl=clU2i1@Yj7`gu%T?}HYUPh;|$ zADHmNi#255;sY<%a;><#_CSR@WAAYhi-(2scXl)K-C5715`RrK<8AenbIP?ln5>FL zXVfm|e<#7j(YJ8AY}BOxTbS6wH8%XnWo^j|O!zsStHWl^fmimT0@a?4UkhT&x{rvk znmyN0D4oT0J6%iRvnFeqez?QCXs$Q+*EBc<H{NrGy7Q8K+q9PW5QnE<-B0I9&Rx@R zYa>_B{8bIdidfY?b7jOXJMhI^^ukWh#+%k67pemqf9}^#FbH_?$<}ViYT1V03*0}J zZch2l;VK$o=f*hEm21tu`|RaBj4a0mqAj;9sq17C$`-w`MpI#HWaC?QkqJL%GwnXF zt?>O;a?18M@@pCnUF7;ArnO*7U_wPJlU95{LP;-EmVH1%&15F2@0tcXPeYSwRau6o zl}U72_c=$dGjdBC?w#a1v)`YwxmYyf&mJbH)uIvq_Ax2(vWC^KZn)vcDz(CXEh~?T zo4oez<&CAvt-nJZ*x&taddB#RhkK=D)CC9Ym8?7|_g1s=mYn~{UH+>?V9vhAJI~wX zvKG{D6*CC<F;C3oLGBeMHn05`o7fInZ)s@siszQ<=(F<UlV;1xzu3g~=<?Qv#$z?Q z8KCBdM8@2;th`I?SF`ezth?02wkcFMVL>^llKQ_@%)p?+g<DGF-);RnM_Zd8Jd)x_ z?2}RDopOH-E04>vOh@Lc!dn^|BciyaR?J_@%A=xprHO4;cork`%J*50%x|N&HZ-1D zzhyyVo;$b13EOq7ykFw4F|l#wd%d_Rb;B-b$N7|s;L^_4OCO^yIQ%HlO<3?rQ8z=O zsxf}YY#;W6zGea1ytY>yYUYX=T-f2tE#+}<DJ#zwxob^qm;PU3VzbiEbY#A1es1dK z*2a4xx)}=dFEFvOEzfjhKFXiP$h;6#bA6h=rJ?ZzsPXjGJ?enN8$aEQ1^*_98BBPQ z>BxLm{O_UI7z+-)b&Xe~`=&2m()cY!H$kCzj+n^>+fZ(a6SWT9QZJrwZD>3szI6fP z`bDffQ|eZ*^0sWxVq~6rKhu%<qWji{##?f_847lF^XAC#m@JCAaG=Gr?%}^`fs;3P z9dXK@C}uLj&Y4@{#hNQkY@4S4YTbRZMBq*e^WLq_{H7E32XaeD<XmfF>&n$lP}ma9 zEv2!40V|KovAGKA-pp4!qYgOaOcOH+h+o0VqcRQTWYMSt4y#tM@@~1F!N@#Qddq^w zcc4~b`F=5z3-_0@@`%j4+{CtLqxw5r%ksj93OjbNc?thfUALgIAck9F#hgW~ye`wT z7@4<z-m;+a$I++*4#``^OeWk}z{;~lD(V8LJ&~|rb1=8m3A@FtJYU?-UpHUa_@^=I zz=4D|F_QorPi_eg-HT0Zrz~|76gGKrORb1|EdK7%rN-UU3<RR>zXULU?TtF%kThG& z<ieeWtUOERU20;xwOH&#;2{UKMXbCf%d;7ocd|xZ05vBJHhfRztF2}Yz4UBu1!L-U z-aWykvd2IE{HwL*OoWTc{Gy*nPOM3tq8!A&h{th`hJi@o!^;|*r!KsFCM@mD@w7BO zC8?QP&y?6*b(tXOdfF&`ncvYlsoPAFr`<ety!!pl|Hbk5|9;yg9=HDc>(BPq&;S3Q ze^{~pnPB6@^UG@8{zbJoDA;FNvN2inYBGygO=K2XAC!=>m+4fwmcgYEhp(Kh$I3Jo z^c^{2xpRGL(kAw;h4(rSHfjspJ*>TIHw)9jg$Ehj>t+SpmMaFgYc7}@l<=&Cwd8zw z!aFY3FV{6U6zpbdx-Ak><-_<;TqJ_?3=dEJot4T~o{d{IxjN1VBqTR7P0|**5w*18 zODfl!HD3?-?&JU0nVQY)Too-kp+2Os@wsR~O>E=B&dpywoo3fCFp6co`je|CRV2VZ zys`5tSH`-)gjZs$TefQ$eBQvcE0*<HT&P3+p@~I>I&YqX`n(depJ>KCd|yyyZBy~$ zz(TL%8VY-28mFGuQm9(Vl%2|YBtI-6c{9@_e$5SE*DziBAD)oD{iYH3iGAUXdo#IC zsEh8X6*22CKffk5YQYal);7IBho8}0J$IfoM)S)5xw;}xN<yeQfbna($cz`-3wFK^ zEc)s5c5%ZuMXob4Ya8ALu|Bg~+fe7&*qX1U5D}X2QjWDnJ|N-gd9IFqYYyCs?wi)_ zT$9ggmL#{sv#oif=e%d4d8dniEVTPFdyZ|vifclwOYD~(ICqrmM4fZvUTM(@`=c8h ze~SjZ(J=TjiRski=O=UwHZ5mbB_EKGGmYt0x5$p`N&I)FwKrEE@4v^~In|2gY`4e^ zEzJeB%}mDj-w){a^Z)x)@?KhE((lPkzx1^>Z14_x#(eOuXhhY1rbC>pVSWJ)UvyZn z>4iA_$znCTrmavpohjOvb;)+^4V#@ABhO9kPR`%N^yz(Y!h-_-J1U0{-cULJh3mhZ z#4gUZ`mW>rGFl~VOs6VY-PVOTe68l{xx298ml>;?T)4w$R@P-@R&HsGZ#}urxQJeu zyYRrBLarC<10HO;Y*X-^f%|!8<AbMMI<?`94{wV^{9MYOXIk?iT=|xqL{N1c<41Fm z8#65YpDR}`V2ZvhI>9ciac&ROrupFs`CFMj-49H75XLG}zwp2XWiE~Xv5gb2iv;kV zo!*_CQ_p&ZKQtj}9(&%ThY2~8`ag4Rf7(9pr!#-;rkynp0#=^aTu{4`$@qSl!}m1S zXHr}*?3WxkbYDcE#<g+jc6sg14IlP#t*LQgyttEVjc%}m&GG|V7eAQysbE9qpBKsd z3p@E7KUJ}A%L{V&6~|g8_XQHfDgUhumRt{a_?OF_Vkgm|vEWA{Yuhu81vQhHl%H!X z_?60fY+bO!-c<*VxUrhZ2PC}G=gQc>=D?Zg{>k0W<@v17?3OhA%V8C}1Wgxl&qUwJ zNz{Fm{Ks-$&LZTcHy20z>I0|vMFs9IIB@GPSBL%D1IN6%JlKMbxO3jFZ1}=1dSm9& zhBryD(VaYb)^dJ>f?hF=e-4cccQKvXADZw)lmAZEj|c1BzQ!{<Cq3?)e%igZoK=l4 z%)xl!fh(O{8S|GOI3q4{VQ*C9&iC32>Zdbt?+<kN;K@3zii_j@o&deRspZ-VRTG%9 z+qD(;1vK^=g9mPsC-1qWeP^0{)uaBJN#Z;*t4fzLT@qz2dl%sF?K;;R+jR|p>_sIG za2j!I?DKA1xROhwKBjTv6sA?nH4V!4F}<3uZLrag@$7Ap8Na47-O6Q6`}eSMc8&a> zlkfK#8mN3fC;au(Z+5K>9}HPp#5Fd2;bd(I*W3^k;!v`dDSNw?!oJYPUU!iRHGYk2 zuZv8mJFc|TqU>9ELt{=1x73TXmzmf;EzfdfZY<t=NLNJW2Y2d%>Uriz?wTFW_j2B^ zQJ4GRG*8R53`XXs^Rpb8C+bEWaQNCEb-`iHYF3^r@fVxegoMRTcO7ylj@Qjl_!_92 zu)sE)Tk6D|MXWp}#Zea=-mQ*0;PAgnHzOhPruLox{SOZOJ^iw|y827v;_A6Ne_Zyn zvNg>HjVH*1#uGM2U2u3>ANMei%j!cu7xTrt(@vBvXpC~<mgw-m+{7kTyrrS>$;qe- z4zFc&6BPc6>Sin`o-1ZB;qOK<lMA<3u<|^Kj=JFRKvFkh!4Hswwq!?z@v)tHf3b;8 zsXc>{`Rd{=3mR_(=_V|w+a_i*K?6SMa5kHrFH7O8vTnkHy^h>cC;r57OT4gK%gX!Y zAE?S}_D<EzT(Dufn1Mh~rX%xG<*f~kAA~?d2%fqL3RR#^@NPG5iH`d#Sb5jT>fSM) z$#=(CvUiu<VW&^!Am?g=oSUzkvB3D<l8IYOqi6H|S(~e{yWRYVsL2KE2yTfJpb-=% z(5OyQ7`GHh>=IU<Df>ag33(To*jCwJZemONp2^7kRekG%#v|QP2ONr@PyT9VI)N*j zk@;=&mIaMx1fvc(lrI!BnP45vE%73L0V}V_x=-N2hFov%gI}51O#^lXb4zfTZ(Y!M zN;v9(LrJ@sNx)&FNH2*F|7%TbQo*1>o5ZLK4%$mud6xKJZ(_50p3TU7Q+vyT#(P$v zQKsEuCL3zE6y#qjd2nw@Z2^C#{JX;ZOL-^S9CuU;yy9cJ{pCo)f*&))Oa!VNxg|8% z{U-7{Gf(u4d-zV=>ce|+=Bt{_?4|;<7qaqP5zlaB?gX`4il>U1OxWeaEs?SQY7?80 zscyo8-J#r4CqM%#U*=zKV&ht$&B%PP^K|J(-YI++nAi?o26>=HH$h?6#U{3_=UI%* zAFqPO%6h|h^m4QRJ7zjzPwImOY*C;QsxOD5E;uY-&B~J!f3=CNO4x7e(w4?Qbx{RN z<bOZ*{r=OSs)8|gR&~#uRMGbP@8?|K#HBHH)fPRAgs8R<uQj3WyRsZxTW>Ulb?GM0 z+2XZ4aN&XnE@6LnxR_@!By+^MZH~JjDCDYY(CNK_aWd~g@4tt+*BNy#a6aI|q1YUG z^!@L9mFK=}@Vxx?^7HT2=Ph5#Z}xQdh@We{e$Bq03wj(s-flhm{pOQ?MUyYC3u6D2 zW=3e}{|jhr)nB4Aam~M877fz|#a`!o1`aFF*@p21)CgYub#Wup6sZFp5|s}_n;9iy zrDxZ=vs@5la7iiK-rsUCTv%b!*7_H`%o3M=_L}n~Xs|7Q{mG(9vD<(Bs%z{Szgre- zC46T{aA8==lwkRG&*gF#23bZ2muLE4CkFiXV{+Ma@9sT=2F1VoD-JWXB^m7U)Bhcw z^0Z$6>-QIH>u2s~Z@9#<AcR3@`QPuq`ZyLSGjyD|q+YACrEU(x#GHx~(rgAPCSTeZ zd5$KO<k~%qpExtQ@9TGoJii0~Y8f<o8N65z6j|MRYpvAK$Dp7TeEpY4#E({aoz?%Z zNwaB8Jyv|rpkbjz&$_#XEY8oa$vuA`cz;^MU1kRrCJj-B)0xTp-*+%rF*S6Yx%Wgl zal;e#)3;88f~KUTbSw8_=>q{gZr7g{vN(&G%e;So@!mX!rCbU^j8nK8o^JW9d0&vR zgoQzH=Kp2=Ew|+VBt*XF%$HaY^6U88kKY**G#W0JR+V-ooH#W*?(O@Fw<jZ6`#%b* zH}cg_kBq-}`KR&D|J=stm0I#B`;RSy1Xn^y?z|~V5=O<>qU!q}{$qI~$DkzWu#zFd z&)xhdG?cE`+6jsMd&_?MRpH#**BF*wx&ex#u7fXb$+{b)<Q?C#-~I0@B%RW+P)ldl z9&kVOV1M0)HG6-#)bleey_5-!8ohpHiA@zJU;nYabzL>#JA*(cIDBvYoCpi1|L5GD z-oBV6t@C>B6ozKW1tGuQe*{I&iSAdQ7BBIeKUqm)(<Qs558P|)-rk+Va9*pygXM|q znqM_s36^i~KZZt=cm3Ci7pxwBeH!!aprv%4Ps2f;0IkK}t3e6miECkL|1-b;U1wtU z?yI>v?`ons<2+M`%p)hdBTD%hPQQG?2=hnPCHF&ze(^qyF^dSRk7nXYYY58RvL}(j z+3?e%9lPAiWe!f*`TF(K)!)`!d+*VZ&MVL<Q7O3k9b<xJuFN-CK?ipRfr&RK_O~2- zcKOuKoDFMg{lI3ttT`mjW+0-h-?h402OJ`&k9`WQ4!iwb?Z9TX4ws%i9iiVDHq0se z_YCTv&-#_lmdBp3-@CPqr6XnUTyT0n4-V8PN4>AwE?fU`{fSfC&88;%yt`O!z_=Tf z=%N0}{O<|%Ph{0o$)^&NtG8@ZcTkbu2J(noJUHo}=$^i++T{6PZKLAn(x(m8zDvmY z9eBsMz=Y|OQrKPQ14UL+uv8I}TWopki5z#ekiw*^`k&hvc{1<3;$@cTnkcRx`u#<p zvV_s~`$2t%JKg_Ygd~m$;u~MdF-*IBY?b-+x!YnOIsaRUJZJM?PJxrRu7kW$vhOv- zjKiVdBjnr-Qa*;~>#EPcv-ocaQyD+QL=Ko|p8s&WX}OafoEbl-|MFN7JC$ML8uRy{ z(&K+8sPu4);|af;{7dhD*P1{7&J=w*X<Kz(J>fe;fD|J%a^7CwHf`?YYK9ja3`(0y z*7dhsE9De8*|xpvFhg69*j{k_Chd47clP%mZ6o7~YRjKb<PL|vUjfQsnJiCQ!@(h9 zbr)I?Ez|onaYI2dlgp-G-$7w2#a{_ZX9l~<jtlb4{C#~}(pu$rf$_y*tl(TWK|BtW z%rcW#!_xe_uM-Vks5z))-+v17#?QqdZ@>z{|CQle?Hq2a@81my2sf4hErw~A&#i&! zjen=Su*@A)2-boV#c_}e3?*Wh%YR>g;#A=CU)5jCKshZy3R0@n!*ZI=*`FR6cVDxg zZUZ@YsZrG}P?~JMxb<gGy=z)r>AwBP3$j60E`^p0)v!YQm76>#H~+7Ln|{sTlh_cH zx#s~W>Y&BKyD4w~$$kASw4s`z15#q=%6x%kh1)eMJbb?oZu<5ZTn6^t419a&1)G6L z^TpCinS&FK8tZ-ep7GwRA&3=TFu*-pf8X8dZJ>R`wd!M_g7@F8??0;<3`7pRu-dEV zYLJqewtT;Pemuy*6QRYuG|a&-m)i+N`Tsn)=^;1B-X61>1NWI57BaY9f3{MyNzwTE z`%m8`<a`diV}zEyB_*?=;p=nvy>jBiO8bZ~`)^6x*D`u7-FOrlPS$-1C+-~G{nz%@ zd~bx-Erq+GTF=<MQBK^D)i14+z1|yK0L|SDjhyMn1$iR>cwDw!{Z?NUoaK2y)y%D* zGhurF-*k7%J==aKA@cnd7Kt+qOE2Aj2nl7Y+Qxd<uysE+REJf^dpD%>21pr#tD66H zux$RTy}#w!NnP^@vpbW(WvJV9P##Nq;#wN^=Te%nt3k@M&(+*@N1lIu_?Gj-8m0~x zhqxoBf*3c<>63$%TPxFRRdjN0g_roVoqm<Bejou<+cD-#90=&yqp|MYMNl2^?zFsa z{M<h_;r}a{Y*sTU3Bt3w@;+rIQ1&vK9UIk`XfM=$@ES`;%3E$w09b`X%3)B!H4PjR zRl8-9i~7Im3xiXQL)?*7)eI4S*8gD%=jU{JjpH-!EG~;<a@iDjS(?pYN&Q|>CUJ|~ z6LL5C7aJ(AKS&0ZZC~o<LbIvsnqBM-Pq+Msr68TX-yVZhY$;Q9P?47V(8kCkdG`@0 zP{AcvM%~f<O2*~!VSR=>kAIj5D!ROs74(y?+A`=YU;O6Nrpwd1!8N(h+-J&(iSeN9 zz8q9mNZtPish}RNsxATLc<T~ZP>Jz15t0~TCD&uoaCoU>^jl1xv$+{m{F#HI=7an< ziMtGKNl%UzT(v!=8UN>N{qOVJe}bl(s{eq8k`DjQHBc^^dDEw(GB@j*(xjP@r#+r; zO%+q-Q19Z|$txn+wMD6D=FL;LFU6Y~7Yp7zv*x60TAJ=<iNI|<#c_*LXKtP{Ep6JI z``>Nk-q+UEA9(z+X3xI#{qLX7k=2kX+V=gGf7-Q_|M?YhiB~>-*<<)Wyz26w6O3NV zH4JRr8K<Ul^{fkaSi9)J4>nelZCV9Mm)qAq{u9r`_fE1+mv55w7paW5K?%QHSxeMX zzU#Ek*4@nhGeF*YmmFVA<Gm{j6@N})V%sbd5woh{LKN$?^}!A)dzn^8iwN9aci>kr zmj{o?4Vn7B@2~s~re8^6-L_l<JfUMOIw5+^fx1A()@+d%S8EEodDsu?hutzUQQ70m zI8|Ll;_ljpTlb1nxApi3IVi6?@T7!wOPYqk-T=nArd((0LK*i)i_X{|&DhK?YVli9 z{?0@G1G4`Z?mXmAn7muMD|WAj(|PR;Ht%906<qj2628jX?dauFxUu2}r?c}b`EZA% zMyA=TMJH%!Z>a5NGO`a#_|C}s<ll+||BAUZ_B^whsk@h1B%o?H(_v565W8guUevH& z(F;uYqs3~H7nblzPyUY5VaE+8)?T|X;fo(@$-K~nX`fTJy?DF+z@Ld+5;E%#JaQKm zn6>;skt5?oZPAFoZA?k!S{wcuv#Q7iJ~*MV<1jz_?7NZqc8i@SNEPq%ng8~$$b?SK z4b^k_^Q4(Lwkdv}xKQ!$PA-j@H4g8&Sf}h;ci@98*NQ(OjTifvvg$(<{)n=ge4D%e zvcKHAhHD$SeCDrf@C$3a*)4KmcTD3?X;Fh6v5iOP|9BuK$=Bq{XKQ1!<c~P3OI%oj z>72<omC9Byy}m3m!6LNrtR<I+Zg@iVTqdLV;DqvKrXpX~Z{->bKBTk0lVSb-q@B6^ zNAn@upUsEv@;W};$HjERBJWG=scKJqv8C7bC#a_UNMH@QxA?$|lXg4S@+jOmb?ePS z#gBWKq_Rb4?1^Js`<2V*{^EvnWvprcmo(gSX#9CSR$=qegiTD{@53BksIX46U)gX% zh&9Z9al?(RTx+h2Ot`V;z%w&w@UB#EZ`)F?t?>C)t5I~Bx~9T+J=Sl_wHHhXT(I4P zajCzyLCsbst@~jKC5xG|_%#jw?O>8RuU+tn+iu5iRfh6vmIv?HRGhn0Y%f>^G`=j? zT2S1?w0ZuAgKxbV4(|IR#>0Cuep!QKWaH6%O@%7~kV&3p2VU8W2uSaaNIU(Dk;_A7 z?SZr)#+92zZp>TXaAqmjo4BP7cg#g^?DuADjn}wPeT9Adi2?zgqLub0DtoRA&D7P( z4@>x>!FuK1`U5{^a%G$ePAHnn6cw&z5F6_7+>bS_eqF;oWv(;-V;P$by;F<k@XFtb zY-j!++VE(035S!r#)dz3tS*<h*35g|e7lXIDYs*-j8xEmC&td%A~*IpGp_tCdgGmj z!e?{VviLxUcfFOd3;sRi(%2K;cu$f`WA5SuAGlaUytsP$G!}fTWj*#j*x_*^tJ?f; z2X&2PSi0|2Rc3hn;ys<R?S;&$136BNdxJ%1*he!qKjqS?i(_0UEfVo>9+T2{tqs4V zS&vk4t=Ye%;fUSo)FK&4*6(sG?C;jFw^m<Ze-KyAwBz<(c2oXq{*JFCShx9yIXnyI zdh@Kdz*^j4L-Ee@yu2^t*Ebw07k!Z%>hSV97ti}3ho|?sdi-_-OcU6;g6VOv=miVU z#*>j;8M=W9zczEdh*^E$O}WU0+iMQgL^C$4i$?sJ&Yw5&p+dxy3qQJ3w(0B-Wt^zV zwWcnDapO#`HTppgi&h+Xc9qLu!Y*HKsf_$9Ol(H`FEz34>d$6m-YLFiL1SG;tBxX% zh+38-v$Z?-cRM!rcl(wye_txvusi?Z(XYWeQ|y+o^0uUBFfvc&-m;+ah1C9n_CCHQ z=kx{NrY`K3>bSp(mB$1$+3?9xH(|lo8Db_A{w)_XxFA`*QHEXW#6DMUi5Ie<@fiCR ztUNsDE;X@rfo2=FL~%=L)JJklblh3Q${SL@gq7!r+=V8#SN&Ox%$?7-ENFZdr~UoS z9P1qg0x~hoD?99uh?-om^Wv5`Q3IOCnYWUa=gGP2O>DnF>mCkG-?D)5eVT5<f-lax z847nUF|ldYUua@mbUurb*;M3<nu);ucy5UdnT4#pJ~o&1?*z~0yW=dycjva)q~ot$ zb+=ps&6e2cCM?(-#4UBA?xOyk$mPuClM)w{U%ueV`=ovWD-X}R>rHH3`>!*xIi1gN zWKPYW`o@mO<=f>ZwpIC;nb?w!XF4)}HQv(DcqB$QW5G@*ZV8WCM{cQ%e$dp;E-{k} zd;Pg3PW<)bmU;o26cqWlnw7WWcibZWO!k8ZO#`gX$Uowe;*ej>$`j&uxruF2q;A53 zvV~$M0{dgQB{D$kIaKnmGqFW|&vImbc|VJhdG0(hlL>$O#SAXoejc>bH&da$L(IUy z!i`&MhV5DXJIXWo?sOM3yp!LfF>jIhR)JZIS$U^S&tzntSQ>S~Ve2FDgU+`atxp;V z+%_l)Wd6D~>VQL1J7}T@G>8;;rHSp9aM<a0haH|N=w>Xi22G$X-LjzZpN?+Af(;?u zQXj5mF)}ZGy=6h;hbrBK1)E&Cr8@GiGqGj)XF4)}Y!oxNAW=W_jSR1f`tMd~B(BwT z7B`(>7sxH~;tXj1tb1!iV_YD&)QR}TtUNq<mz&tSW^ZX|ykMrAvEWaOn1R5}wXD1$ z-{X{{Ihi+lMqO~QUB}9!vMk$?S$T2m@ArHb-~lbU#~J4?bVqsUf}EVa<-ok762BhT zuX|Y?Ucs1prS?ZKXqC$0=ZiNO6-!;@I-BbDxpL;so)xasjE$cMpEHSFVt6Sh@|DS< zpA9^&a}<mwwo3}0KI7)%=Q`)-1*KCnQ@cIVr|I_2$lRv0_({S1*T3exf8T%q{jRv{ zzwP$lz5m<p^|@J3_kNokFMI!^Is5t7@3tt}=AZd5x|6l;NyFbzJCozTTp89mJbZUy z(nB@YDZjYB#05E|-g#<mH@RA4v9M@>bx7mKm0THfRvmc4!@4C6Tm+^sW!hY>xnO%> z<4<)_g9^vSqp_zqS@tAx>BzrnmNt^vQK>MAPj}_KH4QgPSg+{^J0$I6n!R09VXI%` z+dd|vXptLtmo><&{}A`PP=4-TPA(0Z6$c)Quuky{c`$8m!T%j|zh606%eSYvlv7KU z)$O_Ff^ANXAGg}=Nai^3mbKU2$$Qdl(F?a$9r!XsJ5}yQPk4ga!iIY(tk3)d4kXNC z>K4~dFbQvbn#$F&CNSZvBDf5+U*B+~jMeP>F0b<0vz8qA76_f#Kl5>+>fJp|Me)H2 z^?giS+qDWRmNR+Thb{Qt!lYFnnD8Z(^~$2~(|MBj;~9IuYa3L~VTwxEEO@`UwxHa$ zf!F$d6~ntv>>KQ2?!1oc|I+#^{=&?)2j1v%y|}yZK+SFT@*+kSb@K|FElYl+v-ZRV zCj9K(oZ8ayX8D0vzeNPB!y3ODi%!tc+E6)_DX3fY#=cm_nY>(Y?3Oj$nJ;ofUUR{R zw(OK*nRlxjE>(-ZkbMjaTo&hbHELT_sv4QH!Zi%`c`)|2F-?9gdZ8+$@nyQmh04gr zo7c}zyt`<7Khx*;fd?LBu!<FPNz7e-!1(<4gL~x)9>noa(Z8<Rp%aqu^)^?>-Ngrf zov(!~Md@7b>^)0gQ$cj)37Z@9Ry3SR<a*;5>hSI|*PHVJ4iBZdWWq!PeobTAJB>-p zJ~-ivChL`d>kj<5v2&B9$-Pwvo+xr<EESz$cNDrBVWwQvq`$kEsy>U(_`i&a?X^h6 zpOs8*(IOFYS_+#^Z`~9fc7IXB4M(mu^&X6!lSOXWgfp)67QHcVd4o@E<K3-XC;qxL z{yl5ALy=v8XXl6Q7bZQN@j7L@#@@)rdyHHwY62QBu3^fWAD-|>h}C3WXhP9Wrl@R@ z8FdkibLTN_t`Bv{-_7(nf7bHdvOYlxADOu%WY-_~^c=cQW@5LK_bO4=Bh$1t{HtYE zkqb%q%*ncBUU0(iP}Y=hd*aGYzx&5^VyVatdq2k3&7u~20vMNOi@eYcba-0L)nm7y z;nsQ)3Hcun+GHFIo*din>^-Ua%=Ej9w$5j|?5?$-cn#C$`JoQ$R~-0rl}kb=EaBsB zE{R-d<7D-LSNlZ-ZvSPwDf7CJRi!T=;j;wml5+tGznSFkOn9guQS|K8g-P#}SfA`$ zeBfUdtH>@c8N0;|m+VDfybYUrchRRZRyY1|hquXGJ@eN!9Q(=TGfnhD)QSUNvRF&r z1txqG=Xzng_P`(engVA&1&L1zMT_4|JGxiYz{;obX}^|2(JZFb@gWX5)0keHYbk8> zYCJoQDQLFHjXE#Jnc|{1WOqB5wcoida>L$*v9({LprVV(i<Py?xVFF<w7zJnw3GKD zZP9?=6PX@Mi(dG#mua%T#)7S<oo?!0He@Z~3rhHQnCr#dB?tb9ix}((X*~K`)L@nN zhCj}%E>>J?{`oO(%;j41c$w_%VvysClA7Wz5<WAqE?K6rVQ#3yGa1%0{lJFCJD@tC zekW*&Nu!vFK@DgH>fbPKsSn$-7@0-$C*D(FzW6cffWzCnQ5PI)Hi;Qr_%TJyWWt<f ztUO!vE;X@TD*k&YR@0)u{Df0_=8J`Fn|yT>7JOeIW-{S_FKD5OA84UTIJbnv+se&y z+ZsRZ1})qOjJn|Pb#l}J2c7k-yjS+GV&zeJmf^^JRyFE?!z<8Qj=v3J1`~d66*IXY zo59Gu^M8gT^Iu!B*X0XAt5r1iT#kRlB_$!Zl9gwQ-9lF0iu6oI=Bev59GNfPj5^@3 zb{Q-0k@pvv*rdK^J2Ia<zO|w8+GbF-E()sFt9|30m~WP6Ff#8|-m;*v#*JG-V$MQV zUXlH4Sb2KZU1?%FW&YdsL+|SYvEC9LcjT&8G`^|@tuZkKtub-eO;9kr)WkMx_gy7- zPUe-hTNgBbdl+@V;o0M;3l85)bQ2W5tLkPf056C!&vaxyd^PHT!^=3`j04pn+!8DP zIC4vM>|4Xib0u!Oi(enxqu)PTckd_>h>2nDO?k19ZIXL7BlFtJTNX5asf;?{kUmY! zWJ2r$R-P|mnU2gqcW-TIJgBCdvEasaCN?+yEJx<2h1*XB9&$)oBxVv|8^SHo;d`}- z?bUKn(+RYysCb!}!Gu+yMLSdL9_s1Iu!vuGc((3>GjEA`79;ac&{Whv?x+I}iPx7F zO))X($z)_++PrlEqyAb@<KtQr+al1SqFbq;xZej_uH(xs<+1KE6I+z5Zid2MP?Ij_ zaueI87&Ucv=DnOz7aaaM>@N_X&eznPonWB)C4l*;@YaULi<VIr9Cj{Y<#BliT3rO1 zG|E{kW)e{E!Y$zeS|>9Lw5I6FjHg9YOfJZ+XXQx|in`!XzDdkrg0&;J)C>R1Ol(}@ z*^bPIcSap>$ebx=@?h;pZs<Z7E&f)yEQMQ_nb@+<XFD=~d<$CrvR<_62HT{`TMqQu zeR*AfXV(6H&@55mi#esT$Dcn>&RS{WxwiNAD&DUvTaBYDp6bS|yy&DWp7*j<d3%-0 zR+*L4cW)`$FfocR_NB#Ik-Ndd+p{ilnrBQ5a_SZe`n14Rc%Gw|u+((9TmAQ+SMGhf z`Mv!2-PIp!_utol_wUDDdGmC?IVb<Ar_W!rk?qW%pXbkfPQF)VnSSo?arO&y?;e+B z{r6$p;scGz{Y?554awI`&oXFlJ1!x}9-!6GzWw{t4#p0SgjF1}*X%8lO^QE!cyvVa z`Ap{{r(_vb*b<I1PN~YT?~`cgV9@Tmkob5Rv!S%?yvp6_d<RZ{KU1S%+|IZ98_NXE zhDi1ej}D6$A7OA|i3n`r%kEvwY&d)0$8V8_4dLl~lxJW2&2&QKz#8U=kDhat*%Xu% zg7YpU-gUm%IHT0h%5wI#=WGiOciFQ;O?s<rnZ%&Pv_`|Jop%=B%x}-no?Uj7mGQ-f zA{mEe3~90ly1xIJax+MYO+o2Emr}`%9WN)md~v2c_421l3?&hz8VsBH62clp<<Hrg z&SvV6Xb^RMvEiELMa9c6-TlrlyE>Ke#fD!V3^SQ#lrm`BMSggf!=;eK5GJzl@GkDf z&dcVCe%_S%_bNk4MB$r1Ca)RNSR+1un<Qh%Ajk+(!{*!W^LzTXO__zk3|4DwQXS1$ z4PG;Z?YVwVkXb-5!Bx;I=T6Cql9H>{n=^mzWhjZ*`l0qF#P1JX;r`mH*T*;0@3iY? zIfnIh5)FK-r|X=9c+Fq$Jj|dqyS1zYHlI72o^rWLpn*@@t}G2|*<Leu9tRh&#~d#! zUj8TRYNlH!*ubZ)|IY!cq`Vd>-kg^$uAY{1`I9;0iw#*<+c!aDEE*AGxjlR{{p4+| zXNT?QcWA$wJv}WL6pTmJXT#OJ&g<lxSyonOG5cCQr$f7_`JCCljAyJGMDz1c!<?LR zq2$CC`<Q9I-SsRB4xj3kKMV2IcRAGH`SPXm=9WL@3|4DyU4nX?zZ{$xH!R|iy>?4h z)@bg(ua9qT`4!J#wdP(~9mH2PMyFslt=VmEm2%5+gU#$~$7_?sE+igRX8gj-3QlC- zHp2rNoPgr@Da;mIzV5`br|%eyAkp=`1{6FEK06)TduQ{_?2G#yVy1h)KGAILH#TT8 zJlqU6#po%U?6oRQs}w8Sf0u7=v9e~64YQYqCPaU}=b#(}c66<2cjWUeQ*UmuvuBVE z+amx~vi<%Un45Dhl)SKgtG`QSw%B}5hxV!ZtWc}=PS%^Ns|ri>U<XaB)0;gloMpk` zRpHDAkW~0^&-wIV20>=9ZL{QMjpmkxrCxqDi=iaqZyGE;TYl!gDdKR10hD`6&v)_7 zEDMX9==(aKWx?UD$>GZ**$uQ0bZwsw4{NgwtCU;ue~;!w-4Scx(|#U56B4QK*Peho z8<e28tefq7+M4-7;?>CbQ;<mI*FOtWvZge$<U~o;<EuBf>;m~-KN^}g=EqD0Wq43N zjo2<NYxMTczo(J>4cGfYIo>~RGQ_g{_}MU3Hx|5fIP<G~?fGR#*E3&8e3}}65@Or; zJhUX_Fw^(+eYORMSH0T`%V4tL7z25ItC?%N&+`6S-PvLFTn_D5ug6V?ST+C7WSCVE z<=G`CwrqahmJ{{gmO(b`&Zn<ATnX10*Hrvoh??Jj=bc&RD%-%PZJv7q>YB1=u!uLy zvr4hL_5W;6)IW0u*|6NbnzJEAiws=JwJI&E6sy{g({iFB^TjSCesyNN_iO)shP1iT zYkoX=3Ab$5d6#w{>-M~}%f4Ehr(J&Z5tdy4#=w&+I7Ro@SkD&Y&O5#AX)t37#Le#= z;7Y&==+Ew(TmB?4Sgom2Yw!W*Q~7;!;9h?{RVVH8&e@wXOXC=<*3{`imCWBW4X)(- zSDm!Et+O|0)+RDot@)7-O=0)!5>Mx7!Xh4&q%XhM|5<0ItH<xqK6O1y!ZpS-TpJ$k zz5MRXG6p3!c!_vrpY`l%;p__zue#0*tqdM6l23sr=qMRkqq%V<{$^{}aXYkMRnPH- z6yx)C5UH%%4-{dq?&U<)Yct4(&8drrlnZ<I+MpJQx8zeVClxbTt%>>bI0q6w`Kh4r zfmUSB%ND<uKe6m-E#r#~ujagkrJq;un25?=<h<;1H2cjhzakl|*2MiwfY?;CNe^z* zyI(IRWTv0?-<0{+1r$PW{5L}i*0;RybO?^E?Y47#y8}V4`RoBPW>0xFs%zTi&n|l^ z56WJ@j>C%Q-9_*U^)9GDe79;-=GW~EB@ufcK8L#aBs1Km((Uf;KGxOcX_tS+GrrjH z$htorQiR{OhMOa8B5U;4{<n*nE+6}X!>4war$UreS0j{|%Nohr{y&`)wNJ2tZ}qnS zTF}&dXF5D(ye<b-rUz|rZn64!GSZ>_sx{LKX)bUp;Lno&j<aTRUq96EpRZn5!I*og z{>STL$>SgAUSE6J>ZhUV+9jKnGgasQwDp|kH*3kXBY$!{XZp>(*6GuwI9D@sqR$Zv zzG9i<7JbIY3wc(m+N-TpO|?o~;<KdGtLy8}nCr#mzn@pf_P_r5FXsO5y8XeoV`M5{ ziu<3f{Fxs&(c@Kp*d~vZWA&kL@2UkdOuN~?Ag?iDXENt2eWi$pOigX4Im34KF8IjI zvf9Qm;0tTh)ui6(&$<4U9^I}Mk$jzVOPb#@UPYTpcgkORyyj@S#Laola_)jRKUrSu zDr?+Vyz!u}sjFW&XUn_=pN>s;iTlO+f0yevr4_r34<`K<2(j-;=oE51dzoXJRsVu- zK~1UG{1hTKF>(A0E`2}SYEt!-1^;FwwDRg7-6C~PEh5vs=~%V!nj#Lzi>xfG=Q;)a ziD@#O#iDv$U`?sM<I7T(*EaqEW!I8;()a3ep33*%aBvSNi#MNQOp&2|X^P4G1)ol` zbkA`P_*&F-G)y>T|LlZLcaAJO_YEOmjC@vq6Jt4jMs>w*@q<O|LRakD5?a>_o2(IB zQzGg3IFx1epBkovlSCbMJb7_A*gdOWRl}~BbJ^Bo(i~oS?i<3|7JOl3c`f4`@Xe*^ z>p3Njdd-7u+MHVddJ-15b7=K*h~0cp#IyX>YfiD-(-!=y=A3q;p6TEuXO8K2{+zio z@d_u)*7GV6%NzsBSq~Q3tFHL3aFDBBF~j09mrwT6>w+eJLTf(BH7&Aix@zYe@Ta25 zbf0U$C#9yX>r^#r*$-O%<z(>=V|X}ev%jzG%e@`}|F-(}+23ee@Mt%u*{%KspO$lo zS@tc+nwqeYn{!pX^M)gDSxoC)H(Z&_vNc{IBJHxa;hbI3!aCE{R_xb5$TfeC!-gjU zhgA8+{bV(3ColN;lVx>`Z@`zZrmJ<H0W}^Qj@fg1<!utV9F?uzbZNK1n$7%<HxF}O z(-xfapY0&qbHR{3T?vb~vP`Xa+;AdUm}A-+hC{01{|!r3wp|RJ5%!hkppdoTl|Kpx zgW83z#5rs@vy!FsylTWduclww9APg6O=8?PT)NLGbv~-?;hIlrO^d7r)_h}Zdc-cg z=HpLjgl;k_T~f;D_)?qWTBL8lH@T*-a*7)N&6{`^g(pXe-gnt>F{bI%d-aGX3Qeo# zD@VLhX?k^EIU<SE@vPawpmM=0bu$uXrmG9+L^8H`zdIMxm#O>Rt?Aco!8IT3n%dX} z)`X~J)F>U)y05CSYevFWX^yV@o*Rz6=kT&~-f)fWdV<B$$1JaNyaUSoo4$Ti*Z3dV z#OpT$5^Cc6jZ0ImD6FWlJ*X7hv}(U<#GA~fS6PWs-Z_2&pWm{0zjX|-cHeL&kfpR= zJ>uPMmam|UX4TYIF0kf{bki|^!8JlVzde1}q^jLeaPs0=HCKkPYH7!hcR8>9;BY*t z%z4eKZ^0Kyme(;J0oz<R+<VLNwBC2a!O1M5|9v-HTz36oUTB^6L8aY-A%6`HCb2fX zidTzBy2#R`+Ai=W<gC1(tf#K}idyZ1M*IR-WQBCT>o@(Hz2?A{unKO+!?7G;zXTi~ zALb1EDdc$ZGH2LoVUc*Z4aam@RNt#dyf$pQ^qTY9%@<Yso-iCzO@Hpw#js|(u;Wji zgKX_WA%Bz(x-Az9`Nw!r$(wW4J)aFXYFV!S_t<b`#)4<7!#`;SZ|2N;@37&_J(f~_ zwTO4zEMK)*iUWT9Tf#BFjN#y<rwJ8Duei%j$xBjXe_S0gqxqD4#0keQm155pd|M^G zA;q#I|KuSVlOUZZ3YPt?mKm}~ocJz%j-1ha&Qs@!LbVxp@rCF^PJExtHyN-u?~XX( zSa{%&OwYVjMfRuR>kgfr*?dB5vQotkE`^#Me5>Bi`n96@Mx4%*1^+n3o+%Xaa9d{7 z^|o4iT#Y#4SZ&B%JR$O^6W^}#O$O{c?{A*a{LfhDjN?Pm+?b^@JdbtGIKG^#^CV%9 zI(KovPYZ6#4!N_3WUlNx<;3?WeWL+;ueX}(hXUP(4-c(8*3J2~qB(4OtIQJlq(nX| z|IHJc*WB$`ZNk3yY~%^Yf3iAf4kqx66$Si};4U_>>S~pla$4t%;}ajTqJX_KS}i-) zCMdFhoxj0=y^}rigyZM)I%gcSj%D4MBeTUkIg!ukq^F=w46A@$4Ex=?%k5q%d=C^W zx?n%4)l#FTwN-}ad_p3hmpv#z#XwFq<hJbi7CEE&*8GSQj(=Rmo-Oz|Yj(v=zDc(? z7_hIs8*#$1%3kc*g5U&2_M6F(Gn(%yi4{%Q-_U9)p)+6P;Nh(dhuU8+sJMN_UA9Lq zL6QBbdc=(86Wlsa7Dz=muQp-dxHj^HV{N$DGlh-%+?E+~2M@_C5!*DO`P)mKGmg({ z#ELG&9y=uS#7gJMf_i)I;(&@dtuj2<Hyf}o?ae=S_T<3|KJMaxo0UN<{Nao({MQ{z z=CACxS|NMPiSLoB*s}$NQrwmv^Nt^q*)na@gyt_%V$T*7%X3?vusd}~=F2giCkp!? zM#U_(lsJ>7$bM8daz^vTdpb`R)NpVY3q&4p;#;+Rg8}=-;>Z(@druycQMu;R_26L? z!^6X+7xt9DTFe*pI^u-m?@F;}3dXO+VwTF3Y)?t#E4mGedmFK53nrv1vLF5liun|= zA_KeGt(Gfd&N=ZdS`7-$yE;!4{&8>@U#RG7l~GwNduNViM(#l;zDvcR<QWG~cAMD! zYE3@X*ZpU#Uc~KH!I*lf`o^tN$>W9Jc4kjZ-DI;cHR<M}X`X3rawpFiL|=+(o7uVi z$eBf{y{S@>T5?94eAa9|;hZ!xX`701@;OVJ$CA(Q7;Mhab=6hA9L86_|8-TdeSOaL zuT}T%-~W2&`}f(lJA^Ags?WQX`1pUNtJ2NsYf>hj==s0;Yv1dfKdB6-=KE}L>|O9k zl|?$%JK(2Tlj}91klI-Z8)u$Y(*M^|?_Mk2q*|u5Vy0q5mYw6<WX@@poeSRWWH}w{ z5>T&skZZfo0@Ke+McD-Yy*zw1*xgIH=~$WavaP4CvA8}LShLOC@na=Rwv2N?k>J6o z=K@pm1lAPuI^LYh@_Mb3#($QBY{t`)Ekw7g+|ck0sPu1gErL}P{j;ouqCEos>NKfN z6S%TxR>DeAmaV^8POnj4vAZ#0=h^&6KmOii(SGB1p+N7Tm%D(8-Nb~cT8<ZwvaF7E z4XCj^D8=ui5K+YOaMI-0%lfv&DQMVcvo70uu8$@4GRtdOkAQy#O}t_PAvSXp7ISlI z{hyRDF_k6sy>dj3N5Efu7Vn%g<F??>y)52u-2#3yG^O&*cG!@@DxkMBdY09s-(rq` zxjDjqusR-=<_O!>zTo3ymeqe|FL<#`+i>kwA(o@%su7QySycH|BVK=IS?aGE@w}#K z({$lA<+hGL<qop-`$C-7{ePB~(XA!jnW1&e2bFdUg#2YVnA9#1@?YklkZ9Aa`^pio zjGAs;7t|?Mcf9M)IqkQ)<KGv$66d(qt7q&mKIrvcJ)_3ppw|4k5Vu)Yow_pdRyk)^ zyw?V|*$bY$WyxNvs_~cSpp|j{(JiO)yf@tQV|jYteZ#?;rY_f}UO%N3e`K3n_0(4U zl5RShr@G=F$3Y=~sM}`i@8-Q6rR5h;&3Dk~x4@OT?i=o;bAa1}w^?|lS+xJoTJX`U zDO65P<Cn_8tX;B)HTQ`gl*(7t*eQN6%ChN_yYQOL1<=;go14MzRo)z@{&yubi?WEy zE8WPjb$oh4cE&ZOXn~Mg?t@9y0wMo74hp#oUHM~lFeqK<N}SIIpY8?kikhCvsjS%F zm(cp(4-#nYo8LY8vD94H#7}t5r^Kd3tW8(<xdi;-X)>+z2>9gHv~`-`lzsCP<|;bg ze9n38_8UE(<$q>#nBC}I@Ti@`%&KR>Cvi?O%Ur0-vT9#>yy0qkRj&~7D6VN%y;{U8 z%cfhizZtAeEmK&rTl-*9WYe#9p*0^^o7$EOt@*;-bZoltnoreDi^_%9d{b|Fl-y(* zSNi?fLr~SKXSwt55{?5=y9K7)o1ZY(nd8(vhYfM93;ulPG~3a$;L&>yvt5%He3IuB ztDLsrmG=9Gp~to<ub8J4k!0UAYraCntBR&u%LR0b@3DaD{e<PO;;c$lzDGCx+Ah4t zKq2D?&q1&CJH#(%?a@7`^<F_^m;S*ld3B9CnS)aC${LZ%5wDY)E?pN|Q>^WH)0E}4 zt!u!)r{5DTq>rcz=vcNCoIJYSUsm$1bHLAJmTnpEfUm1ry61Wa{9VrCt)sf)rfNi% zspDI9&S@Ke8?N0IEvWO|tm#*^;F=GCO>OSNYeLjAYRnF5+0TTfwX;_y-a5;2G+c1a z$MU90#&QR?T)Wk@pkz|QTw9J)axNS0O=Nkx-)Y0anJl99J{tnN71*E7j+oKxF~3zN z<o~flGDpldOlba<E&qf|Rwd29>w%VT!v`%{ll#_R1KC&Z)OoTXHX)JENPW|U=68}h zXB^+TgK7*_?&5$Q6I*3?-lr$>dDW*XvOj(vIiuNYP5<gC%`f_N&N#lc)p?>&r@&o& z;fD#grH5WZB45<<jT4%`wCbF3eBK4GDsP^<;x7B;U8*Ac&;1(=*qfh6oN)YT%KuR7 z?7<9nv7&%FbM9gR%Z65&5c{KtWR7@&S_!_ORstipWyZfbt(G2l4><AND&K6tKJ$3w z3CHp?hh(0_?d398XaD;)az^u^-SGNf;+_51K=!5nn<g}W<kUIi_*7cwi9(efcX2@W zrU}hIzUrKDe5@x{G@+)a)iT5Ov=iT@*)~0^P1xtYjX2@>ZLZE4$9#LSq6z0W8?ZM& zjy&P`@wLvGgD-5viUR&gau*Bi%!5~!U;9?}TXoo;a^idSKOvD%=;GBKUX~gA`dTeL z-kx#dyY+jM0sGA6$P<p=EySKFlpAqdzPKJSqxq1k&Xa@+UGCz5UA?U`J=ZrFuuokc zdBU;eneq<H;(*(2tujZ-lM?x)W`cr`8`OB3^m)16s|BSx+?F2mj~tRIIhU%)ezQAb zM)SQOP;;TR)ly;&s0tS3E;iU?y2Hy-Bme9n8JA}pCN#ggr*p<JM~>Tah5X?|GAd#l zCp15^)H&n0>Yx+fEs)(ar%r~o(RQs~25Qi-a9h4OAJM(qguPWa^29-dlZRxcd`nSe zf7}deA_nR_QP?%1)pEt$qfUH}yd!2bAG@maL}6!Bt7XR5$P<oLw%o-RZXR&r+ccZM zVmn{e=ExI{b>(8u5)8_NSop6qamcT0ek2$yUus}Au~lZuYMnEVDTdsZE8;*AKW+1b z=3mS@XB?Acxh*sH9XKSjB+XW;@}v5lmCfHk2~O>-6W=a&P#f`Z<O#=mM(*MOi@B{b zIWncM=Kp7GeH=Nzf-!bRE@<yd+x+iy)Ki$%JbEG~vzjlmVCrP);W;T}5Vk2WTfk?{ zhDODS25tTFTVIHT$$VHWywT%w(<L5@gbA8Qg+$+Q_qb^tWpv&c=5<6cY*pF^=A$eU zsgCz6=kGjMkhAIUj%T~xKc6%Cn|t|&<XDOS5_5j<{B(V5(4V?PtE8O50$#q2jj!Xl z@XMQXPUwx~!whamGQ{RjlWnN_xZLQ{jJ=C=mO_@lUivkmzh&oYVTDPh=AcEdPj-S< zt+FkC{pRmK(a4{7#ZO(-y)?D$wkx9qWR>-2*d7&+|2p!VTVD$)OybS|+Q!Hu`Sv4d zm1#mrY|WAVN}tcizkF|K^G6G`y8@!qUH$`fnf1)iwJIh1<}yrNQ?%WjC&8n1Kg81P zYN3M@mQLUQ;(egytE_s^s(SFgn5$Qzi*+{@boRGAwFWKhfBzk{uwMt{<|Kn%d}@L` zFJGGell%Hu=)wJcOt6*RHHV-};G=luIg9N-HK*Qn2Q3Ld`TregUG2daR==C-UBmAE z5v}ikr^$K4mSKt;co)yv^WUM4{TE)Va;5GW`{}mddlMUiB>x_VEUzy882Z2KjQrk< z_mg*6F@pCqfE~Noy9T;<D6PA{<=o@C4QoEJ=SwUIS!KMoNZidFycy@MCyVp6zW=-S zyFY!yq7lk4kpryvDlg2V7d}qh@Mnv>&g%USc$ueoJzjeqwwQc|i@}ocyHDN+?pFZW z>H%4Af9yKc)+JwS<vEL;?IW($UIi^f|1<acZ|E}gY9G*Eoc8<=-(SSd0<A$-fouWV zcN7{(zxaQ6tl0a6{dAl0?7Q38G^Sqsy(f{u*-#=@+}}r#XQq96_x|Gr&X85ZU<WVm zgRKYuQmayuvRYbawaiTLitbg*p?eIrsSEN%uDbhV-qlmbSSqeDOzdz3?c!N&T?-AS zho17Br`zjK^G>_k@7Vy_2h-aRT5=4F*4VPm)nTurg&#yRyC|`Nwpiubynt>yS^K<3 z<&5msv%J$v{ULj3elCYPIsN*t@RX;gwpEAi-VR#AuF)$2+O=fz{x@XzkjH;{JE5%O zcOS8xZd<N;0JM7iVmef>xc;a0Cu&|>zl)T8=Yv?m{_+KEqsq+6v+hpMr}RndtgbO( zWHWYH8FC%$Uz6@vYkpk%rqZP7ZGJEAg8)O+OOWLTN^YAwdc9c>6h+y>+|J!zw|xay zWBTDwuUI-#zHSBWj_B2gnlk-VH4i9F->o}d(eu*wRlnMS1TF<3#<NOc_m~e9-TJW{ z8t_~0ecSs{;&SzwxuE=1JrR_j{_%oW!KZx;s_eh%`%g4-=W=Vg<f{I6jgUp-3nGu4 z0<B;F3{N!w*S&pgxa|9i*a-|1b9S5qZ8<C149b*ln=4lCIsVA(f7hA+DPX6xv4a-D zYxFjx32MJ*Ot6%dt@^$Lw)FhWoF~c)i!+&AHhF;;!B;JZ7$UK5k2^SXA1~`upMP)h zrzA)s$`IT53AFR()vD@ab1y?TycF!}Z+XhgDRA=DY*2hieFtrONiykv2n`e4ugxEy zT&}(n?+@A401K0f@BGJMhFtnJqrYWmFQ>rCUAIAod};@ghO>?qJhe?L{~ez4wEsKU zCDk`-RX0>Kfc*1tDrisBGj-@jB9H%<?S!(Tg%u|8z6b3=l6(s)=8l32xI_DuKL5WJ zx-Ng>!&Q4&%J><eyIwB7gyvtP;*I?+=W;m(PHKGz#b^jSbQc~t<Ny2Ju;2aZ4femb z3@%CyL5v&bgkApuNtGuKRmpRnwiZ^HbhR8*wwcTWm2*cEN@RVMBra87_Wx`9N?kpn z-X5mY?A%vqL3Pd4PUu-x-G(*4Tg-U^w0@q3TDf`skMNYIZ~1>#mz?*2?3n^@$Ee!~ zO+sJRf1emoeT9D-FFSbmNlE!m?!}Oue@AC1Nf`Z~*Z$YGEn8?o2qV<Feb=Cw=!DO0 zcc<qK@;a;k90ip&uLP@MyZ8K+BsP8d7yqL=<Q($uld82)TXk~2Pux&*fq&Z7_>XOj zUP}v0?nC#y98_si+{<tG?0w*R(6%VpPMaUgpgOnIy-`kl=V~8ut#^OPZK(wzpB{s@ zIT%XBru%yc@<jgp@%W$IU2UWtK4))3<K@!-o&7DhUe|5NsW=h`s+x>effJX(F1>lP zlq8Jy|In`Qf4CJ?5x~5<4d&G|cJiEa4}aTvaMLMkp#%fwDPCWFK>3Wv?K(KW&%gVA z-qlmQoFCRODhW1&W3?QblujHnwiB8b{`VN~w5$FekTR|qoFFA)*(Y<feX*-QV7vNj zv=DL`_XbwR?f>O5!}^=1dBinaQ*c@H#1v}pP42(in?60gzbk!fbvTnvcEcq|;rh82 z=3n`r9xLYl*&?kYJr7bYyG;kB$0UPYdU5aKC;mLk{Cy2yIjD$&mX9T0dZ594M&{G+ zBEEUNbB%=*CWYF)<Ykr!+ItF8`E9lBOgM3A?fGBTA>ULWB@?J{-SW#Ew#BF7bde?d z`L?H~pnNP23S^TgaDqI0;JZDjWP4k&?#CP6|M%Dbw_;=qW|+v4A+~YN4<UxrnUC+o zTtCY?BE)xps?f7@-VGkt_TG_ZGf>%o5#)r$k$X(!V$<_Ktv})QZ+X-HhjMq*QOl*X z{69bMDE{?VfkF1^^8f!Y|7T#nb+H(9)N1XQS&;FUtc#c0BHhfU&XkPIj@YJQ{87?) z@)@zyVJT@pBxb6nNzGJE)67ykZ4|XO(avRN*EX?KwV9H3sxvi<{R;hDpNq^teX(}_ z=V!O%Z(FYa{O{iL^4R<D&;7euaB|fN@BLZD&+`5lulGp#QLi1TV)VE^YpJ(-AcIsn zN7&9>rnNICD|0E>2+8`%uC(<IsQI>6y1wN>hv_y|jhzh%Yil{Z^wlDky99g-Y5ICj zU8CL*JhO1mX~RXSrc?gHI-6c*@hs13O4vA;W7T`-4Mz?Nb4-(Q+F)qfKhtWGRqKLp zl`N-YT);C6woSiQbB0xPEqJ)*x53(}+tf1lC?C|S78Hqf*>Ee6<)}7G_8jkkBFlqO z*9E5R>r9v%3LTV5H}{c!>3TgO>gjWV9E14_9?7vt>#D4%VL7OzE*xSzJ7Hrg%hlT~ z-gi6#KFc*Ny(WBRUZs88<}=e+N}mhrY?pTY+sqNB0qe%A`^jp~b-hrbc~C1{NMvtg z!q)!+BK189z0++QGN<+kulZ`$bZNKHnqmdVn}<2BSvM~D^PR&iLm=dj?m@Tf!Xf|6 z4=VLTCW@xVTot$+mBZciDql4sNxW&6Wz$kV)fKfi2aVDNuI!zVu=Bpa70?($y&z~> zA?S%C&+?DYSXS?8UGU-@%j()03w|iFWY<(eCS&H<)V}g~?as0^n&owjcfdEkrmxq8 zrqnkkG@rdz^ykrRPBSmzkbeRPl~|ir#VbX;F=={buM+XdsA*QcO2n(Ord!TUsq<jN zMb^I+eX@6&3towL*l>uQQ%X-Q;$=5WufJ-<Qon#NLQPlyIRyL(YchSOuJM!SVASk& zhYP&Ut45@&H*Jb+`pT!K@t?Jc*Ka0tR-xXYG^Iq)@#%eGog!n$tG_wKq=iH3uO6Op zP3X43l^XSfLDj-n;#@YIxyVwg&2qYS{(^r+O`>(~7e1&pO?{`Dv8OR%YPj8|!W{`G zxfEiGN>+OcHe3y2Ir?2C;_+h^)qa(T*C|bxo(rtm+_T`#RhHLxJp$?+HXN*K>RMkh zCEW7Xqy?X>ImB+xUGVBShnV%81;4IyOj`jSFgoaW_0P>K6R(7_Y|U4Qc$U|+t6xy( z`zOI=q4s_o4&COIdgry_k~^oAyxWFT;hbIbJU86(=j@7i+~78S!IM~)Y+J8@TXKgB zuH8(64$Qpa3wE#CEimOj2Y6mVM>wa1&GG4c0i7aC$E*7Vbjs8nzqWHuoAJ?NS?K4j zEZ(Y|S?_%|oMB`s<yVY&r_J)!Up?aCad0l!@gy<(mB-8TEWPg)BAzNXEz%cS^KI5w z8T);<2c_PtYV5Q>7{%Ij>A2vUVkyU)+#J_-_bm7`pTlg&+y#%CS)^^<11!I>v~507 z2F(ZI_l-+cw#hqw^%vAB)NwqUFQ~J%Wx+R9meVC1ukLwmxWmlyb-rT6!>iH;Yuk!B z!>Y_3AL|^{ny;b}r4;dYHp|iT>Jg8pL!I{I)Jo;GvpK!iD@LUI1e8ryH(dL5o1(^l zy(ZpoYAb$lHud(Yt@y*+<a$nd#VwVH99GA#^#VE@XDxU(nZ^5T71!aFdqoc#Swl0z zox8=aJoNkn{(Cfu?(@6wL8fVHo#TZcSxuq;`~pfu56WDbm!Qc0@rA^11NL6?O%s}* z)QCM>u+^H|(nCKbk<Y4q^MvL%o;qh7*B^7@`(&S<$j27$+x4I}g5lxsf`C8ZQU7;o zitJC1N6u(Iab|hp)S`e|X6|Bv*~gvuUP*$+M+88#DDzq^J?5Tp;=7d&8gW>v^JGCa zD|hjP-`d=kFYX^bBqQRc^CThT{DWs_9AAC~&7v@arX~(7;gDMg?vB4`43;kq_$|Y2 z*)jjXAsLf>r=9pF@rpfLP-@9-=@EbakW9(D6h-!%?w}Eep7g@0MHA{}xr+@vHce=L z^cytncc!JkO6X9`6&+?Ffq+FLC<Vox~nz1p9a$R~8&7e4O)#=rK8V-~1yzyHJ` znH017zUgll?6&1Do=`2%ZTaH;`9m@yc88t#+Kz8DU|*`mZ7C6d;E+s6-BBmLMb|eO zuy3sgjp2aiAwJr0TYBVuv&lOrvt?VdBKu1d(6B>3d~oL5<zV^J3)a(GWuELmdq{?7 z+J*_ukCy73Ik@7m6W^)r8x7beDso${useN7=1AK9hSgJ=e{BPeJAek9-kk<bqimkg zT-MoYd1B5fC%#?JHyg0;>;?@vfCt4=;X@e@XRYkF(y%@2#CL4=9?&e)R2FW_j(0~6 z$z1uCsL1}YIdVqxF+-gv3YA>k#TTslTV<B$gQlglBThJOJAO#!OW5WK&3}SHlTz1? z{eL3FdB45+(KVej2Q%EoiURI+w_0}S%n~_xIF#Wb|FZ>ESFX6r9?{ZyvY<|nyLdvx zIVZkZph29Kvq6(+qTIz3KqD`^E=n55{fd^zIV1A~GzRn!l<YRJb6ZO2r6lrsxo?=z z{1KGwp5}-Z34kVuuG|6*<0*3&U)Yfj@!2{@zDch^gHEQwJG?ACK=Xhl&o)kIev_wj z#__#^SkVN1(4?O*Xn;&d>{-H=DXlV7)~6)$nf+rn{d&8e@!si#Y2fPq$LnI*;~y*c z_9y3^?>lTL^Ts04W7!hd<;JSI+r2M&E#K_7{IZJa_sLf#8O_b`oH^Ui`=rZqRU=ik zmpx0azD&uR-J+VQzEX8!^1l18tKR+n{dmsnsyWY}SD*X+_vfEVrSi<?6W;b)D?eZV zFLv_v()hTIlU$Wv{|~s@t`^837VRET+qU=5%ncq#IJ4e)Zn&b<bjw{(XLAkH+L`PB zSTk|_6DvJG!)lWC`~`K*39YX=rPirMyku-T<}bWvk>rf9i#u6Xzx4~KQ9LMR*)-`i z$2F_D3%+nQm4*pT+1r<}*P7$hefJFqgQ2BMQi`)r_F_wxspZNMPZ*k3xeMrQo3h~7 zN*3=q?g5{RnwCbfY|U4Xcox^R%U?+6JCozzo5q&8iQ-LdnN3sqlr#3UBut$Qogwd= zXI;9aOw;jWC`<Mow}2wugHg^+m(B~XG0s-@S^b8o>FYOTje3rQY~7q%^E@_Ov}Bq3 znx#9&JK$?r)6r`JA@y?-I-jdShN91&T|DDj&}Yu9_ud<PrY(54k>zx4(}I6DS+r~B zE_isAMf-2hg2MiUsrJGmvchY&y*wu2_i--AwF+Iwlg*shs`MRS3Ugdr=>uLSGJVe8 zj8`7-wVFQJtKN7Z*VI+7bmK*2(<$lvrcB*U%#K$@4kob+hSc*P6gn<^<&Vk1AaS89 z`z9sKoXzp-p6`Y`4GaDmvuNjRd3pLUD`=g_r-I#{f(=vSoG<)vXbQdO8}P-V>8hP; zz#g{^$F_5N-SgOREtX}eyjn!Mtm92y^T(mz9<e-)_uX(%sj2I|(v1vD$EW^6Iz<Pe zgZQiJvR`?;VQYF7+oUR|vf^iX)6$$`pVi-Dno_?htk^vvVQ0C}m3X%ePBRxg<Z7C_ z&-20$tESL8&wwv=O;`W91=KX_8q6`>HVe`OP@f#^9>p#&#coo<+@&n3{>l;WZJIva zSGcjjHQ=K-i}YRZfS>DGy7zbll%9^1vEQcc_|;rUr_jRjEYrcD<pNjirYFoitp;84 zunshrW$E~LD~tA@)&&ngvuGbpni2Mxm1Xsw#sx1HvaGIcSn$J>CA((cf+wmh*|FXM zyPP+ilWN-JFR<pjjN?z;v}6nEGxH%X6OXqqO_`#);!j<Zs~1bCz59k6M_I1!ci(VC zm<+d&8AvmaWHGPUmb>T^3rd-t;uiJ>Y*rljuG73m;gTrrLR5_@UGkItyCGy64Zc z3i{3K_|cv7+7EHZlfKUqqO#vQ1XRg4mGUWR%vO(hU(xhwzT%AsPEB3+Rd2jdYdW=G zE#iq_)2ja}5jwj>+k$JWn^eEegSgH7zEP=4DUajZ#~jlvyBFm3ChUAKaAp6*gw}XL zlbRU`OX~$p?iFPFWKYfI?0V<AA*yY`kBcnXH7yIC%w)-qbqM&y)l`}{13L9l{rt+r zd(A9Q-C3k>#Q3a!WX2->)-mAcS(a`Y*MP5wS-R&s2K@DA@iyVe`qz=L@+!;L_v#VP zGMjcu=QKam*>39iSLGmAJ~Tr4`{!5%f!14G<_P<#<alu^%j&-q7W|N9$^OFWW#_u# zS~ttm=SM8-(!-lJ{T5zRZsGWoUnr-5%kikzLAU9G;FT8J{UI44=I`Mv6K_mrx%%II z!;v?+hHGU^;*TDZS#n9|$%0x9(0YbLPJFx6HyW_-)ZZ|n`JXCiJ;QIECkZza6ZyRM zrz^5QRtF8J*Mr9Xua$wv{%5wz9QmG{$S3uFhRDIin;8zdKUdgU@oF(&l&V<K1iSWD z%NH?cocK0@%KW|25hon~mFt{2_`ndfoPnRa*x*)9b<9$kDZ-#Z^?0$OfLd$rVgXPk zc*XwQAsLl(X^QM;*KagnU%5K+gyZitv1baGXG1EN!=)E$OI|JJEBc?N$o|*&*+Z?f zj*0GKMFw};TP-#Af@Uf{gJx5bb)G0hB_{G^#iuH=e_Rb3{`ZeK;rK~T?Ad~^9Nd;3 z_NNcYlq|A-@a&0#b$_eni??T;_&(*QCi1ZzhtK~9{CNRd(QqzFk^SlV4F>EJwIfeB zZasTQ<_M^{^VNQn0efe9#0kgZXMH=oiYMIaYn9pZJt>jTh#fRRby4Sx<GK@0e7``= zomTzL6Ph22f|dy!*Ljjqqt0C%P?-U529(^r;x2n7?M=(-Da{pgS}il)oOI%w1e!Xz z7O3+?Vegz)%NKJFI`M4+Rq%V+xGhhBnmat8O8F5pXyPYRtSBIi$vmA;3AB!(v|Q|& z!nO&mGFOU0jfI<_CKPxr$l1%FwIExYT4lC`gBoF=r48TtK+`^;b`5A!OGN2&;nX67 zIfoC)OesrNWPkiOaz^thLC|c<6wqu6XerC{jRx$!rz1}|ZaR8MW=meWBKyl~(0Yc+ z@F|*2`aZQ+9P_`O+mploGd5yIv*WB*84>>EL_Rm~h!c)KQ$TGnJ<xQ@sY5bH!ZuE5 z{*?=IsV=u=24Cci<}06do-DA<X3RS$lOncZLi4*i&}^T8SkZ;}GlyhE{N}(Gog`F% zrtGG?0);6rcX7bZsjV_Dpk%l8c;tzLzy9Ah|NFV#p>%#9sFH`SsrYkeWrf!nlTBA6 zULDzKY4g~o=Vi>4nKRULW~OX2h@Ld_p<z_ojI}3x3{QWUG9xu*=8X0eMi+Ae(<aTF z*sfxH^xC9pk;Pwr$6lZP`)B(3ueW~x-o5Vkzq<c5kFvMlSJ?DUecr6Er|c*0x%T%T zs8j#xRDD!(x9l}{Mh(mEgtfMuUg@0IBE7(!_Ar4d`==x{OLJ)5^V|^Fzu?nkmhK+) z3%4?i<}h)zug=@1ylm?YpQcy4g>^QzEO=JP;;pN`B33ctnNZWN>%uza){cKeS+s5Z zE)?({^qPI<Sb@}eg$PyGfD*%lS;vJ$>{}ChKSL|mlikbvwyaausFglw#V;^LR$$Hc zSquIgvrdeX&T$VY3~%Zc6AZDNl`wHK=c;+m8zOoa{4!<nzT*|}xvpucobrmlOb3mm z)voi4Ll(vzQ+H*UHrqR(UhyE8yO2qY+lEV#EWP1EYl=i2FGh2Oy%rLwpOVn~oYU*p zxjm<@ZDU#bTwqNxgX2wQj%(JP3u<O2G<Sntmatr_uJ+Z57imqW?3KY~b-i*#PP65V zu&>vJbSA2-*eQQ7s9pGq-Nb~M#vHHWJT~09&hctHN7xTd$HVQMVZXE;A5Z5Dt30b$ zbCR*eyYJjQ5yptz_@+ngf@=a5G%BqQM$K2$sAWB9WiB{n@9c!V?Hs56w<a`C=g>O# z>``9s7F)-swH#uWQx?3c<`A>)UGQr;=d>L)Ob@;J6mG1Xb$^!Cq*nr0>Lw)2T+aDw zood9ptDg<l{@TnDcB6Yi;<SXN<-#U*Qxm583ySRRN!Xe%B(lFdp*LP|N=;Y7T0_T| zXUmTkT$^dx2<cmw^{-UktIG29zUzjAMNM7vRc^cxYC7fH<oZrw#V_xsqw^G2{PS;8 zHJjU?d9_CQV9;(s@REml3*Ko$+CoQJv}=+fBN8@ya$kA86ldvWXIcHHc|nFrYv$T- zY)y|ob6&IPSn$M<C3~(<z^|C5(kzzL^{Nr?!<s(XtKWEF*wj_8ek0@XU4uEN)~iRP zK$aC0oV<GG%ETLiELZIvHXOOhVruWd;mTE(t@(-(&+M9Z^$Y2kE3L@iogAgTr*FYS znWm|HDj78_2esa-YgDNn%$l#PaZe%QaW%Ao`DAafdsM%`l)AozxxC*FY&n(ZydiGV zf<OOQq-Fd9KGw2G&vgv=xtXOq#xvmSZkF!5&H;P<Hyqi_V*1~E!<B2*MYq1?G^L99 zKq|01wmGjn-t7f<-WPgZ_yB4TIbZmZ*A!ak9q>i6>FPh{fST2N4c3~LDQL`8i+CN- zbV*$R-ff?=;LmnWvll)J5uk-X-TP-+8CA_%@M%A%*v+DRpVhBsbBf)bz2H|g=QN9X z3(`6hR(|Hpig(*^rkADky;8)x>nvaAD@8nvXW=!!&we;k)A2$De^cl^BWR44yu32; zRy$|cdWDF`r&(0<6(U~qHC?i8DxIgG@muL&)$C=4Yd_^H-AFKR>S`CrnWDbpk7ARn z7E9=Umkl?*vRtkA*l=VOCuAw|Xa8XLplpsT_wPryOq=N#P;Pgyh+P=G-k4v=#AbTJ zQhgzlebW-AnmJx%=Lq|)?D+92OLoo{hQpdWWe-Mu=J3*2ig>;Py5d(hr91e3!3FEt zpswYCLoz(;KvVDX8w}VN{{|Jo{Gio@tf0#GYTXXDVu5bZoVFgQ5LN`uX-{so^w3RK zWPfWMIivZEmsrt-+zkfoJKuwrz3l}x5x#>8dohO}1+qNzXa8E!Jn7r<&{GF1q{W^s z*klY^`FP-v%#|WghfN5y?!toG(j)H3A(<`fQWV)=&IVN%phX$Kt;L=#_^!ikdBUCV zz}cD22e0WoS+GNxyVxM=fD_-T_X&x7O6q=H4<2fP7EP|G%Xzh!FG+mUgyvu2ku#cI zx?5#T>JJ@~St6IF$o@7xVn*|s(>hNU?7rH#Cx?BfY~%??`?H5+MDCq);%igiV8Fh# zAGF?r71Tn|2CcUc<}MES4O;wYf8>yiNgLctD)FFYpx35xg9Z`q&1<!M@#d@(-=_TJ zM82xypq?;jjN#x<ohJ(-(i8dIK!YZq`ax?Rn{}Qn_>~T-eW$g`T-kmCG?<Yj#%-DL z?&KkvC7X1fEZ92*)LFOEY-(Q(UNyNp3DlgKzG*`9KVDF{`iT`8ya5d>s7IbS_ye>Q zbJI<wn58l<pk~C@yOAdxYdE=!FIa#U6i(l4z`m9XH287okW9(CbVc@?w?V5jwZw`h z)XxDex0ng5C>QKF>A1tI*kIN{C%#jfV$T#d&1jVg=}%4MOIi+Eb5p5v#_>^-SkZ+& zpoJlKk2&$(;@@DvK9iB#^2NHeM7|<v`JUO6oBx&RoN-JH7b`M|IdVv5N?)2H`(q{U z;s8rfhddm-ICG2H*Fg5I*`S4_VW7pC_S}{pcE=9MY>7)yWPiyk{#fg*qxv}~zD=Mt zkoTO#iY9=TrAp`|E3zNm95JK$qL)}vz`m|l%Z@vTocLD7iak^KronBw!v6dr8I?B^ zzM6~M#DJHZ%)0H@$I@!)aW-+|JN@5(&i`jvCizOSf-!Yge$Smy(YE*R=d6~!;KjLA z>*lLzZn9lLCSmO*D;8#!Y6fa#ylf9O<4QYX&)8#hf=Sx>RhDVsLHik@VNwN)SU6`` zw1mE9FBClL62O`BNT`WtW0*^pT8U`+^K-?s%=2dDeEL#(zxtf#?$4>a_5Wu_{hW2H z{ON<=ao#JfQ`TxNeQJO5+5Mm;+|&LSu`cNhW8~Fe@G5q-8w1bl`^(%Ic#hAAs!Mn( zDsR7FeZ=R+dq)16N_(AI4Q4PK7$sy`n%!VMP;}4!<;|(*T-@0%Ok{9bvn^CP^P4fN zz{*$gA<7JGrtiX(8QPM4botG996w{wlsLKnPj2d`<nJr?M=<QRXz*b3(LK%vnx-^2 zlZkq1Q^wCA%jt0B*fEnyV)pS26IXrpHDyYO_?@1`a-g7R<<p03-y<a4407^5emM2y z_`8cyU;7z5su?;`4qVw}zJtBt>7LrQvJ|ix%j_3SH2HOvsUu`Aa~8{i4e#==a5OxW z=y|8i|5AI~m+$p_*ZMuu-+pEm_|A}!!myMr!Sa3H)jpmD%8VU1{<ND;Qrj2JFmYA+ zb}2@KHUHC1nG!767XSW{{Hx2ff8T=dFLEsweP;-m#W+Q(;praxWwMeE?o0w3Z;48I zZnoEH@Cg0C6QuFu7AZypndXbNX%YuFoQ#TpA9z2;;m>adfs+hgya$Tz-B=9Oxbd~g zB(eHc&7o`CuW&f5+&VuT6mSN+^m&ve%*sCn?sZ=s>2QbHL4{evl;L#cZ{=GP8B0_c z1ZV%7<aX)B`jQQ+KF^S1)Y$sY8x&MLZr>+vQF(p)(#rp$59StgcT_WIoQ2r9*U8$b zp^rr&>GS<d9<zQl^M!q#7pBax^jiH>@8(zuTZXn|gI)dW^#pmc=S8lqag+I7^YX1` zLl8U6$@~4F25h};Iw|e{qUO-A^(zG%g0kl%!S$a9xw^9KTJ;ruT_mkH*28>TZ8AxW z|7Aj`_FkWDybde3-d_SrZ3!ju;Ml#cwb%XiDW*qq3`&v?D;Xos-M)Vf8Z%$?O(wa; zUpcty+^bplm>pJ@-d_jNDStCaNn%^c$B$M0=f1KYv1M>EY6xQ9FsD5KI#lbQeA7v4 zVSAm}R#z<-Igr4pvGt!NG_XFt{ncfvAFX@7D!-o5M!Mlrk0Z$1-`_K!>H6Caw@Yts zg`Z3a-5bZy%(~!;)brJX42KOR?xwd1^32|o)ob(mYc$t`n+z^SY@oE1FLMQI?G0lo z&u(eIDF;_wo5m!e!?5(497^0C_WZPF`#X(>bY_8*65!PI*ZS=V1}mY44&VI?CYtQ3 zT+0(yx=kGH;&;bksd)Np?QLJ4mVR1O{!X_cojG8ZAvj@tFNEqn8@^ud%)!l>iy}d( zcpoS+{*Q#E;;X;9O!t3|+Ux#4fsyAKgO@QlsJ_p?2yt`7+m_%ja+{xvIV@RKAJEGn zacxInFM~wi!54PMJqb6S@VkAH``QjlRUMF=lP?3+dE>^3s=db_&132aS$p~_M?>P< z^(!IaBn}Fv-zQ&xm0PRM1<teJJePlah0b(HO1AS=&irx-lwntcbl!ar)#;vhH9Y6# z^yRN?t@eV_1k|<V{x?>9X8@HtIsas(JcYx>9G1Mgy8={p{r7;nwr=^pq_g+;JHEeY z9R$ifnQQ@B4AVaUJ0bm54jjT)%Q95X#(_$jf2E+3=CK_#h`@yvcj;dDyZ)17WnkGZ zc`~$gIaZdfqWez2!Q<+#P*C{PIY4aOXV8;y<Id*guWh5U@2&X`&*yc^p&FOjFPUgk z<<01_>fBs#v7`)5f)m}pibntXE?L!o?$_BWeukxxl)u}0i!$>I4+bT(|AoCvI<2`B zg1){BR%U4XDt;AGo;~(|rG4#8{^jZ~W}*deo*x&0C58I!P&>1(XQ-ULx4Jp>Yy4_Z zMwI~<$_Be2x%83PUiZC$pwNMqEsuquNvQYjWsfME70sb*-LHbmr2bV%QCS`9{QlxC zlrl*eRwnK9RL=a8D7)sB%}O^0ueH(E-v3s!A1DBoN{_u*4o~yDzv}yom<S|0ze_>w zJbOM%#n)zGbLif~Su6{#{8BgnD#yUX4l0tgK}FJ5-}e`HFKO7p-rxa^OFO8}tM4v( z%zFDWA+*-Bmtjg&^5flKAUeU`{Q0i<i`-X#F#$xJ?1+RGWXa#NRdnSp9$a;;@OJ8L zhAC0MeW0n}kU>*ovH05y)m!YskzD)xH_WH=u6sy*oof_vH8LDrEbK~$77Om%UTSYM z`6yR;%{m^Gw_%a;T@UKqv;PB?Gn3BF;0gO`CI-&i|MZ}?{;2%bWop0Gd*0W3XIVew zFnAfWEoIBlHD5cW@_!vv`_*}uJZ8n#&*KTZdOr$mwNy1UK^PzAX!DKx9ankn^>)z% zn;AP&c))c{MF7md=QCAw^Qz|agl*j>3by)NDl`?gCbArUwoHFQ`rfaPnJUZ}CPE6r z+x3?qCBuy`^(K?tq<5!oSXCUy$RpMe^g3=Sv;h9OWnYr_{T&|jzMhLgDnxhtXF>|m zoPR&Q^FMoV(`4gj2Bpya^`IiDz8P9S)_BEleAZ}N)qk%U)V_e#R`u}8=6cMU?=$vp zU{DJEyBL&lj)g-?!hL^sSN;G0)&5>8PY!70q!uzgGWWW5)TC$YrcIga;(bbmXRc4t znTHnEGRF(@+MKmdUV3TbIqkM*mgk$2Nvf%Nm#j1=TdkcuTQk#R*^;S#>3Pwf(^M=! z|Bbs|{QmRt_c7NW+t}}}d;k6U=ih%zgREr@lt2Bq|9Iuh^nYDXL_3$nZJXq(r2lvE zt<9j#r!)Nn$|VmLr8fN%7g|%m>Uj7ni}qc=3qKs2Lg%SySS5dJD?Idm%QjKRk17YH zehW;Q<G$fqA<NQmfi=YkS)!!(@7c)E^xpaA>E(T2qFA2htKN9P2;P1Ag~{=$F5i<M z6J<G9y>s1gBc|yUYm@3U;VU-t6IMdzt<!WJ@BZeT2HJ7@nMM1^Ch0crX);bbo;>_E zS&2dWt>=XbzJprc99{FgHr(3Dax`3MO`)yhNxp+o-vy_{`ENK^%#vzu-4T9swr4<H zc@ys@7Ey7*oDwd_r<*y&cIJR*wl@TauAgfq6zv@FSFTC*n&1@~VV$inWqekDD`PqR z#x0=S>|l|*@D=-+39aXaO!l-SELC%S9L*8-)70^zJ4e`WamSCoe8&o|Ra^$|=F_v> z`TEMlYwR3e&pEGIb}o1`ljU`+Pr$$1EYf#;0zQVbNb_=N{hypL@rB}yu&d@mI@`D% zzkV0i**Iarvv!VYR?Q2_dJ|@@hRq1vGbvU1?%ni@UwF+2{-!qRvq!gtRWUn0p350_ zQa~iuVZ$wU&aU}>8;*%{c*S{cxW>=nb>DNtIcJtscb3;T7fSg3ye^!x0qV4!KlZIu zUi_0~s=q?S6O*P@^VK8PI0pRv&EkE>G2nAKi?^+7K=m;jpX`}yIbW%9POH{;{9DPQ zE#rTofc2o)eC3Q9%Y$0?p(`mYt8QPJ7&U*v4`G(<Km7}yOtb8}e{14`FZWnppHbDQ z);U;pn)6gRr&)w+z{lMz(rZ;${E2RIl~Z2vE57Nd+5G;8A@$k^g<it?-w#$QuUyKq z)n7H@nN8C!ZO&=G`5gc99pu`tn6YDC!qWc&CimVL_+(GrE+BHZIbmxvN0+`@#A26# zPcltg^^`SgWe-|qLj&#HJ?qjX+xr&$+0SWq<4b|h>ZGQG#dkTh<UKZ=Fk}g}_u6nH zlI7}trwvC;SxoDFHiYyq_@>Nq+QvJed@`%VxuSfv75lXha<ThBhArBEu2f#yFJNLf zIbo{4u*lw730vg_MfT55=(QJ|Qqz{O_J!b#u$Q@<*KW2hc=M0twXTZB|HLNVa|$bd zs5bSkQ(AFHE#k>K=*Yn8`Z-oY)w34-I>v3dR&|@=il2T>OTQ_v*xQgWQ_1n}WzK28 zl^p+SbB6s;bUbX$8TO0W@v-1Rt@Rc{hc%_tBi_og9R02u@puC?*ghRusl4_whgZDI z2EXP7Z+cl?-*pW5_nk%B#x)?Y(kFZIT28I~a}y>;vV<11bn7aw_~+TAs;9EzXKB;Y zFySlvdJ|@{LuV7;*%_9qh+A@9UbSEKAXmO}#t!p?UhP6AcGD83`U{BcZAjR<UQi@o zaLvc!rb+w)YraZ2z7*%UcJoh$-|V}M342W(e>!uRMOZZ^oK$S_)~l;|b>f9h)2VpX zh$n1KtL&8{-qba{a&A(cr@rFntz?6>OSh@7*vo#<sF>rGo?67aWR|bz6=yU%&25$8 zS)UBrAPL$_0vg&r6|e4EP|T&U$CCZx^JR8d9N(IDgEpR?PXSGWBr39>)B#PKx3$VF z@lQ<Tvr6AMq4~{H&>XasSkZ+4oZQ6*FE&nSeiRSt-);u2LU?s_hh?$A&AwKdko%w+ zg*4FcOCYEd{sg|CV%F4^{G}6iwY17?S)Z23XS5wO5`Gsn5`JFi$%6kppq-Leg+Mz` zx2GoZd1;Cj8Qh!SYPmw@q!ZsF(2UO3^BX2K|2PWTOA-y5+c)MezObviRb~l0Xy40A zkVW8Wft%raM?+^d-!s#BqVQi&>{-GFd2UM$xr2vfdb&W4%@lj45R|OQel>rS0sBVK zB>rD<kW&-IiZ1-I<}RLaYemEC$<1YRS}jk!Ipf5)3$&+XCur-#e;2W53qGs_*#z#h zw~71Ku4ukw9&zH}4>z%A3ofN9vR`bEn9+Ree#8mKJAEK$90Sct6se0nTVM)uD`?7N zZmP}`h3K?IzADhz&mU3HIs{X0OO1Qy4$1V$rGR#vg4Uk&JT|)%^PxZ#G%6LceU=O- zSFwOMXt2l*G_EBF+Io8Ykj#>>4HKHbfd+w|6@kXJKvQ4QktZDgGlJY|!EGr4+R-s( zn}wYHvLb_fjjfg|-hftifW|AL8e3(KfQDUqKdXbc@;-<><yds}O1o9Y-2+a1m$E?v zTYq()DE!voF1}DbuT|zr^67%9&k{D+a$9PE27!7&OC3H5i9J&&(&DyUF%Pt|BMjt5 z(3YP?hn@IleFx2aebsrg;I9m5rNkSSf5&)1+iP|itGhQHl6g{=uE_pZhr2i+;)oMp z+j7vb)@IOHQIOcP1x32tmL2Or6JOs!QEw&oY=L2#BKt{S|0nzlo3E9DCX=MOi!aOs z4QIJ;Hei?j*L?rVba6&5IZFl5o|O6*b691M@2|7le0J7DkMQW_(-!}{;udAO<KoVu zC#!h3&U&#*INh`|&C<iOzii2r34u;-UN@IMP>>JGm~eQr-^q#gw=!0n-C8A_zO^E3 zTftJfw*^<ff8KNN!^?j=n#JdT|NZyT&({yts>{xtsk`^*#GCVMvuDmUc{6izdYa!a zebWQquCo*zD1T-qes^zR@dfD%mSVLG>+hS)kzH`OOO}!EY^E3Z^p*U6_(@9ACbAuo z|Bv{m9c75q`I~8`Th0bM2ncfYmC@5CK528=j++_(9^c$j<IiBV<`(S8A^v#yIXG*+ zdWmg*xk!Ce=GT7=B@woK3XtPG)b|x{n*uwY=Gt6g*{Wr8i|gn4zV>Fika#p6cAn6; zCiTsl4IPZ2BfG#SgT#L*G1Ik^Y~a(5=Y$$noo+kXm(hg>bb!wd@IhOPVsCEI0UcB_ z=WZtCsF%a3@Iy$pPIGVfSw8)r(d@8z4u|%u+}~25PI?PJT4k3u=#;H@>o;ZoeF{2d z>)ZOx&|_0f;pXsy&iyife|p(bbI>6`pZ-G6JGu7@Zp<;?b{}{1zh<+i-RE~`57p*} z+SD!$59jPyVOgWObx+H0Zutc|!zS+YZ^&UVKb|1W*%iIWdD&d+<2Sd|sW8Zf#r(^G z9?`Pb6n@eR_>ir==Tk2~I>}HHQF(SD#F&cr-%y>y|IcvtwEdh8?V-{Cra;aclD~&I z0O|F+7ZYBVR9MUoJI}h{@T;};(4&p~-yxm(rE8UPt8&%3WnZ~Lr?_OhZ-NHSThze$ z{#SRl*nd8U_NnFjCqS%vZwo)X2NG2mF6Km?`+Hnx!QoZ8j9=QAKxZ5MC<uq2JhS#L z=p2{(@n@D@eR+IS=3ZOaDL^vdv!5ntikC!uPbfLDrT9<$%`J7Wy>D)*uz{+{mj@?9 zkeV9{UQWoYzo#-=>^bX#!>8`TPb7+f+w^#u^RmUped(7s2{-Vq=7S~o!@_V+ZB1L| zd1l%3J+m6Z<@p@iueLKo4+%Q?M|ks67ua!U?^a%1n10Lh|C0ZILk*Ze{OV#TiTHS) z;fz&7BxBf~y*JXlJ0+mU)ip0;Hhdj#p$<K4%UB(H_|^Aw@B@W%?v$J;*;1BgrfV<X zz_;3O?sP~<%EwJmhMq1Lk?jgP+3IQb=FG24L1*v$D21lQldf>bmxhDR-kI%pcG=hK z%oh?}KmLLykl&l&2?Ts_ocWw-zTNNH792h$$_<U!y?Lmi6n$@k?`e6^!CzOGLr?H& zM;yYI9V-q>(#eTtYwKhh_*UyzCqt5JO;Ir1oV&9@IjmYN_42QDP$F7&6zb$}xo{_g zbC`Ta>g6O*>9XhDnH<P5N$=0WT@21){PXAfp0)=$XZHO`(9pRDKdmd`^}ZJqUViB; z-jo^2zTohy+tZ-AP@Nxf_*JcjRm!cKzqjQ??H6g_)84n$1ahR%y*d-r9Jb@cvex~z zViyvh)-qPyEnwKpw5H<yN>ul_PfNZ0Y0J}_TdFjmDN+6%`1m<cN{q;^FF8^2>y!27 z%+hC-H@DQ8K-J8b0UsO(R`dPmiwT+O=XP(-{M!dgJtYi~qX6&O&4NWbBt;+W%8A+n zDt`XKj^Qdt95YpTS@H74$hSFBaiD0J#}6$p+dqe$n<fN1EHG-hOS{kV)AwfkuI>lr z_{EGfN<qH*@ubJkj8(vp0d%b5-PVhWm(QIFy}4zd6@zS;4=mw-oAf;ub~+a1l(ynP zGu?HdQ?{0`@q-+W^?vSrxSK(xbH-oxO_@KBGJuX9d<2QnA0H0ELojMJ=v1p-`7_I| zhBIGC{5pvrdH|GqHoVY$y{?;YX4$qiXP155&U_*9=<aJ!HMgY^heUzSk;>nzGF$9B z=p3m~*lB^)vk^)_=SbcCH!&ybp9X_$*xKJ2&~#ei3{R(Pr58IdyS)2a`sFN<2ENti zG4mh^Z2p}|FkeM{zXpn}+oCtO{BvcnTC?NZDTuc!e)qw>1<qQx?dSP+Gc&%}@adR8 zG!a&Re*&uo!H2X}t(on6+8%TSS@il-kaOJl<-tWA=#;WGp`at<cCS0P>}ogYh{(QP zXs$VV7@liN%RvXUvD;7eeGLl0qu=f3LY$oc9{H5N6I<#lg3WZF#anZ~*szIffw>6y zFvaR>cqmJQf=5<+bLP&BVzaen@Iy2UL4niYvva}WsPk^^KFihZX8W#QI{);tujinN zu%<}GJ?C5e|Np;#@xO7ddH@>isFs+ODtWx{&t2clnTctS4A1C9O`DOLvaI67iA{;K zCZ;C6IyR@+=ef&snc3<#lXVZDQ8GSyMv4FArXC&X(;=IDwxlkZ8L{nz^30i<d*7GV z?)-kdEOvhF{PNxJpWm#1tvh40<CA*(tlK&NKj}~0qcri}|FtO-Pn_Pr@=uqiNCR(} z?}DulmvAU*gyi_iO5XJf_$k-qDyO*Om(IZ?<LCQ6P3QeT<<~YA?>9~X#r920Ke23m zF08Y8&VqNUET?0A1L{o=a+M33$Ox?YV&eF?w@c!jRy1TK*zx{%Hl<6p@jHHW=Daq; zJ)lVPV3cXorEtMD#oUfJtvRn%cP#ibnML}{jP}gXJChO?&*jic=Mb}OS@0^R>F6|} zko_GAo!Zc21J2G~-nZnM(3Lp%4QDzQtds4|)ZNbN_&1V8`;F&?4+>3F`xG-`)FPfT zH7(+8x|*k=v9B$mmz~pVoq9xe^G^xCmuESzc|zBx&$*lY$|K**@#kU=vk0evk2X!c z>l9Y}scix+i@2p8@rJYM)qmB9q|J{l>OQY!@qX(U@Y|s&wNGWm?imR?wH*JR<_!A* zTF1~XpjTP(`^v;i?>VIG{WhGc=jh7Y#c(<5tuD*a%PiS7Qx-fCWXYcE5b!IfsZ>u{ zquTahm2A_e{i@()5&zY0WK4c+IOkNpT13irSYKIwrj?NOoCQ^#37y8AS#>iLR=(!Q zy6?8(%wCpKdDVz_@ho4><2o|ePEg3$p?%OxUM-_W;-J=XVUbwR4Y#Iqbj5pbIMxqd z=~8g=<h?5suPL)Eov$2`p2m4uYq#t{@NNcygKU*eUB?A;w#)$^M6t7N!7F}FvE8#5 z{F=`(t)gu~T8UuW<|}3_Tg%lV((Xf@w!7U=_N1(LK)vKau6Tuv3ekgJxlPB)h1Ps> zZ(8IpxMtf!&KY4J*K%B|P<K4Jm-Cuc%Yu@L37`d{`f3sHy_!DRE8IwU3mGul`J;WM z@?t^9r{QxuGIfR2SN!5@I{Hm%#Xrs_)n@`%Y+4gma&u;xb4=UWx!|2t)6+WtfO^}5 zTv0s_P43J|Sh`)<<X&^a)H~qStpz79E?(Yu#ZOJ6&JKJCMJ4mWsB(cRbqxt~)j3YN z`5oGF?RL+CKesu|Zp>Zq=s2gD)uaWVJXyNuK#rf-%CYKyPeSK!SW4UPC+oS_BcS@S zs*L?+WyiZF2aDvDSJbl{<Wd(hv6-E)biIJdzIh2#=L?DK?N8XM==f2b<66btlM;R> zWjU_h>Rs>!bpDzhbiqd1#VZr<&18A{nngOsJ>a7{WOb}QOSg@Gz}Ky*KG_@Ja<1Cn zp3te|c=o)2&ejPFzIipJ>Zz`%);(BcueRd8a>T>)&~+{ki-X<0)?2b(&e|h;Q0u*# z#xDDVS@YF2_BAB*aygz1Wyy|p4)_(;RQgU$<F~=Vs`CO<>c6g(ILCHdAjC&7XN$h$ zQ-47nBhYzopyT6Sy$p6wnl2FXU+kdJYR;^GT?s209p5hJn07Nmb6IG)cGJ^)t^xJ= zO`>+57dCioxRlA#tFIREbT*4?zGB4N=`2U(l_DO`hq~=a&t-$PTOYA3Emw+2*KgXy zF1*IPeZilVEYdL^0UxDVr0=>+XfB%GYT2;{)UmJMV8Ct|-Nt&-@oz7vuMAqUP&c8~ z(gSpu)-6y+aptEb9P^r)IOaDuzxx?1UpnEp6=>hafkQGPbqAgJ+CZxXmhRs?p}Fu$ zNz78q6?+<6Wn3nM4&Pwbd7@x9yVWwIW?rj|%5>0)QlLeOzqrJnEx4Sd$bNJFW&`%U zzavjL{>uj~6FmwaE$CVwf9`ad!7b1U4EN6;l5ycnQDnc$#9b^9ea4CJ)$<Jo?474U zr(f;XIpg@MOswd_zW!Fr6E^3Z_;%@UFks&q!3sKhLl$(L#qWp{2R|@~JzMa_Qmn`T zv=k-e9CXLR;ZlLUpoJw__d#1B__&KN{NRNxT9^k~-RK+kK<lhydbL>5gj#*>;tQuY zO=$j;9yz1=pp#fpfK79&r9|v0C%#kn(-QfVwr`x!{AzvVjOH7Qb)GD+18pslUSIR{ zSyOv9czwiY-^t33iF`r3K?h*{108^606GBcz#*9@pn-{hT4K)@Tu4!5KO7x7qxq7p z&Xa^c2HeE~mD5^fT+-(qtZ(7Vx((W10$Qt+3_1hk3}_X|Pf*Vuv`jR{2)wkUDgC8l z^;ghwCZI*4e_}x+CI_7Oy8JgAurKaCcO>+bV`VmI8Hh2r<%)NQ56K+iOI2k53R(t| zB*|@=aV=s-^OaE08ewkk;t4w^x5|`&&M7Mb9a!?OXLCXEvjrQT!q=4^p1aKM)q*LB zitLX;J4sH(fOc%0IwW&NFG-R8qjuzs=3{3;r*BMawai!x+6E)YU3_8VX3*-IyG^Z@ zFU}?@vj4ON1*oIWlLZw#+{Ff3n<q4X0u9nEF$8<)Q2XlzRgXdYO0I#{t2FDJaZIx1 zw#>*Y;9GY|W{Fw4BKunv?&1kIn_Fc{(n0%R7Dt?Ltmot|4zTENmD%%2{?D?yD$bAZ z+Ks@K{15Q{&OdinZpleJtrFdK{KOf9%_npWgFjB0k-8-+Io0hMW3FVX*_NdhGn|+A zSuS5uoRqdG@{u99F(7Af+9P_C(c&{Hm0Cxi?_6j8{ojpsueW~x{xkOb-@otn^M=pc z=J@3Q{;bX0t6uhd#7#W$K~y_Z<<q73sF%AuMH-Hk3#_@MuJMcIV3xj+$o$aSpX%)L zTaSGc&dB|8s-IUuW6GV}S01kwnl63jycX#Yu+3}3J+7ut*M)L6v@LkV%p$#3dBq=_ zgG#gK^<{?MotCiC#PKUL$Fv#l0iP?Hmino#*gGd-CTuYJUD>j}C-0P3{I@vBbzaD1 zP9@v2t(UH`^p>keq}n$vD&`E+78Hqh*>KFLX;QfGno?`Wm(`rtBE193Y!6n=p40ho zioZb4hSSihV#oU{6EDg%ojNX{Q^e(X^)si~?yd#D*0Oki;mERc+i=C0Wo!DJ)=b^a zs*ZQ(a!$KFdqLg2gx19zQhF*8nVOD|ud=MZV*@RXzsy^yywzS%q<&UH?~5d#)lYJo zw%&6MsM2pL<x|$ERykOuE;z-$J)t?2Mf5g{^j+V8pZzS|Hm(7si#Hq2Il4_@#Xr9$ z)o*j4EBfv2N>xHtR@5pTH1g(n73a3$PCv)1`>q=f$#Y2cafDS}74lgP-s9Q4;DsE^ z>e`+KKLlB_YvwL^lGL<Sie+iOLd5fP(CT$FzrXBDThD+vQ=jbSvm9Fc<|Zt@%c=F8 zL(Fo{f>+l$#H=ST_;r-UJH|iYb2^K+txLdf&ZbnQ=Lr_2>5y}hb_=fga1k;{{;A;K zm5G<aIi<om!*+Ho$mmYkI$ubnenLX;exWHfjR|Xg&p-X~@-4?TPvI%H6B71TLXJsV z$s($+a^r<m(<yt!h$sJ`E?a$grSit-9IN){X)fD(#EixCzW0VJ|5&y@7t$$~alGrw za{4Ogt9aiHhx$3C?s;#x6we{GUOnRJ*5`>9uG^I&-rBMp-OQ3*Qw3Q^I>)B$mB(w5 zrc2@iYl=l3Z{Fs(_F8btf8&E}=LJG)&i<Tn%`I9eq|W@H(r<x~zaj^d+=WBd3+NQe zIiA(#oVKfV!8co$(>9<XcP@pPqVF$PD(?)BZ^*nl-*<yk*Mf&}EZVh`7JQt@vbv^w z!Hb<Nt79Dl{-`yX)_DdLIc&HV%(B!xuI=HP&8&_$=W<-TJ$b<&bq+HP=ziet`*W;} zqMQPL7B#u%sjT?L-E>q=5wb*fO>&g!V;1kX9s$+-O{sjUE2>!z7Ts4^v42KFt2d|A zJ%<fJ(-(X^%(D6qXuT|`dR?J=d`nohvg1ctj%z;@98WrPT(g?9pk!vkTw~5taZVfV zePwxC@3-NgGmGedzYT#;oOqUhlI9Te6at^X#x4v#flb{9G9fd2xxcLET9p-dl_H+; zHSOXT()n)Q^h;l0&4*v2GW9!TA?L6u9MocMTExu}X5G8s2UnBnKL3Cs-h)x!g{Q>1 zZ#Y*B-Pe+yES?zkI@dYiUoDID8~=chn^~k~-2;AFvvljIulQx#bkvIFYQ6V{Baa~q zWY0{M@O!(KW7^HB3-YEV>^v`U#eP~sYra3kW$ofVvYNHi7ktcRS^cMN!HcLS%N4zm zCmd@gcW>k`zEGjfZRzpu&>@*EpnZQYEkP3j8Dd2fY-hGwzL<O5iSLuI*t3KJZf;AB zf3sRGB|zs!o%)}c$fsniSNZc<lld}+L*~yG{F-)h;sOKqjdLSUIQ~`EIpe5w-idEk zd|D!35I1PgMvT}qh0U{CWlHjs68VbuCn>W31s#I)!<^evBJa)vdnfj#=9?!pfAj+_ z#XJmJiYWnFiYWxz+X-5VnFU#j`9bHY!p<zv#uCsH%P*k0kLQA7MHBvta~EH@{UIo3 zsmzo6M-IvGl%*)L9|ct#R-hez`%fH_aq$DS6*hzF;Z)E$UCy9=sN$e=x<LC-dm~Ob z-qt&Oc4qULXCSM<hkx#}2JKpS=L|YjjDx#4;0F`8rNlH)EgT8jUjV8~ell`fcHBF8 zNajl2aVNe<{+kTgdwKPahn{jQG8TKbU@B;F>s`<sz(LR)0O*L)awcxe6Y~!qlHmyh ztz(U1czC!pU{Btw#e8n%8zwXt&2P2rusP_&w+ggVabx7_ikp0|Zf`bV?*yH?`B_2i znS$y3R+%m0pv9P4pv9O8iF`%ZLCZy@K+_cr+?EpSKyy{+K~1kWTzBSJuE?>5)tCyi z=KWgHe2Wp(0s|e5Ds$e6Z_@Hj2JCB}>O4`X<>fBE@N&b1<~OyVsj5QIs?lk!mJ(-T zdRI?@Ztn~SZSU-Fwd^>Ps>ps-2-NgC;>7prbi|D2BUeEo2VMefl0W~~ismbOLF-$m zwOXE7ldi~q*LU5K&{@qfO|3Ft$`cd$xPEV%(ELzF?Ad}69&SsC`DYHvgq%xPWWNa7 zopWog&J%@u$%%YY{TnAVKQY!h<CyIxY_87!QWq4O=Rh`r<7iVgXm76mc_+S4?i&r* zn_EHag{QPyN~{I#KnATVp8mi2{+0ay%&XOInW5D5RvXV~Y@Vol_{<UGG_kcybvB>y z`8Z)>WYTKaX`N3QO@&YQL}h2BO;L|Zt2kz<{<*_XHajzA+M1<{QXegJy(V;8N8R>( z>E507pp!Ij{r(-ZAGGTu=E=TiZWE{1Wo_Pe_d~eK`sx3E?4PP5bn`?1Dm$xArVTf$ znqFNz9skcKLM2V`%AL6hD{DEk<o!0BF=Q$ASC4on)AVb)(3%VL9I}t4OcQ9j9|Arx zvtPiZZd$@r$?$|I*X?Q%x#3NZiaD=Y^ejl3m;jn<)mMs0H*~z23OO=U;2@iFQ<rpn z=ffP6$qPQ6W9fcl46R_lPFShD@h8hwf5nI-MaQ#j2ZOvhv(~FbfEG2BvYdXY<+J)< z7>o8Bj|&BS2fZwtj(z3`vz)o$1yj>iJvEJeEeXB5q5ZIvrorw}=LM$p#q?&b-K^?( zQ<me}Y~O%?4o$p$YAY&~4!VUloe~$)xumpWS5v}9cFtAyt{aYYvzW#g^Dg(z^$)Q2 z-Ef8xTI7}YgLWBmIsV<u8TP}_@$hQSuwBgyKu3x5aCYr;*l=t0`lDOA^4&Kav*z@A z=fB~aI;WSs|AupsEUB+qUdMU{{3~nXeFxiQ_}`{9<%^Bu)9|eZYhAagf;+F9SgzVT zZa7lNV!GdN!<C;bTlLi=o`p5-vTb_$&n4i$Ws_)JrEJ^f0_moy_xvtY*f)jR*+IkX z%Z-)FTe%!Re&)FL!_x8OY|d-D`WJjjW_i6vU1Rr6A)oBMkt|PxS)|{12YgIsk(PB1 z_?Zpqy{5Bt>#DE#=iQ{r2JMDDyT80|iP>Jc%d7U99yHo5cxA5lhCA+@uf#dSerP!! zc4g82J7vK~qo&Y0$AB-1kiDIgmr9(IDr}mxTVTyre#e*2oY!XBL!I{R%1Y(Ett?OL zoi-c{YU+w@>b)iu@=yDqQW^8I(63)vy5G74{N2mqE#njL*_g$9Drc6y0(iHOH^;Qy z?F;@%HHrQMZCy}o@s@L&mKdeIw{O8mK9<#c+84ZVWLaH13$nFy_JSv_EZJK*yzaYh zIM>XQI$tf~y=&8_^?N5?=G&&e;zwRnFQ3AS7=?%@EwDDp{aIE*yBijOHw}3PeBRFD zZR;8Eo3$x5Dc5K9yE2y3d%G9>`^TbP)3xB?M;7hc$qNeSCQMz;(N)F~2HMl9&T;L> zbw~@-a_7+^pX{}{oL=u;H~39k@Ma>*YgzYzf6^?{bNmB7ay9klsjm2w-Q+5#zQRf^ zBIomLgE_DA)gqGon`X%?M7-i?x^-Mgr}!CkG~({(WqnU(v3$)}i+C8$!Yi*F@p3*( zula7t%TZ5#SzNy>M7;gWa`ZDxc1`1gCz34LbG-w888wwo6P~hndcs~t$Dj2AIU8Q; z>hp{1Sb`4BH23Rb$k`(8`1HG=PLYM<)$_tSWmb+~r49;B7rL@%VghJyr@UIkv&g1h zv+tZ*eP(atf`57}+9@1Ta$XxQJ?D^`@3rC7cknt97VFTGk5?w%;$;CJnaSQXNx5n3 z8#ABPUwoQM%ak;Bn;)!V7oPH8=O9}uWJ{}1)2ZviI-4dfc=etWyls5(ZNoXLu#Ld% zt{i8dTrrnf0-9s~=BRVVF-?x!^2NL(hh(1YJM6^wOMIgNd+Y0n69+%&i9K6zC0&vI z@p91qKJezw(|qr*Ilj#W)fKZrz4ew>8I}IDM7}8X4HKG6rh+zg8bB(R@>dGgZ$W)a z(0L0#?}N%=b<h@jdz~`}HOko61@WB%ZKYHK?MnP>0NUHx)hcsk{~6fcPWg?{y`8%c zACgG{ofv%=w8!+$e$dGU-PVQ0&lY@`1|J1ztM;wE;`q`TR0peY7YAr=oY4Ft5;U^V z4%%L#3OWy<w^c?3bo^&j|K<tJUqD+x(?5&u@UlFi209F49%x;IBdA@&!fmNh*V$?* zG54es-zj_0_LAxT@a-k{jJ^i4Z<OP<T=9QmtEGp{aVNf6>vwR6XYvKz2CW&81BIx) zSkZ;Ep#G&ks1gPpL;4W3UA97!yEtH1XRA!l`_x1}Ezm}j7gb`<7KrVYHdkl=2y$=o zcetM>{r0Q9;`q7%v|Y9hw7C<sAp$g>vDZ8DgyTNYQ4pYh`y*x0=FUj5qJVjY{Oc~s zxNJ*PWWO2<YShGt6$RM$v|4(IfCfNJ#GWZ^?EtkCHXE?dOodmMyC7#}3UFJ#0PRmX zbW9t(yAyO)W*KPj#$HhDE9yK^umUX{nS02I?-6(8jAplH&`1raoiG(Nt}w0D@&#y^ zD6$k3HQPnGEl<2ZaY%;enGfv9jDRz~wO0;i$Z=b0fGTzuG0>TwpoJ$noZOZxKr38S zK;8Cd#yV#lwKj2uYqGxuRqSW@be=4*p51DBLKd`B7BtS(Dja#@;D%F&WTxnW4)X*p zs5sRH3ODddm5c1l?OrYTCc<rb<HP^o%j*BJC)cR>RWPPb$%P%J>At%&L8(jd#)&4z zXY7p0s*<I>O`U6`?1VTHA`d0G#{Y3zF(uG#1?%CYwNpH_uB^;+c%>k5%00EiyLH)B zQKu`vn6J2AXDLugU^-&(VA-zS=VRLM6#mcoHtY4i`Ne)}w{O<uO`TTv?E0GMe^bwG zo?_*E?aP#D_FKN4^Iz}5kZ%)tV5axCPwI>YM#tKg`iEOFe7zK}J@FYMbcw02%;q%9 zSrulwF%b+CSH&%NW8lfo1uZ>JHrREZUs=NJ_lGB^WEj@Z*K9b*lmK01T6LOrQw(@7 zNY1ZXDbM71y#|k~cNc(GW&aI<tdX|6bu~Qa<3{eUa(AbLR)WLUdRAYba*r9ji(+Sg zhKg_PVg{wq`pr^|200%ZpsPfSrteF7>|g8l{-S*VXgw};J@-MwZ0LIK-Z{%AniSVE zx~w|J4_ZWi))u<3^_)Rd;@fonYt<%vzvjGo1lw3Jd-Yc6^6HIl%9#(JF?EELhJzM# z|C$T6vQFaQhMUu;yL)jy_?kHVg)M^wWMOu+JZ!Vbm*=LFj^$~C{0UkL`}!<w3Gn=D z;W;1o$6u)~>Hj<F9<u|~wf8b%tA~Hja=UcJmQ`Touj(rt4T;V5s{|Q9dkWO&Uk}gu z^T7M7+~2FLdp`b8=Fm6`-WpJ|!40~GS6SM0Qd(N+hE*S{O_>6+&W2xwtOlQ($a45r zd;GfZ8FOMlAp~91y&1ND;z+NQC-?rV2Uq>d1nFD5$8X;)$PN{-M<1`dP<>^&IMOQV zU9kNkmt}jGY*a7Zu<G|7&_<Jcx39gnWsu-YD3P~a;$o2V@vrV)_wRo|3-qCzHmapz zVOzf3?a~!vtB9-hp}h=KZauggf4!Q)K<2;;yULW#gd0CJ!(ZC|DrGF>XPC&d;0lAz z^Jci#PYc{Go$<DcxLOe$4caMU+8++t7*pOR$df(4aO=Ir8}}hC2Y*%uOTBNddPG?) zXb%0Vc>RSf!<4Akplv{)HRwMRSq`VE?_c_T#d=+ZcZ>>?AbWVe8pCw{cT~=Nv!K@~ z;;QW?1~zVom0#^(n@ns&<2N4lUN<>?@9w1x^LQOHkAdC0mmTKS@8P{mRvzA`+Z_7$ zF?YfSkZ*OM%etT3{MFSPU%A)j_20MfW!(vu@^4@X=>)fwr}1&W(+Q!En^_Ga7`)b2 zPK2bwy~aHW5x;l6Ke74Vj@2N0Rg%FQ8J@wGgZu7THgU^_s>wWISC>OplV5|bkAC<0 zFh|?jds`ysecct#aGp(J5@e&u>wH)$to!^u474n}tccNN)w`RZ#ntyhq1oo*wtY$6 z_O}*&fALPc;h+pW33J0VSO4~{>R)-8TOsJHevmRl8}Bk`LY!>=LffqF`}ePMfB%BE z<4j2d@Ar`3vkbZiXyW3$Yt?7wfc9P#t^}<G-wE1D(Rc90JxMo%HGeOJ?R8IHh#a%4 z<WCeqc3E`_n@kdW1<I?@puD;(7Fw{__axkSvp)Z7^%Z^Oh5l8?&Gxc`_dH#ezvLkm z4YINtwD5e*_F$;as`dMly6fM(u-&yDl=xx$y2`JVL3I`jOL+!g2W>IQ4+VKs4!VcJ z|J}9loImgFuT_5uKYK!tZ-EJTgVxUnEYQ@{J7@7klg&#RltLe?gM#+iV`$KVOQia{ z%f4sGRPDY8-`Q0hV-4A#C7f+KNo<?A!;)3+K{jrk2MS(z(L3Mzi`>_X)?eUTq@w3v zfGyDvH=T6soffD(S_>+7Z9)6_jwh7J*ZRe8JUY?(tK3~-?uy6%5j#cR%Rn7_wl-Kf zbJg<Z(7(q)J3e^hV7}b9X#I^J*6*)Xe>u*L*i2>@u^JYczEYmXze_f(`lt>nR?dDY z{+Y#o0F>Ln-u!-N@%N0HO~|pC3QIvdT$M8u_w$8aJ--sPIYo9)@O#Ds1q_RS|1oGv z-28s8|NFrC(MUzv>nx~aeeW-vXtHfVbLic0(3ZKceEZ{}I$sE?HYIk;-&^|qMcp<e zOYf;cwVu7Ze4@$MMSNjjFYBk=24zwUXeLcr>|&7fC)jqc`~OWyWf}W+=uWVlCxX38 zW?lysyw}>l$bs_cB;-80C;pYK)O8W00`$&8Xc%>>n@kc5J9{c2wAKZ@bs~H2BFNT> z`SNZCIo}@L{j}!#eb8nu*ha9@{m>me%l@yKXj1lKeaePaw>26LG6iJqHHK_|c(*wH zmG-uZpNl`ODbEM#g>5u>F9`GNUuWgaS1)XhBCgu61Q%z#YOp*i{zBVqU*dY3*MDV^ zOC%eZlPA_^tDK#)!=G)nl)N_B-n05EAa#`WVUD(~=KtO1eLcnu(yJud4BBpI{(lkF zyPUJ5XEvu_K2*Wzvg#LTLruhMFK8fxWA?V(<?1W_Vh0lVz$Ng4xU49>&tdVP)UW#T zfBir97hJthK%*ki9UYZ>AN#yhJLxgYZ_|>?cl13cU$N4hobt|U@+G00o|&3Ge#@7p zEcaXPy6H*UrIVAkTz;9N()(DZSmw-M8FuH)iEmuEU>iCtpI7~vH~)R<-uv^<&)@%} z+kCBf<x78?t$$DdcllKH^8fm%lS&h(*Jt#e&977CasbWEdI?Xln~*Tqk|ot&Eh68} z@#kj_vk0Gnk5)~+VZtGDLOP$A9Iu|8mTb{|R%OLL^@Bp2)i2z7aFvx+KyO9mmn##m z#5CRd%rR}H@Rhwy2|KenU#(Y)co^8!_L?K?))O(G)rCz7Q}1$irE!K?PXc#r=eUCJ z9GNaWCC+VwUp^D$+O9XZRx0m(&T%S#3)AIW3D!+ryM=N}7#yD-<`lD>xFD-FVPh-H zRej}%Bu&S&Q(3&%Dz5mebI?e7-I0P<dEOghy1@Nf88gVDb2&Nt(j_HUj-UgRVw?g> zI1grR7Z8ybSo4v`@ucMTq^Rs%=YT4)gI2!<r`S$O*sJ9DGhZO5K+o}Lyg*I~ujA9% zoMM#;P?x>3U*31*+WW+)tB+Z{-}nT4u4VC-bqe@x(Uj_^x}uuzV3EE03ebv=*rvAc zLTkS8Hyzu>dpPnbFN<rrQpDT6EJyj(A|4wHbAUIjq*T80c)gotslH0YbFHRL=0a=A z%^iR47tRrgY|RX<u|4Q!EfiA6e^7~CFyybv!KCE^A^-Uf3W*C`$r02kWp;eKn`2sK z5!7k#x`W+|*6*JC_<OlR#KXHRy!<K=D;+NUNNEb)=Ns^)rs-;(Z@{0bCewEc8b2)# zM(GPo>05iO;Mz>jfHM82uW7<l{)-%BD~1j(9nJTZmAuNS^}j1&BA?^c+ni$6Jqv!- zb4<JOh0ka8vu}{QvAn@|WBDsZyqnGPRa|h*2k)k~(;VPS=%SiJk3q+l+|Bn1Uygcv zmgQ)<GWf=oeuaqFE=`xxh1P7Iupno0!rrCe9Y6`Zjz{Z-bGAH;mGFCNE~vAK+wm&D zfX+1a75`Y9RL?;#?J}=7C{-!dbbPDIa(a!z3Tp-Ml8^K+hHFLdd0Z$EgADX*9Mqbx zu2Cg*Fw3;*k+|@hkJU|+PIF$XYFO~)GRx~V_20D*vqJ7+i}nXyjb-fkljk5?yFkbv z8OYUGb_bQj1w;PI9!xS94B6kG&?)HXySqJn=B=&;-~3okzwr+Ep3wBv&O2bg&xS*w z!2`>dgym9k7NtwRL^mBX7g|%q?|5-JM_BaxIG@!&rm<wJaC*IS-f+#4Wof@^#B-6R zP3gjG${8Jh-WSLzV0JuechJpWDCD2~L8a(B$EV9U?0E9(wwfyg_$Ul_&S@5H3!Y8q zoVH5nirxH#ncAGM;`}$<nalE3UOnRBeiq*3?~n7=ehF(jRxP;ZlVH=LVvexiLXIDQ zvSj~Bfu5W)33T3!70c3a;WeKnAh)i3Vf0!3Cz`|TM)QJ4?VM&-T?>jj6DC%&gx33P zxFO1N^}ox8BU(+f`UP~h_AU5!j6ccZv<i5bq{aK)JF`-i?}|;o+y&NraBXT!7h3a0 zx#^g1Q|LdBfGzGDZXIShI$t^B@m6M^)lbS;vfnxd{9<S-{lsE<V%~v6GGERmD6;>Q z2OS0It?pV7DCSTR$k$ar<JXGji(H_4Jvu;x@TZ;lR$Xi_;C-gBO_$qp#s2PAOOH38 zX^r_QiF`qzV;{a{f(8IUM<T?XIVAJsmd=v}`{%S;YS^4`;%fuVtS{xg_Tbr*ggvI9 z3H#@u>2b)(m36`Lr2)S|M=pwk=EAQ>o^bpWBlc`TsUYa^hQo(sN<f#J-TWOnqxoJ( ztIU)5&j#x*$?$ATQe;0`4mz@<Hu8kyPtg5hPb|cW0{%*Y##Gu{Wv)nrwqd*ib&tW@ zD`wTtg^k1i72z(Pa4`ygVO2h8*FbH=jAp04Rv8}9!4F=bv#}n7W=Kw*2VJNJT6pkH zT&zf7KWO;p4`@h5xgT_ZMK-rs(S&;wL8oBYLI!}^Un~6HxU%2sh3sJ`zEAOqiF|CK zpu@d1xr+^UO=^{y^8d^s8JBlSitJZG13Ndmb)GEP_jB={9QIDxh!c*+XAj9N0j=eD z3z~m=mLgVkVea`uGEdGWE3*FujT$`M1@}_hYEX~g1T+@#95h&w*8foJse;wyR?8J{ zPdf2E0=fU#MbO=E(_1Yw&Vm*fSaBC$*xA%7ld?WFk*{hs==`xj(59BYRv8g<$w$x5 z9Mk}vg0XwE0sF)^@JM<!F<8D-z`C<l=F0h`L_VSJh!c*Vi$LQlJ*_fZszEWY%We6> z?)V{@CuUVL;hOA!-$u-6KC}*WPYMHfae&pFR+%3EghW0qQPA>-6QJb}$%^bBLHmG` zpMvZG4`G}%1s(ZWHLX=<iFplEcqX3}XgNnt7w8Z&(6mN7XgCdgrArCu#L9+NnJN09 z`8V%~6OLcS#GWabB`C6g1)X(rq({B5xah*2Hqap$Ns8?2|1{md691ol#@>R|3dYnM zwLe~el{x<LedS*3t$8VZd15DvWY)?^dcLl6za(Qh?TE_OJl{pCMw+^Tt7UX2X&#UC za$DtTH0hbeCNG~|kwTL*j=#LJE52O)YR&%ZU+?_>etze=<CS&$uD}2Lujb#cQn9u3 zg!g<1zF+iO{68lbSJS!wYjYewJgSfS){uE6uAGZYQRj-bZ?}L>y^v#bF-O>*84E6& zvS{CTx$s1;DO6rf!|Z$G^kbcM{Z&m1jyN@$epk`hYJc#SyWkYdi3xX?vOLvOiuj+^ z#AVyW`+lC&jwc+4B15V&o}GxuHdw1QTTtgl--283Si0Z42R!CzQq^YJdQL5(%F=P} zYL01p8yDP*WjVcGF+;$n<KdbeoQ{igIl}HuU2syUDU^S<(~gu@0bR?oLuV%bn#rO% zO>oUkHI1#465d*Ko?4?8QLgK_vy#8?&p)OnE^FbO9r}(-l@1;|E*xUnoN%y}<?1?x zh#FSM-rJnhVoX~LP71ns=h<2ptH{bHN0mMk*4ZuWxc4Z_X?dRu4=kEQ_d8u!;ke;b zKj*9%{|&F=IlAupZ}@eYMb)fM;&5bfc2khG(3-i*8pQ$!cOCarjM?PWF>Q`@?lX`7 zHBDUhN;f`OHF?#m-S}bGq$S+MDs9`88CtD-@R7B^l?w5Llh}o>{8Bl1X}Qo9%l?F$ zVvc*WIj8*>c5JTZ468}vdN@hBW13CW|3IJYr`H8cJcZZ%@@q<BZMtgj81P7>$#lP8 zz^kC9t@Vxp&%~Na+gYB<DM!@rJ#H|EYrWcyg6bx(_X;<DFf?h&t49=k5;>%*-I8%~ z>#s8tf2gvU*7<FCa+YQ5KfeuMlvzrj+4W`W?q+q|+sbk}om1+K|At4$Ii%)#Z1{AY zV^)mIhF9k~y6$>x_;sJdtB3R27WFtOy_h1Fi<5$9`*#bh*(>Wf)0X4f-Tno4CbGN^ z=g_K|nsE3j%hWor4Ig<~LjQSfc;MKSRXp!W=rMEE6%&;rip-m$-YZA^DrmA&7tpD` z26kJ)@>jaEEhqiwbZnl=qRq!4wN@?SXJwPxXEmAqzu20Rb_=Ze7uO`zF0|&SWK)o7 z(^h>Ijbhb<yR<n@-F4jXPpgURykL$*eV4vvOUB8i*ZsQ%a`p&2PW>*RW6`qU)^biU z`?(8_ZReb}XU>AK=?O1ybG*9azu}EB%U83yS>ZG5WgVMkIm2qq9Tzuqgy{*JSoS7d z&G%KTI;q%V9r|X|Oy#ff!c%rkO*mUGJf*5D;cf4{gIi9ia9+FHxZsW*%WHX$fQP{> z((}9mUe0Eb-p;Y=PgjED`~|o6bBNVXT5yc*e3FHC9xS!(O?~E3mEUB=+LYR_xT2iv z;HUcv83mdLyY{POlyDzB^<O39lf=PQ8=o7jO^Oy;^DnJQs9JE%PsgSpci}a)MvgO! zIj`+a;d(ep)M?9;nDl2J|I?bd{wv)0AlT&fdfm}2X7`#FoSMxcrlYjt8)MT^Zx+*i z4jZ0WvTWVwzTt~0OKF};#5cL7s^q4p*DK`?XKt`O*p)vAltUM(_J5mU8B}NQI9Zu9 z?5~aE#-%K)%{jedd^bFM&*^p7cf+@4mQ;~FAIvu>aMYK+&hrY$i*EW_uDoJ``i&0- zO<wbrZ~V|{(z>r2QE(L;s~^lm-<BJ@KPnfzQqhobGJ$Vd=<BI0-ucb}>EcbP(*>?r zPf7TBUdUvH;2Fn{);doTN<b$c|I_8RoN?#iA(@c>r=9qcK!eD?w2!D-d*~!2^39r= zDR^&RtH9lT%~$pr%a>lTYiX59=}S-K+qHc2gyuV-MQ8tu#EJrb@NyR$?CEWlnc}8% z=HL%Wv7&&=ouCsZ4d%DXTmkJpJR-h%Lh~{A$QjK~3U!_=_?jyAOyO>VBKus>{P>%% zmz8REa4A;p;H%nxuI7s4KYN`g2_HnbivwzITJ7+%lu(VF(fsLl#EF9`@?y^x{1Ox^ z60n}uYPq7mvsFgrTzVqkEc+Bi_LZ+AW;B=0Z<R@Tmz>DA3$z07PFpc(B!0grx249O zsjZe0?`(AswP!23@LzX)dDvLK)WEK-RVHMW&Kbu7&~(P%hE~gt_|r~&QuQf`e3QO! zn$Ua=)S9UJTUt1^=)%oOt(GU2MV@fX*B5)H@Ly4^$iQM&tEEQW#8#P}bE%1Zr|zdK zvQGxx!uj{`77qD!P91XVnr{?5Tg>+gG|_RS>NO}>K8NZ&QP>K)SS#*`6Q2?L1_SoH zLfn=o<{mjD^W}V^B719h<c#KrraDg+lz@)-+SlGH6C#(E$k(*f@Xj2WD?&PF9BV+Q zkG(m0NJi!Re6fdzw+bw3f4!je${BarCH^Uj>~let={F^@q6@ocwOXF|9&zH}gFLZk z3w{W2TS{cs#w@ke$Uo-9r*?aj0sB?ZVOcr$V$T-Tv2a^@ghb3}es&Y&LeK%5@kgEb zjLbnU+`Y{8M}er*mjc-**Ur>jakRg|5U$DI>Kiem`JtK4lLcF}xr+_r4mt5@fokT1 zoH|byl&NtS3&bCD;*-i1d#12+Qmf3CcPWW{mq5prrt5MSPnf%1@%=T&d`9l#3HN8V z%J6(kP2}r3nki@(!wpIfZpY8pTygx#BUWUvv!T^;MchFrz9j948O^`m>O4`{*wt#8 zVRzbzFX&@$!PI99Y(Y_9mXyf13v{ygy9BXk50387I`{7nzuvPBwhG43S%oj=l*%5j zud|E3oV6&d>-N%%Y}E@Ky|~h2n%uY~H7znOaq<SuTQWuF<<-6`K}A>FHb<>Y=MMko zBAmYT?wc5k%bm)xnwGEKHW%4Qzf4jt->Q82x6OL<+IRid?@I4~|NZw-pY^-`^r8ow zkDuLJ8U5$<&BI=^XU{fy^YUkLasIxHhPC;e4;tR<X84uxyPcKGcSwI&x;d`lx;%$N zyXbD_H?yx#VVY6OppCjI$l=Vd?seyv9c5*Fv7sm*wr^<m_Np+iBMdGq;Ej}^jUBqb zoy>IY<r+X+-4dXiBq!&eSjM2l2Ht5>>IK?U=BGb9Y(9@e`&D<?mY<W7@n_(Qs?$I_ z?;g&|iJH#%Vnb4${aGu>CO5<;B=Ek(rSa#M9pwdWe3>#Ewx{ef>OP#({FBR`hJ!Y3 z&WwldIpo&|?}&mprx3JjYG(B2%u;s-t2J?T(a^m*MU|lKD3D!BqM%($z451)eccP% zQ`Wp4x;gFkYJ^>&Z9(^cea(sbW5OUC7WbzYx>x7@Eg9IBDDb8->1(N%izFKOR)?)Q z58a1z9lllP+AGi=();_BXNUQ-EjautR2u55$+wGPq5OJXFKClejrQzo`}rN(MX%Rd zL-&;3n*rN81lh!O`&drY8*Yd8sej+6LAIh+&xRW#4T>z=(r`1~cwUG0(Cqi;psv}D z*u7SzVU=Q4TQ|XXbv<Z@Tkm(MG3xzKVVjGt-IbFydb?+j=Im?Sbq35O5g!jT{Avep zx2&i}Y`1J)=Dh6h^>?S29o=n~e)-cv1|wF3*9>8MwyT4-!8t6Ov*0i|bdJ|e@jd<h z-hAKHZy8g-yYhZ~m<kTSnMF-}*>CQI2_cU935435qoE5rvcrwy9rT!Gd*K>BM z&b~I6p(NsC5G<w6t4=^lsV1^j{=dED&MZ6X4%y`f-9@>*ARE3p4ZLx4bKNxG)Bd16 zfXXlj6<j<I-#eVG+s8N4&;Cn+*;+f<2ENtL<vcg@C4@DI&es9&%mb&fXwb%~={%b= z|NaDR`hBzwnlygj0sAaWWZ_}(HZJY@slMH_nJy$geG~{ug?q{q;i(YpoYmXXFMpcN z_+rDVAEgi_H5Hj~C2LQE_PD*4Kfmm1ENC0o9a-o$E>Ck%FgJ+0zS!_?Dkw3Z-G6e~ z*T0~|eApivskafy5VGZNyYXzX{TvSMQ>WWPcY3|Qi%4NRUQT%V;$8Zt%%2quR%><@ zg~Obajd0FwkaOh!o6Qb84@%A1^Ugxjn7<h!#qTu+ZThV!H(UE3v_rCL|7nPt?dI?_ z26oQ<k2Pkxd@KtNpSm4)0;1&mHn=g6J#O##Z*HlvWsnWK`!fmZoE^GwpI=)H+VcBj zX-?En`Sb?9)#j`h>>-=e)cxUhUArqOYxGt|CjIiSC3|mfsZfCKqRih9-WID6>~|p% zoR}BapIdfR^7p39pBb<uR&5Eg3z3*V|C{A|`aA1_!>i`P($0si@F<UJ-!#M5AC%O~ z7_8RRDMBrpFM~)p)@PHhS$=jfTWc@Wz^DCB$N}Q-?fw=P+NwzmN=$1soZ5M3@zwtN z`?UW2vZGHyC1C(Vn(To!4BGdszh2GZQb=L|r7v*idM<lv+0*4r7ZR_+_J+#q{d9+? z_q9tw;p5uAIrHmIhLVWdLlaG2Go-Obd^{P6*aUdKk8fsK*dOEB*W$Sx+C`V|h3;F- zpO4s$3EmTZRb{r=cF+#VrT?M(4ZrK16l4|<1Z4^E=HrsL8*`%S)EQ*M=GsCN27frB z@Y$tgm0}h9d45jRUGWCK)#vM#A;p~CPAyO{LlW~<P-4FI_+R<b19xN&yk-aO+B}|9 z=5)UnyzB7xYD9SlI(VS|@t&M08?gpH?e#O}Lv}B|zdHwBYJ$>q#^<J-C_B(r?R9o` zP#a^W!<0m1*Or_p+4Xhp%`H`i46<SC5%onmJl)@|25qZ<%e^`C?{-jzKivt<hGp>m z@Q?!fy64R;7BUTd+SljLfx5;P%{Aw(H)Z~G1Ld&ibD^d@MC|^I@^@+XS-yVGEZ^1J z=bJH?M8vXQSTE8L$-d#y<md3Z>GeBMr1j02=llAyc<SXx6Je$AUU0DhPO#ttsQCT4 zWk)wLltlb=fu(6{)EuAjuzFKwr61@}23cro<nKqs*{l@9*?Livd{=WbT}b?T4{A@1 zQU1#{Q%<}&`9^8~|J}d!=lksGN14?qEIVZQ-CE}P8yWNTcIVZTbv#eMon$iC@7l{H zo<`*}mrV1UJJ-cKG4E24QK^-#`Anh7DW0?ZjLb8IbUQt>Jb6@&?!Pa!{ccx3r+Du1 zuYYRlpM%CcZ1zqz4}0=7eyio@|Nq@p{(rh(1)kRU8fW(O{0tYy8w>mbK6*9v=Bcfy z;XkO<58BGP+FrHc!SWTq{#==OV~uaz&*N>bwRQ)Ess*l`5z^V(wBVa3%jr3O0pFFH zp6aQus22ur->hj#SZe6_xHm1)V)Yqija@AXTbVh#^wlFCAAxKrNG$q#W#Y9~mZh^< zUf=Nu_~zI2RZdOgKhHrnWAme1wDSBn1a>X>^pB<c3Fj&~{|z^^nqEB@*4a31!82YK z@4Jox)ealZT!s#qm!}^wSo?IF@{0Y|2f2Pj4)fl~0y@k)NLgc7Tf)}YoL%dcBOWU@ zP4X99v-Mz_gx|}XEU$IcG-fMC<ZC+qOy)4N0NtJK%rQO2CjHfk7e-B|?3E&(lr^oY zSBS`Q3Ak%^e9N>OZ3~|1v3S3A4)|@*l-j4ZB3dot-D#Gu`l=BR+gW(?l_Oq$XX%}< z5|O%BH%?M7ViOa`beX$ZK`aSdRUAL=<-ArQ?s#%B$F*N9jxRTJT-({Q;EgHE>$_e7 z`}{W?6lM|q@4n&Uv*<^8q4#DcOx(+{YTx99jmn&>KsSuM0xv9l6LNOFzpQ7hZ@_P{ zrqp-JD}GxXEc&myLjE?_<)}pWrnYLKHDCCej=2l0`DEC%C|zjHH`As^;eu-}Dr!{f zAB^f3oKn}AFgG&#vnsoQp5^X@AeMx^wj8JS&rN6+WfApek)G=p@N+dwcZ_4e*X1nT zcYOo?>a%#;xCazJ&aIfbk_9}8Amb8HZh5dsUUkL(SqZJ{eH3a=GO}1--C$X|<clz5 z|7LpAqVu5Js4SL+*61CSTCc28$#5{Lvgwk#z?#in3*NA@yk4uS@jtnV_npFuAL>oL z^VC+z%<X-sW0Ve^oq3hLyzj_2<rV+pnpFFgSNxQ0T6#`-#oo4rnP!f6OIc3;ow(p% zFpGB14&7x}3(O9B?G`jSC$Q#|T+<@grmJ?o0e@neO!t{KCV-An@{2PsT>{z@#Ljt5 zQgBLay|qvF-dv7T@4Yr0v||yy@4MmRMV6`dt{YC=WC@jK>5lad_&c4&+r}Z_^LrNW zOun|@YVD>}F<<Cu-gm2CdFZ(Z{MP{QWBj1g1isxw3bF#W4!i=^W|Qr*t;fVzRK<nX zY!wHufVG^o;LS>w*P)!J>YEdqSHq@_=KIS^-gSrHZnAl&jQuuY$FC*_h1vzK{8511 zZZbJxW;f@nIM)q#&U3uF@4eyBgar?|S+sMl_z!39X-JrA4&8?=V_Wgc<1I7GQGd0F z$DdhLU$bP#ItKhogY4hbI9PRFaLRp^#?0Ia3M+oFHTCi-f$uf(Q(E!MvgxRv(u#k! zO{#gbpnH+3gWZGr1+LUhOPJaFEIF$5xscBHs-|D;0&70lHMOY=tO-%fs9`*)bzfDZ zO8H>cenpLc1_!17t7%vkGq!EMCew6Dv#InO?4YyiA6F*cD`a_U@4ew*C5z~O=M90g z71*D~gNCpfxh+@x>u$B|ID3OpdNTBasB*EQ3pLW*#S@}HM>)HSJyX~`sa2-rd}<<J z(S6X}8K6OhL+jOCKP=H{_~2<NVP^rlj~sMy)yH4fkF?G@s-AP=TLkK`-vS+uRHF&n z7RkYF=`jy<mNV#zj+dZ8j&oaeo+#V~jkEJ_n9%&kK4M05W7(mzCmjp3L8I3ltuj;Y z9|G;%gkRth@b?2~7vCwJCky_mf;MGnf;MF|v|4(APo4e_TEGZeO=`Z$c-<wLC)-jK z+5dv>X@7VYG|;ZWT^#TW)Y+eZ>X1yxz7tM-i$FU{w~BFFcHBR8NXDejTobm_@>A!^ zeyfbVC!F{$&DMXCYW?EP5zrytNr`+_?HeXE*EF_TO3XRr#Mc#{kjUpI4%%?|9dwM8 zuFjJMzoJ3sPFsMMg0{C>dK{Uw!_qS29q6WS&>iD%n?a|d3r>b#Nv`f+d&Th`k66)# z^_vaYTeTxk9Q=?5+Umg1Z7E?7aw=#e>BY!yP>0@ZKIs0GghW26NU@>`F$WLHZ1GD{ zWPf=%az^txSDhyc)t21F7j}2H$~<|Wl*q@{k630Y;}2S9xj439YEi(v<A-EiKo^F+ zYScO7m}ALpxnll_LozC$g`>|vA-3wI6W=ZKO$O{UtwGnjCyG5&_|5~mHT{mLc{(50 z?adRK6T4b1HQt_g;yc#9*?@iOV|7>P@mVh6phdTDYs88K;!huvG096%WIt&gF{Alf zL#xb^`DuxKR>oqFwVo>MmIZCancFJ!<U8p0l+zI>96v^Yq8>C2lnuJ!g%@<s$N57t zN3MbH`Eb@b<M?PLe0pb=^qaQTChRM3g0_y#Xtg|%5^=(@T$8(af^}P~%oqRUL_V(P z8zwY|{{!vn&}Te$X}b*Qi0@q3a?j<38yB$hMr=Bu5@I#Ytci8zqqYSbH+^KbR}d`W zYz{OMQcz@(eXSPuv%p8BwV`v<iKb4!4~zc^$QBw3hD;OkKDsRQW?Sg0nPFQZoFoq| zDZQP(F8SS#`dcx@cfS{(^IT>>EBE{Mu*{d~pL5M+ek#YNX>N62v-Qi#iTrCn|5ESw zl8^fJ;YEDbd));OSwrq>gO(ibjRr3xnLT};&8M#Z`>WR9`0#tb{jVCAUAMUs4A@}@ zWA9x8UDWaOV{TRdGk4H(ul0eTbx`jDAj|Rm@2*^b<B0R^uX2CWkrs8@ZLo(e+S+I; z<+*u2=xFSG&;m)R?<*k-Nz&Z}d1lvr>96Ylbq{I9jkI>z3tI;85!W{U%9$VAKt~Ek zgVuDum4_~q6bI?7&RTwNap?x6RX*P|cYlGL?pu0q?ZlAZPM~FN;KO$B>4SaS^X@VK zYi+ZbADizjeqBE?7O|`iehO`Ug!0OtSD89O{{999Q}cbOW0%Wa56?-u-*j*B{-o{q z;7d9WR^FNjKEzmhcaU=Cw`kA-==PxXTBc>t<uVXo{*2t~{`ZROUUt~&wa47vLXUcm zTRm~hkG&vIwu3#nAK8<q&%d;dN*DXEzuw-h!2`19@NGYINz$6nmc2`E`GZzw?f|dM z+z(ye^Z55yQE9pV{CnNEgU|Pctv{U#b?of@zRD|qX3MU5^*%tEVX0XKbOD~7>6P%D zM_cw*_5V8tiV^66%lzwL3l(p~N_lR+U9w@-KXcG(uioTuC&M8JhoA3Jk}%u*t#YsX z-$@{?N|42}(z9Ww7+3ChyYvXO6!g}5(9yuPkM=`P>D346+@84q-r}n|NUJjcra}X# zv~JDBklU-9L+>sGd-A3J3+VFG_)sMYvzqgad)>e9Lb9{E9DeTXbSclX%~lat?Vq{c zG-Ft5X5kH8_E%cgnQ-ICQvH{<zh;9{E%Z2R`8)7~%GFIKrTtyN6L!^K3%vfRmm8Xd zj2CmX`No@0NZ)%;6Y1>kjjo^tOe_jXldVlBiG}Y`Y7Si+3R%qg7k0MvA&sWQ;_Lgh z&R4yDhkVFxF*IIY+bo|LVqL1y9C~*%cnxXPdn4$|sUJ^&b@AHQd(8X#4!r6Ld^B)^ z<$GJ$imjjGCX;5R%S}7D>K`lE+T0JCP`y{K{_66rSsL|Ae&rOUOZS5q&Ra1kLsly$ zz>cRr#+Rvb*35@(^{e;#5dTU;6BF3K^~R?+$JH-ET7h~@4jMncdhul?eDi#}rMVS? zw(5aa>25U#WpqP{yWoua`(5@IxwUISr~5*KIsd;qG_dBH_rA2vnl9q7<W(Ga&0?)D zG=}PS?@Ky7e^1!^i+4joivVG1SP^RN+5MF}?=8Nm)8KJ+Kj@5dvme_a%kgSo$<-cJ zt1F#zZ}I-+pwi(IbZPO^^^>1MR#+y#Hl38VUK?~+{~{?ygEbdH>nuSlEI~=}?-Q=Q z?tdK^5sQ+0ryhR|Es^#FC}$Q1GrFw0_7=3J^P4ktO=oK$%i(ALzOe0ee_ep2^R4kc z=uzcsJ~Q?%iCWH}6#88bbl&*;KxpLrNdDEu`@e3{y~WjUJU4YS!xqIy!&2hU{!A6q z_n_7E??EL4n?I~X+V)!8?BgS^z3%l-Pa@6@XBW?cp1Qnd=|q#?4xmMN=RrkT?_H={ zX9ltyUUpfts{hw3rboY-z>Dx2p6)5!2wiiimVe13>dvC((6z@w%PY6S%4=|BTCZF7 zJ!5V#C`O<w%zr<IrQRA(<(1z$_`*uJgH9WNy?2cp0}p8Vsr(CVGn=0!d)>d!MOqO2 zek-hK_+Q$)<XIS~Gy>g6V0L2llhtzI<?zd=P336wy>k9S^%s3TMA=YN06&fW-BpiS zcb9^0B3K1lLpo<e6m%u%8I7jI%g^_@z7L$Qh0wXDa5K!4@>e{f?ksK&UE2sMRla3r zBNs>C*KMupzqTB-;uV%;>z!dqc7C?XRlXMqq2)KNK!wV+XxK8__;4i&vp)}_zslV` zkGv3ccQ{n*=lvHwvgEEET=kDL{iQ9#lv@d)#mJyrB3}IwI=G=z``)teFYY5P-~ai* z5t`h4ZP!jTseV%>xn|XMF3|GwsK-js6a@C_^*P$-tN!*Ol`7J<P_4dpt0!*R@#(Em z#MP*9hGy0US8h!Qr6hR%%yFLg^;$Xd9R~lype~;K+;mdbYV#8bq2K>7f$uQb?FG%C z|2S)pwr$_zJn!o}evsZNX^_*#tD~TLKd;YFF+D$X!ogMlTp=Y=!Cpufon9CI{(t>H z?p?E!osfn^=3bBX@p|o|cIm{~j%2>$Hy>I&duA}F*k{tx%bAm+COzAxnrd~?N^^43 zi;}XSsO2d|m%SuBwNGC1ES|1vIQPmVBhNW&-hbaUuX?`yxt-@8SJmy?|GBQ-u72Lb zS9e7s|F7Qmb=&{n%9G;%Z+{3r&#LEZ+EQuoRpy*wl`|H+=twY~{q+<-*M7nKO$oiR z9A0_~5wA6xE~yKx37+SWefXq(EMtqkS@pv!6Yps?eY!53Qy}hml%3NIbXil+UBk7m zX6h?usYK-1Iet~<oMzFu;8`S#_gaM&dnYB#e9iIdp67;``3wG8HHpSSdtm(O%Pi_L z-N9R0BYgtCR5V>Zr=St18u8exY0`C}HKpv1FMo4htL$3vrkmyU(QgL|PUZV=I2hB^ z<t~u3rDwsXOqT8#1L(%fub);bZ_MUcRn9Rj!aLxzXVcO+g%x{W=J;gKe9Q6boMOZ~ zgQj2JoM9S*CNX{+E~T>cUKdzXr0sa|H%HiSVaJcpIj>cmowuF`vWM{GktG}lqN;_a z*iA{8`<Ua@Jf{u!AV)qpPG0b+pVMsD^aY>fImIgb7Q9;h{ZZ(#ZHg=Qu^$xT=FED= zIn8S3f^R!nPRD>Ya&jr$Sh*|QU-snRjs^d0S+xH&E_k?^McegxLR9Z|rHIt>rbX<6 zYrgq4JyI83^U<?ulDP1iue?o{auJ<cfhqS3@_Evmbzz<AyUY4|j98}LciiAHaltEf z4zb-G3w~YaoVKHL!L#$6)2dn)eCtS<$@?rRs&u<T#JgOUui^r0K9n`JsSB<7QrL7% zTxiWD*tM8dhp$Y$b)KWk-etqFcup^^-psXIEgfIZ<-9i2Kfug=!#z%xr}l0e4stbh z#Vg-<VbyfXUNzzgW7Dd7#RwfE{=+N(u{EidL1WbX`%J4zQBDEBE1FWzsjP@rjd-`3 z<?DLYh==MdyzkW`K(~3vt3*8g`n%xI3qF?BOF6srl_DPRWl{B4k9f`0bSYe5P4QXi zw$Pimm-l_SCNxD}DCYx{<I(GHyEAjQ$T~jV%LzW<19TbO)CIrNIi{@;zVe6fV9<8K zEBpErW~y_%vh&|?C;NG_#aCe#?KjXt5;-}m(j{N=nvN|OSo0~fY0-3{HQ#cZ9&Hy` zb5R+*{`I`zlzS=mKG}0~IZmBZi^x}W{8=uTQ()wHl<T0|bb*k6Vh5GXAqTZhkNJD` z%ETMVELZ<~Za8v`+hFaiXkneHN-Jsw4jLU7x?<azu+v}g3h1PZd?Aw@;Wb~pn~wDh zt@*^?v`G4G|HC!k)S4b`=D22&06XC$?Ul!BkAPn?O{IED8r7l)tIP$b{AWGL)-Mq9 z$NHd~bc(^+Q-w{gWvVN7*@F-G_|I@qC|&r<AEtvrt2wjoJ8bYNhmI`0a}IVds#jjI zp4kAh0ye#A>Nn+#Im!`F|FXEAW?5Z3Z@~|(CeTqApY)ox$|-34H92TCk=bz0rrCmP zwhKG{tmQO|03}_}3ElhhU!8d2({yUSazu)%<5jVPN##Nz|78yfz2?aJH$7qHO!mS* z-}qQgpHW`1yCY#IljGmZoMAt791m-Ag#D6pe0-ZT>?i05Gwp_glNXmS>$6z#x4l*7 zNHTk}{Idlg!^Dav%sG8XW(yza5T{hoQ5PYgqb}yRTE4gnI&Nw==(s5}(85K~N{^~0 z(89&Dhh$vLVmoF}Zho~5)UD>@wp;-^>gmWOPr*IG+zK_z`DV$3S6PA%kKPBm&1+4f zBKzIwh#Ady)`O0bE);vVz#vVL{je|J!O&UFm!cz19IP+{U6R$(D&x|hoXD3Y4?1Y- zbHt41W79#`Xr2UJqnQS~Ml%^ctgz`n=o+$n$J!rhJyrOhCiX000%%1jXz=0UM$p0B zpe}up9_TLfPS9QEXPx+7*{3A(34soOeg+y+u|4g?cS}08u=tt6W>Id-7w167ae%HT z{bvZeY|I&Cl^E!_Y@YKof30W^0$rfGJ}Hq;%RWJo{o?M(8O^ueN1kx}BPsT5!N*mH z3#JxLxHGBMGUM$jC%#J{!D16`%M+lJ!d}b;-JlwulE}vfS_bjaP3&31l~hIcr|qC2 z6~tAiSNV>I&T76Prt@UMKG4xp5eJ<3W`T}!SScKN!g2SRLoz9#jh}ZzLFb%Ti4|P{ zt;0oHVJqnlUSSK`ZR@#dLh}pIrQu~N+|WDW&w-Bg+6uZ@O<^bKVznsHm94+5#GWlE zR_C@n@hx&j^F1rjITxTkz-MBPoGuHvGqKfD;%u@a`%}=$(<c>TMFF<Wt(G03prcK^ zKm$~uTS@O7JS4N^9q5p$<q<QQ&vb(V4tyZRu8l`Qm%rYg*DCYn`6dJQR^^Bj2Ma*X zvjPR?`Se6St?8R5G`}#_IpetYuoK@S&}yV(lXadb{AA)TzHqCAGtZCj((R1~>~k$a zV?Pqy#TTMaIq`i`1+7`Ffn0PhzpnXFYOs8%K@|92_)P}v>;JItUwJ={?d?-e1EhMs za<BiHSlyMICtuQ-*`hdelGsb1&Ykm{lVy(2d~Bh%a_9Ud)2`fn>EeCr&XT1mN^6Bd zGao8z{j7>_tE{=~Y3=!R&d<8_uXlcbKfm~|-1X0&_pbZ?`?KZs(tj2c+xY^XOr2km zwR&s4%ct!6>Z@L2ool|ITEEK96m-yqRMV^3GCSTGCr<PbxN>J|!pfU0Tb~Q)Y@V^; z9V^S}Sg(Nno*NG3vhd0)M7-o|I;JnQW>a9^-Ga4W<L|mN9Nf~CXQ|1w;nq3u<pRq7 z;Efv3!5cNsm9nHx7hY3t@A&gLhgpPgfMJ!u`l2nYj!)-uh}~>o@aiE;x30>HI=zEJ z-rzbcVR=@Zb*ai#wH3AU2aU8jU#(M$$ot!D2)VbjaY15R!cu2WDSd^Ar#VfFyg9;l z&sy+fElc(t?|@H%O<UbqmZr-zJzQg43@!8Cd<%B3surALKO>>}CyVHL$hDokO|E&0 zE2{VpCTVl5viIN6!gq8_*1m}eE8lWtz4zSU)3@NAP1935-+=!~O`@>Dbh&#brAt8N zb!}7VKeqsrB8g>NZ%K1><$G^9_M5{?&SAr~LYAfH6(W{<1$^Ub`kJSr@t?biS59%o zkMO46IJFgjejT>VO_>clcH!kp<&A$iSIK*CIP#Lk)ZTr=m8UFQ^A#eV`8Dn07uGRX zS@B=!AlG_prpsA7Ob>d!SIyWXe^BeVfQW5x!q)RbBI||MeB29}QY!k?w^Dg+JBOFO z?*`C)-m};Jc6V3#vEr)`%hUVL8xH0)b-4@XY?-m(Q#yy(&YlIY$~nb$&s|W}pU|n! znf0$bVP$XslhAG3RU*=+gPnKK?#kWrS03*?ntpY2gsl(&-x6ESA*HV#@zk8fRbD;f z?R=J_=5v}e*M1ahnxxsZ^_!wbEz3bGZq8Hl+&A0{YWj3v?ZyII$TWoBzp7U!GE^O( z)(hxtIv5DLxI|cIo3i6qenFi=3CFWa2ZNpqT#0kqaK@CSG+#C1T{z2EdDV!A?^$@$ zWqKd35mC?BV|!3bevZS26jlK}%c>JsCf@2~IeK0x;;}c2>UyP!*J4eVVw+0C1g6wB zCfLe0XHKmbKFD@kFys%zK{v~$Q|babL24_0xi%eLr?TRobCc>j*iGX94N6tM$~e9~ z5#y6R^DHN5ThN`~oUh~^HXQoM!h2pJ;w3jr?|OxZrLF;A^qQ{L`3L-oY%=}l9Z<A6 z*)lg<y6IB2z?#kfVJ(wGS0>(j$@0|Rf5XA2ETZ)e8!paenOg6@;e;_u=zr%85o;PU zL+h;%3hfrY@<-!fP%&rLe76l}Tv<wAvz)G-zu=!Ni}s%~NZoH!Q}xQ@<$ac3b6dX4 zQK{NZi*^gHnWm~yXL3+#xzLn76B5>*=J1O5-*E0NORBs|#Cy-CPsvTZW_EIiSN<?- z>Mc`T@kg-9^%?Xo))?E=R~~PenqI}LMlA9S_`ICO+twlAcVSa%oXU#b4{dz1cWxKD z;xD-7Lt;~#yTF<fBge;|Il_K&IbM9t5%!zY@#AmKYZA~iv?i}!-nYffg7LD}Ue<$F z)<RS6&Q92SnB&xZmkkFkSwyp0q+^`}ejaD(zT+P7^*l@WTBQ~LX8n{nC$yO(Yv1ey zz931lBGB4R%M&^?L=NuNX!sB(Q*s_uW8MMPs{cXz89pe3uG8FPz`oRe<Ammq+&X6* zkNO>PJ?U7Y#$6mxEzWJ(@&4!`856!FMfQ_Fb<Q}hKI6o92{fZH7gTe61C8OAn{!*9 z038S+VS|`kDBQ~mx=!=foK~4Bpi?eW{6Y1`1kfx@vLgFe|BVLhouK7<pJ#)Prc?tR zP1)UQdEyIbAU+Z_5Dz*=;{KWwyGsKq)VVDs-W@z7GsW(l6W=jbv1bcDo%9s6iD4D6 zi($X`>WaJUm3;@D_#T0V@_XlRp3wY6S?7%7Ye})933W4DEngh*I~01#@tds98OQu` z(0wBk+{FenPCM~+%?D3xY@E>i={l$u=GA$!;8tQHUlRZ33C+LaL1#F5gDNs`3&13P zw*cs*i|EZ0n!n}goN-J8Infrh%yMeP3CI6VpyQ@Y#fl8}%xksO_}kMe(<7gf$fxBG zI*tQ$7S*<sCwEv{c7Qf~99sw4kOA68ayC(s{UxYkeclB3(oJvBmX7NwitImcN6ctG z$gJ~Z!4DShVuLEsL7(PPEvrq~C+bF?a4ZGiq^i$txdOEH;|OTkr_FrW#k8OatTUiC z*xvcAmM3hFIr05k9XX@f>C2Q-_!XgT(LQ}Ft(F>Zk2vuis|L+*fG#B|QRFTTu$~UO z$pdtLS2m~#cJ`3WmUp1dBU5$GIHrS6xLCJ;Mm?wOm$Z!&n*Z?WoH?j)*om*JeUkzE zVpg3e3u?@`iv=R#XKgO50-d#aBoB0kCg{SLBcQWCEt3-Yf{gu+hMsb)Rs$VPIj>cw z1av`s5&Px|&Hq3xmjX3zONqEchh(NmfsQvZ<}MD{+1@JS628HJee3hc6OJ*ecjj0| z{05(O!LRZv5WIP_`o^tN&<WLd(q_!8@H*qXW6ee#<<AZ6Jv!3UBvaL%aT-rP(_{I( zVBQUzhjS*Uip|talbk1Y+Gx`?1OADri`Kf%^i1ovQJJZE+GjfWCiCk0oa=Gdf1mz( z=ll2HHubi>YrVVH{13iexBUNK;gjqC$3Omm?Ushn&4j&?463smCT&(*&a~lL9m~>U zme(@=0cCavtGYQ)#ruLga^=D~SJYShQAzyEQXD5~@#9kH-AM@>XR=)Vt{Cx1plQ}| zK^@cn1!Ys=S4_o6l>fXk5wySTy1<$b7LJF-Im2%K3F))HH#1?XiQ~m)&M@m~3x32j znd+%%RH`0~+Ac6<Uvt9Tt1PMQEU))E1k`QbDRGX?7<$a|QQPHxJ$y<lYD^9)6?3eb z=f5Fh?t)*wEZ%FBR{T^r7?jPKwO%<Q?eb-VwYxZ*o|dVvu%DgKs>~@h&uPOYrKV%y z0&9v6L(AfeQ-j^JY?~hS3$FQS<9O2dSz=W7TaSP$^QKZh6^&|^gH`InQ`QUQ6j(YQ z%@@uov37hKFR1fL!|`gppw70BFD3lGzU7?e;i~|?eR}r$*;bRR=0MhbID>CAyRWq3 zzFNe?=`6hRiV@(0iDva@uKmQ;v}m``ns0HCd(2fdD#Z>)O&6T9uP<TlZRnmj@D)?v z4It+eB}!giC82&JL%iwKd&P(+0ZpssD@NonI)3%$oVH`yf@j}3ro9rnQrD3Hy2kt! z4^R5e>%v#&yKXoHJKpQ?ohuVBE$5UvuO9JKsA<u4fi>S^n;v}^So6^uyzE0XvLSQo zy{?40Gg(r<t46#RX!<l?=|+N_<I!$Tvt3;ain<df?uNQ--M_L|9<WopyNxaDKA&ar ze(M|XJE19+iv=_jUM>i^V2aQ2ur){6FJ8yTiU+m+D{4qtb03a;>&tTVyHdpCX6U}v zCx*f9QN<iy@eUjO<}P@17INrWb`$S4p^!i32i<1R>&*<k*O@TUmSa^Kr<iruf?whs z(=7VITNrmu1Rvlm2OW^u`TNF|iFal!_^0+fAxeAC#03xYShQ;!7JL+BSzR-4!3(FR ztNUC6_IPeM*3aQ}&ws<U#gOB=9~T?W*<>xSX1bEb|GFk#KG@M?_U5H2C47!g^@Vhb zY#p!43+a@}JAP$6D0E)vN=+&2<)|#{rdzv(bcz`q?;hru_FKpC?`Dp$6@n&v`VyAP z3z+Pio-oxOw*H_t?Ul#dP;SGuk8TUC`DoTONwR4xpQ=W!(m^Y3j#GN75$~g#KHXQp zvA{dvBR`9@t#80hu|$jR9Or<qYgxMA`Um`7%;G%<G-RdN;+;2bdEb(00$296CCrTG ze09%x!=3k>uk2kn9AbN(7{$9?DMHipLPdO2sGSpdY|KwxW8aK~-u1#$VjMSIi)C5* zo8`5QVPnEc(6OH1mabIZt9$PGkDuEGayFPd9_1I#2~k*4BXv+Iv}skmazu`$<Jb8@ zIvd*-JiE^^t!m<evNudT=`-hYzIx}n;Z8fpD|zP)hgL!(^dW1oyH~twMvd`7E!n0; zt2x7dYeP@%Zk-W!Qj#V6tz*D1g{IOzr4x?Zj)3-WCM&Z4T))A9y;&M`&Xl^&nS(DF z#fk#{af3=>bIqpHVGIvbtyk>(rWDg`*`XS7!trk`=!9T1Zp)0iNv)P1a}PQ3-2xqL zbVgL?$%5M{iF`%&pk)xCEtiMpgQn{(L1#nH(#rGWJ7&GXfPHE?sCNmPS=T-9#P>*j zvjKZ=F=%>R7jzT3N1WZ)g?v%hL8nc<1r03Nflj3q2Hp8ItySj9V$dzu2NSHtiUMlb zxr+^~K)d+t4<3?n@dNFN1npV8VI)=*@E=qyWNe<${7fHo-m)_2(kC8JO_A|Y8&rvb zTL5=+A@@FkZZZD_>T`c66e}{Ynb>NnG54es-!X5{wn%f(4o)+%XA8a+ixmmf&uF#G z=!rPtSajI?hwqC8TS4bpzdv+HrsNywlJNeG2JCx<BThK};{o+CQy_iJc}rzPq=V&O zC6s7$TWb980qu!B;>5SAK0T2yDXMApl;&U8Kw&Bdy3QPQk5&=rcxW5$;t4a)JApPQ z%xJ!I6|^O`snt^B&RHkEwpvh-s&HFM>_2iyCS=>5DfWfT9P;a$Uz`D-9=f#_bV;~? z*s}$NGTfFP=aLioqC&-rCV(#gexU<$s5Pj+4!S}Oe4F{66Ha_xv-OW|Uuh}v_KXwX zsZ6nF3PlFomMi2yw`e`vG@<zyXx+o3Q=WqVj_@i}Oe?yuC-s%H?2>m0itKN%g4#4E zU`K<W)jJ$|%CVk}yEx#+<W?CT{-i`cFL%%(nw_ndE9RVc;#*WI_H03!Ft=p~XhcMc zf768KCsAV07HsVUZQWe^@Yxwhb@<uT3cG(_X}5ZDHcgTJr*h<s=7XA`gDE>eCxe6b znu2zkOq>d8ekCXJCG~?ALVyk_OPZXz!^<+`-HAgoOUjaAJ2>^3-I*8etzgWZ65Dep zRkZ#7f6MiWiW3_iK5k;Ye(I=(+d&tZvjI#UI%_9!c}(Q7IMIH1`c$(=9FxWDXRQn9 zQHaph=Gm6%o_krqK=P#9TJa59U7QIjJ%x&GJZe+9xB8{{=KMZad_uo4&+PY`&%gIR zpYtZKIQH<Rh37x4;%(o*M4P|%`kW2BmWRkDU8_iVD#-a@u_I$P-^0Uh3_U%C-xto8 zd&#hczqVY(=wCN<%4b=h)%O!JHnXdqi#jY>RU6gIAQ1+hQZtme`&?a+=e1qcynBnk zm4GfrhE89<W{1sDRUi6U)xXr6OCe~hJ?KEJ*EO&cWahXS<RqRy{zdNXbENsA_kUnh zoW<{V1-v)B44SBn2kDfq0i83|ckqSXUf=kQPv^%j`Tjy*RNy=8PVt(8D{G)PBS+Wl zcME#GjHx4J@jB3PSbLlyb1(PqtXv=QcxGr-|HVe8M{=-hocsMF)<Q2vUbS%ImEE9o zwBCYe8~+wU=ZgNQG$q~@w_Evr#a`qad~1)YRzYrHPt(8V5%sHz(Ph;)GtfNc^XJf6 z!-pzOiQMaD;%rnKwlB9+-OX~~1o&jCJ)dF6hMkRFIMJlIp3!C1KR;8Zgc}dGL$$t4 z|0){&?{{id|G&=ZuWcEoKu-iRfd=ljin(q<uP1`Mc^tF^;<5co$O@6!`Y*MwMeK8W zZy2>P>^&pwh@Brvu$is9>n2|L?aAn}>K15$N8;D(&^h|glR4T-`}cXh547Igu>D`X z59~hM*V(Z1nsx^&XJ#&F4t<*rI`F3S-$LkQrJs_-wt`DntNOoLf+j0njKDW!m#>3e zCVpqHl&7)(>x9t%s-Pth%_Y5mpa<T(T;*br^YgCmUibP?q&eW`+fXaF{jlv_a_zHK zglUy8=rpV!Z?C_CtYpZSi&m1jX7So;@9~UWB(0Bop;N1~Z!Mj8CHm6ARk!RxuD$BN zGY&e>369XI=C5qC%#o%X<L<A5uG_h(ZZc^aXtr|quRS@^4MDH3K!fP8C(B{6u=`8C zXROypnjrmL3k}<|e}j}WpZUtJc_m-H8Fb+~uiX+z5Y4yS4f5!z=&y2reUZY5zYMnS z;$yj#=h~IGQ#NFkc!3YRk)FREqBlQo!TN~D$4Wn~>E9-HU^64^c(Xq(uuK|v&EwVF zAFh&XR_$F5KD6fQb?8bOa30Nz&_7?5TMfEq*aNa0=IdWrAjxK`=&rq?&>Z>}x_aVy z7Btxx2p!zex_iIM`Ks!2B&~DrXG7=8-^ELL8mHe=Y!3a)4W3@T^&kzZ_lxeYF5Uar zC#LWHp90bgy&|4nAEx)>zM>SqdA@6lxfFtWuYnwUEFOA%lDYM-E>pRE-tP@>#WOTp zHb9pmJe~;iZQtuxwpFXS6oRg<1FfpM3R^e<_UkuLw4MhQ4FV?_ym${3#o4cbMQgD6 zOWSGJ#2l7n-CqPc>&XUm(jI8C9h81QTwedm_SRug(Eu&TtpAxt>Orm({~p)7WTrIe zI&o{z5{84IOO-+AHNBj-FX{CDUv2jm|E~h2!KIKDJnYAFuT?XEE+XIdBe8eMwO^oY zdKRSfBdBPxU|U>WynbI&uYJzN>K;DO>08h<v}$Tz&4C{4x02g*Qq^pbFZV5WW8hhB z4>~UIctXki`7Q=)em}4NBDb|>J>qP>*Xhs&PC4I#dY3F+%PO$)mMyq2l7z+yI6n8^ zUH&~o{=Y6_QI%gTbn3Zy{#B1zH{%#4uG$YOY|SbhAqA)1-$nb9PXGV6?cU=0TYs@F zn)n#jyX4wCkRO+W7EL^c9j10R{Htj8p0|#BkH6?)y7%k9AtQ9Y+iqV7)Y9PcES0bG zt{hx-YY}Kk!7piO@%Ph{<?yceH<o>0QHy+kd6hR*-{xqONz=Z)P6++&3Mx_NrXqW? zJnwq-7W+7)^%l>~U^&!w#YB_ObNIr(#)pDdaasII{29#O@KB=X-R0v`INEggzh|%N z|CfrKMc-Y4o)z{eS;}+mLcXxxd*Bsdb}t3@vNIfJ?0I)~vNy|NDfzcAZQa%)C7|Qc z(8AhmtH1Kf@4NWIuKrsMTF6!RE4hlFp^X_@hsJGjejoVT36y}KNBVtjhw0qCVB(gN z0QS|lj=!0b%N&q3cQbNXq<4Sm_l*0Y$Ubd{<?P>E-7fju1D&lm8N4p)R>D%qN`l&7 zF||jht^YgG=Jns5pv4bMA*WICe}k7r{ZgK5AJ^+Nhc3Ph(Oa<<qId64&f23=ufLtx zY*)1cw6Y0$rr%>$XaM{E4OL!Qek)FL&8pfBkg~`glzw3;_x4@&^HsU-ph5vw7TpJ@ z+<*6<*S{$94?vysSniqSDb**XY27((il>Ct%qy8IC(oTU?e@eilg>?=p>q0d-(!R0 zg>NkQ4j;Tz5*4IY8dYSh$~*Vmlr59a<Z64W!%wlgUKw-#{PSJ+-oJnT=bzPEOK#D~ z|EtZiU;qCvcyj&!=-;4QJT@Jy+I;4Zr%1!D;{rP2iYxYaB(!R)Uzqjt)KbQl>3sP! zt%7#7FZig;vf9Qypycr9I63tlSF$#9bS>w&cB6g4lSY<oS>J$PDov$gLQ`TrH^j|e z@F$i<dX4gmA3O)$jKvczrfye=NI4E2MGv`~{mLUp&he|#K_PXaD>l;;R*JH04Hwoa zR(HI+mF2XpYe4<6pEIs;6*jfq7GASO-SIIqN0?>Pf)|`DtLOR#)L0&rGWUm!Kuo?1 z8sq2mviIE3Czc$QYOWlSZ|3;(E~nWE!4R9CgvG2ZQ_rhJJPB%AWiF(%t!=@tW)^Q7 z#{lCZ#<tB@ma%Nzt{jmDyBuqG@$$YWe2OdTZ4PqXSI*cmC1I(U<6~=%u%EJy7mss> z{nmH<=&SeSNAGswDR-tOtnC+?q9?GXSjO?@WzK8SP67X{nt1bIJ?VPu(i9Vw6@TQL zT$4(DR=>K<A$GfU!7q1?X%;gUJPYTTX4Si(Y;MBLP?pks<%oCYEMMi7BOcyo;WgjK zaX2zFyXly<@R~_;VWayOu1vf&owIAc*M?)PEUN3(B3>V7S^8c%;(1`xrsSrtW>HO< zQ|q-4vRMm;{4qG__L)<wzB6HBFl4xB@`7Le9Mg7`L&nhW{CRw3;+4-VTg~@KT#ic9 zZrY{U^wiEJ;J-tY=su4NA5@yAo>R`)Gbv%JypYJ=*$G=29Y3aXUaR;T#IyX#G#2o9 zQGQeDGw2S8Iks7^Jl?xBeKKw04HF3Y!+6lGTqxup^Fbwl;gH&^sxz)7%@z)+7e6Q@ z*)(guO2jLVrd#R)I>mgBcb|gC_v@x7wBCotXS=_jtmaK4pVc4JSXS?u47t{%X~B<& zEZI{yz2>=YxVDsKX}(fKI<Mo+c8+V+O$+|8O;56r&hZK`^xSYUk7cU8--Z(lp*w-X z_uG}Kl(9K}_2!tiqjABr^BmJ&316w3lQ45S$E&<c4}R<{7r1hNYC>zjpoxyanlGkJ z$CeAPDKd1t_?k29x2EGqc8+U5Ub8f*whQQ4{(N+0Vpxvlve2sNrqVJ+jotQ;!>qLq zvMm=3+0&7*IFx0oHB0v$|A4RhEZut@0{)gxPqgsPaR#4r_SQY%w?b2@7u0Fx{&TG+ z?VhmU-&GdvKP?L$*0N~-ow?xSjD)Gz*B#i>rN$9ft?&4;mh;*V9><f(oY$;c7ko)- zDxJlWny(u1KCbCgz0!>bKcO>SA5EtxM@i?p2K=;T={}>lVwQTu8;Pb@>cTo3I~F_> zW%0i26!1H*DOFB!#c!R1MHi<^`2E%84687AJiM1P?3an-<Ha0dKd-^Y2wQ{Qv!)A+ z<O{F)sNFQ_HOIB8Sqr|L(Kc9HYNoESoB3dsBzUmwfk#u<eU%$8WSUM*7u4A_Yr!jh zPO)h3fLfOgM|!m5<@6w9g!%JC7$dT(n{HJLK!%Mtr~PIF4I4dMa3MjF{qXFF8O@jU zK^JH%f@TW%#fk(%C-Wb3eCrE8xqCvZj7l+RiXcF&XhPh9Loy}jW{4c*4q`ai{Bc68 zS?S8=d%U0{v5Uo?C0s~XWIwupvjO|!=@BO!fA)rdOt$XGIqt-_N<JZxF9~#0*I&@I z$)gIfq6=#_8L+Qh3hMUTau-k73A$<tq^hVMbZ`^sXsbig>z?)R1I@oD?0EacBVa~z z(5zOOp6{Sp@cE$29v*}4`Ys3EN5Tm@w|iQvj7olbB45;Z&`8RJR?8QA4mj~`TED;T zKZop>ZHbEPKS3Kpn*V~f6%^~7Ik@5o=$@^_L_Vdj@J@cf-n3Ui>>F=`Mt!b>wig(J z?j;uo-Ai5}YPVEoi!i9?pDtE(;qLTS%M-QJT4la~4#469ZG<@tnw)s4C01mxZ)&UM ziZ7sXlt9opioaNq!2K6dHI~H}Do!I#Z<@PIuk^yK!%lpcK7+Pv7=kV)PfX;i0v#9i z&kJ<MIOy(_dxt?6lP4;&KYboCqxnSJ^@qWy981Nxiv_$veSbTUD;>m&F4)WhjZGba zjZK|`&yn2yc4aAFQTfIR&Hq5F7e0Wl%s974ZvG{iDcceh*&l=MG&>asI=H*P)pCU> zXpM#oXdp$8+tOoQLLy%jXc5LQKe1;EHcxAnNtqAY^kN+Kqo`;?|7HXB=C|;XERA=T zr7N2+GJ@tbSh$M?EE`*8Le8fp@+E<8R{NVK_Dtbosv`T@_Q)B{S0?K`S+G|6gXUSs zG-J@AU1wp}k;`xw2Uzs9%J6{hDOqY9dE#INXbHs5!XOs@aPYNfC1<ZJ<;&s+-CPEW z4&@?cyQMNJ=BbH%QMWfvX#N74aZ1<Ywmczs=#b2wKcL&Xe#`$}`tCTWqOXSC*0s%G z^Te5nyN)E!h};sEG;QL{M~cd)kC-T*K4O!2`p72FwWcP<HkXaScXA#UDYbfL^Pup| z(n+8>k6E3m-8N~9VV8C7UHAR_&G-BE-?zVR{V~fm?r-Srq}Bib3ZC5mYdhph&Z_mZ zJ}viM#E?^<=y+7@pxbFqEqk90CxTf*<JBT^9%o<sn{&{9^{+A(?>9z`*LqI+OF306 z*?IrU#E`}X-(s3l#e}ZJx@@>3%JOx(;F=E#j)xTwdg%+9%&XL0w)K=5i)*=BM6Nt| zfWMH*@gyrt_Ek==c)tzjE`jU)hm$t1Ue@>Jn!uF$c?r$EV#!gW+m&u)@Hsx!IjGbw z6k<CsVdGDhtLN1t9vL;wG8fj_I%&bTtftgsET!gq_zp+rc{lyq%o%nAw1~l(W4et^ z#Ve1O5lzQLn?ld2YTQzZc&pa*NSotYMbm;OQB7OTcF0}Uvh7ZoE8=+5mgAar$AUi> zS)|V>uc$CP=r&y_WM5;##NV*-eZFOVN9HN7_;<@}+14Y^SWNG`Zn*M}Wh=i*#50Yi zUCRY@!j)Ila~|ZnE^M-AcEVD7A@FS`*Y7ku6uH}zu(e!B<h;O|k1L_2@uw3jmDlDA zOtG7sFt?lYl%86|dhdXL{4CNo&H)$mj%+czHD$pkYYwrSy$fEcbBb9vEvTBA&}qz( z^>0eT%509T`;HsVD8s6W`SYwMMZZ_`S^ZCqMO%ea>YdkyOX?g_@_rjmUFYnY=eOaO zKSx)*>xN^wEULd*vTa=heu*}fn#CQ;+<aSj&310bpZ&r)0no!A#rK<)rfiw8;8Q=R z*v?4{Uae*6wsi^kyPm~+k4u1Yneno%SGGYe<&1CI)h?*>J+$c;H%HhHLC3?VIm2EF zn8dkmI28{KwZ44@rAxlGMH{Sr6fL~wqg~UaYT-4d8jdf84qAQYJQe4#;a)1s(|pAn z3961q?S*qp)K>iYwN&Dq5@*w@_o@*&`c1Fqt42K90S&d!w}ah-o(o>d6Vln-vfv#T z_+FEG-Gf}~UnfNIrVFn5BG+`RT42p2b&V>XgIUXkMC{uWdgBGA?3tLb_BV%@y&uvI zREtj=to>@HuJJ#wiMLFB#Sh7*-ae%je{`E%&nd0=Rory+7R%N5iV=?tn`Zr2h{!s& zR>JS?S&nHpI~U}&CG7MUzG6Qqp*0_RYgc=^pRDHJo&_J1nnK?xY5dYSn5EqG=(Yaw zE!Qfz9Z$+~Uc1!`xs=l};9E-5*K-OQ_Z4nDkZS5G7s~m<;rMjFu+E~}*LcNsA{kq} z*X^qeVoBI2%dx6{LPDpE<5_(nol+skx0gAm?d(|aE|lf;UB7_+{u>V6=aAaxwBge1 zyyJJXz$-lz!1r;+D`?ay9hABX4z?RBCyD#XX2yC3R9POh;uoA!J1JrBbdFQ|Kl1rx zH|KI{E#ox1HGRRS=bU0Qy#u~hvvlh!t@tP1q&iLT${*c>LC#IL^aXT`Kl|-_!f;5n zd^@PBe)pH<>vy$?hs7+s-YnXno3~h5R{xo`;6+f=RXGLl#kc<jrrcR^dUcK}hu3*U z@O7N+0&B`;9DlwS$SJtTa%j4Y!-inddehRBEiDS{Pvt<98fTsOR{aMR!_xO!drvz4 z&DA;Mm;|2A0A2bZd&Y_H*6|Gn>@&GQ7knH(B=e;1q!ZsS&=P^x-=OIX&?>|)`eH=} zefN528?#T<RdfBIBkJ%&N7f}6d>W+&w`GSs=&r4Ohn)B(ffgfPiv(Q?1={GDxp_kK zo4q<`9N!y)))T0M))N%+uM6Vq0^RH3W(`_V;0~(T8g-s5h)PN1OIi-Pl#xGjM)MI> z#EOFTvq7g(-UZESXmA%#sGQa+Q*wR3cz7mXQK4ATh5Mi>jG7ss!S{qjKCgJtg%p!P zlNtP=N;paE*@7}%Zp)7H$QjMYK&ucxfhIqe{w^pkns9Fl=rl^`btItEC>O5mw|emu zG^ODUTGXimTGVL=I*qcURc6Y1(7l|X+qPc4kC@SX<Fd|^1#&y(!!!AWW^b6#{OmTU zYFh`|fN0NMJi&5Kt4xVMXo6@tsP+X-C@eJ9gzhOlSn%_TyX+J>kW<w`PCc#jL}AxQ zuDET@x5{*$D1eqUUbxZUDx(5wGi8HnWBrswJ}Xe`;2Y?|)9pR2GEYG3CfJ-olSAOO zhi@J}d$M2;FL$xP%}RKESvr4Zzg36qF(<xP>Y#g0S#_Q)h)hr93j!@B{1yb-(AxzH zPS7={poIeUi>L1JDh{~O)hfdSx=3WH4!5O*{GmfKA$_2B7iet@csJ+%o>t3@J2o&6 zITk&=;x4-dv}g3oj8@ARIX@WI1@Uc)-ekbO7j#(Geo)+7%xIMn0kxRiM8%2%?54L` zc9=w-aQvz!_Do@0Q>)As&^i+#&^n6Z=FlCM#S>;7b>h3F33B6cP|FE?2<kgW(5<o7 zvq0-4LD$f1jy!R2*Z&9RUvJkluH0#RtAa5WbdVLR<nis#Z)&l&ifL|H?Alwiw)e`d z9cxctTqAa_<e^vZYzyt$xla$xjH_5OZBmq1)UJZ*dS;(uEpM$_dwE@FRPKr?m)xS~ zE^>N&HqgmUwj}Ud^}X}r^WODazdQW-Z=L;}`1kVWGdEvI`}^MXf4Z@}_`Ywsmo_jL zr=OkmSIYFjy6db32g>8_$CNyGJDZsAu)N~AkN$zz#h^n#HZtg+$@F48W7Qy<f8IKE z*%a7;MP?aR0-OI$3f`P~k=LPp>SPAk$v+QbZ;Ch^VE`Se(mR`PW?z`zsbx<^8DDJ3 zdiMx=5X!?vh|^oTLHD<RzBk!-bvEca`8}6mCpD!a4ojKt-tJ@l`rL_SUqJ^@9ld>T zHsq9#{PpkysB$ipoY=BI(bG)#9hXD<)Nc57@`zjM!6!AvUO%_&Dd@nSte=~5AO|BA z)WT03S?djQ&FuU0eOJqaPTC2b3sv)E72L(UKsV0M-F^PdvabdVvSBqzu8<>g_S`)W zKb8gLnEwaZH)no)%}^3i`4V>F{QGTiW56fL@Yib0p0=Ocp*>XE9(r<#{Jq(TLsE)C zhiZLTl@qmBpn-4oZ+YnPF8<q)&e;K-8}-KCdiJ$?PKS2U?dzfEPi-%MjucfXx9opx z%!&G=%^(|=XJ-yMkLBKN_<1Zhc7To{I%;}zOU>cq=?t=Ax%>;%A&2_3BOU5fWo4CO z_4oD9n_H?r-^z)4&!+%64@<qh7<8;w$|+XaYqmnNMsI!WXZpV09zVgi8-6g^w~JuE z&n$8T9kny_TmSD6GhIJ!hxV!d?9k(<_J)IvfuDgkcZ=TaX`tiOLfx58h#XkM9P#nt zn(lP3PKkyN2JNm3iED3yj@q%-JGt!YP0&G28PGF(>?{*&;pg$(6<+MTZ0=?IxxTMK z$Eh5>4?8OD+n@6(!3=`T8y0cMg3m^>|GX(Ds>X~#HtgI!8|YDK;izXLMb)~R>8|H< zXb)A-gC3v6KOL^*T9lNm(cHKnJ9DD$f@17>y&WW|?ILHwWA*!*7ZWm{Z|c3d<saxk z8jG*o&;x0{%>suI=$s+&F*38)om+Oa7IaSB%Vm&sSdkwKPpjZ_tfcqP@IB4Wd?E2_ z<aOxzQ|;3518cHlC1s7~-n)2u*;Ri~=9;CS3XQMphy?W3wcW=$dfoYDUy~VMY<Tpj z4w{^6z2R1Y4{CaK`sS7&xeQio_IzrCy5{{mxP!sTxjOIEvZoddvSDBMO@ic5dAkX4 ze`jZdQuB|qH@EyMX0Teb@98v%F+ZLxgd4NV8WdOQ`=<H6u4h?rxGVqeEQmq*_LE=+ zfzSN;zw`FyOvC4|jT`v1x3gUk<^mtqv-dB&&;XY`ar=~KPs`t9JUh%97U>TUf-M6j z!>xR-?LN!@|4B1jd#@_oY^^*eEII2x1Ld6)Q@E|x{0=HPvBmiN?VDTb${DQISO`Fm z>)9TUIE4w6-R<*>&2*=+E;xM38G1-nMgA<flR?=l<6!O0Eq^>1tkzU%Hu!*xRC&4W zNJZ*3D?89pO<(TjM9l>q%NEahU=8yO(S)Pw>UZb+GP>{_=u#?)`2OU@gv|7x*K(rb z!KYcl&JWryUZ+2ssY3#MpcD91zg4+6x9rejkPVaDZwpP$<yG)V1*e~__s=hT`jzp; zhF4G6H*12!@USsF6K1dN;G5}p{r!n$SJyJW*iiM5A67VDg@<$L`A)u>WoC9$d|y{H zT}V7CX$>{!Z8tm}N`nsd`(8iAw>uY<nvbr7M0~~jP2jiyC3tZ9+5XpJ_O#uggS9N} zVP^~?jxW2mOU)|9YHzu}+1fh62ENt$x1iO){5$Z&l_I_`1BK3SgW1<W2mf`QmWLIG z@6Vu=&ij8qhn^X=UJ{xqs-qDO1|916_V#q&)Apca$7ahx3ts-!a0f?OgAQd|E_Zs_ z)%&1Bn&$RH4>MG+h8y$x9q5R`zCT8@ul)xV&du>qt8V+liwsD?v&(+An7Ygi#*&Dg z$_?`ZK$WTJd)vt<>F32&_05?-r!C)<xz!w&e(b;{xI(briw)O4gUZ4+_fsyvn!->L z@fUXVlwBqGI5lvxc(+j}ZLaYAv&+8z2F2B8SW2x%&RiGrZ_Team@TFaI??YlOiBK` zg)@Vc*c6mNi4a^}toTuDrh6V#c^zjmfShplaL-|Q<-hfwh^*0Ey`RozYj=SX5A4)6 zf3ZJ?v(NoG`2PRBf80;F8}=X#b$r`d8k9Ea=Akn##)p$r(r#?Zh)i1cNYn8238Rf? zPS`A%8JOm5y!ebt|C-cEH<NA(ofYc8xar6;;pZi1Di0i%xtu!XrqRdBb+6CvwcnP# z{`KG5y4~;Je?QN=K4#Gg@BNQf=Kcd6V0Gz!+xm!;N)sjZXHH!=--R)wM*W}`bJL>N z9AUR#%$r^x@T2#~G?wf)UI9gX2cy~rr`Yu+%r)jXb&ccNY=7|hy_o+3)6Y{sGIRWY zd9;05U(Yq6kb58Ee6lC%a;$pivmv5w!7oJ?ZyE1^&m~Pu&#AAl?N6BL%2K+U<+QH) ziv4W~tkGQ$P3E|6xYWne`&}vG=>q8B$KvBYvMZ-@cFlL+a7>g%b-ilDYrdvSr#Y@g z`UaF8tDSMJYPRqcf1w<M*$W;uvPjGN2K*Fhay_TIqDt~$5;y0n`)(Ut>Y?NJHCeAb zvTPmSp8X|bzuDIDt}W-Z+w&LHH72x9=8!t48u3!7=@>Uh*v?jPpKYFk#y_QlQX798 z&Y5&uaLre_rc1vC*A!oYj`rRZUf%cRoSMde;U?a7iYsm?-pJr|e0rQytg>;ztL*8C zQQf)T0e|nZc)#%u_&k}#dn!lPeAf-2)%okyBHs10e0{GL@$e$JHyZP)z@~J`ms#uv zYmY?>ulc0cwCK0Mns1y<kJJU$eDrOaBrde(s)|Of@<FR|!6~t>8}5B(c^bc0=J3q~ z?WV5xDmOCnn@&|Wx%SP6%zEtn)w@!8BR9vY|8o*Lr8%<xO-)!im1XO7K^@^*fy=9Q zs~#+Rudu?tJ)yN<*u<tUVd;8dlXZe?J{dPH%5A#(&ncjW^`O*wXfJ-UvHYPe*KRc} z_@c-1I)&quoY#hX8(E(2ciV9ACyQvk*M^HqO{ePBBAz%ltx|3}Y8KJ>Fr;4jppdoT zl^XklLF~d;?Aj7$UWN{SyqjlUs&ZXn1!#bxUMXWoPr}l44yp4J2~n%}G%f&b*4*2_ zprS9K_q@;)o2dzFiy=c{PEDJ(3$MAZuJPaGAlqy3=AMF+N3XAc@?-H^PObgZ6DBfq ztg35E*f^PURXFFg9a9!OThBRd*VF~yf|^q2sjT>|bg*cBwZ!3-`(+PuWj3|_7F_cs zv+0<+(3($kVF^v#Uv}l+t_45NvSi<J4k+^2aE+T~sd;Q`=Gx7=;IXjV(--_%&S9n@ z81l#Lpqsd0$UoJCO6Gzgf2|KD^$Ujl7e6R8+vd}!f`gNsIi}z7@#$jF*=p_hww7a> z<)j7gI9X2Db}#tX%A)<JX~Dx@7VWPbQtOo>p3Y}+HLsfz9$C%p`0*~swI2qKCzUy_ zS<PEe(wZ=r8ysvb)}h~4tyJDC&2j2~Z$k4_7SaEX8v?%=`mFvmn^VkFFyybm!K8NK zkpD~vh0=wt{9!y86fSfn4>B<*%5vJ)J)r*CV~KNI`Opot{N*#Pf_^DDK6d5|(-ac1 zZBE$wURY$l|Au4lIlT1LB3?T-UCQRXb~B+Pb84^f8qjV{eu10<1IMH1g>y=z9G|`y z)G>lxoAWAvdEb#;ELY_fB9eF=eQg^vbxpe$fOc!XaSbqc-*D$S$E*2X8xECoO2zqY zxRlQ!b>DBpslP0);lgXgDuoW8bgU@`?JE4{DHzktDiGJ){NxSjye8QLPJEZ#Hyg0e zog8_>@f+yE=yFYN%M;r{TLsqYJXug7585gKI$r4iY0zfP>aOW;7yL2<^+naWEmzco z#z#azmp81|Ipdh6$8DJr5872I20BGa3$%Fi#XXy^f$Tf0LAQ;bI3y$T@30eJ+ibhu z)h6sqw{M!z{83KljN{YApj*jBK(~@}fp!<3JtSlDO6Q3}CFs&@&^@0^z`I0_N1Sl{ zb|19!V)E4;mX;^-4})$apD%LoFnAZ@f*GK#q8BHEHfw?o2QLx>9o97iwpp`2A(2n0 z9JKxuw4);nG^itO-@Mv{edgN86OQHPpp7DeVnrA1K}#xYI$LFUv~|unzH|re6%gev z4*2;O-o1Vi3mVm_p3y3EWPW-gpVa!O=G9Z0pM>e0anwHQ#CHj_`(Z9<_rtet(728d zXk15;yVzjIq*fV`_34RxZlDFPpFjtNgRc6#+UvH%vRL5uq*j?L<*A8$Ld9yX9}2*0 zUo+&)z6P?dd>nDY@i&jyGlk$}MfSU(@r8F*Vnr7~VJ#w;q{x1FtIiq6%)?tj2b~`W z4V9eJd7>~YF_A9|bokbfjXGx>AD4&~O#toafZW^Rs&mG1IpXf}-8HWk^HpU>oN&B1 z?`Y_(=7Tz7MFHU5ns1Lf@ttDdXuv)(IpT!l)-#7>j)1NX`3gEh`^Z+ECkrasVJDw~ zwuGGNd0tRlbm8utR?8ErphyR8#<eJbta_DS*ZlAzDCn$OTV;CACxW(Xf_Aikw!4%` zb6a+Rjs!avtn)-+=e$<SjNEN~)88uWwdF3paC362ObTdbtBO^uXo5X>M`@}e`%&+R z8O;}&#EJs;wYFMz=*$&4csP{d;o;h!_CI|8ezUJ+?aioQOubqC<#MU)@sA&?l+S59 zPYiSOQ<^=~@V)V7v59?WqSCDNZyKEzbUkg9UO97?<>?&PBPN@tZcj}yHWrsWdnPI_ z$>o;OX~~tBjh^$WcXh0Lf3EV~-{0+rAKTc+pZopy=bwX?`!Cq~Yj63fKI_KUr}j=a zr~m&}uN|RblKMG5v@S)2HDO{eOQ`bsJ)dS43eNTp_{-3w%BQ@dlIdX3aslwIKi(X# z)+t1+a}5BM+5EyLcN{ic`u5sz&Y@zCu$%qhrSg69j>)$&mM3$VEvra;<nh=BeCyG! zX$!t+HI-fym{L0-VXva&&)oS(x0u~%UGT_`g<IAu;AcdW>okE7+xCQwsVrAtvv}X} z2`Dx_81&jrKqr#1XZoEu`$Cn?Uj;6&vYwr=b1%m$eT4``?+XQn2ffmTMdG|RoVv>5 z8ZNY^OdLGnTrq1w$`4DP^tE$2z0NB~q}w^(T+DGT+N3GrWU_?5W&N`w6CGO@JetbF zZOW;2-*Ll<zbv8iRU&e3vH7h2wVHF<jmZnrx)N3@b7sx=*>Gk$$E!G>4R`oCU)}fE za7dn0>Yn?CO9?CoCy5@YIQekp`Q)h8d%D2W?0e@f_#w!WT{CCFlb0;nu`U6-+%}x! zWl8<-u;JcbmZ$&SHyoTIZZN0IT2N<;wd2$6f;y8FSNt+;I_f9wxZ#Om&-9r8uZ~PS z@|4ANy?Vqev!+|IO{xF91ImRD7Fp*#`SJHIM_7fn<Ke@cVZSsS9|m)VX$p(%?Mc`= zUr1#C)P&w@4lg^e4cCqdvUrCvJXT$vzNaH|t?~2)Ig`Mf=;~V%nu9sC>RJ;PdUI<1 zZ%LRK&9UlVYr;l5$FI*hr_I>Md3dE|d&0`UoLTxR5zh{@lybA2uB~J_IO(`STv7ec zBNGqxb4smK0v)Q*Dz6mr)Us*O?N+n@^9@C~{za}n%F*@Sd&9AXEUNv=5!sxMFN-;^ zMY;uib7y&d*C$|~^M->~O<m`ObhezG65hr=Z4E<HW@z2r6&weYs)a*p%?~CW7YO+; za!^RMX_hzVv|VlBO&b4P0=_dgJ)NhxLSAhBrVmj~ZJ#;9s>B^1F6IpTsp5EXGe_9( zXB<tc{fakMJ_`4h$u!~gy63s!+FzEX^OYjfXC+3Z#yfAgH;?7%ea{UCC$flsX5pUe z81PdXawMlXOZQ#BfWPwK1uey|LU__wz5rbu)9`W9?P?#HlY5#Myc1+OUE8$a-%A$l znz;)SdlQz<7Z%AAUh}EEX;HuMnq|5MYe6R~+?c!I$w8LvR1UBCE*s8evZU^J+i>rx zGRHKVwvUrO+t0Qz+R?k<(R~iFs-6W!8B)u(p73c}m9G-<Mxp7|d*z5nE={wR3+a?9 zI=(&4F)dTz%HF97JNFA+sh^V2D$Xf&?#86c)9x8GC7k3+*z@E=qp-WydsU5D$`Nmi zS&r%}L_7{^nsi-oO)0nI%iWyUUJ8S@tL}Z7=(GCIYfiHp^A|j-<`A=LT<|HKQ_OPy zf~=_t8%3c`d%b_A#U#-AC5lZ;%>=I8%hUGBo*B#e>Yew7m{|+{6|!j8v@LkJlSR9> zeZhyVkXa0=rmO#a18O`r95ahfwoolsjL3cuOLP1)EiPHMEqJq>^P0Bcl>cT2*{%zO z{1H9qw3<`ve|N&fuPmY4Z?RpD$}w~N%5qRf1#~O)vx}fw*^t{ZWB$=YGAa9xI`Qoa z-)O+TGrq6uL7r~I$GcW9?puBgWN($@wv@0tdPru<wmV|ts_YNvf+~^dh!c(_cA#2W zfZMV|KPiz<Dt*I*=9Jb}%Z%8APJEZlHyW_dy$xzP%m>wToMJ^2?k8E_nPVy80@^xq zR_BbPhN)Il`)Y<`{MQ|SHVey_3Ruo;l?mB@;*iV{y);GkukxVrcIn6yj+;UERDpH{ zz6DiaJ-Pj>r!>FQ0aePN4W0HgS}iqd8d_y|{6TvMT*Zn6_I0#cuBe&cD&vx$kjR&{ zUtSc{4ggv7=U!*ORff$mC%#F+%N}c;b<9@dw)6lQd(KhkiNfzXv1bd)mAEZW)Pqi? zi~;R*xSyQJ=LFhe@(DC+;W52cCglI&Lo!FqKr0CN1n;eFK9UEj>lC<)Cs@^lfF{~S z%>F-K|HtT>qqX_RK=zsEH&1AO2fEJW-EN&H3+iRLivxb>a$8E=J9|iGid>>1`@>$H zGmff8%;B2s7k7egRA&$?5&+#Qo-yZu6W^re8w}XjevUlh_)7@1kN|Y0Ry3%o^L7P? z+&b0(4!L#Be+~=Fmm1tS;KbK;`+dV|6ZVCcI!_ktkq1p-op9n?b$qh{`$i_v(Uivz z$*4>NHFZGkf-F&P%Z&AjiF`(&6#?%iv|66X-L0JG$M<XZ1_SoiTF@2spp79VZ$MTt zN!Z7*KU5W#FAcB+?T(zj(SUuc73jpzGlyhMKyw#QK#L@{&TExfvVC6XY7_Rkpt+0P z;-I4x4mk0BiUe(Q0Zn3n7B)C}gDz9c5__hwX;Q09$onMFhS(nPP3Di=UoZIg<%qki z$|38AT2B}3H0CazFzdJz->uJ}13v?Go-Eki(Q0`DwD0DZsMxaw1*)LnJaR~83TTVT z!{4AJ3Od@sDpxG;oXnm-vu~c9Kb?V1K<-inW9-b@o;#tUZSUXD>F#m#dJ=pq)ZN73 zh=56$@vBGdDpp*LYF-T+)TEm%zVeH@%sk@i?XX!uC1Fv^j%&UyG9t;EmwZYyn<H+B zI2}pS*l=Z~lXVko=Ea^8=ezIbK37>kTg`XA-TUhEmM{NY&dmGYyL9RN()+tVeaL_L zXsNxa`<mFLTfS&VzP_roHuBf$!wO&T?@qM5`h{uLx8vLgI2sb?f{w0yDDirloW-9m z@VSBIkKHP--IfNOKjmV?wv;Ww^1aPc=o;yl<|dO~$?x-LTfOVK=z#=Ajji{tL(jBJ z4u7q^?Z@KZPb1&k1u&dvTVRqLki{_V^S#fo6{q%Dmp{qa%vQ4xWtf;%&<WaovBR&I zK?1aIqj2uNq{rpozQ{Gd1s!S!J+aNMCKS4$ck5*H*S2Z);S3X3eN+XlTmCEtUDA6- zqbV`?`@gvN7jGbMzxX@>x*j}g`MH<2zb1ngJcomh(6h3KE+hwgc6(~~y~Tf#*VfM6 zo--ACrrl-v3m&WLLDvm`w*uL@9(F3(?&$c9N8RPGRF`}gN9;1$Q~pUFdRXS!dMD+~ zPoSeK*|vjLueW^%S#2nBSKaKTw%NZg@?Yheok5F;Vf!}b?ecxkxIme)BjRmM?~<D@ znL0vxB|&z6Rz&t^wcN#OlfHS=V`UtmN5vIyh52)Omde-q2!@HPYPW+9;QOo&)d)Tz zk$u~1+gsZ5SF0JIhp)Y_34#Xg<=oySzs_?h1eKbD_J~xS2a$#ncaN97)ZR8j?s|2J zzqW#%K5R$K_jA{w>z)6Yn@mzOf01zYfg)&;^<i;nN)Xn6scp9J5$E3H3E+Fkp{w58 zr$bNCTT`~z?a~`&&^_c%y$n;LidR53GR&8BHOR@jI=QO<*iBH_xIp%am|utKD_!jt zRKJ@qY->5_cH`GFAH{#JU<Y4}3_dGR%KxS9EpenR8~1)Lg9gsB`b85%?yqbPy*vF1 zhr`OReRo%WXGpMUxLEsUhtR<dNBQj*e}CbJtaW!BG<ZJmzv3~g#*uwBn={y#<#wx~ zS{KDBNto50E3WE4cnfJ+cl&mjd#_#gn00r3b7-&m+ex6s*=J$*41>>qT>J7h+U>?M zu=5G8ne{IDrzpE7OMZLwd&UJ<tmHvE8Ilclfz#~w*8Q(-Zz1nZx%YD_%%lI;OboIA zRVlgV)iv<a?5Ovbvsl1kv~k+Lr0)28%IB-TZ$nzv{k;m7KmV_GyY%GLUZV(8i^U9} ztJ-<(p<7Jm?+A$B`0<?mlSuhB`VHyK(0h@87R-iPoAujdQks8tAn0ymUGQ0#XHP>H zqJ#Zf`+feWHRkV-5BJ;&JN$3$b<;_|R)0U45V|`Qe3YIQXzhI)+_%l)Pa^a4^^nd5 zy$nl%Unc&Kn%A5j{Pzu0N61}n&_<`duoF6OZ2i@x`>$mGy~V!^7_+B+_*Cx*KM3;n za%g$>^D+MmTQz-+1`pF6KD`VQW_v*AUV;mx$@`KX@Bg>`Uh;;;NGDz%z7E}a6lVR@ zzN-IbCn%$?2j!Sq+o4%J-A#~Z_OH7E?=RknMOt~k`CB}6yT~=GVC9wjw=pP%{*D4= z@#lWXIkjKrO7)g_q@x-46dzgy-SqLYuy@HXQ&xeMyC7NhJM_%K<7Th4xBYk`UDf~Y zASfk551IY$XSoErdF`LEl;>n~5r-vGch<Qv@JQ!D6O@0>)$p7@`ByKAH+jb^^z9S- z;&^tN;~nOPiHuXE8lKivz;aNQc&5tPdtspRw;1H;&xTM(gUjFbDSO=?A5li!pgubt zx>;aN=}xzx`dZNDH#d;J=b2D_;9{xU{gv&l_v>ETGC(tXxgG2l^q1PElU{uXono2? zI!g5GH&8hWDwe*1{Q7M17rDFNMFr-IGeC=%YH_HIIsa};c}|{Px*_Ys4A7>Lhm24g zk7WPq>YcyE>Aj)FYUC(A4U5v<k;<9h5@pw9*(?Ta!-$ks{=bDe!Gdk^Z?GrdSFXOd zxG)cCAI90$(0v%!b~!7r-0#f3`c^e)Gg)bv46Kky6gjwI=Xd$_-!tN4kW#~QYgn$^ z=dHYQUo`vbUF*Sx+FC0|=r*()5uFJ)9v%0)R9&(^0!i!pH0WN6v-g)zH2JxSFN}B9 z_LJKfHMS-~_cGcQR&^%a_))3-Rjzq8@=?6^U?<z&YL@cc%wD=-RpA+}z3dG^*>xV! zirBa-;l>r!{Oi>w*N}Ee-TTuD&FNA7*(zVN-%mKW>RSYOAKL3Viy_5#yo9Sk&bzK% zHm~2l7eBC>u_Fa?c;(NvP_4`6U-eiu|A(IBnpgAUz&X7t8&XK@`{VR$|NQ@qEHRtq zQ6@ujC#ELNyI`4WrEaynVpfHk@!@M-*Sya7*r=vS&NRzOO<9?7`h-#B%!z4}Di54d zF;1S@nbua>an0+DQPi>-sY`A~9fmFZe7*Ji_nmd$|Ni~A-E`Z{Q%bMvuWp<D`M<qV zrK?i!^tD_5<)^JNR~7eJ#IR<nqQ>4S33G!%dpkavGjZ%&_~z3}<-Oh<r{Y~V99+vH z`d;-$2Cw5&!GlWDxqA~Ie0aVh&v(O(nx<FnLOL5;7Ch5r@t*4(P|bSKh_`9ib^)Do ze#gI8S+s2+XBr*mO+R9|cIq~jj5#V1PZOFJSvFnuQ_|SilhE7E=@sX>;o4c2rSH`u zmiq^M(`@>BO>oNn8fic48SVie9h!Q@ghT8a6DDp}2aTGvc&`gv-gji3!iqZKgF@wk zS8ApttlY}7HC`zqZF8>S+Fiw*)4YYR#JfOFBvOfZ$<%aAn=@=@<AN7jO;_dAH0)F& z9v_8FOB5Adj+}8V%35ejoX3W9fh?*0$`R{b0{$7ZNXPgEe6(hfzUvwAGn}Q{#xvmS zeU|RrO|oslwvHQ)>|-&#@3P^_MwYGT6(XMPfsU~6p6)AqvUWE3T%s==Qg)6TF74-# z%D0ie9F<z#w5VEm%{RBEN5=)$d}M5zB-*rfpGUy2sHW09b&cN)2dnypr~Fqx$ae9q zIrQ+%qi@w*8O&}?UhqkpL(Fp4f>*ye#jK|<_$AIUZAaUJwAl$Oe{*KpyKOjA%u<^E z?!f9Zx!wWwj0d^atAlr_C^<fU%CfqqjtO-6`-WiG_4BNPe%m>I{LOLghpgktbk1wH zG#WFf_6e^sp1<HtBFk%CMUDS<O}yt6SNyPS>Xl-dy5D_+$MglS@;Sw-+ZOD4mSEwX z13d*Y@10etN-2lqTbYAK>cUrI-8S4w=X@3K2_6K$=L;SLw|Cv(mE!KR`h^(F>bFh- ze-xTb?|B7$a%tLnPEDg$@Ss&V^sJ>hxxTV5@45#3yUrrLMs>xH_@-X7+ntZ6m#alQ zVQ5;FuNbk$A>gkvi+7Aiz-Mh1@4FrW)uIQD`US4Y3h8{8Z~8U++|h!t8<~(vFq;_A zUEyg>$BqlG`IOkS=(+HkFm;VO+k;Z}Y8pQU4o3M4fp0hQEjza5)H%h7{P?C%kxjgP zsw;jdHuauUUhyZj$#os{I*OgY7Ozy^$j-Uy|HOn&L&vk>=MQh0cB^s0w|gw7Wjq7E zOEf*T^9b1QwBgWn4yicr4VU;irR*IxoRa70vh&|?YjxSdg0Ayw5z5eD^OG|yT~cb| z`0_I6HOuJ>-YjK#9qSzMkDW#Oj(<R*!-k8+EK}oW9@!!`(>0)!zv(ES`ig&kO{#v% zD}EL>EzM%tx?U~f*)~{e`|c}y^6$I_|2DH|?@0>xS)Dj1Vd-5?DS5XIr>r@;=6P+n zb)2Is-f6=za}KY2UK_%uFZd$WR60*lBYStE#p_(xfI9OgUcQ--Ydpm7+m)u27&$&Q zI;f=0v8rxv!p3NhRsVYuI<K;r{&(AOrRV32u(!G#(>#T)*mflB+%9;<equsvzmUnE zj)bM_1x@k<*L=DRb=u;;E0wqI7ZQng-*D`8+4CPKD_OE-9RjKh4_dJ{$)td8ovsq! zG@<!VIOqU@UeKvX!eY-7zHoq!V*rgM?*Sdhu#xq~F3XOypp%CFg4SCu@)Wd@;8v(H z;G6Y+?ynWiS7w6tXV`HUPl!C}#JB7EW&`$}eB72V?2mw^I?g!pwdrp#U|)LuUE}I0 z%^yM2E1TrGEj#3n9+J6o4|G1Es@SsyA2Y>@ChP%Slo@;6iSJVRCIj}l?4UgZqM*Yf zQ~sNL4P@VI$ZdIIf0}>HQcH<92b}o2euHi*R|a*i@9La!e8MAE6acz~Twr%ktIQS9 zIarVQKpQhuxr--MHMPoY0nJkwNiPSD&CdnxB>0x3$o^LubVYd`cx+x{?f;Kve_qdS ze)tkJK>rJLl0^KeLoy+tL8C?6Hyf~Tjo&b#`3LAG)5r2UPZT8Ya))Q~MQx5a;aKGl zI`h$j+w#P|?pDhebB{UkeFCjgWHSY=3{?ZI4DD@|nNol5kc^8Q<ZhCs4<1^r_*eUC zF<+AL_hX@_9BZY;o+)f>Xq7QB28~&P?kNG?I+6k!#dxO*I-gPtbUx)&&~X>1o%q_a zK|6CgT4j22<?qa~T#<9uiEq(o&=Hyob)G2fYiYI2m;*X6DjU2X;?o?^WY^jRMfR6$ zp!>>OTV+z-CxY%P2c1lsDfTSEAUQr}sf@_>ltex^Ptdx{8LgHbPe8YTtAOUZK!K{C zmdGa*zG*`9Gtju!t30uy3-2}?u+Lns2D`_j<T~gckG#)+D{k`r0xj}A)Tr|$VFzgF zYVH9izGLYd4cMn@aa(r89Xup+1#}Dj$K9ZFNf(1AJf^i;X6!uzx;{ns$l00A=VHW) zCirePVBZV6q@>=4yV$^@7#vd^pz|qNgF)w0o^;|n1wQQ}ROiWpUk2R80@9#!IY5(6 zNtgeEZkq*7;dtn#D6&ic1KsKIpZO{8#pR&dzV^rKV%g&#EBBU0y-M+lD%u=;>6OZ2 z;l~f&+__a~eZ25ZNsxC^UUZP!QdJ|(wiK@@72!-(9Z&6(R&!03OuOTwzIDl)l0zPa zeP92^T`zur-~Rb7x#vHB#_j+9`}5EDbG}`=tGnsp@@;3=^wzt4>T<dDfBU7j{WnvZ zBcFAEHgl>rJw2ziqF(bL*L6XYJ#!P5R&z?(yKOkNSvceDj6X?S3V)6+GQO<eE8K8Z zh~?;Wfi)ZT9Z!a`WY2X8_~p}7s;8(?t$VO)yWo`ff;k(eEqG+iBAvU9y)C%X8gjJm zjD(F-S+4pkL@WXyf6HPW`uWjH<&~fv3|<?~++``1SB-e5+4Sq8t>IeHZHgH?j1PKo zb4smOh<Iwzv?yI*O_`$O$JLzIGK8nZIB&S7%(C>l@EYUfcix9ty~7wDPTCyp-^Bo) zwEf8<t)spoLgmJblBQGQ0y;&aj#p=MibeYd{8emHy(W0&PKkb8s+M0swb?<V&m6Dx zlq23{H2qpGxaI?-32<X&TklF`@Bu~L2~+KbL~17`n7-}J6usY-(7Ro5%AMH>Yh@f? zF6OvqId#FCN|x8LjsgFEvq<0Zgq%#|7+|@Hziso0Y0zyM;rFddRm`R@_~pprE#n#R zIhn<Ku6MxiqNda+meThM5%0dUe3e&^cv#QEyM4y-kKXx;8FSPko-#BoVr{x==N0fr zrpa_4IMiCa{q7l*F8LbVbm_bB8e<iW-!=!UW~Uph{gkhEBf-3>>$hM|35(;?egT~# zGsmk|2a~P~hy3R}DD++M${+57LFWap%(Ij~9GM2&y?56#*u5yS>DOwGupb7FhmASI zei=DF&gKmJY3O*dnj`GDvExU>gHr2b8ZxKenU}COmeWgLF(O^n@uo26wbcSs{tF#s zyAD0ku-kr?mC>%*3qH-~5UZTE;FY#m5_ma?t?Py(N=>ucg>_189N!vqfOjrjWjXzK z!h(NNO`>cpyw`=-eEH-m;rDngXISNP=u(r5tikSC?Sdlq(-M09g{G_#TvICQ_%a&2 z2IgBLxItKdGs!2r*~0N?xj>Fd|AJ2oS-NGM1HKBgbkFq<_$$rgeaEB`e2Z6J+48<6 zaw;qSsvI=h#&UVpZqb89`RXh7n;+zA7cjBuN>~a#s?o~vqBLjNZ&vW!+7EWelfK`M z7F@eEX+g=Pgt<GR@tMv)&+3x(qy>MDbDCL9U-0NUhgp_j$Un=2O0`X^>eVCOur|F~ zf3oP$kv<mF?+OvG9GY&iHl_M0uBhfaSQM|iqMrF6m;G!=70dsAzE#jKX~)OUIm0@2 zk8bID=dj_{JC>v0)gvC)vZ(s2MZC^wx-?yIO|h-xO}>Lw-vy`q*FMO0UNEHQYn{Y7 zx6K?{`)4OiTncqs_<ieAl`y3h|00`I^;B2<%xzklr@o?=|DaL7;1yY6o$ssu8m|3y zTX@X}y{0yAj<70z$H&5)VLy2sFM4x?WebVy?@j2v4~@{t*~|O3%v00Ydy{d-HLKe~ zQ|`7V>~&>%`k6&q#y{ZWWftjJmw=zqEZuj!0=`~n>9+L>_<Ntl+lBu~0r)7`xtv-0 z3K3~Xp%HpFd3oOxJJ*2yZU*eF`I{y*Khy<H=ZEV&N%&&`n$8EEM|sYI!`hU6t2wA< z^V2!wsC?LoZ_??=8O_%WLEAH|xQj1Xf^LLfpOVN|WxjDj^B;XsbD?>%Qbntn!w)W5 z5qD5Qys5cxYEeL)EqAfNOwe8uQ02Ta6?EyABIrzGDbT@Kjjfg*rl4tjH?e05#p2wS zFYFE+l6mqEw3B4^lY-)B3qDwh6&d(|&d=Nn+Hsly+Hrc&6LdCZz%7FV$LjMw3fPvu zTFjRP+T8gOH2;3=d&CLHPkv(07F-3Lx(zxF@*Fp4hU1oV#ZA5{Yf$@U9%ze(1E?Zq z03D9i+A1>zv{vH@=+gDSdfdeVyPI2OuGE8O4CEk7DZCCIF1_&Q{S|lFCEFs}R!?dE z#;0?}QSGb~->%=F2F~}*6Po{>1RZHz47w!@wD<5AAGf7MdBlw7Q~8l697|3dlDPs} z=<#T_eAjGa_FhoPJppYx%+}<#^pHPzNT$SVvQotk@S)C|(m}Q6`yjER3H$q6EhX-p zbK>jz4m$f0wBF-qq}VeBqhiZ-L42z?#hxjcwYSP#0o{Hjv>LSR1XMehs)3fB96BVE zvMyDT{qF6E8O<^MtukM(gHDJ}2i4Btr4$l*WfJQ^TRM{!*&oM(+?pg-BoKS@kjxdo z1V#3bT%bL&Gg@U-KvN}AptUJqKuxRXfnr4yYP(u3U$}-pc=kkLKj`@K8#7yFM8rXE z1f6hJBLmvYnGD}f^7RmCJ4r0)gmBQE<r~{tWlZD~6ZwK(N1kx3KK2lFhV{)^tuiI$ zpcBGDb3XN!+{FPJphWr-lt`JxiVXHmYPDQ(=Zq8IBG9smTh5?nO<${JM$WAIm(QBo zAq^WNJ4+#vXA4Ycx5_Me4w{Th)p?>&ZNgo=;p6}BzW?`d)CS2Ns9;RJkv%0kRkZ!% zm;Iv3O)RS=0#&9)J4{^A5$K|%<hD*wFxX3R3e&?NkA+KDcDT9Bjyl-E^iau7#B*!X z6D3E%Ceh9gi6dS+IviP&*9y3pC^h9Cw#>G@`8oC4ud|=$&D?w5`g3BH_h+%l|APK! zZ++056s~fq`lQ$E{YJ@qHS7PWa2;UdZR#=?$SF~GeA><-R@t>+Ra*X^z(enaZg(yC zb&kdRjc<Ul`-UquO}D%`rbQYyUQ^Tem3H`0yrTN)m5FysS-!qkh<M1@)b^SqtV^ad z({!HahEskluHTg+-U>85`pj`H!Y81}@?ccBz?3|}HJfKGc=H!>aU;_~w&-&=Epj(J z5jdnOUOq#F@rH(Pz)yuHS1y*&^C}TJl8#?bbAZone9Pj!R&j-`O2o6urd`o8t>xJ} z-*UWq@44X+BMa|yp*343EcjT-vib^m5P-!xwB+iQiMMiDj=oopc+A-}>Ac{Ytv_RY zR)5iHD*dLQF<UhvpU?5<Wk{iYltp^2%8Eb1O|I`$SNuwEI(m%dYJ90l+vX$NggK_k zIPG}y%z9bhl5grOY-cCTWMwJUW;tEkyWpQGWCy-2i}v5n1s^xFtlslU!e{jhGnUm$ zIlA7vZ#bsT;Wf``!?op{Uhy6q&RvBT%<1{_tS;@Iz2HwnLUTHY*1kmznYmj`9iMI& z*4d=*c(q?xr%cH4tG}R5pz?~Jx=l;-lvdP=gZs0!vl4brm+yXfrC)f>2T(tcPci0G z!TgoVOZx>)>>3lM`U{EdZAjRfFC?;mVnVN;<H>f;YgJtfwwyh@<=V}z1#k3NUcdDX z__vrv`i%OD2(=p-td39f1$2u3Ld)f=@jkMhwjKe0-?Mn1vEaMB>St8b(n%~^zbi#N z3uxN)nPZxD_kw>#O``k!E^Kh!aOpg!)P4UAr|xrf?eo}hEBjgTtsi|X*?T~@Z#r{K zp99)zyV@b3O7Ni7X^vBIejDy>WqDffw&9>uQ&+v}jTe<or#3z|T<f|`eZ{Z5rlWi+ zE9y)R3Z)BN`D1f1Xu8mqIM)q6AhSdrc074k3%YMXam9a`gIw$F7%yj8bSEq=7c@C1 zw5CYJ@uJYdtoh0sb({yK?yG84Y9EaHE;OZXPQqM6$D3#Ujuc$GJ#E1sYfiHp(50|3 zHbt*aWH35DJujqFB<Ofm?O@V&fsp^a2Zj0tulx}|7&KeVaP6(z0y>+m9q<0-n08wD zihXNB>v;i_Jrfd^z85grH#1>sJoK6hnc9+99-t$<7po^mW$&4|;7J}!_FM0OUmQ)P zeo7kEd<Uyc!AE#MP;2ViuXN*uVAHAp3K1!jCmYULm9G$y6W;VnvPqQ>dIE^K{~W7H zR<jp;V`VuV;~MbYt?6l<XTbl&CQ&yQ-nSw?*-Nhro7`(nnEG8<#I`44>toI?eO2(; z-O?=CvHk(S{F+MTV5fWkesX2vy`EshwV&22-%#)j_*ly#4O)6+0bY9aSLt9<xKPM{ z#e+iS0$1J$>ul{?@U5HW^qH6gnY*opbiT(m{bCnhQ}70Ilttx^j8`5jy)OJHY6`7$ z2q-Z<n6+PBW8bud-v5GA?tC)w$zJ=G(`z~BHOmPL-WamHp6eL!kDEn0#w+0CZx-oN z4z2%v34BV2Jq2@O8C#;u1OC3h;w*b)n}sa*q~>3sdn1m_(|NMsr=Zv~1=H!RGF!ZL z&Nx1^5i7c|w*_=u0jS;pZM)>!pQ^}y7_?;IQa$KOiOsz4ZG|}R?Xz5=0$LQo4?46U zQLIQ{{^3J1CVsO;4j$dgVD{ly{c>xMdDdS8*w=zKAy%ovI-Av?<q?LUWq{_~#RfM@ z6yui4h}=JONT#POU6K7MKWKT~O`RtTs<gO^1y+N$cY=l`j+la+nk@EAp_HH7G6QtA zWy-v{A_tF#GDye0IM)4ofwV32`b#oT?j3RB`?VXi(GN7k|4<NAhop!V8Q8UhmPoX= z%D8}bWoG4y6$$JI9Tfd%VyleG{KQ1QsM&Km&DGgou8o}0d=7Mu^lwJc+6Yir9(2OQ z!JCtncI*&ys3?>b*>CeTfPFEj(*5ZU8gbAAjW{rK7YkI+YL&SXzl~%4B^i}RAP0wu zJyX~Ua<KfdLoz9#y7lf-PzSz7tmr~MXvKjvXvG1j)$#>&xv2fgLoy*-Djv_~)?3HK zp}(&AMc8Cz$3(s?>&+9If7}DL%8r9J&4OBGLZCA?K+T7BDT?ejL8nsRlLZ~F0czSr z9CzaDnz;Fg_lpFR$*nR|K$WXf^~MR!uM~C8IOfQ4Tdn|i;ZLi(78L3>Jcv5w__=Fk zyOqb*h!c+0rl2!6=7TnQZZcrsDIRgcvHrAb%}qWo>kSi{6KAzrYRH1lwFYeio@xwg z<A9nypuv_S>r)f?q_%@j3YQXlw!kz+k^Lp;1nqNTssE1hD(u<8x9PSo=wR#@Z%;b$ zePRb4thy6)Ugd;VONqJ1o%l|bf>uX#waSF#gU+k`4q9;nI<?`ErC8C0J2jg1oU%(m z2V;K&t&~+e?ZmgM7<B!~OVBD4J?`Rw9Th<>^@YqF>z2y!g!|c+9RBaW%>EyL*6|#_ z3dYb`^)K!~ws|g-^evhCXkCoO>&*pAxsO}wtA=at2ufbOGfz4EZ2#t<E1!-`4w|(k zR9b7tiN!5Fc1~?vFPFAAPmwY4&~$t)^KZpZ&$YeVUbUrf-FN=^*KN=L{gC_qsB-^( z{dd2Q-<3DF{Mm2zy1u`a|Gdpw+fP}SHrxem^sH@>dhoB3QRc(n#k0EKEm~1|P5MIQ z&p)7zp4y<jAba*2izBvr>Yqj0Eu)b3w>$pCvZJ7VLPZC=p}W=Yb;0+-fH&{Fjz72T z>2}cOokjN0Z7%K4;d>CT?NYExvAVlQe|Fe*)&+-OEnS}i-HL{|TK@Gq&{m?V`jgAP z@`LuC-dqpe(so-Kz9k8~l_<Z`cD9&3w?q3>@js@J4R-f-BW|-VysUWn;@jVwGk>0D zD2dqm%mK2at>y-NgXWsj;F1$1zZUOLzx?VtXmiTrgODvrKT@*c8>H^$UR1n%uJ-?l zWnbTeLg?!MGs_s#WDj(0F9&ZA+W^}(Sf4V<w_6;vTgJB?y7_4@ViOQ}+o|nOH#6P; zVhwz&xA{Vq9A<~_6Uw%gkTsh7@8A8KTdI^9WW(P7@rOG3Qv|{}u^{JoZ|<*QongxT z;Oj**<`)|tsWaaDbtj);^Ep=Sd-o9gg%-SYIP>fG^OVbv?iO#({Fwl|hQ3<QoyWn& zVOh+DMDYHvmw`98*gSoa6ZMu$0TLhWzroG|Z{@5~wMwz7y?uLgW@#0J)tY<44v+xw z=Yt2pHQOmi*AyT6lM_{M!XO*=M-jR+DgV4(8OmniFY~^M%TM?1<_3k6Hsg#^hRsZC zD)Rq*gl`xE@7Oz6k#44Yoo&J4RnE|zM?VT4?%t%?(7_1W7Mi^kwCk$a@5HjJ(?Pqg z-UwfYZjp0E1e7W$pjJ)Zlv(S`V711gED;izd-mFZJP+Oo<#<`~^1r3;PcA!Z&U_&; z_4Qs@qCSP1sAr3%Uj9_g_+mrW$=}euZ-=|#i7`7?2(;a^+RJQh9ca7fbbaV<GyiLd zgnw5+*68h>KmT%~{)0lO<_|N}u5VM}o9K9F@y-0UUH{~=qxwu25}&HdLsQ!KD!3AG z=)AMiojq+o7ihomG-%#vN9<b5z6;uY8YX{k+0}f|cF(EHVO!F+Bb>8W*(&8$Wk#gg z+T)<@o^Acme0Upezi|DZGdWRvq#F3N^JC{h_F%rhJ6)L#X#?1cm1SnS|3w@4R==~* zhGav#8WngLUt0{?koGw}{c@IHI@^WBuXh>0@Unt;@t&Lv_g85-Xmj`axs!cgKR$PM z+0pZ`jhf$#!D@`2Ht~Toy}#Ww-|orhPcM7A7@9IF-Y*5m*32Tuc5v`Kt5cdiZ8>Pi z-d(7Y9|iUBbg`B>^31a1@e_PkZw4hDW0;Z@_%_Xm?`L(==DLcfUH&y06gW>Aj93j` zGlcD_J+lgF^V@=#7wY%#|8n`}mI&DfK5c%MglmjvxHdf6E39{F8G{mAf~%la&YhAI zC0ia%%87~t<@$R(u<X@sKN-H2^YxV%6JCD#bM@wyUs()RYwXf|XMwZDk0(7~kAXHu z?mE55dD&c3(4M_skeiNdg(aVz;P3(Mvj-<1^L3|}9lZwHZvN^yG^y?lg)0Gv&$_7j zzNf93FC<>w#0xd$;ZnGgt=B-?VgH^_zx)c6G=AMV4K-%6FI-Kjem~#LvTe1Bv#)(; zUvRj~zt#{E@%Q$DOBO`tI_G<HON9=DY}mTpHc%zI5gQS~MYL^r%H>byj4w94`g9iN zoNsWCLpE5l@0;VhIvtdnOXHziLjB*tcm1!i?%<nQRu((e_q9F9InnE&8F2f1<O=M> zmi^CX<wVKwIJ8f-UI*RF`CSff3^=mB+_}Cf)ABWFNAYSlM5$_5gD5qw34>DfzBseB z_X?fO*1qS3Myfhwi#%k%a7oFDEyXX6<wVWDa(>y-!_ZV#QJoJ;hz&kF7aRs}?%uqA zqHnhngKU_cAhcBd9(NjE>4H*^$^U6NQS&4l_*Tz@?Xz#6j0m3g%`<$(^G+_iS_!Ja z@}VWX-OTFOKAJFd)>!LXeVJD_t;TxxHF4Gjhr6ybLw9O^Yg!90c)`hMdcFE=vDcu& z`5`RTZ-22Ht_GZb!t%~9d-{x_B*HY`9hy=LGC|&gY{usYr62M8(|lKh%=y}M8(Py% z7PU0`{JUYM{LjDl=l^HA_cP!w=$P-^o;j(aZS%j+Vc(>fvF1-e;S|#v-b-3x8Ot`@ z5?PwZ6x5}9ZBy>Dx(k{S1|qK$%moErUF#2XFlgkx*}&=C5mnzH9BIgOj8mdfp2f#) zQ((56f#A^t6Lb!~wJVk_Qhzu9?%rp+-anr+`OP)6+7p*Ah1b8HxA^`gG4GXTNxe(` zSE;6TSNp|HW4O0-b%Xb`H;dgEdU^^EUs`@zw&B{P{L>TP<wIA-T$9dFG4;1|Wn0ab z4LQoUU^e8y&)Tfr`;vss=c%3l_23`Usxtonuw!*MUN@Qa?3`6B+v->I^}tKRdRJfJ z03WHk8FcNlyp8j`ufLpGKjbhdNj3*DZ<u5L3$`}q3%~yPgPSuS3bP8VjGBFgqapDv z^hV;n_FV}#{@m66D!2AH=yG-FX}<UVwL=%1q}|)<_1>^9k<n$<xiZkgqwivnWkA)r z`}ZYv&+UI@Yvqr;c=PuxsLm>R3-9+A?*xGssZIqgQmugb^;KEzQ8l@^wcjPafQ}{C zI14^O*zQaJ=^{x7cP4?28;wmTJ=+(=FmY8K=vvk_hM>dAK}Va)x*FvC`dIu$?(1}< z^*H%!A{Il}XKh+IaZ5oi=n~{QkYj%@hc4Rtr_q%7`Mk_uL)C`uaiBw`51as7dhg$Z zsJ-kBeJlz|#^M<&z4rqcCax;p4O;m5TN~=pw}Q1t#s1gKySI3<dWtwZ^bFxW)vzU9 zRnxOnOzQ&}CT1Cc+$sGp4!Q>D>gDj9M^F7<*|KS01Kp_$JzLxiy4cC=+7*vkyH_(P zh5k(k9a?;>80y%Yfh>oYwZHx<_jU5Q>+qGP`8gN*ctA^AZ#>ECUDEm+bfvXipfW?- z*8htjD~I0qD@mBupJA=)zjli0-oO8bOwfhL`SpI#RhY*2uXsq+d$6yzvIVWeyju@i za%U)UH+%gH?QK8adG2*j2cPl{T@1F{_w5M=E1`ytulp8Hyi()CzMAbkXnB>i9(2Xr z@_SdpbCUiz?LD603|i0y)z`ion&QpM+TAYQxoi~?`hPWO5!taq@ssnbz;`dt2SsMp z)uUDYzn*~>+d+?mmi`S3p33!Zmz?6+S4XX10lGkWfBNEk%nc72+`fY&^LgH->Mdo+ zOHJopgoe+V^QM!I#a}wO>fG!smIYUKEst3RS!%ksEDN+2EZyw2tyMTE(ZZ7ZUf3ea zy_LO7p1rn;FtvDR1iC@l?9U8X1}z0$%bq&_dNt2Cq!nFudtf&xC;MlqoPDZm6cJjV z4_?ugy(U<h0kr<|e4mnp+25mUKdrgWFM_n9%VG_5`Q*vxrjx|NV)U9r*9JpYoBmdU zCcb)+gByNkZ#%j9-mcA{gMd{atHHmmhXwFw^WG&NCvQ8Q5Sm^Jw)S<MBP9LB-Cni+ z#-ozpd0)@ff{F#$z3g@?q1N8pZ#rp~cKL~fs|m4St<rJOqvq$|TDktlk@Ed2=YKsY zV6>5LxYXmgk}=|3`+3;O;%{_&m+agcKkeYEU+EAhKL)Ms1}*Y?1G?YZexK94ufG<9 z?1dFaec%I#8C-Hc{g`{Y_(M+A4Nzv=8rsVsacv)Tz34r8SA(2?>bt+ltzC{%9`!>@ zm9%^1OYbGu6obkm(0b2^?+YLiw71M7e&f;jn$Y(bD;FXyOT4=snx3v%=Wf5Zc;{jU zrK=A>PQCFV4-&?|udLaZB)os8+j~QcAkYFq=zZ=FKgPpvWlzsmIU5@bDv&0F%7%{( z5KC*zL=J9vX&<xt`-=ThAg$2V<d2WoLN6^)yPmD0`$oIL<Ej<tjQVVQ=*{Ecy!wCn z`q#EmnMmi0-(C(2;Acs_OFlBQ3aqr+3@RMnJ%$zzw}e6KardtM{$kJLs=e&6bKCE3 zh9-z>yJM7BR;Dw$tUAaH()iFAs&QrdSJBx&-rMax{@_R^LgV4D&{{(J_R@(a<?*0P z+4VsyfO}!<&^Lpsm+<?Ss;|6e-ShW<G7D@);tg2cbaJnhCwF`4hE-o%K$-m8X{eRp zjQW0$=lhFR;Op)^*aARX8NN+{MdrS>6HWfD;0r6A2RbJFnk=+b$<7CrM*pI}$j$wX zR72dm3)??&kKc6CEdQ4YS0A{7im}7V-%i6y6{~G7204#<B=;UqNCuTi(4)Q&?}d4D zx4UxYJ672>tNsUrR?R<dVTK+Op6)KlGdu3H?OylVXe6Dzv!Nkt_H%*Tr9*<UYqIt( z1g&ee{Z|V;nEY=b%i(ACr2+3R?%D)OdN6;kh1xm$WtK|s{#DJPbCdbOD@@;ML6Tne zTV>Uz#O~>LR(*d_1705u)%wmBs`d3>KjoD(^Cll$b&wNsQ+dTYXxe*aQ+rfx+kcOF zUk{!I<uhphmbU{(%dh(Q|IDGr(i=f@MYTI_L2f)-`6D1{+QiJ{NVn7MCdQk5!nSD` zf0Q(yd`2uyY^J2$<2ihDXIm^!j_SH4b=oLu?Z%o8Bhg&RRJECscB(Tq)5K<`2LJlI z?)BNT_Rn|4J^%Z6?(2Q^_ly5o91dL`m2y~p-mIUOrhCLqJn`Xw*sTw9d(CD{&70@K zm~lrr;%Q*hqU5Hl+brHbl^3y7wrfu4wdL?Srx@|tqv;ap8goUB-BS|wPGxzTuX5u- zUQ-vpaLyMQ$ERogju(jCOk`T?tEL^o@bJggD_17osAIX>uMqKwrD;~Wpw8Bo1>d-u zQm+YKiS^%brvbclEvJfY+0_E;rm1DB88)*MrXJ?#lJnniOOoYiHcNJlIb>4bZ{1w0 zOS`%kd}(HRZQ~qJ_So2P?bk;vPs`PAB*Zs$wF~5!Ob6d%uA{c1iuYg==mK-jX%!6% zo?T|~z8NXu_coVv+Dy<YJkTXi<?~l6?`-CHCGWn$Y3725PEAwSsb%b$l`!=-XV*Rd z4Y%SsyYfrSmTf(j&EciSd98}y@g+0oHOpxW-e|JCj&%t5_nJj|i<*FrWlO=yqw{@b zCGWZi{M2XZ-s2JQ^{Qx}{O!&Kzjkv@vuIe5)|jwzGI(nInOD;;a{-<2!A-xu3$6K( z-qfZqutvn1{cz?U<%3%9XF(3Ou-x_k%EVjhoL%!>HXN&DQJpTl=4)=#CE2FZcZwRn zEe=+l7oJjo)y5~gc_xeKea{Vn(-wSE=Md8r46&UK-ekPrb;A)|*re9_WqnKJlvmiQ zMWmfBHe9>Qm}6QsyW?L=7VVlj3m$f|XwP-NP@#EH%eQHfx!{^_-c67C1=f7zZ<;jw z{PBWow;C6GdB^hl4JZmgp=M@Zx}==R@#khvvk0evkF!~%*DA00!`<X6r?lc%dDGEF z&l97r-gnq=B#*`PzW;_R4_UVMt4BQZY1(Dl^mLyicz3lubP9xDehy?QMDO|ITf!=B z9WTamgq;=;v7Z7t7-Me2+M_H>*Q<h$^S0)^R^71RPe%fCbi>1t8rg&3l@R?26Pwkc zD}w9NUU|F;X?i6tsB=+a#n0NNrSBA0)Y>03@)x{vcSTpG?)RvsU!F~(_q;EBaA=xp z=X>FYNK>euibj>_!L0kr8g<eKrT#*f1b;fYQhBX7r&oS4<MH=zTms7So4)cXX#Ce~ z;`LKo5utkHg;&$5`>GL7!kSj?SB(JQ{-zj_^qSjn&a8a32rb`$>iVYCzL}5+-C2F< z%EUWAS-wseUh_e=sZF+NYMt|iAB9b!|9k^VR=3W$mX)uhu}}P<l)RcorOCl4)uv0I zAv=t#n!e^KYW&Y`;+2CgAQAs>TAH%usq2ierz{7Rs)fMEVz>*2fR4rZ%#ju6w&99a z(=BsBoy*EAesdfw`meHL|4${J^j32rlRI-0mhuakoD*L233NC(Xc^VwsVkMYdUJNI zSBZG+&Z7EWE#kFe)1~u*YlLHaGN<01m$3IP$Eo^;gyzGXT6KL1i;X$8;+-~};A&cx z+jR7raL9k<gF@$_hp5b+9n+JkyH(clZ7j#MnZ5zv1Dc+8v3&Jck9e5W)OKBH%@^mU zW933?KCw3~Ixn#1TbESZ<mQSwt(F;k=C;bHfYua5v2UKx{3Qmon(@^Vj<}^v8o3f5 zm;Rq$bwY1e)r#i0=2n>}^#=~g@PIZT9=*TWfPL}uh!c*H(;tM+YCf?Iv<MG;GzLHD z7R`tm%|{AB^C6+2`H%^%GFw1bTN#1QivA8#_1#*m=tBLZR!a?+X?y-WYwFHsoYK_& zI$_7zC!n*uFGYh6cFqSa0x=XT60n`tYPq7ewN>VbenKLjRD7}``$;kG;tRW`w#qDd zpPa~N<-cJ<^PBp}8O`^uO@DMfgzr;+Vj>?~CTQh{2599+TdT~J{bvrzxPT7lc%;?v zAgXFboZ;61_Knh@!@Si&$7IKe6<v@4ojVSi0I6QRv|{Qrh0V&`mM`8NJtXtQ?vNAT zFVJZrt@;}$G(W5nd$u4XU6K9qYtT;2{>T%KU*tgZV(Oqv(Lm=n?D=E_odTI;tnS`) zNM_47(Cml~XjM&Dt4s=L7x0&7pj9<bb<P}25aqVixCfdOs{)<ip}}1&Ah~%$^DD9G zpQm4N%wglUT=D+kAsLlt8zwYAn+Uq;)kUo6!nzFx>@$~6R{GN|?NFfmbiwYTR}1-y z%r{MF{s&sU@i0-W$e^aL)lwsO8_)V6zGJsR7n6!`TXwuVen{p@A86r+aKwz}W1!<$ zcY>CUf>()_f-WYt<+eP*7cryx-e#RA3i~r<^UulfY=cbo9qN9)V8`n#&aypen<q4X zN(4=aRe&y~1zl!-6%?FSpyjO7T4hW?TV8`e({kTH3tQ73KdqQrbfFitj%F_Cc#L?^ z##Rn)ONn!-iF{t5Q&2xDi9K6Tban{`Y&vY#%66+2rJz&CL6dVIO}H&RZi!Y*eYRk$ zF1MuzXeG1NZ&2Jjf+iU)#EK^9gH9c91)bhv%3W*_b=HaR6lekO#NUx84!8Yx^{xNM z{vmzmV$d>B=waXAc3#ey`Do<{ok(rv(?@(<&mP(2I;%6)O)hh0VA>?z!)Fc|pVrwl z^@Ys>zxL&w?Uu{3FJ3xgbo9&-qs7;x<PQ6&8fOd7f4=M9o&EJ?vFrElKfmtxzq;?| z*M-jCb3o~JT~_q@sQ=z7>phnI>Y94(@424Rw2hM7@qJ7IwcJgrlY}#Jf1H}ga7g*A z?Xtcld<rXUrzgx5=6H2ZJ>s2G(=Xj7(LD8x9|{M(`h`vEIuoXz)qC>eVlHRc?cN1H z{;_0>aCn_lj(DxpbcvhuS|n)YBB-t?yLx5fJ!Y1t@~Sr;xHffd>^4~2n<gA`Z)(EC zzZ|RN95>wf$a3|(Ld2t*rdij8bgn9bOY3aTSNj|`+&LzmZ1FW+aLtC#&{^pjbFG4` zdKP>vWLZ7OE#Qky)75oq8v7<C^#11XTBjJ1?GsS7*xO)j={7};-NFZ}iaAcnJ8d|) zkww&B@djuOfZ9PNZ8d0BanHI`W!tO;zlvGB&*UUTncnx=aHWrB>vzQnHGlAW{(a5? z|6`g&*QsRen3J&dzJQ6Gz?x6`O^Ysi8_v0U&n2LSy~)(h0@^H*vngHj)wSu8y6~D{ zWsTh(342pnp4R(rIH=4b`rmWIMYidQQB&`GY&h|aCDfRuTh=AuFE@+#9G8I4zgfIv z-2$pL4;qz2ugkboTk*;x&n4i#-tjGA70ix@-*SZgVsL!S%o+C6#_^&sXV`B!$B%3W zrOXAV?3tXf)?Q#rU01?f$!>!=o1%r*Ojm(i*f|5T*iamNVJDO0)AvF;MTU-7r8&fQ zH!rA~pU|n!k@c@XVddHN4}O4JMK|Xzc=wOxbnUbS|7@B>SF!Ml3#}=!b$lGkvic8X z@5qiPFOq}Zv;M1U?0Y$N#x<#YWsR9?5!v=lm)wQc6x%r7H0Hc!J%7QUQWohF4y}KE z35&O~O#Sb?;e=W@X#Im~M2>jVD|yw3M<1Y7>}Hcvl~OCmx1Twt?QC1{&a3HZop(Sz z??JBrY8g8Mg)c{GI$o$yhg{eh&~$a5Q^22)Ceu2ffKOUYTf+pVyc1lrxeC0kWyg~@ zpM%}2jMpF9a%z8TLbEKVR^5z*#Ws#l^@VkU6j%IGZaOL^9I}6ELT5Ec*1y(-mFb*W z?(2^gOuIR2!8<;d)3qH7{vBk|u7PbHv8jCJ@v@zzS6pz-B{hvI^MhISY8wA|4oXSK zHD^w})0MFHEvMIe-wo#+SyH=MUe9#_@7{=U3-~D3)ca0p#UJ%1*Lm}xb^otb*@kP6 zK4Q6g-*v;0I2KcT#|>95vTXHNiFhW{w2QatX`b4Odi8@`^(q-ZL=JlG;yawVNA;lA zdlijc>Ibtdn;y9fuKCyt4YW^hRw}RU=JdMnvEiI7ORBt5#Cy)BPZL#VTw|Lp98$x6 z&~3MH$UlpNO2wSu-5WnyuIj5qEOHI_EY9L>>k;tVuqibT+RWU!`{h>|`|o8<zcQOd z?c6SW@MxO4&+WnwpQcbf1&v)T30vn2i_|wH^eQ@@6z9BlYf0<FDf4_coYR9`iCfV0 zDPHx)gD)VLE!^^sKmNadrPj6OeLd?GSNt(<a($-`ZV<*PtoXM}+F-3}n&6ch`GYb` zHt9TBPzyRA+p-UI@^oq<Uy*&HBKzOf5i^<(`A42OxZ(IAnJNE{Iq@Ak52`7y-}~`t zFUxyp#wktTA1dsERL!@lBTqQi@NpMk_`$<%=>fVBbBmVF6NSAEt(Grz(iGWmg4Q73 zvjwe82p4;n@Ii;$Qe)pUwSS_;2DfIl%1jB?IpdfjFIE)r*Mz%Rz`Ctf=F0xFhh$XF z%>|!j)s(KuepY*#-7AHyb6RD#yiZHyGh!Aix=`EJYI$Psue6w@GGDeQCGv5-PgG<- zyf<=2^QGkxCl2m70o$%&3Odf)5_D!qaw4D9{v<{Alb{pCuU!T?@?g-NIhHSuAU2rX zw*_r5*$X<)d$P`%gAX!5O$N}e4XgH6nJN0IiF`_+QyjK}?){Vlo!L>p!GN7}H*2^i z`&rPAmz8fp3n=_S%UTt<Enk2(w>&uoTAg49TAcvB@Dz0PQ!jXD3Fs6D(EgZ^c`1tQ z7bQ;@OntUs8!NYE2k4CNV{)KlzZkfSFU&ga#CJ)3g8}>8pP)63g51RyvO!ISw;(4v zg3j}v(`qRJ+A(oz_SVYnd`h?dx*j|PpE<VT9q7=kjejFgIPN_FYB6k_(EKbCw5>#u z+cHBRbP@(=9m{t{(CH!?+?Fpu4H1!~(}h!u4Cb6YBr~NfRgwL1EvQu^2s)U|26Tma zbF0je^Pt1Nu7m7}Vt9DCbix~-+AEH)>p+WAP9KsfiAzvqzuB7)IzQ{44(KeDnXQ%* zDWH>348)!#l*n*fYRo@&NX7+pe%4jcs?Hl;VnqS_`&%tN)+8#jpEU&CqVmG*&K#L7 z+d+=B_J#TA#krZkRy5yH1cj;&==zUV*!3TvJI(JOJ0uhGE>)5JBIw%Hx0axFNjsUs zGx@Il|G)3${Qt~CySWljYWv`mXAGhrX+|!axVA0Q?HMD#WTe{a)G0G(sGspMGCq@& zl2*}khR3*jnr52hJfUE9zvnY{bsm2>r`u?9j_#)kDa+Pg{2X(AHs~tzueW~xj@keF zU)}#(TaRtq9+7f){VlW2|Gv9}s{4=i+G~H$mVE7Va;|zH!?j!e3rglC%zeyp>YRGS zd#0vO(}i;iF0({Q`_=UcG|hKDni}lx#@ux3yr7QI-qODZ9-6O;7S=IqU+~M0#aqTF z;B!RNQa|MtvC0w8jGA_t3&HnzI$tPgZk0Ia6)j{k&v%1Y8l+@i@M6zO<*msaU7(w( zezK^F3#=(+c6_;%<@FjR4Qr){^LdB2oXU6HaL|uM^t;N93_ZuEi#fzBTNk|A$<l4g zu`1qu!;wj#5=JqmsQBrXiC3bUZW&w6xMpO``AUs*+U>au{yDN}%Q#;6kkT~uoLWYW z=0UCN0wS?K8=~ef_#xF~8mFkS^XJ<++qNn@zP!tE&9VUEt|xCE2D?|O3r<-tlvBXz zc=WzN&X$e^pOjg;ZF~d1+Ou@$ZsWMT>YrGX>LnIad!G$g5+NnFPtz`OVV&>UO}}KD zMCD-T49=fr74*y8@v-mw16#r>jU6w>a)$jDaQx`Zc}+ubicNFET6v)<`??b5wz8zk zD@45aZ2I(H=|;k2Yr{ERoK3xDiYxv&Ho5Y_dW*k~u2kN5nRC_uhJ;RS&a8V*8$$XQ zd=q9ly~iP-{PEEl*NXC$R_r%E$R)3kF+)A#B{xg&bKy0gYMT~?3#|EO-Sp@*=d~Z8 zE8W5OQvJCyG3<+;&+0E`EU(WfYV6jB+)HJ15OgoqAGw2W(*;BFgmsGa9k1RO*7>I6 z_?34$Xp^UFz-L(&&^_kWatDn-`(!}9#of#OWKY&kU+|BqNwm)ULV+f@MJOk@=F=*@ zWuYd18*bg^?0WCI;n;BwFFD^0*ECs{o>z`o?ilc`s_E-AfhqMp3C&xfBNL0JB}GY} zRax=JtjYD8!irhS5pO)2Ud5|MJd$aeWv>|VDyr#LH|Mm<DGT07H9b`-Pqz4Kt{(Al zEemhCa>UEUEWP~d5l^+D%Wd7y&$0^oZRq$>?4VS+z!cD>-j`XH#;Zl7Z?3keD`Ri^ zdWq$!z0-z+i7cY~eKuU2$uhOxWy6W9ETQ@85pNQkUO6|Zf+|MP8fEkNa{XmnuY6<K zTCN)LjG<{)yO7Rz)uvzR0&4=)Gb%(6dTkdpscT4>YA-BOJ27GFb-lv{UFVe|9^YkA z-OQ3L>lE;drK!{pdQ7Qh^~Ea_?}5h2RBtSB5BTWMBE8ok;HT+n!?mv4lvn)HYdZQ( zeZ@b`Ce=Rm6_JV&ubi50g$w8u8-p)E`#W*Lzt1e%dw%WvdYIK4yb^e}r(na>AeP?m zsu52ov$*yvN4%A00iTp5&Z3&H6!AK)=@N5O={!}9-GTk#H*a@A*2K<U@JOA*OiL)_ zpVdL7t(>59)RvaopZ!(8bJf3^R~~QNnqHL)>uj90;F&$gv|A7Ad{&puNtk()rS!W} z#Jk5VU;EV}9%i%fo>z`|na<L?UOi%|cR-2g!K`|9jXeGYi|jpxpslwWtloa_OiGt* zWp{kJljXIH=Y-~*nV=nshn@I7*{3G*v0dLZq4`lP=t}cIv7&%~(%i)YGe0V9(__C1 z+DErhh1+t){`swz9x_Lq_-4&dPUH(x-#nrD8>sSlcF9xF#)?(I&Wio+wJYwjPu`^{ zvi}tY?Soja^CaPi5qEJw)nDg5IqZ+Y7e@V#IN|ukLG0OrFi<CRGN_YT4%)5(I^L<& zl-tq+bfA_MKj`+4cu+6XTjz;_e6@smIv?9@|E>oQ!BtE^4QL-m4_|^J`_uEA4A>_M zgYJU3t#iilO%mu%b5ZW%2`@k$;$~2XSW~R%Lfynx%M&@jIMxO6?b-}lC|DhF!qNWp zAsG=n(9xTq8g*&@#tF?IT|ozLPKR&9Sj4^D?$v@adv41P(27ixW1vlmC%IylT4v;e zwxxp3ikb_mQomgW^)oBPiY9<=R5#c$p;bm?HYh~XK*uolgKjcE2)a)tC6O;l`g>t< zQNaCat(G2d5Z7Q7?_b$(<?%G~gyU}uv1ba#pk<e!QH`SMpq(YYI%gacCAlpnKz;8^ zpe-hQE-nULw*lHR;R3pD19F!+Xn#zh4!5Ppykm!Cwq$|!>qvsu+ZMw2VSJkhx~ODr znj-tp^oSYF2idei+jQ<sYPFOA-5vgv2Ne6qK?i4TFks&p9C^a=Zw;v1-q0#z0y<MH z2-NJU1_iO?#tF^qY};lVv+vXeEe%x{d$wT1^CcW|>sSTsV%QJw1hs6ex>{v=PJ==< zMyyC6cY^`@*4Ggy9BVkai!Vf+bmE(o4O+t56S~98(&KzeBA=C~SkZ*Lb6YK6fR>hi zatEyp1s%isF$dII(B!t%h(8P(t^p+mNW13Sa=TXw+d$35_{2m$q1pE5PM2K(Z}EJ4 z%!%*XfA;+=@BiaI{Vp^EskZ-fXXTbN2AfYzd${t1j^X7KIvbZRN=uqHaqF>DJvNJU z7oS<ORV+=dGG(S~TGvd^w9a-hUGFndDRLWZHk`Fw7W~nmy*)CxX5Q<n;{X3{mhS!b z&+a<tDzE)zYimF36}$OC*gyC8Bl(GYlqP;V9d_%3xpc0<=`(X(7*|;LC+yU6{L9T5 zwxesoL!qXr?^M7;++SH-x9@nr^uFDXqieP?JAO1dD8=r(VC%`#hgk(`oKIQ?yGN-D zf{#N`YT6VowC1{^M!oexw$&V3cJ3Q4KKq^=HFdjkL<)b?Ds}-Kv)K!(IuklsSxog6 zB3^NU3+<h`(0caWsg=q*(>Y${n;qB^<{@Bmr#E5gUrs4`#|@`Gvba7MUQ;IL`0+I7 zwH*@|r1U4O6=hj^U2u(YvSeFunRL_FGF6TJ`UlxSW0ic0F-0G5tW;innL}&7^M(_t zETQYwBXTqyzoxQyuTfng8QYhsYdU$sH$9fqXOvb{TO2HM7rtUYHKA2r$Yf7T!csHG z$I+Z&J3(6mKsyayOb&L>n!V=0macr?4aclGz1}%*xOSY=OPuqXW$S`Bku0xceFFY{ zXOX_+6!0;wsn?BVYWzorw#^=M7QC|N5W78l!LQ>S(=4(;g&xQBJAZCnnRsO;%T{TY z(=pBg-_x3&{__s_AJ`<iMd)(Y4$*^N`RW;a#1Cp&HZ5`&TJtTm=~24CnvcRwlfs49 zd=+oHR4%+`Gqlb0B<G2vPxfA2&Qtq)6Pj%~wd$rMEZ)g7b-&Yw6E9gp>wPvvbT9Zd zpL5!d?gh`BS-kf;1XOp2&N17p?0DDYV3E8c_{?j4*p->w%loF(xm@_+)fD>ACEyER z)73VXqx01x9-BJ*tbX#1CHt*oz%PfU(lp^Iwi6Tfva&pV%_1G+81S*5Mf$E=z)!~} z*Ll!;MtA;tb#=zIq}xIv_525gBAaH-SBrSX(sb)H=QPXt3*J3tIsLbBL0x}BD?5kO zI^~F$q5O#!z1tNdp5A3~{jMDGHkjpTzjDN4(D;yIi?`oA>(V8ql8!H>Ij%)|1(aDH ztjgv*wf|EhWMU(jMf$ALia(xBu6>Fte)%>XJ*T$fA9s`LI^`8V%bS+6v22~M5|MV< zR3CbC*1J4gO{NWZc5}RX@4VrVI){`PXV@<`$H$vF!hTvhUd-kU`z;MV_o8CXf+xrN zKWIf4HeIq7UbETU@#bNUYt~&0{#@rYvw&P6SGMZW`DJ~euIwGvh$nJQtNI0X()yF4 zuEzUsIO4}*YVWY&%0iZ{{VEa5d;-4nG(D~J3izMZB>K<m!iT)3sd9=LGL<}sBcGPS znkS&t_Fi41j^m)zd}WPFqk~b)g{SQ6NSG_;cyl(#wcXtd{v2kJ-s2GPajSBl{jG@$ zKK<qpvz)OYt1)3?CCk<Q-W!gzg4<1*hx0!_+J9xm&(|xJSE{mXeXkIaX6ktNIp?%) z+rG@J_va<Feit&anUJvbypW0A#DuBu1w>+9Hr!gz(N)fI?T0yd54Wv%K-Fh;gE^(! zz%d)ZHEqt_+E*U>-r!SD<~Rg=yv-s#*FWIrbe3)%wH3egn~sJFg~$u*Y`i&nM%dZy z0y<lz9pC=tm}c3u;9Vi)$nTvj+9uE=%N+#|eP~;mZ=vyba;r?wbWksTIcUNDvEYb> zmMiwoY?V1u4qCD=pQgxu(uTYEf>m3q%o2al5PCYOG6wBccy9%&-q^W|4J0;9Xnqu| zbLL>i<*hp`Ej9Lcwpw<)0Ua%{n&D9Ss|CM6mtl3to^#@R6`z#IC$xOigyyu~R!fiA zLr#3RKwA)JMn|4-{B8&8YqD@#zR3S$vhI?M$h{Lzd~Md74cM20Zkq({lnB{(!ijGY z=orYY@*5^J{{Y>U`M4ZZKZ}9Rfl$c@bpfw|1{G|R68WsQ@r7%$-vqT<_Ra-$-J3!E z;s8+Bor$~HKnpZ1{XKF<vqy8QOh`Sb>z)Vdy8qu`z~1Q|dBU-Hv0>ghnI+qj6xrXZ za2HRwnGLTtzfV}%Z*`(}UaQO(|FlFtuKUS~?1#HS=Pl%e_NA(cJzH=Ibj_71=n%_# z(7wc{#(Q$udqG<!pUeYYg_<B%G-2N9Loy|x!Tp@6t(GrhPdM>?0v$ctjJUE)<o%pq zE1EB6i4_IJoj)YwvaLcaT$BAOsPPm5+Ec<03eVz*6ONxjyF9moW>Ca88nDmQjy&PG z9drv@Ub-Ut-%wBk%u=k#;Lab*J98{GK#i4SyFszetOh%n!6n_l_KM@$BTjsexIyhO zR?r{=D|hjQs54G{m$rj8PEG}Fo8{pyzHs|ZWz15UC&Hi^33IWcfIIVBEhS_RI`N$X z9j`g@Cuo-r=+d~|b6RDt<fkO^3GMY1w29$XsM*0c3o>Za*DA9mea-RES<Pq6K&M!0 za~DszJ-t=t%V&^VBgBdfY<gQQHRc|6;yX5dlL7lw(7w<TP441==wnWNk3h`?w=b-B z=E$g|Z!%zC8w)yO12orTSp$!wZ!bVio4Kcw4*!4i+5SKK(uAd7D;Q&^RQKFT6>Zyp z-%>uMLBo+*V)MbPSw>oM3W08s27yh&27yh}XRX=Q@yI1Wz(?kgP}ZWeZb$8Rcr0D* zBl>26?j*01A=esI4+-q_>W<o;;?+AhOtV+q>Q&g?Z?m6IxPEe*?>xKrzvmWj+P!%G zohO+;H)nmF&i8+ESd`ad!|YH^-Fns4wy{h2b^kw4oM5HD`=`gMjeou;23(DgW^iNR z$u<F>Rk)f@T<`zKpM@-k)AaYc&ind{7qlJ$w(e&ebluMy%L^V-d#ZYQ!nWRv0-sxT z3w|D7pR&ZZ3;ROneZ7{8q;<ReCFqi_JD;UICx6{)6mivdGx$usuXbx8i{9q%h=|{~ zbbY=5ysz)tm@3Q|px3N_pLY$SH{yT1l&A6gANzR1cxOYFP?YS1E}{6vReN+<-kTGf z?d}92owa$<0lHjn>#beN#UFBB?b!}mrMDQgp61wA=;9WzcdhNOR9}(TYd8o$NHTdp zbaBfp^?U2SOVn&&PzrsW1YV3N4b^$HkmazL**}l>7jK0jEgJfLAG+pacJ<w=y~m%Z zb14Lst`AUVX!{C3W^T>J@SK;=%U{{<+6`I&sR3C!d2jXKh-s%G3-#_Vn`rX+CsRkr z;cU<<p2fGpG1T+!a`_8wv#a+Pe3y6!TK~AfgjvIs;q=cJM|z<vm9o+^RZ78&F8}$0 zoSO_<=_J#9v9?a;;D(!T?XOl}L0xpY19YY$=%~%lHoZ#@UguH>+IkIixZEw+A)VsK z1$m+?@+RL~Tzckwia0xLwO!qAXb?TKTQ<?8(wWg^)jL^G*xmz$Z~>3o_s-*AM5C(` zH{V-aeQ9qMKm2Su_-T0mC3~05yv?N$#A^;(!RWR>Tp7I7&j0;|@SIlx{_hQAHoCrN zg!|G4x{mScx+@;9ax@w|LhBts>&|X0hNdEUcY~a7H(2+&|J}kA_v^1A8*J(1nk&#` z^x3L+$w4vMHCeXHK&yZLmP3~C{C;H7l*p}qfBpB2xya`f{@x6W&EM19E<HJH6>;@0 zc-c_6t-}3Vj0T{PJ><o5cv{^4(DxT@_am(vx;GW7^>ux?a%S3WzA)bJpe2yfd;d5> zR~%hFKAoe@ckVy!y~hhukrpd0ehppi78bpJ;+30An?t|WgS2M**erppfrLco_b*@N zzIua_5cC|=eE6Z6&upbUoBvuxT;1fJa+_gF)bC#8WNY^!d$0TJRY(gqZ^O>%%y~Cm z%5$=}Rm4@>e}SOW@Lul?hO9)iD|G8jxN+&Y{PpURaHPeP_oCNBmm>aqEaho@+(xlE z^l&GWgbu?}v#R;9JStOrbeY}vDK@VUzvg~$lVM64_&hwjUGA_<>e{>Hoag?D2Uq=? z4PI3p^_U47H-9Xe5;w=+Q#)T(o6Be;-QaO-!4(Fb=e@9nS=sNddPuFg0a|!z4AJ^~ zGO};?{fe%<7JU~~tW4|yuYkPm4=v4d-nsTJIeEEGy*c!5F+}hCAgHxRQdOH0z3<;s zJYQ8_jAZX#S7<W)`j_AR*@K%V#bt~xs~)m~(tcnxG^}d3?n?^(Z2eX4@LE=b8L+c9 z?QX#ibiBoR{*|rOb8&|ytLnW#X*dE_5`xq4xyE~o|D_-$iQZ;tYRaCs$@{%wL?pw+ zRi!gQs~Bq+LxZ?b=HQ0b>hjmNQP!a4cCaMz-2N1FLH17bY!%-)(1PshtstG(LF<l_ z4R-mjxg4JJ@}T`o+g-mwi3wI7Sts`2V+Jqfu5(t-e6|Wy99;$Z@*?Q)O3)(FFR{P6 zd~LUezc;i2AIb<_b9qtoH}vS=tLLtIyjr8x;1Rmt6XeSs{?PET?@PGxW^?_;>MQ+K zab<-J&;@?I!CRG?UwAMmUE33&oLK-mDf1d=nP<dq=;5pJGVTUB56|nru#H-8vEVxc zyfm5)D~-}jCaGN)b6E1~-cmOPp4YjsGR0=``Wu&Ctgq^Sm;G<qcLvx3@bYy~jlbeA zc+7e!=CDNS9(Vz`Er<jySHHJ*{f#RZ^<UYxEf@Q6>3zQ;>@eqxt<X4G9lLnqm78mt zL%+I%4%9W}0~Mf#5_g{;7vz~;`_%rc+}CpCg~~fTpo#vhW$%)MVW83oe8y%i^xVmP z7JUgf4n3K4Z}HcE$ayp#T8iDcb5_c8vj3}u(DLn|rJDCPwm)&Vg%q7K;Yt$QzD)c7 z%66B$p29mug-PJEOZSxb!u)A}!DH6VmCd1tnL+ES-PD)QnP%T3$8gwC;_mYJP$dbo z(q*zQ8Gd|N#H7L7FwqcP!DPUeo^Rc4I?3vH$%a+`<V~3ZvU<&TK$mlaE7IBSFKu5< zNA~KEKB%>`_FwRjlDTql)wM$R)7uy|wtjmF$)on47Ek>5{<A&f!GDaP(GlnYSaYxc zws`hH;&|aRi#|`I?Y=>;mz?wS@=nUT6tqb=Q*-7W-$|Nt!zN8M`TknP*J`Vuy4uR8 z%ZxR3ml|_=pM0^z)o5vRa_#=>U+?_>etze=<CS&$_J6Lcx2vCb@8)aWPnY~{wmxnH zA9uO;fAq_x`)7Wfq*;FvbRfocp^zNmoDy@#r|q2J1B}*)$NgL0vR`#u!-8M)SiE&q zS5&eb403I{l`g1Ltmt@Gl;!kYkAVMrO`>vh9I~&aBrCFP&ajwe6*Nn7=FY^2iH7t1 zH=KIM;yPVmO__w_$H$!4EG92_!pf3u%Hg$M4ZMtvo#R^dqy>A@5-dQsjqlJt==NJM z<eZ>R(H)j1Rqcj?)~m+L`i`7aUr}dzP-rzr);-S+SN^hqFSQa}7Fw=%uxPiym3W^G zhYqsvP8VELBIWp4>!4P+kVvlJnlfw0k6T%?Z49C764uSPx^(N3MO{^TQ|Tp^RDPw1 z_YzH?mJ8%;n6u!~Q^;``pov=L6;>({Zz`K!MV~oRFzrU?f@iNerddr`@a-bY={Yuy z2`52|Ilk{%sl3z5@vk&T*bhy|!_}N&zqB16Kj#R$S)=T;`b8hh>b=tzR7^|g{Vq7g zrZZvfd4VbWrX<XL&3Wpc*M_+M1%Ki>%qq$tqa`tSO2n3J1>LB%UJ-n{<9pSJH!e-D zM4MFg6d|W!C_>IK61Y;^max;;>{!7oeU%7B*9!&pO;hhVU--e&6lw=LRuts4FOOC# zgHOYlna~?AG(|>W%~uo0m%WQ;T(jclIQ7nT!@Z!UPw&-kEbs{U7|bFa>jAza<Bm(f zS8<kZ&<*GFS-f+$fCj(3L8DB@cA88ZuE?=$oi3nLY~gtKG3T`3Dvp0aH=SEK9=^(= zT?#qg(VnC0R!&@Mu5{C*-GXaAsx?h&7g|#)<M`6(pw)AKg@{c|9A&HCm<GF7t>!rO ze@;U4Z%(a$a}ySyST-Z<=~_-PPoa=n<%3D>0wMnu4hk(7zVe6ZU{JW=l{`V6Vs^*7 zTUk#3?OpJ%s!4R)tsZ^LhJura=c>ChgjF#+K5pg+(-aV~otm)qys*grri9-2LR0qi zCcrK_|ICt_Jo|CpTF{MF*M)Nm6daH83*>;#bv!SqQ*@IBaszkhe&bS=Yl<uWMK`I+ zDX;h`-?a4EnS)!h-g|5~vyY{8Gt23{^B4T{WYMmfw&3AX7VX;E3qG!8S^cMN!HdN# zt9v=S^0%?JZ9c{a9+ueg<cVFddlb9C6uYj3xx$>M=6P?pr^@nlHe>+pI*YWeQ^3#r zEZt}N5~D)5t4HMIH@(VNjZpFr_`Dc=Z0zr(rqp$?6E~|rUzvDkJ;y74j<6r%j)!}< zAK4Oit8c-_IF{9WS{J;S$g;Y&Wx<b|EZJ8$yyCn!T=NB=9Q$0cX_LR;n(Y_EB>aBn za+=*phNibOKC+UsegQuZvvkjK4fuMRrF*Tyihs^cs_&Fm{B&<x>c+A)S8v(YGc`@S zb_?o!Piy+sF0|%@VpCgc)6{(~7k)@Jh1MBBGeXJPD-&<Yb9C8zZaCJn)^P2l&m7mh zgr?l<Pnhe>aZ1j6!@ZX*PxpIlI2g(zy5E1pMOl`qzgda}B0;0vpra@^?)<z%f&D9} zC*FB<veFJqZiPLT>}ShCM=)hcf-VX<bx0;<mClm|)vVmb6MoBaTfP8IFN@Tjcj9a7 z2aQ+!-#DSUFh@FOspX12lUik5K+^$l=juFBr~`GMf3R>{dg!Gm@<mPGIHCEAm)Nrf zmuHGVZe=Q+@b~T&ciAs;$%^bh|8Fv2Z?^ml8j-JI<t{e3HN914%Kd|fWL)~v6xpu| zffh#egBC^{J0zo$m!QagcK!wf_LZQe7rz6=o+*5m;kJB{CwBbo%;uP;R+%r~6BGHk z@*yW!9cq7_P;muxdAQv<C%$9PL4EA?n<g~B_zD`bIIi<V;T~vVL_KH(C>=B?|0cI^ zYSDzaBZp*4zJb<nfQAJ2+JJ5d>1nl;m~+^PuM0Hd?sgq?8GC=kjOG)zpn(}KP^W)Y z{j6Urnr|3`E;^5GSZ%`I*{bto!Otwvs!w}v%MAIGuv0KLN6u)z;|iL?*X1q_$k;rg z`5|bH;!DsP#k#Im%N1vC@tLc$Uu4xe<CyC&RwMvAfYYRJ26$1(q4w7bk)Qz+$j$MJ z+{G6n4?6K}0^LHtcQ<GR$WiQBf<clZ`_Y-)g;Sp;n9K(qf{_kdy#YGM(m?E)LYWQd za*&gUWK{G(0m=rtxa+JF-!0H#nrE2dKHBB)S9`_L@AhM@rwi=+TP-!-oO0r8`@PYC zeW?uSqVv;-WJ1m*D6(G!AGmoHGy>EGy67A<$MJo$0sGogohJ&iku9^0+2`)md7`kJ zo4fcz^cm3U7oe4isUVBMV_G8qpiAomr-H7HPf6rc(iJNT*gLn?vSTgi#8uF7W=E>T ziZ0wKVvpO_eC3?ZlLd1@Yhl<oPH28-2s%<k1at}7xkEA{dg+Snho|bCIoS3;&+PAK zrLeM%e4tuBa|`&~s}J{i9G7V*32HByqUfVKMf1!`(Oyy24u=^k9Z74HRw}ura6}k( z-DnV9+~IO8!Br{AckR>$jYN}cT8<*gsT_+W4tlNPP<l9L>%2F0J7QmNpZERF*6+XT z_AIsD7H$9O)BamMGynhBpA@bVRCU!$taHuxO__VDgjf@%K4giK-WSCpAkwwZpmfPL z*GE(1MZ`WvvShz;4=A!b7^N-@o9HNQ`Z`T$%Ko_t&7mx!^A&Dn$T~hfo0eqJeMV)) zF8+f_kxj4Eg>(v^ffppM+faG&%ET+8EL-!HBGObH@5&u4>KD9HKQE!xciZtTQhDAR zF3B_<YZqQqWaD_zm?LbpfC%Uq-d+~faDg>n6&zohvb<gc9)1<jv)uhr$0vKQB+Jua z7ErsOLgt_wH;2}I{|zUeLgqOv9l!qOoF*Y~rKT@oWpCMmf~<V!4QFziKqp;Ww=Jlf zn9y1b9eq7~G}ztix{yhn+lEtnSzP5+Bi_oh95ufocsWYhFQBNrX)B+yMy<&~tL4H| zY}*s|dUKwtpPkTrn?tLN)2ymx!KeG2VjJhiN$P=Wu<0?ihnH|1NZKtFV&9U`xtJrX zt|4Kik>gu$j%hn*EqJ$<<@DdC1^*7SX#eS5keHGlm-_N6OK-Va#8U;xOh;YQqjcdl zA6vnTIBu+*6z(gVxs}7~zUzi_&Mc|()gs=zHho&}UG(Q*AB!lz!i^UkO{Z9!T+b=3 z_*L0-be+nIf3{7k?^IU&lx|u&Pie(prh`TsV}DFy=9qqGt|@51{~ycO=fZ0~*fq6r zbB6traeQobQ0u;`#x2E&x7S&Y&R2<ee4j<t{QlJNo3lIvs^XhUH?gGpD@DBbY5Fu@ z^~QrP&K7;khJ@u>b?L88WT-kmjThAUWZ`(#@L<yHcWs%W_xln$_i|?K>rGfG%#k(U zal@HbmeT10I@eWJ>~BhFjTbVBafY1my~nz3^Qqa;-3Wc}OiP!13u}5**<@<x6!3|u zX{(;PMlJI}tJ9pP>>M`SYgq8dp403`2EWhhN3%K1X88vE)Me?G@ecTUn5BEJd%#|| z4M#w;2jGLr`j_=Bd8fSMuf{>6^FmkdCYUYTdPkb`)qB4UPHhVwUS!d(?O5>9l4W(x z%mpt@Syum@u;7O+OZK063!Zc&=-w7Sthv|ppw(_aaGPZM9NUst9`7}pKFO=xSl|=z z(VIm&);HiMJ4^Q+-+-^>EZw%w0kwNYmTf%}#$q~|#aq@V;I~Ips-N<TYO{ky@hU6o zMGtb_hwb5bKg%lUm9R<Otc0o4IlA(8iXUJ9)+OMNLzC$}*MLtUO<T_?X~e2VJojqa zv|V6Lxw_-e`$9P%L>!O)7s?Tt-TW}*USq<<FObIX$*bjlvYm6C0{%W`@s9Bc`23p1 z`>s#GZs!eWvYK}JL(lns-<!F1gRSG?TF$U4cE`t)Il?pr!BZU9IlxC`Er&HoR;^TC zdz{m2y>dkQ<ywQan>d@kepA!<U)IFyr?BFOVN<W3%8EasO|E%LD}D(#9j#)y`rl{6 zk<Toq@tgJAHecBWIS~!CUKPCb<>yM}o&7>r_BSN7t`{)b)0nVSUKp|rL{KEwdqY%- zy^l8gM@gMCj>-OFMHA*6KP0oIFIAEKC8#oaZX;GSVQ&v;9R9cy-=|!$X9)%OmvGE$ zW)-kwXFqE1S9|5)i%7AefO`e?F-t8wat=H3t*Qo1ii1un`WGkmOd&8uk^Ss>Pz`(( zw1koubma9hC%#?ZHyE(*wBInH`Cmt?rN)~1$IhNSSdcCDY{3?3P*njsLHeGtCg=(< zPz6@?>x#SV6}z)ee2=DYGGOmz<F@p;2b#Wrm!`=660}C~oUzUmh1JZUMS<Hzxh+q; zKXgcjhc8W${iqCR6GLyS%oO{hhh$vz5*696=5I7$-w2vs`THESd*LBsAijR?uNBQ9 zPaI;F%4`80>TCo$OzK@DsLr?xs(`h)ivxau&bST(t)4WGJaO=c7-*}7u~?BnE$Cvg zzo4xa@hPCoJ#9P6Un}fX<}SYA1==10I$i7e6p&Tmx&NE~phbZ1lRy(jY@j<nK}SQr zPe|l*1MT#vJaI_I1+*^ksxIHL&{@qlbi|4R?t@nRok>?@KRY>cM)MUXv7!s0rbo&v z&}6}sR?8E2&pGk^0xwAwhS!xm;KQXeH#-+hEi&-iV8A}LHsXY%$!XB>l_`qsAB`ht zG#_(?O>BTKO$`T~BreBodBX14A(=1p(iPc%`tlt;JG1#9Xse1vJ7{9#9Bg947(QDP zq8%(>DiD3hiSHGtanT7n;_{~gsI71q)K&mp&ULK)q1Mv{*3GS!CzgWNL&$;FB=*79 zBz^`3rx9ougDtmZ2dFWa1v)eIM?<S+hK;!<?C{E>#XRdS$!yt{sL1~ECTPQj9=GKQ zxx<HKzI5q4QK;ABE;fib<HXkmnnYU+I!(1kpSxI~a!RYso=@U+e?HnzSo6xHf-!Yg z{fjxQvd8z=*;xiBE63(;S#5TuO3ljaWbEy!m%{vlGA<l?618Lkzov!9!xrf!OC|&! z+9FdqZPK;P56_70GVWK)GA#@fPTzU%XY#{&B~Lw83!lrXvp@e@SAJLhz7LN+*X@s& zso8&i`eQY1v!7?8*SlJ$)yHHQ8&7U~YgLu@Y=!a_hVSP%A2ggjZX$knYT)PP%Bh<t zp8GXBy_zwu-k3o)Oy}plH%C9e&+uYAW7Qy<zy2ibpp2XgB?@VOPv@Onc61p-NyN^a zVl&9eFWV8vnt;wM34G6fbIYGV2CFqumDvy_H5G+@5)B=YBQU%dIWN2XI`8zdt9L=C za-8Ld9f6?@K2-^Hgwg9f(3vH9yKHA)<7ZiLxJ&=eM9A?v`T1v%oO5DJ{qO$GnICV1 zPINgr7kWO*Jzn@xEZ`G4w*6I^J<T6<;tj7o^js7Ee7LXHY_+sXvHJUTT29nn(D_8> zc~CX}@8DLgsSYnWv1Rk?cR5l2Z5d?4YO13l{@SzG7Jifu__(Bd^HVP;fer*Rzdsw| z;`eXi#^iubEcx>2TTYbR@9s#4_Rw<H3&vdF!+svVlQCovWCR^%6XoyH?z4RTz3INI zzu%kh`}!^Pbd(=YW`fnsEOKlw{qEZCW1Y{pIkWZ>^UW<48n6?k<iVCr&=fDZvEb!| z%>O$A&2;TR?wQX4J%^=wJ^Y*_vplO5tEdgev!~7HacB?y&2&Np;+R1Gv(tp&?h%tU znmg}Lf!SI+=?1>l{A|#Zd?xP%9g8H$3_9ZL+FWs2qqlQDzRrn?muTSA{wE1NuVs7m z{*&<IelC=p*i!#(T~1VuDT8d-t>jGTkwA#!b-?j;uHI($w0M4p_R!B|(8HP9)1P)Q zc5r~LijtExnp^j={N|QlZVXmy^8VUEj?S@*oT>~xc`2g26m-DM%Xv9b_38|=VRL?^ zz~ajcHNK|$r(S*pIuK}QO+Hk~c00HdP-?ztT7PC)s%Qh>>ahK#HpYwZ*D`G8OE}s- z9pR!~HlP&rZeLE+UGWCK)z|-7LS6I{p=Pg@Rmv?%o7ujv+d;>+P5%z{+3o9y=*X~2 zxpn_jjhXI0fd)Qp{dz@+G52<BqPplW`=-pFISf{7cKy<arum0&;c0&ByAHmYe)5%? zv%~b+7aV@|njd-!milc({<<eDYxMTb&up``|3Swy<<&u*e6P*`ZWlN~|8u^%#o|}~ z<_13P_Z$oM2Z0ZF`(6h37&r}8J-wO}HLt*8cG!2837QR&>>C~(-V1gR=wzyFTUlA7 zxqkm9`mW~RH_i7oJM8qTlihGNujN1){@EYx+1Eg4Q*|AOrNwU#!HFJ}F~COxsqdTU z+bzoYV#BAGup@ZBr@_mX$IG0TEk1fVCu$xjsq(=c^Z4EzUDYH8B__~GP+R-@`DXh0 z|IasDYbOs%(d@7k&1&p!msS6Jz7(^7An1UqHM<S0Qf@_NZeGf;e!mbXUBgay+wT7{ zhf5)e0pc$9q-*iTC1$$oI2_ui9zXB7nJ*!%LG=CHiLeBDW5>%0FEj31Z_d04O4r=| z&@zVq{5e>0wx-mt<U~o;$B#F+{0ajdboDeA8n-8Zf`bX<^iq9LFqxe>yX@<CP%@hN zc@reK-*&^}7JLv`eoeWV?mN&~PS58|fEGlt@H4!?&Uw-H^X8U6m7rwwz!qxNL&R}w zTi12*&GgHUoZ!1U9&{LCw-_uLU4H`4i+jziQf}>hU3+s&oehI**pzOVbEM(tzd@W+ zUvH*6kJF)jYIv;zG*wH(jRB{jTRSagPtymL(%I9Y$E_hB+m_qQH`A|t{uJNU*Fgu9 z^{PYjkNS6b{<(Hn5R|BY2bir*x3l4XvEk7+hJ5?)(g)TQAMDyLk9ycueL<#~?z4Hb zd{3{1mai50{-AUOK79&&SmXNn(|k|6E>FLl<qkVI>dt&nj4A}{z1VQA7<A56p!~^Y zp@I#3tL5S6T&;)u3w-XM@%uA5QT3ohzHH!y;{6k_SkJjo^1}A*_H(C}9bLsx67e$z zYSX>hn`^dBfrT?TfTDhV%!#VgV~`D#1=SfdL=%p-tFJo&D_z%^+FPYq*{+`KyBZXV zugqaN=;R~+lwbyEIUOq`YxH)Fo%QT%@!SsWqQ~b<PLn;bhC%yY+%%BS4s<C&DvtWM z%Qt0S<aTJE`Z+uma_C{THX=^VWsPLl{x31pUC-^%9_np38Ir{0*CDFa(l}6ZzBwx= zDwoTl{p#s+r=jN}UVD0CV*a<k|6kXuGEVIT4SQ7IfF9u>x8ckQ<!O@Je4<Vp7=Jut z^JvbgIl*&^le65?PHqy5RGY?r#Ye~Zl#hw=DdDxLGiGj?mY6oNy=|sz8n3aat}y>h zGw=xxd+q1NUVmLxUw^*z{{8p!{lC6E^J`-G|Et^X{;U7*`l-uh)&K32T$Nt$%@Vtv z@NJg{!_~(u-e;6n?9@LP^ciy5x1?}JuEo!-Tnc}--tAuA_hg;giv5!kTJ;<cw{wJ5 zbuHNV?eLbcn_UZD_%vPprlw)npU^v*!)qGnwOt(xzJ#*8UZbE<t$whIUua5wQ$q8J zf3MrPr-8bskHjV`F-X7l2&nXLa_v)CQN?sH=``o6c!v!~rm~nuvv{vnTd}t-Vdinp zSNnW6+(~19kazWdV?yg)PN{RM5i1=o{3vM(JqKAX|0d+3_OiY!c}g1lx)OTXIlS!L zHeB;&S(?nA6!rRyg2rxX@YYU!wHpbNjz^0*%~lDA>}yPz$me+VH-}iXcfeoPCRH=r z4)B2a*|+Mh4AX8+T~IbHVWulfslRf>yJD8F`sxu6ceC*3t4F-t&eAKd8j<P~P%^o> za_ZLY0wVWY6MCCDy!2EeULR&zdR{H!`6lQH!6~!leP8mFHU3LC@yaQ#_>te#Yj!Rv zYU+KT4JXdAgz_sy==cZxb!PFN;~Ma}o5efUJ>Yj(Q|dd#71jz7@8Vg$np?3Pj(q3~ z?VdjL3wHPVEoc(wu;COtXV*N>4N<)der#pQ{xf00le;Y0e<v>ZV$AY7$AJB^)^6T| zRhv0ZrE{3g@DKRN%pyJ4G2kaROLvShbWr*$d$4;_xnRhD=7U1YO|!~0mTkRqjb&@P zkj`d)$Ger_q5Qg;39aXaP4;vpEPXF*vTt(2)ceAqn@+ZVeU@Z#bh}D~vL$rl2sCz4 z%HsG^nDbhsU%)q~rmyQ%H2&K*@rDV9yb;d%V&VAozmU$RpNTU2f0Z>I{id*@PU4`D zH)mE|Pr^zq$G6%X({@(E767kWsl4;P;FbEGgx3E8COxu!nQOn8H67b6xTZ+M@uDir z>e?v_epIq#*GygTq?aYTl*8-3|Auo>O`G(E)@*+%DB<^WyHL)C*Wf`ny`2>&u1o|? z9H}<Bo>N@$OSI|eI`tL*gqu{~sjm1L-n4X{`ii}te3zrt90SV5o1XHit=K;yp|xMY z#AbHFQhi~QJfSt89)mYOJe;(6?@HyZ`vpYm`x1JupE<n6E6;tywR0>>`Bfs+{R6&* zG<`j%r14+1iFcjyiXX~Nz3&uP{7G+eou|4&%2w!bWKML`tM_UVN&mq^TQNnSU#wJK z8O)J2-*dy6r7WfERU_8<2K>)!68-0L;e%w;RI@q#nWp#J5~h|5ip=%ha4V4IXg5oC zP1k}asw~;D&H=x~no8e6_hwrD{%~dDy^aNcez_+`N$2<me4NW7{nk0)r$>{kpW2FF zo=r#f)K=V6h)7a(JR2{d^Hsp{tvctli%dMr-%VpVy|;P6zc?1{Cu#yZpyNpn3oq}R zV&{0_hf`DNKF5F()`MC0>KgwP4odx3(2%s0x*U~V+;quWXw7DJ$D4;auSI(W{CmtI z9m1(qH#cGNY)-BJJqZ&{p-WlA|J#+Sd^2+VYA$p5qp-EW6(8X<j#+xJZGFo@mvC2t zdimL)UcNG@m#+!BHTwJ^nJI0ct3Q;uivuca<-RMiUjz-7ysg!FqF~qFYMCKpui0dt z#@Ld7#<A$;6?fS!=Ro~Z&<!9v=Yg(^N=W3Z`VP8K<34CB!DpQ(3x4cM292{%0qxBI z-B&SDhud<6-0?#)NBoi%*}tCOWWe5eI`V|$XKT>jj55%DQK03E?(m(LCG$bU-*>Xb zA89>ZuwRzDIG}=`+fqXA#37j}ps}0B!I3kXy+Frz>nA7jW!+CxWd8`-S$b@J<O#=5 zf@04WeAVH$^vJJ~$vY=gvMp7S{iX<L1>^iynJ4|A!ylIW!1s~-IdjEbwnr~jk^L!X z)MO%P)Z}Zp&Kbv?15SLe{)5&rO6wj9J>~crbWM4dx>(VLd1nvFq=2rG&jW2Ksh!m- z^F<#t$#OqMk^OKqXg#PtsH2|<8aMd@+6%$NA-}Hq1>14ZmFv3aocJDX1}(PSr}IR? za(=62#$3>1%XH9=&hE$)j^DDxo-Nousa595ZqUkAMbM7Uu2xHlOv5}szEjbl6VXAJ ztL-x9E*6-5+==g%`bGox&QBnFz~e4wyFnXdOTl-WCnWM2m2aBR{0_7W<{hV4(S?4U zgQ2sU4~gkKN!S5O1-C$Jf%(%D`LxtGOlW>l1ll0W$ZgpXfAWxwNfc<S%dA$*jJ2RB z2Oau;?lfrJ1w6g8X|^c%E|m=vn*Y25Eeh4+w$u=dn9+O@bOLvc9e1(7&L+^|-Jq+@ zLEB3Ig0_`@l;F0^*mvZR%#tMU!s4O}^9~-8NhwQKWWQ^vbH*{xklXSFC{DSa!#9%} ztO2c2eaQy8`lA_i^~Z51zD1y=ptnFby6k(&xx=e?!#n;z%j)(pJq)n)2AyS@-vhnl zTsu$nc8BY%vJ079Wd<Hv5i*4fr!LA_dLv2W+Ah^@QHiXcqo2|}#9Wxt8U>itJWgbp z3zoPTGIjFoV3Oy^SyK~`Epq2X7o*z51rPm=S`2r6IahpQ_Kx_n?>C><zPD80ZC(E; z(NeeW%=<gvzb)T#SZu%Cre(`Rbd6@;wJY*qs>t5dklX$3wi`oFPvP4~{`{p3QQh}t zySCIXfv(tEc|S|#>iw-QY^$xbA<Li2n<2}I_HGM|-*_<mzV`X5=f^-xr=Tk`%j;J{ z_3pIKR`Hc7+`$v}wGVR5@n2oYl8)~mH-8nCzPmeQ-q(Awpp~Fr#*nMi|GPmKz9{Qw zsGO~-n8_1n>Zb)h2lMP)sNR=}EQi(B*X_4?-MbsKQVh0)PY!l);*Hf(p4`Xf6`MoX z_JUV}uC3h&adT~*#K8?WXHPr5`QEPm$mi_EL)X)peU0y3@=MeGR6^+UH{ca&*Y<*L zI_^98B5rp~{KkXd`zNLE{hR?>?FBt7Z?`^tsn`e6cF=OK!Zb#gRrkQh*8R7JI{M@5 zFQU?W)0f^`T)u#Ttr)h{@%MV@?bkUePqp?QKeLlnV5O8UXkF&#Fz70?F9uDCllf)V ze$TK8Mp{N{T<ZZ{3);K(sz=n01)v3}MIfEkQLrUcJqb77B$w`We|;2rk<k0-wci;o zOk{A$c_l36`88k6Vacl)&|0R~|3V--<93I~Z#?+^+6!B&^`Lcpu%%GDKS@AO(JY>S z(PP#Ood%DqyTJ$h{RbWW30mv;N3r&(+x)*B_ZCmTc1n*Ax-zq}9(Jp(+qNu~vv(pG zCa(I(407yePGoQD%P#+(q4RgeJ!S_L@WR!~;=R!2LC21nP7-^k(cp1)S2$>)*gw#b zp&%Q<QF^`qdi9pDTA%mu<xI7^pc<FyUG!LWA9SeS=Lw)iZNJSSHdep2tUbzh)oQQ% z^1uVu|LxmgryuT)hAuHPD{pnXbOf|UvKoBz`v3W`$ejLFR64e7(!Iso_kk94Ug80- zH~d=&UH8`;vwot<=M{WmrvDaz7Lop322Fld5(hV&ymhjw|JX957@1uSOMPXt-7Xzs z1TFVk4$@b9L;a~gWZBkveq{->>O0H7$gRyqy6t`Y`YVuit2eIjn@)Ol{zXFQ^Hk8f ztGoPj7C}~m?%lS>#UQ8P(~5hGH-j&7hMheJI}vd9@0o6wj&xf^T&)HlmAAic6?Dng z$E&JMiHp;7E>wT{hI|C)eqUHF<<C|*yJuN*Xm5G`lw9V3th2&bAcxgnKFrbPJFj@x zy~P)i7n$vhhw20!qp7>Z*C^s@R2)My>w+t{=7&Mn_CkF6Fa2p`{@nnE^K1%}z$?ru ze?NyVrknk1v0G5>%jYQ@R&Cw|UL{_79BS*<v%k7{_t~kPuX_Fsxk!?SE)u_Sr^)To zkyU4nBCc951Z(}eeYGHXW!v%dm&0?utlIn}^8H(V#HH<(|2<)mx@XZulgl@hnnQap zLP~}^uoandZU#A@cE?Xh-&+_DDjA^bPtU@ZWJ*_iD`zJD4U=4xm8%CX%--&WCZ(T= zEQizfm-pGcUhB^F;3fmCF#8`14X9w{cpDS;^UHoMW9kT*`x$hq@7>kV#ok-9zlcho znX~%)3!6}eW=r@Xk>RlPRQ{xF@9`tsm^woC-UY48{O1d`_T%iYqSAklPX8jew;7b2 zra%s@eZL=e^x{NQ>o0P~ySWsCO!Y#Q8QM(Whd`poACwS(zMfmvf9x|zC-f$A^XWCN z@bc)gN7RjA(E8I}P=>4Rho*jTPTd(>)qib2XcZ}J{b~J&8fd8!ygW<g>TS?rnC75Z z*~JfCCYjCuO55zm<(7MkjWrbB!IpbhmY-#XE^2>fw|=5YA!vp9Jy0#N;a@V$pT|M| zJf64LJ^$qO6vU~%`(eu!m90%CrRC{2cwGGfsw39?+yZqhIIGsjFZrG!Q@RmR9(^`} zC5PA*6Sr*G4=QyXK?T|GNJz2r`;S3W;^zN5UEW``N&_vAg%)JLb)Xu3YrU0M)`1S- zJO?`LbHh7ts9U4_<2N3x-na1ki<&(R<^Sq=7-2PPIlP9sE#)cP{~{sueI_WA|Gf@P z2#@n$Xq)XyT7PeGc@$_(F!X%h-{P>^<%wADl9|g(Hmv#>4$|nmJEU$aGx)A{aP@Nh z-Q{YNZOB>t&T?oazHzt7Br(2M38AaOMbh3kM$onCpAU1iot;<FdT;U9r$~j_?dvc* zU#UxZetllLVb$k0&>Gadw_Z@4TNit?9A=YVcd5EWPfG!@0=2puRAj&WU;Bq&TJxq5 zcsS(8EXawLeuigH7#aHO7+<`$=!}Z-VdI&SX<}=qzOdO)De>8$Q0`caWTe)usFay& z&UhG~v`NVJOlzBoa=ZD>50&d)pWSP}?R8b``}f6f_wB!bzkdI*Gs)(Cd%i5+_V>*H z|H3D=g);Z#sCK)URh^u2r&x$JVXY2$L%NQN#_l=bV=LFI-bmneJo=l{EXqBg(r3d7 zvCn2_uHSm{G?IxUEwFUCr(grPXKQd!sF)*bp3{aaMoqW0Ii_U_U5WMGaHp2#>vf?u zA9NfKpY6MCkZa+c$=-BKvniBMMPrtF#9Ni7N8X&*cGNH(oaD^$Pu?rexO7RWwByTa z&TEnaQ)=51_WJf8-*PJ7eZ#?=rmo+@IVB>FPk*v>&v6gf>bBv=RhFyysu7Rmnr8J2 z>ujC3;9HNd!JJgcZao3Loz~f}JoKCc{(Cfu`l)2>Xh~S=%^|g3DdK5b)1q?WHQzKG zKMEd{DpOh(S|r`HwM<=Oul_+RcY!Ik^Ah$NIsQB^oKtWW+M)jOcct>;-5gr~rzK2W z&bcZt<j5AW+p`u_O-ksj<;bd=kg&3nWvjTbPO+flU2V>3zvUeNT62cI5H_jlN?7_| z$mCv@-SV}2Kqn`Gch%ktcF&Sm(5T}%D3vZSWsT69ucA$t%mvmIvpe2w2d{noQ_o@6 zp?hG9*1m}ei}!M9?Vq18aWUtrd2SnSw6a{?@3Y~^S7FF~Y-#DQJYG3A-SQXE`OM^a zSJ(g0mTA?@j(=@A!z$z*5AS5rp2{JmuO9K#o5gj#a>QGAmZS0t5s&*>ROhQlWOq+C zoO6k@sr1_n$U#h&)hDh@yeHH2X}M6&hMonF#5v8fghKu)9#pbzT2-$Q@y4?0)p`ro z%TY=0O|$ZqBVH*q-KuO#^;281drHDieSs_c+Y(ykeH0>!I37-F|L!NN`FGxekH##k zUHp%3>B{rpa4VX#OWt9_F?S9xJ%xzZHcgj6w|JU5-ZVQ{^<7}ff7yd<uQ|2yHVGWQ znGxM|Dqk@oWj}NzVzvA{D<N&65c~FoPS7yQ+=P|U99j2$H=IdkDRpN#of~s#%d33n z4TolPO1<;maH*X`O5T6NshcdW`f3qt9RvQTHJR3#K}T=wj7pb$HF3<;J+$T8%~=cH z<gvVd>l|R`zTu!vQ&+lRPKlu7)AfQnMaqs>=L_k4GjRO6UqDCDlK1e+pHfXr%T!nF zH9u(74q3oD{f=$gE01?RO}|2$ME7}J_`udQwa)3n55=a?e?9?UT$`@O)mk0zfA6%x zZPtP(i7eT&z5%~Vno9LlG^$w-R$UjK^55_v8$a}X$nL`{l^2V1XzhQ+<C8scF6XL! zlM^;NbFQjyO6YWDF|~Ky5Hfecw^EkVcl-jrGd4Z_=MwP0vPm?qQmyT>f#Zft)*Mpu zP8&`ghpn;En`0HUyJ5i(uO`!dz5zwN2cvA8F1_ZsR@t}U&9iR9wO`9rz{@@Ol)%e9 z{M1&&C`P2PI$q5e)Bzp;rq4Mo!Wt54dH;%Ed1P(gY_Rs$ZDF0sN-L@v4i?F)t^lp} z_{=F4=eFUJIj7Wi&aj`Xju#mZX8l*t*q14JIP&pV7S(j&HCyGu*8^)pm)N{fsD5|F zT;>a?v;Q+6bgDpf#0kfb&p~H27=li{um+ubP-q4^^}+(wyFTi~_v(FWBA<}^rU}i@ zI6(&`ae}VYJab4UB@DFI4s;~Ly3<a4zxqMfckKrqOB4k<0LkErP|Q+GjlF%XGCk$V ziF{h11F0^8ZWX^(sPjZ2E-jHyYCh<sO3+${YrQ&86l%q}i!W5pXq8F%f9jCT7p3Q* zD>eT|i9Ji$pba`CYc_mM0_d=dqwrq%s`;P=9XF=xJXvrLv@I0W+kciHF{AlPu+EbO zf0aNxSYO4&ZEJp~2O9l}1&#hlfbP`XFroS3OVE92#yU?DKnqO+e(G^scHBF7Naji% zXdQ|_XdOyD=!^xhmnQVgZC`D|z7}*iW0gMWBv1`*%M*2zS}kAbCMmN2^arhAwBokZ zr~@sZ04>xwwLdA5PYHDT=Bxb?Gn#Kqd;a*@lLdB@L1$i^bmE%@y1*2C)tvYy1NNCu z;a=LM4LZ%CT$kJO1?a|6F3=K*hgM?G7MP?dvOhjM{gKvL$5eH(B7wW0;Q-k)PJE9* z7k?eo1dUeofJQ4o_nE7K)`6aN;@k9nqXGNgt)L^vbU;_kt=aSteoJU!`^kw54A>Wo za9e7~gBGNG0&QXit+0(g<;3?2v_-lzJK}`nXV9^vrTW~K8T=75n$Lh%wC;Xs^iRO* z1ZY$3FVOPUL;rN1BvgoS7YEEb?*v_0dkZus!^AOfY5R+-pmQ*GPi>Vs@;)(<PpThu zD_RxkR<y@VF-v8ZY)?((vjW{1Zw6ZB(U+pg{!<uqpRSNtQNW&-R!fPsNs8=GXGhLx zK5-Xxm@4QDQ0a{mns@1fmiZnr1BD%U;iyUZ{9h}Yuk6!#l5kl6hwtC7_KMt1q2Q_> zHuK?ac=kk8(#(gS8dBYE3gt?sn%N|$P0~#U9dmK~gwDp7M?h6R=yZ!7lgUdZQ?+hJ zO_{l6+QPJnl?gYy&P+<3C-t}P`qyXo<j=2rUAlLF%$@JwfB*Tug+J0j`O~NU6<NFg z{}l(-^#AXBiFM|D*%bNg1?Xn;)TXEB)K~1Elh7K?DYZ^1;-zBKvDX}7H(#vbjW_!v zcX1}mYE{mz^NJCV6PhNu3$7{Ea(wB^@>*xML-ym7O(G6Ij&9Ok*7qe$Xv%wG@KQB# zPP1E|a{A)$O-z_*%ehL<W5bP_rdR61I)SPyDpd~#Z5Oz*uRUQVJIAYasuAxhn|^I< zl{m+B8+HQ2Zhu+LxBeG?cr=Cjsc7t)kg)YMXV-n74Q}lVo=7!qou{JlSLUEq^ql6* zsdHU7+{<bD^jj#$pnpM9XToAumZ|#65l@!EDvEMn+0M0UEB;v=6nf2>l^1t(%QP>6 zEBAU6X6|Gu?N^9+XVLU4U1&{#rsH9?gI@MZ88!9?wd&P0e(4;{T5ltMSaYB5L8<q1 z9Ke^cs(+tpb?KDw6uW5&bB#Gp#rbTw$IJ4x-ebeTS{Bj&E*mZyvrJvwZLrpLo8pRJ zd`(Bcsjc{D*reL0xZ-DM)6!`ISN6?InE4I5cJFTW^1dhkJOch_Hi??q@n6o`VG2I= zVvb_O)5R>V-7KqXTNnIDYBF7?s!?fuFv?zC<1fcSE9It5#^;U~Tmv0bbeqHMMmD&` zG(F}IXrTWh%hdfo8&0sYgi5n?-*pT4o6h2G;}h^XpT+wnlh5jJK251*YAdYU6L!it z{$0!w_Cv_=uq%sptnY;i)`MF1u+_D{9$cAtE1t8<UGK=2YZbbVC+BipyES>i7e|)Y zXVf*Sxeiu^Hhl^g%qie@JUU+>=L>`5)BOTEpO_r4Ue|fHWuq*|s^y&1ED|6tyYuJD zm5En8S+<G`=@hd%-kr@k?YE)hUsD!s9!@Dc_YId;XU_<G9LchJPy2!wbu6oECoTBF z$&x+CHDHq;Y@<T@S7@N!RA1Kj<r?TXZy}%TW){bz<-*`Y%+)!>EaxqFb)8cz+AH91 zG>i8g&w$PD8?Gd?Y@M$fk+!+pV9u`FLOS2|ntuHjSo7f{WD4TbhZ`%EmvT8i?&b{p zspfdmnj`GDsN+X>&TBu!9Z&k|AKP;6R@;J-u7tUJIZw&?ZMbL1@^ru3hJ!*)UH27k ztndY&_rL=?Bo$O6=1lfBSo<npAtEWfX_mY~#4CfQTdqy1e(EcB&q&z$n&Z`dzYT}< zIi&2IHe9mjkUGCZ<Zz^_UqFd?)75+a0e=FTOzof*tlvDd(j}#gjxV!0uI=nykTX4D zZ#(Cy|8o<Vb&qb*+BYv@@mx-={rw3OojF$3wIysca{T(4V_Jk?z~|j8-fNXs{8cz; z^cw24cW!!zw@j;+b^JS*BdkK-@o+Oo*e?^u$H5$7meUu!FlxFQ#d0)UXw66Krb+&S zYrZl$zSMm^XWM3G$D6hs*Q^^B)HEhEPlis894!VN^r5igk8G3cJH-{hqMMFh6AJmS ze^6-SX~VU%@)aYp%A0Qe7St(Lal9+cG0l4Rg1Wg0t@*-F5-QBOivxb~a$8E+A3P)z z^3PbasXK__p{r#_ULk8(24B|gjT4%G<cK|6Ffmn;{Um5s<aGt;sKNt>WJ;!On$Y|P zG}8ax543O*bWU(ZPpgc`ah)><Gd633PHn0)<}Mbv+1n};Vt?k4%n{I0$zSzBa~j5= z2@LR++8J?1Ul;NP`HB@?*aun*c;~zm->!bp1)BAslbn`?Kh!$w_|RA9Ny3bzL_RP1 zBt`behe5|Gf{vH|!UGzF7v#3=xPRb~j7i-AC%#FbN^C77x21>u;X^VdW|7-KmDp+q z(9+T0$H0}?-q^?!j{m@iT^N84yRZSR3Z2_3GbI~z26L5IQNUk2(52?nTV<~N2Oa)6 zFHMpCY<SGscqjIiYeDyKi;F!|n4GA{e%Bea9pib#3CI5?phK7+f~qlA0UK{ii8$l0 z3;Dc2=UzMp-LUx+w2ZMYVn*{U-Q%IB9BZ`2o-OzoCRQ}zPIs$ihU_6HzDw?#4cO-z zaa*34cm9yfmuZ_PH2+x(nk)dFX>E7pkW9}t(9RJz-aB(FJI>4zf$oP1@b#-*(R@Qj ztSG>K2I$nWqfUIYmV?gU2JI37E!aJ=CP9(??o^#Kj_b}l@%{1#-SH|OdE#Kf>FkP| zd|uX@CNzJP106Z#AXX$W_xvH5D}JC^A}!Ee=0!m){MW&AGEa1a<zFoTT_WpY4~qS3 zpx6f;MxkG(yDo_D6X;Ov=DU$691H6~M^CAM4ypvT6T~)6Xnyrn=ZxbU(Ai$^L1%}6 zucTGsE}l>YI^|;f4nFgAKBLX>wWB5J{<SNb@9fig0@*n-CE~=v0!HrQfL%SUGCiQ< zGPKG!PH27sn*8}@!EM>G9(1JU?D+oGQ<_tnS}ij`2U%Sz23<!BI(oBOhP(KJ_2gEW zoFB~lSIX-%_Flb`3a;iwAPXF9yaP|4*s^g;>$J{F=hH``x{W5E>De=BW@5Hywq%;x zJt5=n9Mdx<n?m;Z?lGP#{C-8SVYIUG;T&Fl$uy~%nrUI#Uw+U1{OqRX?Q@lLzke_P zW^@1jx9gwM<BgOzeRIz}z4qVtXqEL_?f>VicDr1Q&Nj>NTXgMLuz-lxJu6M74HvbV zPWcPyd=hfJ+N&4)-JNs4;B4Q3TJa{;Z%Qj>sz+ogI=&6&m}c3%;GGcotX<HOhvOVl z`y4g|m2kFQuHbG8ErXoZ`^NdA?ee}Wd}<o|8WMUZb9&A5+;B~mW$A5}*ES9TWxU{v z7vldL`D8aovWS+e+|Y0i`03E(+Qky;uM&}C==impV_JkAv_o;vzEoxFtOegX5@t&J z`mBEE)AV$k`U?B$39WxQrOv5GysT(C*3A)?DIgN-w&7MS%TamNh{u*qlXl4*js#7| z-WFVA47(OPC-0R<zMSLF#~fxB%?lp=WRccYT~Wh-P^n)a<S+NZq>0*~Qd%V<DY|La zd)0_6`=(p&0y@Pyj(4>V7TGJWsMkHnRSs*8@cYYZ{++qt<7$@GdmeiA$=_{D*lObV zv6l1N4;{yo$sE^ynK`~J=DfCZ)`B;4Szg<^1k`zKIH=7c8ecEkw)x^UmZ|rhH=MA8 zO$XZ>m#UPRIDX9+)Cp7vH;2jvuGCFPn7Nz-yximUx?@{jsdI!?m^&Wc%Ly*07juBC zr(%w<-E$WF$Ysg?0xgSAsxR-`5~rlG_oL~IYgU^%PrdWna4(MKslDrlgHBCdqD{T$ zlvdP89#q;c5b{^`U{b$u$ba^OLeg>F53k&rl(167@vSZAG|Lp&+JmN*$~*G~uGmjb zX!Yil+Qu37i`DV5Da-0Va~Hf|)Ah;TYA!5tzcZniUucR=N5Wbq$Cr~iu0{F;d`oKj zI!$=Wf6IextD(DUj_&uBl{_2Kl^NP6th0&P@hZQ7P8oyaSA7AULMF$v?}c?r6&>HE zvYamAd}Zgg;Z8i~tJhXM>8<91CU^Q0mVOsDIVXs8>%!BO%3I$HitKMl=zY!Mb<cal zHD#8i^Hn3%i)@#LmKisF-KMJX->!-GoAQbumQB5Vsw@7)Ho2ZtUU5q)B8S!S>wO`e zk7uAmI6vR7R9@*jz3|UBGnUhD90SVZo1Sv9eC=0^cxcVSdtNo-r4;1a1?i?m{=#d% z={G&vC~Yvu^qw1dH_$gljarL?R_@Rq{~X)eS03yA0{&?=@xD`7@guvbcb?LUKmJXw zW|4iFp?A9yHs0k}mCiZMqG!RgaE@tKy$ilsvYd`_4ES!=^t8@3;J;^+=rQOJ&ckEo zNm1H+r!M%oj%9UC%YqjRSytE1T<~KhOZK0R1u2sg)<$!9tyhY8Uf2X)?~y2dSo6PF z6K@cUsJ+jIi;65$_q%L3p$SWC^%kWn+gcX<@@4T}qq4$MA>tKl(=F*cEt$HTSsm}r z<(T$c!0~T0N7xS~$HS93!d3~I?3<A=RhqNQ&SAqX(4I~I4ac(c;>=?p&EIt2E`~K* znH^v99JFE=m|{CAVXrX9ss9ZL&D@+?_Z&6^PG9ipJ?Aq8qa;Q4(A}-m-zu0*Zk4%m zAGCe%8K|QUIxqPO=u&OH%?9i<T_-E;sAUz1+1CD!HCVoM!fw#{O`u*q*M89XO`vU# zm#Vfu(t4V(2Xu9{CulpQ4CuNBPSA0|#}CPv>^tYgHwiR9zxH#)3CAi;P|p&y!?0HD z*@Evp+?FT)x3^kK<Wx!jzX_fh?|MH&hLfw<;8rteQ^q;a&dmfx_N(hbn=-VxEm!=X z(rW1ubJ~e-R{2H)_Lc3R^ByzBo+*6R;<kKoEbn-HaPfp{Yi`RI??F5G?2b9{wY7sb zn1Xg{egyT|pQ=w*`Xg@M@F4P(<Cj+}`>j@#Mx1c`qX?>g)y0Y?)U>u*X5<#JuM6V4 z6b-6???#+({I(c$Jf#8X8ib7o?9G=!Lm!}4%?mEj@syy=g*GRg_*T`YB=RNcZ=TTn zE8BX9W$^?L_)>`rHP^4W%Ps-!1APl>BAf{X?S|FjE}mdLyH)1PY|t4_VPZuFF-H%{ zOqrLU$o?2~Rr)En@<&=v6|82rTCR9|$cgV!^+p5sUK`M6*px)RsO1|bG=Bk|BAR{@ z)XV_a%5TmF%a>k=KIz2wsUPH2P@~AON_^cVnVxN+H6?RF{or|^uDSttu|PCvord~m z1NKhP_2Zv~#GWZ!O;col%MR+QOMy-YpVw-6Vr{*7o*&<@%@H%25B0&j%RI)Qe!10z zR+*mu6wn$7P~<1UnqT^$D)>97s}8D_O_LPaUrK6&HsBafZk0*do}S28RUCQ3u@2NW zFqq#eBhsIq$miA_al&!u!9y}G(?G*Pkn<_;nSTvr-v~Nh?60NRGlhlK1yhSI+?msA z=>Zx*xCI)%@ab!nDS5upfPLp<P|FL{1}w1Swv^CIP2{`wmwo@r_<!7a6XidED|y(k zz4yk{M+=XbIA1=Zqx`uc&28GrEXh>0d4|R(rzLJvGCrD|GHpiYmawF0i?$unQO@ps zDdIo*tmVg^Vm%wxnVQ*=NT*frx_3YQdTssw|9|V2x^}0zT>G~&ckkQ(|2m(Dc24;R zt>vY@s|PZKRrW2&c(Sc@K4<r>G?t^~DiO)=Ik#M!|3_bu<$l1Y11pu+CUbb5SB+Ti z6;Nh(u<E+d6wvwqPgz9gE8ch!+H`96opT?Rtp!4Sg+ZrO{<_HGJ;x{Db4=6HXM$Ja z95$Re3oTg6r~AsD<mG&|UNIu^u^!|ms&5JzHr)wRl{vfSxoo&~ljZ1Zmh2ekfKO^o zTc-(4iF4g>E~{yi^xejXYo;q`?3X^s#tt1D?r!&&m7MDl@YAQsRZnq6mFU4FZq8NT zIi^K;27DH6TAFsRGxO@bwuG6noUh*bZ@9CN<?C~SH35nl6@mx7!i7xsO-z`26zVYd za39%~f14NlXe&>Q%Fgi(Fml~+jh)j=-f6=*PL@=E<%suAO`rBF+*sfn@G-BcS5AG! zpYkSGvmMfhSN>9KI$EZ<;vZv^D&IUvhu^$A*ga^u(3O1+2{TV~zPjhI;m%x^ukxx9 z5A#`g)9*BAt`$+w*kgQ9>%F>0mB7KQ`N|q~TnDA@t7=qA9*o+rsBu>{;`u}9Sj1+1 zAK90ghRZ_#^|DC6aS8ZX%Od@hL#uvT!bBs-tM3JL$}}Cn#tZ8dN;{rq=bTnGZ$a6U zIG*&GXE|TJ^Vo2wn)8*s$A&}c(5nCN&R}=1`)V0B^Ao0uIbMwB46ANf@MAMe_Mh1c zo-DKNyAQgFDvss#88wY)g^2elO`q;7-AIsgJQ^>UQ)2A+^f#wiWfipEf3<&E-;pG6 z&s8-d$-ilqykf*Fjiy`bf;z=~j(4pN7VTGAQ7?Ru>${*yjO&I=_MB4rTSN{=rbag{ z+AX|hnyN+}%R#C5S&%?eKR?Ur(yo>TU#_ye22CHefTxd+vq+!W!+&|z53#1+GSwA- z5}RE4lvn(6Z8~~RVZ}e@Ce>LirvDu_T-nRAHC#aF^CxJB|1R(J#HiDIXD+DgN@(R5 zG_h$(SgJ2zV%M86^}Vpj-ll}D_k~6Fw<q*6I-Z=)aqZTFI-ccU!dPCvaSAB2Z~Dro zrt!bBiPvu~#A)K$!R~I;g+u<y9#kq945{r&*eK4qYJZyLvaLs^v6$X>+z`^X;F}}M zX&L{3?;=f4_qhlBHv*sBy}^6KC1sZ0c!h|gauMb+8&X&W^elHBUBYo7D_>n>pZ-Cq z`3f49G6$nln=U;UUQ?{;c=I*qwcWi7{y4Kp+xQ0*?zLNAw8hf#smej6-vS|jEe<9f z7Yg~Wbx`QJFKCM7px@O$C$CJrQp&P5Uo~Qxf57+1rl<d$1L`lcTh=|yW#Rp<6!9{d zrI(v!bxrGnjHwA*<%LD|PfO^v7oHO1x8WM-@NU%z^=|_4MGOxoZNBZ_#js|(y5rA& zp_~E{$D{hfIVF6KPvr%5HZ?5BYERgh%5wF;(}p8bO|#b5&j_D+t8c-#caVc%Sq>I~ z&h8dm^WiWjWUH@KoNeinFX~Olyg9-uyB562YPuTtonzV5<_b{vXHR>pj0%53B41QH z==g+AoimQlc|e;tXS7<rFa>pe`$4Pa;y|nAK<60mJ>$f8YJIJUc{-ocZJ(|Oo|+9G zJS|u3tA4eZFX{K@3C&gWS}i@!fNmGK4BBf5>Q~l+PIlNiu~nu7G)P+n8oB=Gt#igv z@t4H9Aig%x(z~UgPTI#hP{$H<XG9efcX7aPHPCvw6QHiT9efYpCeYmxYeB~k{3;ZC zw&1f8x8;d-|1H*ClKHX?G`w35I^}@}G+Yi^+b4V8iSN|?ghW0i@eLE2U!4aHm-Fg8 zSy0E$T|A*;N~?^CJ81IZ7=yVw`&(U5#dZ!<hk;ugyMBWXC3rU(G_o%PTGwgCZ7Bij zZBLN`jqKZU7YFQ|+bZKCpO(m%RiCQJUTE96+JwE=e8Yt1Cw@9-9JA%ciYA-`o%NUu zT7C!`FL)2y7yDlmv}-dD-dTRMXl1{Z#Mj6Zjz2+_m{4Tn>X_fbA2nCxxf!r;l#M*$ zSeq~QOrcPL+cE>RTX6}fF;+IU)$#;rOXpqClD#{+I!_ktpVMloVFNmId;KN@_NBh_ zp4_#P$UlEbCgc*_Q;Rl(58XE5w(OXH=8%lZIoL=|HY2yC$Ne*)k(!MYn%_)lwR{0u zocOah{E^n#g9+B4gDIOq=U9UV%#}e61y4{z!Hm0DU^S>w13H`Y(K65`Rc_E36|=lS za}8U&T4lC`gBpvy^FT{I%?}=uc~X|F$o`iZ)LwW98rlb~kF^3Vmefy6<kJcV_0^rk zo-MeRtjPWmGz{@r6tuf|Czp9TU({_-qh=jw=jMNqP2gpjH=RK~GH-8{d7=$UsY;-s zeNalhd%}tDR5!?_Tw<R#yfgpv<9a<~#QXfupdFmGu!W4=>lA+k`u<6{Y8ogbWf0iJ zv%`?Zeph`*n8@M{r<yu_I2d__*kqD4qr@(VZDCp%J$IRDpRdcR8+}$0mx7FaS1;{N zI;guwFmZ{*(SCy&Q*V4bSA0U+e0I*KH=p-?pELQ)zpZ!kW2Rr8xL$PizKSpIzosm` zBD>LT>ZOVAQ~v($-ty;!et(n6=j}|d;v7sjyzal6z;a;2Lru`qiXKsSIg4Li{`$It zJhN@qm%b5g;9sZLkj|Wt0y$!C5A2A!WNVX2x4Nw&LaVRxR`D||4YP-xY-iq;5b^rZ z`WLoY=3)ZyL&f6W!xm;_&3C(W=ydprgwV&nkR^vd8DZ-|G@26ko(?;;`CddU(sHKH z%Wq9&EKy+)oIUrt$E=FGprufeQQ)OeyX2ua3ir=nzy8Lf>HE~qSEa{-78b#l99lyc z_+0zn?RM$R?XZ&xS09`KFG@1|vqlPX>fo=W+M{aW=T2?5dm(aQGo!{?i78SIPiuDg zLl+h$pU+m=dcU-rC+w<S1lZnJ;n4Lq&)o!hve)kPo%i+DHPA9D=%IM8+o9H)P2FFY z!#B^D`@MF9$5o4X(0aT*evq|;cJ^Hf5&3yr?=9ZA8MM%GB4lmc*>srR->*!o`aAi> z9hSU`S>wjQ^LkwnWMxtR{Wa@vJmP<Mp}Is)4{4dt_e$u>nXmW%`0hP^W;wS)5brwB zftkGfK_|F@j$n&hz5d3NZCk7Q4=x0)Me#Cj09}pF|9N}rPRM22H&#k{zC8~*O)qx6 z8w1bke31TRgI(u)l_aiJz0lk1{<Z+Lat7+$ud3y*Z5hDPW96-!`S2A}M@a8%&`FzT ztD$RdJ}>5I`}*zv;_n$e+#QJPyZ5Eeg`SCblRs0%7rfMQa~LRu{<cD6X3z5VHy%BH z{Z(%6<9*7zE#S+x;78m2-{E%Y49Lo6-Yk{_8xlbQ+;{MW-QMW<jVIG@SM?v91Wuol z4&d9GVaH|Wyb<bM@@*GWN61$Bm4Xb1U-cu$=iUv0?*nJwSb|8s@ynoVd{%0kP7<>M zEj_gbEoJ)b56U(?Zr^_fvK+2DULXJdqQ%;V>Hq3_7@#R(_I%h{+c(oqC#h|Fl@OW^ zK4|XUeQ4-BKL1jC+mBgitNPE~1T7PTEij8)1hw<u8n;Vl{AAZi*(`En@XGxW56v!L zx_@=~?)%za)qijka#X?>I^LKo<@vU~bi=A|OF+wtesO+31wGmh5|__wtNL%Tg6xDY zO}icq%W1b(Ox#l7!M<7we7kw}zPfJcLPxMi-~a8rx41A9DHWWJh9%nS`EHkvB+9ON zwPyurVdn2|zrV^c@UR=~>YpE@B(cq6Yv}unJ6D0$g2Gl;&V^d~+J3=A6XPofR~_WM z4LWG^)(6l^JkaT<FE4wu99FacX}tINf>6ZD-k$}F%TgF*IUSB1`<9`ywg2}{p0KO; z0w6i`IdY8Fu2Vl>^%f<E!W~>1pq!a_lG!NYs>M$5LPyi{&_d;WzmmkY--pU7uSu7S zB3)DdXDQU$wEt@+nizguz!O&Lrw?AOc$XU%tKu)Uw^bbGtGs4C8?;(+3gnQ^dv_PZ zV%6ScQkvgw&F0W%$Z46kJ{UnGw!}Gp<H_4)CpX*OKt6=`p(8YR_b!c>o!y)s{QC_k z0fUq7tLw<#y?gh1^_6Yn2NL*TM;88r-7vk(@6Ouq5fNbw6IT^Z1g$yT8H7|Cy|1qR zBG<bYDM^_Bhpkb+Y5wkNwNDJ_it@{#BB2y!<?;OM;W;0V@4r}GBBzT~8kxVH{M4wS zk3}KrZ+V8w*_bGXiCG1pb&fd}%b|zN#;sp}<4N=HuX4@HLF*hfAgA}**?eq(mJAz> zO(xw67j;;&>h2P7VFX+H3M!0#ea-(Wx3wO$?poj^gBS0CqB#4P<<NzW%g$Z(h{_Ok zSdw*Tof`vBb{=x1R=@dP)$djsfH*Dl>?~NE+OL{uQkc!?vg%wFD2snrgvQDbz1pK< z^Xq)xU#vVT|3!`gT5^`3hi34I`Y7ehXX}_cLYmKl%A$vLP>o+0Ymch^ul0CuXz{Xe zFZ_b?!^N=0(`7r|f~tcVT~<Yb)@z5=J3(UvRF$6ox8nPXxj_fK>+RiOr?q~43e7RI zcZDfuCU*0MnU<{-YzWG>TMZ3Yc~^rq-%qK3mFxYEyj1Z|56qMMS4}k87|XueiXC*y zW@$VqLX!=4_5XK|-+1)n@~?7pzl#feho9_Oy%VbO7bqs*Ts^qzpfKpf%eLeEPZq<@ zy9`s3Fx&YBbkBGtQps>Q8|KY#vAs*aEw+jX{k<Ao9A)#rwq=muOZcMvfA#nO@&B1` zeSGc;YAF?-xWg)WJbu2qx@yXl2@g3XHSO6oHMc1D3mIiCx#p%fdwQ7g-_UNgth-Or zObe%Rr{_LAF*|q3SMM1sxyxT3b=!68L}=Hnv%%U+|9Y(LO}l&S?5y{nf7d)+`1^6( zj}JBb@AH@6`Mt0H&XYqw^Ji4PEqrwCro8ygGiR10TKCVJY59u7^nh(KlSRT`!?UjC zfmdvv^1jgde<Mf#z-xK71&6!NRc;oqxBK+xRu?m9JMC?6<W1Bak@Z(uZ*I9E)4-?w zyxwLu(~MFE?R(LPeX`&^-EW(6qU=EDMu_R2foyQ)4@YbO-lb=iVs-b&$(*RaY7DYr z`a2CE#@OvdZ0UdZ>cxc2=kNSeFaP?;P!du2)b%Fh7=~}ZWMF47fVaN$>z!M6G@kiF z;?q)b=<et0@@FV}gm3+vnG^L7bc)B?eJW6^_UOZR^k19yV#3QWo#)doXGwt$DyY|k zs<{dGRYZAp$%!qW|4hn>+W&D=q(i%?J>Q34?=Q0%ypGxM=<QwjR&?H3d^5j&kDce+ zy*+-S?`d6JyQtguPw+imUT-)%>^5xQ|HFG=kIgJ{Y~T6{<RahrbIYz)Ghayj`VP9O zzotk5?&^2ik!P&bbxtq)%Fc8l@#tlkO}Ez}ZR+Qp#kY6a-{5tpmL08Re6e8@-+?vY zIITV&JJ*-dg$KN|85CnL&a6+n{OK~|iw&=)!YsS-E6R*jzz}jg$z;&+B>Z~kmtB3z z_+rDYb-s|@{PX7^g;5^pc!@gu+1J8Z7aZ=|zUBlZ>bE~foQsfQm2xZo&)1x&8c=l1 z`_h}km2i!5O~v~=V9$dN4gl{m4_|X;+0(O(FE(Vo`wETthfQ!LQQV82mt9_+e`49y zT+m@D_f|oS`SD~DT+OcOZtXtS+WV*azSaUAPV(b6)SPdZ;A+4LC_60u@}qyCqgy^$ zLzUe71XlufP4n!{nLh(SX9AS?Le9ge*`WoGiEF)}J@5YeXZx<UXIXIg)zbU$P2KQx zcr8|3*63|c>~!DP>7eM^djC8$aLiEyr~b#aoTxpZ(?arN=0o<6zrQ;dz6%{3R~O|| zE*A+k@U6afcN#>Ayxk0#l89^(S);jjyA@}Lx!<?rezBn{nBi|b6DX8_q@;uP@`EGv zZtO+H%jdkMH)sC6=6iF?k4V@S`#K|##~hZ$Tu5wQ=Dh50v;C=MN3GwVSoRcloXUIK z`5?={`~3?qD_*`hXMW1%Pqv`Q3Wu6vcV{|C2`G6*WY>#retG-dsbyDxgVOS3XhQw* zqyXWV@Xa$y{qD{2eccZ_*G3$+N&nl%^7DuzSJ-^p@BRJv@_WkVM~6VsWe+-X1bm`I zK|Va8g3r3xyglXer_Z3od=-|MD^8u?tl7}P2s%e6d#)7du#_+Va-wQg8DzuccblHI zYKUYEt0_VpnsImYBIjjuUH6|@R%*{68@A_hBP5Mg{GJ0(9N_fh?RIm^4owExuz9~u zLzTS$16Kl0Kc}~)Uj76+Po?zQH;9rS1xQE#bc0f}=>Aj7uF5jL*zjvkJM_GW$w){4 zOan#NE7_Y{_L(!thQ)k%4Kb(U_bj+oVAs4ZPrIBH&0w`=PenK+sqWbhj?o5D*B2YW z(KUDf*=0|a8DDHzRRb#xV<y9+>ovGE+;>0q@+*IkYi8Ytma6K_aGQ2XgTm%*f7<0= z`HU|%Jo<GU>f*P%k?RVhx9{t0W{ZK!r>DB0;}$?i#8gM49+>d<XR?`Ye^r*)g~Y3E z4D0{j&1cwrj#b;P#sZWM!LGj6_hQ1!lK1m+qW1oLnG<zi6ncz~za04V3edSe5#Kk0 z>WiuAn=)%3ZN9lhf(?4&%G>8)7p0tHl{EtuKHK-FTz<3#lzfz-rvts;I|b%>$O#}j z#5ZOB+{aK7@ihXLoNW-<>ve$G=FDu+DJdcie5>bkLk|#AFMf41NC|e*&i5HFE@a=D z`TKiLRJ{@?^~f|tvKwe0=-S?2Z#bK&LjvqC@KG{*rf<$H^klGFV<Q6f)_1>u?x^Xx z_<QQ*Pu`&PJmW9)c%Z|V;lY?aS5VeyuHKJuv$a*A82iBtEyhmXgx5=@)}ZvW^#8eK zUwJ_>7W@AswETGvKPdzpKJirsv&FJO)u|*b8SZt38v{OxXqx}#%$<e|vSFos(4^6h zXd-0Cipd(yUH2>BY^@pSoSmP>?)!SpjTfJ{10R4hIn}c0$?V$y|9{9!WZf~YU`)MP z|KoMB<nfPluh*X2IQfdzT!}s@O>0eE)yW1b!B)CfGbc@(?|sS3%KMUv>3;Q<OM}ye zbTvOK>#7Q8cKUR_Tw<(xtZ<I(oMaikzMnPgUhn+<8+3;E*P8lu?`!wpzrJ%_@!VIl z*wfwO{;WKEzv{63#63zAU!4xy<dJf$J8h#S|6UQ+1;1*VRD)PdzpF)n*6be_&?z=@ zy!)AB+U}MGb+Z#%Z>wFH_4m_yIfoys`KSBKYT7zpsQ4yuIVzRAX_2+Snr-TiA6;3p zML50Yxoo($lVz#CTEz3HrcK+0)|AUT{=CW}eWpg@@Jb2w8yVG2r>X^XiXK46@~<vl z)_25DWksFYK_PL$D|^}!R_cM50IX43vD)2W?Nc+z`tiFQQqMTTteO^lOlk@}r=qcI zO2XFF99{RFHXPdvohN^CYNhhp6I?#4zt}XDZd2CSt$nbHoAZ>s+lGUjETaDEH!?UK zpNbt+G8Ycn+n%sdl;!GmVI9Nofqu7ZgbxPo7PxY6e!|Q{P<P#Zyu9zpKHq@<PEDe9 zt`|PIHBJ5Jd7;8%!>P?IuF2YlYp>q(2&hSKGPQFE_!Q8zb)Q4PFO#OyS1hUe>JjhR znm+wkxbfg1WJzJs$C)dY7yHT`-lCQ7yWxZ%OXy~nZds>*zn@vW=XeEtt_I&={=2Fv z^_|L!-wX$flAC@>uRl}}2D-akmNTr%-tloWXV_2Z@#ZHl1~2Qovd=qUkLQMC?>W5g zIc~UC&*`;ZH6r~S$7QYEq6e!qn?Ai)zVSezscXLKjTb3Rr|v68JPB%AwO=*jO<B_` z<|fs7(B-S<=25+wx?5Ep-^y}KyV<?qol?_NJLiD^DovtUEWG+^5iiYIdh=Byp4zjx z%Bx4@UVALz_wg*}wF+&=lRO8b*oCIp%}SUn4Bbs|Zk}=Jl5#=EpYg&u7gWK=dxQyw zY*RN_>uRRF;+I&{(K7WF{{)*<`&3p$sztogX}aaj2|fc=m*w=|?gjt6nncrVeqJg7 z?f98)Gsj$$X+zK?$ijyi3tl{gEPRjzFMN11l_mSGPrxq!4d=L;Hk}t<V_wetF6^hd zaLxv9$D{m$IUx!w{-`$X{lTxP6UoS89s0FB*gYv+AmqRDL7{TtD>X9`RzBy*I({uF z>U55GKzVx8Q#+4<{{c;+`#dguFlm}v=WyXiQB$ZDi|c!(h_{MOk6v?JtGF5r-n%mS zwhw68gRtYvzZ};rTNdPWChQI7JXJqGq4_k2*1yJt#nzl!|L23Z1MMq1v${u>W7Yo2 z37xf^S#@&~RzBv)n(wsX46885zg+<g2mP+*`pKUBJ8!{1Sr+X-{R<vOHBF8CXtL~T zg?3ZuJ;#6&`KGI%SdR9qMm&DaqIzCE;&o8drR#!gime@Q{^kT7hF|kVk|(`c7q-r3 zyT7dDTi1Y}$t>M6&H-PuSwN?u{$0-Ey@fOD-_(SaPg%Cgt4E}Lv+`N}?itJJy}b+S z+7ep(g-mQ_B`jSpWMbEzFm=8U<fv1by=AXF-s-a)eXksm>=ID)Z1aq3QL_c7+?$s$ z_b%rtIfo7RCbB%;@3i4yB#Wpt<Q&xVEZsWFD}G5FOse8Lyz-w_ld2eWVt4lYxmJ%9 zrY0-0zXdI!Jd-3=bio$1=<@H3R+%sBQxf^O>XQ`N58HvRA)V4H(=#7*+QItR^RtTu z?)J4>uGrh&Dsu$12cg#=G}jN>=CS&e6W=B8h#Af2L?<g%Eay_FS<bh~9CQ}=`#iCt z3H6{Q`8LO$_`23t%7th0x!vA0p}A-l=mNC!PJFB8gHAj+4w`M)9x<c&2>8@%Z?R_z zrOMov8TXGKl1XU;9cbAHy0qNNDEsjLEuh0S>ZQe=E%@*bG-1H4@MlHy!#dE~gkPYu zIHyO<Xg*~gdBSnaIneHmgHC*pK=+&V?gzOSbhN|kOtGQ~_fpu_U6Lsg0$tdp2RcJS zA9PN4Q>&$f49KaV=_NPN^wOvEpvi`>@VS;%dsp^bb=ZQIQGk|FcJ{Wep3?m6HE33> zPv^;kz5Lw86D()6%9Qk{Ch`@DiWOb3pWkY!@u#O%hG%_pBA-`%vLgHA-=O8IQlQ0_ z$vZ5I17@Fh;(Mei_H4n%@1S)Z;3<%k&CBdwEhq(D4G)^sDFI#geG?SQakE=xp5!Md z^09%A1^LJ+_AJ4qT5Vkr->K*g2J91MBThJ$g04~D*3l|+r5kjjsft+91)J%h+vLu` zZ<7P9z7+-C2v_nRe0)fjSkZ;~mfYc)d|ZW~YtZJkT57yK=)`x79dt<OV$dzLE@ICX zl$n91?~WXjF<F<W$bM1}bo<#cC%#LdtvP*ZkDonJ*bQ3o16pSCX*Xy&${o0ejw&y+ zdzG+dMyt$}^C_V7#6fq^YJtuZ=jOItasTuo8I>^5wRb7XF-t8y&VrKYThQd)I#Bda zXtg{cd(4UN7wG2M*2|!^tDM}$0a>6cXhHjmp3Va$1#rM!6a^)PXi$(AFW!^GF8%MS z<=@Xt;o(n@ftG{7&+-lk@>29s4d8movXaBiMcTzMtj(Z9&^2hSX_v<Y%?=Nj7KJ2b zrM8O^g3TSrq7*xlX5?sf3A#4%xQTE^7<PHM966z&^bzSW?=`zYhk5rNz38i?|F?Vj zv;Xh+d&Eujc>Et!)i0jzbFx+~kl~tD&w`T1gt@_-r`9P&<eNJF%;q$U@DKP{*VNm_ zGIhRsgo@ecr$3wGW3RTdbnB|FsN*;&q^*8omf=lzj)(5Em;1<i&UFvi?YH4fRMW24 z9Mg7BU+^!PMcc;vLczDR8P~i%b4tDU-QYEC!3(aYtA1)4b$SP-rVCGrao%t(mu2aD z#fbF7&^q?!$14->t%;lw_A{2l>_+#3M~y7fy6P)xlnyFA7YNxqC1InQ<JV}8X**^w zc($0u+txXt`q?vyb4H&zUM=I8c6<JUe}OF8GWL+QqH^<0OP7=wIzG<k2;14Y;6*IU zYF>^md*2PmmTfj%JE@oxyj#JjskBc;qgv)*Rl3lW^@2GCrjAGD1#(K%9iQG8*7<Y= z>awf8+YW3IyWP0pmp13L69QLi*bfFB7rJ8CoG_D{^HrSdhCAOmUzKx){g8D$tj-y> zN!M`Bv0{#}N>;~<e>uXctsOrmb6nGaE*70E?jxI7J9WVqUY6H)90R^NHhrC^ps_!Y z=kl$D=%y~urrvL=EB=@@x%R28_+{91^ql&Nf1*vQ>(p2LOm15Giv?6vKZ9Q$9-V*m zWASdGEBD(ITDJ?C=m@O&64-QXHAmP_Bgc!cIl_JmI(}s5xc0-?@uWD%wXWRWhg0HQ zHk=D;+SD$zX8WWCf806DEb<}SFk=2(x-#)%RMRQYvVG+hzto$K&Qo5o@2J^~YeL){ zS^K6XtZe4YTCWhX%q8G^Ow-eS9s%{d2f6H3GAj5Fdey6B*r-LMe$I`TgB)`%;|r=3 zzezPcVi#QVF|TQoy5O2pKF61*Ij&_2P1!p&Vef9vQ~w(in$tP7^0vvYFEZ(0@M$%t zm}SF)ta%9=g*jHu_uX(r72KTL5Nx{NuvDeg*zxUdj%k%G3*KF3Iqmv9A?oXMp*0`0 zn%YitgjI<<K7Py*_EW|2VmC+FZ!5=-W(TG0)ir(^9E|c8oHB2tZQJH^psO|3FdR}% z|31U&(rJMy_38)NjthnSVL9j~E)?=l>Y$RjP{?1&gGu~?A@YJc8w+JFui9yQFle{% zm3#dOGnF}B$vJPhbDZ;4yu$`3=v^2#dlFxHyj;%GE3XpqG@r$FEAz6gx5`+KP8V47 zk*#TxWz*I^HH})UgI3Rlr|g}Su=h3RssAkr&32AQ_Y38GQF45mTlQqj#JkX)80+@c zz4CZd(DdrPdc-4>rdgp)OV=r^sFgivv|ad0Z9~G&{Q_6&8xvaBK1+(?6&GAHMJ=O7 z<Dk}iWsNGWgIV(xHTKO)=zR~ZUnjp_-nZqQvWBfn#B<B0P0{aKGS_ZzSn%gHhndBU z1&^vZ&9nqV{+S$9Ds5VIUo9d>*70k+pw34L$FudqI;PcfZNb)#8_wK=E}bgppJg?v zn&0v7WR9>OB94bobA<g81K$DsQc&cs!-iY?Il9i@lDr(1oZd94T5wG%gX7DmEU)J{ z1Z;CMVBhN<al-K*=u+(m&pZY1bh8TF>u!FezufLs!WRy1OO5}NTP-`z++p>e*8B>z zv_S{7gEK!7w1acwgyv`MpgJv5=gERvLGI!SJKI`iN^a|%aeODP^JKw)1JK$Dc5X|F za~=<#opH=`7b`Nj2ijq{r!t6zKb*0J|GMLs6QISGqLC*Y|M-9wTe6B3P1plEAPF>y ze+jgvV{SWWspWf}GmiO>f9~+IJOMg2>EJuisaR^DEd(swmKyaPt(F~gKzD(Inj%S{ zwFJMuN6ct;DTlAMc$N=Zm+)1E+cG1Yaor`ElxG_zG`|C_ZT#*gR&?P!XuAXdCfF8E z&|Tm)s@%oMTR0Cp@jde2V8Gsc!U=R?=JwP?zNp=xr8E0LOJ_d7>z14R;A6T?K)YQ) z8zgr$waSP@gSNz$i4_I>GX<S2J*QPB<p1GAGDo(>9XVYV5P#;7jLJ9A(wV~%Gnzvh zTV=NRrzY|lfev{pH{~v#uzOmo%$Mt%4cJ?MgDPd+h7S*|B=|t<AHLXdTT0~blnBq{ z)8YnQJ`1|s)4HWq=14ebyJ;}!9(WtEXA45p71>{I1+Acv<+eO=@AM%V$mJ-Y)w&1Y z#Dccr%sGEZrU$eb^{Ebbu|T9D>@4*KRiCc7%N_x(82NfSaz^tJ&>GM^pqpp!f{y8y z235eI)=c`_w$&!=J3*I-)`Rx57ASyLTc#)Sd8u!j&|KKsYPlljloQ_~Ur-x?8?+ne z>>(Kwxw#?-4{rr;y!m+sbQ)Tk8t8_UdB+dQlmvmU^sonQ%;*9gG?t#o$CkcvLi3}e zpwN^8t+MTGwd}Za)QN8uv)D6*ZzkN9EAn@-n5Xjzf!2{0gPJ&7ds=0-fYzeM{R3_6 z_|JMEaPtdLB@aKj@|=co=FE*(oQw}wI?e5~<hSsD5fo@_c=|+Ca;lr$#WNb9eH>?0 z`q!jRoSBq1De{rx;?&N_?rH2g##gRI%nVHX+_3+C>E505>&s%-zyABzcK7@D-_NUW zPWdf4QM^7YI`8i#dr*J=jP|u#8bUW8xCS$*R<rJU?&rsvuv5zMuPBT59j^-ost3LL z1x(`HH=OFZssBH{IezsEou;d0DjM??BOXgMP3q>nX4Ssn3up(Yx<)nk!K&D%PyB*8 z8z7fWwQJwvn{mx;ws6Qi-wh}Bv4r+3MdS!Nel<EMBrXK*v(M(ty6+9XVIZ4h+U*2) zp5_12ShQ6jn>0L{j;RZ-DFWU3q1{k$@*?-LzANjLH0p#8N_`iY@<w<K=&Gr&EU(Yp z>3p2NT{!}@OXD!7nT9}!&D?~=sVr01D?~hTYg$z<sI#qW!LP|I-Zs!mKkr!Cu`SbX zO<V9SrYTiS=!)&cgq^{huk=+T9=>MbU9TRo()q#<$EMJKUIAY$o36%f=WYwGk!~{m zrl3)&aWLw=sz$6*#PdI#EZ(5o2{upnm3<lO9`J8Di?oeHz(;)+>0VB){bsG1x|0-E z?6L;mGX=V}d%3`sKRgG6rVCxk6VfTRcD(zWbJ}lX$G_>EVHIcB>GO;0fKLoutLDlO zc5CW_kBuy=pKx}~^Vx7~IcFE>0+a0=UiaKKTwBlKW$(7(oH0x4;@uy$s-p#_+;2^2 zW@Qn*@4MlmP}8aTN)b;UfgN|yFZA!TD-$DTFZiX;Ijv&Kf@k&|({5#y`>g(^)0A4K zv|_em#JgCQuj0aMK6o~@i3_gz!rOFgyTF=H+)a!4h1ZxVY3zF_!;{`?4qjRKaMEOJ zAKA>e-T}Wdno7k4r`XO;*vrj%%ACV&$IJzf&U2bY`3C%a&(aOLTH}>8r`YXz3x3^W z@qPk1&0;f0R=mT8Ggo1?ME)$RNxvl>|Johos#ng~F)v}M`J0m;kKYztvq{|XqB2L= zZwAMY#vIpH2u;~DDPgTNr`LVo4d=AL7fpS)ar}Ax{Luol8<4a%J;ujhR#I1G#h<z+ zS3hO&4JLZ(@Ec5`o0hh*Y@M$hk#^Z+S!lU*)6;J%E9x~4a=lm1h){}nS<KR_uN?7o zH`HnF?`K#A{pNN2sLpZi2fyP<-)D(Y*{U2~=M^KK3p8y~7g$ry<@ocwP)>oS<I(p5 zIVIMPPvs6O^$UmmH9wdn{l4{KNImyKq216>o6YVk>nZCW@H?d`Rg0xmUoqm{ZI-Y3 z$`KE*v+&9*N4$(@>0SKUaBb)|6^&U+5pNlq9vv54^HH#A(sRKzrG}0#vpKJ2!uE*l z&41<bKCtQ2f0Y{vi}xC??aEiXk)hsn>bI~?k&fe4rGrV&g+l%t9Td{$$oe-SVdYeo zt@3ISX|j%YdA}blnAR<P1#|%HU)b8;#h~*keJ=dSX$sxv5>R4!Fw0&+<DM$`ERX9# zYnIj;uDvu{c+F;8$D6er*G>yg`7dygEnP6=kJ3T6aN&@Db_bQdLyumF`Fr@v#2Zpg zuO|LASgUHLyy7Qc)6!?cSL~V+W=`gKHP3Ow9dV9V@tzx;x)s<D?*`pH@jmjz!5^R= z<fnR2uX5%?F4-&hK!+!Oj+oJW%v#;G;HH>E#ZA6Rtt<PjGUkH1Y^Omz_NO{e6snoI zi!bb++$!^={`eso9#D7thrQUdge@=s?eMbH*xw6kCZsB|Uj<cQH#&8mEcnMM_Do?T zXr<+A(4mx|+m-f#1`%v5;PuMyBUjvIpZo(I_b^-M0O%COYdTL7K(|F`q=MYa0y^)p zP^?J6c7ChnirU^*nIoX?v6MZiTM62YaP6_q69wC}L_RBN`G(n(o8Lr7&S<`ur}IRi z-hjK<z@jb)wlDF~aZtxHBvFz5>3Yz44{F?&EB?)Bwd}Zi-ihy3eNrNy(0R~Km(~17 zLT5E!2?JdKm6XV5#J*`l^SeS&lV&k!IVkA%i630tmJ)HN56MiaJL1H5478DS>cJ%( za_hibEna{(KvZ9K+~H-}k$>=zj7b^j?k~{YD6b<xdtwhAk|_aIr8lKOS5+N!;`_86 zv~m@6-oy_M?qY+e<4%01jQNh8o!NW>bcM&=iLI6$sSzg}|4M)Yv;yv>XO^JNH@iAo zWwwCMRhS7ndSm<PLo!d~k`&qhf~xw1d<R2M9V{pYo%ArfRi@{AVj`cGJ7}S$lGw8a zVW91s+>tYykC}q*@-XHuzOZY0tIQJ6vdy`s@WG5t(kX>gpDoxf$!&Q;9dv|3jaX5D z4QQR^+#^nWr$G0HPviz&`vvZ%3xm4phY!i9`~uxfW&*m(<Gd5!Eo1(tcdbt39CzZ| z1xjpp9_l<<5TB69$F&@O9EL%R+1Eh!rP`p2-`PMndWdsdcC1TE<jeBkG@<ziXb-7! z8EDz?q}!mY%0ah%?FB7XopZv8Zxd)I>)xe0PaZ7Y|9<wrN=BtVmUEzED|371q>8r9 z|2}8=23F<>ZPiUD#Jpt=e#(5opsebYIxDPoSyuP#O<Jc^8oatrI+!h)5pr#Xsi2R` z@f{O9*bX1(XX-1hTVc9uMu+@E<%zE125C%Qib^~?T&LGQvwGq;$A0$SZ@b<<pELPv zNtpfo%a_vseY%_f&%;`0>A8-pwo9`*Z+>a3*Yjpr|5oe4CDq@)$_#GDZfyQ?{VAVA z_RH;0J!;oO7j(?}o}r@qCzgG6)cVz+B_!)>6D!5t_!}Nd^t=PF+taTLe1CD*E(R}I z*g=E8Z^M?K{9NgF>Bwo&{l<S(Z<;YIy=LtTUAj_N)tPYPQR@Fo)g`x)Rug@%TLE4E zv@<?i#Wz&{bi&nyQbr!Jh9K#Apw)(;6^c18w6E=WBwBfmy&80AC3NMK9b)Zif0oKw zxv#5u!mdIOy!;AUa06N>c21)y@$&UOYUqo-enZ!wT&syv&V2aedg_K%rBz^Sv*D|4 zK&vtTx4Bkci{1=6XA^o^`}TU+f~}e2CX>|em3y$Q-qj0UKzi*rYys&XuiB$x`+vn( zUfca$46%ZA&-WG3P=5Ar@kEnSzo?1R>|9nId<N3q#=9T1q6y^TJ$~^UkL9YJ|CLaW zv~;!IKii!ReE4L}QvLG>H)noZ1X}LpzY4To5q!zFp~PM5#T;$E_cuDd51g%ybm#it z1yGA;9hY17Jz~ce(BW%dpyf=zUn8wp{Pn%}rEOF{(gLb`aj;Vo&s2xKvQ;Y+by)H$ z4zyhBbuDOd5@>B@-R^x!-S*Z&?=RLa1FhqOp6R%EYCdeeS8;lVif?QzXuTKc&h(rg z%Fv}yPhx*{nabIDy*IRo0IlQ8WDCe*nD+VMMtA5MQt90e%9-0hM^Jv_1v&RGbZu~T zy2!x|FOT0}_kD#d@<C|(@}}N{+^hUdtanN4URHsXQQ&h5Z`ngHD+Vv(t1sJnZ*l1n z>r}*@>vqA=)xE~nCX>|ibQ?Ub?)3&OKK(rxy7=^uVC_+{_?X4tU#zqAdk;TL?>!TA z8Q$4iKjqA<Z463RAAnqW<HLDq(4JKPDw@6Lqu$=*33oq&R!2)ZfLEWwmmF@3R?hr( z4-}j6pk;fnK<9f}uq}poQu+Sc?<?kp9*Dj#uk4_b3=W`Qeo#A2LD}`q^@FRfDTCHu z-TDt(4+mPRXrH(C-r}trL2&|ITlwudG~t_l_U&ELX(_wrmEB6vvdnb#ll#jUc#bEO z$bT0)xZ&vJ>o0A0MI)`weg77I5af50Nz3FeA6#|Np_gIGtq(6ILl<=V%LOY*T(kJS zx2pf(InV-BC8$5)hjd=M>M^U<jeT|2`W1o=L96EnT&`v?kU8+;o}{Zm&aXqkU*xu~ z2Ce&po^QDR{bk4zoe`fMdzZ8}TSZ*`6r2jaa$N+Pfc|JSCI0PSbG>@YI(?+`@c!09 z!{_XuAmz+Q6ZpbP`z?LnGcLGNC4B{Q%;w{-Ab*}df1$c0AGBr~wlebiRfwH8?z}gh zw5-n}m~Aziy2yb9MvbixkHR9<_g9x`RQl=7b~nNq&a=TTt$v6&wbDyDv+OK;%7#^C zS>R%2b$&2psdl-WAkXZ7pDaJExo(A2tX$`Zu7_XqbB^1kL%Vp5BCf6y2iy8h6l&|w zK$gS5;w{7HeZ6)UN$d9i3!r7_%y835YUix{*;em@-t+!m3K~v7w*KnUty`^lzUuoz z(7JT!`H0`=U4r^H=HG))GB&f9nSpNU&H*`=7q-yb|K5uA5s%rWzsfZ;BVV{)13L*a zd9~h^>NB7$6FM8TBK>N9AhKsawttb^YA=Sg_+K7+(BYcON3K=<t#d)S^g5^<yR{v( z`r1(9?sIoRp4b0VCf{59bvjZ~x~*-eb2=5g#5e68=-|pwMweCZdO^!8@5w@g>7(^m z(da*+!S65DA|ElkH+1$_IR-1ChK{pyS5Gu41>Jvs5Ok>WhKIFKohN^P70v#W*?lkB zKnJmI_2-8pYpeLdb{^Ba=rL>WP6nmWx1iIBH&j8FmqXI;dikr>SNML<c=Lz>n(|-2 zhGw^Gch*hZvSB@_)CC`I{5ugEFW~fBAG7Rx#@^)Fh_f<(+rZK<sDfGqIuW#bzZ9dv zn(sScg%KyHFuJ|&`wPFC7^K2T2&S>tQ91L~JJ9OWeNv1DIX_@^)2IAjU8a2Z7k-ym zqyJ#-`+h-q>Met6?e)!8IcvA1Idtp&RiJDNtC!yQC`p*@{S{o*f9oew9e&*&RwF*U z4m$AiMMCInPS8=AZ_S}u4cu<H{_axsmRU&pw(kem{lDtx|7Vna&!z|(390>ZD^>D% z;h&gYC)|yUeWvd?V_^Kz@QhB>wHcQ?i?wD4e=PI?on|?ILu%5^MbmiC^32binV1F| z4VlQ_8R<5yQ+KM4aIR!#n%S?q^OePK=iDzl_j=F!_cu%LexJYp&(h!d0+nC=b7#N( z_uXG*eRw@&_iOdloPxtDTn<P14!ZFRhSYT>Oq{NEVV2>~6-*rVQFlx3?fUP<AU4}I zpw_=h^_kF>7^e*(oeRDdHKm3LUWxVHaOW+{S9z6)hn!7q$xTzu>=+Jb?$JG{wOd$Z zuJeXlNllNI3#}>q2R=ODO~^^_Wqn&_u`JD3j(E=4v?-h8T6N=sKW*$uQPO8rR=_SO z7uG4_a=iMPLoC`e;ICDaYM$zfO8bLB{lZt`yf^ri{M+@g33Pk;$-8SoH*VZ+UGOib zNt91HV@FTI(qay&>l|S_+ZJTZPS{$_*=6sx;n-&u)pVI7t8;Q)13<Tv8?mH{3$58c zWx=0F7U?xJK${zz=B}!^dS&88U6!f!ej83KW(jp?>0Uek@Rn8kCnj{x<;dDMJz*s) z%T|Adh-W@cyTpZct}CplH$KQ^ublCN<Dgf)a>kx}2PMvF<-^W@-1Yd%#9P%IUC%kL zS@bP<BFU0nJ8i)iQI^+tTmru3HGTcX^7OwK<e-cF4UhZF6juDnYjS-i9Aev+u<<hI zs&LL}J0RzIPQUZ#+m(q|V!@r-XPHgA^o4c4?{YR+`|Gywnh9zd7X1lJ7jsI@ciV6( zouezxZNshY99{Q4Hym5f;l;;!t*Udumo#p}Ii+d7kZI~Uce7u4<m);9ROU3(5DfWa za?ouxhgSXMgo)N1tNu+&*r?9A>VJ1ar!U{pEm>+D({42{_$Jeo+NZFhTI*oZe5Doj zoCmq?gM;mmDu4cLtDskcCUMRiPT6yI<&{V*+j?s@XV-hT4ae9yz4VkKUW+tc5^X9C z6PmJjUc%mJ&Qt%J5}J>5YW-_YSp4Flgx}L#4lz%t(_;P}xH9p^Lzb)lN)eA@nr6kT zM7)Y>x|Q3M`c8SpZ;69N_3A6^mHRW-eo$*_vld?SrLO51H)oipkcjQ<gsu7lBKtuD z{LmqY$=`isGi|*Bepxn^UW;$ZoZ2hAX1lQC&+mdc8yXfo>SU3g>lyI#GfQ`jXTaCp zEZwD?tN!;Tbf$A=Wf`_@zA}wvYq?59+I{e-(!)u+cdk_4`Iz(7e8&xko^wdWIc~UA z&LMT*cf+ZC&MrIW4N*@xd{+MuW69pryWoi(OZHiHjanV>t+#tS684_vJhh#}YzO?f zv9nhuUcAgQ^}q9m6VJRY>b|aJ>3-`Q@Ry-Um5asH-fhE`R+g>$$`Q}pns${7>3q*_ z`sLgt`p@@5!DeN{IaBXBU8vA+3jGGT#757u>dKXgx7<0q;vF`)&0X+>mnHkIW56%P zrqX#T8o!keR?U`a&769_FQM6%Lo1Eb%&KX@CwC69NWXxu+AQ6m^WBu2ROMjHT>hJs zs+g*+*n9EfjB7@>g|6J~P1wmVcqQIt!=ZLgsW6VPUq+6PUvq~2RCK(^&Jp%o+3}+| z$F+)F#>1MHlM~j8Ko)v{k}l|o);A}E-K(ApP5Cc$knOrq$REaoZr=q%<OI$*rs#58 zuGsfX;>}^1Biqsx*}sCO89Hz3JXuhw0qU?GbK<*|4%)jY#clZ_?(89%C;LDrB7yE7 zZMFC5dcYdV@Q}O6peFCtVm>cvneNq7nhWQ&TCUjB+$!T@47&GQ0CuCc9q6KJ?T8u8 z*QV+`QK$voPW=*eBGP-%IK^h2Ckpw;A3i&CFu@zN%hnopH#vV=BA=2v=$Ht|VF%Md zQx|21+?Ffq=eAmU+&SaKH_JXXkuRu!!-VE<ARE*6J}#VEbm4AOtK|vR$P<qB@?y^x z6bOK>_y7%5*nuYL#WxzTPhAh{{evdM->QS|CI_9zP!GB^9XbGi3N!$3X5Tpdt-@Z= zh|kU0tuiV6DT#blpoy9P7Tm=KJ0`Tsi0nUgNTw$*MUnlf{AL68iJ${FzMco2-jpg< z6wp7nW41AS=UdQ)B(b1fwsN4m$w8|${AR<>qq?v+;niZkqUoC^H2>q(Ipeqxw0o5w zbno|a&;Wp|*s}$jUIxW1m2pYmXu!VJHu8jH4QL@j#33iXNvdMc7HpjZ8ma*8u?5`< zUTw`?e4%<mtIU)635k4ck3o|$+@S5KUDD#9n^U&va$9PE4*zfgP5Zn`5__gl20A!o z{&~<1<)DcdHSXdGyLww?w#b97_yC<z`JGklnZovmMtA1Od;yJew8nx~6xfSBTQDVE zk^M2~Le*21la(rVfHw^<0-dqBpiCAtt#Rs*jLExnMfQ`&K{vifi4{%go7b}1gncgP zRIoB}v1bd+LF+U4!Rs?3PB?y)5qp*plB~%76m&hh$Lv;_5YTZdN&OoqH2(q(d?Xz^ z`8rRpVh7|z%I&j2hoMZkHM3P_3pnx>xh-FSPPHfkO^*EI0!6(jx243rLx*J6{AS(1 za{oW>HF*IyK~+6;Wy6>KjEg&bQ@faiw5}z%Dk-&H?C4n8ajZ+oMZrf%$5~^_vYv$w zoJ*5LHZpE>6YT79bWu`b6Xfg=a#LQ!a#3ocVn-6o#)QL`*|s;oAKsh&_uA)qQ}>>) ze!o0=SN6eO6T|ms&CdV&AH0h3QZ{I5!?D%NJ}#cD!LYiAqibF9ms`hMTyuk)9u;$5 zyD@jclbWWjeF_?TTN37q3TLeSeoLKK;fKsAvt@l>@)R^cv%&oWAvJ9YizSaIL`^kU zi%5}fTD4n9XPPqjLZ)ucEIsvzEK$d|uQ{jfY+jHzKVj!`4)7rthm)`SNkc~ZEhj56 zXutKjP$AzG%BQHYt0Q5nmg7fn&TBjR7Cd>&l5Oh~@XNEQG)_&!`nAQL=-0Wv0d~F{ z4&GxC<yX6rq3HNDm8Cnzs4?Lrm%@#etFHUVc7hISbZk;>V=;|aipWaiyu8YGcEU_q z&R6G@Bi=bQ{ZefbJ*SqjV^YG>)f`gyy*8Zs%i<a?yylyH(<5n_{)cNOLTfVh@)=f_ zerY(qWahYLIc>q4pr)^SN*e!bn|SjSSKLs(@q)AI)PJ>zl)tSr!me%?)Y)e1__bd^ zXQRF2*~OgGRta3$Hz8rBwwi!WBx8&ByL&dJD&M7>e)S8l`Jms_c2U@1?Nl@Mj6Jdk zwdB<_cF7;iidWI7vpOghuc%RJc`z!s>C$(BHJ>FMZ$9U|7X99ZXZfFKPQ*z6p9@ze zUfjnrwVS1Tj#t3f(=6SwZUKMYS-kJ~27Kmc@wRmjsGjRO<C;++_>T793XXq&vS`;d zE_f)^G<BcLg&mF?PVqyR9`=<j@4HgxAMhu#$+SdhS!j`P)7EW@8hfP=T3I%2Y8PI! zea3=6KUt*LD6ROx+|>I{VZ|ToCf9jtE2JWO9_nlpbo^Q_q_gofxJ9S8^JnKu<(1By zS^7#5&up4@O&8L+uC$`w`XJYS)r=o12fh9)XV|Ph^HKY~g2pc4gIV(G8gU8{k6D@~ zJr`P2$_XCl-<b<NN#l)kuzQtr)2IKcHxix+`K*3)o73#p#08&@bBI}XEO_;irF*V( zz+S%%N3OD%*1K)E!pyQYTv+F`lH*-ozhec{Zg(yC$H$_b0$tm8n0Z;>ls@H*JyQ~< zt``>BJ1Jr7d?Atj{oqp{WQ5mj{iiu2?4>T}wVU%6yoqCZ{nkI=pF|U{7K`Y9w+$CX zS*F%IZ8!m1G7DN15e}*rzcD#}y*|GyQ+Fe)<5`h|LDfRwl@F{erT*#>?^Z+ZdOOa- z`(803)8WF8%BIk`a;di9662<;_nZT2_?t}aKv$?Kws`x=nU*dAodhd&&}zEil)ash z6(185nxAuMt*f?Lwl#3hf=|0S#ZC%@{53h4<SrCqKQp0|n<J}kcEU<&&a86IX_Y0= zV0*VY*uAKXZCPl&aFggg*9!&aO;hb$FZ?KI3O&W*8ZNNrn{Lx1bAdG<y_+WW3xMYk zB}IAC=k{`(QscaKyLG`Ibxt!2kZH~w(_?HhU!8bi({$>-O2m_@rd9hDBG&i^{C&^j zy+(1x&u7M#by<9lZ|8DMyV<@VuQ6e#Fz2iJ-Wv{?b4bN`Z@6@xQ_9|D!>RkwY|yvQ zrgVu|bXVq6g?*;n#TR}sa9eu3J9|iG3m@pzp;pk8y$tNMi`cVHe4k{+o+W%>;I`EG zH?`GLVlU_nfqX0O{BtrcX`3fBzXGksyI~_%6!71MyLf_zZ`XsUD29hyOD@=Czgox_ zq#b#}@wb=QGlgPpZp#<<jvtbF^6!un->+oQ62xPhKWaTq*a2EkP$kc8De?a3A(;@n z<4$~wj&Cwx-wHZq=?`c`{$h$E`$^DsSJ(FHJW=?|!d-l!GBJonJe;vb{JP^e-scZO zXEomw1D(7a2Rg@Eo!e65S>%l7i%eog0d~!;mK`xCocLDVPfp}ZG6$`eTo0P9e+(Ll z2hD_w#<qb@yEqG)uP*~Fm}BQIp72|T+wz6{(L*vKpvw8^R)#~}uM;9>SFLEiR1TVh zQ3K7vXoK!5?`gGM@wd5E=E!wx@%5KvOzwfIV(?fzX#L^)(}!eA`cf3xZw5!qXuih^ zawI573zWGnHSQffB-0}Yx)Dqew6b9Nu5V{qp}s1;3_fgg<4&C?3-(!a7f+aR-idFP zJLvj%RnS^VP>aSS;)LUOHnC?4<?7s)FYFH;k`bAgsK|a;^7+T<7aTK_#fl94HW{!_ z1s&LFa{Q3Y6~1Ie_K!zFH`M=J!lAd0RUpRO(qrAMsuj)GzJl6e?A*l{UT&Vy{3aN* ztb~`_@<jfQIr_H`Ch&1vYP>rGS_T1{DRPdO(R>1Qti;+)2J9QXBTqQ~wE~^TVa;us zVRz<`%#wL2itKNvgRUuG^ZBQ^dBcZCRxk2wErmp$DNIjOWdFN2az^u^gP@ziCbU{= z=q4+&Kkf#t>I6-=R7rCe2Y{L^N7{AHI6hVdA9m5SzlASqbL0ufDtEDG3yk|=tuK(Q zJ?O;pHFHEB#@}IR%GP8*x_X)1tArBJVdnSGAChqi+dQFt>;H$x^Vc&lUS4nqRNL2n zf!szBv+IP>#+-@$5otG!PM$H?{LnZ}Y^LUE9i!ly6DM>cr(HUAB<Q%=^ML0r&t+c4 zOz}@in=vybJ27n{|H&w^nX21Dww&?V_5R%K?E0MNd(Qo?o?je$|NZ;#*H@jNx83u} zQTvZq`2PP@pA@bV^mqPL9if{qTsPlHd%j<UbwO2ALg!u1EIF4AR{~kK`YT4H$vNIV z%5pl^IpDuplV}wS?{(odUw9lJ`|2Mk2)mibv{rKRM^Oihx{~cHmA5i;fRC?EX__S3 zv{g)SN}T70b8A^ruM4f&-nZaSFpIRy^W-Se?TR-t!kbS07St(Hal9(bDP}!+!7rvJ z)iCIKtJ!Z?Dz7wU*($FXk;d$JSJKocd*@n?SMQxR9I|8K<yVhb>2cu)PgCeKVUbwB z4Y$s+9DT1C@i?(*lE2WJtsj|qmY2*)m>UV5txiw(mwhSg7VyuaiT9aMh|T<j#cYmG zr8&i33Wd~8NZ6>(u_{|}+14XIO|z_pb+(#2zLhy>bX@3)?c9W&`GQyC-8LL5hgB21 zm-S7l^S<z-uqjlj+hFaYXrVRV(wZJs3$FRd*fi<5z?!eDO_!bvt=T+fK~8tVUTe-% z|JxFpk8^1Ko1L&&SMSM|r{;n>o1ViKM1J>|?G)u$RX;DGvzQ|*&S}GytfpJ#0y@R^ zj&~Ig7X4RRvHxe1Pj;)hkjb6qgr(mFP3&eROg%3M?!sC*ehg*F{!;*1bvS2F{wt5y z{VYr8D@UYn?lxGv$y#8|c5BC<ItSU@1w;Pm9CZ84p%w44;lx&!(0YdrH}<kz{qL~h z$czQgw5KIlc<1^DRGT-Y^38$VNwL#9{guZ%W0tSlEZQ+1;H572)id@?gIvuyD`D&O z>xOF|-4<FiQAuN`{lO@Ap(%Eq33H7(PwA;d<g+^dd@q=@Ar0cR7#SZ~$y|jMe>Qa+ zu65m}w&Isr)6s9L;4KS&3M+o5HZ9F!**aZVr<mLEZZ*fW-?EN>)j7j%JQ3nqo;W9A z>2@KLdA=J?wZqyZa`vT5zNt1n5*Jug$m)1<HpjJBLR0EG66UINoVvAi#<ePA&QqY% z*7kC0)paB+PUh5_&M9Wuzu?tVmhQU_0e{t5ylo61>s#~wmA>-GTD){d*jruBX`Vt? z?sg~a<QKYP-<Hs-FJxjfJz?o-4yo-NVLuHWFWMc<s#nzb$8=C?{l1>dYUN51+5SzJ z+J)8x&wvD)W%aEq6Yp8FJk?jdkzne0R9-Nr#NP3#y|B(F8^^0RjC`^;%5tvS-<{Cu z%mLo95X_l1-*>~Aqb#N2f;!g~SJcZN<f?}r?!`ZUwpCEo)u%JU9?NosRjNB)Y~~F6 zE#df4=%7@*vc}Gqgtgh6UibYroZHKiDz6%m?-THEDlboZ^IJ}>ea#7rnK`xUrzA`a zhE}iZ>PlaE<QO`BRXZrOUGT~u)`LN>IkW72H=J1(o)A^KT{Ys}Tb8fi)gu)BFMLpG zntDztW6y+ysjE4=?s;vvb)K_py>i53dGIRI9Zyoec==?nUCZh9-fP3TeJrW{3K8#F znm)xV-dNxk@KLL&H&1cJALAxhImH#f%A1a+=^QUuwSQhhXDmln8pkxNISTA=AA`zZ z$;nDP*jNSPnwz2LQch}>`I4WM$j4=$s>pu0Jz_@lrH)pap0`>t&6X?n^tD1em)}A2 z%ZZ>u7<2-a<#f;mlE<C+F7b*zTTsjlS}k`Lv|27fk^Sd>&~o+OustQk&^2ode%u1r zUyDH}8Sigzwd{BTnhOWjD{o4~iUR(F`jrvKocLzlPfX+s0v&c$How*Kgv~i8zFqno z4A^&GkLi^UwtVsa$RQb#duKr>Q-aQL0?m1U%+xvKxYP=~T%sxcrQ)uVSBs%{b6SI@ z?ZJ0*8j3wz@D+6UWBx{=@Jv1{&^gUHvsx`*fDViP1nvzl-!!55kvZrd$)h??7Sz~+ z?vZS6l?kaodPwF7XeGx#Q0ri0<>dW^%%FQUpGm8^Hyx5$@-0D;{cSTSWH0JGSrDC+ z$X67fuE_pZ6?878l~|F%p1G}-8h<CX%Jj&mCh}>47EruMyZ)j5f}<{IN5$^V2JF4f zpaqB;V$T+oa&cRFoKH^Vv+7oN{UHrGYxCQzmHk#P?jCdE`(z7h(adX=5t*Nu$mcdY z4m7OtsZ8gLV~V+0QGjhjt7XU8L`C+mpnkVYYpaY2Xgp&jXxT)qHh1xa$kR@IyIx1k zXubowrbB)&=(K1q&^cuf!Iy0q+$jZ(*8B$T1rP)sHs%6aRx-KOa)oY!BKt>CoimP$ z&pPo<0(HQzDeF8@*xT4@`Ql7O>*^`ZZ^A&W1aYyV3HRGUdq2)O@pZX^mY%Y4TWXw7 zPvlcN4O)~5-YF51KkwIy<{P%41uzEO#S<zfwaS>7?*r{=2`U8LbP5^<0W~P!ffC$1 z3($^=(}!e4&ZR4|A7%rcUOll@rssWHBA*s$HR=mtoimQUs|$;Z1m=V84=tM~0!n!Q z{ujjmlCS&o+Me6+bw2p8h8J^KC67P<{A7#StgCIt`4`)6-F8{4_HLDM`p$x7ZOU`E z9-gXO<1H@za#wHhHMe!MR$N)q>z94$+U&)dx_2hBORApnP*mo%En0GcTT?Y9rYQ3D z_dWCTZA$*j)V%vyw_l#GZ?}EkrowBToB#c`_<Q2a<*jDf*Onx@_wO${RG8J!p2l8q zVBX|e-S3vI_}Of}VDs&?D#p0~It;R5Yd+5GPR`HpVmxEjAex_lT98>lFu_&OD(6Cp zLfYS2^|Z^6G8nAZ#MoQUh8)vydn)Qq*9#|aZuwKoV72Dfmt&Cq#6Jqa*S#}ncU?$a zyBD;tUAcHu=GWy6B@ugb^B^0FYc|=#x8}ZU1Z}(hXr6xg*Lu*t(!NUQ-qUXn;k$*| zeA|6~`|nqsE%u+wp?zxg{aL<X7r(cih3cHvpEtMETyBzdXb)v)da;=wyl4GGC)}VY zf46p@<@MI4v%{9_omuwvA#8K^ryS5$a_}AXyUx3|`&h5vZ#(;1`kZ;b-SI52jlfnQ zM<e#NTfRTB?C5W%3yDu*JJKuOUxix*-nPvxo__h$B8HNPudoZ~?Y5{IFLmK@Z~^b% zo#x)|vpjv?MBmk?nJ*-k%0l<}`ahdH6Lz>l#P=yLCS<1H+`TFDZzn@Z#K(T9oA>Np zaRPQpea?lF6I=EtWt!>caX7S3tt*>V%CMPfO+|ht$XnpJ0B>v8K6i2%_)vsbQ;Iih zg7?!NehS~4yY&_*y43Ga_FcUmbPR#(`?HWOzW#bAVJTzHZX>IdTbk2TFaJ6RI#uJ_ zPgr!lf_ohtUE5<O_;x!oSgpy?KMyhG`!&Qt1$kB}R=58B%Zd7Dz#tp8_KyQJVN?Xe z9lVwo<eJ#IIZ?JU4ScJ^<7Yr3eSQv-V@$15ZteWOC@1Q_5$K49ELVs*d-fWG;tCwb z;62jJ@~4&^%?E8tZsSi625tL4TD=>-|5@5x)<{-1a;EQTd$t9KSFM$YZf)lO4tMdj zUG`QfR)4Q9y}9L=H-puhdportS;Ec|zWF=i`$14zUfG{^xk{*kPy5|Y8;F{Fa&U9N zTcqp%oyv(i^X~@Fg2ShNGrth$0>{MO<EReWTM}xfdw-kN>@a@VUi8Du!Ad}T(XUmh zfgJSw_~y*7=T>jdwB>^BBi9F?lX7ATx7C{8NuUEYE^WQJ#ZH|;HtdfTbjN!B{z>qR zv*6`~%=&+~a-wQLQDG+x+e03A9v0_0cS=r_Z27S(Cn^qfyh$7n)TZ|0YiFkj!Ol{N zDh3@wP;5WfclBz}F%8;`Gr)=PN6N#mH$@zdFs#vVYA^Ng=9^h&^?Gw=EvVG^Q4ZZ7 zf6wmqX1F5o5h&qzC-`>vGQQYQls7X?_P`p<Gh$xMI-d?b6ya7cG!;JVf~UeLaZu)( ze{+KG>U>aY-n?%DGy%m-gn2&V`zcW7x_m4rs@|GGHf+v*O=yh8&4#JD0S=(s;+r!! zf{t;Bt0{)2v2gg=9A@C;yw!5{G<!~m_R#P;s3~@PRN=|++OroEUY4AnmJ{{YhCw!L z{at7oHa`a*&TDonTczBxyl{Tm*L=_sAJ6lk`EUFCbMUwT<@EX=+j638q#O9O&Fd|p z4&H4Jw+ehl!{XbUGk-p3D2dpb><)2o%?}4e=4u9IF5&pI%dXxBMb_Qz&|IPJ4nFMw zbjZbPzQxYV=04vy&G)tNK0D?Y8y@Xr`2YJJ<aC95bvkhSKsl^#ul8)Q&+kqwdwLL7 zK79`XSqCmt!G+=adoz7cUyHrDMGBsrpCgj96=>K0E%VKpU*9p5MEr#xoKT5$qR;8b zGgiy*O!j@v4GNz3@IyYb;YAqexSHjEU8^@iPec%drDnfd*1=v!7+hFDJ_F}>-M@Zj zy6d?d+C%l(6Rt6y;o9)%@XzKPE`=lp&>=EW+@SMno_<fg{Aw4-K~d0@Y8UzEE?m(r zZ_qIoQ-5#DtW9RHT4V9^pUG>6G}efZ-zt%vH1k_{z5Q&lXi#0z^cR{`<J92+2#&B_ z@+p@;eFH_<l2ecZlRq3@Y+T!=W0hjH_hn;FRIN6HY}ovA_=!hqaAV%h0ww0x{hKoX z?gf?24;MnJ<cjKAP)vZU0q}_|bNN#*KLVXbv**omsB7*mgJ&FYWbNipzx-(;$TiQF zLzO(-23Hcb-L>6k`ER*%%dTDoRqX#fph;|#wtL_I&F}xq|7Y~+v;uF~to{KR=(v-) zWbH;1<<rMLwan~HbJLxCMr_{6GZEVsrFPEjT;3Mxwybxn(Wa0sTTd88-aK+xX5K~1 z_VhD8CdOx+Q?w0FpYYlI@N>-d+12%bz*{+L*WItJ-~T(mS2jFPrt+n~jp_6M^{$_~ zT%`1)Pby99jWbgHKF5VILfbvy7YBHeeyrDqdqqv3rVHk5n6}^%GmG?E^%XKZQx01^ z%$K^^wBQvVOZQpT6?Fm!g}6Dh=DBRRBGh#2G{>||-vwJwp0?&y_~Cms*0@xq+#cL% zt!P~EaE;h;{ap787QP!!F|xRFv#g%$5Kv=yQ0lqxlo-zq*Lqo&zE_S&_Xzk_+4MCn zuIu5H`)vu$w$K&lNB=JC>k$(OvFl5iXw0$d8mHLq84G^#vUuO|4*1O3v~-^G3fsp< zKG`!ZSxU>*BlNrj{ueZf_Niu6NFDTgE?^SpxZzYObmYVR{Y<N%>YfEZW+e2U)jPh$ z>zrCdwt3T~&m7lg`UiZIX!@$f^0eMz!$DUT(e;WqUg$NQs#l4464|tB{Vt}%kvZ8- zuQZ!fzs&@#dN`zNZf{nqQflJ(HkcE9X-O-~=~&l*|9nlNY%IL<6(e3wU2U-TShV1p zPi0Mus)g5l3v7DiF1Y5SXwxLqrmb~e0ad04t+qpF@8{H3yz+RT+4M;|zB4mdKz+pz zv!>o}N-O>dHo5kxtoW7Mbo89cihsgQs$qgx-U#V@6>xmJoMYO?s}g>9bvdV1YdeB2 z+x+nXGP6)wapcOxpqUFk1~rA&`2>6^YPy=IsPRwXpj5r8#?C<L%Td|fO_w~IN}mZ$ zv7M2yx0U7Tei!iVQuazWR=5WIOlxxe1r4<D|3;-M-;^A`UXMHaQTVp-l^XMdLF__T z^aON@l^j7MVSgupk5tH0%BYY(=vA+l@yF(%*2dL_a~9neTJue>>CtcDH6KqxPpSCy zW2N$1V-BzR?i<b>Wl6PWd41PA;GaK>w2gbfN7L&GQPO7>SN!p7a{Z>d;umMrQ7@LO z`yDqN*~wyB@3`U0SC*~s6(gR3ZqWkmrP=*>rSi`3`0mWB^L;lQvgVLFryTL}F-vd1 zV#L$eEUxF(BG!5a{E2EZeW#}JQ{!ONd7&xyzF6_3&s__-u*8n#>1P&c8C%HUhhAOo zs}nDDnoilPM?A@DT2(Hj^NqvtYrTMu;9j}QtA4sQEiF@A0lsrn@QQ6$!p`+VSJn%z z`C#1Cwwg0+*W3jk53{WPQwyD0c%f#V5Vd-5<ANXOSh7<%yyUz#T${+U)L${;xlhw3 zae+14yB7Re%OY*#5>V)}VPdJloKx%7BU03xR=rn_cq7pCYQAd3qbJZ%`+PgtJ&3pI z)^uT=&3y~rHM5-l+q>YO8|cc8J$(xjCnYTXE@WaiBVp=!0g+f2@G2I4^@ztoO_Q=Y zue}nU66e3+TsJgA)6MsHKK{N<UE{x86EC02iXWLxy?!byVpJniBpt7MbBIMd2mIa6 z;%(y@@OeLr_t~iChga@ROPFcmc=s>owBI6*e;;#({Q&K=Y8TL}thje&;-&Q*Qso?B zKY1N5Djv-Guc%@7In5`#ce}upJIx7eYdO926(W|q1bi!LvV1Z3v=iT_{V9ojY}YqW zXnq75-@gbN-~R(TC)z0aZQES7^3@E7c9#bD`t-51T6VlW=fw9)9<;roee;CoXX2p! znwg;enr5Ir^Fb%RUDrXEXvu-b)lVIg5&3u2iLY(;y+ieKd|vsW+aY5?<JIh-jfJ4& z9)2@%TXuv;%xFIL9n|I31z(u)33QBg251uHQaNZs-W{}IbH2_QNBv!V;hO9}%|U}E zpk09<nL+#ZK$mI#v*9ilu$<Z|6SDvKA(<n3DT?f0K`z?S+$v-8{{U!cJyDVUt?w?- zg&8-q;M+yZpReq<I<a?ttIQYv)I>fmSFs`ko7PrKjoRt0GCleUpo64AH%{b&R$+YC zd7|*oguD1c#nH7pyevJAfo9|VKz)1A)u5IwtuiV0#}CPT0o`Nu6LjTN^D)pi4)6g1 zN41xM4kGAlm6-zC@ZvHLbT!Id(Ei4ax}bBp-9dXX)VVD)o`G%#6$H&xbhKKY&`DKf zzx!0@jN>~av7!s{pq{;4f+G9juR3QOHH)nC{P>Q|-fX}=RTh4YmCJL`VdHNVKr2Q- zC!YHyDYBmgjj&`(a$9<A2kpO+0?kv+Z?$}33%bAqG-%WuS^i;@tjPA{L_W7n(A*Db zbVLL+@WdkaOkvxMR+%fHaS0)9NF;rDXq9o#;%gxL%HI(u9IH<pl1Z7DuE>6OW;y8Q z&pc_dq6_z%TP-!-9CzYt;|2|r6@m^rx8g1iSh;yZ^9#^S%3C(EB7yy&u`!#2PJEL< z`(@WkmOp&<M8USb)$+v~&_V5x>(ge>{k5X`-b2tP939XmoZeO$k@KK29qot{jz2*Y zC`J6-mMiRz9g;b63^eO=^X?9>;t4a(I`Pc{9S5<pQs>D6(2k!QALRcmtNX)mG{?#u zG{#Zgb0<}_ZU24C`Ma39Iu{8XOHuV;6IQKQIeAB1fR9*6kPic=cVza}iB*}dr>Ep( zI$z#(!Ob(!`!QE@(2RhU)69&fO%?M^<q&<$x_HCIP|lvfCKeC1ls#MiKC^t%JKNW+ z@>}Ko_j4w{`LlJczHW7-)u*kApI<Guzof3S^je4M>M4CS>a+irO`In8^J(COWA(8P zhq+f+`GeMu?>Zh|_(su+DZ%1cn=-#l?a^%YDI9IQ_rIM?mSuP!6T+~R(ZGmpDO-Z& z`*W}*$KPvvm%OxpnGpIv60~l!dGd6~L7XM?t(LkN<Rm4v-dntX57IL7gI}RLKBR9i zo_OV7J73t<|EodE$P14xhb{&OohWQpd@ud0+}BW~MW5!|V4D~|)%7kp+Q=7X>Ys6H z8>7b7Yu3=!qTGu)+Rnz;EWNjQ=Q<=iuf2uZIlDGOIrGm`?bHpcioL*#t6$eSK(?90 zS-Zz?e7Jm{!uhJ_ZAi<U%fG|D%HF%=<@LHiw$;DVMZn9e4<3iw8!Y}p+iYXiRGZg- z--4D`dl`d+N&e1y=<@1~>ZX%!Jzkx<Vb#BLpdA}aukG-G*lTCrm2l(C*6@>?<L*Zy zomBpK19aPk+WgBNQ8ll_Q#P!s^aHy&+Z1{*Fxb2Kk;><*KF<R=d18+vXmdbxJ#6De z&aPiKWNc<1yAN6gT-*p+1^heIlnHbNeRXc{zNEvmufMXjV&_UQU=NtZ2s){J&+jEr zd#l#(a(i!R8_6(nRsBrRGT;K(W`?ZA`;vsq&%Chx)y~~f%>X-Tw*tPVd-=M{)m!wn z8azV(&jHz4xEQ+fI8Wr@hM9X`f0g@s9BHk7eu#9+PM!tIj2$;pVwE!sD;Zr@Jp-*i zk9fTsy1cqIkmc|*{<!7eGweb^n>|#RHB1>!SH8X~{S~?}d!M&*W({ab_c=GvW{>ZB zFmF!ZmvlHj?^1QiJkTot1tt)k->;mlf-KCglE30HD=r-5O^`El3f4m%yK43N8%G{* zuIjHV-TUSd?2KOiSJ1R19ldJem3<2tltTZCfoyy{0jdw|$$w&d-T#6Ye?!kU?^T8B zo4qGSIkT`D<VTPjBVL;$`!W8<u6v6kf43plbH8?mY1|v6oLQ63=(5TWw0-8<-^~yU z_ikIU{>FuEFKw;T#Xekmew-s<mLWKF%2&doGCW(w_x|GM(7(>0ogQreLm+GH{cYE- zzi}jc-_q|d^fi%Itv@Jwdjh=Ny*KxgN0$7BgR6e!U*&LEX&MLB2+pCOkInun_q7<5 z1fZKP^4Gu;-V?LlB}WfiMO=*uQD#_rt?DS>&tS+#FmRgP|J1sw|5+<i=H4C!_hqPZ z<{v}ZHLq;ef{KOTyDayzGaP2@dAD`47t7(c_xoJmUyKYxN(AMTVcxXA;4!Pti+%Mk zebC}_={+yQpjv~MPvvOyt@&ZL*S$U!=|JJ;T&S(mx7Sa+QWwX*`c?A%$+^q{S-qQ~ zS-Tiiq}2Q=+v{GNi=?x68`M%Y`70h#YsyX~gnnKE$=S1@2O#T%*1Xpro%?Bxxw$yf zk>9(bp}U1P@4xIJWxr9mIdtx2u7nK?Q=-1xKvV78n%bjn^Y?qt`+Ck7sX%%E?mA@8 zMZ|l3DbLGWV~rxN+OGzmG|sCB-M-@=yJ-E5Bc|o2H{Z(#S*roPg5U#eAJ?&Y7d^7( z?~G<!{p!7L!$GEitX@%Q65JWcayV_@H|5G}uXls?%eg>``+S>K&~ohLLbpqgCfOQA zT(#K`wsvdSYC(p>h7xzhL7P+lKZ~opHv2pB0q4I~Ljx);e&>5|VRRm}KWe87Xn)jR z&=LK82VcZRuU~)T!#4TXwpM$YB+f9x&aJnDZ4bEj`u){vpZExdiL3TI^)g79-B<)Y zp8D3R^*4_2*Dw11!afR=D4^TG4hBF2IeGc}>(yJPgO2^Kj|ACyV<A+hw5vf*T3y`x z!2i+65&O7K3%X52?ff;5s2ETIxHAr<bC)xu$lhnrm2l&aGy500v&aXA->W+8xR)J# zNcraXmp!E7qZuZy+8hefc^7(^_WHM%!*hQ8p8wL;>OW}X5WF<{G9S8W?RBk-a%NRA zqsyv)e5OnZHy$j2Wb)cqjI~GA=2<NH{zCpc_syfQAd;W678*4hy-g?GG6(td{z}jx z<)9P(L7TGXgZ%mI=Dd50>pnSG@iV~6qwnzA)L6>1`z=#P$XU>4mJQb)LlXnI%>Dm) z!@b2npB+YMT-y)bfs^x1t9QxAyQ~5$t@y#shgw+TegCVAxBvgtdy7ARV~YFrM}iSr zAHI)+rK5Xny-QyHF4?f^e+TIN?t|P}EC&jB-0VN?uloQ0tG#*5+BM*%A6LNFmVVng zYf)s<Ev4d<r+TLGN2E=;d0|>$+TrvwK1Rklktxf5_@s44x-ILB?7Ag2O)@ikM%t8> zDP|Wfk6NnF_WxnBF?C{O+T#1~=a^srx1;>r>plDT7sp@!{`>h{%WvmqPMrS#>b9Am z_y1R_bXA)9A2cK)sXu$yR8NtHr+Nx2_RmOYl~%iOE8|nIKvRCkj+|E>nYxaTpL2%Y zT(bS)bl&}fbA2~NbuIW&(`3r0sIhZG!rIH6Ui1AooKtGrbX|B&IlJRe#)E9HIkoa? z#346wmMcZ1tmgzD1<|yM-B-3#);ZvBNRw(7i|Klmh%8OVx3@W`MfwJOw`_WvcCR<{ zYQONB4a|;*D_OK<9WMOHXbSaH)2Na=m^EEkB;IL*TRCJ+!JHV=(j{MY95bW)GS?b+ zFL)Ev^!1y9#(sJ5Y6l(RoDx09r>8l@cJ?iJwU(uOt;&jjJO_o0-yJN-%5&WiG6{SM zlMZy=erNTQD--W<vV1+S81c}Zg*Tc-`|rdBA8T1w+qedNQEj>!x4G%@@$Kpnk8N30 zzpF;9b_n=Y(Nua)O{1FgVAXYjDgT)dvVoR!f)1!Ss=lnRCr)LB%x>|^Q7P6<tKO?c z<j6O@ny(V^$f9Xhyn4i|q^4Wp!aA1~SL~jXu=6<QtNjie4y}HFxIpThV#Lc>mfp=Q zt80>=-Rg|YR~~P(S&r%}MLb^4qMEN1@mjCxQoqm|V>ON4k@A<L@}rwRy;r%Bkl)lL zuYTi2MANBw)rcofO{;vHj^?SXs8c^E#1B0)Yj*v7t4X)M)cLIbmeZ74rntg-e!@;0 z$G@#C+H)K)e5h%fTIY1(M^#hkKc|2a-h)~7${KR9oe#msFIfw(*(&b%vYGRmC1_a( zc)fmRuzQth(<ghi8wr|@N9~1kw#-`aDV|g8<_kuj)vsQ2irt>LpsFpQlbJKCZd$_1 zV2-T$?i<dCvXo92(D|<3^lLRom;`hKM*B9d%TX^&S$dxft@%{fwCK0+ns1U#kJJU% zeAI24#M`uWow7!)^g%0a&Qt4@Bl3?mOZfe~E|fDtWyKG>rd}}t@aaqH@RJsvU72`e zDa+M*&kaX(SxkSkc-#60{8nvB-4=89<L=wSI^W}(e*G3&^Fgzz?YQuo5Y>zt&VyR} z6*Q`h4`zKA5~-h*&|41;w8_ynEtzY#$~wL@IcW7;V2W*1!rsRmr|O#%n!7o*{>@2P z%;)&DnnO%dDCDpB!KB&z26JBJD@7!kH_eh)i+EMgbW61<_1rAT3Xz@F7q3jbbDrbX zeU}Y~?y~U8t46$>&(doi-JH30l7hxA?SonJN*Z+%2c_Z_G%D2&Mu`he+1HgYSDN$G zH;!x7GZy@@=P<ib^0w_^lWMzw-p(J#JOvwi%M@4qacpwsQw5*vp~Z4_zx#$GNlml% zD@J5VI=(e!Ic?(~VE)Tsz0r1F$G>wq!+tP09&Y9g`z7P}_%cV>&vz`KJyHqFyYyyR z1!W71>~Bu!ZRhY>ryh~L*xg|5rD)+bo0T1J+HzjAp0J>1VnTB;hgMyC!eVU>t^czU zCf?>;W#_TsMls9P_>UTGn_X%kS@cfL?<*6p*fibxEv!>);&^v5$F$#Oj(^z>a_v_- zaqxqg*s}#+7`QDZKnFx<Rq;qqZhoO7_H4m7GqEB8Q0F!Sv@YWlcp-ukx24B?&~in+ zxgrOf0~sDR7f#q)_G&R-)%Fb&n*aO-T_y$URrlERtu|p_4C?RyTnk!=P!78DTY|e- zz<N@v%$5Cz4#}vT1MMA<2i>DB20DuJ%psYScgc$EcR?p5+^LT^;aK0T`2L#XLtW5D z0r2vDp3A}Vr2)S*xGg2*LAR;zJLAN+D1Ea5`&Ri46Pka3uG4x98oAin)M}Z*8+pR< z7icrY=AXhlyev=bo7rml0(5cpC;7xgJ~q&p&LhxSmKP&+o-Bv~Eky|T?|SeMvTfua zXoE@;=m5yShGNeYJ~DAzX6$?A2|hUyG`a#B+VPpzDpLa5h)@)tqR9T&7_?yVd*q3O zAGAOL8ZB02@D8+X1T>^ma^{fCmAIcGd47D4K+8UR%RxI-z^f}J+yUJJ7uCLLLi3l6 zI%gc0A9CW`B)-9beeY4wFaR@mu>oieOc&_JvWuT}o-EjN^70O^Vu6`wo%mL1iak>> zo8KyPg&%aR80ZYE&n2L<J?*$HGxU=Z`HZf^z4YRq;nzU+os8U;FF?0>b4lAbub$HU z@S4sU$4qt5>0+P-9WqCp_!b@CV8FgLIP!$!o@1bMC_xKrm+G8xd|d`Qg5&HVnUZ<Q zitIOMzAh+!wm>{xk^QG^#Ej;Hdl36Z*nMiR9DI=h8eEwPI$Z3y6W^-on+@1Ef>x#8 zOHJex0v*ovIa2JILhAWPT2B|)cDGudI0G90u?4L{sRJDsBEnr9ps{&E^TVAwXB=M| zi4_^_o7QT%;tpg@59qG>T}7ZZJ?B9&-y^v0l8nhV(D;uEckzW=b6aJWfWmODGUyUH z&{-(ueB72dKCssX+yCQUo6z0}s^_aWM5l_jef$!;r6uSVLziH;uWPo;#E?8j*Cr*m zY*nSCCsKlj9Ue!z99<6iHg&kU%#J$P!SpbxS3^j|uxR1}4)E3vZ6Up+9a~z04mjPY zes<EzIQ`t2{CWBF*KFNif9}l5`S!CUZ+-}!z5l~e`H6eXYWC--cDtnI7)WnX3uMr` z=dt19U6!fxiV;s(n^r~J{JWITCzrL+mE~%=azxTgmZ;5f{}LEk&IfEZC{@|ov*6oI zmeXrgR_yLd*!i0C)qU3uhn&HyKt-yVE@y!^a0-dUI&HYMkmYE<a>Qevrb*fy*LF26 zC}~faYYknsch1hDbO~q;=pA*#wY*|NA$MjbEY@*++RQ1YDHvkgo3QaI%T;~lh(}gU zv&sc@zA`wzH9TlkJnvA!D?NpXJolzwzXjG5fDX6@kHVh0GVzioOYeE*h^3wZU!t0> z=Ba~E?Aywsn!f(vmTR}#7JNx+D*dLWF<U+2eMZwKb>W->J;$TnoMyY)7JQn|A-1y~ zTEo5y4t7tvxOGO@*Xsg08<`!?mJ8~XGC00n%rVWfb3tBj!cKYNEBj|9wAu@q?CDQf zs?H&GzDDYB<kPDxuG`fkbe#ig>YGgMOdAtUDz<q0&9f+7@|CyglDN>CVo}GN(Hz%y zPhaq-BY{~uIZ8C%b;HFnmZ|qWH=L+r3AOj!aD$WO>VCfsF3k&`o#&jkYvzJ)wk)S@ z%)pygVv5R-8PB*@v|eS!e3giYvMjvcRU=*sv-I|>M?B4Dan)Cdc$?00G+!xVv46m) zz^1Kn3L1N#TKV0MbO|UcZ~8h-Xv%+!gKVc^GfS_oOuXpTbjn^e;t5;Rs(RIkH;GNJ z&I{^n{JD8X*xBpCI#bnF>}^Syd6?ssoco45;heA5D?~h0XW_MG(f-@I;A1n(Y8z{) z%S!H5`DAasE+}%pHKF&r;1rvdgtbDBFE4Xmi}VZlR@C%$owCON^d??8g%v+|4!RZ3 zJ6xcp&LMWQbHS@>PBH6_1;0S|beBSFia+PBOuVv`W$Sv?h-K~p<)#OV{DrT~|7){s z>!EB;DLu7_m$odupIKJdv@OVJP1u?*EV92Pp*LP|%AVeYwZA#N)+<J+|2pJ-m=$v3 z*k^TDhHJMQ7yQ}HX=c%|;L&nUGpo)8pSE*|?d({PH9KKrH0P@SlM_1CIkV1n<@{Kz zEyS7bnd=)+UEP%WO=-n$`-4TUO~2HI)_gb%o{#t;BNb;+y5x&D<nS;4rbYe2YqnkF z@mXClC!yC|c*>p032Xmyc*%Q$@4h{+7_r_l;GZ~)^c|mokMCKeZQTMQ4;6^r%z~x3 z*~|Kld{bC4PdVZd2W01JPSY)M0i9x1$GfvRru~+7{Oisc)*-R%YJqpt)O#KmD$1Ke z?K}d$6f|Ak=MeBmr^$35^sqL+ZOi($<SA&>njf^{7oJi(FJbRl^G82^nhWGiP+ReX zv8k6&dBvZ~Cf9Q+D}LEF9Ssu<`7e7=s9f-hjF8UOc?-VnnJID3=r*+FbmwmBE01@7 zS-$csMm#KL;q_OKSm}2GbYOR#cfc3FrmO#)18QUsO3gldXp5JgN<_AL)1}C!Qa&Y( zYMp~s%Y~-=*E`5I-A@60oOk#BnN~)x1VjFrACz$cjT`TJdF)2JWd~^e-q-CL4cI$t zBThJeP6iz|B_URHVciA;_L-pT#lH)QJyZCeEmm{^bV`-RpYB!}p6eS7*q8b)swgfp z0A1`E5;R$9M=O`Yo>sm^)&90u9N#Y1d7@AUnmYiU_T(||^dXrodZ3LXp!I?0*6KV_ zuue$is{*ak{BvwJXj{g?YdTLB{0IXbi6ss?k+P-LvO@-RFkyUJB43gzXep>5ckzTB zRY5G`*O@r<*EK(rUnciTp;VsRGQ<AdA(@o4bH`RsY0jGiI>frQRp!h0ltex*`7}lL z!=PI?FHHySiv(Sx{>fRaNMLVQtL2JP(6O0uV$T+Qv==Lyu*LV|vons_+Mt80`#?kT zhMG;?s~HY;zgGCYaAmvI3)zECe4kRqo+T8Rfws9cv|38Uo^;|nwI9@O_zt=zwLWr2 z^Nk+Mim62b^`NU;JwQid-3HAW?E}pjS%A*1p4Tc<avro6^!erq&2`<amKt{~G@H7! zL3>mVet5UC-AcpukQ3jr^PqVSU9-oh%LHPN9Fn;Ly8ZJbs19Cyz=>}X=wPvHGeL7P zlUglbtVvR2zX>`Y`(7+)--rOHy>Q-%uWRGZisEMpkj<N?Ko@}fbUk>a1=?n@LeA=I z0Q<(rpmVW!KyxuotuiL-6B7A?WW|au?3)Z)VtWF%BJ@sW#nhq;@h1++h?IfCQ-`}a zz-mUTOb_V%ZLQ^?EwM$QGbtrO=T?L6r++s?<RD}#jKa?YSDa<H90Q#*rrrixU<*3y zstmL{V>>^$<q7!{hh%vA5*68xg0{U}1WjGs0Uca@eba>IS8sLBIOdpgTdr6SYA<Xy zKR$bM^D{Hhj#xu(%Z&GD56Pr_n!IT1!^?Yqtk36C*t3Igmpdr+y-NWd2|m5mQX}S! z6JHxBu}VKHjQ`Ib>KyVHT<PC{cJ60Sj9gUAH`nS};-eD2tvzR$XGunS>lmN%u}Myw zbn{S-Yg*g!6FM80Ufgs<$Mr1u#`4MzBhg&lQ$9w~6<$XEw_kkUz0Umm=kvwjqbp<2 z*VgZ^w_93mc2i-~H}!e5_P+~PS?{srOTBiaic#{~Oq&@lj1f;In-*R4y<V@TlU2;w zrLPw8n4xJ>yYQM)A;*`gEU&L{oQm_@aPKV3)Avd@627uTWwS?^=W-m>(XulwO))7J zTNYZ$-Q+4J7;;uXr%b}}t1#y@i^&U~xw3e#Raj9ge9)*|=t`{XhC3Y#_T{!cTw~C_ z;Gt8~)NiU8pfh!kL(k@uu}yyE@s_FS5pR=eo{B~#`@txF;VE&>8_xCIoDp{OCd+G8 z&QtGwHyl)C5$#vKk)i4M^fQOp&ZY&gzJh!3_tYaEb%G1zn4-^5S1PZJoL&6q8xy3= zZb{g=nDf<qzYR`P7d$L#nz~LoqsIE67QcW<?VN<I;+$RiM(l?pldGF1Wj1ZS=Na%z zqp8$ywgcpP>FRS=Cf-YBd0OwW!Lfb8BY6(9s-6X({&R@kwCKwWy*n*oqb=vE{mluT z%A8r#IHp<6Uhr)x%jp>BfbV8aPwV^x{s%UR{sUEwiY?x9d0SYQZ4GK#@KKIs^`5y4 zUI?<RuI*XyBa$V%rgy=Ut1Q`nrz|MxPnhe@amvnp!@aiXB#Wop6>lV%H+AJJ-_Y<6 z__>&+I|O;z{u`~PSN4h#kK&qU)hkB4ifp=dap#O{M$v*-VtqE;iROGI@3-NQJBO5> za>Pq*mfrO$5lejozT`Ds{pS<#C$q`a>_*mK$mI`n?i2;FB&>C1S^8Z);<-W7CfBB~ z=TtQQr#A71355JHJm^*~6!K5_ppw5(NbS{Ydrn2nS@7!|i}xG%fX}up-g6xSe)BY? z>OnS%ya~B`?8?MD{T#3EJ8n26&ndM|F~ak9LR4?M@S07+ju&e=!cGf{*!Ly$`U_66 znV+y$o5SnA!-jKSO`G@y*L-Jj{CUELC%qZ8Pe;aS$CF2W!R~I=f+2P02bGQsg#1;3 z9M!0HP)N6F)_#?USB_1$`h|5q3pw8P6_T;v&g}Tt<RI63rHl%ZgI@C$GHUn^YTbv9 z=vY?0y)yBZK1bJm{|(0`EO^4rlAUWKe_3m<>_Mw)$Qlr3&QtYs5}G%2YF&f03D0wi z?d)Ih>OQAf^}GeYUT-^EFl`33lFi$f_{u}eJK#4*Q>vfpifXZgMe*t@>P^9CdfZTs zc$v@AJ6}2C>DJqcQLfJg*KD(P{MgKK?FWP7$zYCas|2R(Ye|^98XBeN?pc*CDc5)W zxnC&fgO20T>pA_Ixm!dXpNbq*ViyXjwLh4oE*$b-=b(_d@RdJ$2ZOc?T-i4}VJ4&F z-Q}Fqs=x9{`2E%847&ksJlWi-f93JAmZi5F(mv`<*!o^TWdF>B-unVmVthATo6NE_ zUGKn_Yn{SV?zSfE{mXG`|MY}rVGgajSqY1|IkoC%Crq3Tb=$hK<$Xs$O$76ULfe#= zg%%e#Efo{Ga<4sMrZXp~RTwjK!M~p@+BMw^9`dqi|DCnqqch9uKivyn^r*CLzLmyu zbTUivg^JTlIJV_6wnUdtut|Bfm@n!$=rZUav1bd4^|&oh#GN}N^Cb#YZD@mba4LYR zw#lF!oS@SQXTLkLx<nxIfD_-Ud{8|MI_-MzfkQGXe(8$rXZ1H4u&;ays@Zfwr&rg) zml=Hj2U=zT+U)oRd`jWQ)*W7!5_!iD$xOKiI`feoRJZYirp7^AG~RZD8Vi!3U8bPB zw97!pJ=B6Wa7utSaL#L$Nr~TYoOe#<%d^cBn*T^g&S-WlgP-E~s2#M>phOGQW@rTM z;5-G|!I_@Om$V(U`~N-YzKg{=PZn6FCGrJHzinDQrTLqU*fWLA?A(?w<c=JYd180M ziSO6)4F>G3=RsXf(At5OC!P3?fsU1&dfyK=9MEBB^fi!u>wi#Jv#q;e>aztKO+kBN zkAe2YrYN$%wBatkU^x@EC_*nyk^Sd;(8>+axso5{KsQJvD6&6IkC@TyQ4)S<j!ejN z(5}d%I!_kVF>n`8u&9Ci>6s_!WXdSeIU1&*EwQSgEwN_~$vk-nI+^k+X#0q!SdqaT z@Tt{a(HkZ-f7H`C<EVPriEmLm=(q=AP{Rgv9(bW3x24BA&?WWe8z(e>nX7ZgG5soN zkp}pz%{LjKL7QoZLQgr?@qyY5C!F}Yes3~hU+fIJQ@uj$nL-g8x8;hs1BYaeNP!%x z3~GXb)|kkHf)jMgL-nyd&>9oaNW?Brv1ba~%|ZKNL0e4w=8CM{TL1o6{Wng~NfK?K zi_I65$Z=ar#GgAP6S6K{k^Lg*p7OPzTO|BJ2ZK+KJmFY$?cJUn_O+n>uX{nuOd>%; zA?}+E*!NzJJmI+S)FBz3X`rP$rJ$uSpaa3{Kx;Q_;L9f#{Caf7{rcbf`(Ms$vol}t z2c2S>4O;lne*eE^{_89$hgEH-qQsO+axPb81|K(CHo;BSu0f+~Sx+IeTbl&aF)y`U zrd(?4l6I&F8StbyAMknF+!c5%<)hGnH7ufD0@}%uqHj(dE!gaLGAZ`k+~+gmAJyD^ zv-$k{@AHZ$-Msej{(7&|^AEn$-}6nm_EJz*Z{*$JRnumgUV9rqk-_dx<bj>1zh%2I z^z?kZ`gH%g5{6r+_pfzvn-4p^?TD$AXEC=`M5r|QsJY+D+Pe*^zz5vD?^BjAE55b3 zs{hta)__+Gu(P^gr_^2B6RDi}F;I3*)_><-hAC0cci6uG-%DB|-=i#Hw*PB?RsXkl zNQaVH$6tm_=-tTiSI&HuZxwOXdJSmW^Zz#HDt?AGW{JD%*Qax|>CXMQ<KE)(LdHV) z1kT@V=rzgPJ_z?NdHK9_L)Hss(8-x*w^l%Axbkzg1bMRO6bHOFyb}zX8-vW6|9p}5 z7Bao-duR1Tlkcne!mi%?(Q=R3Vdd4=ka?Kz?<|@Ujm7`Cz7O2J327n4FFUACQ~66C zQ8kC-Q#Y*IyAM1OSZcQbVk_9E_v?b@ef_o-G<69*{Ia|bHurPWK3m0i?uRKnVPDNe z!RsjgxkDE;On&}Sd)t?z&Y#wN-v!bOn>V_@8am0<y4-ZqGJn}q30F77F*LI-xZ*Y+ zI_<fA*;mo*n6F!GULUSS(kgGe1gf=jqT8iA)$=AETy=|`8$8?kHwZdmRW5UI!_3$7 zCa3Q$D+isL>2Ykq6$YK>UtwqRWv~17{FIE%Y_W1Nhb39_!ADructR!v?Ihd{a{f(w z{#EYnZ_qjl0m$va_bT0>6Ofya?_cnJhShEcrO^B+&}88MHt3XIQCR%OrSJDI`ToK- z1{6Kesmp&JP@UZBd)IuQaSwF%WyMO+3XCtZPzS$et34|9_i$DJ!-Js21ie1EKkRSx zw9^crbLxC^u6fMby@Nq1^zCWT33tD8kt6oI{Ke`k^Fa42!%i;T_e~T!b$M1+%Cozg zOCe}$I_To{x$>Z?Jwu7R?2kFx&c2VoRK2Ai`5NGvRiW=0!Am#Zw3|#?woa$P<LYil zkT>T;56$$yw|M=HOTzA7<@R39ERFhi#Fhazy_<gxn)nYLH<`3-y>5et=^oIjuV%k` zAwjhFYs0>z-sd@~e>-@t@4Cm_FcG{+rDlsWG>C-7vsBKOaw`N~tpg?c)%MFEi4dGo zKcCXt>;Cr1Rm9xo*JaSj=vmV<RdnBJH+Wp#=MHjgCG6&EaBP;Yzh1p%yNJMjaoB9> z+<w?>X_=dH=Bqr}HLq+Jfb(e+#HF>LTx*X`ySK&d{YC4&pd14|$@DKb^ccTwAABKG zo>@O;fTlbz)?a}fCb@R;uP#$R%dq!`IiPb6q3dvJN@hZxs+M=fV^(zp`|2!z(8bKF z*IWASd&OuV(|mESbzj1bGuHjrtFN2`t!;rvW;ir-&fYeiB*y<LA@sK^XmQru(roAo zEB<4GJhSunM83bcZw1J)&?7$2ZHE>qX1|qtm#j=L-LR@W1XPaw-?ANAj?KRlpd_)a z<QivH|GlS3>o>mV!<K#=I&V5@S^tZKs|9DRAjQ!tNSx&7Y76pY*F19Bd%PeYsUTZ? z8yZAr9~Zb?y7S6Ya?Pr}Tfv3O)$`B=C*{Wld1n7SQ&o8_I~=sAp(BL{d`I_wZ<tq^ zr98W{{U;q<^{tIbLWg1LHA_&$g3g!)N9kwv)0^+@Tgfnw7j_QnIeoZi%X^osoa{gG z;HrDuAbR&h7lql~ycC}EQagWo`rdMPP|0wq2fV`SH-8rNJl%(?y-Qx|E<crU^}`vk zUb8Idf{5tpFSM`y_{LUwt-TzyAOf}yqr?H~WZ}@<RK9t>#mmJVmaM9c=w*-y1Fvo9 zJNV+Bth+(Zv)$5P<+jF)Azi&*2P+!RCY!yq6`Rc}u=18JXz|f=ElA1mJ3;2)hM(_q zuT^iUj|P<~kRreGc`CHvK09xR>wCk}I!2dO_f$b8!@p8!$#C-ZSJCKSA9sI|+iMRx z#TS|o_r&jo6)L({OeQS@E$cGf173r%%N0@t-rE%#zwy}mZLe(G+Cj^@U<<;m(m=;j zx-$uE?0hTbSv(!=&seZOgP}UX{w%+Ze)anNn)UaX9Y8vt>Gdu-x)<cn>!5J8g03-u z`1APv<=-=I^W8kk=wj3m#Jpin`MrhE(*9<#>7-@r^gyLiC@6#PhaR_S_cOcp=(IN8 zz3%UCe1DBp6hRl(+&Hw{WYV&HEl^Pea-`WU=uy)7wu{zBJpSOf_jti4p1ts!*B8e^ zR}jtqF~{xF9a&a^m09+nBT%o3L+iA=g)E2DYNp>?ylrE{|G)nwn4uNob^Apiw}Q^F zWRjQg<^&CiKo7S1aGyyqn^#FnP|-(9P$fWPA&;-7^Q|B$wrrM(3pyqmDkX_zC$E)o zF*&oc!_9>=%s|OY;z);*qkwV~iz|nckceTFcH%aZd7H0IuHAoUYu)S0^Si3|zds&b zTe!Vf>2=+smAP?0MNeuAt^9Z2ORO_z!#SO8FV}nxWLTB&zrkhJf@exiOZ!w;)LI=h zN*4wXVwkdgwPw-2<9^`-XVcVq>KQi0<vi(Ab2+=tsYT>6LT;h*U9k1t<=5d74m%Ek zZ^-oK^jfbL@jR?)(|4gY-z^+}rgNIj*vP&t)X;ImMV+Qo?7})lCXQEwImMzK1O6s8 zsjgF7QOSKU$hPTLzo5?NGvFE6f|GZB(~_f3=eh>ehc}7xsbtJhk9e8VbnG-|*v<(H zUWBr&zUvb3N3O|KPE}*)w1l-U=1KU0PbF$y@TLYl;BP-Mp*a=0OaIZ&V0X80p^!Xb zog#C`tM1^H?zM|emTf&E#$sBo7Lg_A_*R*7nx@bd+wO#&>jkdtZ%SyD7dF{5Ibo^2 zpoyH|noq0tUgH-BA5+zLOx=|stXkdi<4%@r8NYx}EKOVOJOh5&G?hxRq^?(wc+c1L zsb1yAgUqI`S%+84<oX5tlx69D;~ik?zTw74maF!lZ4F?jfv%ylD^)3#b$ol9b6Vwu z1@D4cPP=|Pw&hj6+lE88Il=270-BB;7hLnHuxZhAfi>Sun;z*lnb!FQd}3_c`p+%k zmugd~+1+-1%Z7rJH)Yja8LoK?PqA-FXinzTs_RKuyqQz0erm$RY|d5xdJ;DN=3K?k zIjy2`!L!%jj^2&RvTnMynR8mCN5FT9rl)pZ0rjc}xxR9?=vy`<ESFk0&noDbrsLyk z&aj`_ju)SEgjK(-n-TUgmjiV2S<1A8wTn5u=DUF}$eb>)rrgx==X`;j3(6~gh&T1l zQ(W<9)=r6YO3~0kub8{JuRPu;G`)JS8u3V^X_jcyQaz;=wW0@&%!RM)ZBN)~FMK85 zd&8mC#xueW>vD#937X9F-*Bp$qideahFj?zpo1EZZHJyea#DJE-<Ef38ny}%>Aa3N zd!Hvpz0P$E_!r9}eMWi3kG!VdE|#hGz8gGx7rffeA-215!LRik(<){xc($Ko+O2|m zp5<k85@ybYcJ|+$n`<@6x@o~bP8RK&t_2S(S+r{>FZlSCWpxQ>mz~RoTlSn?w`+W| zd(DNW+?fd8*dXt=;oL`-)YB}l=XwYHlV*{QF^5ch>HRBub>f9%)2aUo5h=~g25VR4 zD@5pc2Gr&^sZJBP@`vGIP`c0+yY_^cr#WA3<CylF)$uPoN7xT*$HUV(!m9o@&MDi& zd{C<zx;;oH)~0kxn1V(f8)PMf<iV)xLR0qjCd~cKdCJan!#!@6r^)PzQPMf?0fqie zy<$Qkb@m69mJ5gcwK<rynqyUce?sRu7RVxrpSxEouT<yAvUlHb=1jBU+FiE=bhfiQ z{?!5BZvxskHD4LL0OY=^MwRx#to^DQ|11tlB{xkn-Xw7@Dq48TJl7599AVo@`e#{P zvTj}QC!N!5N5_Js$q9>Rb87u>OPF|^W7WUu2^)RI5~8l&_u6n|9g8WyLWGw8gywIa zI%gcyEJ24;9snIqdDw~Xm-!|G_SSg+t_NDW4Ii{*dDy{=4EVV%CGz(OTu<QB%1=~e zzZe@aqxsf9ohJ(WrnFjS)U>q9s7&8zz`j<B+tMTc<RO`oyaYw|o4X@sG~YW68d1py zoyqV}>CPM(k^7)ik;;-3*`M-&wr&)`$4b5)4wf$!u<iz}h&XddMrB=sBKui+(8!84 z=u9j{v1ba#Ns8>T)-2(fd`0=75dc}xDuX(mCkYi2+{FREK*v;02W>N%9&y5P%R$hE zoF|<49+iW-)S&Y=A41j`)Kq~6f24Jeg`RS(0^Mmb8FboIEy%G#pu4sdxQh)eCW8*A zJaI^-M=u?8I3?(^%iEDB9Jii7By$9`hvO^Aq9bYTpYmi)?jJiOv*g)^3C-U?=Rp?V zfsZS^lLU=ltOlJ;nF<=L_ziL@=(wg|w%nEy_9qX?gv6yNvS0L_{y^)jV{Wxrk$^ww ztP5ArJsLXP#TTqVbNA;#_mY4X34D_hd$!<uq9Xgx-=IYX!jqMtCpn7DpZ{w`^TjhV zpo=*{ODZPb)OoUC7id60_OKJ*D^<|M2Iv3@eb8Z_t3kmTCiYCBSfAVSh26PBGEe5E zDzg8TY=8LdjHBW~C%(4LpuHOhL3=kqD-SG7gSK4zyLbH`<u>qe$%`(~U2CytocJDr z?)c~h4eV?>en@7ET(TnjOVGg1Ikoo3T2B>ri*grVxIML1<_SM&t5GHB!jGA)mJ+(4 zFa_Nhaw1gc$$~0j?qY%6?X5Cb<U!LL*@(R+ZvCLkT&_$5?TX>%E}k&+yc6Fp@5mX= zcR&}z?C)u{)R=SLiLVWGL)lU@Zc7Q!6|Nz2pcHDVbH*`uu`=kui~FDjFmKK{@x}f8 zzwhPz|BT%mWwwI$ffSy&!zy|F{ycSaZO7AMdgoiUzaDqnb?e0HycJU>DQ}f|ndKh6 z_2K!fiHo0XTJ7k@#TQiY;E{;bmO9lXOEzrwyQSs6Icm$pEjA@jkIcX7qI=!aKX>2x z_n)V>znd>^_q}HSeg5zJKHNL#n|G`5&YA4~;PNy7B2tZwC%655cf&Z}!i~4!`y2+o z9l3eM7w^iL_E#`lmESeL&HdrmLWYuvjUUA~i?iRqF$Hp##XZE~5er^AocXml&`ft8 zheP|++3#Q{7HoUo!PvpEVG)NcWQ+Ib)j3gi(hYp8&EB7Z?$LdZIE|n*zvM(o)r0Fd zw^Ug&$cE+r^@Z(<MVv<PZXM`|fD6nwx72-p7wOP0YR~uK*ZoZ98Krs=AHUs$@2~}* zp>Tfhw0ScbD+*7|%Za)t4cp3$xU>Goj+YK++}8iGn>{W4kK*jG-LM_eiE}}_*A;^G zUTnB_8MM<o`rj1a)!#wasqbY>;Q}9v@uU@Q*)I1@GfJPmKfUa0CF6??NitBIw%7j8 z(L~yK{=)R_{GS14x_X=r?Nj|>o2K_p=1U1?5M+jjQq(UGGhKV(2ENsOu%j~$U;Z}< zexOCRBPf{uExx&BmllI;*l&xoRt=GiVKtjH5IdP4fkNrh@lBb3w}Q^RxON<RTE*Kk z)Sb*%>rXE`S`OY<y&t-Ry;>Y~U%nQ9>g7+r8DDJ3`ZyW3lNssEi!jiMAo2BDv%{Jh zN+RyAgIYA%6uyDG^txNSkM;Hc%CoP5w)cyQ|22m0xxY676wC*@luE#d1pI$?_~w=y zf(?Ay;dYZDXAQiMoeo#B<K={xFTSO3&ir{Bw6FTxPRQ=;A0OJ_5fNn$a*h4pa<jGn zK!;wK|51lnWw+B55jfLcOvp^HP?>$LpLN0EuKa)I5H<JiO@rG7I<w$^qxj~`kLwvq zA}SwWgxFQ_ejVH{@HX$?%WiI|F=UVp%dLbSBw#0lwCNml=0)wFt2t3;XIg%ftVwI* zTfLq2g0%?v01fqO#8Clu60$~bWwu2cHe6S)Hq(8_2R$O<Ha|EAfexd9oGG*Tc<SXx zUo3BK*<%kqi{icPDNq`lpebH*0~ACV?`ChxtSn=&T2o~JRU&T(55<VrKXuYBZ=XNG zcQrpKIkz*P5IL}hIpX6<ZA65{pG~?JS&?M6)?TcEPkSB@%rWY7OrjBo0GP=Bdi(u+ zWwe>@I#8}Z4^PlP)zeWD^s6(cmpv6{x{!EvH7xUdI8zQ!^w+GkL3{ImOv#C=H3jX> zH?W7LXcI)FZ33m}Q^A`v|E>k4=yPF^vSClHBWj8+<=&K87|dX`#^!Y_G`Zee3in#? zY`&R&>nv?&PutGA;P9$cZfJ5nd=;)_>n>1+-wry(MV@uR;aA+}PC$w(|5Na|-xcoO z?qfas{HbMM&of_0JemqSH>EZg)irCoH)U=#W{?dl6NhE5>);rL=k{Cw?&U=N10|u@ z+A@frYATB1rmT$y9ivb^E#>kn(D6RMZhcRM9KWKz9d6FGy`Xb1Zf-T1ea#<~qTlPC zhPZh9`!k@V0X>oE#FqWvnQv~XP-Kt|yH^A~N@$NcLJ8=Yk}ogVH)m$b&tff!D0OeR z{{OvsL*(-&QF%LfR*lFmFF8>XRlX^+^wFoBsQZ%8($4=IIN^hm>YCm5po2Jy;>^}Y zKTp5>Xdi<StHEoAusyW~pa_K@0aW|n!%SC<b;03NccBTR;(Y<!>);c2ChMJA_H-wx z^r?p(AYlWqcOqUd)k(X2S?}DktL~svI1WPXsWB=)iYR&4RM(WeFnz1@uflAty(B0> za~@d3JVP|$=<UyXCsB?7y1!3nwpcc(IP``&DF31QCe4Np#)!ZcK55W7H?b9!X1e=9 zrAuEt^kfw~8^l=@*CxG~@bb$i|J2K`q#0jqsJbT#O@*EaHSa!wN}#u^a-!<>8Dzuu z{JsoT^9^zQ3b+E34okoM=o&*w#LgwNVFlt$cp(MK?JstnPrLl79TY%6XF&?79|f`S zFkJf;l$d$vpI&zLJky25uU+S%$x!|J6Ij)J?JlVB$%&lq`#PR&!QrmZ*U(Jy))$^B zz(>k#kDcn<Z3{Y5rgkROHMxj`RY0ky<huCg%t{4NdH5#?nwWPWO5SVypu~K!EhoxW zuz_#2d95m><h8pwPx<)1o8SNU|7SG3-%yA$=)of>7_?DH(6D2wfrcZCa+s&9VOX2N zRD&tY0=#AfpAuN(;j#v_&=Yjdx8oW&7mh^|YO}kNTsAtgDR;4~k#JQ>a`k=;KF<4e z?Cb6GzL&iHyYFw=<YK<N7MtEJ-*&ca{hzKUqMa)L^HsZD%p%gSS(ON}E-0CpFgH~= zW9{!7+U_C_6)M#)uS~r6mE~!^@{I&h$D_wN&7=fF?tR$s^)bu;HLj0XLd(@6a`KyA zu?y>LoU!29P8RQ2r-0p#8_uj{DGe9W`L5yk_c=${g*{&nvwHI>&Img^6MSg5$At>B zgIbnNi@G_&tlJm-ILeY8;~wxytZC~zb&bCq2d%O>PPy?t5B;`HMPonnLAGka5SxaC z#jPw;&nrbdSp?oMa?meyZ`v!5HLd}F<C;{%gs;?0OISIbGwb|`;y-6}ns#M2J^iM< zVt+$I>rxip>B4JDL>(WCvaG)28}KEu>1rFx(d$BMHXaqMImy`K?U!e-$+Y3xJeH-; zh1M9)U+_k#>8qZKM!fos2Wd@R_G&j?C^ns{SBiL|+O%rD<)m=Q+kFdu?dF(vLg<Q3 zSHjB6oZzcDMTI%yix>|2UEQ1c%Hy3o%hzfa?LQM2JnUxC-up|#XZ6QzEUWiSUhrZc z%W7H2fIlHkrdlkj`sxv{rCFA~SBZG;*tE%CXw7#f$DiS{y$^FXoP~B!XUwuPy4Akm z(?gc-IX(ejg&`+)zh?2i;~4NcoyD7%Bg@`@!x^>DhHH043+sI6Yx-5p5oXb|AaP>C z(tcr+eV|jTVLj))wXZzhE@wG9Um@agK8vck72D+~ZNGpjaqw!`YL0_d-JGZDdlH(x zIkf7gCM=HT)GFr`tL$0uDxOnpGpmH(*XzPM8!tnh_H*A#<(17Gpd-7N`2>7VYI?fQ zDd4|YlW3jOg%5&FQ~x<#un0P^C9G1`@uJGXtoN!KbqWWijtfn(nVqn<o5L&KdxIb7 zCJXR>k=kJQs@0sQ{?ANkp3bSYuT1f{{8^<Hf8?56zp1Z?Qiyot)AVY-V#K4YrdjvZ zB3{Wg-QpM4`OM>ZcPq>3qkTsUUgi65IJ6r&7IJuJu)EiB0h2hV4X2iKcEx#uPk|`s zxb{QT@#J#IT_(?tCq})_aStftZ~D54<!Qg#jRz%7UGtT0yx@WyTD=aO(jHD)y?>6C zP<7XWUo#Rq&x$2Rncnx=aOEA#*6*qj&peuTEf>=H?%DKfy6~C{Dj5~(2fg+yWc*P% zsP$h(W7o@%66dn=l{EHAAC!`ZUW}psIoLf)U3iM!{DiruIZoa4*l<sl<!Qa&hJy_Y z9@%r4-AXZB7W%W7rTdLnz*lCL?z74(>XZ%&Jr}&PMo_1e88R%E09`HmPB_>dbeYNi zi<~pAapfyy?BG7=CE0YWU0_WSljFrymesZM7X0|il3g=@!4s{ft#Zm5wn`DlyCnQ> zuI0FPyK}*x-<)O^8IYy6F*bFtPOR_=_*u--ZNjmtu0LVpRF<pt-W!e-vzVG&2wslL zQgeK(a?ofq=PNmn4R;DzzMfZ$c(|E`cfD%F%iS!!@0BB#f>y<FDZ~_&oV_yfRy}7| zex=aye9&DcaV*(y{R65L4_c)QO<5~+#_@d?sGkp->EF@bDkD;V_>fEw=pg8)|3UqH zN%iFAMFF+i+{FUkpu?}-L5E*Ac?#~~=T@kZ=bM!dx|Tc3lG`!^G}Mt2wqZhZUVE$M ziNCX2WxmW$OXTDFpQgxu_^kiI&{@rwq9aZmtl$S7ek};PEcN^$nJZqPWgd#4WgbS{ zmLB)c9Fo}rTF~$kbg<~TU{J3fd=tc`|Fb{`AfzpSr1ew*d;sTe(1d?G=%DV2paVFi zKnHL(fX==+a!BS#UXmjFSI`cUPS7<O{~B8@JwS(#-<mzIZMHG{%-qNmj^*Z{6|9P& zYsxnpu(t+-4v&Cb0Y1+jbZ4girU}g-dqJmKvg<ri_@%&I9Przg+p=T-fkQGTi<X1B z^gDS$TMDMO$}9n00%`?X7yC^`?Ad~HId01n^^L8T5_b+b@pa{jJxc&>qtW=^*J{~e zV-G%i^iccj1-lA4!!!AkqCo)*S`d0fMy%+9&6HM4576E1)so!B6LwB%l_>#j%P0b^ zT=@suK=Q$Y+ft$rG$_<~J(Pa1XR<71X6)RK3}NeQPu5Ru4n&;tLk#L0hi*Zu!?Q z4j!m@q8cn;dLaw6%m=jZCS8@=@`T-~Lo#1Ln>H%vHm){dZ=R|1WWf$)?qY*kXPx*? zS&BVV*woP~69PJDB1s(N%2J&(j*rB|iZ1M#-)iXrT9SGTw0PGi<>jy0+|bKf-%XpW z?3l<`WW8ZR^S?OIP!EGxkwMIfLo!p&B`LB$*5WP>09|VD5)C>}Bo1^&2k6Rj(6Gx% z&_L3)9^;SqL-;O%#$EhowaTP?Pfp~k;*L1sSf>eEj{;iNk<G|*K8UX?+_(11!3<Vz zOO5+y56QU5r75ysRpc%fh(7Ja_bPf$>uM8r@Qo?pJIhU{x5{jJzS)5N^*_DyfB*3B znvk9Ws_(16+$xnlURbkt_TlU)Gq+6Jct$CjJKr^}H&qIBO7|6?Ge(<y!s0&kS&7aq zws?N%jLP~Mktxg8Y*pEO#M=$D)AKa<G|4P89pmdSe%n4j2|DNM++!PinS1ZgKmUGx zT4c$cV-vsDXKjA|=lyz*xQQOm{(~;>Sp0R_yGNi+ov&EF<|{-zG;3=6F1+T8h2vv! zj<B1Le(>I3yY=7mw)cV#A8bYD8f!9bxTVK(bTdn~j8niTiKeaRR5bQZN|^ha^VB-U zi1qFP{{owMuL*|SdDFssxovaLHq{k-gbylJ3x?QsBy3#FxhkAvT7)5JB$(yC^V!$S z`j&(VU)k56Fmo$Q>3sEwyvat(LhH>Aa%ncTRST>sQE+^`m?O-xcfpIIrmO1|HTHES z^j33v@o`+M>Ra&Tj4<*ARCCQsmuzoX@aG?kv<RoxJf{s8jhaq{3+fbUJ6_$*DOTOG z;FmFr_ZbV$%c~^SBeL`y-~MDdt)sG{TIFDoy5N;~uMLOHVKv6=<$Y6jvGmHTMLd1a z;`%lPw1YuN<bES~2g9BIgtg}drsxT;+1#?=jVR0OyDkC$o`cUT`k2om?do^1Kx=<n z!o(-gshX?b{bW1edI$Wy%;GKMAMn|xX{nz2in~e?&-j{l@eApE4{!RVFSzEzt6<B2 z1=USc?>U1nGT~xz?N^O>8`Jb?y5O3RbD_&wKYd%Ny!O7pl)6a?bHzDN9rHW9<=X8& z@CJq(vll#C&S4hi67Vyp$yHBv#jo6^qgE_e>wPyI5oR&{@4Dg2wB^YbTb~Q)Y<>-O z+ub);Cf-r!d?oL^;ZP(CZ@AE!FS<>~vN^(jiaK6A&JiXpEOLKOQ|8)_ZcUSrHg?Wh z@J5p5^;!ju`zoMSz>v}NAE#F;FW$;B^}pwa6U)*QqC)RGY`D?Ka@F2rgUh@H&%`;V zS<MAs@wQGCyhA8n;EKG!nh*R<ZN}%16oggTIzFysSzQCG&0a7DyJyW;*QnDvC}r9- zDO`9>skY<GYL07_EeqakW_f+qg8Q=8e=G1&?PmmXwoF*?={bj(<;(@IT3NbdJp=x- zvv}Wu?zPGLSNF;z%O&8pSaQ_q9Or;?_NJ%z`~&Lkn?&urFKqDHaEX<rcfCTy)88zv z?^Pn+ma`n4uNINKc(dW0NzuY<rmAS{wLWO|8@l&lj&0H_kM|W#pPmcn6j(YQeJ_|( z!tVIg?x2#tV8~zngGteI4}W}}uL#-NsS4iOd0a>*San6U<iVo*s*syZ=0Xlj<DWmr zD(Dx#<Kr7UXI#_DSJRlK7V%b@<tV>$#N*Q}s^=9WUI#T@G8bBNSyAIR!@(+lfhqsZ z53)sv8P4g-SGoZ@9baB8;>iVA`()`#<&8&KuI~5RaD<n|wBBdKmA@=o<&`6zu{Q0R zJ-<Cuce=ug{l*8m<drfi3=Vq5D`nKM9n_jGAhLH}!d7`fk@tdYK0b#gwxYXNCSF_i zJUMFVcGZY<_ohwNLTje0Yy5X@;`LKsQDJz{?YdCNKgEMe-vvYd3Li{5FC5aZcd%gE z4Bvpyb6LFKItKh^XiDvaZ)tsXW#S!Imaps8A{P2iXntr5+Bw&+^CaO9Xx0ABA51wu ze2YNk?Jetw6OJ{Epz4@~+tNb}bhy(=(1wDZR?8PLC!P2<Z3k^Y+#PYk@gKj=nS%)? znoaKMj4l1=96ySBgD-{R<+jw=KNoa!dAcI|)qc?KKG0sfzb>G0chJq{(xA;GY48mN zXPo$U`ENF0w~XzWJ-PWGXxrh#T+r<f*4)Jbr#4P#{s=x=x&^e05PXW%Mb%*WR|~%J zf{sq=Y_-hz)6*)WBA=AV7v;ZkLUT!q<hn~TC7>ODH$jUv_ud7$H5s)1v;Z{Z-q&g= z5eiz!2)aOh31}|~=+dtw(7DjR;z8>|y+Lgb@TO9e_|V6x)*iX%o%n8Lf>wv}gI0$g z1I-Q{a^m~-9JD$VboJLmP%Gi3Iw&|9xQhcMH%(}M(G9BGriz1(a@r@$U3{TJoEvsk zN~$9JOIOgE%a2Pk*3Qp3ykCf2z%GXU=C3R5Rxj=zaN_&49kfF9cjO7jkA<M70~5EU zM*c>b@Jv3X;)oNDrQ%}G6sDyrvVR3#rE$bi=gERf(1GYtC!P3iT?bvUW~%dK!R_Qk zzM_86Y>SoHvjrP&9+igQb;YxNF6geSfLooQ>&sIT`Lx(UyEQKAoN-)x$cgU}=xViN zr8-X(cFt<G%(x3WwH>s_^xS8iCkneya{UvsdT|!CkX3}c*x*KUtBeR}Lc<Mo1^Oq@ z%H5QokU5`uOWR+W2Ft%v*w)o5bLBl~I)hoP=t50jtEI=;JuKmx>~AAMb8d3nmM`=Y z68VaLgZ92m1kGa{a^h<PZDzR?3YvD|1~nLFx5~JHw&!L+wslV3VOe~k!@uhRbRKJt z_1A@bQJ*7EI97ogla`ZOWm5c;68WmUBTpP``~SfF>*G|j!`pH|Qysam`^(*LG;|86 zBy5`08D%VJAK)WV!YR?X#&`7;Hr-Tbai^!D8Oa+TY+3Wr`*hX;p#?4p2Xh0h9imp5 zS!~RH#Qw@@(Fzuik|tq;LXJgW+pSJ?O|vVOEk1ryZFWrc-S5TcPNvo8W?yyh_h`4f zo`3txukBlo1!eU{#4as)p?x!Dx5snYUk_g^eBB@IaGHC?tNEbiB)9ZIq~SXIF9%+) zugDiUxS=)qoUM({f!E*zStKNzL90r(>%-Qmy_s%0Ny`4x!ByviK?mvV`VYFYHrZfT z|Nd3$Z(NGDU-tdQoLJDZ8|YHLccReMA<=tcl{0@$w~8=*0lFGpT5ji`4|+Xv;FD99 zAM;{4tmYR7x>i-IA&4Eig3vApw&W})PC4^mxmCo~Ki!~}W7j@vLzi)ZkKwues|EeI zD>LX~AK!NuJzo9yWMBO%9CUoo*864i?lCt!WN`a_PopW3`}v&p-&d^HLAv|6w!JKc zL6+0uNLu}ZiC2E?<_jx57IqqR#MZmt(B*26mrnsLN3CzXw|MhXq_t!3_CU3Y)i0cA zk~@FO!Bq!8vKmA%c;#BaPF%5aj^Eh%()80B{%y!BqIS4LS41WIXRDmu|I$fvP1e4> z;00h?r-36#;_h=dL7v$+3ntpUK5LD9GL7s7=n}#wpQSwie$<p)v&vr+e5lP^f9QR{ z)r&dWbaVDb%==oE4qC$nUETTC6&gxs|Ai{A{J5^vDB`N+Vz8~H`k)m&pm<$>Jv`^- zGw&yn@89S)q%#LVPgeS#3Dv8sm#N}AzicH>81FR5mCFy+pewPig#POCeY3-7-q(YT zpu@SALJ|}IeAr5(8-cI+L3gqLdjmRq#T2v{>l$n^7Wg2Zve<RsGwk9Rnk^fk3-qoz zLhbdP7x3El*J>_>psTMz%QW9cL!)PJAj@Gfxu0w9Ev^G!s1DWmZ9i;{-S0l_FLJ%5 zpi^7IK>@U@92&yM-(L*R$xLj%xA?OYXm#FF$PL`P-E*frH3FY%)BXOs$E<yuK$nzT zfzGD+a2vXGY~|fwUA{ISE%zROkbtDMT|MVgHNy)J1|_qyWp0=LOau8d8syxyf1x_T z{+#!B&%MPzqd+>LhtsT0g<ZJpyY7O=t9<PSkI?&zL8sdM2d$F>t&jtqYV)xF`U~4v zi}x$+wt$7uH+I;nzUqZ;L66Tdb%e~l3kuqI>!Bg^*#DLGwlCXttNPD%Mt_xqo$FP8 z9=3q-5onDuXr-^z8_*I~-g@W?u=%fEYMXtWFS+-4!cB36M*i>&=vu&>&2E?efUaUc zJAZ{B!{I1>(6!Wt(6n3m_-j@Fvz4rW-oHQ2A#hS+id4hXJzK+|DSxtghRRp|7YU(% zmw>YPH+!gK!O?lIX!5<qcB_#x+qb9Ca%J}4S#Cj(3uV{5`f`QCVP)xG?@y~`!KKRa z;?9H{m#nwHw6)SkI-=`+9;_^Tv{%aW^7$7DS08{EhaOJOyH*XpQQfXIr!(Qk5%&Fy zzDuk@S|nUk(G1Pv$K<Yf%&K=}Up)(SlGxH~RxejW7psG_>CY3oRsCt;auB-wFnc#t zr<(j#k5}?n4z9AguXUSk!IfL;(9{Rcq%}3W?=9Z93)!P{pc>QaS53T9;mf|->iE57 z-x&h3?oNX)y#4b<=-`H>-FermOY)G*vfr@tuEgrsPBf|gB5M?3YOxZWzj@C?FB$ir z3p(R1z3jwhyBl%Hx3MpVhOczBpK|8EBfC>Jtor#5yuR~V)LO`LR>-+Zv(}&9d~eTs zq;;j&lA(HM*LW(g-2WyIR3zy`ilj_P*-#CxX=cBhn!dMg1(Mcp`LM)meZ?bdj+J_I z=-gt+TJ`c~sCR1??@RLDepml|)$`v->)hYlEeEY8WD?kT)V${L2N|2$%g%!qF-Cw~ zE1ee#J@73S<ln#6U*(#QgBGhp*Lc?am;?>xV|}-mexDH^$uMzMWhdz9K2zw)RS@4k z%ztG&Yd=z&*z>gus#8t+{-x?K*To!`tTG3s`&-t?NqE=puX11IxjL#DU`NHtUz`Xn zRyM!C=#g~=R3KdkY239Ns_`-Z3+-)RHn)F~n`<vF@SOqb*ge&E4p%{r?h~_LGSQ^A zl+k6?LC_WDIX^m}fs{3WUy`u@+l$paameS|ZP*wNU95g%iQA<=y{rN&XO)8r!6<v^ zI%7zU3R+ew_fr<Ju5|l7*an1?+$NKL-3Bc<2cLO$3w&^<p~PKq`rY%#cCY*2XMd~s zVe7`<^1~|eZ$Z6Fj@5#E393mG?}Ap>Ka}XHdr|-O-~Z3?+46akpuv&)El-MNkAM7F z)gE~1$#a#=Et%?`$|rx^^vIfIH2cz$-jB+@UT#Y~Po7wRQEl;-wykArwjSHGJ9(|? zrE6PqdzKtq-!nx`RXH)pNabd3&Hm>$&uh=`d_M29#rgB!?|uLMduRRK+53y$xlJsU z|9FM(^?sL6QQv=dfo?lXn0qtfDd-+^y@TL;%x5JC?tb$BzDA8(X?D}mGNl#!^bZPc z=E#!s-Vic#0q90+F`orn-z|@{pTopazu{fiO68rmIbPX&Y&bNTg;%-MCwr+br__0s zh}8V1MePD>%1j(T26JAsn7;sYQEC*+((6KNJ~KGpROh%>-MQe;v*|^*4)zIiOv_<x z@z#51S(;K};P{m3pwe=I5ZnHQjg>4{rwi(AY+LZ`FN?RWU%+qIrqrbAiBYBLkcDf_ z9AOqs3m$s1XwP-Nu)}Y|sjtwrY3|m+?%?x_dJ=lYIlS`B_%BCgvo~GZExcy3s)qIK zguTL?r{=qEI2hB^<;`Ih<s0xbugO(Tb%m8$M9$^YhI3x!t3@PjhxQXcHwU{1wF_Ud zYfPAF%=s$LXG6^71^?EvX#Z(j@KBjW`|s=pA3<{xq4!(@O2V72+BpQ+_-{BS&gnJJ zal^ImoL>5B5znVVd$60!ePv(ra-90#ozUF7{=gQkeG?NF$8u=xpPw-CFvqI8#stt! z=GQr<MYsffPHS5FPG!YkgM&ur1+LsJU~JobN1Ef+d!G$XptGl(Ii}m#l)UnI`I)8H zUpeAwHH)jb(3&z~$B)(=*M8VKo)kP7b?v|wuYBhX=lobwzbi-Ni#h&0FPIacw&F)D z__U~f6B8!hhMr8a?qA+3k2ji4ucTu-Gj%tLI-XTI81!4<id{>>%w&#N^Sn0PDd%`~ zUOnO=TT@#$XV@!Yle(sass9B<?j|WNU%R(=!H+nW>>B8um~(8hUU|G0X}T2JRQgPC zO03_8ds0oG_N(4_P}tP<U-gDYndq|6pKDpVmvFACYf0Fs%(<$*HKDVZGb_$(!<C?> zTe3~5@1XmVcmBR{W#XNBj#v5HI4(y$)Meo<SC4pkm<6=KHb_aM%Isj)bODk5eF?qu z1*Yt2Pgv{D>1FS~;oP$DWQ$Z`me+6H0{%I(NXyuPM&??)_3A2Lop|BXbjn^O;z?A~ zDtonvHSPg_&$D>jxCeZ`+HJUY={EHhd$kW5u?t-}E3ETfwdq&7z?u)tO>NzrVZQ_& zAMfS}`w5yE(rzd?c`<!?-<3tz6QYi8SB`ib%c9D!5b@fe>C$oGHN{qrH@i8mMY{y- z^WAW8E{o`Yj|~@%S*Dt2C0TUmcn5r)%hLVUKj5!0i?;}LZ0>BnkE~~`M?kgWL8H|i zukJZ+xFgT;s-GjQ;_B8JVTW@$!m8LEAOGbD`)T2L@i9l(Z!5=-+#J_31i(k?zhzk} zuN3ioB`mE)HD#{dF6#JmyKv41e#fIS2i=Yfg#42_s8r0cD$a4k4X&nF`xPP{IX2Cz zSC7ytl5GpF=59(&69QlV)-QO)zAK@1J@gcd_NyzEm!9U7(pQgo`kcj8ULoRbKFiT~ zrHIF0uNVJ$(#Mj$l+#PzXT!OPEUEr#5$|IlcL_{c@aQ~;*{=QtpC%+s6o)3YvVhvV zK|T3=Nyea45cYz`yA{NWE`SC~CRla0%4`9xKr|{9E4r|^4>Zp)rB&w3`@}>(u6odQ zXwM^OG+)Y|{_yO{gBGB3sO}wh;#(96Ix<UAtVqCqB4~kVHT<xOCwEr1TV=$acH+CV zI&wy{ALwSv|A(MM@;|TZ90!fbgKqr(cvt7l!50o<MFF6rw*@R4TV+DDb<Q}xNfIjx z*xv@azWkIE->m&<u<Oe=gF4oezlNI$aq6uDAB^#?3^FRO2|5L#q195OrmIzkr$0TB z&+9&DRK7c6M)N7qt>0Ck?)Gm{Zp#jS(2?Z!pzW8BrGI$8Sg=)>+tTCxp+hny-_jM? zZ~AXCVBf0*n(3I_YAF$8p?NJo_0#ne;R-vZadioFh5OoGIhY~JZK?5p5@_1vj1%9g z{|SkFNz&^Mte(<bHK*0m12k(f>wR(}Ul8b&t8axmXB?lIfP%K6)$#=B7>!@rku#c| zrnk!QfChNH{5MZ%-k5h}wlVwEwd$?~g`y4>{<1FRpgTx!?bCUpVAtJhnIUu9iEmP> z*s}$u?X5D9!=6NSo+wmH!*4SOt-qW&6?7oSok^{h5@$hIu7hqBJ)sC%foTFdi)<?F zwC~R#dvrmkV`OXt9WAnTS}XKAbN>w!n%{ZroN>(iJp0Ec*)QdwqeZGWO=y02Q0I)} z$^%Y($J9YvnnBY-C34)w0nx{t_#T0#OOE~3d7|*sg1h)a)x=hrCCRHlJUio<ehhT0 zDmbp*$OZG4Ua;<Hm3h(+x_MoF<Aml%mO5t+t~lq!cM3GbGm($ma>c#Vhh&a`mYIGP z2Mt`MnLiFa<yg!v_Do?b=rYyx$QjLNa>R-**iLM<JTdpE6W_05&>G=Mo`Nwk+@OOo z+Ey=@d$pj%yu0-O&FA)UY>8XhAlp7J%uW?;|M<b4X{C~zpmYkil92W_1tmej!&xpz z6vC7ju?TX^W(n-MdMiXwc@v9EkCKppVV6gWujbN)Qziv*CFVx-imG;8>(Fr&S<8Fg zxcKRp!?$PNkNIv~e6F^>`u-(b^Bj@L@A~s@z5D+kbn}PT`iPTC6M3)AJm&ASh+&P9 zn#L~A>c8oS7H!jY{20q|?Z*5CPZqLd&-DnXVmxT|TzE>Z(3<TX3;x_?kzS*?;)l&a zH)F9Ri>ceyB2u1nZn;+a+FZ<G1AplExmH4_g+uJ;C3OB|F+Hyw@v5ll7B}a#NY{XJ zhYfc&vwSt*CwMt3(YvW_GiTVX*$Y0(G==u5YFPCrY?X5Sc$(wdjzq|u?3@_O(j{Ll z9ABn$T)SByxGc1cyXkA0lE!?+8xI7Ux{eFvm`q>r=_X5ej90){tEQu20wMKN5;_GP zeRp#nj?7YXd>hGf`i&*@WTx*ARx0mY%<*bEXPCw01rLw1XvaEVsIWY!#V;%p>%HL? zTesobN3(_3OjOa>$$l_OURk47<)GDap((b_346OaPW|sg>>x?1e|6$TX49$vsu3xh zXIs{N&1LC+>lg5MF^jj1W5DOrEZ(7<S@9kl&V;g*P8ZVouHg80IY-zJe#gT%gm}_H z7kA$4O_<v6qY$x)iDSBqZOto>x85vA{naBLA7@cruM)A^Ip9}aQ|UYvjo(5Ct7exO zto@X)cq1XasY_n%#tVa{Q>sm_e#$F;DK{Nmr?8^V_@K~tXt6xIewNjws)-A}sclb) zI<3O->YdYuJMA2=<h?c=66ciCQ;2xk&eA)ZW%V7GfGzGDZpm|Y?RVI4Z1wac3)St4 z5!vZYmwpSbnLHnM0?45&6YteDeX>`$@t~-w%UmdDOV@%=@*HB7eG9U_g!yD|T+0Ez zxwDodt8P-l%Eug8=anO##Wd}jE~s-|ZN>gc39a{`Cq}lP_mS1i-NJJ@YY*!|t@kP# zyTlJ>%~#NfQ;B%|8b0=aU3ksrrUh@3Szh;YoZA1&j3>Q$CX48O#|;<fu}ro1+i>C{ zv{4tn->g)nOw92s+d-l0LRa?8O<3v9k+ohm!tJ!-+Fi4Sb-tH1{i+sNGeJ3{Lgk=W zyefF;-(Fdx%Jg8?c0rN)j)dO*&`_H^@wVaGt!By^dzBAb?G~P5+mWzWnd4M_YeKV@ z<I(v-IVGZwPop`-B7FnC>a%q3^$Ga<HP&zTjm8COvl3P^b7sl=Z8)O|by&Ioe5*;n zIUWCMbB66`UGVTPi#9K()P9!@r(TC8M7eHPk9fP6<tV>G#N*8@s{U#buT7dRl{S^G zQ_$GmlCZZLvJeDRHCnb5oIHB{USnqN6b0~dkWDP1_Rbq_c(PpG@4Dd#D~oBp>xL^y zO}A{DQs*hG_|0^%Xnkbo!z=gO5?afJP44t3EcF*Qv1?42dI~xLcJVXl-g?h~8o`57 z<$_aUoHtx6W?7nkr!#ZyW>LqRA_uE}3rzVha**w~V8|b}gKp1-LjJKHRO04bb<cCd zjfMrk>^Z01sJQwIdd%j}XP$x$OVfm}-0MyNUA)uHa=Ny8!9PnD?LTuCJp9U{eb@2A z55uO=e~tkqhj&*@-D=_ZaW2QR1s@&7iYC~!v|47!o^|581S%oVMNU@QVaX~GGo}3v zc+!0Lv{soXyFpV3f?`Dhf2_HS4KCf?p<$`9zY{dik)+6em4AZ)`$o{z`(JLIGmeYS zfbJ$uPvi>%&6<A$9nJvWT4Dz}TH@a^C%#`3<?_zSh}^T#Y--PDY~jCtu;BR0ek+Z= z^IK(l_>&U(wC00OLI{qW(R}NB#0keg;G4`rrx=3vPGp;MTYA`o4qS{&Q)Itse&_J% zvJ1BxTV<ZyKYmDthc88u{U{T6v4K@<tIU-Brw_@v=*<yXTWaiIH}ex$3%|LB(~5tf zqdAkjLAP%+f{w8i;kL~9H@nr+<LJAip{E?Ht3mS}(xCYc&~6yewd%h>m09ci4HKFZ z=Yno1ZEKb3nZCh*ed_Os6OLazL2Vp;u_A%{U+nJ8vCPOZhxqLM7006MEBmc7QbC7q z8i+kxP%Ol4d7^H1tL2MW&|C+o&BE3Vn(F|ahVg}k+ft)Haz^tBweOF$o-Wv>%UvvR zyR}v3%J=j{J|R#m;aMl>tS3fp%Z&Nw56Ps=0!`O|mx%1@US{`7p&WG7#ClMJ4191$ z4|~DXXA8ENa$8C)2eoiOt%O<WiF{edH&1B(Q6u(jL7^PCr3dJ|lPzMPlQuysEQ~=L zc0kqVy<_~3o;^|6ugYC)FvAw&BmV1-h3{APTS=S+-DaK)ax3Tt`?VVl*f*XAwHZNo zs&52sFp&o(fb*cOFm0;~r#@5I%*t)~;vFan7=g~Q<lrt2uxM+Q;Q<}_v2-)&UU(s~ zXA6q%LZ(mT*EK`-me`(h;(Mel_H037ZOl>`mGn&p>}w-Ib8_mSvqfgK%B1vzCOT5Z ziYC~DTViLN__{#HTP+5i!t%!ebUFNKC%#qEHZ8M_*<b%ZkpJrVe}>g6LBByAe8d*d zL;>Y4mZMV77IYkp>fD+nurZNONou3hhDCychZNjgK&u}oF5qEwX;N|%<m`}0?b@0o zFfl^#uuR*fDMl<BCQFS(4k0aeT(kT8@4D>d*>`uwrM&geJ-rsP#j|s7nrgSpwaDyM z>gs_E(`FbqUc0q<y@(=9>nwI3S<kcTD{7Sv8gX;Jiu2fTXDZ8Aap5%=)H8N8B`lrJ zA(dZseYG^lzmnBC{sARs2eW<)irCLd=oRMh3gf)Ct6{+xQI^*_kV(%!OHbW1EM2mF z&VoPOEYe5b9owRn=e^<LI+m&56(gP)G_5)=s8c58`1Lc#v>kI6q_rokyv>=VuN;xa z>v-4q-NAxsw`VQ*r_&_*4R+V_b6;7_sT@-Cy*8ZM$>OT79PyT|>5(_bwFs|(BGZFW z()YVFr}_!3F`f)Q=$cPaqu%5o8#jm6Jl_q0lNWp{W$FF`-PE_v&ZJajTi1eLZQm24 zyw51C*vWk`s9NaCIboe*5y!j19MeE0wl`<k4`au}(VSsb4GTVcHH9wvo^0W|T_xhJ zEz8mG3K5Sd3v)~Z9m@1%Vz7JEeHD#bwS!jE1*YT*uPNtt{P~;HtYX%JM^(%|*^6~K zwD!+Vn0S|SRb5lUMkU9u`2sqHY>sE=3+t5fI=-FFIc+EC%x=&Ez;_w1Jl>V^Cq#WU zSC4oY%fef(47t8a0epRxzk0-4$ACXsO{V|+0zTz6ZJno}v6kI%PN|u)#%|?<RmB{q zo^zPN&SV1LUX`Kf_;kLYPLZhN)%}7xW$KP!k8@1xuxZJ>S|fZg$XejaJl_px{;`zu zt4F+B&GL0Mi}s%>3m%HHX#btM;G-<dY8xwPtLe+pmC9Sq?aq9Bd|PnML^X|_`Uj)h z1*h1}OPG6^<J3I=4fme1JpJ#q;ow^q(RLQ;wTdhLv@Ml5r*vBYd|*>G<iMum&}w$} z{h3yiRtaC(*OM?)n)8*OV#GVareCW$!z%tN&j>rra?tC&a)!<H1km+Wwr$`8E$!PA zdgFzs?3tIaR?hKdJLk1X&>{!WD!a19sh~T}IZlC&ZL;MAAKSE;Lo1v^%(8pItMwdW zyQeMqwVz{J#e@aVHnVu=8XPIe%J<yh)3xB89Lwq2t_A-lvS`<U7GZ)1PKsZ7yqwO` zdz)qTpUDeeG$d?I=jd9$U*>Yu<FzcR<!TYx<xQ8^h1UeDXjB^=tV$P{@}Kn}+jW7E zKkNtHz6*uabtOzJm9H(`k#G{^I8!@KrVTgzSgzXpY&hb`V!Gd9!xc}Kt^Vo}&yt#U zg$wIkS6xwWevr#w$m9xFT<S|*mfmueh^NjhuKX$yZ$GmfJ<XE+2edZCnWJpg6W3t( zsBpn4`#KZmT63PV^V<;j#L#E;pV^#dHyRf_V&^owH6OAZWa5HX-#Nv0PgqdZozQud z#q_`XhAUi6xAcW{Hotsa16uL>F3(((X~P|Mj#ux!H#jvec=(Y;yS9J9$Db^#|MV?* zq11HspLalw<w2?c>Kc;OOy${Yb2-4*ncrhc<yVb(AJO#bzUqwyc8woY*#+K&9KG)+ zD|y!=;HN!Hw~q3PUp5DmX4`aThTiW==!|4B-OS>BR{4bEZwb(5KP_&{7xNAul6g`G z+UE)CQMJ~C&UrcvI`sIA6W=lE-VLS20hPy>aLj9F;+Wsu{NfB~pI>cHtIQG5k;+o> ziHhteSwW{C>xdOi$lGMVJ~tb5q%i2r?C%QPmM82%Rk2wGSGXqo(e0ZJ*cXd{PNSLv zy39QCgyYxKpzgZ}=mbkc(D6wnL0hinrG8q^x!&<dL9<fJv-zOPtW=xZG6U2NKjS4< zbRqVCX3SE{6MK7GWxkYymR8CqDzYDL1`Rn(2c2V?BKB-SP_iQXMem3i&9~%1$32OG zDmZy=OAouVhh(-~t7S7+XMd>+y8p@#T5m4r+cXn&UAXKKC%#Ydpq??fx7i;tqxmA} z7^**@{$!CcsJnUikjxP`ohJ+Ov_ZAmM$n>9(3y}PZ;v_g-D2Nlz&=ws;)LV&GoWAv z9iIidOT6_ye2(5=PbTQLaL{e-A0O+SaZH_?UO2T#;BHT=<qB2MqD4OL;tLiHtuiXl zHyg086^6~_@0`#old?V~k*^BWOxSn+kPJ`Qh6&A&ymihT%((o#Vmsfd+nWv8C*Fkm zXb0b_-@dh19N&P}d)R}PeuhMzaQw_9_DrFa6?Xh}IjHkqB=$_<I}^9%i{oJrwaz*w zf==eXGqu%H<LzN5zGLm14A`fF&cgg+0y@W14s?#?xkEB0Rv>%83q(}%L0e6)8G(+! zK72@~#7q*jDs;CgckzYUN1gaSRfE=XRO&og5RsI~=LWhl{uAiT>L*5GMFF6z&^vTN zcdvtv?@Th~w#>-eBW0e>7sLs=(fs5gnUrVnW5!;{fsPs5xj6EKV?86N*)Y3RhG#Zt z5fA9b_<g;tmMd&P2XwCnMSR<NkSpw(TP-u*90G6jti5cn&-}@EA#Vj^>W%6fw^C(~ z7yhYv-j;52#&A22uJC7<nVs9jQq^Wkg6{Q9yBGz!*Yk*u^5r8s%HFQV%BPPQDW6sO z+^|-4rewD6#WM!{6W6*dznuH!ckJGupTMVF*8YF+@80*XG3T8>H9SvuyS6Xub9uyf z<w@Zxlm5&HkLRzA)VA+r3aH{dXq7HJWp7Ku-fYfO_nkHz+{C%%THS)L`O*$QwjFI> zKCLHAFr;r?-2S-<ovzP>L+(ya*!Y)omAotXy!>=Qol--`x7i%iGKH_idTqGl%<^@< zVnpII?i+L3iaEn>&0SE~mM~RWO@JqokwrLoi^bL@Wo(WgyE(4yn6==^S(fa(-T_sr z2d$KwHW|kq$y;mQzTnR~7HJ)o6%`T(-P8p_?0OR>a&xXS;}F~3x!{*Ai}#ut4hByI z4k?<?pBFXhlGK~9w>Mc%zi|pEXFOPB+4SqU@R|ZG$HSjF!*(?-_}I&``i^TriRHm8 ze<6|nJuPP=ld~ZU_~ad5I&)l$G-*sY!KENm^li#Y)xBDdKl2513YZ;_#tY_rQE+@( zFQBujZNaN)6C+>OZD*4|lVmLz;xDXIXyAC3UqGkS$nouGj%ho47QFk)a{BM21^<{? zw0YD8cr05I^rhC#iwe4x#QY}gu`Fj;rLyD2W{$9Gd&iHHIj;SXaXe{tFzUX#My>Th ztM9^7YI_p)KIb@<ua<L;jkBpMU*X0Jho)2Sl_Q=U;bd_RV|X}W_58U}Lc6Cd`1PG* z+K%1@Y5fT+-8r-Dy*HduYrDH@x9!2A_3A6?84hy2SIyYblCYG^@v%2Y*iSvji_x56 zzjYlyx^rCnah|0~v0Z@Aa_2>!H`k&*b9yc3xOQ{?f;SggUhAr9{FiLv)l*yXL$;~+ z7R%In=M5(s7QEWeDK>knqS^9aWh~xr90NYDW$~8v4A||l;mk~7j<z)nhZM{8XGKi{ zT~jcdGwg?{<Kd|++JCzjeC(NdV{Pao7T0p+h_|{dNBPww9v@~=^;eI0?bLKBTyRaX zu;Wd=gH_rbr~Xe)U_KkQe`mr8#TIA1JZnv+4HxxTrr!72aAF}#sJ+XEh?xt1P3M@l zW72|W{T$PF%?7WXoTs!xy7QAp@oj-C_h%-wnhToPv?MI$7c#MHO_-|hry#M3i6d>* zi>JZfS-MS+%7xZ^bZ?sEFR*57+k!7)(uKe0&gD4u&U?eXgDg+ES)}Lq1bpOXk&bl> z_}R|VeaA82D?dxOtzW=j)+W^?>CbBH0(?7vt^##wzbUS$6*y>gT=0r*XTr|&!dKP{ zgU9=~3$OW7-E^#9aLp$h$BSpp9|m44Q_$FFeo!j1Y0`0_HKj_9FN-;^?JQw>=*y=t zW96pnzPc~(x&{0zXOXt?3Mlm1a8Ye`(sr%=lM*KG<yf_^IbmZm$Ex}{37y3pS#?tr zR;oF^jpm%Tvwy)mWtP)_r!V;DHaoF6tl}snPAg~3iVCW-c6_{;Gwi2?<HgOKVZXT? zKW1}Y`yuIgGL<Epm&0qlQbhW-XE)Yv`pj|dcJqQiw>iu#CM|g6&S_>fc|lQc!o+NF zHf?cU_pc<&Ge^_$>wW>9k35cNdAA?P(>0yG;9DNc=@gDva()}`xO2Rk@3-O5bWW)_ z*A18aIi$)t!+sh&UKBi-mHl7f&;NQ?(fQ6Bj%9;$L&1rYt-;<=?Lt%R8WZLkIo{;v zc($M%wBr5$4A83lvrc?n^`J5tv|{iRTXfR0X9`BMTV+Bf>zr}SVdS=4vA@05(nIFF z6W=V*CWau;vCQ8<S5Q7X<|%l`kX7KGA^TnH<#MkSuBR)q|5cBe(R|1ov}o~am`x7* z((9np7x#ho4&2pwqOhx@)pA8`SF6mC)jDS!AI}CIXu!-}d|_8}tIQJlltezO{>>Ab z-+-13>Tfgk^W*!pJ}r@t?YB?YgGW&e5091x)Lg&fEZftUtjPWpH0nMPG^G3$w2tyk zl~_>#=$6e+_J|XXpWlPJ#-OSse;;q(C7G166h-#Cppor6pkpKcM~FRJ5RjzEez+La zX1EUOaaxHzTkxqHG$PC@5EsLK@i%Bh_?x*{kwAT4t7S%xm9)Di`$-niK8NmBnI-%n z*B;+6q4^ES%l9ln=UJGFJxjO%8giHqYUO}N1Aea8Ipg>wQmiQ8ZbkH*IhGxuC6uo~ zmxu_l!##E34CqwmEKX2I9&~84UZNuVUC=tiJGweg7J$z)umK&^464(DO8EMM_>O%B z_2B1$Le!qSIKUbdqT-+<A~r{yaQu`c_H02ZFX(#D$QjM&F2TKYvwfM|s|DYAxGhhd z?|H0o_F#e@x249rbBAPlK;7^f7VcsJP0+#8lAsou5@<qY7HC2RG=%eglL7n6sX9*< z*n+OiFn;#v$&&@vpzSShPdV}Z0v+0LC~>mVjvZnS6@{`q{xhmpG+)XDEm;Ir(wQ43 zG{5i#tpk+<?QuAGNXBGdnj*VrZu?>r_O&-bJ$P>J;tQa2R5w|Ic8%C@Tb`H?I?M80 zx+42g&??M}y`Zfv;-DV99qiok1;3tLah5%z^toV4QNVqWQ^B(+zd?tCID>8?73417 z@UDCR%j>75be}PU7ChF!n8PZ2e1DzY>C9Y{)x7mF-09Dp-8d!Z7J$xi2=X`)$S$dB z<JNcO*1ET+F1khKuDF`A&bx40b-?LX?ejYtwKvDSbULkDwxqjvUZ}L6m;T-@KmXdS zH?Mv1&$8lU<^KEn^Y-`co_%NYpC2=?$1k@v`MvE-TACW~@3YcrX?@miyc^!$c=`GO z<LqZ<;&;~uZoV#k;pW%Yn{f@-&$BN$+{JtST%3*ha!tri-MzN%JPs}mqOLDCI9_g? z@%4MmeBbVH<_n2WpXsMTb|6<<7o%JVFB>t@_q6%iIjkiSTTL70X*NW%Z+LWA9j++* zZ6DuEzy3PQ*<tGIPc8fU4Ypf%lRn5Uhh;Gr67Lq4oY?X?%6|5>^g7kqV*5E@ThH6U zd*xG3vC5idSf$*Wzg=y%*n575_Nlv>p&Qumb;Eb7f_HiQMb7p;&CkBz@Tx-C&fzbm zo0q!4ymf63Xrpdy{CVi+;e`xmpywz&IEJ{OUfs3b$NG7l^6YE#IUL$W^;suC{Pp(e z{WB<AhwBgi%88l++8leX4SI?Fy_={m(%Wk}ds;k~Lwl(D-ATTTXRI1T<+mY%XRf%c z(cHREw{LFw6~$n+ChpH%=ziWOix3;ny%#$#o4eXP?eec@pl$H;Zf}CR=QP|PX%kta zx9e|A@$G)kzTohw&w1w|yTQM&L*44V<y-a5EqlxvWW#i2Qy@{=j<hQ{N>0{j?!6*+ zv$b+u4((T`-=7I_^87X6^9B-J1+CWXwz5jOwe#`1oG5##20m@Oz0eK4_x5Rmq7<5Z z6fgg)wLh`!XfP;re)2<i7w_#w4V|{=&6z)Q7_8Pr#X`5Zw?~5`9kla0`>w34(cFKp z?&U=NRc4S4yI&Fy-Cq330lwM!Ztg|J%jYiJpIY{HKl6peqq+9yAU@w-4&UqyPCfPS zzCNBQ*&tqAZ^Zp#!>4x)zgn0;XL?lRhr<nfyo}jUTDHz$_O$%l^L$t5LidCJDDVd< zY4F*(;BZv+V&`R-r`J#OU42>p)UvOAumoMC0P;N~K{tck#A$zi+1F~2oAP1F^&2<b z`{2#h;_pr@b5&=M4SUB6P4m_3!5iw~Cj~@(0-XyY)WElzj}4j%4_8{Jdv{7SbTDXl zT}WK}6SUd;cil|i)%~Ee9mJUoUNfYzMtnS}*`EeGk>Q%HDQIv0|BX3O^=b^VVQ2nW zodu`+J+)yVpMgzkUgo^)Z@2vUWk<Jy;_Tl#NJ^}De+Qlt!N*^O|IwR0EuPn*J#@L< zL`d?Gk3*ak@cIxai*4S$IrA&%(1zM;@1b!&*&Ci(U&o2b8oiDA9%8oEoOQwBE`FQ2 z5QFl|;cCDs`u>OGIZ=0n8~C*KYt$h7#_#R60tGZUnH64EynON3-kV!$3>aj?V!thi zIQd6`E8JIWr-H)g?e9&QUp*MC*1YS3xn?UoDoU?|@_Y3EIliyenJy$AeLn9jGzsOO z6=W6=1f}|%3neGE?60ji)BOfIx?pPkNr;l~?@qv#fHuQ#`Rrh(`x<mq$-4w-Vy%cr zIOaDf@u>fw<-59`b;03R(XXKnPMHDQ;2-h*;fo2G>3_E8MBToh&L|spN77+_0H~M} z&9_H8tK`DNoG2ZONxr9l!xGrN%V1v_J#B)VTd?;>jhXKKI+NL9{Oqvg^BkPPK&9%n zTk^6-bAQ=y&iwk0p(NsO1gy-ooP%(VhuG$Gp8Bbme>F3{*zkxSnk6cJPlcxnHsAJn zne`t}-`ui81C*BeVQE=?j}g+*A)s><=Kh_Z6IG|fAR8tNJCC8AJN_)JP+hZC!z#rp z_q@z+*T41qoLAb3Ht?;E=Y*Q4?%g+AS2c-2iD`|7Q+w(84!)UX)2htY+RHcaY5UEY zoF;o<4TJVQJ9T*aaJ;N|`QOvy>6ep28LZaCn7|Hwv9^aR0VkoU>S>oh9b+hoC_N?( zO&^E5;VCgYR!G)pZk)0H?6CX14((T;pMe&x{_Eh8zh<|NRm!c%zt3`_?u&v-#VrcZ z<hoA_ZWq`&zWFDY9c5;GvEkFC?XXJqI%=lBwZmZcw8fxAloJR`M9zprWC}{r(<X1u z{93_awPxR|DX>IT3s-ZO7gPdmySyp$uMdOOnjQP5Li1NX;_L=+icT&x)8%7XaQKwz zd+3QL-?yP21$3*l%1pPPZNcGHyx!1y=kQx_Dg(vB);cL!qq*-Y)6Le#fx>3?dFUBW z{$<ZjY^?wG_y6nt|0W!hK|S{SMbXMD-r5fuZYN!46jpJ0TO(nzXa;K!hg-%OHnWu~ zi?3-+IMKmvGVws$Y4)aLUS_)_T00-9HW-~S+v4@AA%xdMw@f)Y=kiCVV2_Iv^Dd^| zuk8D&dT#nzyWfB6-dn2AT>G}}$BZpMX8!)NHUE!?w9e976HINEW=*_#DBC`M62ra7 zzbAx#99i_YO^`u3IVbMV>mc?8TYuaRT4KEnx^(uQxalOdx&VfWtM-Eq4K=#~I_dd% zLW%tS_3I;wpNLoW|BD2jc{?SI0dxzxe2o`$`L?oohRRu+Ma`jK?}LsE&)x&OomS4x zAgAbQ*k1R&k)UlM(B;s{i_0L}ERN+}_Lx=g#J)Oe_f-yum0SOV*0>)}D48$qW{^{M z)@-l)>n6|v&q|QB__yOPL3cY`F*lv$Hvi(mRp;tJC;Q$N-gY|NmZ2@#VAu6&pyR^# zJP)txKbHz}EbM61eCSSzi1*b}p2GSs5<>rrg7h{|o__(l@Vm~dGa=&h9oee>f5(ul zW#66&UE%)3v3JSL`WFeIwtu6x@j9$Dy#`u6YbbGddAlIb>^&bm_qzXmg``tD8n&SM z+YYx&fA*JdShe+!=3e%OpzQsiJFG#+^P2Z0+<5cU|FvzDIq3Xj=<b($|Mo${XxY4L z9#XN}g4tGEEr(pY{;nOmLU`-vFQU;u&b)sT`Th>_^6hu9gS%hbuAgY~aohdV38D3| z;JeeMYhoZr&)U^(+?RAVd)~zKz5ADf)?jBITX2Oz=XvvS*iMNe2j$GPubYh`LhIwf zdb4|0L+(0;`1Yk`<+ax+cOBnY2~9{F4@-G|PJcV;;Hqnt;5{&F|3;dEuU-Fr$Dk>3 zbNQQT>3hEhAn!)8hlTN~s=7?RdA^I!GIfN^?FQ|ZxC_~(Byl(U`b+I?AJ*Dd^`G0u zgmg`^96V}$i>vxOxw#aAz8(kZY+etIpX2%0!q-&(pZ`_v?_5x#fTds=*lqxix2$`Q zpGjpESovyxurfoN={xAwCVzfq3A3Lc;`X}N`XlYo;H?gX9yQ)Oci}{n{TmsSLSKV+ zg+%;@?F!kM$Z|Ms`}@n)TYiD8gr>vo{&&`YXSgtt!6oO_Un$SU;o=TUUfo&a#=!IX zUjQU(;;J3uH@4oFyHH&c2U=?myCr%1wd;`WB-eg#aJzH_w0!#)c=<M4EHrR`EdJGH z`uyLr?=NoOPZei}t{AT=XoIFDH@%A<vv%$U-Pvvp+7xmObjPv<+v49}44M)r*VlT! z4~#Dic+UtsNqb`)G=nFHXQ-Ub*KF{(S_L{9`dVEW#Hn`R)N9ZG(l%=OzBS(&;HkF* zYU47y^%G61;u&35om&jD@!cNC67{{?!s9ox+OPTkVov0N|NrWF7@_-v%JnZo@_5Ah zwG&M~PT&jsS`I2xr1va=>^qRRU$H*o^V$EP)%M~7-(kz*YYJMSR=VX~^q6&XS##)D zdyvL#8Q5kAP)t_d%C74F^%E&V%*|ja?@eCslAm*}BCg(A4LaJ~w#Wr~(0Fa0#K8?W z%jK_DZ_xuq2rPL1io&)doy}0`eFrLz96?3O-KpzeL#{nozgA0-Cpu$EYUW4g59g+W ztd)S2WxKaSx6Q2iuH3t%b01&W*M5+5rPr*SR+jjMK>}1Z)K!5Bq{ZvLzsN`48Mgy= zgSv8mrpnnptC~Z<CU4G>ZV1Yr1KREYDnLO6@2APfU)a974hke#yzGD-jh<}VyQDH& ztvPgUC?tc{u7gAj#IK#nPb2g1MlqacQ<!9^Vajm2^7nDrwvH-4<;;?!g`n+XkWFf( z`XP|*71#AHh37ovRzI=%-p?vf=7t^@zPle9#B07Ua=Ub7<>}N7tLn?ZWr}pnI%u@s zU$8#n^J?`|oA2%4j$9tigGDP}rpnnlJDu28zmnHzI0(A7eKoWkynL9W&DU?Q>%6bO z{J9?7WN?9A+x`YtUEB!lUGnp5{?vo3uDL?J+l%bo{54b3_nJl{dsiRkU8}sSmt<^a zyPel>@VI&tbjic(d(fn~KKEL9&cog6FKw;-LC2KCGV1pUP&ZfQ+ef}PEX)L5XC4Yl z{s(2Vz!!ag|7iVHH2dEdP*t-IRAE4`FyQZl9ju;`>-*lYIF-?5)vv7}oyTmUI$tKT z99G*tZ`t=1@3j@)!Lw@NAM4beJm8xMZdgirex41w!oUnvVBh)<B0>AG+5~xK*Jf?K zxA<!jQc?DH^0gPX3?(WIg0p`uaJ%&4E33dtt8(yG6n?1AZ2QaMIS>2aU#c#N1D&)F z^Jw|oDCo5bd;2q0eD6dtOk7ppFU4q(vjKF@IVcJL^R7KQ>-Y7Sw!e;FM=p~3Ktc5K zf9*eRr+3S5f>wsq{&)@A`cb*}Xvraundb`6JT&-TeCMsgT9w6<!zS&Vui~xl8|0mo z7agRwv{Y$wl1I8%RLLgcOw~1)J+)6xGFdY1&Ks4fHNWfDzux)%=i~BquM2JM?-zqk z#W)}T?#s^C$Krlnp0WMu|9@SgqTNsb<%@P7y;gPd%6j%dj<6j~;48x3sbu_NIH=_> zU{X6VVQcTRy?^St|3@Ab7g{q>RbyvM!rI47FV+a35pw);@k^$$yOp@mlvuY7_k3BN zh709vn6=>1jD*GF99sE1ESGIP$<(x}T1cnN!0~G`$25zn3!eRC@iyhmy6>^!j4VrO zxS-DW2!@A~M4h%g`8R8(a_iUU$x*z)EZT4VF8pw43hh(MsNy=9^;}3~|D1&0*PLGK z6e6-60)7QHmCjSwuukXZN#FaE<*B$}P631CQDqLZC@F^>PdFB-PR}-WSDP*vvbQf` z<897W_uV%fQD!lnuNslHS7cdewcJ4?$);Vug>}j;9RE({472E7@Ng-Mc5U;5!s!W9 z=L?(E&Pdo=&my1~sp#U}w|=+kvaQEnb9lY;*>Fvo(@WlMgWt>rZ)UQ*j&%z7C)LE8 zr@G>YaZ|6H(uzOjO}^LS8XkuD3h8W9cKm8Cpi`*fc=o%XPN^Hi!%4~=)9(Cxb7tb1 zt1PAYiV^Gl1O7WUiT-oD5D=XhrM-9Bf{$e^tM|-XklC29^}CSBdZ9HRC7ULN3$FQ^ z+jOa1c+F?&rcM5WYs7E=diwB?s&-4p$)CPWN-WYj-T{U3O}%`Ipk;!-e(EcJWi}mM zr?TRnV#Fi8rdj*dB3^km-TJQ<k@mQCM|7#Ukk0lQ3;qc;iF&c{`YT7g3}$Tc?o+t4 z^4RILzL0&c7fMtQX6;u3ANTxUNn_>>w#!l3+D(_L1=kcCINnU=yk<Rh!5>x@X%kMZ zy2%NPtvR&*PfC~!I_P<F!baY0PrdmRql#)zFW@*RR4s7jjId6riQ`*-L7ieb$GfLF zr|oWB@Q<rWw9fIuhrp((%UF7!FR7e5RhMIyo)UN-sb3}HaWiB^C^w^vzGX|na<A>_ zeI<G78rAj(tNI0|)b}Mcf8BO?i&mcBhQPK3pJsEKS<YGTik(BudiH`}&pD^<n6}{A zcg|_MrZ4!`kuWoz<JG#|wr!j5++lzO+uynAeIoZ<E_^U(ntIRw!VjOOP$?GQ^@<U1 zt67e|SB!Z4okexNTEuHn>x8JK=E~sXAS|1{@~LXn3ms%j7YO+ybI>iET|m#WDdXhR z_vd70e&Jm8uP<REr(@}D!OKxe!A-Mn3+rr^cYIqepi`{mcvtISk+|@c{ap#I?*&Zu z%uZN(U&y4cD`D!^vO@*4@|-qASv3@#<Wh_(s<}T?xp%wp6r09`wf#a<_H`u8)#f~P z&u7EESeB>lEYdcf0UzyIq;vNeAJ@-!-f%LhX;r>*#G8tySMrJxi~IsUYqNOk@+-s? zv0R*V+kTeiq{@Z`?;f+9-s=%yzma>{)<f4=c)u$}yj;uD+pik&^e~IBzCy&?(~t{u z-NEas>eV#<G99#%PBECXiJRlvZDpv_{w<iP+`O1mD~!X;s(-<!=^SP|8yCFd=M;-} z4fyNN;=M+3#m`l-hHIAwv1~0@j(FzKw98#cr<}|2Z!t&M4<*OLW(U3OIUr6utkm@J zukrnYfS=0TmNV>*gRbP>$ss)%vOsX7Hn*jR+<`+fTe?7F_ETCdU(7k{#J34_$oF2* znvZ{GpzA;NL3gBq&U~^x?8JBK<K2SdX9-5rTV+DZ6BGH8ezUv&C=hk};wO9MzU}8g z_Rh(mH3^{UrdL5?MHl{AaTiZ`xoJZ4JJ8V_?-Ip|F4WIzwbWR%*?L_NU)$`B2JB1c zMw~ckar}@>$i1UZe9P29S9<wxn9%$~Rp*T3<808Rk~Vkog<qKgE&SJ=I^@<he{nXJ zFTF4mG+T448g!_3ey~{4g!>>jzB%s1*Y!Rnk<U$i(}d<v$0KJnp9HP;xRsR1mjt@7 z{g*#zOF^^FlLbG+#hxiFwJj_zx=_c<T|D7tU#m>X_tZqbqSgf*^6S7h{o^#2FAex1 z!EGrKcjS=Flzpe1_>P%_Hb2O3p3wYqLaXJ9zpbq@N0O^S>nt9FwwdhI1<fhV2F)oY zCh}Q<mSetosdL70{W&MTPvIL3*qcGC7c11ciw&w2G!C_AE4uJscl_Bov)^jQo)e(u zjH#73`I5LJPdM71J|v?uZNr4-XP^xvuRt3}_DyKDJfQ=+Gk$i&jOII_)id#D56Ore z(|MBcgNeI1;8uZQo*&;aZs?3sLX`k$MoCTMQ2T0uMeVN@>Rz33mo))R#GF*)F21k} zv`^!GLL#3P`0Q~*v1bdmx3$VVxqe?dJd=-2Q>-Z9&fHc@3E2})e5c$u8n90ejyU1? zHAd{2LYXDE<%;<8hh$Vht5%*hfX>a55_x!dE6AP&fB&3umrZ&026W~6yBg3{X&tRH zU-%Oe`MBISOlW=>B=&4UNU|dP<I^CQmg+o7sFLO`4%pq_Dsx0WF_BN|{H6)bPpW=D z0^L#zTFIIYTHFIVjlLSRzr@-S?xXr^XWV6Z^imbs_5U~BKhyu8$?xLxP*6n=KLG1` z+f3KAuBf(UCpU>jsx2!%b35R<%X5)ZsY+Gj!)H{C51%;_os>2)GRa%n`>1!f&g836 zPgFPVN!aF=)^<}!UotJq`%m5Z%1`$!Z=b82^Zfbl&vo^7^?%QOy8gyWd(*pco2^&> z|L=OT_1*W?pcAn6mZmj-Q{i$rx|`E1$~oX?WRq*!+1jV_T>As>&Pmv4$#V6%u+GMo z1!)r#RvL3=#XD^{W7M<@RAx_J@Gq-LblyCN>}RXFxfEpbERxNAvX@#oK7Pv?Hq$+z z#PDF&abXer=7ipEPOmuM4cFGPEZxoW+Qup1n`YBj6aK@R`#BG?ZRXTEr+P!fJ>X|V zlj|wylKF7`IaWfuyB7R9%i_I8X~j>2gF)GxS?)$Nt{F)SU%A_turrq9mA+EM!;Gf3 z<$`NU>>M9!bB1LKio|+uxaG`pbiPW&<EPN=nMI3#%Ix2&>-cgm=e0<WfNc&N?yY2b zdS3a)1FohnbAg;KO$$CTvvk`y27I+=={{O^ykHe**~?sxtasiUt^~4d^;e8|b_cp; zZui%f$~)x+uIz74XuU6JQZpxEX}z$?y#jup?5S%xy54zgh?=(GM^KZgonOEwnWnA# zyaIk%HI;%YD*1y|{en~Eg>yC>oVT7wTnD_Gf4grNL(Udv$ESNa#XuF-aY%&~&M_@R z=*l0?gF)YguGCFQnE9OZ)w=!S>y5sLHT}|T68)x<QK50rOI|gjM(m(g{5<gPj5i?{ zvq8)GeZW_ru(7DlSB}WOc5g=5OI?m@Go2xKoeNC)&vB3~T_|LYV9u7F1)usk#CCQs zcqPv%R^7JXSGHJ!g?A3<T6M)1@4S84uROGz1F8)V8mS9hv29M+sV{g1bi=#6kjWdN zHDB19j`<6&Ns9DY{bCu*>b;W}{K#X;mhlMqWYM(s6w6Y5rHJRNpy9T8yN~S4wMrWQ ztDAVQ35CSu%ll+6?&Z`{=M=j+b-^n}mTp<+fWN^k-Z2gVpJ%gp-*pM7Ry}A`+qBDH zNauTe(=X|_r!%?VgRU**R*3mj@O-86(!ZQi;v8W=RU9vB9n89~pi!rMP|99i<EO&G zD1U(|b)5-wZ`92QyP3-YKHRa6MOwx+;G-~$^jz<NpRZZEV_?TKZZ$4dDYJL{x?f1= zqmtv<>-tBwOuIE}!M8q^({G#u$~6xbsW$z3F0kf<X;a&E!8Koun~r@KT=Pl1X_3Cb zns2-Q8qRsd*<^YTdc%jG-VCctR&y47Imz;Rj&A_yj`vwCPwTxl9Nfzy`rl{6#m_8L z<9Bmhj!N-vTJ>HvB1gaJRb`W^pVEq-mQ73blvmX19yHnxJ*D){-t<=<@BCT5&R335 ztYTPpwSXIPLRxuKD4(K6mD0hi`KlUqng^xsD{9<Si+HWqbSazTT4mdUH)mwpHs4EQ zd3xV>gCle|aEwjGs}nC+noiAEjCdl{wCcWEM2@B7*LY!_jk6X!>quBB$(|Io)m$|q zt-5K~Zb6-L0mr|OIl_LJI3Dii2+I;M+1HvdH6H3Tnc9?B9&hDYj+P26+j?v^r`I{P zi0tsDOO{QgeTo{@RtKxZ1*ZHLJIH1(81hH;pj&Ozse1J_zYji2;@SRgd0%iqt?)sa zBioV{*}w8{GGOm?ovgG&gIi&b2K!ll|Jo~#;3{MtXhEZP<O#>`ZlGm?+@LCXLaU|5 zpWap(p7^9hKCgV68Pne;?BV4u4)6qBTj~Vb1EC1o=Q$O$&vRm{j0))T?5OLTCp3TI z0&RWx4`15<rr_0LzADfelYgYAJ<vLPFd-YXwS<AY*x*)stIU*s(0$j-LDz?a_Vz{0 z1ziLJszcs^E&{m^T9$AebaMqOXq(1E#T}NGFVsL49q7;~$O^$E_{E_QPlB&4v4P#O zx$n3W-y+b8)UBW;jrPY5$(Z~*>clrmTBd8Y3Hw@I(7H=@v1bc5i*s9^kUMrr=8IpF zBKuD+?qY)-J)oOFP9Ku#$pfuLxxc}HeWE+)iV9JO9|f`@|1G};vTywQd54$fiv6vv zmL5wYPB<3xgBD(DfR=fjI3$w-Is)>pH|W|5&?!~-LCaXnH&1AO2)fSWiw?J?#CaPY z^K?F~*`N>w-OO$_zg6Z4XroE*BT(ppj{rMqyxi{9f>KUyOAr0zL_Vw2pq00Nph|gG ztIQM7iXAp%zT=^%91TIsJhp*u*r?Pw<CtQ|ZMi}YbZg1E1V#3*-Jr8fuY!)x(&jFn z@M_b9=5NZN9jGfk1#M!$_Qc9It~O!c=^JsvvEGckIAF$UC%!gIv1bcPKrWpRy0KI* zMUnj?XpPFPv!E+rx>_wWY|c3GP0Eg((HxedndirMNqVyZ``ot?CmhSfK~4m3>jW+E zS?&uvzAC_`{?%eWxA099nv1$yEj!kvD6(G#oq&2{vd)tQ|BSecC){}95x1@R**4G$ zpLDUJ3vr;p<kESvpjwN&c!G6*tIQWrV&gIgwHiQ)4RqpY#Z&tqzJEX3YhE_42G#Vn zSHM@C|A~3EA$4M8(&Z!VLYsT0sijHI<j+V;xf$`&<vDZls}G5MrGD2oojCKs(8xO{ zGiBP0r7Ls|PoIcNniaTg(OTD;o~Om^Qq6w-Jy%)$w!ixMo^!u{TR-2m@BZ)o)iyRo zZcm=pZ_VBI|9<_%JxUWl{$HCi@r0ZH?9jLwE^B?&7cewAUA(b}cRuHVENdZ=`;!xT zn>oGCDM!2xX}a`WculanMm6)ns&b(z^$iKlTUkWsE8ocYyx3sQsoTOjo7f$%>KsgR z7YK=W*>I!_T+7~AIV&8rG2K6)TJ)gNci}6s&KvH`Sn%%*)3U9H%2;^Qh1ZlYI6mIV zvU-jWcwy#rL6Ll+HHGYsCx3HXt7=;CrK+ja%)07u!NEzO9T0D}O;%!fy_DnBd-n|o zWtzIUInAQn0)7fLxvo=QQ6+saX*K66evWAsZ3~|DBv(vb842!Rq{TPwY8Teo-mu_b zP?KmDcx%RnVBT;)S<Sm%7k<Pwh03XF?CML{>iaA?>gaa02+&e~W0vgNDGR=ELP~3; zrmyQ1HU2X<@$O;~{qL~h;$@bpZzFipC$5F<8`+oo$|FY}+_`#W(KIWyX{nydids|n zJyrLGuhe%XwD$HL-XfLfxgluMf{*uDR@cl}@S>*a>NypSI>v)i@zB!`)$?arUHZl8 z_|op6mA}xG+RlW%x_!@o{4^KN*&yzClwU9>L}5h@+d-w!rd9EZ5pUd@UfHWfJW_0$ zRj(NF%C+g%Mqz_Fsb!GOHhMdEKff~Z4m;<o^U4tqO`6)C3$OW-+H}lZV9g~(jb91} zv+C6}{@EOqTCbH9rCP2Kk<H(9iCu6_F^l6(A@G{a`p$&r*U*Coj>`MWO5XJk`03Bm zZQ~vAbt<>P+M_}&SMPgnIMT>sYVWw=iYCj}>B2h2mX3GT4i?#~tf-ei$W^bBv14As z((pMQ4^8e(OPE^j1KrblxBiufu7AKEl_t}3${LlL2cxbFPT4m%VeVCyRC)D?_mWMY zF1F6N#<rP5Yv0_2#kHJT^>Y#?3UjWS=e^;^QI@Ow9XGgCL-qmR`E&Hj#4E`xTdxc1 zY<}rEBkb;4&S}-sj(>R$a>*-aR4^U%dM;qHuOngVdSQ{htqEJ_3yajZB=ib8p6vBM zR&ecB*McwWSYE#|hVE(kwqd36-p3rL=DTh<*vcX*%_4o*C*Wr~OSg?rz}NRI-7iIa zR{wg<G3`d{f@jqn(@qIqndiUZj8M}qaRHt0rcJ-h1=oDI49*5IpElT+F8N~KbWC4x z&8J(gGWNTS4`#hr)2P!pDCI6ZCB|n%*wh7ILRntl@d)^q*Ys6RN#lQb6K|Z#iXXFt z+BRSGW0`uHrCY`b>awpZRw{#z`>1bA=+x%Ss%uYJxtk-)-ebd=2@BqRW;wn0SBTH* ze|#+3d%71qbY#(%^}Mjd1$@l+HO{c#W{w}NIj{Zr3OS<Oa%b5qkJph+muAmz%UnBI zL1VY|!76s4DgRXtvMm<~`6F`BZMtyCKe2;Kt2tJE1K)x7_dbjF85`NdD}TB*Ed}lB zoS!grC$xoL?mx?F(rSS#`==zd<_nqZnVYaQUeKg&PQuiBL6N&}czm+A=5ll`2W{?T z6f2rA=kOt!Eq*DA>@PukC!Z&Y6;0SXt=00y*2oi%|E7a>b#C+&yt9v0;NHIGNA}C@ zUL|aKnG`ccX3G5ohh$vJk`>vneg~amc{uWf<K7dXuI8o*&CkRmXEa{{^(*(awpyOJ zbJ~e-SN{eB_MP>hQ;(0OgZg8N=bZT3c5gCZU-}(%jAbHdJ?Ia3-Ewg=s1Eza3OdHJ z4b)zk-zuZB8?>P$QLJb}-K<v27a5%Eg7`LpPJgc2y<tN0pX$gN&5nJoG9vw{iF|IL zYuA5%2CcNr5-SS$D+jwcs{Z&P8I^gnL=GO_%5bRt^#V!F$699{v%JNMF5H{hYI)+# zStq_-pv?_ClR@>GA$M`W4;fI;{pcZ?DN&%+i{{+L0Y7!QEj#Q^9+J88@30f!qv$zD zcb8tM5C)xMc@%WHd7>iwOD50;#9H{JW#2Y~PMF?3sa56)XwxYV=p65(pf<u{MbMd` zpwLW82k+`s2JPyc+$wX0KP{0@2(+5@a|o!FV8?BlaUFDQ0WYXxQ|B(8P~Fff^W{3| z9=7L*1G@9-_|{#LnF6ZUAK#6f(d;#?RmKH$QbJZY==f4r?&1qOnn10u^hCZWP>20j z9jHCi)+&>7T<45q{<EMvb7Y=uPfp}x+YH)y$^g2DeR`|R6#ukDKBd!$295sgUn`m; zKn?}<{e?i$_)Hj71KV<2X5^RYt-B<XvMp7S{jLai@r2u;Iv9K?Xe($Xa8IkHM(iOc zzGEQQPrV&+!m-4ZyEs4^G-P9}bH*|G*d|_cahn)!&^_prHmkWe9g^7sN^LJ|K?_(P zf)3kkXtjKyo2tnEQwntO^+_kbF3^#ti>pEBmj;4P=k9Bj2{E_q-`~P#_NVdwmHz)s z3%<8}0Im6iA9AT)BCP7N*8V_fn9qaI4J)=JZs^wZNbqhk`xN?eLA2(}2G6A}w>ovU z-sp;M*%Ff3C0W4hcs%P7dmYcoF0TV?gmMlX5r}#F??bYSp`ZxcosQi3JNtG%PMhqz zZtuI_md{f*?JxVVugcTv)7Hn|blvNhluo;pa3U(y%YEPE-k8`WTixp_MV8Fe7rpR- zY1J;!>0Q|};Hlg<7M~7W4BunilW^k-_j@Zdodd6h{q5N#bQs)@Edb4Y_lClzd~Ph6 zxaGrDrjC%c;M<>XeTGiG`~=PD##e8@x486`(O!1=nR}`*jr$^%GvC~16<E2eA2feb zRUZhM80)uNzW&Ce*Y{U{e=#rAp~en=19a?K=#2T!+a{CL(n~k2s`uz+m~!iZDr82t z`g3aS(PREm>%PBOuch#g5jyemGhs4l`h?Trh}*Xem9u}G*jK;054s&W`-$kMi+l|a zC3@b?O=LN|%+EUdePDbP^4g2lP@QU4Ql8xVFCJWVtr&Ec=dJt<*cHd}pv4zIe}9!* z`xP`{37fdKhn)fTGCxD5_pc-S>RtM)1sj51@6BBbJy!GLWN((kV&|>H-(SoH-JuJc zcD%h9=1uu572ki(?5ltMWZsm^;FVjj3o;M4x6-XM;l__yp;i6=u7M`Rlpxcj?6S}) z)?S-s6HPwL%dS~<zhd4!W`~ug=b(XG4w}}=stb4@_#XNEyTSnI+_kWOri$*KcTAFN zvgXEsFHOHC4vHN^iM!81%Qp7@bE>=+U5$LI=6?^U-pl*1dq`P-RFYitYF;2%Z`E|@ zk^*=A>)|;cgI7O|e19(->Fm6F@bm9}uXeliWTp7&gwX$Uz-Q-~&45mnnw!7SHrw|a zbk94#*n!QAu)C2zOo2v@TiivDS(dMbB-gCc*MdxTo`ojDa|TU`!R6~Grtf_RIz$wD z6ZAccHP9gLe0)uAc60jWL#sdu_%vu4#M@}-MCs?l9BpUs{ZHKM{`w<m0R=2-|HD=Q zy)=(q_FZE476zqI@QHhC%-2GvXYa0Ef8&w)`U}-1^Ysu3vGP4^3jAzu?8@&mV&XxI zha!6!B+Pa|@1MSRXZ`vcKMueCBKP+&C^^BGUVImf)MEypj=9N4IdfSk!^BndKsnV^ zE&!5iz!CfFXVqT!zconnmAy-Sp_7in+NP7lwuw0`$$A^6%+R)VKWzCBC<TAJ4w|nA zFQ8DE1im(X&);wTFQGG?^DcNu-3A@=w;OaZ^0nU^A<3}zi(u_hF>$jOw!6IT^mbb` zK(8_1n+nU~yPcFXi}FDey3C+y*@OC5A=j9nRsSL?{r|VyUibQ!rB(b4(Bnhdwc*QY z*1BCf13Ccj)q7CtlR6JNBhyggt~F@#`foMph|8r*5i2MnU?oGRwCN-<K9DDEL942= z{|7>sUf6>c4xLc{BDdC<`^WwJ{fY{(sd_JHp7FiEYNE-t%LiAz69#4RDt)Mx?(tW` zb3UG({Z;PnM^IjaPCkEMcNMbq;>I0WDbLCMuM<N5OPVqTWHn!hrk}H4JR|QPyT8%3 z;d?k}Spj^332Z@^8()UXS(~-Zp<m~N&f_%g+yC;0UJvA)U$95_K2O{0UK`3d#R^t> z9xH>n)@H@TEd>$mtE2Q+2{r^}&)*XIp7B5d!{Xn6Kx>Ac=UuMeVurFrstKA~mhE3Y z(d646zOd5Z=xw|XE5G`ImhdDS?CQU!+LYKWULW=T;@u#mLhyY9ROhq0MH5Yat^zH- z*zfwDalsWfP=)~&pJzo?n-U+t&bwOuWjn~L(4x$)0#>Xj`)8?~)w!wH9QrkyD`5k} zl&Hrw&_X+1;^2mruggwsj=LX;w5H&(BHX8|CvMsB#Y}Qd)>X)IguQOak?Ly=T77}C z9AP;u{r%hQcIk#D=s?chi@=9@ew_s^7%pai5sm(H=Iy67<@>{s3#7>~y}y^cU3xNe z{`7;Z{`~~on_I9KlJ56bHt$P%T>f@i`riNGWm(Xb8|>#{>k*E;voK>nzij45rjC%W zQKn1@5y`Wmdcjrd^!Hb)uh?rh9F%c@uJT*F9#$d=zq?eevqrDM<LWMuKd;?e3Mo|P zgY)U@>MwF@<B_Y2f77ASv&{YbE8Ao1v>H6F?sWuRW4=2Y8cw_X<2N3C|Ne4y3FMx4 z=z-JoYGKQ=&h8CX&MZm>WmGd$ri2?0>Y$PHS^HO4uYFbjy~X#DmNNW&(DJtma;m1= zxoaM?ZU!+-T=j1b=m^k{x=@|qXmw`)BKOrBRFFXnPxE_Ku*=#zxlJaCZ4(ETN1z0_ zRUT9-B^&&j`2Xtf|LgxV)rxC%frdruZ@^YMsOY>jS?HEjcU5Ta%PG?)c}h&uoGUi> z+>|YUVuT)BoRc|jxWC+@eeRNJQ|7vOpZeqBebQxlsZwx~)k`1G)sy+={sb>}_<OuI z{`%vpx^1uj{;S)z|J{vi>G?91KbLQN`|baK;gi}zoqLm1yIssGZid{i6=F^3<Z(Q! z%rR}2cffC+rc^Qi1zRs_zZY`&p!Z5|zSX4NQy0`tPiS@Llv<}4aZ>9wJKz0Oo92Y6 zv7BA+yf@rRWI6g=U`?Q!#?Fp}wXZq6?mKNbSId$bF1Y5qj^j_>a|a8|Ze)RbugCS( zmiP6f35M8BNtpPUW7Ryj4L6*cUY+Kc7U3B1Ij(8xFP5$0!aAG#7QCDGyy(vzKbEiG z6(b%dG_|odP4!dE*wc_OH5)n>(Ra_LbV-@H<HxBi**4ArpIn=^CVe(od&yd84d?_6 zN0!%T)xh)9pE<Sk6mPsxYdR&{<SM7Q;+M(6q-@Sr`E_z_n~(5;$4PcPd3G<@J&0ZC zid|d6Od-d+l`N-YT?78RHG!|NQf!*~&*wsg&xTXk&yu5Dg(1WJj4atTa~3@5WXYcE z9Po>)sdN^!cbNWuhSjC&2@C$r=P;{iT<~c1`Quy6ZuKqrWX&OVbN+&?c?lbZIaba0 z-Ebt8#dN>phAVGbw#q9+JmYNIbzWFUJhlOHXwi1qNm0LjWi@Mi7JU53vbtvKf)}bR zt83>jsF<D58!s@WW=_J|{lZi3t?0^JyV=z7rY*;{(*jfeYaC=N=G3ZdO;~)CW$J#n z4JUG;ZVRusD^>X>;rLbXppbN2L*~^Q=7T}I1+Uzjo-p$;=c{vy5${g3d=(d5b3q}a zg8QJCy>iB$u7s)k1x2np@uY9PE-Z4tHKF&rk3vKd$HPgJcdk@k`<TOPzTbv(PEDJx z3$5ASwBV0Fr`ZePkU!c7-ClEO?SJRgCx3J1f>*ma#jINv{94WlTKJMSHDTpamaX|} z5zpp9CrNf|FYkNu&ne))Ym;c)ZjQ@YJCqN4Wi}mS7hLm6vuTmK@R~9^$B(Nyul?Y2 zJh_|WT2;$}FB1~xZe>Z`zJud%WIlV-r}s)X9vpy-MHGFU9PI9<+H~r<piYoF_&St# zDl7hFH>u83TJbZ#X{lLsPv%uW0iDg_j(3?kru}Ad{42~EW-)!iLs1s(+HUZ{AF$(6 z`s^%AmweN2dNez(Cv)vbwWdkcLTk3#JHA}ZdCjtMK~8JJ-qReX>L({O-{#c%*OjnX zokQ#Y<b;X7SrUF%b2-Jl1w;Nb929c*g@oGd`LnGiS#>Y?rpj{qjzhq9-wk*4IbYfP zZ8&7lA(dCcxoqntX-=v4jvG$>=IoO5+z>T!!H=0N*)cu=pQ@U+<|%8`+8?y)ht5RI zsm*)kk$>3RaP6nUCf+jT6+i5ndim5>{E2LGJ*TjuO7LLPY0g#k^AkGt9M9et*4f&& zV4L3If@w1y1Ioplp5F5guy@~ZC|ykeJSBJd^76hZdMX(;x(BuHD{EBAAI#dXtnp9h zpwz_EhHEE93$EE}?D#U0<+Y4cz_*B|uYRf;^^6DEyg9YDahUCDU+^iPQ!LUJHh@zf z?4A@IcktuueAS2~`KDR&iV>?ECNzIL2|62+5p>b{S<qD$r=9qIm2Wg)Z@mv1IR?#e z{GHw^)3betP_#MwRM1+07e1hgjYP2`f%%||n)aD!Hfg6awuGN@Ec$n)+bZKK=x8T3 zv1bd4)j@YkojD})1#~O;PtXNo&1csgT0Eus(Kpax4f<k50p~UuuulXHJbVT1cg>Ll zO^%;DB%_j-qR4($ezO7l%Jzs8j=w#{o+%j56FGP^6tn^M!rd)>?wNc=`Du#me{DgB z7`8{8IQSt$?Ad}ZtYSq5>p<sFfhGaAfCen;jyUl>0!{4n+Jh#?K?ibWPgbocDw=Sw zuhsGeXj0-^v(6dE_ZnhF6aL$PZaB||pUL@XX|VpQgc4@Zxs?-IEjw%uIPtAw7JH`f z&4$}@MShK`+)_)AoWo9hv+|P@`GS6LoY4GjB52m2LagY5?c`R=6IUZnIR38^d$!;M zvsjS<=%Or*zm2UjJ@b<j`Lx#WO8YyR8+s<^i)-LBIkR?ep3qzY8UvC!=)^Y(v^n>h zBxtG0j8@ARI_Zk+H=`qGG{;SEm3acX6r3$P;)LVHX`h^5B$za}%1kLwP2^Mh4cfs9 z8ez%-oeT-OM(fBT&=y#3g&ot1F6_yB<tn=*FHw>G?eB;g&1ba4iZ0YnYqdO)yMd=a zi0@Z4DE?KrEhWx@=CwelY<=7a8m%%BD-wu3aY*LMw2c#*e}HBc3iY`yJ-&gig#ld~ z7kB)SOv$!A)9&wJY!SciShoD+#03WIduu^gt|W*(O9)6%WIq}VI!c5|tSDe#TdQTq z9nd+T<)HC2(7xJ#Jlw?-Dkiqdm?U3+n4HBIWDS~y@ezBbP%OZ0`Qlt!B3}{cJd%H) zle#vf1hw4X!N_twh_B7uckhbkp!Qao9{IFHJ}rOHY*Cchvjy8KnfrtI9$9ZNVDH@< zdE(%&`VX^zeHA+W=fZbTO%FfqYO4!dXNLfbibB$cutN)21bakt1&&5Y9#U`<;p~!d zRXHI7y4~|&mx~LJv$w|5B~zR<mioFH`UtrgF7nW77IbA&aua0ie7@#u%6<Ot>%K;x zuMYcW_kQpEyiX0s+9polpLP4)hyC)P8IUL784x!8*<1T&xiD(PDn+E*K@Q(_3aH~f z$o5?@q^2ifv7zHrU%l$5m)YgdD0OmfN%OPY#l*oC`hA9#&}{F3zZ^}fekv;}%?<{M z3th<*(%C#~!MnXIr)`}A{#!PQn(dOgoV7#tpx16elY8?MrrJ1O6y^xC?q2Z2smW9f zT9r*+y{vD`J4KDX^AhH!bDnZre_+eC+il?EFU}~gsL(j*c3e2bt~p^MH^-_t&kYf? z7yOE4@wV{^_$=A9G%c?4;gx%{5@z0n)@9|!!R|%u!dLA35?U{FfKPKQYC5LP8Md=| z!3$@W)x8{D=ObD&*KQPbJQ>N7{nkC8O75W5aiJ-;Qxo=n<~(K2VFtO6ti@aJU(Kr% zFC3dr)hkE1bQ`W+wO&0UN4)9Pd$kB9_khpAEZ%dS0)E>xrRu4!*gYp<=X}8{?}gWV z2ybfB7h3aW*J_Km73oc(_h6?neOa(ld8@yWi2cljUM<Iy-W=C<^)2|4%ktXBA>bQl z)7Ll!jsLgQmTf)g$0B-}MOxM);HNN4_Z*jiuhJ~tu|5HRqncE&!D@^Bv#cgb30<k1 zmoW3}x?@{jz4O>`$C~q%yu*e=$2p~@afJP{a(vv)5w^2!!HcM-t970Me+rvS|G5Sf zT~?Ji7sc6hsaj}_aXIv&$Tt&%-K*4vru>&W$R;invS(Jp;;SrEt692jyaK+?XX(zZ z;awK`x0l8HjbFg$zbxLeegVH(no_k`K<gySMIHZ!vS|NF0=MR-+r)qd{P~-XU0gcj zn$~S05nsVI-|U(mwF|EK7}+!_U1&`yqvK0gme*T2Pu=s~aPKh7)Bk=O4xY&d-Cw0} zBg4$`>31QWq7y7ls-VN7uRgjm@y1J*tNWcd91&$P%~y$d<=1qJUr^_>iQ`?#(*|pw zK4STrF1Y4{TvOX;j<8=mj*o*m!+x4MUOdeaw!3jb#q@;U`_NFE?C&d^`O?Z~^_OWZ zuirQYl*KoFEn<0U@4MlkCX48P*9{k!vP@mC9`U5CY1Mw!h&P^1uO^DMZ9XE#VtU_c z!xcN0t^7(6&t|~dChGpOCu=7!_@~OEy@f;Sp4)~?`5aRAjvG$Zb9UwJoAdbkJ)eM@ z{3cU7mw-(U8?Je>EIqFp@jR(%(`k-t(e45NuCqw<z~+|z`^ZY>mf0=~{prin{l+!m zYcor?tZ%^IZWix3&H<bKzz2M1b57eie*tKv;@{Z|{w>osm?K)Ikg-GkpjTwmF?XRg zpIV_#TMRnHa-V-d4d+3r?Lt%b^n(xh?&rLA^9P&H>Nnq5UcdDV_{Yp5E#nvP@iU8b zD2G;kf5JpH$E(pCV!Nj=_$ALdts)N+pLc4$dii9pe9MuwoMYO}`3v49vYf8%Tkvlt zi+0WQ1rL>)rsk<-)R-UCVs2V=UTDp>hrJShA9FdbRaiTov}DPabqe@Z(NyXOJ1#YP zw$-Br<*eM6C+;6PB*XJAMUnle{RRW}#mhmX$D!tl%bzK1GUc{hv9Gt)vLh9Aa$&O0 z8OKK!pwq5FbIMT%ocL~m7CFuYmDIOEEB^L_4!Z!Iqu8`HzOwkB?N)|E)vpqEJbmI3 zFr)cWbmWPH74e`0B?85Y1Z=0ZTCSK1I)n;Tc7IG3E1D2<@Q}=wymUqOm+YV+?#ZAb z?t^FlsFqx~-P9`cWHRVNF?rB32oCOI1FJUB;gyFD$+(=G4Q>Y<-1;Tp??uqr2h%oB zX#V9NIiuNSeyfZL=)~+LaVd)IZ_kE*Otn4%Iu2o1^=1S1o&2B+r5ZtJUC(Tl;W?k0 z$meCBqR9RjG!OJt9dxTF=)SJs#;{vGleT}*&jYnLCfvy^Qqef$n5{2XG{J5{tK|zB z(27scs*kGYpqUuZnOp~h#EJsmfI<|s>f)!r&Kbuim10E!wP&;b30ZZ#J>kUn>U}~Y zpAb7}X=0$x8OK#eocL~ij-1ha#&xpNpKfV~0^O$zcBi~r$X5ia)BoFn8V#UDlzpJ{ zIn=g43O#kOqFU_Pf=%q)mL2C(6Zx`0ivoXuPQZMeBUUtF&xBUX3{%j84bYiWJEynG zq<|I$R-NBCq4|$n_J?O@4kqk|Gz0eR;Op8AK9aj0)CvO~m?aEaqNpSGOkvxER+%fH zlQcR*L8~G7xr--6fi68e4_X5AB5IG9<%>MfVQFQlitK+aK{t8^h!q*w%xksOn0wHP z@0cm**vh9%IH1j%jOtel`LaOgeEm4AbH?$pBxn-u=0VWnlC>bWnsFCjI0;&n3OXnI zy@goO1pD4rO9>rNW8pODLRT+PW1$(;_&Vamw@O*;nSxoe?7cZMSGFf5@(FELb1f)@ zoXGvo{A&RFO3(>gwL09zA5Pi-@U5-poT4KmRKb`!tNz8EQrYAC_r_^Y6*2M(&~IJy zZDFM4trub27lsMfUpW%#Yje7FbH5w6>FtHnCD)Za^y=*`x$f5Y$+>UN(+^v2XRO{< zbk$|8Slp5ej}}k3$YT2`;q3d@pDPY^+x?fZdH1)*PQK>d{O|JSH~+p%PK&Get1bDK z+<NwmkJPu@=I74rd8lD};F~#X!GZ7nY3cqY-(Ai+<~uyUa0hhoMZR7dqik3R<Gk6I zr!dVZ1??Dy?_R&L;HATvU+wp2`*u%$o_e{+9l9g>p7e9j&FLqm2$$Rd-@tz2_omFB z^S-BF&hm#Uv8z#Z=W%dxST<+D;V6B#cAw?P&!1m*l^t|PeItVr_=Js`PhN;Ey5XB= zl=?+Z@O`}*bVk5^Cg_nC-{!O;9a!Obd13jj`M($DMAaBE$cF8cXozGt&_2-h-7hB{ zw!7af&nm?#>chjFs5rR>zSVKCvjp0g*Q(5B>X2vv9q4iGC1}^MW%uUHP__k!Us-xz zTEjd;G~uZFXYh7#(0L25=Sj*Mz16X{o_)<6bSM1wd6S{LhV|j6KY;ha-~XForkls@ z&^~o}z0Pb%7)H-hW>Zi)(4_<opjk_AZrP*FAR9KfG7h?jd4~-sfFQ0}3UW<2D1i7u zSJW@!-UK<)VzM@T+x6>pJ$y6EuEkC9eLbIL!QrmYu+s+e*Q0J?uK&I%CyEDjOvzGl z=uP+4=HU2(AER)q#?wr<Ubum8b(kJ>^EUtc6R@58YqsiHrC8N|2)?<cN{c}@Z2i9y zsFOE=;}q=VcgJ2#$b7z0^yZd-)eKf^Uc^s>1`hfm0pCC6MEwEzeBEo<{_fjwPf3Gz z!Q1}5nG^L7bO=Z8pBSi3JB$&5vkr8E!p^BTw^%*<yt#pI^>(%kysQV-Fh_hmDGc9v zUHaX<-N#x#cB=2|?Q2ghJ9-$tGd}^8#u|Jq9oxZ&OvL|oGSj^mnRdA-9GX<`Sx*5) zmO`-Kg~Z3poR=+5-ao_lG(XFN!>j&6P5JSm0AvdIV2HKTH_!0Bzt3uR*j$E^h+2aN zAMi0I^JPxL1JL^OQ<b#2#_PNfy#5SI&GxVZ3BGY&KR->#kU@|!Ol09<HsAKTzyBiR zPcA!}&U7L1>1oCpr3{;y)>OQo0@`=q!3au*;2_eCo9ugfHPeN}t3LXWqbh1F%;6yv zCMat(H?APqZ0$O3hxV)LcjiFiV!jR{PInuCHspWYniF+j2y{LG{Fo9uggM|1`KzKg zXBMV2Sgq072R+W>z3yq4&vHPAI+Xm)g&mp_GaF))d>K4SvtvQ7srws!bIY$n2CFr8 z-@idO<Ug4OKO<q+b+>jO>(|#)FaLTDI!EDAJ~XA?&W7hd$cY!_>1MiRpfg;wuR)bm zXT$9RhmGxryE#$+3_&MeREI;8PenN*x~dmBFT3pi?&Pwon;Bnhs5<){mbcC#63=#* zb|33%vy{ub_#E0r@86jL@m795!snp#0qP%oeV>-b5Vr>ugztC4&QGY;fk#E*<;EGk z$7XNJeAyi7&>kAi^rDRkbgascf_#LFYC*Z3d-mqcuhVpIZn-Dsu#6#1_CS~aJa9&y zpsD_1!#iWpi3O|e&n)|D{qFp-qmQ8pvEuhna3IbsYT^S2(D|Q%X1dQnhj7%wl)PUG zRsu?4;1f#J!)|WL0UZLA4l7h2X2ZR{by?(@Wy@`6_(IN0c@9gdCpX?sLmaDe_wgd< z_gjje`ESmw^<=PG^P?Q(;x(Yk;^jj4NkMGB?LNP|<<2cTI+gLoh9W7bzrLUQ5oyLM zU<l4V;0(63Kjrc#Z^joJUhR<0yvBHjYr~_%o8b|keYT%(rr&h?S-z`hgGxis!_Wkj zQV0*jyQ-k@Idyz<W-X}rskp8Wjn%i@h|~c-1nAyO-)>%zbKobq<Rgwl0lQ{){Y=oA zAr}&_PP%(j1YEE@Tm=upsBrgopXKF$v}T9#vo1LNin|_qILrK)`JiG0RK`bqZv&lD z^7vj(R6pp*l+@oa*F>YbCjW1&neIFehxV!A_ORTw_B=}N+Vc1N%`Jbt8LZaqddLrR zPAww7%v{@jmRs|uTz)0b_+rDaYwFMwIwrHj1MqbiC~*EQ-<0`xKInv`xyzwy-pazw z0hfjR|1@Wdxr2(HW%jV#RSh==oV#SLX84|d{NIZE#fDW}3#7RqCt5MX&DlDyhi|4I zzx{0A)sv6k+;UG48tMMWEQ`L(ul@i355J<+Yzxqk2Xq_f-0RV6mKbketD>AaDe6+@ zyDZO{F5ah9cup?a;y2f)^J$sNT9wx&msDo{p6i$8`No3p@I#*ChQ|-`EiElFR^^@R zXZ87S-1Xx3pO0H!|GHz|{P#ci-k*QI^q<wt$zpi|l~2QMwx0d}zvGE$XG+}GNv=x9 zafYSmXS*;)taA*gXFSNYUDzbXal<8JmfrL`o8Gx|{-1X08H;PVLPRcq(<93!(>^tg zN~MEQ&xNM!n~^a0r*OvF|F7(rIO>8@#r<Vpe&sx6@4De&R8!Y%y+=P5zva|=@3!Ft zBTHz%N<<Ey<5#VNLZ3OZ;@me}QEIw%nsZvDZ$LTk!6NDVT@SC^?@MU?0$GSwx#Rwo ziI)UfK({j$i8)@}$+9}uIiN=Ipwwv&FFwv|RWlcSIdgQzHLKe~Q|7vExVMkxDZlcK z1ug*}d766llvdP;9#k^-hb$|M*_;2$1JrZX7t+~yF?UATS(bxAy9KV?YfqTz%=v1b z!-hMVEMN6iA|7%zwV4a8xuTr$hvlHwe>II=58q0h%gR^P*k^oDN*=ZzlYgewrC&yl zFS!m{i3?2GJ11eUG{>p?&KnMDHFf=0x}kCF=oYR0tqBuF9Iy5ZfOoO#3+fbdIi8gl z(kYd6d~0^l$QwF2^Ult!ROP#W)34X}8#C8_NNZ}d7F_cs5j>h7q@qz}bTG?a0laj7 zzp_T9`oXAvfhl!;33I=`J5q4!80WRy6Bqnx=P<J<fOJe_{ye%e@uDZo)cyV&PN=ek z)_ZS=n7-iGVHR&2?||U)#3=7v$AD_~rqpjLD`qQ4yyIs1>aP;<u$qNeUoGOLIZLm& z@S0B-pu-FomoM+TqU3F`_R(y?H6Qt!ChZnpQ_A4@axn*JpU5|vrmxQgr{oLfZ0K0< zsF_82t=ft|@lCE~J7-@GyYIK*#yXa(_Kq7|px3h8`Sa__#49&hwmuiqDb{to+sbm< z)*+zYW5c0(4yki{*e^%D%w_3K7hLnHuxU}d@S1O?O^?!r)�+RGJ=)G8dk*Z+^mD zb#(!qNX8cLbNft6mu$Zn<g@yZ8H@BArvO9m4Hp-(Ox^Fb;lxXp(0Zp0H>R>&{qM5j z$X*uH|2`Y8%vkWP&6X#9=335I?|e4gInMD)-f6?3aM)n}sVfsN^>a$a`)xQS&)KD? z8u7NU>5;y`nvb`tA%po-l{EI6AGBf@o?<&MVQ(<Uss9}b&8IoE{xv2nR%MyW&eClI z+b2@m{M%sd(QPU#{^d2Pep6cUQ?hAkpYn=Yqk~4KO}nNG>3pwl`c*Ep=7Wag;pZG- zRcHT7_&v5bsP$e+W0qpX+siCR`&A<zM?<IEp8O1UkD4wpW#7Dnxq6N_r?R}Z^$xf< zEip<u$1&jJTo&oKo&i6DS-NGM1Gf5XxKYV+wcc^V5vHbD+XZ#DHZAyendS7EiiEq+ z1=H`+CMz+VR^@#4-fzPpbxtWc*A17<Ii==1Z#Z?Hv+JCC#M`i@N3~6$6XT0?4@S+_ zIeu4bukAsr-9l6D&QI9O<oGjRAgACPIETIoIXd50Rx;K*;HOxV>onnzztRVjvN={A zmpig$+Ks*i&)7Mp-RfWP?IFwQGiocU)eaWftFEXwJ;){7)b?HYjN{AoI!_Y*2yqt& zR65V^w{Q3mC*!gma@%#aJ-21Y`_qSHOzh4(@l8?{d$yp|irdm7{>UMjl65JH>^DJM z1@G<Ed7|)NT<lqbL7F1_(d+g{mcLB^T_2&bzrWS8<IQ0wzEz-s{3P`a6PkaOgLV+K zPFDKEuG;V*@|5Fd&<2Q=(vc?|t2MccCsa1I%9O-|uC`tu-MD&6^S>}q-+3=+bs%Vo z<*CgRnm>L7jkAY{6$$KZ0PT{T)hcr&KOvD%3bZNWiI&*21z&BrEj`@jkDZ;_d~O=( z=xOj}7n|PC{I#O_UL44^kz&sh3S_t~HR{@1EhRwH4?n|o&NwbP>%_MTG_$kuIB0N3 zUgwNs(%-2&yeu>Jb+%f1yaf$LNWw<i<xYd<g3dbe{Q@1n-5L)%dOC{X;o(w)J$0`Z z^Lc^J%l_yk_H2Psk|O)XuW|=NXEomfd9=n|40eeMXfQ(duoK@U(B9O!pjDmQ4jz*E zA_f{Tc?%lbVdb{euseK6rYFs&Yxd;kPtQPmGTPxQVz1gRvwNj54YVz1F(_a=L4y%` z+{F`i&1jX`0&>?(&>q#>>4|(rpo3fgfd>3HyiDGc!+!WJXv8E>=SjjI(9xillUik5 zKua;VYH?e3oKH^Vle!MyY%w9m<ZB@N+NYoy7SJl&HHnJsH+$K4coko`-PbDf<TA*q z_Mp4sn_4X;&Za4{KiwQLqxnP(=+th|6ozh!BKud+7*f&+C%#$wpgF6Vs|$*YF5Cl6 zshk0=ybT2f-G#g5uNLzawQrozT-OP@*8HFoUmNI9^rfpKP8|FJnlUSqhpn;&4Pbrj zl{+3ftJw{-b``X1IO_L?3C&*?>YQ<W&LUPc!M3;6@<r?k=>FK(|C;Y#$^Xw>emHk8 zsGiTf0KT;AOZ^5H0nRSdO>8ba*KT!mIJz9l61dnA+N9y;!qF`esKSxtGEpIVgOa1* z;;ll0hHo~8@hC}Uw<;`3oa_*JC}>vDf`tL6gyyF|J5{;A<eB;Pzh7*h?@Rx+wD~Ci z8hOwnhNEWd{|KJc7LxpPKgw8nqNM(8)__f%D{jo5!*#&zG>6uDwTP6%sq6o_9uy4S zt`w2u-t_9X0Qd;&WX@@;1i&lUm$H<K3+j|}JO2I68CKD@An}VMPx?|_4yp615vl7T zgR4!8*nMSJ%K8TU328Dtr>?PcLc&@z$Cpc4Uf*#KC=)$cWh{Sa%c*=f@GgyNfgF?m z1w|7RCI)k?n&-aZ22<0k>w-EPQy^8?ojv8RJhD_B-+q-lwq;tU@Rhl~8}7Vg`O2>n z@sOjbO<izJ37_L*wu4&N1w`HotpP1;5M{}JA;`1*iB8kjG6jvj(g&?}LfkcJbGyIn z%efu_|8$yo^%PfBh#qt^7Y^AsIbq^cmQZD9pX`mkoZx+&v7A|TQxaAl=E$1wu;I*1 zmQsDSh;^<3|J9mA|5-OCoK$S_ma{V}UGhcA@v*Pm(;ri}3yR!rP1xEmAOfl<LYpR~ z3#|E?+;k~iU`?^I<4tRhYtlkf>KhZ9d*u%oXziPtuy`(~R{e~GiO$eEY+YUID~~rN zO|MFuRQ1$X{8VpRnx_uFr8Ar3Ro)kiWn1r<v3xC8ics{v@Ijzy>OP+fKTMiJ>s$i9 z7&Tq}=MnJ7tjSbvj>Cp00*6%9j|aO)N!vAMPQ5oPVXiDE?D*>aE*l(M7d+zUG}|== zd;!<asS92)vvk{f2mIZ7+Hj8QHkB1Kl_Ik8n{KfS>J*=W*8F#am-juXa|ozcJjk_Q zJ!8j|gr$s*k3(5julcXCEVQJ!>FPb#fExcMQ#;RqPZ~{I{S-A~l_Sy_9dEwoycX>g z@UNaldJWWR;_;iAF5lAd3-~#gr8|WKe6I=Un$BkMHJ$(FB&^Kl%qr)cwzGY~JFcdu zIV}&b%y->z$eKgyo!f>>$2q0s{Wf@2LMLTj+zfWlvRBrqGd(C(uc}cgelY4Zr`P!i z&`ngDjyF{fR_zv=@?YX0Tf1P$AFG3I=|Um@G!H6;3x)jUKA2S7^r~N2XCr(N|8TI3 z{Z?DYx3L`4EE^W&H74v_FL=eiIiYpFfXSYwgr)YvCi|Kbrn+->ty7KA-NtoTbD!)% zsrSknJM9mGPpk&rX9A7Rb8#l6OUf-BfASq<+b$eZ(~_{*lx1rCf0JcfPi$iez3;u@ zMjgvld*=-<oeQ3ob4=ScVZpal@Un*QW=&7!lvdcQMLaA$zsD*D9HIQ%=ZP>zytHNM z{jM7E^fHTUzjDOe+bl=*6(SaU1{4_{jM^?VCC+Wbxy>x8<`vVzZ{D7?;LmPOvm3J) zB+W}$oXnwB-<>e=CwKy|Y3{0Dt5+&-bY;2v-*dx}zbvNn)grWZNnKvG*YcoIG3Tpy zo*V8g=XfRWy5W#Hr_?o$uwSZ<k6&|!{bYB%_?siFx@*CYGlp%Ok3~b<OD8RZ-J>L% zE`8>>W;toWo0BZB=XwYH6K9c*@ecU-o<;hub3mobh7(oi6Qe9w?3>wY*#Rn=zk=rZ zJDEX;DS*}!yiyS>x?nfE)$)Xinrp#LE`>cg>~~i$w|k}V-CV5b!v5}7OAVWoPJC^~ z*FXm%y!6$1k}xMFkxxrLL6QBU40mzBZzgWbj`=4J$(ZZ|H4{J^57&Zrf&NMpd$!;* zXfhsr^0k#%(S-WPpZ7$wH@^k#7tn3^@X$(QA9x!dXuSUu=qAt;oH|byM5QM3C5eM( zEZ&2TRPfb#vf!t@*fWK%65N&<>r)f?jArj?SUsirU75}qM?Fvt{Cl$jdn;%N{~@Sx z@Uj}TB|{LjCF3n<10)j%=w_&1(4NlU=Ac_TBWE-p`>gXsVduxFn5C8(xuEMrtv4I6 z&;1*5!topECeZaqocKQ7Pfg@w6W=tU`B6S-LLRik;*Y-AGlfqE+?FdqXI>mJs}eL< zXaC9q+HIH#-#+@R9aJlqa&TK_$R9r>li~+DRe>9{*>pYVIttMCkrx{$G(R*Fd$wT9 ztX7#G^N9A<ChSw!f_6N(gQn#9xh*^7&m595=}T2)Kgq>id|}pkC%#M5L3gIOB3d-t zeQK{bzOMrXY+I|Pgp2aU@PF@}e^gs&<Q+UD)AI~;P(_^18OJ9IVnqSAvsx`X=ALrm zdj)D2bhhd|S#T3{`zh!~v)^{0U9nxQG9~Hf4xF9Yd?!uk$pZWKR!faHhR{2_jvXwx zcg0<HN*5?>jkt>gEc;t!T*NmRuy1`0+Cst!+CnnDRYoNoblcX~meo_5OXjp%zBmKA zZw<8N<X)rB6NP#f(AtsCRvD4=iHUq}yr5|dPy?X^lp-KoV&BjEwW9e3msnB2^oLq! z9h1bkEi>L7I3%;AELoBLtqgbZ1j{+CG9~^giF`%r8z(gX1D)paPz$thWM-?S#@&;k z3p~v8emz$e2@GMVV9cGI-E${ZwEg~nOZ^gURYoU{8Lrn>lqzMo81kj4Ca{<(E(o(z zxceeWiLcE&shMwsyp&0q@16t^p*J4ekDg|kbuIcbBbzeQ+aUdrF4n^n^qA5Pa7|kw zo5(P|_POOr{la~3-fcer{`<V*NiXl#-tOA+<z?))cW32a21Wm>J@RT^(AFbsZr|Ra z!?@?++SCu7s=s}e8QhLV?EiBADW5~~%k@t^KHI{Vw=QwJbi|xnA!w^PXpO!V{37+; zUqz+u>UZB;Tzf`sFFR~a_gwhh<PVLdJdL-DIxKmW54tFx_Zvt%=u}7f%i%enKBVn+ z|Nlf6X-&8M<v!?1TcJ{(pY1gpJVJN7_cBO?)ki^=r{0rtHOMjhAMrlWdTYaveGJe` z-`B5)o^5yI%2z4R?)_H|t~!<nT3~%v9J-2pZy?LzUB|b5mFulSTJe4sz6$r<Ybj6R z@2?X=e;b1I%?*d@d+c0$v@L(P_xp=>>l=0;yto>A{^Yg~w!KSc+P_K&{htZa*t~J= zr%-<IK9S4X-YkdJ{BJM&{$f4ovUr6_;KlMa1$)b&hjf}{tDLo8*&MocI%rMzRsS37 zzB43PG+f+k+LdtQ%}o32)mPHE5Ler;hn=)}<BD1DlB4_h!c5yh%g<-M|IrHFCvs1t zDe>;-`la79Vq%e&Wq<w+i^|>M%9)Ssts<_*?Q{mM=q|mt9<pRu-hQu(LC%krbF2El z@gl9lEjP=Cp3#};sGNDs+9=|x{X+2iXkI(evU$*%cy`h88&95o4?6L3A@T`xxlmiB zZ?B(dQh#QzQAB8UI{0+D)$uDJ*5>yrNtjiit^Ks-dY)!OI<vq@i78SIPxst|t(d=J zEalm){y&OswbXL)0||^8Tc0h3uFD2{R=QsKd{weH=ukY5V+%mrjn1~ib_RU+?_JUv z{C@huRo`xcmu0X0TnSln{QHOKuP)x_aud?`?%j*z<hg&LPL8hdRnA=YZvju(SA8Au zK9Od9SmM3@QroQZwO-}5-*=fR%ow2OEAr2SrNJA4yQe>YaMPqdj?rb+t>vJNB458k z-F!_0bV{eqn(r&#YBnI2bpM4NY`4ts&f@P8F~JNIR~5E`7D@lqg}AsnU*zD1cjxQY zf6uUqLyp=~=-T(QdtdWc^>@x>>IivT3fe(&jvu<Y;IZ^qQR#c%JMJz1n*&Px&>a-k z?r*0)HEQT%QApyJzu+-z=Pm}N(0b6i^_&mE5KDiTfMWK(?W*rD<h2l+Q+_@;QVU(v zeeB#tk6HT{GAM<<1s%`1;TIo7XLYv7!3{Un|E>AHVs9Xlb8ElWLHC#hi)X6n=4mu| zT)hEG3)%mmCtu!^b~RY@`;Kr`|FKp3Pw4SMv(28LjxdkLE}Ljl=*{S|stmMf{aU39 zq-?O;7aqUy;c5R@wzt&3evxB<ZoVi#2aA_O;wF>Qwuv|_dG#K&5r(%48YeH8e-WMS z!~R9??^V_#-&q}?OWqH<LeqluZa?MBy4`$XS3w(SmWI`XR?PPud;u<uzP;H0$~G%q zL;$|SBrYDdpKIl8lSyK`ts<`8SPeS&vN8hVP>2t&J^v!tTg;8f-uY(GGR5q>Z10jz zTdRnxbzZ#;Q=-)W`|f+iXdu&kvGz}g(7_E4cmJ;H|8^8PldgjmoKD`#na>Jk*Sy-l zzzwtu?9JyYeug$?iMz}DwFP-*|G9AgtK8qMNLu;x;Ac6`mGb<2{#8O~E=cRzx>JsO z*%=Nq_Pkpg$Z|N%{@0>=i_20$>1QGjIG=r;4a;X+gOxKu2a1~ZRd|956;prED*a@G zUEkdVd0yB3nQ(9M$ETqEFiMcUXS40EK+E|%%~GDi`7aVefA5(7RgS@HZSgY5-iO*h zYd}8zvj2<R+x5tMQ+5VJcaU+{U-Fn0`88j1&8zuA49%<yuCP6ao~pQ9|3Y}qr|$Nr zk?-%vAZ^$<=m$+gy|NcPX4z*dG>2~eE(R`CeyKte12}`mSGvyodh0Fgha3ha$>t#D z4Rfx`!-DCGWABoqSJ$6P2z|T;y!q_f9_Y!xka*pC{Yhm0olvChDW3zO)}H+fDt&vd zr*2qP>j*9fU&laqR>|)Vi{JRL{BlIpFP9~EH5<}p8zzFQi#^2-Fb}(joi6^6Gs|*2 zgHq^!A@JS{H%M;#{bkL*q{*{m-e0U=!N67w-y_8ii=ncbYt?7sV;Cl``eq5*V)9oS z*}KvGSE{dk6GckG^{!A$gY)ATevgQW29-x^LFG~9YDfg_HSbD@`2KEcRsXRhq(pI6 zG=CzrP$_<Y*<;p@O$<t*)u60>ZKpFN`P=<du07heJM5+Ht^XQ`LZ$NgkHuf*7_2V+ z|NVzQW#PB&ps|qb4bk8W%;N)syfV314{g;9=ui?8G>n+o(eW_L<;ayTHy2JNfjl7> z#V~D0LFFRO2*a)$4O`s=J7bzVT#n4@@^G0_V0ul<QAD{&Q2N`v%1!6mi__{p@2O5( z`~7dlvDZ3MprMezP5=LQJ`wHgiQhQMRY`hJPFQEo4m&P~i<K;^-?|0V2p*Jj_gP^2 zcxpeJK%GhDn=2Eq&16}suMm-L>3CBN`IL)zl^YrF++_CenUFBCmUESy+lGk71;1Xh zc+c?<`0UlRG)(ZyzUG9Pzo8w&cYMqHp3GBUVV};nZ0n(OEWF<pBVGzL9Xl?trbx^2 zVk*n(tDIfyRU#gjHBI_1xaO;h<ICq9*JkcDYzr=9JXlpNJmtJl&Iah<NQ_PDs}mWT zj!#c>i0$lK@M<kf_gcjj|11s)B{$95ylBR?pwFCH?_D>XabzhK7uG2ka{SA6kZZaC zctYnaOK-UFnolpFo&1aG%lodRojbCn>%GGUx2^?G8d<XUPG9h)ljZds?|^SfkTWiX zn|R-;uCP$J@j|lclyrX6L!C{sj#sY>>69@ze*G?>Q+NnG8mzbT=gO7JE2SLYuI8M! zbK-(`wk)Urb}smLnMFItg!^)qgnGnFQ<mQE>Jd-xvbgrEN4%ZPa#UX_;_+-2)qI7B z)!qTW6q`!tLC>hL%$}VT^*Yxz;NMji={N2HA7fdhW!(dI`fNDS$`ZQYal?(TELZFO zHXLbYG5znh;R@Sk!#TG;b4>FTx)SRGS@h|>;gCACyLy;=S>Kd>eiwGQZa8(Gqw5=I z*l%sekJCA>-Ka6{n}26&!rEF+FL}QWevJ#>NV2@1>mTqhn?-t!(uyC!O}+2bSNsug za*YF>Da9(Fw^M3%bEfV#ZpW|YLf|tx`2}=Jr5xX;vYd`_3@BGUSR~u@>$~8Z59v*9 z=LOeniR3w)3EGTfE+Aq%BVp@zVG(`7H6L$svUu|;#uR<}v{D(o5y)-BIjN>i{sL>v z)in0MjPc2C{>dU5@4w-qBg@qL?i)^=gk0ghl;!Gd7VkSw0iUn4c-y)K{Elo&HM?2! z7dE`l2O8er+qd9fBa8MEPN{hw8!lC{^y;fcJhf(V%~y(e8_sf6UNPeFd=}Nk(I2#; zZwpSjH#uQ0Gsh`8-wpRT!OK1!bHU?qWvd=-U*6Y~r?TRYcay7}+KONNO-Iwt9Nw}j z-+99kITll67H?VCfZr8Ospk||R0|#~y05gNp7|ixeua!50tda6n~rT$ZQFe68h8le zkg9w6e5;^pZpV+xoY#JEIG)_hd2QE(1trZ1b6-Q&yf#DDys||nMu~1$xuM}1@bfH7 z_Y;m)bu$t+Zsu53-<Z(38XBW#<$Yv5@45!;_TO;Es%e+Lpw9NA*JSqZ*FMOVuar?? zanMU%34CvRXw#z8oMFH1AZJ|2I-cChlD*d<pej%1aAf*)*dXD{mCAdaIZxG3NNB#y zp;b38VX-!3@uxM%s(;fGHYz%P{V$|rm@e(J`k5b#_gm+H-w92reTplhl_K61vwYQ8 zk9fEnI$`rLH`v{4zanG;QBlLHI@%|DD~sdDy`0Y$eB=YItULrd<04Iw{Uzu&$>%|^ zGcN8Pa^m}BEA}kmg9PY!%Gs@!61kgX-?{RgvIgzL*sJFHp-IHyN0Us*eY>xL>>EMj z>;DSHo+$(-Dzcwl4?1T`ROiWpT4C<u36Y?4Bl^K-T!2QjOR8g*T59B+cj9ZS2Ay%S z9dyRUMbK<Uvd$BQDn0JvfZtr8J2MX-k}<gj>gGf4%zQuh*NWzAhjpGP{N>>;zA$qm zGw6_u+nWs7_u59BaQwGc=gh$e8e&BOI-3mG7Z*pIaQq3nEA<KZkPAcDAs7EofDXAx zQ)EBOTYlv1%;u0etukB6(-ZlOe*1Slc&G&$j(H&mnvU7oziC4Aznh?$7tjrDHxfXr zK$8^NAMcKw(R@lCba}a^*s}%8e(vzH?8rZQNX8@%bQ;$0jRx#%Ww<RpK!YA7=aLlJ zZ|Z~GxEkcfc90v_fhI1%r#E!%pZ{w`^F=GMqJTb?f~n6GjQT<6ekLdKC4mm4_{$;o zOrcPR+cIO`$wM+r^b!==-#*nj<GAdo6W^}#O$O{cL6^7H>v9(dfVM*LJlA`0*GdC4 z%kbC)G&GR|KVf5w!Ph|ct(ReoY714lEj`vHCGtgqrf0tV)j8vse!_`w)A`K??0YS( zA8I{S*l)~TY;dE!RYnAKYpomT>b6fSLDMdh+?Fe@Mb2ox0a|Rie=g|8Gw7A={MQ{n zpIq5*<zai=iSO3t@?)X1n$Iwa6<xRs8dn3|<6h4Rx`VW}RfY#NhO_iEXf^{h7gMCo zZP~FdF_AB;A9TC=j8@BxGq+gH)!9$})PXIg?Evj*nJ04aFnEe&f-UGy*eX%6q6zz3 zS}i5kBq*{Uoef$83X1MJ&~3Rkpu5YB#UE*%g>L4szofY37O0koo@VuJXVIk8N6v1i zRj2ut1kdzyeJ*n@bH>dNlE&TBG`Hy(1=o0-)G^Gyc<RU}#;vN;B)3k>NSorHa@xT7 z<g~=JiTs^2UDIav*6lC87xVk^J<Ii<|NT2x8+-r#{PLV{d>2og{-1R_=llNuN|mlk z8~;b2R07R-yjtlg(x5s`=!(s>gq5N!ThA*;q=`D-y~=X>u2Vq0>_M((&<>8e%K}aJ zLk>S*);Gm$-sZ#y8zjyvMWlu|E%N3Fv!1o!hbBw*9PfZlZX2$ZvMkM4i+CQ_w5eYJ zyl=C&>_~xGhj7Ro_YD`5nohY3=@fl}RI#mBH!try5+)R~zb&D2D~suF7Vov{EB^8v zG@5o~%d0%!4R_9gw-6RsI35<}4AT-e+1HXVbv0+#I>m^$c}<V_h1Yz9E{CwROjh^F zUb~jVOC5YZWtrr`s?(gO<~wdUc$7tSy~>Rht^q&&np}Uegw9uqc%$0%D*DZtOyOdV ztar{Et|YQ-<z_iO#}2yR?E9jX$~)H!T-o25&?+x%QZoTO)Ll0*Vd{+-pVcqEv8;aU z8}KKf$@HFUz$cTYt#+OPzlxel^Hel$D@MF$Z2DBMbmIYNT|KA{`)K;vU~TU<<rRC> z4=S|_gw(1ZOj2!nHD4j(kx|pEc=d=^Wlguf3+sHgaJ*}HuxR}~*263J>mKB)7BI1y zk+8H}z{GB9!qk4~QVp5CC9gczdV(i6>ihye88&VG=NC{lIo5D(X`1kqx&9mO1vP!D zY~l?Q2(g);us9U5YjZ72XuaEp8;e=4{&(BpQnH=*Fe|u%{meUAiNX7=TR=7EL8IdW zS8Q7ocJ>QiSueQeLugZ*x$v4V!A-~d1=f5DZ(3v=yyw)d*PLDNoi@0&EqD^hl0DZa z;FnBOX_y~)8A;iyH(P_<tFk#y{clNV{>mci&LVxY#%J}X*BoLqy#q??n~wG=toUcw zq<RiA>o3K!^}2vgF~8&8cFt+PxgGyX?oPJQ-ctsyU#Hvn_{nO%b-z#{c~I-Usz#OC z!L0de8g-%vrS7YNCpK!EF8K?t`7GpkQ}_FWAA2oXo_<%o@xY*|>%HQQ7bQ)nLYrLI z!CEE1)~;0EXw9+ee^)}MI!D&O*$FGpiYG*E-L4*?<{R)mpy}y7-+=!nO`>+L7d{j< zP2J~tVTbdEQ~I1;e4Jsw#T`GM{dT0_TE%5ZTh4Ol^(zyvDY7i}SBO~d67bEb>8qZy z#(&->-aPdcKe(HE<<wXF@o#c9yUTrf)hvaG97e~l+XZwAZ5+??3+a?HI==PhoVGI& zI%o4PH`u+%w&_>D;F=HoO>MK^^k%O8;?{KRGiR7($ATAyEURl97F4t*^y&+MFKmzI z^t$i9;oM@D)cNWW`m>G|oXU6Ja4-*AzdmXVc6U225K?D<Q0ci~$X^@qDR0|3r$x92 zd@g74wsjAver7l0n$c#CSMQuQ++k$-+OHI`(Br}fnWm|B?iYSUHHGd29m2__5L5K! z-b&@I_k~32rzP};zv<4L+9SNibozoXNlm4F3L4cy2dmNrr~KDB$i~~$bzk+y3%RCK z`xPUeNH(pC>UgNL&D8PhT#jiGCXo5Tyl2b$miVchaQqG46vqkLws{mZRS&wi95lJ# z8V@=>F<9q}qvj_0ZF=mFbwSlyALx?I39XhZ_RejUIWm2-0edf~8hmmTbiNc9=)&@i z2JCaK)m%U5h&uexkxh}G1zJuZ3|dYgFZL{9!%wBSZOxDPbj}>qIP1iB3bfZzi4}Cr z6bGmV?f@M}c?Ps=GYPb7bE5(KO7_STj@6(u2|*Ltch7!14jOo$4Vod)2kmOO<|zn0 z>ZnZ}G|vC>Flgjmk-IoxC1~qjFX)=gcAX~*{}{N7FZ@vEw)EI{;E>D~v)G>1Q<}ek zrbf~kxh+qmMb2ox_YveuMbM%LM$n>%&Q?o_y9b>3PT40U@+p0XSN|*iCB9nBmy|44 z6i^?^7M{r`bQ^SV*E^jvj;|6x(?or(mM7+%a^l-13OeA^6*NBt+R{)nzg33keR3k7 z7ihc7<L998)aE~M_M~G8=nP12&{jy$vCM^UK+`ecb0SZQf=<4&>Ti`<5)KMj&;i%g z+}y<%qK`ZAePRZ+zd-9V^7gQVXY#p$t}CqsovOX5rBx=RKP{0j33SruFVNlKi;g+* z&AJW>P*B+YjuU&P@c9JD9`Ll!yUoG!r4w$yaEMtd^96K=dZHY+rG#EuBA=K0rU}g- zJweT{9MGms&_398iHhtWx9Xg6TzuMzZ_;bfrcGm=CknaVg~iVnY&PY#Jn`-fXnn@U z3C({Vf?703;E~kzbY;JlMC>6azEk0w4cI4w&g!f(=Pnkgp3y3E1$4lskTKt(&{K}Z z+G5WXrh+!#w1T!3OLAMj&;zZ{NCzE*21;!Yy~K(PayA;UFSU+5aqx#CXnW8TxQAYN zgXXbrKT3$(*8Br>+UG`oZp#}V*z1Dr|8Y0p`S%!H&qFSvn0tNq7QdvRD9{}fnsevg zS*xNf``F@4p-ge{Imy0c^|?_&YA5fMf-a-Dtf|{Namy5+ms>oh-SM%CoTN6lXUR$5 z*Gp8;7BRm64mzQF-jk-vizm42AFaIk|G)aAaFt0lC%wcv&r}>-6FS3%QKQ!Apw(*5 zQ|nYC-bXck0-caJZ^5I*EYh~_0hZhDcki$L&>fjl-Lz`Au#VaM1;2utRQ+Z-WKW)c zj9=l0X4X9GQk7Cp@SWu^1+MI!p0LxM<5j*&MB-+hWmgN#4tnhtGC3!-rpUzcqR_#t zbYYSGGZK1Nb9${)j(9ECbV-`ynk2Lyn{&6M-Y0u+F6XKDJ{u0&G<CTP<!tF%@M$JX zcZ^HGR?iJLd|9r(SBrR**fi_BkdEnR2A<{LbedA130=86Jz=K}WRra|w5o_PE?rW> z>iAfiGi>K%@Rm(m-vApc`O8ts(oK_!Ij-Gm2NzmlLQ`z#ChV2Mc31hyMtweU9nk8z zb^8*7SQ0kQ<ye)@F)hL+;4@3pQa|MtwTuUirVC!#J1=2pywH{UhJ;o@N9X7Rt9ACy zTkx@uW%Zu^1uvYMuJ);G)M*`*nm-$~@}Oz1*3B7KmwwqfzP!zGZRh+2Z-iN1pS9q) zto7fjiFXo<=zZ@E7Z0*b?N^R?BGR;KzG}pqpr%*%l_MU7HO;DRS}Lc$;;+p?qiDG^ z(`6iXJbA|l?!dM$_{Y>F+NYRNVRX<dwCPwjXV_0Y$BWS%VZU`9KOX0}_JiN?q;AoU z=<Hno04v`O=W4+F2dz67{7L69+mXWb(3?-;#>%eyGp&qX355LPJ*ec|wCcZFM9ybt zgSD^n)gqG2n`ZqM*4f&!psX`tW--UBIG+u7wsXE(uN?94It#D4z?v`mO~+>I9VrO& zgr7~B`pP5MzUh&>;F^z#O_S0E*OUr6zFf_5ZD;3#oc@Hp?VO<N%A04lCq;?gciC_; zrs<TtLc|k}rd9Gv5o??R{(7@`$5=w^ihmieJYMNRHf{1a-hH9ulfBbi=*oQO4TpYn zO6jRZyliIa^;eI0+RfssuO6}1H{cInlj%Q?fKQrDTg@za4r}f;J!oYOJKNxH^(&8j zLC2p;2icYjhWueV=r&z2<e%a}rR~BYf0+*^`3r^A-%Rq!?zC_`yIojktGMIaR+iIi z6joGg9W0u!zT&<@#6xyy4GZbPs)Kv5Rx+2hc8MO$%2(Fdr+!e%vT4$3&TCe!3%>kh zc^%^w@Xe~}Yo4mcf9ob*IrSA1CzCCtb3Frou4U<dV+d_)eqFFqd1Er?D$s4^pE<HX zx0Q=IzK!Mp-&URsxvgBxz2MKGXb!1moMBcI7JM{n3bk_!C^0>l6|bmKr+ZM!9-P(= zsj6T1m(Aqm^s;x~aPFCVQdH`8<%oRqrcdkwIU8CQJaXqWvua;ZG&f;lHpi-eQxY~- zbFTW|n$X$HVj5p7(zf|ZPSdU30y>lDg3m$M+gW|(%0%#G<*vw=m4D{|Usi5-Fl)V) z)Mc%Gx(B7+D`{BvC#+QlUz5Jv3EUuBr*gva-*He;yv|cFrkPbBuDSWq{AG5p629<& zj!-P(49nnC0@WX;M-Ryy`If54{`D|ysoc*@v1bZf+gfF|=!5#f=AhdoWOdFs<{fb2 z`{fVX;UBJZ^z6xl1=*lu6a2)A4DQWtwOk=%rrFfK8gx>m!mgrMi}|wVCn>Ujlmb=5 zYTT9{_dwNf9?G80-=9)<cokph-aMiCk9EY1=7VuMPZm^Ya2Fdy9dzP5H6L_zwK(YL zYV(L0%{RX4JXvrrHIYw<-v@Tw@r6IXuei%DSp!=3@C~#kGR+;-y#yVeAbZG(?-ytj zpc8n@W_lu@SA4o6`{UOUGn!B7gF5S=qa48(l+Q2Z$vY=w5_j5(Z<43jvjtn(LA~`e zhh$3n=ED1ze~(;om;G`sS&{wc`i%zc&0?TokU5|h!*M6RQ}v*u6V7j*(EKX9?`f)a z$J+y-J)592DY+v~IBo{b)BB|<vcJ6ws@rryZ7<L=$vn_06kkCD3K!uOX4`q++AEHl zWiso6_>SG)Xuv-8ZsZBa5<BkVfap_Be2+j&B72J?PdI)O5`*4TUJkmc0kquoHwSm| zh1;NYeY15z&8CAnpz9>2wpvPnI@>=p#GWYx!50+<*j9sDHQ)s$AZI1Xa$9EHJA6oH ziP?q;&EICUTAs+MvRN0zw+l3+vU4t|1ttgTu1{)};Q=*HmKuZVVbEFSmq15XgBHEs zx(jM~8E_Y0s3?Q4`*?Cj6co#|jymyO0v$<lZlBH*h1uzed{v+;L;gsDR!Q=5TWZ9C z7H5D??|5n*IivZ6v(A$Rzf8D`1-j=o%%0r*%M7&aln=D(0pv!p%@dlxd5Jw!DE<X) z1H9}0e=z^m`u~g@jeMFwyEb!k?xsq%&;MU*FV80xSIWH9;qC+($-;9Tf2QW`<8e1n zi!d|Zw&Zl5(X_>TUa*}Kd^IC*35!9?gVS3scInS?2^2H1oaA-jNI=a@c^AR8o{p;Q z!ip^o>Nf;-+dkiQR^QBbR?ObI{m=Kk-}3j$J?Z}ZB}@C8<8PIJ@xGO)`L%6L?9we? zX6C#*q?8-^>sjE0sQH=;zA>%(w-z)tdhjo3`lF}Wn_s5(s5;+kZL@DjI*+&Ydi=i% zI=xQ<GEK#vZ}~Dp6MELxF}L0&GxbFrmSolWf>tEhgKicCO@4zfgnJqMMegh|=@fBx zfs+hgya$Tn*26A9{884sq}3X<cw#^3*3Z{}pi3F-z{kveKK(`RZR+W-atzS9G3#XL ztmEumG0K@=qFDu2?rH~3A@8+^&Of`)yA+=D(SG?$+g;lCmcZ}n+p|3oI%iWn|B^@6 z-wmKyqD1g4kvzz^JZ|4R)4z&JTj#mFzZf6Ou>Ut7{LstY&=m<%>?V`as;nZe{^^xs z)Y$q9dRXS~FQ8itPoKY3ZE_uHE-vmpY-aUGF6hY1D+gD73(jI$aK$P!q;3my0_grj z@CkF;;V*1&%|=?u@cs>KzVga-(@Adi>zhOGrh_gj{n{7560!!O_DPG-!3{^t_k_N` zxOWX`>4gVdKo-Na&-WN%vuU;Q$}6`nXb$}gUOvHluci;W3ZnC}H_PE;*G#|4{k27z z>V(=F@t6^G-RR4N(9Kn|LD&1Oo(&Bl@EvgakxRd4yaSzK2Ag}fTLMi5AKgtRiN#$# zxau3{^Ov>^Q=*<jkEVkhrDuI_-S>?9AxQJx&)H$o`D?A)w14N;PCK~j-Al+~gzt{f z*}D@}wMWI)M<}1K`u+`RMZtI2^_w^D%r~7Bb^4xWbLihR@VudP%qqzGiTO7ct-o<& z>-$ORd+S#qEo5kRhfXG6dy%bjc8x_m+v-{G^%_98`+e1eS__U<cKr$Idn@yi)=zvD zga&Z${xuV~Y}hsJ;Hq!GATymmTcjZK&~*|AH{7(or+vQa_d$@0C-yk5WQ;gxT@OnU zQ(`M}_~!X8&gNDKD%}n`9<k~=bavL=>Q|R;UGmy{i+^V@@;rmh(|`X33n}Zux%U=t zT?tx^FdcN|-3M2wgO8m3)zw>ifBp9t@}LDZu;lw773yHqb3YpHB|qE5)Dd!48<c0h z#v;dS{jRw87v~_y>$mO{=+%Bdc1n42SA(wh1K;0yZaZ}S5BOlue@V^v7XOVwn&zB4 zS??O;%22b?Mz>2Z{xNlg+?@@wa<4oro4&gop7XI>`m5aCa8T0MI14Tq?pY^KzQ^p~ z&LpsLXSd0uD09&2sQaLE`=tN)Kqp8)PS}@}Tz_}X_ZPq0Q;}{PT?fmi(WaBq@<7)n z&H)|OdbJ;FCHVT-KhKv|^*=iq{RLj6ypDnv43Bq%PP`O#Sdw)gTol<XgeD^Jae?=v zzskKe-u}{-0eS#mIXu~~Tx~MR?Y(Y;$JJU7&}o6yurlmZ&%UJY%H8)C?_SmL|L<Q3 zCNE<)&<)1#b7AXu?xdSeisA>Yj{+~OYJLt4SYiDa+Gb^^{Pw!<M>_WOXTo-vAGc?y zoV8ii9C{Xf+30H6LN4%?l=Dm%e$U7QO&-H?=svg~%d=H<WiB3E_01Su6div%DgG;i z1n9;@aDiL7`>WjBT%=N=JP&%w?TsttCX?Krmu^_~y9reK-TO2RTAoY{2A#fp|Fhv< z_wAubnftvMG~u278=<`N>m0tYui~Imj5jO}x{|B*(Ho(I8#Z>Yzfk>UJ;<L>_tq4^ z{kd7n(|G-hgwW5W)v31`rbNL{thDV(xbejOR#pGAUL@yU{|>$0H0MuY?-JJkJ9xsj z`s;!-_FT}ip8_7Y@8IK<?W>mBynee9Id|H_a_1F!(@Adr`@Gmz?@EU(s=5bT|1k0F zuP)uV;$EBAch`#?*bF;CcaJ%2QOnN#nJQb){aL~j_VqgC+T?@KH9x<P_|+agruO~h z=6m~>BbP<6n~$$m1S)5yU9FQ`leKR>cn#UrYG`r*N9(<+xi+uQ{zf`5c>R3XN|7Jg zQl8vjm#1!6wRb02Yw0@BlEP$zUFSj9&;Gvp`e|hT|2-gURg%FK#ku>i)em2Po!e+x zVb%*?ZFF}f=t^MO5Xe!u{WWp%8;`!fx8VDWf2)v|PE_l|&gXo$Mf$7U+FVwFmA^oa zO>DM?E~^rbzZRbJ=EL(Za(~r9%Xpv}+^)hGn%#Pf`CizjMX?I3oOK<PLto{C&Zjk$ zsC`-g_22);`@ipfk2)-39Tik2<aWv>%4^vY&}PlGenykRChfGf?mH}Vd?RRx#C*H1 z=HyF4Gg}s3o;gYEq~DUM8~m1E_S#fp#k*4VdwT8u>tFBu{(gSvy5nE})SQ3+_g~Gq zdiBjEekPwj`P*!Lt6lH%smsOa|90@cPP5YN$r=o&W1R!)Sr2l37c_}+-f$_HrPo|z z-T%--`<HG~b-Xy2BkZ(*h<!&wuO*A>bb&RcoQ^M7b6$(|3n)`PShbq-R6mE=hMlk5 zvvVzOW^x?dqLpW;$+Y2OAIsG5DiKc{npU|B>uj5`;Fl|lw+TnqJ+}>4_OfgZ7u4C@ zx8Pk*a^=*WXE|P}bB5iRyWrtJ@ZAFyf(Nz4A)V^7RWCw=-Ltj}i0p4q=(U4P&w2z@ zU9ObbznR(bW+cn&x9$P|3YvJughJK`<&>y8KAp`ewsZD^SBF`;Z5;#tRyL`o$sGp| z9z6R78tY%mnRQ+*;+a9yE^dx#*3%dK6J^o9<8fhw^M*_LoKp6F8&3V_=*lyiA0An) z?fCI7$F(04jwhWtuW1QRv74PR_q4jez9$S#bG51uUzvDsF3Zz;=M4vwSw#PPZ@75u zcyiQKVV3SUUIAYhvvkWk1^lgOQk^Dv<qy}vpzFfmRUdMWcilOs{pNT4+v|6rAgtmv zWJJAk$LT8*FS&C_J?9Lw>|2o0ny^)0SY&@+La)5gl$wTwwf2Hj>e>?KW^i8C+HHEU z%365Je}jW;?7|^7(-IahWtqC)Wy6WB(5dwB+rjQh--Sc|D<2f{7rt`mgNsl0%C#I> z?_D;WxyMq<uN1M)BcPu1AXmIfMuq7?FMEZIJ(Ciq+6#!(PD<Dsu6v-M>p17Nisulg zK?eI9S(f%IMx+}$-t6YQmMt*lzuiH$??NGeY{6$!zE<(co_LmX)xPG0jkX-Co^wpI z=vwehlf^sMBjC4IQ)(W(OMU*z#5?afUaeP+P~0SNIctaJL9cxE44dwRss2JDwoM6J zCv$eq_up{rI;Yn*j%&MSFZiO&^4i8R;2Uex*R*+OGC$`l-%tRZi>TP*tyh=y>ck6! zrc>&IIz@7hSJw;blnFb2oiC*GQNZ!6;=!Qvf>-XP2rk=tri`VuTsh+1W0tS{su2%e zn%dHZ*Mz8N)G!~^vY!d*?OXnOaAo4Hc+M_+?+wRZ`yJfkmFK<T+B%k{n;~a3vNV02 zCOGB4)<L%G!XbYQ54zblovK%iNbw2yx;2$2ePb@is{QQ=owd-zsm`AFll45Sz9LpJ z;+av?uIqw2-<6ww@e4o}eh94jGVAD!u*YjT!#o8=?zSgvJue_K-+jZemn^FKsu8cF znl5b@UQ_%ZGEI2$=D{ly?_Fkj8eglmZ0o@=7Sa2@8!p~snQHI5;Y3W+s^x+@VahB1 z<u<8?30(QZdN3%PBg@`%!x=VjgE_kjo1Wft4XD>|614*zss`GpBnKKT)N*{>%^CJn z%<<w=mer-4UF+4r%Rb(k_++m=%jxyrW5YQ)mQ;R)i1!*zpHiE6^;A~;@NMeNQ(f_= zxXCpPI<OS;_xP2GH+q)N2m`HmnW(bjr(@I7Z|W;*Sq>U?bG(}8zu}HK$E)oeVLv1t z54&?bTkxe^tjM6QvDI?Lo`)rRCmc)IK?hPYiWLdm?{Brt5K(h2*e>Q!v7K)cH|S1{ zQaRAJ&Xb2^O7cL{_PZlyG~aUu%^8@BJxlli8i+r3?m+0Q=8I)IPZs>C6MLp$G`m$M zWdDIfGDkq06@P(_IC{hdItNRRyLiGYP`?^<UgWcnpzc0+!2fPKcu&bMu603tzd#-B zR?yuLiPKswHEQRz%JldrB=Tv2*7LsrP4vIrt@A{oP8~F)V#;mlVR!71%$8%I?S~&{ z?yxMraI>vdCguL=Lo#1L13Ev?gT^U-!WT@4oSy?)T#%~sWWgQK$O>qx|J8cX$jV~S z-B9^r&lEOZG>Ta&V{-otXkP*7kjL7H8O=WPT4hR}Z!}=vX$(4$5;SA+K~}8DV9(rE zOO3yiT4j3Jb<Q|GjR#r81-gS`(Z$ULQ=cvPrUnYvnV=g<I$C8^KsR(mfd($BG`Wi} ztlT)E`HiX08OMC^!D7b`$?#m;FroQTu+Eu-8O@sui;Dv8O#<zcJ>tZ-N>c2ZLYV-! z<qFX52qACy{T&%`MqdNjSFQ%l7+HcAmYj6r+qD{WB^c=L%eOM%btMO#_}V~gRF>L; zhAS9ACrp`eTXulvI<mw!PH3)ZZney~bIgfv(s$4njZjc<f=>55V^RM1EGvIFV+;Rv z$Fj{Q!3R>Ra9f^uf9{YB&$o0%_M^(6^#w|x%^D4@mK`xio%mL5-(bMLu{z>}<KFX! zWK^z!=7~VtiLx$#{%}5o@0Rrj1NNDbI!_ktmgg>>U_GZ*=8HJ!PLfxkK@3&_8*fXA zIM7;?rL#ef)dS6O7=Si*9y}y-WnQ`>`^TA~F-t8oK#OH2Wr{spU<$tXG&zya3N+62 zEk^7a^rW^Ehh+AA`u}@beH;@@@f>T=cu4(=JFJq&_gByJoojKrHL7Y$!Ls=UsoiV+ ztiyNR@CctQv-P59mZtnxv!K(9W4AtBBj$JIL|sM7k_+lVHx%AZ$)2#dr$;Kq<e{5Y zLCu`46IYkL_?lcd|NLuS{=4?<`t!d3{`=@b-JinAAAcN~d0jsJf6cedz2GyfW>*>; z7xx7-zp+tlVBS}4V_kjmuDt2*J&bc_?mzR5X^)*ggKXFv&JTa?EQ1{1@ogde_zpH- z_M~g^1q!ppPJ_<5xCcFYYEL=xnJtQ!FGlL8UH){5p(J9fJ@h~y`E#}w(OyRwTv$NI z@MNFu=9}qve*NamuNOhb{%A04=1T}`5S>5gTM+zkle?{;gEu}+-<<jPBtuDrA*Vtx zNZHZb-T!9!GP>}9&I-A);N^tO|C<iq+_D37X3aV4NoleNKqsk0Pl6pMaszaxR>s@= zn=^kN1-a<MROkUH9~Qy=6(tTjmgH`I%H>ym3?&hJU(CM=@%zbDa5cMDFLquwcXnUu z<zMqa=YX8^gdC5vXRjXoz#8zGKX2EkU4F#Q_+rDSN7tbzm+VD5WheJ{xtVUg6zIsP z|LTxKuI%p2fVns#JGkUTN!9x^p6lyvnfFM6&eSR`PSgLQ$qGLGCZ!m0a!Xx_LfTw* z+u7Ilb2+q&UO#&la{A5o?T9m~GOSW=)jw&;iTVQyqC6`@h=uod+r!;mcv<oCMd8nz zGk?}FSgm<AAA01GyxkPIn_fQz9UOIidCKKi`Jj`3em6mbF(n=0npjYbZO%Wj?CWaK zK}6R1XQ0k0M{`bMqM5E=)n%OphflRLzu3zU@>j+Cnee~{AGOo&e}381m+2{&vtWly z+1;5Aa*#r>-ir;_ia`heWapn-cGWWf<g%~tpoy{OQxeEQ4L&;;9NxtainE_<bE4uU z8u+y9#G&V@Z0~>8!PvpEVG)O{87O${lLO6k^*9~cr=Dk>068pd@67FIr@;@G0=uXC z{K;icpMnnBNq`>MV;8f*0&xo3N|1wg-=F8Z+Mi{?;a7_pQ@B9>`tfAV=S`XokOQb* z&y$rkdb>trQ|8~9phW##7J6jQTP1jGfK!pEc*^BR(-=x3Dql^4gmguICCFolgMYrh zKeg;>IP-<Xt2f1=r-8Lke+u*1wJ1r@L00=sW`~u7j@o%-3ro|t;K95r+^yZmdb{4~ zWnaI8j@qf74n4T$b~tK;-T$;NC+d#{gKXHk9H^3NbGTLDL*i}~7MkhSi#71AURP5O z$r?2k)o>TDjVn1(^6Rhnrp&LWL0SH57sOXTQljB%?plMQ>s9m3E&pm6tk&%Kc?qJX z;`ctdli5JWBK5C5v+O9yHQ(%}Kn|CBZwt>p;0*s_W$4W<HJS{vVRv_0K@z-OjS4)7 zuPp|}mAu^?-_`Y?!1)b3xy^sx3D^NbYksGcoY=Ct-q&pHU**l9<Rjs*KY-zkRfA~0 z{ajEwM3g`mZskPHDN8lem4_XWSA8Cw#7<1%w#osY^YteA=9Ze*p*Ocw8NtfLec-bJ zA*s3X#e|n7?~ZTIEOlhCT2p5QRWn}(e2i6stDx1IYL)KD^oMOZQQJYspv`B39qMNS z_m<=3h1a+2UoqRaI}}v@IKa+in_d4kM-%2RuyeLN3BI{y59mNTS<VBH)B4)eV<yAO z)ri+;K-qoK>rI)Zz6@4t=CMJu#pI*&&n;t6VuLs+4-`PZe&s~f>oLfN&8cyo1vzr9 zIujnJ&C8sZ{Vh#3(=`K~J7x?k5x$2#fhAOM>e=<}Sx!`)GU!ad-!~z#{^LUzJl3PK zLFbP3N^j2m`W93`mjpvo*d{x;=ih;jjavTyXHL|A4F=h;ng`P$=2ZM{gPQ|RJ-72u zFFSgUp(JAGGj3>}xVIL522<~BzL|aR_S?>$wx7eHJyg01s^sA`xG7Q5i=CHUZr?Y> zclCWx;Vf+i%@gX@@bjKt-vedzH@}L^*8Z1i;M30g?FDi2p1%&Lfpa%{Q|8Ac2CFr9 zc9}v_>b=!)W5CBo*%rH->Be(9w1<}GpN5pE{POU_)Yg2hC^=EG>wWgkEx+<XY3IRf zsFP0y!+lly9dsxg`}Xw9zoz7$W3pQF!;|5!6QjXvhOj+*(GIP<xO-D(;kCY;C_6c5 zdHMYtxHy0(W|LxnGu`w24(*}UuvGXE(MpKYPdA*c_an?~?KDu{+6ylSBl=|ir{Di? z|Brq8#>8aM8j$KAvq~k87k=A$`NXuOw2M()QEtn4H;YB8ofeK#n<lx{$H@4TM_SuV z&umGn<!=@oHa_<<LR;DUsI%L&lc#!YRHm_?$w^6@F|*>tmWQ8XuFtNn&$<3}*S-7C zZ`IcC-~Yd^+0Rs}@}+<7H#5iz5TlBl;1wVzL-u3~u_i43$})Anazx7J%jPxPw|+c* zpF`nC+p61sT?{&A(--{O$KoyH6tLN4!xg8dTiP7cBE18?t2I4+r?BEb$3d?10w!}R zkF{kUj`ZSeI<{M2%_e@wi$7Ub%X$aYFdme84!+Le;D6_nrorw}=0a2639k8U;COR6 z=e64@g3Chdw3~R#)K=^eKj>E3bjn>=CrEL{uAYRAS6QyESC4p9)-;P>Q0J?N<J%WA zCC(XbhV2kJH`8j;?dApl4zg&=cwYD*(lm9NfJvO|2Cvx*UgWZ@w)F`3<Jn|t7T1$G zb&l(XYkn+Cp9`)j7I3_&bg)WYc#8e(gyyNx$@@p9!S0~jB<}lfI3dgu8eb^3Y-_}v z1;4C0rrnsk;MsAGX;w`OzIn2o)=^t=TOs0|I?LC5)rg1wEWGmS5uWME7QNe5BA&j5 zjx@NJ&$bFWEhu6?C!u$};FK7b4cCscEM2b@@m#EF(|4gY-z6M>dhlMpmB8K9m9Kmw zBfRO9ylTV~gQivSsu7^GEyRU%F3tii6gi}7UT;{cQp)f6)}3=&W#fW(&%7<_-mPW% zdYMJLre(pyg)G{&tqVS8vaFutAMnMi>1v%{z@NM((>4~>`RWnbo0m%Xy?o1YZ6@e2 z4$#uQvi+b%`y8k0XC^eavWUKBkzT91;*W8Y>pPVdzrvf2&Qo3SZ&vDzYeKgLuGE+x z42o>J^_g>;W#58#OifSEsjk@Hme4xi4|2~$``(qxOZN+y)Xhqm8m@nQOIM!nhFiNi zy59S4IJTU_OU`*iSnGl>MNOq)!c+D(ChWb<dFubngy!WOTKitf@T4!k$uc#VrTYzN zZ3oC{Und8<C%FrT{8u|D<jt8C=eOZXDa+P;<%l$gfbX77Pva`}mW9@f9prkil3~%G zu+(3`L{DhVC*Gz-rcGD%l)+0L_RoWan!5Z9t4mc)3%<B%8>}sT#FARB5|Llt^hsX* z#siL~u6Xqu8HSEey*b5p&RUQ)Jz?W-j#d9BCvdJ#wlIzN-*ANweB<pi&|M#43=b#m zZugfxIhFI3zEZ?Pp{BNQ!8KpBn~r@KTJwp&X_4`{!&}0tWgS1N9F%&mu2IQwFsfZ} zirvJ7xtBRl#kp*V>t66jpVMqdI%H8&%%9&^CSK%bnHpbhcf3ExKj3REOZQv<fV~bI zj&QP=?)Tnsg_ULNdX<Q0X-&Jzg>}B0H~l&<yhdO*!{JQO@%QglGxo?I)S3?+I<l<# zb7kVKa*i(0Fv)jLuQHBnyC#D#{GF$&vHNA~jB8c51*X*VA7qPc>YA^3<Ap`jsrzaX zDXflH-$TZQ?hEUD1f7uHE}*y5a-P+sS(SpzLaVczQp*%q>^47GG+%8+J<ma|`$`!* z<|Hgtb9^k#5%yEo@nSn?*l&KvkG^`x3a(WcJD!}&dF|HZ1ts$m<}QXF(spj1Rq2xL zQx^OYWs#0?5BRv9MVgmG>;LS8i7!-Vgz>G)PfFxV0&Rcz>kK-U8?<5(bg<O~tJYST zE!m(238JtC33Z^wp!^#Q*jwv;yB-{jV0hSEXmDo*=<e#Jpk*K*`*hAYsvdUYTg1N6 zfPE|I*6|-Fb<Q|G)&XrDIeAEC%R123ky6k>Lv~_C6J$3Uu-onyF;{2*X}-aLy;(Nm zgyY9%oihhtIEWPm{A1!S7O(^z5CQ3Ae?7CZ->SnDbjB3ucFjj&pn(%*?&1kmb6RD# zq^~&w+CMN&=gETEphFJi6BOD1GDpm4KJ+~D#K8|Ppv$z`xGg0>$8d$z9RXcU4myRw zj@z;Way2<(S3*(u>57|tQMWfwX#TPewAZ0ttZ2et8Sdf>){U()PgaB0&4BKi_@l^O zY_Mx)tIU-DpgTw9QWe>+{@-N4zVU4M!)H$x{1X#<rZ5q-b%cMj0sBhl$P<pgD?m#U zZh?C9kad=opmmnNL6@X}R%slH1s&N1S{n-55^-#HTp#Fwi(JqG4?XVUfZNksWsZQh zS4gRX!t=x-nJr<UgPTBGB8r8%El=D79fKv8sL1{^9kg8LjJDaGm=6WIpgk%YYHFa1 zKYPj&71^JHhHXyNfKIoV)@s?I3%aAc7<6WclvvS)Jri3kJ-$YqaQvMJS`%u*ZTTW^ z(=7WIzM|-j6Po{>(>ddqs4rGzaAvas`_jdrVTcgW!Qqg-BP{1HIhTCB;x2n-9cVP- zHt5I@(1_Si5$@s(U1o(-izduFdq}3_8R%4QIndQ837}KCC$(Bi%sJ%5*X0d5F+@wO zC?F5C7!-7u=~qY4l_)CQmMil2u$iaxfllRydMV=_=zJ~6soVnG#S=6)O=x}xN^b9R z#ELG&pFAWZ0$Q1R7?j*z)`=Bucqsm7*}p2mz_3@%psK$13$&`QQTW`Ls%_*wP50x% zBRY$hc1F5AW1b}$sdg=D%FG`<Gd;KQM2pRov~x>4Imd6#Wy9rsbBoVRotO%~rd)UN z85QHxZr^Lq&Cag>Q+Dq4p8el{#$Nxv`@fl-ZkJo!ui)Et-~RvW0`1=XpRd~OlC~!$ z?B<hMy@3o}$2h}oPhIe1A4|53UqF%F!KieBDR%P{<{o2-n(bR>$SUwJ>1HZq)xN#U zhJ%+`M7K&@zLg>7_;fC(*v<9@S$zo`D_O4kt4Aa$I-b?$m=@&~P|bVLh+pW+U55=Z zPoj91|J%l*{l)~cZcpyqT&p0fmIWU-vaFut74XFdTwvc*ibytfJju>+t*UFmmu{BV zXZG|xPJb@2X1bb2y}&`X;{qWzGZPjUb85vqZ8$Lry6tRrxsPn;UH^buj}1q-SxmP_ zHf8E=Rd#&4mt&gcgaz*|vYejl0X~njw5hF|Gc3yW!VkNqP&svtUp5D`qGS6Xip=-j zaO^g8OWaA&j@9EFUh^F`oU>#})mMrDtp~i#VfI2W<d5<}xATG_b(P$gqf*$LR^_Wk zykTg1C9fLssG@0>DEw}6ZCHcko^`3pclM@V=Y`gM*d%SRw(Yj?nkh;dd+ZNt$t!A9 z$sEjzR|8*BZm*`XvngTie4#0F0&70=INm%vJ;~yAu6w{gT^8v#pk?;par}x`Csud_ z{Pbq&zQVEUUq`~mrz}_BD@HsLY?@WC8j*Eb+Hmcy!lu+R<rTa24;INQt+1b;(5f$F zVlzKssl1R$oZAMka_DY?7l(u0v+5N!@=hD9HH~u(C=zel`b|-zR^p)5XO2_TIIl%} z1pH%Xk=9XNQDJ`2jbA9_pYcH@<Ge!!tM*Mw*r?+8wOmlA5VQvtR8Raoxl(!MWsa=* z?i<cLWhsqjIephP;6G!N=s%YWA6%QJ#uXYLmp`u<@pLYWE5BOAT8Dr?B2A|I`~p4& zHEo?HFlFE5gt@SdzUM%fUwvnC{CT6uC%ajeQ)^#y!eVAlt@@^fiIX{2)%7N9?B-k* z@4dmLeZez*&S_Om3%-43Ih}L&Si!4vY7y_=vV7glqFqx1sa|bt5?^_|T+Py}uN3iA zs%g=7;Wgj5n;!KGt}#^8*!h&pCwr|dhu3@04d)nHQu~!6-d8kzidVexz^SRrx2ZQw zDCD2zL8X4k&XwtqVSKUe2~k($-NCyz?|W|unYZ9uA<OAGz5(Bvnx57<f#(SAl`||9 zB3`~{={1k<e7NRQRMVo}!fU?CH9c|{LfW^wW~K64De&S~&^)2-!K!|NDgUJpvdzBN zl^I&Yd(dsSV8}TEogx;;tLFuD%G4ac<_qc+syd#X%`q*?J>Yj@Q>q)ZjamNI%qM$i zxbT(xtqHB=!X`F72}}KjP4tA<eDZBt#M^W=Peo(jw1nRK0#j;cC9IX)o@}u+U2x50 z6^-5I2dmnJru-K<$hI7Mn@RWm8CFKS<}CQc%hJ6@am6k5h#Y3eum1&fHon#ByI&)G zFsPU_OWtS0nT;%^{)!RnyaN6QHHofM&!|v8=(S%h<B!Tgt^e~JHl(l$=vi8AZq8i$ z&8_KCW|L(`&4gANmHxy;z9`ToM_)i203i31gGRDTK|2k@L6sUO=uQlA?qY*mzxeKS zLoX)(mZZpj6;$bOTnxIH{Kz306)~T#2cl6754B4!{P_o3VF_Mt_g3eO<1;(ZjZZzT zmM8vBZk746eUET>CLb54Sdqb<$*q<ed*`>x^z<hs@@d6`&cOmzI=8+@oN%lW1f7F4 zwN*x?8nkgFP^@S|9mr`p8>=3}2id=U1>a&-RSi1l5p=zj<GfZG5&QFpWO~kl4o`d! z+Gz?J?Eea?c+2#;Em!=X*J|kj+SoVi;_e^)7aX&yL6?)y1zk>l-idFQJ7`ZN=m4yL zk)TzU)}Sd0F^3O2PZKJxf=+F^rSl|V&%9R46@U6#WnAWiHXP1gdoc8rV~w@gvjrd1 z#EK@|0Zk0a9(3Zn<O;f&OpV*}MBQxA@rfs$_&%9$Hehcq)p@euM>Oc>?<7U`r@rq% z2W)!O1hGJ;4oa_sS~YJ^JMq10-)z9%`4e;)B^!6~1grV2GFzlU?Jv+~hjpN9QTRbM z@MWDdj*i)dQ;Q7dfG$!mOH*Wjyf<=2vlqyXpm`YZzRem%P>3cWR#{$J*>9Dx7Id3B zJLpanHnE}!y(NWHpDoy~%WZk$J?QEd(8*Vi6hY0e2(h97yQ!^~9dnL5@vQ>g&9YI9 z+j7Nv(27-l&@CgNeL$~3J6Y!K>??n*V4M#3kGbvFK=z%@ps64Uv1bbkjJPc&=AAwy zGes{Ew!Bsrv~L%5e5UlK3C%yaL3fnTt}d8bG~v#~R?CdHpoO69pfkHb>Fpb+;kLb@ zRp!ZS&_d9Upr#XeX6$Hauzab(uKre;De~!wd`jTewPCLdr#?$~47!W!WBh|Zma{<h zeD#f6pf3HNJ1;*>NL)5aH+iOOw&uJpAL;C^CyWe(1C2N4OiW9fInmw7_|&zGnTcr+ zC5^jtRMVtps?JlBYhT`3T<kMFDJ^N{vPbXBWAooVpZ|PU-1XnTchCF%ukL?M(&oHA zx42)O%hUG$(Vi5pB2<0VORRIv_em@FomSyGAQag&i(Oc!)Wq>^Fy}PO?gj6bvYd`} z4%qL$;gD8S+j*fiTR!TpKO_F<rBuH2hEsmR8Mz-`ZDDM2U&Otv?+TxaMxDq(Dbc1$ z=|b?u4Q}AY4d(@>-2V{flifU%MKqX2`mFkj8is>P?m{89GZQwdvRu_yig>gNx>f)4 zw3W&$86Dp)=bSckBhTekyG;)k-4?zw-+jZOIu_n;7VWtn7b*-7YKaSo?46Xbbv8%W zdWDF`noW~l-*0=kMpRwHwmo6)PH35z{(Y|1B~V3G(Znkz7-G{8p5x!m(rx1q@YS>F z=$iJ-(EF1TI&ZR=epiUdl5e`zF02!*wxXKtV9|bs6`;i&_46SeME>`4t%82BJ3c<~ zcE&ZWd<BhJDiLr0vK;-c7V&s9i)z1e#OvMQOO}?q27Ie(`Z`T`O8uOKW^oR!egF9U ztU<SJ{^k(7nGLO8vl3r<yoqRfbzd>!kxbL9{b~`fteS3>3+rs2w&2}omeWVy9oh0K z-+RNMYEG$lo*OQub4bZMZ#cD`vumF3hFj}7y2?4O?I?y+VRJy+R=&zNU7CHbHFK?T z_kuU;SYD@co~oaf(7c#atFAj?@n#OK|C16XW^=B(=eOZTR@1BGCRMYY(uY_66l+>q zrnX{lL&D5vXfN!YoN1}bci*O8=|XERsDM{CY!@_%^W1Q1KWA573IDRKw`Oy8z4zE~ ztewN_8Rs>tNejMcvb@$&)TlN*SQRcfW&hNK=7W$$4?BOXU#YxU^0eXFQ~62}pf-`b zN<>b5)2n!uh({_-vrHjvBGrRN=E7HE9XH&u=X|yP{_%pa3T4N`mMq$-98&MSH=GiO zI<0S>LFtlj%uSD;3$OWD-89KuXw6p!$CrW!t;+P5g_dbIeN7Xd@}J=#+i!u8KQ;&5 zQkzcQSC3fY81S{6rTeZ!z+by2)p;`@5o&&YH~;0REbgXTo=vG`>X5Fh@``$ygIxEO zGb;2BdhJ)usIfh$Rok@aHAh(W#05W|eJ{Rs>^7&@JGTwj;#ijQD@Q!P0c~V%zPeI* zZ!yQI|7{7)uQ{~tId8Za)pY7VXnc&_VC|}W<p>?$fWOHs-ZEYRpS4-M=lTWw&S^^3 zQ(tjgG2)$G)30ofupjRsfmXTWW%G<{UiqpSd$bQ~$*XEqaU9H2ZF;m^aLq^Irb*KU z*OclyzO?4J7U>)C&9dpMS!CnGDgFXE8;l)~_6y{c2sl2~7uG3y#nJ@1#Z2B`wlmf% z;IBW6_ZN;VJEsj-HnVIsuj05Il_uS^%UVe1dt%eC$|li$F5oe-I+qJSlA1#Qc?6V5 z9?aqw7O8Jb=zZ~E>cb}0b^$$0%i<uG1W=pkym~}>ebXj)fi>kqjz8svatah3kD7rG zey!_Bn7EvCRo(}K^+urGo8`hfhW!hkE$5h~C3MBEF@evhTita-DXW0aP3P}_R`y$+ z09^<9B|jyRkBfiPgyx4Gpc*($b(#+Q<F%k|4!c1oCxSYbzg4&`JM>c$`K0bAD6*e) z)j8w18dRlugK7$1ohJ&__T0r6szG;WedWkIC&ROChRDIgTNw_uzh3a;9B2pM+jCBQ zr!2*uDHK_7Tdt^^3pzCMpcCIK`}9OUp>R-*aX(^4^Oe0iPZsP2ZHIITd#Lqv!EQ_L z;tAF4+?FriA3G!?Vh5UwZwGDRTfcEabKz7_#S7_Ig67z_n1aqE*bk~Qia@om1bpvi z^x4y8&?`41PdI)9?N@vs0J?Hhi@VssVs5L9Nd2ipGCg|fitJDKZ!ln=xI6NMW9f-Q zGDkpj=3lSdcFi_s@61(qg>@|VrN3It7gW7zLi0C8P@_fybmeAmtL2HSktZDggASnl zkPcem(A;XNVSCDn@7Q_JMvUw0Kuv=ZF;GvvwN>T_=tRuNp#H7RtX9j6xu=}?E~SGu zZF++&0-xHLvL1A}c3gj}%oEU#iRSdk6OM(C71v#o>DdPAr_Ti)2Mro&u$|Cq*&%z> ziSHF?3u&Pmw`E4$p+hoDK*K3-K_^6~sd8Js03CV##4M(J_T=V&psQ3TfHrf0V=2J` zbYQ3#=)lmAf}qtHDWJWZhYrbH(F1M5SsgK>`IxTG69vnJM7}7{9hYBvj)T@{YzA%K z%sYQb=F2nC=FK{tGY1!(aN_Gy2OXIKI>V!ek-J!6XA!*i|GEs+npq1PQCJQdQTWyW zSnKHm$@E0NAkYv~HRzP>o6}omN<hmRc0LAe8UdX+YS9ln<3W$xQsVykLoy-rQWV(( zquXa2vv1XnJmFYl#$9~jMlzy3V;3x6`xCT+;U9k~dy{SjWA2pNo;#tUZSUXD@s^nE z)e|M0C>(BRq#|Tclj0<n{FMK?)KaxY8r+ebvlx>(gkGzx3s~|Zs8OWXWNGjXg)0Yz zM0E@v1leh&vL5m3jF3#ZvV~8~o8<~;PSfdom3@`M-{+sT`@QGA?emoM+tGS!mo8m@ zPh79^bF|gzpsfCg!@;Yj%{coeX1fmKo_+fpOufI&c4O%2*_iio`)S#RXP5j>PdwIj zdb;<^6AV^D4IRGoR!qF|Ka0_2)w8Fd9Uoz!V<bUmwn@7h<ox@n{YCEVbnXQBc8z;g z->abK(ruQ%=ppq#jnQS*xve0b?_g`lH}3u_8vW;R`4_pl=Ew`W{~jm@om(Z;(4kus zq?}o`jX~*ZLN{pP`i~9J<?>n6_azClue(&u6P7a}Rt9#$+`~@jlK0hf*G@F~ei(EE z{Ov0o4T-(B&~@wIG@25P*T<~=zCymT_Z~Cs2KeNuWsoBz)n-e1{+-RO5M=rubS3)M zc}NGZ&Gwi6Dt9)StK&R(19b1iwdK%V0cO8TdzXBi|2iQweL85Z^Sk@db-2mwUqz#9 zUh{vEn>!iVleW>&MXlWTuX)Vc7tg+$tr~P_CGUUe0cP{1UTK>ZUin(pe{dD(s5j{8 zd;GIuUd+AXF{|2#ef6vQAZ3Q7*DP`-*IWVb5vi^gIk;iwue81He|tbWp&MbOvti43 zcZMpj{NKYDX3D=xupuaW?_W0P!E~TQJ)imKy|$edjI=^}`#RWy?l=A>la74{d2$8l z{Jr1v)<O@%JAMqbpxeG`^1a2yj!4V;@Ag7n8@<C_IrCSl>>4Q<GxghS3$C=uLl+GD z%Z4jSm~DKPw)c2KE|S*6YoS_K+b)`D^1Y2O?CSlG%6r)xg0km8_iE(#D@m9YpIcki z|LYgBM{mPC`g4j~Q2kLe$u(JhkX6~&jziZPgMF(1=EUZEd)6T>aSjWI#>(dN*($yA zm9u%mO8d0Ii^1=n2W`4Al(>8R+?DX0kJ7iFM!vrj!El~!feB=J^gCs!gQG#KvVYCE zow^~bAPcg)q%IJ;6QOk8z9ixBe<Aa}9z@=m!apB+8eYU()7~XJC)cSphkiW?*(0(8 zv_k-t)8t$Ya-RJzKe0J(|8^udyTwD@Jh}b;P8;^~%l@rm>IgY&4B9-gRuZz)1AN%! z&)H=!Y-jD}PB4INs=D{99h&ab?pIE~m;9%PsUu|WXHbs0yBZo&$<kj$qxbxk+v}d5 z4~ib>VVAqtXF`uLeYiJv@9{4oj4rDVx`OieL)g)rD}8@;`Q9m?e=qq0XpabNcgcqj z@u!L;9Y7m4Zhe&UEEX4WShA|#8?+5#hbttQ?t#5}@%LA`ug*vb(LC(km1>3;9t=um zmJ26diH~NOxN0Zp?8s}ophw|>P7KWX_mcgq+}Z1(CFIcKZ1eZNSA>^F*FB`-;~6Hd z+UyCk61;l-ctVMMwL|>IqxSDFRhMM#pM)q<-hG6{>W?*Um%fy;3an%UZ@+2lhi<=F zKJP+!&ZDJwtNIU~atEEcXxsog;E-P(S~P@N$17*PI|eF?tU+<oTZ>#4b??7ceWkz7 z=RG5IC&QkNu$>o^#j{nuZWni0l4ZBRje#dy7IesFGUO1*+4ZOMzsQ{x=K68}f4`u@ zBts2ThSQa=i=mF4y*ETT^G}@YnpdDLDPC)TcR>rzH=(sh#qMu)e}B<(EocweCCJf} zcRxe34EXrnmv^lqOm8d)op(8-PQCsXWQ)=&EkT~y760S*9#1F*C4`9(t%tjzTElLy znP{>-98@3$D}xH8N14!K<t^yEOS>=Cd)@!8LC&YsVM(ZHwp-Bt_06GY!$G?}w)R!I zy=Od7z_1vSZ28|`t~S|^yb0`e)>}xeboQT<a^{~2d|{=l>`!fD)YzIA3rRtCWjUP* zHy){1RrQ~{4k~w{DdBw{Y#ZUBW+~6h>t82aebDh7bhv45#U^MNy_zC)a6{*L`}N-? zV!{x+Q+^iAhGv#5|16cWSAQ8rgvvw8hThB2(jM&7eSgF&uf4tw+U(Jh!UNj8c<;tS zSxAaMnQl7im-c_H=Fqdr+zA^PrbK1;Lag0u-j#6UkLvHIk@@!mkPf?itqAigpUI?S z{dNk?p>u<|z*@h{Kyvr*8#{k>>8_JLvH9N5LeR#uOOV}TyZvE%E*{29d0tlEqtG1M zd>L%*tq)F6y;s7&h|b>eZGp|}W?9hroX`zN@^-NODYN$P0F^2`FLNmbUA12eN{L&b zWdqo|+hi_PUy;{CI(+vrE7Zxp``)VVb+0c4wHkJU%7$xGp=lp<)bQuI^{;Ga?blU! z2T%K7^FcZ<{r~+-|MY^N4=Wf`Z@@1m=V9b>W9#gg&^5KA<6u{dBd3zY##<pG%0?}Y zJsG*HL>G6k%xn_v?2uUF;c~=4O3<+5YJ^~uM%ziYz@7}%soPo>PH@s>`Z#Cnyf?p} zg6}22cK3I!{oN;fLNA`^w*PqL%=9|fPhBpPz`Hr5_hwwPDimT}P%<-N?opOhedUPv zY)zlG3+8-aa6EdIMcOrN|DWS+_3o9{O|FwzLcgm=<mfqmeatz{qIJQuN*3=}uYldI z8_uj1&d9ZjT*$=n|I52iE0uS;bH2(~h)A4mDpPOKov^f)Q|i28#8QWV62XI6&xJ(d zoi-f%%AyMD+}S(6oXYZgjk<<(HP7M5{P3nvzXfs%Za_MRJ1fpynRwBu=~TL~P7$Z$ z)of0&*8(B?rzdo}b7a|hZ@6+z*=O}PpQhArYAa@|M!eH#`o+x=W-)oe!=o(PvA!27 zG!JT(Ll4i8i8U-;Vy32H_qfm}yEm4@>zrD|>wu<9?m}yf=P!68$?`hZC19WDhJ(5+ zqW^t1Ts+J&b-r3eO1HYfoK@>pBXaa1_mP8cWKwML&a2CM<?*Vd>6W;VPO+-v-PxSe zehWMPWjx5`FKBY-ji*oc((3{y_a-MyEf)lDQScWMvF}ajm2y0(&2jA)tK&;+&TEw! z&;gP+!oluUvu#>4r`DSuWUCekIU|r$V&VAIUqGiw%kgTyfKHjE<JbAZI)&_xXZH*0 zm@2Kv%{H8qTBfjKxAnoI_bMyw`x9D0$6Xb1Je<`2bfxmr^};6mdJ?A27Zlk$H(~33 zA(43J4aeO4lcQ9(t4C;i2K-`ZDt#t2#kLWA^HhCrLbErg*1stUi=#QUzH^F2g4X?m z*B!L3RNk07|KX3X<^nn!<sHv{7uG42aeV8_ayo?bm7XGawa0Y9H6O&A+O#>ts^%>y z%;|Z!=2KPEqRghN_uK>iL^PS&ffiUOws`x^GcH{M+6(lW<JwDsDSP`9_PTSNvUlHb z@Y-vGwO#9#Z)D^*oqDet@g$>Zm1@(`b4n}z$u_C3Q(W;gyJ_h=#T9#-5@wb{$GghY zZMrjcrz@<mpPkV9UBJX<Qo_>n0w#9N2~+chMfP?jY>gKbc`vx;qkhw*^8#zO9xaye zdpVc$+Rg3-ZxmTxKZT4LU54(xIGPW-cgi#1=X93tJFei%*w?DAkc;il)ZHlTcy_yh zPN{+8TV;-EmNOT;%VaqXx{q9xMSBaU)IHA)m+T?;kuN*H-zEk!&cEyN5{?5|`Dz+< z0tcn!l{6w%B3_3yT@n{qQ*7yYb2i7d-OUUB+~+it5Dd9f!Mwg`3$x=>b3vUV1;?xW zLONwaj$ieKbPE50^TC^tv&XJXywc0EHD5jAnPbzgY>sJ{xo3p^eai_RJ7VStJ0)mh z*O)L>UqB?*XTz=UoL%=FHXM7;>1F4z;hI*{rHLn=>HmGM9<i`=8)RvO$f<W`rAxNU zJO1Ps$SII<JbGR@Cq#9{AKNC^D3;KA_YF6SnqK`^jYwj4JR6>O<YVw=jx5k9rE|dd zh^D7@?g9U0nnd?Oqg5`>ymZNz=%!=e1=kp<XzZGour-|HverKBgHrkG8kG_Uquhn3 z#CdEu7s-<Pn&ow@^MvL<pi3wY+JeU5<;9*QZ0T#2nX=s|=ZuU?nqSugEm4PxseG&Q zXa8E!95Jm`=8F9>(DVjqydpeuM)MU=7q?avv~>V<e3LEc_@;cZq6_tHt(F=&I}O(b z@wI_&z*xE+bSM&NsNm^hohJ%b^II)fghrfj{G$XKsCW$8%>h2YaT56IiPw6d>5Un! zmM_+%Dze`^Ykn|vR`WeSohJ(OlM?yZ*f&pTe&i0C2tNthHUjGK7b$XEuK3r{YT0r3 zj1%9h`jkXIA!f0n3pJBkEj@C#Y3BLy-2&}lm}v_iS}5TM9kg|)J@SO({u75}ME0El z-3hh9fPJYv$gRGhK>&Wx&Ex{y#R1iGT4j!y-{c9;<dZ4{O=^JlJjkAK;=2Tzl9)Rg zbiao;XturvG^)bLU2L$U5Z)6{Du1<@&kZ!d^a*sY`;kREyewDj>uR;^01XO3ZYKX6 zal-Mli`X-T&@@H%x1i&io*9W1UD(^$YI)-BF(<xXp!ucNt2$2-ByP%>r}KH;1|9O5 zr*p<J^*<=|z$5+_cY@AvvYyu}bHqO}kx%OR#tF?&K*x}-2F)032hA9O?%MjT0XpU4 zfD_-R+c^hMml@1B>crRe8#G{Hsq<t(4Cw4G&|#ThW5k{*Y-?$ixw0NKMs*soYvi6i zXxGS8&`{O9R?8DdwjBvQ<ya0nM{7Gjx8)0Y&`?!hq9XfY&`DG;bHs`a)@?RmpPC(c z!g0&tLo!$1r7E(21V!Mn&7j*-zVh6eW0`R_MUnj_Xqv_kbn*pcLCd!lEBmcpfR<nU zG>)9nd=Qk{DojDgo1AjuJ5>%^0s%S{)e3ZQm+`hkp{E>c#l@Z}7|w2$G2u^5<O>3w z#r3TQw4{WM+p^;2{ymrN|1<BD?A(Pk?eT5r<&Bp*+b!=rJ91d$dCZK+l<bEqPpnB? zHZd}3*`l<hX%kZq8!7i^oYsg|Ha>jjh%x9S%QHSk-Zm*)K&M%LYDk^dx&MCY-ksl% zZ+=}B`~Lm=s&~JC|NZ=O-K~<aCr9hIvflpxf4@iEM33*O+L0<ok6ky%6brE~_!reA z`fjd6_T%aOECO<p>t<U8RkbbnxaOw*U#)|Jt8=^qO8A?uepA<&rylXxrD>8j=e1ok z7JLz9d40zz;G102S2mWX^ObHSJWG@CJNlDFT32<&9{qz#?U2n~X3JiE40caS7YJD| zs8eX^cy>1Dv|Y0od|S+NI!9r}?m(W)QF+o$zq~oZP6(LTv?MHj%po=3Z^J287FT`c zh_`M{kIDttz*byYTF$b%wCSwj+DpZp*CKra$}A67u?tVJZ%b%4ay<H(!z{`<;Aa(N zb)LY%q}QCQ&fj1<9GS%Dcvj_L&}ojW^$HQsUO)$}cQ5yqJvrAo0CZd6bs>{Ia}$=@ zIX+hB4Ew3?cu{xzlOJ2>a)KA<S#x;Fxo)_2oWm=e^V-fS3*MNryuRxe@NYYdv<>J0 zBGBT4f9bDIXlxT*7Fwy^<a&uE6m&J^M3$@eUK@_wWHH_Ewc*NDmaWz-r|<X&d@pQz z`p-9@{+kR>daEpl)H~M=mu_=N$vbZF%7j+`FCv58!N(Gb9+a|I(x{X_7*(&N@mJ=c z)$E+!%&EQtYqqO9{@gB<Q(yrZ^j3omdaF8Kl^4>vro7^xdz0!s=tZLD@s{G3qp}no z-`aA3Z=jl&u#;c-O1$TWL(@5=;v6?z;^&aM@4VrZJV%$E?}jLozK0_54jYcG=Ja~! zzTsLT_<+XeJ<w3wEbc4&GS)9(pYMi)cUeU1{Wn}>10C7joT+Qnzu?t7mhQLC0e|nZ zc#Cjm)paGT<mSwZciV8rm!<T*QpCG*mapzC+Iy1jc|(rQ{8aF63C97i-GU}@ej85h z=IE01-f&ADym`~DdBGE2mh8Kp0lyNPO0Nk|xmpvKn$PF>GnUgV!Y#nieZ$3zEK}_r zH=KaXcY*Go>RqY4@ioV)|2+wv?3`KurY5XB!Q`|0+ccKbZ=3?k^P8UD^9=Z}(Ijf; zcVUCqhD+BurQ)48obu=FvUAvQE1sikzr%)O&u)KGWf#!1+?fFy={IqF*~|gHe`+Pm z>$$!G|FT)6V|)WXs<TMn^$)1@*l^-AOQ?Bt$L_5+`dF@lmOV7GnBMo_a7B}4>v^S! zWp<4pRM`dIgxp=dtnW#kPr!e>CeeRB7d}`vO*OmAcR6d0Ld4UpEUuebR`2a!@Z%&) zcFnW}PgGg5V?6_Yg*BB{v82i?N4$@0`n29F!9rRGe%qOGX-Wx$<J0d#Iz>W`SGf)* zt>#!&-=5I9mBqB)bHf#@rd$5PI>y=6KC3}1QG-~%epiloXv@OuuMqLlm<7Dt<2JO} z<eop%D(E+><44AWQvX#nEQ{HFve#C!ES)a2W;4IzO=r$)+Co$Qn;c{l7Yg|!cF@gS zFa*5nLn!1g`@tmXw;c~dK=++N&yK%S^ZCleD~c>z`&A>Jl{D>oF02EZO*0o*b3rxZ zhrvOaDgVwn@g2Lx`P+bf>RQl>IYUszCJeh0%I=60-=tK~kw|*nmLBU96Zxz_H#OF3 zG<>MFIC0PLYashx_Q(^C|MGRt98}oE5w6L86f{Y4QBUW|f<JCz&lEN_waSFpgJumt zH&cBD9n#!+6?7d`QX*f_cF=i4%%HgfPtXDgP`#GB(fIu}$9mB4cEw@%wDsZUWp=L? zgd`}kKMn>R_$UrKY6`Sx@>{J~k-+}mR?Cb(ZLKmY^Ai&JqCj)nB{||TOD$i#IpM^& z2~<;6v2U8t{D&R1zE2a>s;LxvmJkA(*?7OvfPLaQkVW97g|F6wc8-3t0Ih=PZng9{ z<M&AG>4KfM+{F`ab+^iF0nJW4;{Z);%xtwhQQOxl^F==~k&o+ssv`U0XwWT}>p{mY z8;U(!V3elFe$lt==-HXgx5{*$DC`66Fs(QZvI<-=pFF(W?$v@)A#O{L`JmmfVH+nj z=S*(3e6coFk^N^isA>ZpSzQCVZR>0l=%CF{+jP!2rtpgu1>BwAYT5BL@`U4GQ1f8p zq*fUd{j@~BAkduAH(gMG&Vx4q?jF42F8gF&k|O)xnY%%^TqW9ZTT1AqCh~dx1~qCH zf*LgyVnqVB&8?OzK=+gW0nHQ@8iS4(J9|iG3uuMrOJ2~5&c&)bEG<vu9X=%UW!i=b z&0wd=7(p-Xy6*UK2WV1jE@)B<bXV8J(-9{ezk(7$nK-xQ3j0HcWK`UAo-D}R{aEX) zW0oGbWd_L6MyDfBIDStMd!|s%!)^IudgP4eLzSQvouKAo?0F}?W91tR*r&FGni}Bw zAD8R?wO1T-j|Ignl{o^sMzmLj+tNcXIgu|)JK}`nuME(V5-D!W6Z1e04FjEnwpHiM zLGStp=3gJzdp|jqRlyiL3$bzY?tzI>eRr<9W!1VxZ@u^?>bXm=h^R@>1q)X8m+Gx8 zQ><PrYUvR%Dth3xUM=&hWR~T}<EG~$eeaxdl|ENgxOr<qZO%h4aqCZFPrv`%bMIqG z{hfo|&;Qo#-%<b0BCn|AFQ;+7t(d*#@1mukjhow&@0~d_=YJ5hO;HR3d(HD7&lXvC z&YHf5aqiLb^Pqj3<_xl7dt?&+!}o3O;oZE{g~!3ALDcod2FJ^dGro4ePrLjGv@f#~ zw&U{s+!}xQj?2f(oR=-W+ME+r2HI4n0^NcnulF+!u4L^}(8j4s&_<k_3?&h^@AsL! zW=La=_;~UYe0$6;?M2SZ=AN#b;QLyiWx?UD;CJUCn^v}8M{N25ZM)n5tNrGdA5jce zYhr#ahHln*-vl=Yyy?z7<mQ$?WeiqpqBd$mcE{N5v4QXTxh4v7j=21pWmms5Ur78q zt9_Fu_)_)Bh#i-&*LCyFEX(`tX|`6L$Dv(R{GSQLpnLl?;Rb>C+{Mn`ocVDxXwTiz z#n3G@acG+)j~(BX`7;LOobMH8tf1{PD4X1@LEDtt=bc%0l^b-Q{IW!-nxb;}o}atC zpiPp~bZ>6CC*Q!Qz3)#5L=DEiptt_%mmh5fx#svaXyD`{c7B56%GUn;vZu2dUu?)a ztPl10VZ=_Rt@AqhX8Qf#qB}cG-Nu^x#fD#v48Pi#AbS#j!h?Aic-P(G^Qo7A$==?a z`7r~wYwX@^&?YzV_B3$td<nm~#p3Vvn_Ftsph@Gs>>1FmvI&~vB{#s^Z2#qN%KW(y zw9VESsziR@M35;5x|B*HUVDgbez|h@rp!`Mgx-^e?k@6AD?5+aYPqX;k@Ne^=RM+^ zGHU}Ftk(SShHkdJ7q>$lzS$D&pi}o#FF!g33L#ipeXskk7M@nYLA14cbLLObR!h_8 z{LrnIhY?#XuWbTtb9+>1w$@I*fp4|=JLvW*|1j`|C(s7bYqr`}DYtgM>c6?=pBIDG znvA!w)O`Lt$n&6`a5)!BPHd^a+n5toW6U5MruVxT8mHxv@OTHiW_J9^WlwEETS(V5 zLsQtptME-)Ti1aiY=2bx<yXf+$>&e_W@u`bhHnUaeNRHx=<S_KjoH`wSr;7c^8cp` zP0jBR`!T_p>-*N6s6R#wvSD$>7EmR-b>RsfwB<MOYkyAEKQquK$sbh^7uQsT!(F`g zD=2e4cHfj~%IDC2b#Fa%lc~CN@e$}o?$`IkWsTnESkLl(4cdr$^tw9KSKki9eZ@PA zZ|1l1zuL3K+?g*VKK*45_0(QnxDxQ5yKl0mmp#2xf1b%|%`W|heG3_8lrm`B-I)hZ zKH2V|Eu`0H{>=X?!~fyc63fjEe5>X8pxeULs}W(ePeQgMGX2rbEp^}T<V4wsLKE6{ zesK8%-o^%sG5hyFa-wwj9onZ_!?v;AdkD`L;NnMJ|HQJVAa`HwhHYajm<4yy>j<&U zFDD6a&ivX5+S954P4n~5#9JUXyT8`!;M===u4w-0WnY(rcG=!%Hh`3w-xf`VZw!_; zku`d|-coh8*mbrAhfgI#ZL4_SvpqeSL68}g6wUIiQml48xRMi92ik9`8!-j4+ubf^ zCfrHcp`g9vKdm=seq9RMDfwaxr0n>Sk_(U6yTzaax^!($R6S_N<(!}EAZjXpPlEd% zyi?L#_vEspcNt%7D7p&Uiu)e1BOV+;f4`?({`8NbB%<`(OK99bEQLqx)^+`SGyU@I zjc14TgZ4yw-<u8DuRH(F9JrIePkS*TGu?Tj@9X8DjjhY4r$RUSZwGIo1^XP7qU#_0 zy}6~r4wRzz+rUz^F-nTQ_>4d0@+XkTOHZ>y)7jx_cyi6=1(nZhwKr#e1!d)ZrJ>MN z9|X@k*Y1kQ8ohn<r@(A&JikM`=>4d<5Etj$!wWL-sRQ-z-8W?#UY^HV5@E^lVgLER zYzD7mHayy!3->%YRr9Sox$J4D{OM&^m%%ou@6ZOh*<o4Cg~YXYL0L>XJ^k{lEn9DH zsZ)Zbpf}*{ouGm>;`>uj@SNLub4y)3gVmY}GpL$;`BNz6&;AdOZ*GZ@ZQ#=`XNT_A z-RlXDb8zu<R6OPKr#%cM5nqd-g}mLI@`pL_t-)(bV@ghxM8!<;UF{93P8Wi9J4Z5x z)f9a?db8vkf8GD@U*tK8mIxw^c>KAuG9zut%!q9te9|UcJ}-Ec@@h)1oWbT3VTW~; zjlnxLFNsB}J>xVMKHalPb*ALJOx?q07NvI0Y+c?K={D_T)VxV``(IZTzwb{6@7=ur z_0IS2zn>dN*cMMd0lKCm4|+{UGx(Yg-Z(SS-;*^Mq-|XTe!4cfZoBh$>U%c#kh?R% zyEFKeBOcx0++sHCkDOwQeC|BEQk78E6}7Afjjjt{iFMs@=P%3G`6>|)E1TLjiY(iD zsgI@ixzL(TEel>4HC^>n)!5gR(0iKGYn@8OYUhAoc1@+%pzGr2#M+lG+5S_<XZ4?N zEYfEbSM2Bjuf+6b>7L^q@YSg4=sM*Ub?gU)vN^Ks9KoHp*>4*k>P%KxVLdxxr!&VZ zdFKs>JfV9pAAVY?ywsaR%A7N7XYYcH{)DZ^IlAoKHym5G*>LS7V~%UHTmz~U4_Z|= zZBiFnQ*P$?vzx<g$Fv2HbXlbDdI$UzY;pyS41m_2@07YLd^sv7y6M$!VVyz+$Ft>v zI;BjGZ#Q#H+c{yuJ5!d^TRC6dciwPlK8KW@^M*@q#RhYZ-4<B$sjO)cH%C~ty5mQs zgHrQnL6#J%>x0hdW^;Two8#Kf*$dtrW_jJqacX~-zE5`ZT28Hfy$OqdvP`}2xZ%V{ zmQZ`o4L5$WT$N_=zT*+_`8kWXtxLe~%%)V6@1T`-+6@IK@2*vIWtdj2?fAErGwg(* ziA^7P52Iaw!qoM`B6}MXw$2w6sh^P0yI*KZ&yvciYjruj-aBnLXVbK)U3g8oj^odM z;hX^Q7)aCHRX?__R9^g=Q|tefgo*4NtNyhnY!v5MwLdSvZ~l$W1!=PqRyuQL=_^M( zGilnT%`xq_mgC>4EZTos7CfwF(dOlpTCX1QbnE9IlbAWC%iK*2VoBJ#m!nHxE#h%4 zi>kk3#Os)*OQlVv>y$OB)elx>bDRS0+$?1gjo-|_zG#X9_|}rhrd97%Bi^Jmy_&BY z@#qp~i@s$;!t$)T^j99B%S&vVQsvZF{FVV-ULt;Zm3?zUt1X9=o(lMSZhw`CrA`4~ zlA5mW^9}eD)?`}e8t^HwY3n>yjlC!9B+gmghNU%MU)h(k9s&REvPjQy2>58sA|2}y z@H3jF`;Je**Xb<Xyx^^yoxRVKqfGC6Y`Egnbc>r~n&qqoc@q<M`U_v#-;mIn58JV7 z?klVLw`sx0!z`=+G%tA3_B%Oh^-<2Q_ih`G{bNz>SBiLD(R3+YXic%5<IUBa*LF`_ zP%}NDxt&An-`oV{RD(IE@)aUdu0uzTR{!>u?UeNl_}k3lJ%ux?Zeqg9)f`#(9X6ba zWhs?ciFlXK@>QAJV6AAJ|Ahkarm5c)GiodjYRM~TRIwe*idWF6Q#~lP7P?Tn=<Ah< z*O*zB&R2?f&f2soTIRsVYHPtM_h%<GJ9BD@ahO^4F8K7EQ*39`f>+-;#iCsU{+6?N z+js_i-p}HFl<!DE)_aEyXYN3qw!3?I-;;a(0slpsMD5%!Z1CG~={twiea{W2@;O1b zvcI)wIcjdtaXBj48hjA`DWNHGUK`GxWJx`*81X)+>C=7H8xOugo%Yc@*xjvPI7CiZ zXVXn5A8q!l;KPJ&>O5IcXTV)N!J@5I#soBfv1A%(Fak8lzU-tE-!9O=_)gH}9sd@C zHaXk}bx2Ph++kT9P-V<*DFIrhcgk_HQbh@uLQM(ZqMs}Ktya`dX_Yy0J}r??Dn3z> z{bV-iN{6#LPZVnPxr;AIf;R8%2OV~Gto?!3Q-%L(V$Tu`CWEeiN=W2$bKg9n`4edP z`$Qz@R&vngRM$65X#Uj?IzQYNvc=*@fvm}Y3(zoodc+CG>ccwgF3F^TmOS3Q8!@9f zrma=x3uu=JmwcKc`(e=7_@(KforYPU1CNx&iUjt84ipEiZaw0zbH?$o*u!T}6n2_& z7hkwFwN+-x^UVhAbCuy!Au0AIpwS&8Zp#z(pgTN32QhWorzG;Zm2aHT{OLXDH0jGa zPZsPtm=v?rvLhF?%+eEd+Lb=HWrp0rLo!SHKzC>xN6ctGQ>pW0LA5G(@dWUmP0)S_ zuD_u13Gnnzn?LAm?w77&MF#hhIQ4HkrlyM(3CulyNahM(vLgG(WYBiVmpV@rB2yFj zqCg`YUqGjOr;CENZh{tBg4R6#1l<GT_$J`a92t>vkRw57VpVc-7Yjtf4^UrF_3Dbd z>=DrB&tIT(zminBEi=kM3v6pavli1@El;dTS7g6?mj7_*tmZr4bfDLg>m(_%9|p~s ztUThxcMLS(Fcmbi@x@B)*@7~5Zp#kPxR{hb{QUF@G3H+b+1H-sJ05z<(dytKnUb{4 z6Pn+ECc55BfHrP|f>S45k^QK5#Ej;^$*nR|z!$jKiWLRa_Ox1d*dBM{do^3G5wyNm zQs>D6%kEZ75732UZ*4#~l7l9ZAX6dV-+<OD#~yLw`*j_3;8k?QiGv^FK<CGEa9dV9 z<^Qv+ZVyZPIjI`ZNmaQ$b5cdy=6|2V9wFtWVQRM4d*+G@fn04~r5WKKfiGA#hH-OQ zv@CR!RBe!FQQy!hAaY#3j-jhlZxf?%y8@%I>f*e=igi6nN-J1=#5O4=uyqE$a(BP8 z=(b(4Z1Hi=$-e92zW<$5ylMCTZNJY?Uv}x#^JUTYbuYYk-3WT+7qQ$c^J)C1dz`=d zy?2NI`z+wGwVOMmf-&^J7WgphGSEVhW4_w_GF3;HzrPTk^YeGTy^XueuWnX@8O#Po zkkw3o<Tu5zU6{z=lJmo@cgeSQE`^}pU7#f$AMZk!bX?oBFUh!H=0bH(9Oxif*mAEQ zJ2u{f9M8M5&F#`3buNXVtKiGaP2~b0M~0sFRgy6KedBah|F1^m<w4T_*M4UJS-GZi zo!g~L%Rwg}zXRzhtp{Cjd_18fevXSl&cm0@RsDW-u4RP`&?QNCgP}{Wu2rvh3wp~9 zI%@PY$gKzUp$k_oJJ%ka_J2yBpZ5HYFV{ob9c$_tU_O<CuA!2STQ~8_zbZzTRTr5- znm@jWF7jEc{zY`Q<nDXPTMS>@GE7Nh03FaPKOeSUX60(rNzeAjGE7|cPuY|yA>wf) zD1g}(|GuNrl(^YlX6g48`FaZb>|v(@n}35IK)a^0+3nJ$?<E^n8Ha!tQ2hn1c?Dfk zTqtyKL+j)4m$p{vNT-G0%guHN$H<!Rmc2`E`Il^1^}hwQ_VgfZA=9q~`;tzpue(<L z<-9iHUf7=xV5_Hi_b-}w<zFvfSns@0Wrn3@cE2Ki2J(aNT3;Kg+LUO#TyFVyiMN`F z1v)h~&CoSa%idk|m=%BJ;HqEopfy{j*VbOFW-yRB@WRf#GvUUYZCk7Qe~BWm-K&BI zYqs5riCexj@r9N0fmWZs-uEvQx`<R<U63dG#?P$1?$2i-rGwq^(4&td@*R~|mN~Gm z{<ZqrNzh`igD;^;@1?G4Q{rjyb=RxE<m({0wxA#C+P3{yJhGni8bz2!g@dm)K9;^x zkm0bQ#NFuh*V<;A%cJIf4O|aeRGE2f0qD;2$MBnezb<jR^k<fE%7#^SLEy!l*)gEi zc*he;=FfI9$oY0l_-W+&`;ka1wvy*VZJl*KQ$;s-&B=t&bZ@ZM*KyDr-oXhs@!Qr< zYs%m0HXv?k|E&#L|0LAV@ip#}$Ew`AxjbQC&qGcbZ{~-sH(Y-uJm=?U^^=?Lp`K8# z4qb?6R^zI?vi8|YqX^So3&2PF_S%Ea=sliLk{`Q#{f#r%UwvBB|4sA&{4nC0KXaf? zUe;H6_@QNm+1dHw3=>z?tpwdrT(}vsLajDW=-`H%`EwV2Utu4`&}<1?1bwUy>S)vJ z`PZs--fA{@T-_N2T3q>e5mYBQ1;3xS?E4EF<nx}t+d~t@>$!i__PW3AV(JL_`x><T zv^gKT+IIQ#FQU=^KEAB#|MwY`oM72aX0z+G)8Liqy!Y2kys~c@gVNO(X`lja$9l*i z-1&DweqDe5LUqqKaRK<T{xy5*R>KM;St-xY=3=1br{J}q70~;{AxT*N-m32_mbblm z1iMK<dN!<BxwCZQmMhu~9#?0AmWP+h2SN%meLp3MZ6A*3R`s8I^|p#1UK|<2^!;vd z3wnDF<jLP)Pr_CsU+#acz3tET?JsSANuRq^&7c7}+T0GlY%tMXd1Y-rs3<xNE{ZNg z6aF>hUtMQItiQ-D_GSI^{`+y3fLY*`pg$|7!y<Iub&px~9_*`M*@N=<wF=luWN<d! z`2IrmmF>udN(OXI=9<cGw@a7oWY@g1SqEC{Z4FuupKP!T;>THYtNPDbg5m>qw7LBv z=nBVs(@iI3^@GkQmo#Mx$ZA%e^=YvjIIAu1*Ae82UN?XJ_ZRzjgBG7c7ttU53QYyl z_m)n)Qs>3K+D#u+G)T+;_k*q=UAq-j9OZ-7C|}V+%HTEKFmJvCc{7fE^{fBj)$l9c zUP3O8ZkNBdeI<{)(y$P=m~$3$?~<i|ts<^kezM(^%iy)PdKGe_y<7c7Zmz#5;wtZZ zf0se+tg^rCv1%!I>V{SOw}G=6?>1<mvV8k%?QJzTe?N(QU%w2gRB8SV%c6hQx&>8# z{hhiYD+IEH^zmG1l!gVzZ=AVWZ(@3FNi@=0&g0C`Oy;Ybsd6@dM+n>MSIb4gSFIld zExQICQ@+!a<#5_=-O6jP%f%0DW`r$1{jn43;92$8Jfd!u%;X6x-3D0?|1J(%H$75m zO5~ouH)h_~bLCtQZZfzSL5`jO=LcO}z84g&(YK8vuI7t@Z9NFu%mK3XW&ca<Z8g8+ zDzE*v*Jwy*h9$uSPpH<zi|r~Z_~!Y(Ee16j^n#Qb+IrI=b?LrO+pGTn|Ed3_{|^gz zK;+A<QrY8$HGB6aOk0??DXQ(J&}X-qo~Omq)Mjd4w)}W*PVk)I$Yqao4NsqlN=|iq z#+oacYPMyohVe=5G_{$M*)vn7RrH<_+Uz5||9<hjJwKn9pL=X$f4}(N`}5Dw-~Vxw z*{pBRpYG+`e*T~D5jXL~k5KJMl}-0fr%laxH&uhdHC<@UHg3m{dpWMn@DC_5JQ(#{ zXiA*phI3v`n{u1JzEjiqFL03Uyw8HI4_6;%72pfMQ=qqO>qVZXQ`Ukyo75ezR<d-9 za;}>1yWvPt)2wh|ovm#PzQwYfw($rkciC{~7kiS$*X>FXiR?{n#T?+vmz0`9&&`2u zfZvt#%44l#K#l1^sdAwyG430#U1nKYDzI$pxoIq^+tnlT`I|mjHi6FOwrNgS+|8jC z@3`RvFH2~?dPI({<5zXgX&q;(Q+FhsRBZ9i10NfHrHo~3y0Fe>d&j%1ET`wX1?>0Q za4409H(YQ{iMHcoc8;*hISXENv#ic7Gk6#FF_I;F31qFqMV6)hsu9l*fxCqV{icGh z34L$X^vPcR#)G=1F6XA+IE58|e*K(rP3g8!NUi?Cq~Agz_LCAim$I1JJ8uY?yWrba zmeY590>0ZdJ^kku@V~N2G_IT@zKG%Br1nlv!G@{#oG(<!H-+-4YgBPTuHxi8D0N>^ zqf+=_lx@?cet|WgWgKt%e%f>D-Zhq|_kA}UyvHKSuX-bc)A1?SK_zj2g_@I$EY_i4 zL8o(@3x)g_Jt)L4eC3b&!60e*uFR|RJU94sEqE8la$43Ypx*Ex*L<an9Zd;K^94=v zgx7rXZd%0LboHNOK+WRA26IgBK@S}9duLO+WUIR4%Vy4NnnF`-+Y|OaWqG>abHhQY zrmp=8H(oe4ovK%lNNGN7xOP=x)6p`O75^NYRQZ%vRLUF-N*BBm=eFTYD0DqRdAPsq z$x_Z&>y;xO=CkmYvMk$rNt#nC-+#j?c8;!dsu6D^njWP#neKB4_~g~JHBUjKmi?er zHs>ij*9~z`Jb0G>k>)VFkq6n`8&mWD%EXKRSf=(XMm#BLS~Xui;!RQ0E8Qm5JmnQX zt(%t4Q&{m=>7dbU`J*3qZ|0a*E${gEFGrY$fQil2gr(;NP4-PmnED>J;&*TAE04F^ zS&qspM?AJ?QB8JFj>^vU4yY<`D*dLaQ7v+?%A504{j`K;E61bn1#?P_9iQG8(D|g{ zc(q<wXIm!Y;g$P%4+`yu9s@D^=1S$2${bnqoi?0dWhvEHjCi-3<!inY_;NRS#fVIw z3l)=DLHnguHFjwq%#v5ssFOG-r7k$dW`4rjZcZ<A&TBghp-X<=><xCW;uoIsU;H4O zw0v`BXbty4H_fI~@@f$({sCWInvR}RUhyxr3B2|-8NBw@Rw3e9WYaEb-6I9ls*N50 z&gBgIaRIzORIjq)!<C7bI9Yl@tE}AuN>mSK*(+((nIDv@SJC*%b1+I;raN=$JjV^^ z`dCtpSzgP!1pKRL;ytId;)iNe?>eOwf1I0K-_3&L(4D{9S1NB5bS#Z-$<*B_>Ug$X zNXN8&!MB*ER58ITy23i&U7LP|3$6LU+|*Vsu;xp3(=mU+HJk1gO88yW<qY!{61m@; z(8~-xLdH?hsp#PqbD1rEpgY96L3dt(Rs&Ysau;9N-P<bj<Tw0;3wh897k?_^rZj&7 zT`P71bPd*D3+`fp=mSoCuRzy|359~rmI9r^5Ovgv@7DGW2JAE0q0{z$vL*7M^`dv` zBTqQmhk;I=I&?eo#KD4cP<Pc(tjNHwtJQKvO;f9k3usSm7U*8FkDz<S+}c}ZRNkj2 z@<oB}Nc{pj_#pkVa6xg=gj#9PnnjQ+?N1$&;pv+J-(DLr>(`3ri>#nUD5hf16pC~~ zD?veB>${+?b$wzYpAcx3$g^y5&{71ggHC+6c5gCZpIIAm!tuKSXeojbx8;lZ=MTw< z>^tSe*X9dyWUyF~!M^FB?X{Kgots~Ff(Cx}K68m#DsyBzXz1sCk|O&_(2~Wld95-_ zrf)D{p9@;Y`Ar+N5>yJb5|op>*kA|f&hP(64$1V)OI2il`a<Z=9LtU~DT?e@V?k$! zgRX3|o8M~bp)(Ker^<JrGebb57vL+pE~hE7-vwQgeg|}?*MA=F;(!|;RAQFO@T7y* ztImx$anR!MA(;@+7SxQ1t(Gh1o^|4T1iHKJ80h}foo%g_8F!C5@m=BvEdjj<T9yJ{ z0rTdUIw(x?wZ)1i+;42PlsJ>9$bOU=b||;q<W|cLon%G!t8AdCKkLNzs(h0HduOT6 zlLbFbxQi!T(%fNbnUR0;kW9)p&}fP+XlM$wP6o7hwbdAu9Kc6wNyLH1mzHXSmdjLu z&JVW+-A<mG$d~26X+m?wl9tsb?7g}XCmf4Fr-p!!<o=!xJCfT(?Ad~F&@!3RpcOr! zqp$W%ZMBrJ1>G~-4_W~#4j;z~F^@iVx=dj9(MM1Jzxlj>Iz#8qbY5^Z4?E!ES;VqQ zsgD-6UK2XqW0N#9F>Ucy9%JG2Ga^%#ow0PC+gCZm!vD<GMN>PUGMWmX?lBTR+w;i< ze3+%~8J$lT3^s4N2tMKB@5eWw6E04F2F-i?`F<qr{CvsEr|~vh@BaVS{Y13$$Uo3Q zR%R7PL;U?0F@SfWUu5ytRamiiO2W)gmePDB@b3G5fi(sy89#&$dd;4@r*7W^_v;na zO`+cuG_0m4Y*ps$I<Fq_cn@f1BuA&^!)>+K!QfLVL8lV6LoPveZTd7@=fD;-4}p+7 zy$OpgS*G%<M?6t!TJ>B&XWOI&zrM0~-*F7s?7rcORMV~39Mf+8nDX^7t2g+tz|Ejx z{@bk!{{3Uomhrmqfu(7x7E5oqz?vdi$BVZ)!>T7N_>t9Q8mFLPS**Bz(^g%_mm&wP zehW^qZAsYsnDf+p-wg*pfrnmitn9k(BP+R;Qwwx1Wy6A3#Vp-tEkrM`+Gl!D$XfWy zo#_cHn>n+@Ij2Rs1bjDYdb-as;J;auXr14M51dU?|M^|0IDFY)PUs_OMdn^U%POc^ z-tl8I$F(0Ojwg*duI*}B@FkSx^&PK(ZQdL1J!X0O-)%$VsTpBM%>{C{C_~ocDL7vB z7t{$;TTv%?P$(Qals^0ZJgZ5&rZ4!G%yQbsIl#QgYT4F1uQ^}6ci3>KnnOy?VZ$YL zPAPqrh^J0Xi^2ugTvO7h<3A|1Urpnu$-$`qY8rcgO3vA4Tn(!&;)30)K69KB=P-+K z2>8g<)Eg!k@=xrbQn_%*U(tg}{(>R@#SaQ?G&Wp2D_<=l%fIPXG3PYPt_AOEnx39h zUlFe!@o+Y5LTPTWyVrh|j6Ks5rq&CI+$|AYw)IvS%h7W62xaepPaI8K{ggCn^$uET zbDp~Au;HF8%hP&CaLXXMsn^Vo`|!#?YE7<XkZlBdJAWNqsl4$p$Ex~y37x7eru!W> zgiK!W?Jdh`8<&7`(}P9-6;{}P&hg1^H5W4R5nS_yvFTX5z?x5%O^doY!*<VE@M9}W zc1=B`_McOe@X90GA>dbKQ)!ycu`Q?aoHyJ%$MW>P|AvDHSw!u<H(XR|I%V4Ax=wAy zFWaW0@03^kvu;wIr@G?jDz3K8SHf7fmMcZ58AERg{k~wO^3L-DS3q+s-kef#t{X0u zb4rzShW*rayeN1uYa7dDt$nHorSg?EcJd#LVi%fX*OxHY2z*<~?nw*&lyjKv0G$x# z%rQOY&)F*zFB-E<HMd~D9F@Y|w92#T=r^?${{ov-`&3s{YJry<$2)E~qsvnIUNK^w zf589DCeeS+7YaTXTjsu8%hLN@DdMRzEUER+v<k9rT~IMKp?AH&lsz*O*6!x?viI6> zE|?`XUM)huOm0~y__Q<6fRBe+q-9+Lex@|JP7@0GYjiNlTrlLl0ODB6pUYP&ubj@2 zm0xAIZ0nhCET!e@5$~K?zV<6bEOfc>A*N~SK9>tW*qTD?Tmrr%HeLPa5%9;g$uw@W z$YITy3K7}iO_z!}u36^5(pqP*dzClGsrrct&1#NE<%M#-2sl2q7tq<%x8T)k{R3OX zX1fObjb-tE;~!w`zu^ie%T{sWGmdGj@Y5(k_l<%#4&3+edhkrA;lnc-p84~C1+Xv8 z5cQtc{1J2|<kPu2PZV~^a~B8vW&y1QJ%32X<Q%AC1C5)%&H~*~4w^0a4cg)Wy4>@- z4!7lr{1Qd*DHlnK>_<UM_7;OKMXjIHYS|%zD2>n0`4zyv5j1}O_ciF?OfyiEK@xNv zWmBun7SOyz+Tqk4UY0NNP9BnZa_^iI->=`Gqci(APH28usB^~gWeKPnp3!Q#!UVJk zGz@gtNU&Iu0LY?@Kc(;u7EAOFh0bceCI-5BLYcex!p+&OGAYHNN;m>E2H)FiDFLd+ zj)LwTT^t>8!ttlN&KbuihM-&2xj`3X#`J(L$ON@Oj_`phw>+_D3Z)F(mKpMg56Ps6 z`E@;bs0BJB@<rHkyDN_GR_i=jP;bm#9Pq=C+fu^r)FGKE|IRq^9n%Nxa=0FM=yaLD z+_Q&du3XZ2qF@I){z~So6W=86h#Ae-g2aj@#2rPs5Jg$%i2`V{g-zeL>%qe)hKGks z1Ma*DidkwYk$c{W?^HGDK#rX{PZm_Ea2E^AKJUc$3Ur87rxmwl#=R4VWR|>3QDlGH z9yz1=OcZEo?Zj5g6S+l_d47DqIK`eV*dWbqDRC|_k<Y7r^MvM)ouDP4b3ijP;FYNt zrv}TvT2N-oZP{`E;2{~4D$s@uP<vr*vLgG--fYmtqce{>@on0@!GL}5U(ovB0I_EY z1x(zQ8eyO<I9#CHJNjBJJMNrw;#&o3U~B}f`2`(KI$_4n8qf_O*TIdAXWJ$#J0|i4 zX@U+I>u<F@A#=!yZ`bk72JAaGN1kx3x8yDk*wNN1!*d%H^L3zmW+t^-uCO`o#J9*; z@45cM=3Cc5OKXj}i!a=m4!sZM+W*G;SEm1GQhBq930%?t0H0X-=gu;n(-||9W-Z*- zmgzQ)_p{5)&TV3;YBMG0ojhX@eR0!~Ge?4*mkU3CHsf+<x=l*T%!srlGgIUYq9@JV zIIT0Stx`kU?mT!$M_KIp*MDp4cE5jL{(o(#O}X2XsqtHNxBvSuKXH%J#A~a=HhHA% z4flB|tRBd4Ez+X#8rym?MwZr1+ROXC<f&=YiyvfL&8cPQyW!%m?rVQj56=(k6V}<p z?0D6dQ|$Kq1-}AWymb^-RGJ+O(&osz@3O&X`hs`LET?T<1LB`2T4?83flsD<%)foM zRnV=u3kv%ZrYduEP2&u+p1j~kQIlz&f<~qK!6<&=DRq+)=AQUDBkZOx$F<qM0d?U` zyw3zfY<j@6|NiO`DK}v!^IW?!@rEqRRe7a|Bwfd|(>bTj+BxI#_H;p=&D@T6ce0!o z<#;vUVZ)(J7T)OsYf5w-AK&H-t87^CLaOO%oQj6rZid5=$+y8Ze@s!)xhoT|)v+w) zSB!XG(X?r~(3)^{4ba}n?SdhHWDmOe3xxdRKd2;q-Ei%yXkndg#*SZg4hpdgU-@Hn zFlf2Jl{lXbXF#W0uVFZ(TAn}GYSM3A$G^up!z6@F?!0jF$zHl$(Bxic!qk2N5!;T0 zt@?r@_A?WDKXZ7QabEjn==idl^IB!kf;Yu1ug^v_J)BaneUNP>)M+0N2fMqm3x)hs zI;f;B7-Bm;VPh!E)q0l=M{HS4*;%}8y#uNbcN?rt-KMZ&w=(!(%6f)_T=5DS6-o!a zOq-5rbB6tV2o1E0yO;M}0TtMi-~#)y)=tZVQPu)e&Izp9Z0~q;G3T{thk$>-S)|t} ztoXs))Vqsi>VJm~Cps3a(mh-tcDrZ6FKf<eH=sAZ-}!Uo%ET)ZS+<^LIX%ZUpxp9c zk-5;7{gV?~?+csMOiEb#RqxmqseGpmUXvEQ$YWVuJ7>X<hb-AOy$ha5vSjNjYt-5v zv?}*eh}gu$F@4Tkf7zF7RW<&{H}R(FJ^yjETp(u)x8u`(L7gHE$E)jwbi!1@O`_|9 zSN=#I4B{8M66d_(j5kYZGA~d1&h5fi<~wgV<PP0^dDwYb-;{k$7k-2^h3ctjRPi3n zl5KkQU3ksM@TN)n0&BK@<nmel#f;_k8=ru(_@=LXY8vrMHy(&Ib%_grFL0YLq*HVs z8faJd`^a|M`Um_iot_Zot-_JDZ+gPYT8=DvmknnMSxWuYBHq<B{qk)Rt#i8YA+Bla zKc5RfESo~(b_*TW+$DN2>%F4JKJ$Z8KcNx&>BdUswUar#^pzsgMICQOb6nfqzTnS% z4zr4B3zEJB`D8EF<<QzcJ7MBpj#YKD5;i7ttg7!$=q%>Qiu2kKGJC<dyDX<|Kp6_O zo%Z|8mC8GL^$u@&mG8IV&~6T?G>))eB94z2bB6s?bG+EfvO3l&;7=NO#Yd6p!6@aX zORv`-D!6vDXTh6qEU(`>2K<}MA}s?t6&$n=sV@1|i5EIer+AxO^ORQn@@_gRr?}!D zf0Js7<+9MvXIZ>+-2<x4n^KEdO8M0z-o0k|dR`&oVNz4ub>TH%xSNjEHiiDPgEp5; zE*;qtRxRxK@g_@lO~ZmGHceakR5fhpC(PAyyt$O+b*%e@=0E2_y9Szdo-FubF7_<p zixB8m@{e5WE;y!u4kBC@IivYTj95{?f6zR#MPd-k`GZUx^P8KWeP3qxN?~hDtIQVA zT(%KtU&gz?I%gd7PB`)X(g)SQ<}sbCr!+qVH4a|>)p?SzN1wYm;HM0?Wry7PLo!$P zop$1TR4VptL7^yU+rY6yGF#q(Dhu_98O`TDgO)FFfiAJyw0=KVcqU&Jr&!U1deDHv z4NyPW{`4W4p1x#7_NVVb)f#9+Ull8NvB2)Btuj|YM_WEB1ho>(L7OmMWZjt~vt@fy zBA=1<#tF^u?&+Lyd}j=*dv&;r16IH%;SFNI-OJOU1rTDO1rX9=MFODN^%Z}+TV;-< z$25ccmystNjgB6Y*#bJQ^ChU0d(KejiNfz(v1bd)`M51l#2-5(!*dH1pxK}R)!??& z_z&s<=TyAiHJe**9k>>I6|LspbV%k%S&Ab2S5R%&$sBRQaWkkI_5)SJpdF8CprfYl zojD})<Q-@y2Iy|^hnZqU1~LDw{wbFPbb+ejZ#rijQ~kw?1VGz6R)7w!dIV}89J>h$ zKkx~g3OkctE#`|d-#DSUWO}RR3!6hue49X*$J=f#m|8U9{*+criJaq3d|kUY8?Y~y z;kML}KX^#S<rJu)pa$x%pL61S1v)gm(>n5m<7d!W)n8S(Ei>HL9X~s>`HULKB5*|Q z+6>wUvt66p^2Pb&L_V%|Q1hz>bQ-u7x21%BY9gPOE@(vwXv>K05huP!pv5l7PJ<4^ z`pg!$t@#@0?DBi9t(Gs&fOhLL!A=49Pfp}x^M(%sXvA564P;+@JMx6%Pe#ygp|)0; zkoc5DzNC%Pg;R?H?oR{l!AVqPKl>N7PD4@W$%0x?+O?e8DzoM{e_inYzx;m%nH0d) z{Dj%5qQ^geunq_k?CqEorN<c9q;O12NW?Hq&rxu31ZRhYYt9aK!On>64T}T?4_)oh zIGEMTA@oE{Zz-b}r|wcs1vkNt4%04=H64sDYkS{Ye?EKDzAWADbM3vk#rM+7)6%y^ z@6St1IqaT$TlW8V)k)zhm;Uabsv~qWr8)B5Do>GyU#B_3Dkdy=Xw@_|P9bB@)34I| zi$4Uceq+&it>@(SPzJ|^FXjciXGMZf)-5!0Jn71k9qSbE%d4q$n$VP3?+y1RvphY{ zBArvnyezbky{Y$^K!{yK!bD|`Rr5SH+?WYIo^lbibjiDCRjL9S+ZSdzZQ~wL{;YS# zwW8aCSNsLnY>;<6e3&E5YTkm6PEDbD3L3jw61L6;UkI4&5b!CoX{*_O&cm9vvlHgp zLXR^%cW$oLrP=NQ|00@r#RNju2<B{=vEY+0OZOV36~83FyEpT%9osT(hHF4^dDBuO zmaY7%5ovagca1sVS8f`Bn<d_IWy|}f)Ola{A=woACe3H{3p1A0dpp4gcGt{U@WhZM zdn%{beCG}4p0cE_SB}VMb^Li>Am@XL<5Azdg9T={W-Tb1lrXUt>acZn8LvFvWHh~s zSBQ9&(=_Y8dc>=!rdy{ur@a)uQrnfV^S{8A`>(?K;z7qW?&S>orQrCO>7dqpb&V+1 zh_}@&N7pMxJU$1jEFP{@2H(5cnK1W8?u@XTb2+cw?q2YRox{wcYr&)E9A;MC3qF-Y zu2yJI*x1go>i@)qPI1nxeMy>ao3Hq>Z2hhh@oWKjO5)+9-R^#}C#Q0N?^dv8;a#s1 z@v^MxST<+aPiDu9&pE@Yf4-d&_K^kLhpkjN809W7#jZ19t}*ASIG+u1lNbESWszPp z6S81ayxzVv<%^8t)9Jd0w}{=Gx8PMYxG`8YFQHSIBde|zyn9n$G2)q6)2`K=(|*f3 z{!Ql$>nJmr)3%v2tcu(5@lKZ2HL!l-i$c(*RW*$|JMgB}n`#l+qK+?*b6$(|4=6h( zJL6i_W{y+)XC^fBI3Dd6$}yS0;L~&tG0Xl1ulPB|c28XJYcGp8xLO3w<Mb`-TVl3X z`0}c~ya$bT3tqW9Jz;0Rz!m$p1n`O7?FmcS93Q{t2;14d;Ds{F>c3ML?09#m;93Q* z<H=agYq#1Ll=LOcT?{>G{M<ad(j}mku<`;q1-gz$cXOJ(5)S#top|{E-qVI_SFKlz z$PsUP^<E|7kwDX|`AQM5Oqy<mHl^w*uc+odSX3@}rG7?2tGz$;9uIwAS<St#^7`!W z%}khT;&`!{GwipD<HummYd@?UPkM7++cj&!7g?6qHZB2W9vkj;vpkL8$#nQ;f_hWe zdxaY>6q-)WSBQ8r1sbEP<7Zn5triaXFL_YtG)I=5!v@gq&GW)Ko1faw2)oO2uqa=B zMZLm7E_wBg3Za8u@v0d$h6lCmRW)`^N!Tjq_)(qn+7I|GtFGdgqq3{P9r&B`7rc4M z@_MdAz&@u92U}T0>zy`S)Mc6aUOnOoXVa?x3K2Qa_DcAD&E=ftA$X-m{$NnM;1#>R zgqf|-L5cG7^Q|WRHgx=J2ENs|W=;a1SADu7`(sJ%jr_#{m9pHH9q$evlDTpZR26@Y zn9=Muu~kL|v=MJDXaKs(kh}Q8&WWuuDdC$8*!Q}FdTpRxn+cagrSHcS9M@_1&}XS} zOdV8{^^}1s<L{u#7<B)_SJ1A<H$0$*gBf@6gdI(-GA5u+l|iv$MHlK?S}jl59CqT{ zwW}X=-@*D+MfSh3piO+cBTpPOIDSZGirrx+zGKTl{os7xt_P2_8XiPdb;Q|y4Pf6I zzhOf24=&Jo57}Zx6KZC)T4uP0Jq|tPSd}gIY{6!3Zp#z<x?3$@$R2Xy`!qi-k&kWp z#tF@j%t6D^;5#>y68V%s8wkIK>zr|XqX}{&sGV@*Ro%a1yb61E@XgAfA;Za4JmJ>t zR+%lJ>oSd4LEAT{g0^oqgSKy`Ch~FFrz^4_2F+?*(vLiGu;RoanUH@+o%j}QH2q;{ z%D(mb<_XO|;&je9KK`roM4?iIyZ8d=o{uHxlM?x?zIqCRtlBZHXu{sCR}1;7K!Y3q z9L1g`Z0Kv15s3$_?u`ESLF?&)J<8n00yihO%7lOe)I+Q&z<wrZkUvS0{j4{rf&(9) zFs0S<1n7*5yXv4zH)ntj1dr%8Pv_&Z_UU@?D2n0X(Ncps#$N;2mokF}&kI0<=Stj` z9rI4YPNr1jF21k>bT0Vy4F>FM)j^vgEybQKF#gQA$IJ3W-tj{+UxIX=DC`Hdz$|)N zWkmYZ6Zzb@LA5Xws1{BMVi6B#Y!Sci`1RS!cB_uHX^QM$8M%umR7`7?F)^<fSbs@o z320H{H_&YqX?on2FU}<=@)aH5G@<z)li0Hb0m+K&he2y;U#f`}8N{7DBoiW+tjK;5 zv~V+bbNh#9PZaFBTP-u**l9L(XM=4r0{LhyD7jVHaTi~(Y;KiFIiH%yR|Q(}`G;5M z%)w)z1FFBoAD0QxtUx}uGJDfe7m>s6kJ!v=RGbe){B&mD+|;>3`T&Qcv!d{(uA_k) zIF1?|2<u{$(N+~=S)!M?M68|TsM%V{mr0jb8LV_N6bq3#!{o-+<G|DCxl3i*y-Ggh zb1TcGvi0Jo@zwC^#r!?DeaoSste(ib!K?TTX1`lwqrq77BZBd{%!d1hObIDHTiNTX zH!xqY`EdE*i5IYSMYApbOlmIvkn^f$A%jxr+ayz_goxU?(Dfa4G6y&8Y@b!te`_C; z#2H5DN|x*2q08!Wp50jg+Ez>&blv!U(4vK{??7a-!7lz|f;`a`PlEQkfBuQIP%T<` z`bp@)cHi7hCN0|+$S`r$Za2_EsNXZ8b{0+Em*m~Q{!(>GJZLovbdhA``wyqT%7Iri zeO+!kDQ&%8gNJF%ayJGZ>0IdABJ*vpw9Wp05v}Tf_zz?!^f<`=zP&;385bxscHB5J zQ_6F$G`B*~)w(rq3_PpfLl0Oy->)oT_We+3RsXF``KR^xVCT&3i-E4sFn*q`qI)ll zVdAQt;AK$17DF9t(3f!IP<Hrh+ga{gzR1CrK}Ex^kdOGiTFNu{yO_h0S9zhz3~gWk zEpubw0WFNH35(yjbZPcixx0_oU4}1bx@R2>wehdE$)q%SodyrnJ1aq+{Ob)lwe4Po zXZ*&O&ifXBm(bIHaQA$_A?$F)i$9@jgl6xEQ(pNwTXxMV+l8QYN&niQjs?f2a{h(t zD}JEGj&NTFLG3i&o}r>^x2!qzY&vMc;?`B~Pt7j_J6FC(Sz=qkpWdqeXOT!tnzpaI z(#Hb|*@)kHy-QZsT18x~_3UMs67{~;{G}~}1YbhQe48yU201Sm-@ja45-x(YMrd~& z)YiYhO(&(zzkYDlL+LD*1y|hUvtC2A=KD<JX!D)F&+olqOeE4Fb1%eT>wI!9d%W7S zsyWp3cVmupL(uB^&_%EF<=qW(-o5!+)qm?1C?!CT_k1l4U1esr*;_fYa6jmH&%L4V z85dlMk_W9)gQeRY58A8xpWQ;z8Et<Z=26eyB`Z_6pG*iX-vnOK^wkb@-Ww=NZJgsb zF7?ivp1ybYKBN^*dvl@j^7?<Qa^|-V`I8A(KOA9_&|z3=W(7MU)*?K9<IC0Wrli;I zScs%|aV%8t?21U`mBn{rjUqySSAZ8vu8v;<O-f+Tw(WizxxSPeu`X%-d+3_b8)s%q zd3K*RKamjnxd&|RwOt{Q#AGMoZjkfvT4m+6*|(9j=JP_s>DsqyzH<jRXTI9P)Dd#G z7<4q_-f7Up^hv7r=(M_`_4gL<-p#;P%m6*~vRWTnHmv#oukT*+w>3;1A&0v`OZgVh zhOR8`o&8mGcHINJy~hiXkIQt=haJWD=tXZ;|G6%vj*zXVK{~(QzRCe!a{Ku)N88!I zS(EQA{uYCDzT(%K{W{YbOH>#HXIpwIXBMV{4%0IQtpW^#hA+gU+hg~-f1ZjQt@0HP zkfI@C_qvHDTX!)ig?<OEkc@b*2wnB{B(3)7w0XZ;?=Aiv2C@?7O?Ueh(3RK!C3~0L z+{!AjvTZwP`Ken!bXlgf^%v3E|Bk2aJ#JvHv)iKK667$*#gn0dYgRbVEhxQ~(Ph;w ze^AJN-3JX>a5k;azgT^R@Aj(i3<4(^ym${3#o32KGmP<ODbH?cE`=adbC88m{vgs& z;x7ARjyBz%Z7*$S9nZO5&CrnoUUi*c8wS<)Ha|<nw-mHQyd31jDt!=XC~;Rk{z`bx zOIzE$?%$s<-TV7Tf*E?i;rqGEp(_^uZI$xee7kf*){SswhNWgzzL4~DuOc>n<4gW` z7pi&uk;>|y889n_b9<MZ%LA1}i@_z)He@fZy#K;BYd%Uz<OOxAae0P{?z^i8S3T<n zl?hSpZ>H3LWsvAQ_yQ7>hj)LGJFAbpD03HV72ez5CX<%UyL@ofyVoGCRqpSuRYO)? zSB7*Z+_<D(xBUByx}8XiE90V}w*GB5os<@T@!+b5w?SInj{lE?9<uqkMd;v$motxl zl{*}YRQ~n9gB|;OWU-Xz-0dYBR(-p-4^k9CmoY<%BJb)ia$9#IrM%bDuw{;$-IX&9 z|2wj+p5+c%t9T8zWc#2+QzCbKrPI8x&%Pt)&cCqo`tAM<6<^tJOL)Rc{WZWR@b29V z%_)=TUk}fD`Dyu+$oKa^3$J07#J$DP0_FApVCBqjGweZy(G+m0V7AKv8ml`3;y1oD zt)G@&yJI7AVPp%{JNw6ax1i@wYC(lj2&6Eoho%TS`@V!5cXp*eiCmwn*^tgGa8hE5 zRKwFf|H46K_ND*7f5`{7?)3(Zh}8agT`YV2<J{}fvs_-Qs9o{kS*mI^`BIS4PHENP z<(FTTY*NwP?tQ6bl4|CpGqLHDqLv4%EnRA0K6l!rm5(LP6_(wRd2Dg6Aj4{|%ICjv z*NfkOK5lva>yCNz-<N`xGXDDeRk~z)j!@-C|J>Ve|Nr+_S?`hZVbfF{p_v~St=y3# z#G0^pE6dck@21-ci%ne1vFe@Ih8z1>uJWr#B*{6RH98pdT<A)i!-g|kg)?&he9~cL z`5#;=?k{`tu6sZ|??Eo(X-QGM+Z7@-Jt3>~l))<?f;qb8J8wAlltp#DV#I5+rc1Tp zI~r>n6ZX#hUU2J=AB*%GTgX6!o}5u>N{GUW8li(qp-ro%3+a@ZI)07jm=@s`@Y%3w zshPl)zOROBQ_ECVSa&DvZ02}%UNPb!OH*6A;F=Oc$H%TLt4pAx5Pf<xt%9njEcj8? zWNNlw{Ib?g=7Ukyf>Z1!B+R|ZlIqR!`mDM}z2HGMZceRx9vd#kvP_j%jCfMnv}*l6 z{=<<vjsbt?vUtltM@aJOYF>G~s%W~EE~Hbe=y+G{U{SrwihA{fT>OG2HGK(7!|(QI zn%?V6m|89%a(8~hRvX8Uk2$~{T5gVOzcd|RzUI8P6Etri>agR<n^P;5_m;9eU3}VL zE$=qP6+g<FdcP^J_+!}Q+NZkWmub_{bLuPp2{)<UVln;iwc!dE_&B1?AB%XFzq`hA zdT;N7y0(N?(7jRM<0+5($ZFP3UGTAzW%ZvK3tp76tp3{yo>g*VQ8lk(xEz(O-E?WU z;F`_)jyIJ#u7Spkv{|I*cn55B+;DL#%hdlq8&3R%c3Q*d&#@A^%;mHC*K5vcH(D1w ztLB(?O7O}&?+s^GvXq`zjCkkH@^!sp1n4r--z?g;ju(EgHigESKiG0{E@xP^x#LG? z&TBuuK$g*2R(`rNF>LCBFR3iAV|)X?g*APhCIr6OgqKA$ez(x&TN&<6r!t#d`P5eY z(rh~Fr?TRoZj-8>;)<W%O-u9CR_tv_m<gM@x%)NPy=Z-<z~xo@nGbTkSIVeRIOsKB zB_l>D;^}M_*Vino|28f7;meZ!r+L8>t){K>)HU|rbe1@0#o4r}T4+r<gX2$sft-Rr z5U1_@(YsQ4F*m2y|2YX0r8!o8;}EN!vEbK!j%hc(B>Jp=Ce1nRR`Y^y|5#4T_y&Bp zXnLx}@>O3U;$b=q?|OCc)m!00Yd*b!&X8R6l{>g4?Dn(;6<rCv`~p*Kx)RnVb9&8p z+;HwEOX_;1i1oe!|MDTTN<0VMq}LxR(AwXaF!3(us(telHY#(j66c&|Q43kMap%vy zD-*9wW!bu3IpSGa)2`E;)2h1`{B!G1iqby9DfP~6!=>Ng^Uh8^=jfW}w&7MeXIH%A zhGY30US*uucFkY#C90{^q$YDm!b#8!N!e*n!G^D8iW>jpns|#Kt3Fn;Ox^Fi;RI9D zs{LvaIfjm3t2w7dI0qPil2~uFmDTa>T+V4X+ZViB$a1>2ZNa~jEZQ}ol{3y9Wvd>h z2D^LdHXYk8w5EvP@#0mM)qkfi_;KdAW!+<47S-=+5w8=PF0nS1`l)DCn;op0E->Z4 z=s`Af;gCJE6Ba8vKK(DCBNTmhx{Sk)6!xp;{(UU1mK|r46xqLm?vCsPom}`cRqUBU zsTj9q#&ytSxDx36#7@{nTcGPBTI)AYXnvUH|6#6`254&iSoJ0Y_NhADmL2EP68W;? zlN8xM{seXX+b1jic_{$8=SpE`!7I=xJm?n5SkSGUiDE?)WH%eI@AbV7-`cr>o!e5Q zuA|jbLiVf^-zm_bwo>}03C*wgBW5&5Om3CA0=oWE1vF287Ie4Nm158)#FLYESQbyX znFn8BXb!r+V<+gG$bW&Lji}r@PZBEFxQhdRflhIXKYB<er0%>E-y+b0i>-{H&4|f~ zd{XOUx>rwWegc}U$u1WwnsBeb)$)bRNhiKd^V1Xgs+Mn_&|Cvr0TE+~IJ~JGd^6PC zR+%aC35k44p!+OdX}j(4Di*jst5xR8{ZofzRE$6iAp}7o%EN7$G5^>hnUr(MitKl_ zBW5(;$p@X9dHRry$TZMo0qA<o6#s|Mo+Rv1<t`4m30j!}*|k`5AGBst_M8*nBk(ZQ z%vKo{P)|RK8#GV>(zkg=t4zxK<V3!z?1&SNb;mC6@G3UA(bOs<GFj)$!3=rOJzJpF zoS?adtHGcJlc1=svjh!JrNLK#Jj(@L6Z&fNgywHqpi4QKK*JO@ZPVW>Y!?Pyo^tk( zj0hj-L`o*^;sC48R+*mjX^DJV(>G0MegT@Fc`GJXBoKe<kc<gv?BXQo1T5`seCF!x zFJ(bDysUw*m$=ysI-ShCy;bIke?lT3TRLcn>L|#ig4~uGpessG2!Zx?PHDC5SevfM z{`KtqqoK2!!OKc^ii0jKn*h3sb+ZBcOd*gP(-ZlM#6g#qIf*@6Q1BSEG!wio<M3{f zJzqe3J70n><@_c8Ja3^kXm4lzi#e>a$G4w%7uO3am~e<MYRLy4Bd?6us+1)U9K@w} z7ClZ|y29UY&ebEE&z*R<M(kY9!!vqYN<OYBdFoNV^YFx|zAHsbmOcJ+amm}2yy{zD zw52b-fBtjT@%DN3d~r4R-hc1jZU64yj+33wWzAo|P5;+7b2@kKwKblH%jB)Iuf5s6 zg0ap}y@5He-o5zZTyfL$)y!7i)6RTh+VhTW!QrmOjB%FBG{L7V?b-Wavhh;X)2z<N z&GPNmX1b90bWSStXrH~8;fKJ0Z%)_!8)Bxrp4Xv0^t0Xx$RSkx=TE?n%~(^K2D&-@ z)#aO8b{R6rhUx$CF$3FHQ<MikIOFb7(0NU-L~m}{X9~JjJ|PvNX3t*4F;t+_i0anQ z_U*O?op3XA^(M%%J$t+1Cy#(#v-W?EneKa#Yr5^H`!b%fY7muQcM{>6(vlM;zwUN# z&iuNXp(JAOpGlBoS$-^;ugs>P1U^FP`>z)hGM}&W-kkaOHbY57;mK6!p)23eF1i0c z_2!leH3r$RxWBCsV=B;3k7^G~zx?Su=rE#R+VHbd;Nh2@3p$KQe!tf2u=Sv8+<CL1 zzMA|S?yJ{%{d_aa=KW1FTYI0wp<Q%)J@kyOd-tZooD4ZE<^HXlD4FuJJPQt=(q;Uy z^C9@muzQoy&Ps`&?R&cX`=-pTw$L+9<o8VgnFBt9>e{^*6JD15nwk@}_x<XdTkgS5 z_3{4(KC20Is?VBg1*?=>Gk<T*iK;hXkPSne{L>FU`Deo-4p}qMQ8M-~+j636bQomA z-tj`u531gL{p=JWLk2;{Fp-6akNLLyxG%pm!}s)OrVEKz8({~bRQ&ms!=;eK08$gB z?$YkFT>h7v*;+jwhxV)PutS7S{>e{+o!N6O*R|cpIz9Kqvad@SUu-zEZ!YA_o_ldK zKt2OSDmaAx|Czlx^W#&7l8DMf$6yf_2v3ENK}WNR-aonQ>2>A{iJ`BdCxZR>@CJTj zPt<debEfB>T6Xm;<BJVd55L1AY$-gLOQ(ZelbwHh*;iS{7aJZa&xS_)+irNogHIy5 z|KV>=R0!jX4WH&%Lyg&sIH(GIvW*$vrp%uXprg>f9fmmg$A;-JKSjLW^<u)yFYM)M zmtRc;osD!c7Mf5`{(`%BmpRBa(e-nDU)!@RINYWE{v0HaZa070!Pvn8kFNRxZ!_IE z9*6d+*Z-=(PX5sbouUIi+YWS=)y2i3X1f2y8u(V{-I@i>TlWyo$&Lo)tuN;{Wqw@` z%3Ck*L7n_$A;LM}gV3gLOTGMS#{Bc3qsIan{yH&&4td+N*BWjcD6{`N_<M6^;iGRk zQF+|3^m873S`jE2=G-YcQDX8l)lB#PX9u&jWo#25<-y@va6}kAZQ{$`D<x|*SI+v( zva7$DFC>0_2Rj_7$O3NByVsFttUi~eUH-Kg6jwW;O7{FsgC~pTWzO$2>kl5jxy1r> z{NFw)=t)=KpS_!<shY%~#I#1ksT~|$e!ol2boDtL+C$f~CtPDZ!?oej;mL9*moX@@ zfsQa+Q(6Q%IO<sP%`Lxb7_8RR!47bnKj+hIc#_|>+^yZmx?BGIvag{aH_hFBc@6Un z(S)P7mxD7QJjUYx?aqn%0xAtp`lmpSbE-~9L@M|os~;<KqUy96WW(nE`VEbZ4}ajX z5#<d!tI5<q{qn1Apak@59#l<=E8P2cr-I7nuk~k^eFdFT_2}_=s9m?GqdMpQhZr;6 zcc7ELy#Gysq>lG%&%#PxaC~j~*_sn&C)2>UI_%w9NHXNlM^qJC^{i5?_NLGAU9HY^ zA@S=|eQbxJ34snntGAqe?L7N}!(G{NCn4r+X9veSC@OfthoQYaz3eFH%&eX7u0lLj zk)I9s6gaD^|DWW0x}Igh;Z@P!(jnp3&JRvtpdh|>OHkHm?!JKZ%URdr(-~yL_?iB^ zuinpi#!7t6k0%%5VYsUwl)>2f(k}msZQqpn@iHt4?NtY*GVl>p&C8sZ{Z(F{dO7Le z!<?vh9I)i94~{KFa{m4P<`x}LSqM9t?8k=$xSQ8HN1j=B{J-(+FnQ2Xan<mWPz7WU zIFr7+sFODLn#$~J^FcL*KJ199x0dedUY!yR9SquC7ZRJ7InS@Fe<*!(ON3MdpEf@$ zG^OsXybMo+;G((v-(26*pd|PTc3h!d%!m1KHBs+-`DXf^pJ)61?f(0Wdv+-?$cCNY zIFoxO6ZlX$OI`PSztZpjxBt&7RQmKl1!L;X%nP$qMcY4qsrT|cEw(`SU=(l1q$usg zz$T4jTxK0fYjhX|mAhDuO1Y}Madc6-HmfVc<;VspLDh(Z5vy4OITVCk3>QsR=m=zC za^n0gHb4E@smlE&&&;p?-BWz7w*JK#YdL#6>rapTZMNR^{_pas%jMYr?UP)UjN?pJ z=j?j;RD;1)m}T`_uYelKgHr86Q(_!9Tzd(*i_<fpO!i>acEKt8rzbS?vWPynX?&Qo zWx|3_=UBSm7&l&9bFxXqVaI~3x>p`=B${3=7t|@_b3A*RV_KAV!0)i8)OU(2eoGuI zx@fp8w4U)Gm$jftjMs)sjx4?XY7tLGnij3*4BOqZpkj7HZ!~ms*U8<>`?kobYS_N^ z^vRx^%XvyqF+$%t;2%R1Z=ceN3Za8;t2wmdT{fIBWeLq!ipa5b{3>`*$awytf~-8} z4OfzyZdD8FY_5T>JbyPa*u6+y0CZHfQ}cp{QcY9yR5EJB4{GrXid?-s<672djxK$r zh{tPLREt@%Yo{#u@{#5B9N&O%Oif?cDQWzVZsPqV%rQ;IX~&aC^~?Ku=BcmP^VL|! zev`7})$Ia0+w2{`_6z6~$~d0YIvDg^_{zRX2{T`FzPjhR;Z8lrD|_z^ho+rRvfwRO zh|u)CP+{K`YG(so8u#VKO69GfMWFo&z4C%n_VgvJwHKNqC$#3XiQ`S(d55=LyFG8g zpV^#dH>NLm)Xrh1B^Ux){#n|z%3dYnO;ppXdWDEb|Da1mK7+0YKRfUEmMnFSX*YWp zyfbQgYUdFEx_=e42vqN&m%T#9p1y>sa*h{&bA(klE%>1}(Nh2KdQFM@QtOp8c5)w# z`YkZUt|eh^GI;QaWxwmqQ&%S5vt)U?-+#lwsHU#{>Nj4<HJ$1g)-kGPTNe6tDogiL zj#c|7Cv-M*X4N$%tPJMNTCW!IEU0N$Zqw5`_kjO-O``vxH>=B?6I!<Qk~W8wzGB2v zSr%7*m58+-0e@1OO!v73d`fECx=vA}*7%^+YtB=4P8;s|94<I@oYO4AC*b2-7HM7e z6@LnwT>YTCeRux4x>9)~H|MJVQxZD$9MA3-()nuOn0xlfmT5En1Ih&t7Ue6in6Di1 zFqwt7Up?ZbHcPL*Lc~*b7T0`*h`0JIN99!_ls8>GeVEmoPcf#b2y}dSl(o>5dy^C9 z{^dL+=eXfsA<NVKJ{u1HWD$*Kk&g8b_<0_3<E>@W(QUI@cZdGn%HsXTG2pW;i?=98 zmb~|dGm+r2qo%p5s_$Kyc&DH9RlNHK(3xj^oMBaM3qFcTCr7Q`)3)HnJC@aZXG502 zwk>!vlO_8qr&qk=hI3n4Qr{~?ysvBe<S&@BA##eoWkbQqqi@w*8O(0=E-0FoF!3;C zC8#mSs`|+Zou@go{!L0)d7CrqzWatVGZws4+gv#nemS`y_!xYDL6da?Yd-Ztj|uBC zTi$o2&N1MRS(E8M$ABW{gHfBGCqyk37g{q}34BL6yYQ6%DhJt?3x)i#I_NeXay9#Q zp%7UCoo^D3Uwh3D7EFtPjRx*3eC3g)>G+nJ6Lj>~yOS)ZuX4PK_t|jhJcrahpADDp zb4uAeZ8+sNJ1NR_yK2N+UY4WAphchx>?aq4_78M|_BeF4TE2*}&}`}sVtD9kc_I#U zq4ZuoZp#z@K^=XYGfsS6+a%W=l<9c}I%(=P==dhkF2i4SV$T%HRJbiyOplz=e8da1 z&{B@OctX@+C%#*tm4Y)t_nm%s6nmy%o?Luqj?9<mpp%B4AUdVCpku7R*nxI%Hi33< z&TEx%0d=Ia?x!lUe*|BWnICb&anpIwQPK&D>@Pt_HJuBK{;)|lB_6aC;ah?t`_J#2 z4A`4N8wl$9S}i5!9(Ce7bw4qYPbnO}&tk>Ds#lBolFBztX#TYy<krnPPZmgagHE*0 zVh8OX0AEmk+=*`&==zPF=Rs=`W`c%HZi9wQSh<S>UTv7r{83itjN{XI&>bEo+{FR6 zYrOBwkvXzGJ&{i;e->=7rNYklSKMW{fR3MfDFj=Lu>a^GnJ=K*P=Cg6G+=KAty%my zAJoY|<HUDrc3$gh6ZVNL+?FfeojN3Q1T+Nl3v{w}5-Yc5#=LWfWR{#uRb+pw#$7xi z(p<BteKo_O_SXxlZ-dU}23>ve7c{((c<$N`FG~r%ltex+YtV{N(CSuI(D2Uj4F>F6 zFM}>ekpV5TmE^Yc0NrgK1-e4zi!Epj$`Eul`8m*O<M)_ATQ|XnOwEP)XhFq=EAFyA zeV`lPK?5cyvc!r4_O`TIc5DTmA`ZIxz0jQ7G6S@4Wl7rE!=a}ftE0u9DQs?Ol_@a> zt+8bgE4pCc)oQ6R=ZF(u8z@>Yx#~Pgs4?X(4%nFqAFnF;d&OP$iW_K?OR?R$OEM;) z^T|$vmV#vKixo|XJ9kK?q%TF0{iblljOKe@Vnq}3HyE%to9aASP@&9SY_O{vGynx! z)pLu@T%G-DrOp}096``M9r{-<+v_tZEtLmv>OjnGL@hBkH|%?SrckE1c*bLk^mkdE zKFj^QPpa^ooU-LbikHrI?@M0luS=|agNp4vb>~`5&hVT$d&?A`k|=c}RW;8|`|iK4 zdRP1J$K2OdG3U>J|F!r2{PXko|N0l5D^mG09yGP_JzizK$C7{b;EOs^H@oBsZ8e+I zv>@Pfi>F}2(sK$cY7Gw>t>%1n&t=0MS(dNAS+s2&FMLpKnrha+-zfe=cc#t!gsHWh zU3$t9Z!MZ0o#wo@LTE~i>xOH+EKBp%Bc30GtUg;mFV?<v$#*5kpT66VZ84kS9$@IZ z;bKtJspCRAMOKbixjDt6Jp=w$LAKj791L=9x}`6mV_YoFv;195(^E0wEA~?oT0sl# zEL#dr9=>#C;-#M~z541APotU^Wpjq@?qBf3s>yVoy2j3vIzHKJSsY)wvb>gY3izhc z^wm#Qqh9YIn>L45obQH<Qcb69n_T7Q!#17Yxiay_vD-;eSGOxiBq=(cZRVV2HEF>& zO_tLk9IxWsHr(0H`RcychC}N)r0l#lT(aksa!*gT0Nqz&;=1A1Y|buu#|_8Wq5BC= zZeHHEWuHU9FRiB1TP&&X)gs;tHhuc9a3kUHTZ6S-w*_*xG%WZun?uZU&VsCo2^%*< zHZW>)X4Um4tlZ6!W$&}$%w=#raY(h?d`5GouDJS&{n`h)@>Mb_Bo2DXD`eED9n_ky ztWm{!Fzddm#y!=D$K@=l^OYjJIeC_UnFijf@QtDAYY}Asq9m+klD@pJCrmixAKyWx za-opFx(Ac|g+lssK=(|sI-Z@&G3{3Wf^SAmseS4zcF#%Jd0ya3yz2(1?gbCuvS|Np zTkx@}DfAy~hhxd+oih8kDLa0w<+xTM?Rb*uVAOKqDf>DS=1yfv%~yzcZ`Sl_zuJui zkARQ&S)|Y2VLZHY5A#7KZ;n;_rb0F<OiAeEhBoHT{<<>pN-4|M>q0uk_KtT;Sx*1$ zUhq%tv%y-?ZR#02Iue%ZI6hv?5%!bA@#1HWu-`_GAG0~G{Sb6KS<QK^YR-Z$GZN<Z zme+ue<^Yf5pIgFlpo*LG)c)3lW@S#Tx)}+Jxg4MB3+h}_S@Fxc>F7H}$h{@1D}K)U zx#!fCoTgivO{siJE2>!_yJE}^a>dU9tzB)Jn^kf3%0%$RCB7R@H7s~x%(8lKmAcRB zA39B@W$GHBrLXP6Q|x9Y%-zg!>Key2(Du#moMt<^7d(>ZFsqui;M3|!66chnp(jJc z+|7OEq2mnR#yH0%;IlCJBD>#QO{sZ`D{iYsy!+1bb-q%>!Lnmpr1CsBT+(Cd<yVM! zI+w-OUnOF#Prx6cCewYOeG`f;-hTINN|%(XJHBk^xK=r7!JD2~gSB6`DQL`BxshPs z1YQDK(R4~)J>p4H)2evoh&O6Yuk2ML7WoH!UeDsa*Cn8ObF5`<8tA4kZO}QWwafaR z-17_A@37&}aSo|CmkpP~Ii>D9Y&hl5(PifWxwph)gIkWd&uY*XjcEelvndT(QvH=9 z-ZM3QidVexU>CHhxe;`4h`r-edtseVOpaHt>w<P~dI!|zH>vWeuJ~!!wA71b>v`oF z&1atKJXui93pzm2klXUbeb6BLKTt88EcR@{ms@u?23ty;PfX;~s`l-A@J-aA;y2%- zcAwfSj&JwsJW;6A;x4}M!vs`iBqZ`h`GSh!!-r%_-X$us-#iW~hCw$j?Y}4o8fBkx z)`_nRGzGG_IpTz)<@rN0E`EuM>{p9HqwJs+m;ZFdo+%W*hS&dR<Na!{IKB!LE4onE z)@pgeB^b1O^ITFQUs3gj3C;f+K~=CVs0udXE)Mu5!fh#W9dwPldE^PlEuamNbqAgJ z9`$c9VDH_(c|!A(w(3XE&Nya+mV?F}I3!bI1mBae>HWN4E1K_l>O4{S?+My(s0o^3 zX>PTYxO>Qn@05LdBA*if<_XQOKv#a{ZV(99Wd91fjO55V(5wX;Xx2i4+cIPRi9<3e z=Ta2e?}C<G#(>s<=BI(yfX;?hmI*&VmF1Lw$DH_%8GkzzI;;8AYtY>&>0-|oY_sRK z?06nAqxl#oXelTgckzW?Gg@Vqgl{%rpF2C^gyT0pv1bderz^7m1nqq}=&kc)!H%Cw z_trLFtPv{;xHlbid;-X(Q1G5k+dNR42DE^6FKB)xY~zIHXT3US9AA}z!gB&>B`D|+ z1#!?y&}G%2Tfy!7TP-z~M4mWUpb46`nAR%O1KL!fB@UXl@B$r43EsDP3^Z*q1Lh+I z%Q?SRG+*P^d7@xjY`E@{Ov$rN6Pn*7i9K6TuEA}2LjLR_86GcC9c%}xgXgr$Oj)0r z$fpFlo$IR|=*q9YR+%fHxg(+3ItS05bTmGANM?!H#tG1?-dDiqLhc4Hw|k{f4q6hr zJ|U5hD;zYtCMEW4!Ifl1_Q#;qcB&Y(x42L$Jd-c$_Qna#KR~k_g$CS~9&#rS$?W;W zUKectkNcqf(=VWz4fv^*?sLMpr8clgJo=y-9OAMh)kB;^^sq+V6c@phPGOuz2}uGM z&-I6Db49u;CMdC(Z|D?qTWf!W-|Y^AR-<o&1XGsc;vB;%iXmAIYL`p4Y^!}{^`vjI zui4J3yWfk?oqYE%EBkeP_>$WBXU%Ie|8Fmuxb(`b4SrKE?OUJncCYKL9q;A8H*cvq zcAsxaRGh=rpAn1_j0S6}*Me5tB!Aw={%!xGW>1#GV)@l>^S+*A2Hjz*B-sqQg10;# zwu0qO^n6<b_Vde*Rk8}KtP%&UDf)FEx<2yy`pe-te<nBHTl_tOk>?o$bY<mt*s+5* zE(z9OuRc?=i9zY=2QJVFb1&4O>!-e){nh1r_xGH8$vd`!*4)5WLG6XEC0RXp$C~dE zKXO1zw!%S+z1CJjb^ZaJ1<7x>{(D9q=x$`#YQ?Ru=0lI!TvI#C?b0W4(1NCQ!O9G6 zrS;HDujPG|B)0wd!ClpVE*ojJPI>>Gb>G3KW#+t->0NRRWaquJpk-qJ@}bLSzW)9q zDs69krTWVy?@isz8jwTg?%4-IR|t8_U-y{x(~r?*)x$kfj0QPh)S-_3a`;!5@7^Dq z?j`TYYQM+qkjWO1#W3yj#Y~tteX~_`|7>7T3jLf8vhmtv=vihzJy{N?#n-#Ozi5-S z9C6!vHtZ0|Tj5zMy8m}DD1|<^11-({E)UgsP^Brc`|9ede!tBP=Ktz^7$){Ou4Ifj zw|hQ3M#D`fN$tOKaMiuTpyf=z^g(fIC~^0-^%qfT`90q6FGfd$mQ_OC`fm&L476$c zmQFPJ+`t#cJ3j=p+DmFB<Q&N5<*&8PLgioDwn>Ao3x)=c-7eU2q^;2=lf>jMA6)gU z7-U}*``c;p&_!GJpaU;w*;e&GJBG9fDjI%T;J#qx%*xe#VWnj&1RH{0%UQwJY|U^n z$SJ&A|5a|TH^@%tnzr|Kuq9i?_SZeKa;_g-buSNel-}QCanR%Nz9xII96lz$CTv-) ze8cy3pcC;9oB%JRySLvJ7Mr!bOJ-g#*^pH+L3YNJgG>Qgi@&de`qf`gkZ1NTh1a%i zv$-Bb!fpe%+XY*nyVc!flGvr$DH~Q*Mu3+<Wv>l~9C3KwUrEBO`sM7Wk@<1)NM~MV z!w%xR<)5jdyMEQlgwW?Rz$>q=<w9eIeKJRzZe8M1o7Z!rkyd=YhaWLld|Jx0ckw-? z=Fq*9xxp74{||<yhhMF~x_Wo7eiHfqZYa`~>;K?auK$|ucFE^+g5(;hb^74NVvEni zB3A!$c+RV~?I$<e-3da{dodW6df#63h>G}KCb=f7ZU=bP>(+J9!w$i|{oU;RG&27l zif>_u2j1FxnD5NN&6$<Xj4rFrwSp4=yX(-Epx{DDJnXftRlnGQ1o*P+-(Ap@`0QTc zj(dwYZe&mjeQ#vSlo0WMH_W%azq)$s3tR3j{$7H#Ec16YbaCajk~b5p`e%A`DFpGZ z2RXGj4^%`X8|?DGzi$1FEAL-d^*`JON{i64tUt^BG<0S9Elnv;?r>3uC0TW#tFo`{ ziiWI<vjeB%OV(fI&dx<DR<?(ke}ODa-+KOvN7TI#hKZ|+LF>cURIdjWz|9wH4L}!d z$M;>WE;)vzvAo~f53>67#vON)NmAFv9hSVhyUvY)=e0d_A@h3sCF^he_$mBFZm;Nj zq$}6|X+mQr`qvh>OJ~-CR-cxG5|LX!h%}VAyL|qI@SIooefJ(O_$sxR9lrY17`pB- zY<G-u=EHSN9U*7qLCGNMJT&D$R~O`&{rCLtuX1M}-(L)0etOR;9-3?3W}8f!wq3+w z$txSs3gp-Opl$`1x;sAAR`s9z&0X>M{c#r9;=k&Nu=w1!aN?C6@$9Rs{K5HrKghGk z6H3;<zZ9NR^J8UI|2^>Xa_9-B@8j3WLhb}#`aV<Ttj((C(8J)B>AkD?PxM1prqACd zba2B;;cKsK+n$RfmY3V@a)UZ|tF_4_v3b`Hu6h;#TABWOLMiktU9d-Ow=VsjQMU|K zGeM8qyp{%9sVLOYvGx5$kEnagnnUNtf{L?UUyZ-WG4QY(?BZ7w<cYSa-g|E`%HD?E z_n~XVZ`@gLI!Vg9bi=CjVDNF7`#~EV`VPK`vtQ|Akn`u_<}Y%4^^qKVZ@)9tvEKC; zJZ4G0PPqDE!sf4X3|_fg{Ge&CwyHDX#+9SbU)Z+I=I(&6?v=m07#c*k=9^9u3(J{s zaMiPmtOgMbUTaI&L6ct{=uleib5qmzRtAI4%k((5;0lAz^R@S3rz+cC@Q8~0t0=kV z)tnH9X4VB)c8NpR27^naxaxT}ujk5(A|06dcQGtV<1Tq*$=siIaMit+5NqpKLahD% zH-7K`|9|-ZXLx@At@o^k9CYz*=XIsCD$#CM!e>RIy^V}Z&OKb#S9!!z_Oy`^f5nLt zMjL%JjSc;87@a(`A$8KshlWPRXLO>x4NrfVqI3F0RFa(1=`(KUi(~WO{oNRQ{dHCS z{QK|s?Z0n--AZ$B;FG8JTP<(@c@H|d(&P1i&`B4^PA@A-6=F@88_JTpUJ=}@{VtHR zA&+To<mCB6P9K6pYVuy4(AagmE#6G$R56E`r(j5|&xRXZO|R4ibPClR&w6uA+cj%J z+3bXwTUkowl_TCsHvQUMcDx|$M$>|aHceB%DP`=LlQ8uWWPM!gMdM|CSM=00_RUJ@ zjpp=Prx@`%u<4S&@EYS{yJewfejDz^G=1XcG>dQx_!!aD>!-e=M)9ChxM0ZMnF$;9 z9KW`6POHd*u8zARH{)8+Y~d^SCML{eWhpIYIW6lO@ZY3K^qhJ|h3Y{sb0L#`vlFJW zb9Sv$kI>!3a9GoBc0#W#hu1mvh}Q~Dm)r%{6u*MF>*USP%lf{oQ_#4tbmM_uQ`dgg z8!tSYPW@MmNZD*_S@%_!rTeX0z+Z+YRW24&d#?>wcCu{MSC4pB)wHWzNawqB(=X>H z(SOjjt8#g}1TIItJj>Est{RbQ-?YeGXw5glrbo{O)�+RLUNVvRBfmwLfU(FE9nP z_SM%&!tZA;huMwR1xeEq7AtdT)z3(nxEZ?Va$Q~KD-Y02hrLR~BfF+q^$HPLJ^|H_ z_ks?(P+PIv_+Zg*A<)dup>__bFpjWaN{)}SIl_K2I$o^i4Et^C`0+XCwTi2Ycn?EI z`d51jHf#-IS^8ZqBAwOorZDF<>&XlLh;x|jn6=>1cTTe??|@2&4JS6UgvRfj5iWUq z(t=;NIj2Rq1bjAVTH2?+qL%HT(N^%N*FnFlv9_fu-))<IWpjl6kaaw~oHMNI=)D<X zk1Y;r<tuB<QjB;T(DdlI;F^z|O_Rh0*OZDnzKrI$_EKm{ZA-%5<s7GO+ew^bn+@F% z+MPew$|%Yq;HN;7YoFqZD7A<;Oii!il_MUhHO;bDjd-Qlbn7+ew3!?AmxY#_9W3%} z`t_MJ%wooZhk-2GwR0AL*1gu0Lg&0*@CLhQ*(++?Q;&G8&!QTy6p_7IRmOg=?Ln*E zf>UfKB<y8!{Mj#<Q=sQ~biF`MiLK*Pd10MT431arg>}9uIev{0I~<v`8`|Ihd^gxV z=(q3{yGaQ%gE?N!bKY=gI(T}+seQr2zbx8+I~RQ9W?8)_O><djNp#cId#(XBng^xc zt7=3lMZC^wx-?yIP4Qb;VzXY>_vM|k#((!F-eWAH@q6W$ZM}GnW$Jy;4JU+}R=rn@ zcmulJL@nY`P}3~mrloo6D{A!*8ubfbshyXwv-jKaf>-&j8xC1RhnEiTUEVk46HBkZ zO2kv0rbVkc!hWkce!R_b?T4!4$x@bVTkim?Du!j?V_?gbBJu?te;(#EvzWKw(Q*#6 zRe~Y^*bXYa1xM(^NvrqIuo9~7S@7#V=d>B63d=%2_p*4ORbDYyF(S><@$O@eX}?t* z|8{eP{jhR89L*8-%hK_&J4cwLpvc@xfws-Jq&d3It41WBhXz~G%_|eH8L}+(SC4qk z)U=7W>1&?S3CDlwppz*T#fk#{NOKn(%qlc6Q)7R69d!7gY~%^YQhu>#3e%E7OF=go zuy=xn&Od(!b*w=%9QztuEl=FB)ofB<%5X^i>4Mwyf30Y~6CZiP@xQ9rvjrb^$>`rc zSWqqYY{3?5Zc7Py(0)+615SL4mTxj(->SuJ*%1#q7YTIe#ia6$2JCCuK`R$Q2Vs3y z0_|A+CU9qt<%`_oPJEv-LHj`e!$(Vwe)q4va`1(SSW&<~8SY|%opV}cLiQg%By;2) z=<ta6%?9k9irkhN|3Fth<nH1L*JOX23tG4e8pGHP+8MgHzg6aoH)v30GiXpn5;Uk{ z#%(D9I;kn7&J;Gapz!MmXdwRINhiKXvt_zKhh4C6TY9`ZbVz0k=<d!hf}lx*6tSWS ze|bP>eNJhWc><c@;K@r@WIrm$U2ISV8U^?dIzGZIu5b3_=2x>pLqO+ro-EkM&s{tr z0<m=T^OBYQRvw_M+p9shzVB>nl_|*w?Fao18UO-alkq`@+fu>}e6PkO8L=XRd!4P8 zD|A2?q>AdCaeT`nRwQ6Qxz#cw=8zNLB+yXGS~Jjnv#E)ER{fv@u)qgxov<?o-AEGI z{#fg&!hTlnVuKl=qb{m98n7=04Uom8B=RYxZ<x^h3N+o2W6Ev00=#JT+J*_u&p@}h zyvh_Sx-f4ilX*Iy5$Hsbxu*}wJP`xU6B&UPTE2nD(&5XXIjs^!Zc7Ps&{)-3ohJ&n zQWN>IK*LKvf_2U~I#<Rll~FNIPvncT-ZY{43ux2p<YYzmn~Ni7G~dhAd7@Ac8cEqP zsZ~ZKA9No{`Q{1DpPE7UxBdlL!^9!Kt~o13b=@VIBcP46zxZ^{I40R~TV}`|0bQMv zq{#j@7!>cIn@|sfHom^zU*%%Rja1G5xs$fy=7uvGrXM9z)n@8Wi%dzY&@#$CQ+c2= z$xprbRY3N|EZ);SHj8EkrY%n8HWogAW77#A!{|woj~2R~7TPQ}v)!j=9{9qpx1e(? zfB&ib{`c>{o$o}8&ARveSibEo-~a#WphGTdZ+eM!=6qQcxz4nc3A{CfU%({Bf5WBE zEWO)p{w$p@Q*%XYw}8l8*A2H0vK-}R$<~?YkUe>N{a1#j`HP-(tyErnn$v5&TEug{ zrcK$L*QAA})K5!jmMk`0+hr}7V={Tcr$&};8P|YP!GlSsIagihoVKHF!Lzq4-go^2 zs$~xvo%ey9YINuBBMzVJow6LS-n(vanzrC!Ow&|9)r>to2~)i}y4ER0ybWu5^j&bx zM+?W3h6kf&%XdDUa&KP3TwBgl@BBC1lWF?2Tp%Z4CTP(5kgB-;EGwfZ&w!t4O|I`$ zSNzgAm?X`yD!)o(+14XvET+LM-f!Ils?81>adW)VQ;m4X)buM{cuj$_<Kf+$VNwDn zb+ZzthRglERB&(-Xcxu{v&l*ftM|@b@M9lKc1_cQCpAr5?OX$PxotQX3K{L!Yx-2L za^rz!Q`dTp4_fYpO{emeBUGFNzCLE@p6e0tH>XMU+<cJRn&z(hd3L4p%GaD(<($(h zI~Kf~%yRms;f%1qYdOOzv>gxEa)kYoaD4ojBTQ3R#I`?SYrcTU{=S6X`GQkwCM2xg zFF561hFsg`b79aalJv>J?p4*oQ~qllWc$paHP3Uy#holu_xo))v6UsX-fKg|`~|<_ zIj2=LE_jyR_w>igSkA2TN)gWlns%`^J@r#xvA-#ybv^W)<@VLf`=-=6U8vAKs8z41 z@k{1l*2c#MYadw)ulbnQG>KhsO{s|E%gY?sBK-os88v-fr>JpX5qw~Gz1oczl1-=9 z+sPe{OgRp#E8fqt60&Ys@Jo=zdkRNZU0=dVZ_cdsN)gY@ns$8`)cLO7^eeeZG_I6s z+0}yTrm6QlFI3cnkL=zxAz|xzA(8zv5_+GpG^w@==vh{tzB2LJUY4cu$`R?zjyKQx z9ocg2cHe?O)*NOx`WGb4OIXaxGS%LB!--aw(EZLEZuqiXt#{pUM483(zvqUKEgcW9 z+-pmi2|E(y-8<`2mG6-D!4DJ1!%_#m?yF_gFdx*~ucGnG;9%B&1&w_tYkabM%>}01 znVYbd$MNN1j%$_^7re1#c|F%Z;9oS0bc{W8FVerHS0`R5Hl0##ay7H#yu9jHRnyTj z<rV+zz=QpjHsF)H?fMgDvN_&;%`xq_rQ=_A&afZWj)!~25-ha$_AMx!lrZ%>^ky-c zv%az`WxWGxI1ft2t7ufJ9*nv!GzD}BtexXcb&hM*jSK!{`#<~99LuS-uRUS0Gl!PE z^M(_WETOAex?>#!{<5=p!%iMCueUB$**b5*w_=vlIh9<?Ld(;eo?c@4%C8jhFr%q$ zx!{^FtWC$J3$FQ8+q9@$V9ht_rbo_ArvJPHijFz)q_36b^g6E+@w@>Vqnq9RWM59@ zI8{F{q1l^LtFAv`@of&R|FaS%ma>HYciwPAY<W`DRbdwIH?9Gn53_imRb8=nLc+{c zmQsB+$eG=W5es39UF^(Ck2t;r-M+Oa5`0NH=;)&t^FWn`GiZ*vz11>f&KW1ZNur=j z$`wJCMoJ=|6-d<^(6sn_LC}fI;@rgs9eRh(o^&jX7JHVk1++DzenP8d$C-H|2dzUH z9$J?M*k*!8;=Mt$;j2LhQ)Y=3UC7yNz`k;K<O#>$pp%k5n{Zpc$SaascS+{SJ<!2e zphK2g`8P~xewe6p#&P8d*zHj3H%w@L5ejnSanSUOICt@dABRDuK6n-X$>pF`{98XJ z#VnOs@)&fjwz*i*gj##h5lNt07_o%_v?3$0xm9LL{gFd5F1vJ|EcjI|_Dta$Xtl=c zk~?!OJ#x-E@y*IlOympty<tLgSs&;GMa1fY-6^jY^A&-1u>92q9mv5SdE(#)KhTts zHRvQo&}t2ra|c3CIhJUHPSH#gD-yUryVWwIrlnOz<vFNbV+?B7#DMP8X63d#u`Oao z^F7cJ*7XgomJ(~`!)6y2{P+V}T(He%^7OY0_J9u01f34KihZ*I`$i^i%N6=*iF`t! ztGPcHi9J);I;&M?%lm{xJ|ocVLive9GEYEfVE>i$E+~GsV1qE|WJ=Hi2+)n~A18v2 z#6lcS{o)g7>&Vr}6OMnRK<gl^L5Gcj7M5JwIHCCqXq`fOx6!&FzD?GklPUK`oN%-| zeMp7}G}-ZJC1|aNB)6qT{GmfKE})r~S8G9MhS-S}1%M6@_2>beQVKqsIwP-6Y~3Z9 zC7=VW-`awz;eR?$7Ff5pTArAD$cgV4D6tg?a$8EQ13A<jv{D0<*q#Q0&h9#JNal)} zt+cs1`^UEtGn(DHT4hwegDxlE9C^aAijlkcLZlVEl~xV9oc+tXG)4BG(vdTor~hld ze<l7uvq4d_0Jy4$Tt)G%YWbwpMY_sok8E;{J9)-n^Te5n+m2+<h)kI_BQ<5&j>?0T zl9w$Dt&YzT&zTvKb|q@k%!hMxB-6xngU{&fQQc-_<eU5D@4U}X_S)B3KQEj&|Gnk= z@6SK~es3OOT>kik_WwsKbN_=EIi!4mEOHPHE;Q(5+HldT>6G-@=>Ib}bmX`Ol%|7E z)vePwD0E!tip|u7l~Rsxr?Q;B;}P&(uIZ_q(u)5&2f3u@?5f*wt!;8`i-6DS#F`+M zgr%~aQs>noo+dOcIxeurZ2E#9Nlm8f)HHU^N?5Ds_>!ITT4mdUHFJ-xev|7MP*>f= z`%P&@g~CBMci|8{VVzAG;Lg~F;L!E6tc0Rn1ODnYsa_MhQZp-IrR4JDsIBQjI+Ima z>{dTm#4d2fzAK@1GUTR4uBKzUO`-1;G;XO!y!C8)q%XK;<4?(&lZ-9ie&=S1Fh*qa zgOBFX6qsT=F<~z&%hPayoC0RYqqjNCsu~u2dd$+j$0NWr?BLy~9P6f6?^Pp`>YHZG zSBrSX(sb*&0BB|8LvKEX8!LBR_m@3c%JJ&H|As^HoKklF8!o**cVvrHzW0Vx)f`># z+&A1>&e1iU<C;bHf+t2zTle_}{1R&_eW$GPTjF3<a?_{vbNe6WY&Z+4#diL9w^Dg= zEvHufq=bo&Iakf|+;AhP>6LGjYM$DPpW01J<y2Svl{si6J-;>c>fKohJIe*H+;2~4 zwQ)TBm?O-pdBI1arqF$+jgb9%UzV*@-Wo3?Qs0u$TQ4xBXI^jS+O49FFJn2b-JHGP zO(M%{S?_><CQZCqETa28H(cyxnOg6-;lyE<(EolLZnQm5u(*2PWy29a==zAXv{xRl z1e$Ig7tk^8U+^vod~A2U=|L`g^^6}12fdV=j+N;x+j>fxv+JG1hFk0$UGgp)jy>n} zn&-6Pno`px-lozpUr1wa&fe-*9{IYCKlcmf7$h?;3;oE;BCX1)wSRuXL>b4c=LL1j zj2ypy=9so)(t>B-Ij8NKyr67;!p!9yulBiYxbu4ToNe~q39a8@&646}eN*hbFZ^(6 z3SGtGs;?daI{PbMJ>v0p7S;I*5wBgFF3r|GvgO*%z6Ck6688S(JXPP8&@9ZMHP3s) z#iFKD_f;dFT!U6&tM|{e5|S1Q`Okk)X!gAJ%&Rrp2ZMGCU%A(xFjJZH)ijQ2*0UG< zGinmu=X~LVSku%x-wQtsn?nEj29!+xY&hqt5X;eW<%q|i^Q1w`U7rXByGI=to-)sW z!?~cQP1gn2lp8z#lsm}QFBI}e{Ggk({-G^e`&$zx#&WKzn~|{bFz2fJ&V)`b$Ftp> z({@c;@XeRy^c`zxgnpm6Qh8^+(3SqUhRn4e(wf?K3#|E~*mSI2aLp&zrbWwz*OUo@ zmwsdjPl<8faP2V5((8h2Ha|?_S^j1k%j>r;0sop=q-Bht%@VQYeLc?vL-tKbm^hUs zwBBXI4O^D0|2;Mw+00@ZU#hrlYsj1h-}qQgpHW*;EpV_XUSUN&*Fi3E0h1hoHD8>Y zj+G0q`P2>#w#DatWLK_i%M`uel+ar)H04fr!de-}my0>CS<YPW<|oVRSkHie>@3oE zJOe&{X8~ROYgr`Nw)uol)2jChGn#MA(|NMsUm<9D!IdQ(?~XEYyg%yr`88-0U(^XF zzFX5l8|1{eEnnO_cSz<*-Ek+rU;dz@DgSSp(EKpXyJ9MzS3c-KEYP~XpAy`b9byqP znr~f?IN?|WDs^}Cw92UDgH}L*7tJw)YF-O?LHzsB6?fS$W_u;VHQ9e|2QBcs8+pR< z<6@mN2VZ~=YWk<eT`XYP-YOFUI=1>v73iQT(6QA!=C{h2{6BO^X34yCMfSJ8dI!(W zY(BG1=gETI(%i)pPJ?=vpsw~qZO}RfF^3-ovOLfIYp*!I3<8~F-3Pi(VoIxw3uw1v zR(+Zx`$t3W;tLgLgFyYu?TLweQM*C?%YC5UdI6}nZp2-DVfBUy&3{xu;~!C=@ek02 z61%3i%1rqWYA4J?tS+eix)i>D6Vz)>k{2tw@P`Go<FKz)W{ZD%BA<~r=*kNzv1bb3 zlf{ZI#2-E+Bf_ThBw<HitEEQn79MkT_Q$%BGn!AG1I^l-a~B6#Pid7o(w~~hC$-!U zb~g2dHNLf19J5uqEj{j^fGsU)2Q?SKOG_MO!!!BV3dM>7?o4d8lsF4oh1d*QfoiDp zWPufENrY~iBKz0XpjDlqcGsIt2J9=@LG3O{v1ba&r?20S`A{GV3cHf+kkh<w&uf+W z@;xb$j|<dHcz6-i{yGC{e}PUJTOD!2u|$-+IAAyEsL$)04A^^@N1kvjI+prJwdBIA zlTLh>Kw~wV)wwNC$Q?K&^QA9Ik^Sf7h#AcX4|xjO#BeKAOe+f513GHcO;@ZaU|(~q zWyhDu6OLa&7o2_*;<j9ozprWfTLr`JRvDA;35k3`#SteQcON(;lL9*M^DZms=v2^& z>-P^Fk`c)R9frjoF{Am?W1S}nf0($7H~ixVUCqgJp!Nc2)55I67jsx8k8gio80}kh zwJmDiimOlNK3&wiZME#VtcRz>)|EW9O3zu6pYy<D?PR~x7q^%NWiMX4>*11~a_6&Z zyR`d7jAkvEFe&KqC9f0Hg^YqM9#47meb4-So09)BH5L2rzi<CO|NFlkCwH3FU#quY zE<5+<uQg|9nP%SDXt_D<Y~5U`2lsX|%512QE4~;jWxD@6TgkQg2A8=%{L*H8u_5W= zoSEJBb1zH*Z@IV^hrA)s;mohu&r&ZZr88Kqv3bo4-5GZ87JTChc#lW)^YqJ~b~C=% zkoB??s^p<6d?(FTGthRoz2>QxUws4Z=z6sTvX$mXN-=y}%3WR1{vhA{bIZQQg0?Fq z=fig7Y)9K4wAo;`*nSR&_Nmfh(A(#$uOpOzwkugx6q)JP3pens-Zp0vWDAk}yHl_& zL~FKMgZ2mg&b_(iS1g0ontT7g!JHG1aL!+lbDG!YME$p9kPW+2PzBveTAL161Ky64 zoSt&|kv!vz4MpE)LVbR3B5L6H?^T{X?J`42#Mb|Fp-LV)BLYXRk8h^m|4kON!}4va z*)Al0oy+(IVp+|n2)Jc;k1uv!HdnkmCu;s4mDyt7S)m)%-aZDK1lp(u-VM0_*Snmk zJ+EzVZmF?=?#z5|3*M9p-kAyBfA{Bm+T~BRpxvY&p}UM~egwg7T04F73}64qX}+sL z`zgP|l^9k3^wER|V)n&_>9->P{L6`omuTSAeg)lixo2;Js~M|+A#97#vcIWsbE0BE zJA~r-VEdKU@~6P|T$+L6D{8OS>}laF3l3|&UJ}V}pnaf=e>!{<=rt>Ks}!rhM=Eb_ z*<}UV`5Q485~cIkAhvw%HnK{&b@Ru<oTz$R2HCJV{~ki3w4&M<?k{k3X<tvd{Ae0z z>*hK4&5*5rd%uE15VYkBy!&q5PKDXi?0Fp8L$$9%caF8shHtsdj+K)&ntSiZ$DAn8 zo~Ej^t<Wevc?}-xyKXOXUN$%Sdg|q0{}@UlKCbkKnsfU)c&8R<Ul@3At9;B9-|l$U z1&2?az7E|wR*kfu5)?L8UtZ=!{WD{b4a?na0ohM!cW1IP8+4O#c0Opc<bk%FsK20X z(edABLOlNCNdnyCcdbFrao0P$?CX7|3yDY1N<)4Awi@m&aN4oIcy`%Q70^!Gy*d!9 z?o}JWcZGqIj`8&L%SGp&gEq3=6?E7i0E%`|dAZZ@bn!I<<e=|oZ*Hl2JuN5dzA$u~ zqyIc`2ArTNUJ~*BC1_{#RQ;68zk0McWg2op6UOcH;NUqih1)9ULdl6O_TRgqyCv<U zpvHWUI|H*S2efr_OF^`muDwJ9-|Bg=<ki0Z8Ei-DwJP22n_teX-jrGD2-+yA2h9=l z&)l~Q_Bz7g!V(eK!uNWfglyHaxl7|uE&F;DRQAkgoKecKnQ2YM?<=b}Yc_N+f>eP+ zX#Fm;*<!OndnL0OQ@9eYF|Mh||2Q3?#$47&cJ15L%b!*;lth$1DuwQKKb#6rVq2%V zxBD!gUSm2t>@R4qWR^W7r0pW-!Xx$jpBED{(@*o>-10As!D@}g?`&uq`?d?7#@Kw@ zeSY80KeO!UK8BKroi*-|B4f{XL(~ZSdjG_-r~S+q60iQWhDQ5gZTPP6?5P(OFTY$j zdvoU3&7hL`-E62$Cr#llE?wWtH?!>9`%}xl>N8(RJo>y0npAIFqb8sGKi}p=*@!jp zX`hbX1kGE~aI3)E>ScH8&Yo5e+Sz)&P95rT8KkT(AZs+&Zj1WtuzFsH_N&(4p!r6< z9d6TWP;pr%_dm{T?SIh*KJC2Q(Czv8@`z2Mpu|)E=l9JmGyXP9I<!w^XZrJg?|p`} zx!hrUzI(tk26+4CbM~8CY+gE;t>t5f7O98T!M3HGVwJsCWn`6NRr~T=PSo5ogW1>I znNNruSi>Cg@uV?0d_cwSYddLKqqjHuH)Y0xc1Fj;jCm^#HU^${^nZAo>4vc^IDASS zX39Nnuqp7OC#ro@=1<Tb?5{Zt(EX2dsw<XFfv4wL;<83_^>%8{4ig7$^cQC`cn#j3 zfAY|7cp`kQ*TpxpEbO1j>}%6m7aZ=YhdXF9Xt%5qcn>i+f9-$fVWzu{ZNcGFmEV^_ z3f}M2;F$+fG}qUg=~gqo*pPLR8JaX6u7W4RsJWnh{+A7Ahk;I0_!?<{9<qzp|Jt(? zum8XK{r`MD!<OmJA)vE7vwQBOinibXZ>hhbvEkvT#s^3A<gXZVbv{aM=oL~i@X}KF z>NNM(Mi-eS3y(z2T(;UNIHh}H_R_dzo0eoO^F7+6>2o;Bz9B8Jr(t44WP&i0pO*X; zDcLh!)AoIq`Q&{^e|GJ+pMUQa`xymC-?cH5{TCUr^IvcL%gxh*a?eQ33o4y)^HQ(8 zy%OV|`}z+q9sVZm#?aGKc=+Lext9zjeE&;TjDGBet;YDja^jV`_eYH)O!q7RFVyN? z2R$8g`?XivW~H}_DzCNw23=n|C5;DktejmGY`LJzzatYt*BaM>790MZ3|gpl%nh=< zu{vMo;D(p?mR9xuS`51J9J&lIUj}yk<L4u%zsPlqgBCEY0j;(w-M<uaIAJ{Kgu%S) zPpkURO-5e&^nWRI*~-lAYcEv$#DUHM-5=J=AYrxxdeCLu?Pcq4Tyma&t@_J+(E3m4 zIiNok>fNBX8lT-Ct-P`}6tox<<jxxzApLy@U)<Xj9=~zr@pTufdH6v`#0AV^1f4-v zQ}W1bFMC5Di$aocdbY~hb@~k+q5C62XOHfPhpe)>C+%*K^K0d_dyDNr3kG3JPB-RE zy$89U{aLG&XSX?M?Nd%LXvL{KG;sBMzz2we?&V&w4RIav?)Mk_z~^J$IP+A>bMki3 zIiUAfgO2k&16`(-4Z7C&%XHJK{(qj~uMk(I&shr%pP$>@f_}Gy)^~jeX>qHEF3H_K z?_zk)tF8L4Y}-z+yHpLo4|!JrbSaVW^(>XM-?$Zmu097XtL>eB1#*Vs-a?kc%lh|4 zy$`fs++e=n{#XNaIZ&@CbWK@y-X)KyJIk9x=N<<g16ukYx~vu)m+zizMZed0{{pC6 zXG-=iIq6=yVb%X0@FnZ@P%F1y|0)_iD`xrk7x5uT3r-Jig<3fK|2nsz-=4B-r1mXw zWAMr?c~klccFFo<FP6j0&i(1Sm%L*U(kiWsYS2|$zHhF0%&LuJU;U~+SeaqzHH*@{ z_m~?VGPr%eXVH{+`FY-j>MPfg&mFz73hLTtalK29Hd{qpt&9aN4Ez2z{&F?=pul~g zLtSGwum1jG4f5fH)!U(&EUj+gL=($P2Uq<oluo_PFy+>RTIj08Tal_wiQe;XE&2Y! zA8CD;-Hnw{JAdBKP}$l)Yudq84_AU$OXYqMgl4hVHnm5Wt^3nv^ZM{w&;o7f8I1C8 zVaIqL*(~L`S-m!xZS|}7kkkHppF-^gd-eKVjq_Et)kq7xdYPdCoV{<S5=HuHp) zp3?%a{k%IBs<pL{<?yq8`&{RJJy#2|7Pc<Del^s|Gxuk!_|7Yw47z3=au0K}K4`(9 zp~T&6+1jJi@^(hf`}z;OrX1#E8<^go|FcxKo}W4K;Hrn8z$;zNenD@J-n-Q?e&fpb zca_fn`rrU^@+HXXWp~)|p*fF!<jc=&PQU!dl~rKntNEbpW@-k?0)`TIs~>Z;`TqZ5 zUDf|f7^OVA1j%j@pSMJRmFw2#QV1%w0u^NMa*@3&w<q@f#maR^$tiD_GptbA6RezB z<jd%?>K_|uA^QT*5yPM*if=E3=e(R={?hi>dQftL6)FXP%%FwJ=l9n=ves)ic$nS+ z9WE^W7J3x&_OjR7W?y&j{wjCa8B_#97dOh!-4+X7{dwf4l;`HlAa8<C5S8Lb@#f3l zRsB_8nQtD29lvb;3Kp$#izaT_v6Vq7G`(Gl(O}K*8IYBCwTUtZH|(_k{zY!CaM=r6 z2I#TP<@WH5I?e6UlW$BNA%E|JLgyfC4e_nZzq)k)K9<|-{(tS}Dt?Bgk`ACH<?PR) zXS(M6%j#Y7trk=of!*k)4_zi0t^Z2fEY<p}+~F$5{JQ!c#-)<r?FIdMumbSNS1HfU z{4Wwh|AvEBQ%bFeE;j{7<^HGYRsFx%k=8ZluYpBnakty0M~t#-UfHY#9U=OA7P232 z>FsrYz8Dm$FhA~J153BoCX>|WUpTnxANUASHuv|_`m4Z)8LyWOR+8BEWu0wR|G%Y3 zdimGE7I6OQH<@(n{L6%^A3{N^$`?<rU-g|K!J^^f-cm2nGR*e6W#1*hYs#TjM9mi1 znsVW46UZ5&zxIO)PgB49tD#!W`x0(^VdZ~i`wFEz+5uZpe)g}FXLozahE>I9&V!1T zsP8_IqT%-;i>Acl>2EJrm+V4vZaJcIS#LT?ZFPIfhE?@m;I-(|b3m00DAoGD)ZX^x zXQR#Qzq64m{Tr}Vqd)C4RJQux&~FYsd>Oo;{ML$<keWNc)+c`B%B}q;HrwsljC=~{ zUr_2>^8fp1`)^ZPH-P7XAa|91+nIgwQ$u9i@|3MTn^d258coiT1l>3;r)+%qjMC;K zK1YL-rcK<MVyf<E9=Lo_ao^dU8pbD;K}xnwOPN;Db0$c*em{80NBZ@zyY9uWd%kb~ z{pUYluRJ1lu4~Vi_1mt#`Tt-1q_)t?JxOb4PCU^w-G_0?8_|w)Gv{y}$l5I=a=$&H z_b{iIoco4rkt|Ea1=eitS&-A7u(z7?l)cl2gT*YO=DR*T<!#yAlO`N;Z)U>8y_~D$ zyf#F1F8C$M;vECt@y>F;eO9=Stmj(Q6}9{ajb3xU>f@YtJ3(++XuWikXqigJ4)KFt z?7}8?(-Nj$=IA=79<kOlpoaILRJkztLh{WlOVeXp9<JH^6}A*kc3Iz-XM$7C3+EK@ zI35k=G_#t&;8ReOYnX7z-pL6Y+c{U+`)+XA(wKSm&ZLBuZ#lExdu}+>$Wq#`7V$2n z>6dAfsNP)A!iz(y{NaAGns@y!{7`HPou{H<^*PBWd+S+F(0Vjw=YUTPO<O_RR#lox z&nau{o{_Lul;vr??}mfTETZl#(l?WMmV<V!-UMBj4O$cOihWt%k#FiN{#7=q`l+n= z8QZi}ie>9`VVz=a$Ghwt(|)Tv{*|1b5T(6m;)29U2}{2Vo80S9n0j7N#I`YE>w5u_ zc$W>wwnC>~p6CX<N7Xi6>K9z|naS~{ul~~?dviHY?e9-$uI1FKo0hQnF{f5Ir&y#% zz*niJqj_p8K(}wosjm1L-?Y>$vgzTKdCnVrs=$+JJD$9=3wAG>uehRK<{;O7wTvAN z2}@UVNR@MdZ{JirnDt*#W8cRhpX}c40#oj^Cam4d=_T*B!LM_{o0%-HV;utic{TA~ zgI=fFy?>^aQIvnc&-*OhITe!2LQNev-0)+$YVWk+h$4&WexD6jUb1X`F04~*>3G+a z<@8sMSD@?2<5_sqO%3Nvz2|eGf*tudj|Gt9Jk|@W`RLp<X}aK=t+N(<iDh|h;}h^r zvgzxh>B&(~w=3O9sBY?#SGw`Sq3Kk-O2iY9rd9R|5jmWWU(F5*mHR-h2bo<z%W6_p z*Me_nv_VT<6;@bJPuR&Xbj7|Qp;gK8@MMm#Uv`d<kFu=3;}G!0408J>XOn4MscKuW zk>7@EWh_g-D@3IKht6<pcK4NiIoBiLUp0%g35Qmk|AvceA$PhxW(nQOb2%!<xark< zg@~l`rdgIvOZ!w-)G{43dM<P&)@8$;QkJjziV+XLL*w&da<IGC#MK6CkIfcZ^Qo+9 z(Qd&t-wK-^xeKiMSlTq{xxkvO6Bd+oC(NDAdFr13hI@-yp8j{=a8ND0_|~J_9A;j^ zA^#)}Dz!pg2EMq&diH`}{v6YG%v$j5Jm<7s{R_TLNSOJY^Hp9A@3O6TqB&o^ciM2s zol{EA4ZLl2zUKz7xeHzxHC?T93-}Y)Wcm+u4;pCGtetu3lC6o{m$g7w1kL3*mBx9^ zx@Ezia1JwzxeFfkbDHg%1HK&Sj&s0QeU|QC&Q<&0Me(F}=5l21Yfo6Y7jm21M(FaR za_wOEB73D3^^ym<%!N$$bSEse7dEMDOPG2+u02!q?yQ8ZB90#`S+Z+pDX^bR1|4ak zsq;jk7IY6pB<O}K&}jqrWI<PAf{s`8_w9PHNw?v{CRvgA%`(>$_}rp5OlbZDx(oXR zXbS0<rr0xuG81mg74@K1lvg57IDQraEj*aiDzgQ&F42e|baR+6=v0HN*B?K7vOqpP zk&o+rk|O(I&~4S1)IpbqX@D*bI}4f)0I%A(_<5P#700(ppd%qcYd?4CJW=?mz+HR+ zv`lhIE-PqHr5R`eAm}#iy`Z_Hf5M;%8qnO4&SnGl#l?{)9Dgc-Zr1=U`K&*BNal!K zf+G9Z`V9u`os#BzYKjjY-U>bx;%D2*i3<$aSAs6)dJj5c^Bd@hO=Zv#o8}QG9RGt( zfG7a1O$4pS0AE=0qYmWQa?lNDjjfg|_SUJ^`8-wFrwf`%(g&^jJbg%J3l}IzZ9u14 zR0px}hcmLAzvTRFIq0U(a#PSTAx*885_gU}@paWFC-S+0u17Cg(+fJ(0(7?KE^Y2& zf!pn^GFSN16ZwRWgZ8OL>YQ=RV&t~W&`(a}Gm-_JtUA5b@`NpDg~a)d2JEf9VIR%& zK-*jm=Ga;ai9B1d#hBYtA{{iFv{2`XLR3;BUlwS+<`2+($zv|Dq6sk|hl2JczXaVB zl77aCZ<F+zXZ#DB@0o$_D*+u4aihCcMg(+^iyP>+kx!YRC6)h{aMV9$6R`2NTww;f zj5UWBwD$AtAsH3Wx{zm}+g7r!_3rSp%*a1`NG7E$L6Q9~=wJ!G^G<xfKu2q|27{Ky zfbKWjGNo0f2Xrp%RO`qSj$aZ%3u8>UEj!$=KX`V=G5ItoL2!evvYT|<zjj6QwRxaW z1*HSaS*<cD{%MJPRi<J^6ZV5{Vq24<$bR%T=&Bmf743gOH?_{J6kZp^w`w+MY0OTY zCl8+5f0+I2lhU*ViS^)m9=_h=;--|DUdv8i5nJn{6a7T=G>@_LO`|i@DvqC7v{l4- z@);3*T_f)^Q7JPc;sT5}mMkjfdtWj0uqCfCs7WAJc`^s<dXMn)cMOa_o!WnE+Gfal zk0sDUEkrjTY4Q|lnB^|0Q>x_nR+Z&+j78(Mw#ofk4IdWY&0f~`Bu{z8{%HxVf{xD7 z+v?^#SR8h1&Vr9IO`&D#8oTTdX1NQ9*f%EhZsznd;{ac|<keJqO<+pxtc1Oi=1CS$ z%~fwGfG;j%`5jPreWmi^pDa`Pl_Q>1G_6V((%Cj;!LO+--fI+A+*FUql68DLopajF zC5J!mF6Nkad**_Fbu8L4P8SLc4|;_*9TOK=Q*;zs^<R7%?4HFhBvRj%&^w*O>)bBR z%Td|ZO_ws8O24UUR2v+u>gG5#-wk{Kk-qYc70v-a8Jk?&SVH3!B61$jHk|Y7wt$Xd zK6Eq4o%B~8S&EKtg$^1m7rJ8GpRhAt@JhVfhC{V1yz><zUfyTvHIM4cTsuiYW0&y3 zEO|AJI)Q^y@d_H1TnD4>t83J99<;g+J;Y$nTVL6iwtfNs;#s86>|wpU>W5fUZ<)%9 zKZ;GRd@3t`RW=>fV!68CeZ!HXET;9|8?M}C*($Fb@yxPm*F{l@b4AfYSME0^wDLpi z*mic%vD|$NK6<jOu9?2zg)7VIt(;x=JvSVC&*^37z2REh_N1t#+Z7_xtHB3UZ*N#o zGcloAm{Y5+Jpp_&bz8#3+pz5*c1EQt-*_CqhOa%cWm<>ul^XVgLDd3R?#)jCt#6p; zumN<OhdD>s4^zj(Q(3faeJ=dSYzn<{w4f``ZNshGoL%qTHn@RWInEr@=h)P|@_1d* zbSYhM&E_c!-h{HeUaO$-U*I6yYYr{9yu(|}ZnZ4<belu$X6u4i$2r8Tr!4pt&N0oR zdBL-Oj%itfSK|COoOuPU#&-Mr%AWk&zTn@Q+m>|?*Rt?lX3?&lvf$%Emen;g7rc1M zvik3Y1wWp$WZ!WKC=xvw<=k{hUuezdkFpYeH*-0`hmk9@Nb7*lr8uN2zTc!Yr9{i| zsntQH=|UlUCnjwC&AIA-XF}(5&a8d!{Cu)k-h^CA?j7)5q3P*9kAVLoO`>%U7d{9z zP0drtxT75Lv>#fFxgQU9&sx8i`?A(P(}Pm))iidRLvAIXmN1vg@n$jSwcRro{CUq| zwqrKrSc|>|pR(T_D-gTcwIHi2VdGwoRrOs7ouEU(K&P{uUGFdJIoCPhw^CE;I^`AB z>IaLMn|_@aTJzymwZYo9Xn{3f^qP)U3$6L&*|f-Ac+EG{rbnhtrgd%spQ4(!=BaD^ z)i`K%9=b4k&fNv=nQO(>HU9fG@qSZS@q@Few@+oopU@`PX#ydCH4i3T7YKPTq_c6_ zf@k+RrfsS=oO5foppNnM1@H1$PS?&^@b4ju_7rsi9gxcoZ(aso;rm0YDKt-2V^>?k z*8M^v^9$vdZ9OK<>7~Yb?N;l8FEvf2e5xANG6$>D1*ZHrJjkZo)U{n8XUnVwpW->h zDl4Jw%vaLyp8wcr$#V6+|Ar%VET-Hn-nwclYOM~+r069mvfn)oI@#c?&XWcEL1zp7 znb|7C6AxNcw~>8Au%$%)=|eIh_dvHj{|4>60G;XdLrLt}f{$xG1@|a$E7UmhO#-i` zcn!Kk``rcu_PM_!PdI)9P49oV;kG=nzfN@BB^e&j68lHhku#bDr?tvVu|IrB#szfR z!7pD>OF@p?a>f5ipnJHI71_^%R9z9)d9uJZEs@Vi+IGjkXRy<nc7J{15iq0qPIbfy z$NGHGMv+XhA_JRgt(F?K&7k9>LDypXg097!*J`=q?qSfm7Ml&&d+Rq%Xnu0$DroV+ zt*%y?CFP(cs?{4OH0R6%U2S&QiSLv8W&`%-Vl~$f1-cCnB2PJf6bGNi-PbBJ<vr*y zB+&Keuk1l5hi~a$J*D~AY|v5(@U`TiYcnC&l7p%?za&NWyHec66MkEATfT?~9Tf5| zL6QBid*qDfOZP!{n>DwCmRWvU2CB$d1?*zjFTMqBc9@o^$o>&r4{L}OO|Y5RYMG&% zsL1}38+6pQsm>FHYH9A`3(=>Y_&zC%JxfT)E|^*raAyu^HAku<`_sFi)f}Kjl2t6+ z#RArituj|YXMhRyf~*18m$Sk_t6s8Hxh*rmcg%v$7k}5&UO4rc!gft=%NL-_&JL~9 zd6Hl;ztvJh7IgN;@r?%TQ;k6<Z-9=v*gdIL=14f`oDe(EQ6``jmHL|}G=EXcuH4RN z1r9s#5|Na0@G&8EpgkBI+{FeFprg-1#hxXUFoG6;f;O~F1Dz7m3|jmNx-hQ36LiUF z6-&4#`&rP6%@EK6)$gf^d`9e>Cp5nUwb{z0xGi7IKYvI@L~k~1)oa3ynZH&vUt$KW z=}_S=4ybHwm2okz6A1^MYXQ2}&PMFnf{py#mL7U3iG0`oH{QR}|DP$nDtj}irmwv* zD^>D%;h(v?G9r_vU5x6Abh{;VxkpF(nRKe!EXl1tM$td~(vC%m>3W};_T!qt=@TY~ zr%wc3oHj9aQ&hLfWFK{_<v+}37I#_Q|G2OJ@#&v`KFb_`{v+=Dyw7{q*<aUMJ4dqe z;c~O;+2ZxCpSoP4^rKHIP3(;`Sn5C1g)t(X)A8nMj%&O77X0yLkzS*=;)lvXx7qi8 zUb-*#XS0?%huF<jrnQp#|CchhxUc%{C);^ec}1PfL7{Grta;uWt}JEQI$cQTvhs@E zT?sqYIbNNYNr=+UalKHW-Zb@_TE-mph^G=wi$t5Qo>SAP<2)#J9a@P^)?U`P<(-no z-gyagU&PJ`yLpr4^;?gCI{zkKKE)LkA_v{ng+uHnCrs?-T(yl;EZQ&NuV0huHNh)$ z3eDR#U(sp0Rm?H%ChXpecL#&ri(H$2adU=QG%tAA%Ay_XdZ9w{pjNqnNUZmUTgO%# ztbJ72WLl=6VL3fvZ8L}0dG&~NM#q~+SzeoRoccd6p;=lTQsf=|?=LI4R&m9eYJ;_| z+f-Kk;%houroLjHa>OH!rdjc-5wA*`Zix%%6tg<smFAfCTi)?+J4aZ@nqvi0d5#+{ z-R6{f@3!I8aqxMME#7@{cBM<ci8ei2F1Y4na?>Q;rmg>+0)B-xmC7k-{MI>GHT(YQ zkDv3EZz%W%d|b>TE$bHW^E6BM9It?{?kwH0egS{avv}WuuF%WlTi&<COyJ7BH+DYR zGjlm#z4O{|$DQMqyw`?9(>bK(Ic*4<yWnFg%j!R!3tpUMS$)?#;E!gLX`Jnm0<S#h z4cGKomTqQweP%Y~ATP`6KUXH+Q)>ETuX5u-P*YdE%8eE70YBxMT#vDY#+TYJ+j`>~ z%hmh78;;nqnA*E;xU!LDt2WE&InDv!g_@rJa{!-%Sq|N5+5UQY-;}tY49l)om>ty8 zY+6(;xaON@(<67`H6MAKCZ!9mDK&I_nGN0wQs%JXo>kMQ^%Vk#ZzhO29xWHl*~0Gl z)L%fS=n1qfcXhqLZ0A*uRsZKCbgt&i`ZqUW<y7!_SDQ~d&j`C~aj@vU$_o4G39bFY zCUg8ZT)NI7rLPw8G@ZqDy-LJd?|?sLO{Q|t%{}UG6MeGR>T-JJ`)@e+jwO{}CE`6t z)2GxXUO)8}KZ2Wj*D0*{W8UPNr@Z2q!NDZywFe7U?e9zIRB=4}U0A1-!SU^3&S{pJ z&`RuGCa43exZ=K2#KZM0y!omTFCCkXoflg3>6W`iU5Rzm)qCy%HT6xVTr8^oDiN<k znl3#TUQ=x8c=I*qwcV`?{`_T;&Y1xjVG>VP_Q_tH%c-@0dcwrN9INW4C2SPtSXJMi z(D|7o>t9#GN<PQ8vpJ{j>|gLMnC0}|G~Kpf(74z==L-hj8!n}DNXh$eIJF(xk^|pM z^IO&N<7<v<Kg=CZUS-Md<@Cz`rLk=5xoa$`<!TZ6@=c%StKE1I(bRQc>BbA0rc=I6 zuG54<{)!(=TFtS_-fzQ^Go|{x;^3<Pths*|gU(iA$G3YqrkxbNVmm8gXTH!C&_dgI z0h2v#2}|pROymUCe3Edy_#%1Fsavx-y54(iIM&YLCFiu^+C-M6{%R4+&A>}lZ>-!T z?koE;)^|elA5hJ8&`Yc+pk_*|r9|!~IqAvGpFj)VPsoTB1)SYrz`n5=wDY1{=Zs?# zc>iNVtEERQXw@g^w$hoPgQu#kxr--AZ=BG)&$e&2F?*}IPuGLUD29idOAPMRy;{uY zC7+_m{up$J_bGAE0zgLY;(*_F+?E~Y5i^>Py^lEI_{j~lNkkg7NhC3m&q~_1arKnu zH=v_1<0iGrJh4A;NQQ?mL6QCF`OOCGizi2%aQq3nef&uis1gI$l~?bB4!e58CRP;i z9<)`n9W=IJ2^w2CI%E3V1$$+=iznRdZIvnc4!Xl(ezGF_U*X6Z%}!HWWq8h~C-Qmi zPgG=o46^Z5J*a!h2<l$)a9eid|5M33Cu4HY3Vt7l5$FKVwSPeyFbu?=EhuK<wmeY> zy7PN3Xj|!i&{e3ipv9m3paYxwTV<wzZbwy`ZQHtfO7p8|&{j>*meGHpjVpy3+?E;B zK=+Gkg6<e;X|+5NV+LFKx}f?l=nTp{_^srgVnqgbzGTKMwbW3JIB~E7bn4Y6eb9X< z$%%Yfpq@Xt30A1bZRrtr3bdVc(}d=d{#MHuYmyY%Z-P#}x_5?mho$9-{G*3tc*@|X zYA)FE9kfm4lg^U`H9XwK0xLmVHE)7yVbJXu`$1QIuSrm3Kf4>`(kii{3w?H=OWJ4N z1TCgj<}RLadvdGH7yiUVJ}&o-6Ph2M)H&n$(g(DPwxiW@#TL-+4R8a%Wjd&(@zxJC zRCD~0j7gde_%ak7v1bcR+goLpfEH|8v2UKxoHMc2@`Y}SBKuEc(Av*dohJ(_M7fI% zqK-N7ohsj8z&>%S&XWbZJ{Iq=EEeeAJfRt~OY<FkE6-Wb#UBc$^IK)MfbQ9yc~s}g zgQNfNoB#c6b2e?;Zg4#hyMycYS()c)#|tI+%7olbxkSB6@ro)kR$Z&IcyidKnZG?Z zsk|)-@=nT&4pJ+PQa9psHd57{G}l8rajxI;sVQ^)-2Gnv-n;Jkz5Vs)N^RFYfBxJ0 z{rBgepRd1NQJD5*>HLbUi^uAfDqWTC{s&d`lKL~B=FM|qTv2a-kgH$VB*uHgB&F!Q zKdcYUk8yK^-E3a)BB|+W5zEo(0&5D*98W%F$&U37s8T&>_1$;D)|)9ctOEb8Z(hBu z@5?oTDg9>-Y%!bRA5d7{)XS%^qK4(5lDbfc?c{`wsVrC5t3@nw4fq__v{X)c#ok#7 zGkIA`pLbq<R;IdQzFNe?4Uj$DpFaFpsl4<ur&PSlhEr2nT*C#{l$kqzbmzP#Av7h% zf5Wwy!&|)GJ8d}kk0q5~HR8QR)2HP^IR%Q2N39OJnG1&O>rR;H4y|3+*%_CrZ0lR_ z>y59$T2(Q@D|h-6R_ZvuP3D{y=@syusp+Yn@``%pgIwhTCT|4Sd~t6&rZ2E&)6-=V ze&9=`OdUTub6l%957}*DS$XQp#IV){UzW1GzT*(^t*q&*oRY?W_9otW>MM2}O`CDe zjhj<zIft0#j0LZDbBbBdT<}YsbJ~uU1<%YmrtRuo@U5BUG!N8i@9fM=Rkr_pHY4os zTh6cwQOCp09AQ=Bj*pcNYRy;Bh*FMt`<mrwzG}qd<t(c6RU%&Jvn)05O|p1>g!9xp z*A4d?S)Sha+;GrS7<x?h@#TFzdTJ~Fm^QiQDXzGs8u5m+=~caI#G!2mwt$c5p2xCP zTv(@A#PO~w%jv0{uk_U;9<FBL4HsJTMY!qMYL2j<r=gYWMM-1BwO6;PY0OiJc>I+` zwO=LTwF{)r-m~D1Da-4v9H;)zNN7IJq4jTO!eU;QsoQT&y6je_yyBNt(@{S46>$m? zk9r`nS@d~xuzOIr;FUPX4QFgwO664}-ubh9y)L{)V0LS!>7CYurPqZ_?4~44{VptG z+nccUynx95ri9*n;VEwf)_mn~e3{O9EfTcF4{{P^j!*VpSC*&o&Kn%3EqL^s!z{`x z;OAwQ?ijy-uhA^scRd6CPG|A9@eL^U*>GizrGy{&Mycrw-YK%2-aCE4KTQ_x8t8c* zHhW55d93uj@T06Lw9YZ$i)Pc+f1Uv~&tiPCdu2Jj-Z^i$c93Q1XO`D<d;-4JG=0@m z)cEh)#G9wQ;zw~)FB{9$|Bf3xOup@Z*rW<N?(wRunk$3Y?b!={&E}Y9(YD}OJLfd3 z{sm>dkfX`HHrzSS@ygzF!=d|}Qh8SW;gPrc7JQ6jS)IbsCFi^0Ry#-6eD4j%#KC7Q zBrNxeGcR3I%I)})o#R?%(}Fi03AVSnE^F=AJ;+uq6jGyn(9K;i<e$PprRM@6e{BvX z@ix7>uO9KJu4&eO#fU6j$G4K74d<kaLHqo7eEnok?rmJ~Zz79!O~ZnRku2J^eG5LC zvaGJ@U+|)qW%XCiuJwu$k6oK4ect};$J)CbUh)nb&OKyF^;d{^&(riNw261!ENG)- zzjbL!3BTjhcwwDQvlhIn=MbB{o#FDTe??8Imsm{i`)#=Lk!7pDa>O&Grd{CzI^SiR ze#th8*12Bz5ZN^KpYMd`k8^Sj)>^LElM}>peF4Lv>;i$i^{*E5W#uO;vVVLG+6UL! zDx;#GlE@b|9n@>{1#M{X18sbq(Q5g^_N)`%r~gTbd~BPy9SuF@Xn63DOwTt^$8!57 z1NMo@ptbkOI%gc;FoE`6aB&w;*ineM=c#|0-7AHw$%^c6mq*NKKEn;#t7qJ|+Jt@Q zTu@J49@JA86Du+}v)O=sY5%4P&EN~Yo}SiuqEN*QY9%OgTXxtVIV59JcixF_5~%iH z`=V@zrKJbRy;jx!T@M~YP9WG<`D!s=)p5}F3wKbJQK|D}!4FkX-_n-bQe!))6Wk2i zrfCh@rYQs3C$P<S-6a{7ZRv{aXF)BQm7r5Ke`kn2QwRo~Kv@hL{SXJ8I{`i=p&8WB z0QZ*L_(8{azTB?!B*7*rkxy&(n}*d>nlt8rM)#XqWsdNtB=SkUPf%n(3EH-B%@Q;U z4ca)8xnV-{o3lD+9N*W86-}rI_0K_9iM#3^IXkoYA}I9kfi^Sf%o90y7;*yTS<udn z98PY_74ty@=Af?ev#p?!eNa#R{_#UHDWI*A??5|5#BU0QYqI|Z-G-J}E>>h<(*WwJ zA9Uh7roI8zQ<ns7yO`A~b0k{ljN{{ba1TxD2icQt%5CWZ+Jj^Dx$IG@^$E~f*<UV! zdg>C~#ReAhT4h8)n^N3Bw_kmls&mFMg_YZK#l5qj$&yq>_OFVdgD1{A@y#kOKX!Iz z^A$Z%d&Zu-c!Fg~5NJ-Lh5x$a_Z=(ytxn86<;3?(e3Jots};AU#JwYjWTw1JQe=PJ z4w`*12JK}5ZM`|`2fD9qUq`ED#+&0#e3K$U4MZJoOAmd}(G%jJz)S@NrW<HTA9T{_ z7swFJhlhE8KJR`x|3A~=?rpW8b1G|l?u3fAy?;L^S~l9gYl2t_r*~9APFIv~$&pYG zkys`*j}4k>yxsDpLiQWFPBw6Bs!da6@tGp9_4n}y?0jks6C0H0Dv0@rb4Dml;o#QW zGI67V?yOS+$6jqKpXYqf;%3U^<>!CjEA}h<zMijccXDOaubjKvo}Spg<z$dlPsC=g z%$M4cZ)Yma-TtND-63oL-g1+xADCAC+Rc4{qam@k7qmF1XRSBCOy$w%Zh}0scfOIU zy!QGnQ-v7=^i)IX-Oz*G%ziF%yOi{|+$iGe9LOrDwdYqu&g>I+6XbcF`+Kj=>$AwG z=jGeNR(#zIH=T6s{{CRL)mG`^2ND=Hw!XU#J@_#>`irRazXx@d*R0FM4{T=CI19PA z^mh+*(bu!=dJ8M|^UGfLgBJ1SgI3}3t_x6RXiGNO<sZ9p{f#5OyT8c&jRh@7f}M<5 z0XsHwYv|{y{%@dzHDASp^q8&-h3Gurt0ZAod|Upj+}Gns=NsnpKu>8i+xg6K@9{_J z+zLUa+gAuO9FDRF*_>>!OTR}+;@a(x6YeeknFBfiZz9hE@R?rbHae$M8Du#fj-=gR zJkjLeQ>KoPx!R!nh40RXhSTx9YvDN-`=j4qv<yI6j`wbrJM<j8v-J_mnY%(6Ca$Un z9U+?YAsf07<%;aDF5fplH{V-qucPpe5w;{V;q2lnez2Wc&oflE?vG}exayy>DN{nk z<2b0!I~q-i#?{|n*uFX~KRs5)L4{evl;Lz`ax*k|)yy+hbnEsrD209o-@p7CcK`Ar zji$uC&Gi?nO~UOLB2J_G)dAI*wtvM$lY4p%9#?ld_cBOat961b<+S^@WM9(Z|2i*h zt+MAM)<@p6b%(Bx{Cxj{$E<yz#Z&)5j=b@}66(~D`1p;TqTN;f|Js=1e*ZRPf~}Oj zu>`ub=vG+ol5cmdB0}pUKr06yPk=5-$`d)bVdmrSuWVnXgO-RvFK)hf1GXgT<ZF{j zV&yLrLO*+gmKEvVR=2;!Xdu&ku{KfU;D&pe^_Qzn&V%kzhOR2w^VJu+%JJ;K2<6N_ zhO%p3eF3d1D!u<{4s?~{#;K}JiHE=ci+z7_=Z1zI><u1}lSki$!L<H&QqKGpDZA#C zd=Tg~Pl?;>zk}B@)xJ6+bZ|qbar}kqFXqUr6?ed{Qr>E9I_VaB$%a+${!hqd4#?^) z1!Ytox9>XxSq`V!RXV&6+`k3M&SPazJAL0>@raUnl@MC|)NwC+Lr``t^lIUK23-je z?~VUosWw@!rSOgs=FzLLWnypUn@l=3{l7QcYAbayNEST~T}7Il{!-iQ&n3mmYu4A1 zvuO2lXi|74ZZc_E`2T3O)xTbIfey#K^#Hc8ZRO{$qO*TobC~z_-#1XH0$rZQUk1H$ ze9g9a<&}HCiAt_nWe(OF^;ij-9Kb2}er4#qufKGW7D$?x!PdlmO6^^8l-F5uP1d|n z@Zot;@$gl_N)p$8-%G5#HoF}8@VqK7Xd2x7bHDrZ2RBXX+(2hz{sgVXdzT6g<Bg}k zib|gg)xLB|U1{!bt^@=2gcR_F-tXVRm#G<+mGI5;z5E<hB!RDkmj*9O1{X<d*WWmz zzxm$c{m8eov%{8Gt|_}@wAcOjA*POyui&M4Qs$uI#ZcldxB&in_Vrh}ugj4a<ms$F zSp+$Z@#I%2&+gfvB1s=~<muKt=t4(uk+i#h=e@;0Ymkei?dvX7GraI%P%``N*t_JT zH~7F_@G9|q(5lX4gI)c1*R8+tVWRm<TdQWIGdaust2RRyUjOXRR`HDo7fH>agLgN8 zGCSxx@T-@@a~`eN{wnu3_4o-rK6sG?TM?fno}sdJJ!q--|4z^v$&U@lnN_^+YW0<V zTfg`4lvub6nwr$~uX#k>iDQ_!s&Y3-<5uWZ@{lAvHMFY#*^jKf@ced79acg8Htk*V zF&eb=v<e)dpwh*HZSn6LcC|;_)>qHIx41ZpasA)F5{%IGvhU+y>oR}lXRG+$U)mh{ zm;DNd!%AL0=pxDGahJk#9_<kRD);v?C{AD%%#H|aBk+Rut=T4%Zhe255c;<eRI>CQ zh9)9#M)i}sUVTL!qz|@kKV}iMBs(c>I!UbjbwX(Ie9(&RYh9C{hTDScG;m~AUzghJ z{`oS}qQmWJaBl|pF8R2;bi=C8lR#SEea!v}IUcirZh(@6*`Et5tNPD9N2=7`$H5mJ z#%HSd+OKa8{R=*rm~HtUXUIM4zpt!RZAuhQ-yi(`;{GK_CCkBH(4s>X2Eo;L7f-w* z2U<qj`#R+|!<4AUu(j7<ubQp9UVUY~22#oL(HK@H*{ztkWyc#6P(BTS<kNIW@YcTk z|LX7m=k>D_=AQ%&iezqy24B*(zm=^^!o@(z$xG5n)8tH|hVRO29ZHVN8k8KfdzFL) z4UODfc&>S^>2P#8l+xVc<}y3#Xa`fGfN~d$YY&gm6vd9I9UV!BEwgQJem}f7`|q{S z^R|AgyWd}a!TU_to<G9=XaD`5?-4ig!~;>t)g8efFM5hJ^v+XXVUzvs*ZCILl<1~a z)dD)(S{D4;$l^W6Ct$PFhAT%|wuTGpY@W8@oh{30Tc3b>*@Iltx$O^4?#xP9Dgs_E zCS%-qt!@1qlZJ%ku6ZV<OUn2hKTc)Ij`0pC;yoBuE<8m}V9jS4$D1>YLDvl^Y3!Fi z$kxrFCFi;!aN>ebku2R;I9A2^Zn%-v^r~D)=i>#)V8qU!Z>~(d!gf13YU^W`(`S@d z?4FRYQ<>wHzH-Dvo~AZ!j<8*m7JT$&SzW>jzHGpl<!CNDPkOH|r`I{vh-^m3mxnp8 zMS>P_fjZXPY)Y4GpR?ePD2wzRkARP6O}%moEB=TaR5C6*vSro2zJ!e`j$fHMrdjkX zc=nrPn$_F|-&$Et$M^+ocinJDA99?<eQ?*c;N;=y%lf9o?G`>R|K4H4sX7){e$|Mz z4gr5mnoRB713rNcwQz<XYQb`_N?&M7zpCLJ-e&?Kccz1n9|8?`2SZ1#*VUE1@^}-} z^y<D^#3JW_&*m)NwtfM>S({SL?n+)>Wj#A#=U&cN>6~E|{Eml%Il_MNI6n5~2>U7K zcyTso*l$zEkH<N#NkC^ICeJ=|bj!6{(-wT0$MRZ75j-WWE<EMG$w4-8;gB4moGsH9 zd|J;TR@t)Pl|84}?Fw<8<)H5Tjkyb+&E}kTO8AOhXTr>4sMFr9n`1TUx0>T$Qx@$% zlNLN|NLX4gU^35=|8msRUKZEq!fVP596v7Ry!J!D@#JRCYpVpO>}yMydzB^iy;8(` z#imd7>NgUaW3Tf=2Goz5`*bnnnDj3wYD<`?%(<#=Lc&I4&Q<jj6FOIOWc_PMSZU3X zW$&@!Ovi$CZ;!1$vv=Nte=$v>d`cM=7T{}4V$>p@a)VbOG|knzHNz_Cx1Hn1+nm>a z*gBqE&UtN?q5Ng7y{reVJexML3#}=aaQyjQIH$nM@#uNsoDxgNr|$)IinJZC+8qSl zWAb1BpwR5)KPEAAOuuudFo-2#<zLP$d9Mv;I$28nl_S=91^fpcYT*qz)WZG356-60 zf6f6Vo9`BG54$~YLB*_u-tEFuY#I~R26KAFJ8U?&RG1^ah~c2$)V+zXJk~o0{M*hV zZQ}>N&m?yj`?AnV>n7K4iYtDZH686!UlFGi@u;Q=JfBw8bjw^=r&!<d?s1N3zr`K@ z`hGi75at10w%K0oC#$)4>Vl7jEURm#EO=4Lvbwf)!H-gw>_0OWr1U4Ob?5N1_up{t zSao7l>UPD5{PLzx`HD9b`~yBJvq;bN2G6IhndPt{g;hXr=dZ;pl{Z#%tolDQp|h05 zba8cZly|OgK(*aLqi)Vua=shxv~#|i?+cz!i*w!(G<(6vSjc=@G0W=Q9sF&<HQG(4 zWzZ$U>f*k#nX;Y%yF50Wo5_-@uN3iK3o@U^2cA#Ma0&Ps`a3DA`v~W%cTNWE8$sjB z|MbM3DQxU%l`*kDdPrtT*oFzsWzC>NC`~k*c84-NyleI1p550#_MP^iBNH#{oN;u_ zjF}?CvppdZdKDDt9t~B{N(k@B8O^uUBTqQiSb`3gVgnt4bmEZAmb_F&_Lrbz2F^{^ zd7>bFlixg@uWI(@3C(}}bj}=1_z&-jcfAK)263@d=gERUoMO)uiWIpmSJce~-31kK z!tw8O(CJropo1c`xr--Mb+*cEF~2>3`dfv~%-ohQmVrhrtU=2VSwO=og<?epdqBeg zwY{w}J)oYwmi=tl*n+^`e9#b(vsjTp{lr$wj6Kg7K&M(f-(bMLwiYz8Apn}#04>v~ z>uI%oG55R^-=|X0#U7x+lz*U^qPwS^_)gV>?g7&WO%|-?2MuG~$Pp_FxDQ&|nF1Q~ zhn(27ulm(uzM$nBCNzHot?YXy1X_;R2fFCJrB&t&Xd35XpjeSX&Ae7ijk8gp!IY1n z+tE|`#fk)C4;_-Z(wC~p{&8~TjApl1&_GpMB3~5f9EmDj?&1qO)8V5F-yW{)w|cQQ zS&{u`FW;fiS<MHp={#95BPo&3tsAt$QvwvApc5kIfe!Ty16@`I8cj*!<+jWKjbkif z(|NMsuMBtbgqb@9%+=ZNf^I0elLuM=)6r_FAp<(VGn=u6|N6lV7GDF|mu`+YaS%M; zQe?_)*|83EC4Kp(3C%y8b<Q|Gjub1Ja7O_&NwU_0+tTBFaw4A<H)#C}huE_P+k0AN zo}33Qm<1iZ_0bD7N+k@sj~qNo1v-s{3$%`L)p^iJ(;2acT2B}3Q{^t6Fypin-z;v> z9Lz(|=nCk}(J7H99LqtstM6`VmHCpNlE}xk9W(<2Iz#29rdW|dpG?neWA@ko59YtR z{-446<X<~bHD3+cweaW8$|czkHBZ`XnC6;xGRoU#O2sS-{=>$8&u7e<n3}ZKb!KP! z8J|t5Ge9R;_M8zi?mZ*4S!Ao}8KbD15wil9Ez&*hw*P+V-ksl%Z+=}B`~Lm=s&~JC z|NXo&XXp846T|;q-8T2@{{NuKkE!6v58gOK*U6JL7*@Y^45(2&D5dVVVC%&v(uyqi z1B!lLnRx9e%hL5~5zAczzQr|t4HKMF-<8ljol`4s-}_&@Ec<7G7U}JgKB&|#5TYxf zv#n>rFIN`t7}tQ$VogimDX!Q%DPbmPN&Xs!rpPO?=A|m)xA`xxvY(yM8p|oArx5Xy zrRi9@z?vdP$BWvWVY@pQRJ14bx<gK>R&Bau{QY>rwVAE~WpW3rBAY%P7tSg81+Dxm z?p>L9QIutBzCy&4yrxzB!aCnn9KRYK6f%C69A#Rr5Rs+l`1U8uX&t2%)lvtGo(o)w zciiAKdBMZEEZVj%7b;8-YMqBJK9srpguy3!>syYl^NJD4_Dz#2o3`3{1pLZrD%Dfh zsFpogRW2~)zv@9Y=BBRyDmOA7>$C;`<Yno8;}lRT-*mK3ZN)#vCe?GZAfv<P*}?8X z*M+X^o0~9`o#U0A<Ayu-9Ix^#6qap0^qN!ZozI4#wgn#rSyu0vzu?78mesX=3x1fg zWY_dBc=DGe`|q>`Upf+Gqc_y;fE-Cx_S#dh;cJ<a#{ak`UOwd&KkS-%Pq9q3_uk;q zyx>(ir`YcP1;6q+rd6~pc*e&5Q7brF@QR<1&SrJTyR9sz=eh*cTOQ<^KNI9O(A864 zuS~pD&LMT*dxO{f1urhMtp3}+;D;D{@t<R}IlbQbZMde$vUIu-c%#bHguSadPSwv& zXs+ha`Zp_K@m7|p|9v-{NNfL~$}XU{^Vc#@!G@!kSg!IbMI>=Lp6wUVDOGfQtIauW z=gb9p?U3c5&KnNx=a4#QGbKE-O4;#oCCh3VkAM=xgITIgkDd#!`M4B%Nu<|3i_#^f z=8iAjAvaHTv%EeV(UCdTUm(X|`hrJ{EYiA4EB+)lxt>#9@yoU8=sNWk|D2mtt5{6` zdu+JE&9c?}WTM6C9MJiZpw?XZxhoUz{A2n0nMJ#%W5L6lEZVh=3qF>ztp3xm;6*db z>c5Q(eiXB0yYL_0;+5wOUia}`HR5?j)28J@Ys$qOe}Z<$fDVst7tq^zW1f`}=!moT zoMM&J7QAw6Pmb!&bq@IZmc{#xZ@_1drlnmhThFURJTq$AbzMN`du`LN@4{<7SU0sP zH%*NzWof%yk=+z}4>HjoQ&h5krSjIpoL%#sHXKvu@QU-=5Z1ilOD@anJMIDB3Y)&p zQ`A_mY`B(po6?FOVNJc?R94JUj(C#Nv`St*;*C(#t9aFjN1(H}_!MJ`J}(b;59$}Z zQa3AM=83==VRv;ord4x0{=Lf?W-(>K!=R?Ac5WATcx^Zp&e64vBkVV)<Ht~z>_4pw zo}6aM&Mh-p?)%<jgCA_0%bSD2?p59#r|PF9G;?!m)y+&;JeyPN{|xYHSM4m_Yn500 zyOnIPRy9rdN{#u!Aa0H<eYJ>ZJWacv3+sfdgXa<Lq1k|6ex_B>FJs5Y;+$cXzH*nf zthy7nmJ5oU7g|#&<H$E@`DO$5wV-AGzqmm4nk~2GiF=?sBdb6cR;i0UOZWh~-!iXC zXImbh+ilQ!z}BFm_>ZTcjRdQJodo;U<mGm+6w0KyEmwp`%xFHs1*(L_xQi$3n%pY0 zMLs2w&uDez3CHiC1qa_>xq|N50xggf2?Cwhq|aR(VAa+t)8h|Xg*YE{wlZjK<t@-X zTYo@zQhn@(w*V$Juk5$Vus!X>cS%~leYFYu++5JjRiLXe^Yg`uCV=jFln?=(e-#Wm z|H??LDBvF(cd@|ExverG_2&-B9GM5&y09O#1cJB!IOsko&<&SmX^QM`_kyNi1mV@o zuH~TW<=teRCkyI9tN4C^hvSbOl9{p#v~bRXyEx#dG`D5Pt)!TxmMix5waOeRPXf(t zBr39>JPg_ja#H7sLTp+hpVf5Ga6IVluzPDkA!-Z?(Hro&_@n#%YOfsBC}LU{#CIwh zG;0JpCiC6FLo!D|M?C-P)H&mrWXWxr5qIX0%o5Ovi*G?eyK{Q0ObKYc|IX#0Mop^N zvjrP|3f)`V{IE~wjAN#`SdoF<j8@APB5JPCBdA=agJv?`Dv1>d?C)x|%(w$Ov-&%z zO*0jA59>70LI}`CkO;=`Ouj16p^tUpphLhLK$9B@iF|ILEf1eSOD&&xfiB_fY_;qt z1vR_^K~2Oo#I%+-=;X6mJ4M1Z+24ZpTBONwTfRsGwZA@sLeq-7IKZO6RfY$2&z6@t z=x)<k(A}nqplu+NS}j-fg4&43I(JwWU%1f-I?;2J0sGpYAUAfjTE3VAUjd>}eGYW? z8K_NKGa0lA<fIc{7eDA!?W>?Bq78Skz|AKrF-v7awkId@B^5`UIQR>+ZQ*15CuXnd zpve#T`Bi$`F11dJGA~&6x^#)Q>fTQtYkRkyni#Zs+v!l@+SQ%HMtMbiK>-;PCOLKc zUGS(hzEp5wolVizBayyWvX;eJ-pa^-S`gMfJ68Lz?fd82{CDl`e|)Iff1m&R<M010 zcAV^zKR^5Ht=DgFr`Oe-N;^BtB<K2^$jxc{y?8$yPG+`9czx&DqTNPWb?<p!<Wy8F z6Myj49CW75rHf~d-b<bp#ITt!;ppwvh*L*QWIH13FZ*xKEG%cRTJy#RdLGpKwdYaJ zktsQSepBYpX3!x`pPs`Gx;Tb7=;FF-yU+6SH)obz^#|Q4{|a_^&}3=2Rj>2<_-2;9 ztJIl&tsivmhIV-><Tm)*(WnQ3*nc>k6ZJ=rK{hPz`)bH>Js6kDx0k11{^SojcjL1# z%sG4EhlOl?*UdN6uiSQ~@9OCw=S*7;J#S?)(m^SC-JoMz{;18q20DA{<J$Ys!&Gjg z9ozE$_GI7g%JXL!tk&$&Z<zOoAx-u`*Y`5CBelNdnCbdg>CFzi4ZAS@VKLY}pd(PS zZRKT+=Kf2c<GcFu{|UaYWub@T{CMI3I&7uEXXk>$yGlz=Y%#9SH(PsOxPec59)|)n zblTw|C~YEZ^mhIp{n=vTphHQX!;VRr_jbOfY7&DI6a1i*b3cmBbnV3&_*TOXo~o(% z(}=iJo_mq=vdhK)Ci$-RXJ2sm)nS->mVCVqSG0>8bfQ*w+?i!xK_@vK;$H?i0%iO3 zC$RHYz=t&Lf3h?uss?lln4ZNHU&b?54WjSof=|>s(4|yzW5>%0FEh^G-<<jLDk$}Q zkcUOrCPeBvz1Vr#<<<P@mtVbRe6gYG<bGImdBgqwI!;X1=xvPs$z@-+Ghaw_{p|rg z&}Xk6{6HVa%Ziu(`Tjq*?C5u<3yDuZWkQu~SA;78AJUZTzB%*fV$cahH|t?%{`i6; z3lxA`^E&uu`mt|*zW>`snFm+(K?%re_RfmGmAAkr<kS>-gCdPVyX!*Y-RQ;4hOh6` z`<Shr&*RW8di~5v$O$jo-y_ap0-d(Azc$ZI_aEpau>1;VsI$ub;pRME=Dck2+|Qdb ze{Kgk>-8T<vj6d+5fMoIZtXtH{r76l4x0=*80mQ-G$2nNhC98~9ds%iH}|GY+vjJE z8~C*M2|LsWf)ng^c|?W=Iq3f*&YN2-9v;bwvXgLtxcU1xaKwU-mjay~Rq|x*%`G-x zm*hnC!qfCVuzO|}Iks>820Eze_&(j)Vd<c=c8<eR<H?0!HDMwP5AV`XH+(&3hr#S? z@A)0tMbEQ9PY`;$817`=S$un!|8-rSb~(wF!D`JNd6;9K>CZw>)OGG=y7rO{e5>R5 z4y<9GA)0WsU48yMUq%-mP)dt<J>|uOmtUHW-rVx5hQVqL{7fwWa~0`kurt^09t8!_ zDdWwVwYdydYbqX3GJzZs^lc}yn`V9s->*Ge%p8=@g3q0T29O^3jIIP%L8}~40Bxzu zG}GM=%4K5vt)Zti?NM>(ad2r6b$zkn+A7fLKaVYMZuyl4a?kfW&{$1D9J+RQE66#| zzNcOO1v(z^(WB4Mr2p0kZVotEPb)LimE&?~pSt=U^gyTgv1pm>HS5hSH7X3UVQb%} zK+2AG#9?XIc3FZ>_503zbIY$%2CFrC`ez`X^3OvYPF7uAa$?Kow~un7?4?1e=hH!m ziz|MwgU2cOU@hxush1x)fJ(&5N?2;HM@`Ll<<Bj9Y7aVC>#a7_Plw;agLrEj=wPj4 z`IF18zUe>5V6|qS_JP;`ze^ujQ+%+?zYKoJ(zRL>tCU+S^-nJQTDW>s=EuL#1Nrv+ zEdf~vE;+$2YPX-~+kI{6%`Gx)&_uQua=sMkm@4p5O`H5vE`K`1P!jPqg8_PYR1M-V zuxkf((k?&NKfmm1CF6??zg|M^sVPFl=R57lGgjQ!Q!f8<W_+<BDIaRey?MLKmcfsp zGRv^~a{ImhPaiW~Jzmh!TFlTRsP1)!qn_$#^?&otEqjz0WW)9fK@&Z{c&*-SrVa^6 z^2r1R(Wb9AxBLoXuv#;(I&~KK)U_W^-oPVcmp7=4KE3YLvQm8p*|0rNUqK^mH~a{^ z8w*}e$gICBzA3ZNo55<0&D(BhxpD6l+!*i~mC|M@mp}D^%IGh%Ag25%C<O&FxTISP zE~9;~r(J&aj-e#t?#&sHqJI9G^Ap4O-~9f6{eQ-@ZXFDuL66!iw@N`9J7QiMoYjbS zj%}OiwM{HdY^J82=uEY3Mw@)D&3W|rL*}DrGM7`ID9@BkHH$iJu=#_ead(dDHWMT7 zniD6C409)DCe2JceE<C%^Xvb1l%IROXaD}<`0L+)Kaahie=u$0^nX{=^1uHF4|;r^ zzBXmz2{-+js-GrnFqrl$M`T$#zV+sqwsX>gce*U6@45!mJ8U>~SvVv2(<gl~hacU% z=`uSL=P<0<!t3~WC(G(L&H-Nnny&UKYV7Mt=#_FjY08p)*C(J#_MjEN@RZuFguT7u zNfuAHE8R%ob3Dp((Cssamb~wV6PLhy_73`m?#+1Rkz?xkRho0!j>!w2IkR~8a%9~O zoN>))GsmlUJ{#`LWBJOj7?G&xc-ZKmm$-mQoa=^DUs+t=D@43yY<l#X^V*FUFW^^8 zJzK(YAj-4pQnkREVgtvUtSql})imnm4zh&{gzT9H?z8`9>9+9<`0CnpbeqnjywLj- z6FTp5X34p1xKh(}Yq^k4@Lcf7@0*ajr<eCV+2<edzpP2L&i%rN!ltSJyf0Xk@hsbV zYBp!rGS0By0*)V*Ij&jET<~NkOLlGRf-j~luS+;i-SggXFPY`(f9DMc#g2ahj}H6* zjSlpdDX;kB*yPHmz9LE~B1hHntG=*Kp{e6pc|o00e#f`&oYN}%7QB0Q{G(PeXVb6U zLTf%SHnp`2t|?J+d@Rbcx+aqea%lLXa9`P#e<v)c=uhai7o1WvEn#iATz}@&d(#r; z#&Uq}D~X%8;Lk@EX&v<yKU|x7*Qu=d!`$ThPHn|6_ok!s6j#XEG9Hdh`UV|5`uT08 z^2%DsX#Pf)(&>Ua-&w&oYGnwU#JF#`B+nsb@4DfXntD={>vpw>x4A4wzpF(&Ud*D} z&62IFs!?lr&}y~1fKDW1i}$&ECZ$Wt)g6D{7tS$ITJfXHb;dQf%^X_$rzT9?%dx7i zC1K-Yj#cv=HymkYF_mWVzUvV1o3ANVPG!aJc?p(N4d(nZ<_xO<tvqcP(5u{W{K~|j zz6BqdnnL~5HLC0mX2q*()X5%{vRBrqv_BZ-FEpiZPD|$6&8m(!=W<-TJ$J#Mc23ZG z<Bujyy{lNJ?swbZkqzC5@ydUB-;sC9EB=Kysm3X+_&IB`WnC6~)2+;=R6Z5(&E(4k zuGmjcXk9O4vZpO!>3ji`ebW=BDmq?#&KXwyRa3(6<6F*a71tqwW?6aQ%EW6AS(f@M zMWm}b-n2Sc6)p_k%lKU&<PY~jxATG_byru;xTbVlFr-%fU{Yk$tNAJsNrH}NH*-$g z)wAGRD9h<PutAXR=A|m%)4^LfH{8se5q3D2Gpvf;@$p~Iu%9fB7lk>(Rtt#ip8{S6 zyJu>`+TEO9_D&nl8MCCC$M!s2V?KL9O;<v5Eo?_pzrU=ctardqohDa3#TCDFn~vrw zuK4HOq{;@qt>jA2Wy7_%qJ_bGIPbBXuI*V+H!-2rm{TgwXTv3PPO1AY8&2s%*CqGa z*_1B%#^3Zvdb;6S(?=|-pu;7^9bZ<myq0kdDC0a>B`!4OKifezbAgaILOEX)9G~tN z)=5fFun3L!-*Dp`%hmht8;&SKmnA=&80;RD+H~u=@EON6QEtl@amNqIJgGb9#P{p_ zW&`%t{TnAVC#G<gW$}5f2VMF+H)2NfscO)6hXl}e2T9Pn{4<ASOzc35EyF<_XED&0 z4A6?Z@4j6RM70_|h+3VnGyEFJz8AEU<KI^A%A0&_)*B`?KdO$H(R{H{=gESYghW22 z<(nonzXDyxeFJp=(?46#(c#wImKpy*dkJjMIq}^Bt>v6~W9AM^%NKd)56L{ach-sT z7yAYS_Evw;s?I2ehlfiIY(Q6kc+F2$WPc3mRR7fDw(KYaogJPZal-MBq1dwpA3>uc zcakL6U6R?dEm@KM<=u!G&3@BbWl})XIbT2*VgIoN9rpklD*3|)+LF-=y0_!(AsH9B zxv=?=09pUqD~>szH-q+0)+=)tPq;C)RmSB0`9m^G_(027g+XH{pi!T_piy^I(7Mnt z&@78KXy&4&)l%bccdJZ~c`RuE%T!R0|An5;8OL0Eu_6JGM|&TG1}VVvAs%|5E6uK{ zg4Ttqf@UyUTV+x}J4vgULF+<iwOUG?S@A&Y>4F`+pv%)h(=5M18y=iND{Vn@7~6VU zWv*m{#!SF77oaf{&{+!K4(pt8e6|uak_6rmbGJAAf!0~aJZ-U}3-du|hZ})*aDuKV zmp$vmcMNpH`YBhPCkne}fp)~6aN>JZ4myq-v~Q;9$RU|6Z*uO;ky(<y(SUvK+{hD- zW&UE%7L;>vTb=-|yk&a|vIo2#woBZn_R7HwQP2)f(4F+4O|4f!lU+AJC$ZmuArrII z(&J2uBKuht?&1lznp<VIfX;|8;*L1sSZ>H&Ji!`tZ5n8#gzGx!xcDg0jpU%i;z8S0 zPIbeFs}@DCdy;Cs;w{LfpzB?FLF;vk?6`|JJOmxt{fFOg!;A%>6Dw<B>pf?0@K~c( zmr&vn7GjjJ!RfNqt0grekGZyNbJ5*)d)KXHJ*><T2Nl;nl{V8=U|M!GFV$m$&y`sm zQtmf7RD`Z^{Bb-Gar4MlH%Z|@9(l==88KDQEuZ+_sW1C}^Lg!iOZDBm3!be!zI17S ztMBf(|5Nm~EM4!u=AmY&_nBwgbnUem_iSC>@YVa<Yw(GcXD|8pmoY?n-<R#(QV&~! zGV^}6O7H%+hm9hx+O7njSo!rDWLd`D?AKpJrQ^zW*}T3BKAH};T;?xq`PAq7iypJ2 z;uV`i|B6CR)7#()UH!K+GJfN;cT+#D`TkzJA)Ps3mLX`p;m-%#Z%t$@QDG32uJ%-3 zS^P>%a?PswkX2h!y3hq*Z#0?`FIP){T60}qqamGH;3Q-vXd!H^jquCGpha6-er#mw z2w4kS61U--D0IC|Yaq+vXW!~$-e0WR!N69`09}>&c0Y8TS<bVwzI%`VaA9;=^$xtw z@x7HPQ-THC;_A0mwMX0T$FKeV!Y&YLQRY2wsDo$Mf0wN4UwIz1)N4EFK*#2M=qY^a z`PakO{Cp5u)&K7y(&8!pOE;nSr01+zH}T5PAV!x}zovp#Bpq{v*!dgm)BZV&zpt>5 z2iXZ*lzCGfx)9WN%@vQRJzE%*LSI*bmUI4QNA~BuKTGZ{{#t~z)a&hcpBJ_apzxh- z8Lgc8XEv+A%3a_y?W*iSOU056c3rRf)y4b2VBNjN(R0;zTQppPtXti?3%cy;nf=;{ zCR>*?D24uC09xn;Uwir_`B#_e?`+UQuh(DXU{}!dhrvQNr`s(kJs#vqe$Z;y-sRAh zy_*+vwE6De6!$)GeeMPLy}9{oU@H!f`1LM1`kPB3Xe)TR);D>mKJLXFZD(($f00`| znY-fg|Kl96gs?Xby6DO{{<?=$y#xE|UHvOTx0e3}-4@+<@I_p;WBkU4(Ppn~cdZ7k ze}b;ox_29v=6_0?Oj;&)?cl0^mqBOYvHb@vh663y+qZ0e#OD^@s{VhokYf_IN-^gR zU+<E0!d4NX@t}*@uUVvR{<D((Kmm{2_nUz%hnM-^T=acKKJx0Pi`O6rBHlP+3p!k` zbi=BzO`z3U-)?VzWy>JJmrxQf;cAfcPC2-$|JpT>ol1}+|8ASZ!u6TEl;`8~uM<MQ zn}U{>?fqUC2wALE{T8%-^r7YLFLHOgkye+zzq=5+y6k6shKldrHO--aleeAT#;CFN zU^{dz=j7AgEQe=_zqwHT<u=mlGX8h4Sh?|7%5&~3CCN3b_AdsP3Z}E5Ne}GP{U1^* zue~-GMY@sL0=7E0Gu>p8*z~tk4z9Y^2`&}Z{?&vgc+g_v;^{F;=c`_y11$rEE-t^l zA9{}MjWeL-r`hon53YI#Ik58D?>Uh5f_t|G#&3KWI{nn<dv8UM7Kne}0ZX|57fv)O z{gi4HaW!8IymIxR8PwV*Wwl3Vnb(KT`}%Jo$XeLy(|K^;1}LxGoHd;%?CN>l2GBk9 zy{Dn65S)7Nm$urx{@M;Yz|X~qZ7ExVWxfnd>yeG&a&w#0FF%?EDvdxZ={J1KgW3!B z?)Pn9<<|aWk~jl9K63ki*lDCQ%j1@Qm$)CmFmcsxP};qA8+L5q-9_tfd^mppLUqY} z(0XL(s>;gz6|k87?)%zy*)~vN6c4(?Tlx(&d;8y8yFQ{gZ~MK)_2Bh;(CpouynfnK z$Wq1R<(Vp_dU~L<ccVZHwqa-Q+F5rc-1w5e?rQawc+lc<*wWy_Kc!Xt;Kk)?Vc9CW z+qe~iw&sBr*lv|aS_*IWeYf-%xwZe1*In2B?}h42J9pVbYX3?GrO@x7u!(pNJ9PDJ zVC~Vi`hAh_FV<e}dGiQXs(kmm49z!xTBSUL%R&CUzut|3N7@E@I^z5qulSA6_J4a} z`|J4otJU!1cO%w9LujL{l;>POP;ulBE{;Hl@II92c?XWr|KG#*y8mU~{t|vRr};V9 zqTVBVy-SWdvkI(?>Ic<>w`!3i^LTCa`-@h48_fUJ_b|Xx?|hinGp16WkNICFgnriq zXVq|MZrd9ERaAQ4YrVbh-+MrL+{?Hjh<U@D?`0Y8Y!@anxa9l`>s_+4Qg+QN`{khX zcN6YUjDO1@0a{-BQne}Z?Bs7RZGSySULt;DDa@P9Ql4|uOE;|gXAZKmcl*2R)!;*P zA<^0O{*~>k*`PuYzVsA!Hf-lQw@Z%%W!GfwT?IPVv-S|<UUr7Vj6Ltb1@GL-fcF=7 ztw34=`Yjh$6aJj(cIijARm9b=?@xkCm3x}dqTv?1H_PF+Z0oB2ch`~Zd@l<=;`;CY z{{M_C_1$Da;~>z>$p73~S&<Q#HtFV};7K!+wy78&&gpCyGVYzGnkK0Wx{Z8O;@Qq~ zmd^y|7O%K$5Up%{I5{P4Mq~=?I`T~$ZKm|jd%o-5o!`m3*Iobm&u;(S@85U-zh+hX zFKuFY-O=FN|K9g|#7*>oTt?m;?(_1M3fBQEZ_ZQelp@~iHGSe2%GuDi;E^|r^wD!s z|I4}S)||2y(3zyRqDtUklDlAt{mg_;R^g0XlbL2MAC8;p&$gNr<r%QsdBd5krd|HR zI^UTb|4MQjtQFm+oMF+Ou#}lYO5SsWSLcEkHBDFbR5j|D4@#8_O{r-}Si79VYrS$r z`sdA{^?%^5w3?bL!?oMZ3;z6Lkv^liqJrt5Te?ulz8MJ<UvsXy=eHrEf59(d7VkBx zD|Tj14WBv7GoZS>DK$;_%2{EZav8_Jl`PsZ7NEPDSgfyp*tJr5X*8$Qeg6%ol385m zt4HWo@huCjk!~_AQ`Xoie=y2jV9Gr24d*0TQl|^7+1|9EW_CjJZw{@06B8Cc=g`V8 z(QDg$;u?50;UU%V`-Y_|+w>j3_6zC+s;u}K+O$+m_{zRn2{UJNzPjhV;f_4VtA38K zimOgOs~?83Xz!i7;G-R6Io?Lday+FbQz>Yl@QH7*dz8Jh#$S$uR_BGLT&<jOttwh* zO1<<!wrb&!GlDrKJdRKGg>^PfSnz5pOZQ!mfWOOGyls2}KI^l1yNV@RY~8L9k+vK> zqV#am?rLAzleH}i{#|6zuIXHmI5lCZHiy)DrHH5MEUxlO5pU149F13tc)ay_@t-Gs zEZIvrz2tp1oC{=0^;e9@XLS5|n$s)-eA-xxx8A>sS0^$|9iJ*5RMHm;sXd$IlfCgR z=c@g)6FQkWv+BAMR!-)~I<FqF%rD?OThr4zmw^9_O``u?E)>Wf^jg0z(V|zFW%VA| z2t-ENE04F6S&sUvL_C&eQPo$BcwN<Wsa#;qWo3=uDhI2wIZx$pW4U}QLCx`KxloSD z>;<3HIm9gIEy!w3*x1dns=hm+^EPx*?b&!gSx?Zy*UF|;vz-i=SMBCKSfttX>$l*V z4~0!_#hhWktQ;S!vaF8r4)`M0bhXYgpvGatF?$ZLyc)%}&DXB6EG<`!NWTvawaxAR zvM)tBPu2G(G#_OVU9WiKMOxD-d-aGXflaIG)gy8acN?sIRoJ9jrnur~UDHxN<rTF$ z2aT2sgHNXnW%-(~6tNI=&6r|~x160(>5?zzO~-agT#kCm%i>zD7V);0<tV>u#N*8@ zs;619byYO>&PkXn&3Wpc^M-qeS)Ts)+Ti#^h-dku*PLdzIv0GZ<`lC`fsNawFY7zv zr@W$$@1W3Wj;w7Q({{~X@XeX!w2gDX_td7RapjuF-@o_RaHyI?O3r6PP~(D+nk=j5 z_y&|{9?ZJ0s!=C?P-_2N(2Ac!s_NH$WHa}A1XzU~-*WBdyajLOvAmY`2>5rIMS6}) zz(<{?-d8MB_d9R!XkYLupF^yA)`DOAIj7Ailxzzwj&53-CV1tXkWMk|(2={spb<jP zfd4{GqWgR=eBguJ=H}THdW^-@e80@)sJFT-N53mXJa%RQ4V#qOIKDLIytcDrLC)la zy|+0|{qIWPW9#?tN_Z{iu%ndyXuU6}qdIwM!~~fs_s<-XaVbkzWWUP4$$)*M4d_V9 ziJ-%VKnG2Mm)Mo+JXui7&0RcU=loWg5_Zs$l=DGr0Y8fFuq+O!09}R&+9Npybo=<R zcF^Tk`aZDTs2%eRzXq~zmEyMS0CjAo>{ArkPyPlinOLmzMB%RtckzXr1(NH6_%?x7 z>{adFFrm4ot<_RO=9m*-*ZlNEKDXtdMJS-{oKLdEiUR&Jau*Bip57{RrT+XO85K8B zrx<);naNu(Q1?3bs1x5UYtSWZpv9Zt1H_&we76)Ux}Xml^!Xfd;$VR#cX7ZkJ8nyf z`-cz7gw%mntDfIvz`m7t+T&+W6hNyBFL;2?!n&t(#__d)SkVN#Hnf!p??5XNrfr<i z{O3Dpf9+kJCkt+XTzVdK!e@QNjOG(!ym8x_UqwgGXuc5#x-SlVz=a97WyZWyhh&zl zf-PADokekXH|Wev(1zUoZLO9XdrV-5YaBEv104%C1#}SPW6=GcryN0hfki;Ko6T&M zIU)`^W$JXq3CAMP*vYF66Pmwdi9K5Yx&ZdXKG20>X)(RCCpZ5o11+o-<F?cQ-6Gc0 zm!io2REWD+V5U9%aE+>$SKMWf<bhVpfEN24Vb*!F;HL<8@r0=3PJFj!$Ai{x&Sc@X zd;wah^5k2(BKu!U(B1AVpzXDjTP-zWLARRuialFUWX)~a@eMR8C9LyAVc)b?%Z!{| zNq^6>@?QsECjR6M_|(v~prc2t%(#m$oZLL2`AwnD8OMA%Zp#z#Cl1N*tV>p8KMD%E zi+@2YJ0!S^1uEyY%7iSw{z-me^9?i5-R_`U>u&V7%9!+n?==Hm!p0-^Okr~hcnK`% z(9rUuSKP1vXWzf_{y%PykY{?J+P)TY%ths1OSMZAd^{(o<VAZ<^SkAl<vDA2R8YG5 z#YvzeEmmF*T5hbWyIr|&r{y`B<A&zPZ(3TES*=txe3{}obM}@cKCh;ntJ#13>z&_! z3L)oQRImH~`}5Dg-(Sa7UR^eE`u`)r)_=fzIy}CE_H=AIcsgz8Hx(`i(0Y9vuL~b6 zo2I7eMEsX;y)TjPz2TG`i)*^znlcN=k4gun(gmi>@!xPw6tW(0)`B-tO<&zuo|^BG zI(#!>Hs_YKJ(~_O9QxS3+gDcdt$V=FfF{>I)fH7z2a`T?tTN}A7U2Xr=t6PDU!8+S zSxHf)+tniS#G63F-6sT1Y-S}a4d#@Z@4eyFQfM9PF77Y8@~(5hpTs89d5Rj6JJ~Kr zWotKGvKC&mS>N$yGv~G0&H?{Yns`^Si0Z4|$PjdVx|>6+vSY!kVDQ?seMh&>G23{V zrAalK;o+p&FIOtBZ05*1uNLu4rD<0&=d|4u7W{K+5)BhDscA@9s_6K5I!9RL+0q$d z7iBras>K~YGIL)0Vd8jFnDg2%HOH4$2d%EdZr_ZxD_v4<@A%VRIOl_s<I(W={><Dd z3M>BjHMwqL3AK0KaD$WO>VC%!M_5@**DFS3NjkojJ80A|e5JNAVW+QnlEv5U>JblR zq4obm#$b1^`6?MTHV3stn-+n#Ntil<_bISCo;=QR?H9Y_OW(W$Tfo<Ec0sP+Y)WW; z%%N2`H(~K-4y}0C4JXz@LoK}Cu2jWLVa31lCRMYzmdvX)wg-c%g|5tV-Ec;brL>#n zbZzf~e>F{_bzT<=G!J^&D`)JPmN0d{pvc`7tq<3TscY=BJ}C8mHnhIrpKW!?YTAM? zFIirnQP!xoJP2A4Sk7ry(X!xCJg3>E-Wg#}uM6sI(ssQ1T}Y>l!}05RL7hM~@NwOG z$}9HHNSGN4by>OmJgZ5+xgGzWNb<>Uy)I;OXJ*3E?*b-vGZLnr7ZR~;1)tizza^np z%<<%I&TCa23%*=tdA&wiWA{_vIoq}iJN_&e&MAOx_lcNcWn|U4;L~<av7H?YUh%SY zuT@#`Pr6BUp2~`!`AticybadgvKG?W%<p)YnRD829>>4JoMAu291mL^^tvu=66d$! z)OzToO`n}%>5^~yO^;^Z@5x-dk=gO&Ue0T$gr?YaCCnA(I5p3C!#!4(r|VU3BuF|Q zjTg-MV&VAI@SxIc-J=Do_H{yzr<{<`sjLn;5$&wLudL@>-+<pWO{ue3O7qnt-c4uu zDz6;za6SvK`A*i$Q7?O0dV^V3*EB51n3u3sUr5BhJE2!zU`mX`hHGnCmcCbxNCzGF z4qChV?dMA6y}h?*g#9!Z$l0Loc=Wq)P6><SQ+)xQA~naWQU{a5g+lhXCUlyznAZDm zxKhlr)qI!e;mEY;rd_**b*3w>sFyg%HGe)NLizpYTLpoR?LN&JwsYo!7g<eL>)Zos zTsE}bZOfc`r!8S^ET`9d_YLP1SyKBIBi8!_fR5{qaSQmU*3=s&81hf|pwfBhJ?b%c zAC&oIZ(Pf{N}Y4s49|ei$t>Qoz5%~Qno`fHuBhfbSY$4AW&iAiRy)VT%Q?e-={xcr zi@ta8qio2%Q%-z~z^77zPL%ioI+Xe2RZqc~rK|#ROPf<lUoGZ~0v%)U1$18J=1HwG zDe@_ad{zFNCN%#6Rb-AipsKkGba}TM=<@DQpaUgNfVMXL<>D?Dn0?xb@0ERGBA*aw zLI38%hh&z3j*ET^8m~U%4XT>KtM_-!zTXKt=)!#Sgyw&sYW3k^ohJzupqfl;<Ammq zpvvSa=oa!SS<t}@lUrquyiZT$ld4ZrWIrh$IivYn+UdgLq6vPWqccJGWq$*0EO_q$ zx;5PhbR+}ltY}CD^9XdzM#2?PC1)KmquHasRVL*Bi9<3+;y|@qcxl1ZX9^o_xh*sH z&26>xkUikUcdJtDnL@D*x8;j@M-Rz7sRP}a4r=ur$_2Sm9@JQ1=C+iuKYvIjWRdnY z_$8k%+h@ygauo+ugBFIqKM$HJI_JbU33MFfTF`NjzidG3YgM@|Pi%{X-AE4FEn)*Y zz1leJQ~QO38PQ@z0pO!9&Lk<aUp*W-qd8(C=upapL_VR<kZmImB2PJf?poPz<)NFZ z$o}@K&KbuvU2e-4eV|rDTRCV$#C~4x;(!~ytuj2I8@#>RL1%{;f)-hth!qLUJ$^{$ ziWI0aZUHR=J?+FdsUI|(l^%J*F{=FWvontAuR#+S;E9r(vCHgUEij+lD)XcmG*Ofx zRuo_}wbfE$E@<v$I%vJ>QJp6Xs`$B!1*&JX%3PTbiu;Mx1yhSI-05ny^mu#5iSHID zz0Cxjlvd6Mn$wusD)R+&S^dLDAbY?QC2idxdrHJX;d<hbOvt<>MfQuOpjo2s&^<Zq zA3>9GZnIitRQQt;`JzCpQ-7(5JzHQry;UX!H0M$UN^W(m+{FeJ4XrY3{<H31x&I$K z?^M%?plUwz!fepS%@6ht&7vI|LL06zC^-r$XS*CxNJ@6)Nm5<ICfM5{<YJiAx}ihx z=&~l$MIIA$BPK5BxELiZ=pDheFeg#7CCE$Q;s(zwQP)SG&%{{%{ZRJI{QBQBbBgc3 z-@e@<#?JWDr~S9qK<^`8@}pimQUz4YFaA1NgJG)q{+CmO86#4Db8a!4ClkovxbW4y zVE3eA&Q<cR8;*Fgn4VXQSmhT`ZFtb=G{>uTDiL|Qj(<y8v~zA9-6EyN5q4|tf{!vy zp?wM(RZ0i5(uKe`EPzUP8K)gjo?Kd~yjITfr76qnJstsN&(6-cR<)V))O)WD2N_vJ z`IT?HU}-uf+T^OIu%b%!V3Ie-s{4K$j$}2>(ihO#dQw-y?`<T@=_SxP*LUyiOI6A> z9REJ%472E6@X)Dgs-Akr9kqz3W=)Hjo374N*06gV=9Ar<%i;CTX~VTTmZi@H*95C* zSkDLFMXs-U<H0ZJc}pLstyEqd$})AnVnoW~+Xib_6*e6$Q(N&bu1S?oamCNfrlo$W zD{AEq8ci3tvbQf`r=H_qcFwRL){f3=4-|w|**ZR+%Mn(2o&|EK(Z%NFeOK&U1NQiA zIJTYBE6!)bHGNJmef5avbxoW6h1ZDhl)bF=->QkXOl8H7yry10r4@fXn_T@Mv(S1< zEAA;pJURw-+UI>Ml~*q3$lC9+;fz>$!Jl`0ET{K&FZkEUqAkK9H4icu9q+N>ls-q- zJ%<gqY*~)ZSBZEW&!U=a%agwLEvMIe*A3_Hz`C&R{<1IUx&-`_W|6+asr7G8!s1kx zsqd8{o^Up;`mYj^v)S5k?W@~DIvd#?&*~fuau>Q{H!)%6W{y{SN)hkUS-wseT2t^G zGP_^7Bkh$(rq6|nXI?(pQ_TfM?)D~Z<rfgKpOesgUU-U4bHZ9~4li@gYmrU?-}suo zh6zvk&wh|?_MO&;;Io)Cn@+t~k5GZ_zxY}l?4Gn-IOM<FL7{MgD}Qtk25EC-@pDY8 zoVVaz&u+uDPwzPd)QdNX-gCcDAa~GfzG{Ze{Di4eju%gJg#G4q{20x7t)c>Q(D<C1 zvR5A2i>nRRUWyi8v)S13CeOjDc7ZAXc@DBI7Yg~KchGIRK*&GSgG%PYA+;R|8wDLp zcZ(m6Oww+em9H9+72kA=U0A314m3jVe!4R8PC3V`c%Kc2)^kYdsYkqIY&zyIyk^tE z+8JRNbveVlg}^tr^b1U}nU=6Nn8Rzn_l9$>EUD{NBi_3;eX4EZod@0IApYODG-ZqH z{KwPpJ8d{|k0sRJXTuFcmaF?+Hn>b(06MXISKESbyey~hcn5s<Y<l|7H^9EkzHRfN z*_=}ETsK^5=a7>3-Ec}A)+(82T)O0&bJL@6;WZZ(H7d;yM)?a&iSyrZE{#7SDs{VZ zL_UAhCwY|{4?LQ>RGWIwDS=nHhzW=MWj>gs%?UoAau+PEUFA=T@>b=@dhfR3j7-z6 zc46=$mvn(OA8MQ0e4D1$`Cs_K*AyzJtno|fVAlF7j>DREv%y!9+cYGswQ+nY%yG@K z7CI*J=4Y^bRk*;E|FQ?!v^liwyf$3?%rbTHYJ;_|X@ViO><49zfcj%!`$4-L9!H*V z{QMepEE4E+%6QPN47YTiET{%;k*o&oFr1&7$j2pZ|L4?RmiLF5IPSMMKfE?s*)b7% zCpiyjp9A<#a?tKg_?_fGG(m?bo(JvTOjBfk8LD%}G5rYW(gV9g@rLYs*G8Oh{Fe*r zWX6Mzdeq=9Hn0L63BCW+AsLr*kbRa1H-8Dp1?{nTV<lD;upe}mvCRo5zFDAK9D<}C zXgyu97qqMIW+&)W%EUyzB2bsz9&~1PO)KbB%G5+YFMH6bl-Zz@k>*F9aQp(gP2yX) zSdl=#P1kH=_TKA=d%o`!g4#9Jn<q4XaRi;<B>}&Z9MrDqkDSqb&=hng7HGRmi9WZb z#{bq<%Z@!qocLC)k34(2OyD-?XlT&>)<+JY-7Vm|$Y-|7Y&oBp$Y&%DvPi4p!$YeV zaiHTbciL~7(ERVN&KbwV6Ha_>pmQ@XJ?np{^)z7*s5x_Ua;uEXbI<_8V$ebjP(4;? z#%<|w4b-kl5-XYzckGZ%31|=I8_<0J_P$n`C+4xuvyIuCk0ADq++A+>D#4_^Rb~pP z$E&0cT2nGp=Zs^HAh+d;_@jqpRHkj3(45xaYU!~yQIY*EsMU1l%<;mhMHl9tJtXs_ zELD;HFLT6<=0k~~W(^Z}alk6jW(?4{%F|PziW%GhxTqQ|UmCD`F6a<z(4pX<l`Tb+ zx9;#NzA)>k6W=9Gv1bc5+k<W-KXpjvOJBMo`_IduArL3AqJTZ1bG){KwwIcTJyS4B zP-MRfUiH$WUQk>VaKEk9(&LR0Y;eP|ct5C3a~8CqL<zLd^t=<_E>N@U&Qj2}5nJx! zfE}Qi2W@&;nhrYQ^RVK&OEP;tvDXFL|Krx**z8!rn0f=gc~dUJSow29X4|bvSB*~P z%uqk&vnln4(aAF(BxXvcX-7?)v9iMJjM1iP5osxM7p4WKExNhrj7q<b@yRnYio1%f zp3Q0R1n=IQUtbox{`KF#vFB^+_y2yr@<_X}vi{#I+ioZRwV$|0Y2qpEuuUE*&C`7@ zT7oxkItSF)9h5pQG$qDk!?jA5rTVH7=^t65r1yWSW)b)!cdBf8-<Nml8vj`ivPFIS zbl{<RSF|8_yfu!cTgD~eYev)2Q!H1{D@HsLYMOOjP-kn)f^R`hsbK<FdTqKM>TG9q z{5zL3>;~vGX=e^`6Ptop9xpwbK*wWRb}x9L)O0lpa#W*T)1=d!*Q(|%`10(uWnH?M z<ITI^iw5>NY&hu2B6?os#*3t;Q{looMW7XL;8O#um-QW)CKyuRm(Y15c}CdTxg67G zc?bMvXiDW%Us0`euxPpPmG#1F3RE2r&*li*)xF@OGRtZk?|_ocq7vt_tOZ2w_a^kd zfmCCbl{c<Tye7`!HQx<VWGjG+?EeZk9wat(B{%h^+4W_H)+rxU+AS3Fm*HSiyHLn~ zn}b5jg|7V3I~e5Kbjw^or}#Lms%T%<_hg>p3j4>LKH0720wz9!YrfPq9cvd@^U1Pl z(Q<(`-(;H}O&4DCF}i8ecHuRq3L1O666X54LGD+$-MZk<Zw@mHXgwD5=gpOg7f-TG z&1UJ2aSQlr&eDC?E#U8c7VkAGD|TL-JmXr>Z2|DLQ*8_0)igb|a}KCiJP5jPszURi z*M7B(JE{>+<Dq?PclTiTtZhP&>lN}9A=fJiPO)oCm}>;NUZG{dpY<GO5l#Ug=d(z! zRamj-V6enFrD&lLUm=}s>W*Lg1#}9pKtgTj&!;PuS8nFa(pQRj7S*(CyO7Rx^%eW) zB(#ciNagM8dORI;>r{DDsGU#17mlW@`&<I{cx^bw&*>HCyy2QI%hLC%5$QLffp)Vr z*u84wa>KQs@|A8R=s6zc7tATKaD1vSq*KJ^cvW6Nr%crGE8jt(a^Wj~><<QIb7tj# z<7wM`rjMnxTsh)hGs{<g<%oqQplO^IZ@GD9rAxllHXREWSo4X!X;Hb*ns4?^kFq(g z-6%0#7HZ_U;o3TurQg*fo(D8-QWscLuI2diy<pA-l@&j#n|j|VtoRe(<QfLu=o?de zRpN3~PIc2O(5+MUO|vSSmiDQysO36nBrbGi@0^64_XV%)pPSJ7U%({Bbwf}IZ`<XH z=%&znegP%%O;_#w0{&PuneMZLIL$B4pma&8q~lAogI3!Gr_@eJ*gKWwsd=5)<y#5T zO<noQH#D3BekQYYi*T;0>rL4BnRC_umW0mNoLT=`6IQZwWc71QyIJt|^kG)-FouVd zc1MFwll~so^s8EU&4<9Iw&Mb8zJxX%doHx*l7hyr83|kMg+=zyPv||)>GjGoE;ZY` z>C$G-Yd0q^$mvViyO`rteQQGVX7GSS!g4LpJkE=#rc?Dw5h<FESC4aub+0+R1$=7v zTu$({Q_P%M@{SwMFg5K8h0NnfHHrT7xbPvaX{wxR#-6@}sn_k!PnU7n@#MuCPr-(( zmspNI7hLl(vq?t9AJiKHZOr>}6jZHgi4{%QJG0gD#a+<Bl+K{-d(&EFMB+a)$<4Kt z0Id!?^*$|;Pl<iQgyvVELnm*5PE+`&EA~twaK6aH|8E$Y%+=V>#)D3aex)W>bYb7* zR?8DMhn)C!WrKQ`tCeT3`yX9x(cN6v1R9y{2K6vO=R?m=QDlD%S_XIuv~LqUcJPfG zw9^oDe!>^f8P=d1iMGyam06OW*D%|deePROXI9jq;wImw_n>nf?tv~o_|FMCM!|;L zQX>qsU=nl!#h+raX9`8k+?Ff;fsT5xJ>tao${*CUkvtT7%CQ)9V&&8%MfSI~ku#dl zD1zEHphF6NvvFI#h(B;hMx+k3Ul4jS0jO?X3R>p*5p;>dQ%$iVfxAZvraoKntxBv& z;C?IUtcU5KJ|^fC1y|5GKPW8coOj~eWS^SIS9Kk9Dn&e~u>cwm|DnQNY;dc<`OX}f zDUU&CKAr<r&fr1qS9Zbjr2@0hJMq0bzR7^S6XcOfIna*SDXlVF#6fcrdfb*T?tzwe zg0`gA=C-UhVQ*avnv5{xE)KW_S}$1)>ab^s6$$8WHelac3~DL}i9K6Tco$?1xNbfP zs+%uFopR#4q#ZG%*)JtIW~ofd_Jl;fDr=Ba<v=}l2T+fFQmdteZh|8F)6Jl{2qm$i zfZUA+>>HyaPdNUy6MLqxaZam@321I5Xm<Xg-K7^m10N}6^I<DMFU&Ou9rD2hx=rH9 zAsLZ#DT?feyCY{bU%IOEB%#KbyEtHHd#jAg^o<7WTc1aqaI_IS8ak`_n4iuQ1<UEJ zmKmU7l}n&`pt-`Ja~?{>o-GImH5If$f$0kx76Q-Mh`gWmYen-#WzZ<U4ru>o4jbs& zDQnO{>vuu>H}_lm{NKazZSD<2(Ed&MV#eqhH<l$FlsL3%ai`Uf#?D1P3Bsx#5yH-| z+<PaAx*HY-a8BTuIpJsnOYCd;1%gL+JYZs7?i!@Iv9EAx*V=UoEn-`Pwx#y?S_er@ z<)3@V>+ZX`&u5tXnPq-@^LgL*Ig`))yL#_?dib)X=eNf1eRRYA<>P5V`A2SrdU@NQ zd?v4R>DJPCIp&pL=QGWUb1=2|`0c4w4}*l6O>i%RL|@_COU%dPKAueLOt|r*wOnsM z(}#nlpyT3tdK_0WMx1MpeS3nzN~odZs@!FdS+z&mB-do^*#=qJbRK#N+W8(O3A3yF zqUU`*)(g@Ky^7a-J8UUd(t5X`|5xp&99;D+8hlx2)N|NDU*Ls@>+Wcruc}@Kx~x+r zIUtK++UIlYVFyY6-QpJXw|92RhE=z98bC{o_WDED4<3*D)umha)cw<%a&s}{l}$6? zE1T9%yi)aiuTg}l#d@%ldH1h?ToO8ePe}a6kF(8AZ??M;#c-Z&feFOkhjB3PhW)9i z<eTTa`Mqv~$JHMlpp(=pw?dXw)uxFY+^{lw`wLsC+uR8T?6C6^ZI(b6mvowbe{K6q zUZ=t1Y97e3R`Jk~(g%gq_Lu5a{ol@k7PLSYtyw!mZS~!sxBA}Vf1OMnA$Pq&%WwAP zuZElvdK`52<;Uf=d)>?9K?@h5H{4ch*Qr5|&3tx$*~BZqVi;Xk9lQ!!E&1>*balx| z>o20x_dgczJ^mm7No#xdGw5o`tLH9x%=!yjXL)Q3$e%kwcZ-7-(HM6n+_<ui{fk_$ zGSXr<^Vheb8hz)ipLk{eItHcC-{3`|>3*Ojb@0VLgRX=dcY^m{s@~FH9Z*&XTTVK6 zJM8SiUyG$Yze<A+)O!y)SM+Ng^tNL8YLEDhN7J{xw%w)v_5yr)(miW$=-S24^RIbi zofUCdB9#MLe8~HM2{c0QtX+TONB91#)jY30SHW)pZhsE7aZTAww@Yu1F?EFW#;*`$ zI6Uh&bfKCtXqlz#{|)yR7gjO;2OXOUTdKAWwwCJ16t|%I)qG)F!3R-Z`?(yN3_yop z?wl-twR+2WJ*1P{K1;%4vp!aN<-S<<)w_0s4!`^w2Xb+;!LEMUtKm5xzgmBlyW0s$ z1+ZmJdN2$Bl$%V7vM$|_wIRNjValz7r+PnEu^#{}mpmD&+LZYC{g38*$s3UGfqpmz zn&z{lO(vy*4%B-b30l{5Hu&EP=&70DMEmh>RsXT0$dP#+8bCRZ?0T2{Q<PnkB_9g9 zkG%5oeCWA&;6(eq(YC7p+fyW+<@;bOqF%C_OnT*BvSHQkSDrV`7?xhEo(>J6H$6fJ zHyrJMd$qb`y(Ur+ZFhu4Cx5oe*1p^7&7sY~;PWeQeSn>dce414sPzAw_<3Is{z6`S z_#PTc5pOwrm)xBEPpLVy*Bo*x?lV?slAj4$Rl4rSYMa-+(a5V0^PqZf9AWHTQdhg5 zCv59^$YH#5xuNL+yo#&#XM@e_x4V(FzW)PTJ$w0lrpi@+dyVGMyTxE9N3CBANrUpc zUE(($&Hv*&@9Vp1NKXDf54?_xvExQfRTZdES-Y8AA&6HGl=6AkLl*=3$1Pod<Hz@T z7pr-;i5^JcgDzeC`Jn+8v#a@E+oq{=DFm6Sfr^H+uc0mmr{KGP%lEoJo(M`#&_ZSQ zd3elTsefVn>osVpus&!3Vkz>$muBVvH&^w4n}?*+`f4$31@^9m6GQgz0IfgNGG$7L zcpnB`)OREISC{U;Ppo_0zb7D-Db=h0Fa6E{S(<lO%5&{$kU!UfmRRzdg9<`JiM#6W zFNWv*xw!CN@(1u?o6v%+eYxC4$YGmd*5S&T-$1KEd-FjH31`_t?L4E=lo;&4Z^`$J z8;jmNVt{#bc_wr*VA5K*p!!-ymsQ_Dm!@wx#|PC1UQOCO{j1zt;l5Y!g^T6)V5d61 z^v_bcny=a5adj`~sLX4(mqMenIwpSOQSnuwnJ<MWzMG-j{9_-3fe~Z{;<>A^b(YUf zCq<QuIxLa;a)qNI@$m9191Wo5l9Ov|j~=USy_Z}Ng%m#R+0be&>~)69*}RJfR~^d- z9hhm#4=v`?L8nMtZCv&}V@@Q}%J$Dnup;Hof{9n|FKZ5cdmL1xl*U0*4<wJynp)NW z?Kkq0<{DT=yL|tW$13|p&7pVqf!0yq`?&haYB`3(h7xy=XQ?(NcIQW~{r;j3dEH_h z{J>%R3m#c-FCJWVFcDOw9G>idp&GJO^5-g{gBw0R)BY;g+>NwuQT`t6T+my4r93yY zmu^_~aT3VZXVTYRfLCvSS^9tV_y6<%Gwt>KJ`dDXf*f`6{PPm&+$XDeP4gFpefCvU zX63i?R8(Br(i8P$VpDV6!N$#I=SqFAWIb9lMJ>0qZ`szkbgPS(x?@*9%#z}p^YTdS zuA(Q|mO<H%uVj1Ipa1>ynDh7Z2iu?j{#&=h{vF>twdt{z<=3qHAIs0F{59$9EYr*z zeah))tsdoOHB|R=J!ts4d3N`^ODig`NneO8zUrfY;Pr0i3yDWr<7e-@@q67ekppX( zBR+onBxA@R$Ozht&gRRWbS-|n(rmHYpu+(sO@(cPeFawn-Ud6n>gJX^f(?ADr^U^M zY;l!ecNTUQ#+uULk`pCW=gl`|el20JS`&BgJaiZJHTZcD*X|0)8oliaOTYYUJ>!cF zk7i|W(rk!i-|*<|S@`y6-dTJzzsc`5n=Q7UZNcGFv)7%581wzzd6-o>pdIF4&TQY5 z`Ex#KQ|`mtPzOIm?DyX)*U2~2FFt0n@9OgV2Fx!uR24Jq^=6z=%AjpmspJmZi2m-} ziwT*}w@Po$tUYrpC+d$;gAe$ahWz&@LAFiM6fXhq68?Xod~@c<hwoD_f11c(#A@)G zA#6`L*kAB70ZhJ6%ZaM9VUP`b%L6^2qMaRnd`GtInItpYvRJdVcA#zg-(maxg?E=C zc1U0Q`r<<Nt;j!zbE4|iK%16j8lVSDJYRo)8G{lVcz-?UjDq@O{hKmBK4mD0s5F2& z`MvJ1Lik>O@Tm#Kd8d~>4QIZPI5ppAGhaejgQ)zxS;}k*N(Z`>N+Mow0i6l3+5Y6R ztJj$?B!2zl3k}7Sk?_bUy$#xff3!U1@~>MAB@u;({Go?Jy!{OK8Tjap>9aS3cA%F; zRNgudRkF7mp~PI)NOtWG<Jr?d$D~}n2R%teK5i1iHJ3oH*%hC1`PDhl84maE!dxSY zaLshrb{}i={IkoxGJ}%O=kKs{Nme7Q0v!Z$zbxKNR}QqteEPllkd*p<EjU8K0SHPy zTb_pB+)`u2ARDGztpGisAZ9wkIoCkWIhbg+b{@Y&`_<KT&;&m}=M*SifQ(rKITD~Y z+HCEA!3I9<JPG)rE%2i`z+v<LSFV}vJr0NVsoU*fr-1Y#91IGZy&pWxbo+nZ<ymle z)otb%(p=zNarih~Rkp31tkK+kpEAtW-rH|G`&u;oNC{(b@PLj|dHoJ_ip;$~&Sq=< z?@aXVz7I=dZ)@Rdzz#b9FWF4jPO5=VTb>`9QmfZLg`ZrKXO&_VwZVAywD}wk?V-D2 zHa$cfUJ=#4d4{ilmC@|5`FsxTSAWCKz&Lp))_AE4Ja6p*rDn^&{x`S$a{?VGVGBB9 zC#*p<U;cXuJf$`-b6)oM+`F8p7_kOEZGQF%kP>0<(er7+41&xX7IDae&nU3^zcVK) z4wQGEz4e5p=81?1I|GWa?)r1fu9|~VbK&`P$UzV4&%sA`fXumOYi^ZtD{`0l>}#OY zE4qUJK@(zr{0x{u;M`vSv-{?j2>Av+?ellwM@r0rD*>O|^7a0v%%7V<N0Pkrh9=d- znJ^_0uRnnfxOjW|=9XQc(+A>ze21PJkm3qIH{kA6Q08h2-<0_`fWd0bi+9ihbNhNk zNe9a7`+v^AxurshK{hPzUl#00iDI}h;H>^T{`|71#f&dDWIctQ5Oer8BK73<@Xhp- zx0?Vx^ye!(G>=Z!1*Z(q*)FB;yZC07mHBPT{QDl1)lW-9ef7-%URr{W+nBd~Q)c32 zNr(2S(#(I}7w>00V<o<(BEK5dLB9gbbo=e*_^#d!OB@d&2W5Z{NSW8gH`9+_#_;{z z`41W6w&t*1Nc;*b$L8;u01w9U{1SyUS$m_|*W@`J+C}%nlI!i?s9Eg%{K>xEn?aRV zF!a2hiuY$xE3fWnX_r3*gF*;)I)&XF+kB+5cb2fM(cF2z=H*1yf(}@E&ksFFMV-6v z9IWhJv)jNb<yPi?^V!$pK@Q4ig(laxlkZQ5owfo_LjU)$-rTYS6lv!oXQas<Si_)w z&)OCqlHkL3T#u(*{`3k|gMGLGO&%L2!~OpH4JbuV;!nB!${KX;#*@deNc#-;{OdSS zg{l)h#rL&5%YwsQ{c|Tkio1M$L~#dBL$8kHMCE`ENlBj%D}!|5b$1T9M10nKbITre z2HCLK4-28rDX4_U>e|(ygON(RH)n3uWsnWiw^W6envrvr^Z##t|6l$;>yC*RmxC9A zKu+-faGytWslcfVQ;b>`nur|f0Idc|4mgsqwpY}!!(#^M$Zn6d87?gb9fE;Dt4+fu zF5qEwX;N|%<m`}0?TRq$@L02zO>nEw`N-EN_r<@9+W&P=`rW<vzdv4^o}MFk^MkPe z+h6<RL9;`@{)11skUk@H+;<Vf6`Ssal}}l=u2+jlGj+Uc%{i@l!h(NNO`^wGc+>O# zeTtX=lNffZb-~9vmen$bjn|e<ekkJbf!B4qpX|!HjsZ222c^OVr{oB&DP@P;#?-ao zO;yv^v@=JxoO<uF!Le(>qeK>IUBwkOQU{g9g+lf=C2S03xtgyW@#r6PGP>BHRAp=5 zf^TWj2~psqy7dnhwF_JU&B1H3@SazXSm}15LiM25b|I0y{RvyuIl9)XMkG&;l<+&b zlO_ADbAXlehI5Nx>mJlW>mJ+#{slGhh6#l1nVGOy&++MT4lzl=kgd9gYmYt?2#NRD zaO5D1X}@a3E0v~OsZFVRiYuyR4;I~5UQw@qkPEgvo`3#qtDsvM+CHm4Zev-k!r3L~ zvf<Wkj;{HjYZ@V|J*IPd-S^mV&Xy(hH_K~V?|^^yEYfHC3U57{$09B35%6;@OZObu z(!H<F!R|?+O|RmWA|A0d&9YaCcqQ0$>%4%@XCcSCH?GaNR#Yu;#l9h-b-RFx&Af!A z{6Z%CIufSp3yJKVm#~%3@nbvZwI7cmlQNb+A6=PvZQ5qTwU>4auGwtwcylqwHS4|w zHJu5~Pgz9wJ8Za^)pW{UA>xT*)2e!fh&QZFucC9BAL>k0Sn)HfX=$IzioH`3W*S4+ z7QEA&Z8hn)jpJXngIxF3Gk!1}^xChUv1eYw)U(@<Zs~gGv*FfijxKq>4Q}%mJbB5I z9qSSB%d4q0OmNEHxe0rJbDsL&m(W}aUBH@DV(pW?xRPaRyyu1!3t2+%dv3U~lI5zs z--aVkSxoo4Z3vmY;9D)rX&cXga@~VPv-A2NUYYN`;gB|mR2m2PoQKJrVLxv`XN)eM zUe<SIpI5*iu_n_xuYga5O<UbqmYUCN&RlEUzTnM0me;aA0sr1Yb}TGrk&bl=*y*_8 zgfB~Iz0-ypi&?JzciPbMNFREL_}RB=t_;&|buakl$a4A#$E$fB;2U5;NiCd1>Ym4j zOZ}Wu-#Np68arM*5fhi1E8X;no8wxAtmDaKj%!wp3%=}Rc^v`{H5Tj8Z?{$|@0I2_ z^}i#b*_}h{UuVMN=^R@5dzp{-pHW{iOD*C}M$@bJsu7Q5nr6*cig+c}bnCjXPO-V; z-FD7t(n442CnmJ6efAM_BueFu+e<hOc&%5>s1Z4+^<Gh<%Isj)d=-s2^@zvOEUNF7 zB3>&tUGf)R^O?!<W~B8et!mDuPwN%Ii#^_}-FV^CbZWjr#FJN?E&7%X3Cma26~FR$ z<Ja`cwn_Dxz?DDj2ZM~u4{gbM@3Y}d9ZM;{dc?a}maqQm5euCzeDG?Ty3g?f@;z^U z0X4_YNt~0ihTS&2a;5TGXHGAD#fax2O`F68)|6X1{#-Adb3qM!+uJ;q6`(6%&2F<^ zUbTz)VA5})kb2>RLfxEMVw}^g<}dh`%5r+m9PmjpdN&=vPg|L9apG@JtIQYq^h7?c z`cy^s!@a^03oSK{g6`D@-3R{34Ro6HkwY?9K>IZwJ>O`+-g|!Igytup13^oLxh*}) zLDy-6wrBjd;V!;#c^&wg%=3q2c)lU7$^6l_vfoPLDd_sqzdC0emz;6pTLoHPl5~CJ zgyvr$6OQZ!Z6lBtd#3PJhTAg3z3g!4tmZS@K=))ev|66nJFivdOF!tI%=gKP?1w>@ zj9mgv^#1^@g17{-C=_(=g}~l)(DB^+(-hf1rbo_bKDIydgk#aScYAUm$3)gDa2H>& zoYg9m!VS6uO9r$i)P%d(U`Jc4jL81Ohh%!*r75yMjo)a%J~2JwgyYwEoimO)+t~B` z_+Ej|PUvKYA4id~uM%`T_wmgWn!kZ={CuV)R&-(QW&`$}`JjcXv7jvv({-LC{4fD6 z3RUN}lsG@Df3*qw)L78%-}Pe87EDWp-XnJ`Qs;@n&N;1?8L?-b_%5vm1?XJR#0Pji z>?VHDlv_S8x8(`&Vw5wsg`j)NTU#w9-X3@2JLL{K<N~w~qKb{XSRneC6W=S)I*3j+ z(DB>{VT)`*GkRx0H;~TV$Y7q%S7g0$LUSGHetH?iBv`@8EAFyW{E`*fAA=TGoDv0{ za?u4k<>HtV-y_gLD7}oZd&+047EUdiFz@&wnG(>Yb~l|PW;EYR0v)spau{e{tjkpF zS;Ce{tuj;cQxo}=K<A)9uAEx|J_lvvR?yarlW{w|pyzXg4(nLS!)=+NpOnaFqz<~L z9CYmYyCAWm3-JdJ$%y2oDY75d<1P-U>S>kf0nJEhZ3CS*ei5`HI2Ux`ojm9amOjwo zBwHB{wZB%VymZA~cFQRpvp?zc|Nqoq+HzC`RMThwfShM_Cv8UiiLj(;4~<-x3!6Wm z@wwr+VgHnw8QFnplV(1gc}4_ufK{5>JfY1!)68;GQ&whVPfXopq<mK8bHhx}v}2o6 zz5o1O_xkK!_S>a<*M0xK{qFbgzaRWhSo_Iuw%fJ;UCX!q{Vxxy>90Z7fqY%|Ws|2! zL+LtYjop(H_FiRq3R)#5+0-R{rt0T>p?|uIC0V9UX6b(88t~Nve7iuM*g+w2;VXNl zC9I6*$Xc%yvCO{ln%nvuorVOl()shOCRKMX_$Ovv{O8a&7G80IH6<2~kC_f?r3;9x z6<Skf>iAKVCHszVz$eD0t@D&L_Vy*ry`d-Jck?aBwb}jw{{otLr$Gyr?&ZF+lCdrU zKfRh<^VC+{QjB<0*YwI?Nav%H<Jq(6$x+^CRaV&cC(Jy`QaW8gCtPU-Xw^fwfJuzs zhD)+6z1IcSeEI>aDYgc?XU%@sl_}~ku*Puuf+tE%Tl<tXYPk+ti3?2GJ11eUn&VG- z;hX|_$D{VbIbWC@pI%>LFvoS9;)-3;2b0(ZL(U896n=tKusbXNU72_#m1S$bO2jj> zrd{8KbiS)M{W>qaM&K^*<*Xg12fcO+ncSP7FtuD*#I`eGtG^KVM56V=Q*wmYeC2Ms z)Gx5+^Bt(uZuUM)h<cst8c-+R#B0PNdf#=!#ZH!~_Kq7)sIr9aciV7dEz8w<zYRwY zvzWTGc;{M(A6~gv^&t4rFXs(+tT|uJci3>~IC%cP;N;=dV0SOxreoTiVLv4uFSc`p z{g!qteA}0~_9I)<Bx|8HTg4q;${e&hE<D9{cEa9n&QtYM6PlwrwEj&=SZwF`bidHG z{~~7ZpFV6-UH@M&m+9f8)$7$Ga@d<*RSW49vOw<bR&#u-b<pU#z?E3X4R`!GUfDZr zI26wzwN5$WWoh+;Jkxu92~$lRFCOLytCn~Cc$xFsk28>vv#h*&W#YB7EKA=jftSHL zH+_v$(fEJs=ZtG?#++L395-A{YC82^HR4G~)2jJu5o`Pc{@!NszT+A2`8tcYtz$s7 z?m?s3OMil|*6{V|V$j)c?fBP~MSD;Cf`^(c+O=H^K0akxUDLhb#aouuUpcz$-8LNC z&*7D~sUiI4t+oYUWSUC(lr*Y!4pylPPgyUJQ*e{z&~zDx4Z))OElX2Mq#d8e3+jB* zaJ*VCq_gegycuC%&4qO)Dy^twI2d$X0DOhXXO35KUK{S1bG+Kl8TLcf@vu8b*e`a+ z$Gu`n7OVGULYF<hc(YP@>tBv8dEX6g6Tyd`#X1H2VrweRQ`M;EKUl>tJmtUjK{n}k zt(l=c!Z{}03qI{*>6UQ~`0C8kJ=ZzlFE@*K3}{iTVvBcPUH&VNRo(%=6`NA$sjgVP z7qkl2BcR^BN%Wgq#th|%m(47_=hY&fer9o9uN?7qH_Op*;WZztn<kwXSR;Cq{jjEO zbHZF%=!)rcdULEUSvM{C!_Hx5F?qqG=Nx9c+7=XbCrk`w39WbEaN{z|)&Jfbj)?s( z`t$5I=d>t?fZqm9sa!0j{^}9$HnV(PuN3jn8rq(F7#r;FwO=*EMkV5@J&S9yq)+zN z?Sdln{Wly_WKo?iw5C+c@ntv1wUxqC_I4)hwdOqazb%1}%^!5g)c(jB%@@;tC(0KE z+?&>F*>PsJ$icIk4IiG#glq<_M~FV;#P>=*Igw9DeA9&HXP~pXuM~k6dCYCKJh3KG zk^Sy*&?1i+tukNCZ_0;f@^R&Z8VjJ3_hka;K<R0%mMdzew92^XCnWM^#e*tfHPBri z7ZEEGeuI|rf7Rf&^w@vkkW7i09YeS#`_1he4A}RIa9f^`2W{u;OH^b(3fgk8_%o=n z;H`7U@rj#QQNUkC*hWs!hSEosVnr8fzBcZ#ES_*{R;$dGbkMSizmR1kKMG_^`se*x z(R`;JblOym*s}!zpf-ba#Ej-k{Ghuh<iwsW_~Z^cQyO$(=H6EdF-v8RYzH0D4O$wJ z(gs?T09wDOzR7@nE@*MZH_#>S@2fzq1v~CygBPGRo#1n}0{$EZ-Tyr=Rgpc_S|U7? zPbnI-*3wVsjAM?xSW!SdJ9qH}i^f(NlhdGuwvybI8S%$K_m6Ix(EKh7wA)mH+wz4y z=#(k5h?d!tn;-IsJzFpZv|^?ew8Y~CVy)%l<#w+Yl!<X$cEp2fxpk?E>?c8YqF)0o z?0L7@fPL<A(DDgY&@~yZ)26>w*l){SY!Gq4iLVQ^xMDHrp!WF(56QTI_LEkbf|?2k zo%mjrgVxKiBU&|fre6cuSAzEN%0~CBHesLn7IfT{9cXLDlvbH9pj{oUmm^Lb{GcTE zY(a@CXk+Y=Loy*&pv|WC+{FRalUikt#DlJKoh{!qdvfy=AJ84;{jD-fKucTZsv?>- zOF_4e#GY{C`vf{Ltr>LOSp_@js`A55e5XJwlp$A@zg)P(t60E$!-VEvF`(5b9iV-j z$%%ZBi%~#ljz0q>)Z9%5?E3$~J2=@@4~q$c>UsDMPXFaQSF4&|Ug&np?~un#kJnRt zES_0BpRqex=J-uZzbMaVb(3^FCtsN~<My;Eo^z+2P~n+-Zps!9wM$+R%O{5kS-l3| zQ~tdF{?2vBzy7JIzyAC0&p$s;-`)1#ZDRQUtJ`iptN-u%smrD4|Mp3)O0V~3y;?ud zg)!pcNzN^4eq91hPKU*p^-VFeNVu!d)&21r%j!2y0VVQHS3j{F4Ts!T?#*#+*SrN^ z*0Q`_qonbh<zQ7d=P7soBL!wNTmuTzn|hxKgv5DlIPnS6x#n7(?kC$B>l5%ds!8=0 zi>bUq#H+%lTiG1bW?G70UbS2GV3D=ZmGc5?4CaHc7w}Whs1ZD<6)r3i>$%}pEz41P z<%neH+C9H>795wOvbmcsSqrS$Z0>lolI69oqDH;eK{j!rkQ{-W5^l$*)tq9LQx?1` zX6e>!e;9JVC!zBui|Kcz2rYN;zLe##`<wSByz+R*)%43;cuj%1<6*mlUiGROe~b=l z<=f17?7m$+;_Y6RqtAub6!JKpoXmM`mC%%Za}wsx<~ViF6|&*pX~RKpXmR&Q`dMO> zbgp}VrSFClj4Yx3Y7uW#nqJLUjd*0#H0!=%#4Eq1Tl|7L#wsg*D;+FaZ_jdg<$m3R zT<;Y#cE}&}au+nw6I}CYCM3irE&jSvd27C~$o}Sp-gu!YHM0`d)(cL#S7Enot6$TC zH+d|t-+Bl9<7ndbQ(5sNv#D24b;TduCf7Xm6}OZl-e@+x`mYj^beQ#Z8}~HOzM5yV z)j|E&sSCc@v7DB13n*tjSR~r?Yr4Ri557%p+lAJA;chzSFR<nlf77DZ`cFcyZBx>i zrxfwHnMIXfH6q*6@#SWYYdb5z71)O0)b+EiE@cZ(`EPlUtzS6gkM%(}WB#LCwDxx< zOq|QHs;(hnBP+|*ZWix3jsc&$S-fMN1FBUI8hsbOQrnZTbHCt~`4f*9gmpk~4{Nvf zk=5Kgf5AtarqDihjVh^wS@8-Qb)pBQ?yGCuRE>BY*mUW<@S4Sk4c2a&EetxP5wrmI zgkXqGU&7*G4z2om2@|!!UF#O_b)f3-ja<{K{i+d<BAaGSJZ!jjshR4Ey|xF9s)er1 z_1<u2Bg<ERwTOqsEWG;a5i4CU{LpI(t#c0eBH48HpLambWMzxo$GO5B({dPFy#3x8 zmM$q}aD2&h&`Mo!itYS_y{9=&)lW}ow&v6V-Cm;T`1HSkj*zv`<yF7JnvRyKulOg} zq$(zO<&VX|pyz^D)(PtrgN9x}Ym)C;FY9|!=Nj-ovq|)y?}dWLiw)LJ-KLnaNBW>v zWYZ#dp*7zOn;tzETJuq~X_C3Xno?`Wm+YL^D!UfEnUS!US3J?;>3ydS2iL*UTl@09 z9zNw2e*&9a&nd3>mD+T47R%LoAMkdldWDErtWCFG%lBsLZZ>tiD{`<%UTH<W#z8K1 z0TY|<gr)j|Cj0slrpiP2BFn^@mM$?<(AYOEq4&C&!P-fiIj-I61h<LA1g6BgZMY}N z@-&-8I>tHRV>^rVUH^ce-&wlXD6aT5E7@Sq(QPU#{`obj8bO`*OflF!$eS~3zT1W~ zt}LbNl_K7;vwYpnqW!0D!Nboi+BY}O2;)088+4XJ`GyJ2FF?B{-zI<#r95;<#^jXF z6NO4e?&1r(Cbh~e0qxGT`tH;9z*3{(gQdj@J0sAcl%NfMb$gHQ@G3UAF{M>TWU|hg zgBku}MFDmlt(F}+X^QMu{Xwe&L5C0il?Gj>l?K}DAjw@k;T5O{Y^-y}@mZ=^(S^HD zEbgsszH>YBgkwFw*s}!%58w@e!-qiKOS_X!e8--HoVtGVgyt8ZOVi&j*LkAw&j8e1 zFy^-O*atdAG0D4NYSDyyeXW)+-kfvd+w^<00sCIiv5Sy(pmN-n8g;#`mJ)YQIPsm5 z6?>-e=>o_i$P&x>pxe{GDREn_*#E8qbk0N0X(zr}pyjPWzc)^3{x(tPjN`H+PJFvS zJ1usu2kpq<1@+g}LH%_FP=8&S+foA5+dk#yU07Ts;Jd+qeQWu~3C%zD>6~$V`~g%g zgZCy*QU`U;UxNk`_DyNEd|?8rgUvv7@T^vuC-YMh`Pe|$d_7`wjah0bk$cvO@6>Kk ze;st(gz5Q1GDpHd6&q;$;1TG0uRZfxEj{j@a^kzS8gy=^Fub<hl?}Q_MLf1`^_1p+ zI%3ZjY%u1wlsK1|$mhik8ma-^QEmy^JE9M|P78D%WX0@O%M6<XpdFl>4cOP3>O4`f zEw;=%CsVR5MUnlcY~+mQxVBcAC!nZkUJ9~E%;86YtcXA8l+BB-I!_kVfEo#rC!P3K zeFsJT@rV<SwMP!gsHDvS-8J@14Ai1w7c07OZ+5HYi8INH>~~c`hkb;I6<ydrq195u z1k_9r12q%$L0dNufwpc!HuyX|Tq<yt3v@e5E@)(7GianHPUnfj%;ZGADA1i_zeGUW zVHvqCPsANOB=ZH-^Z&U!az?Y`{8pJYznS;1lwZ$qcvGqp=-A49*s+z`>n^!C&RV2* zHh`NiNq5?c=GcE3Id_vBHLp2E7P>51oXHyPy=+62rl46%gQu#S>||D9NkgVi7PSpE z3JEel7hKbrVezWX{-l6OgJFtE^wuxuiciem5pVVzveNVJ%QaSYkyf8fZ|r-%HUFiM z_e$e5UjLO=hjPjebGoZGTrU^<uu}P3Ht5*Ok7t$l+umZ#kp1~GV@Y8EbivhS{%jT9 zf5Gglql8cQ`<(*aCH^f6x=<!T=HP}_UF|P&Yin6QtYL<od<9=e^lrM8r>S)y+v;7X zA*(p^y&&uT>?*hIOY)vy-EQ;x?rhMaDd^49_kPcWE?)Yz|GG!kzNd4IB1~f-$5y({ zhOU$`e*Rk9Z0l>4%4_ZH+z)OtK=s;H!OyKcpP_Qrf8CUWtA0sB&c)m43$gc}q`N`R zw_USrUVpU)X@#xa`vP5MWA=BF+oeaD>ZcPzU%z3J&|z45ZC?;XtDS_qLC(Wx<tI1a z+qE5O;psQ|Ey~Q`JAbz?nHci>LYCy3SM%b**REE@LoYw~e|IfB=cV=XQ=9MAE&=Jy zJhtEpgU<84=}^7b>M!r^KX-8R%AcU4HV=cBBV9Cy`S<r%(b>CRo9sQlA)cYx5_XW} z;^|P$W*Z;bR`vhv=28f{3SO?7eP<P9LDKskWeKzD`_*6NzAi^vZj&!_t&eAcGGoV$ zFRx6i`j3JZU2QD`EhxHmAG+?8|Ck_8bVS7J?-{nyNXv?TpG`jjy&HMw_iUA`WuWuo z-hq~DeXUyqv2*^O==hCG<JVrUE{O*%a)d5RtIR(-6M9D7ujd&mrr$v;pzeX(Dg8GF z8p59&_9X@T*E_s7w21*(n#l%U+I#U8|10QOn>W@>G^q~;oi+Czw4$vSc4PM3LYBj6 z^Rr*rzB)cnb+-lVYVc!LuvJj;t0r!#*Z?}-GY_;Z?e}%)y1#=KO^Mv=*IcjO;y33K z;>^tXu$78CSDQ@A0-uU!x4?~o=k;IMv8$FB!gF5QKYwAnYx$hj-x&gCF;0<cc)DkM zBsAOnwUzQbTMb&xCJzeI-ZrR(GsVA%&W`#Pxc7KLEaUq6x*kU88HxRRuoYrQc%?i) z_rFL8{c8?7G*b$EYqg=oUF*jjZD;q@Hs4!Z7YAA*HW6~5Xs;~nxVU}3%9$J2H;1lm z1g)LgmOsTFdbS-n>0Zg)>;Ae4Y1Q8Cc-Z2`JNzb-vix5rgnnl=WeUjJyFKp`bir0- zOlQK4OEc$Rs4m%#bS&Pz-LPe1f7iJMeU6k}Bef57xLt0^r8MZ_cE;M?EQgob6)n7% zykjxa>2??4r`uHoC};jlm0h#yx&Ntcj2c^yy@ZC*Ig6&m&Ej!OzOQ%>I+GE0KH^2# zNswP2Ly!0@y(0#`bRCvcnhRMDi|PH|a&K|z0wgQH`NML`_vqdww>CznZdkQ@6L@|1 zS2NJsUeGE-^H<v2uG~^PU-cdN-t_Ns&;v6g{-2lfJS(g}@!+b5FTsUM?iN>Qx-H(g zFDdwX-t_d^8=4J>BY0mF!cyO!6%$SBPo)|~gxaqLTWbnC;}h&v^SZEkUw_#muY~7= ztx>F6GI7g}cSVwGvT`A-+26)OLkXO8@8_M`e6MN+s7!$_g#Q)~_iWpF`MJ&M!Eg6~ zR)=?kGR)rB&@yH6_1D_lz8uznVY|y;<Uj&!@o43HSE!r6_U{jRe{s(O2BoVTKqo;; z--YGxnU}+JUM&xQY1`HgDpR0mzS>E_T)b$T^LxYndl{5M|7wGll^>IZIvDKNz4|Y0 zU)3W=YvGycsXL*?kyP)Jqq3kAA+Li=qjKmHQ1-_hZMuJwmfu_abvtqb&c6*?Jo@gi zl;_sHphI|zL3ZAQuL=JBRW$n7-K$mo@Af0P_TK8)#oxipPS^Y|>|OG#k*Oo(ur+8s z=3-W8-F9a2uP$HRch{<U`fn;Dj_+M;3d?ObR!uaiuLhMx{UD9K>d@7-;IiodzVP>f z_79^F*QOt<f`+Vb{KAP_Zh%&g?urK;3t9&{9JKG?3rGcXKX<SD>nF8U{IDhPZ!@9# z&c?2t7_u95UhwxoP#N~#66(??pMQ1f?kx;^f3Y?mrAYa{4Z5FVjs5D0S86=iSGW1E z5^M;P-n$4|R{w0@mlS;Z`)k{_*Pun9u(;gi1TDpcw`ZuFwO`mA`qdt!G5b$AB*}y8 z(Xy+Id);e;k&B{iSlas=3p(4=D&lHYTrb0vsOp=&pVmWGkAmZJ&EIMF7Jr?I9GCIe zL3PsK{p<fTP7&bfKp6^I`qE<NY?Gate(Gu~RSl<1JF#TTls8ZErufJnx8N%-=Xq{$ zyl_^@CKcaFJ5Q@@UGk>H%R4d8N>|mo^it7eP4meuu*0lAT3`RVW8VDt@2mFP&$q8X zs{Slbpz>>c?&;ir|KnBGdo1}eYpRY=<nz$Y7f*waxv<Q8yOKd?ldR*_yPRUy4GVrv zWbxLS;gG%edR;EV!Ti9@R;4PXmX2>{b4-i$3@8^pSky0grM@$vwf9+K6mPolnki}- zd+ZNtxeJKQ_1|!-lI5tra>Qe|rb*fy*QzEg_%b13E^Lebxx5OSWn1s*G=17Fkh4MF z@#tX=GcCc8IF}6`a~Hh&%F=z;G2m}qld7ERil0megN(f;{NA!0G_n@Ha(8;dPG^o+ z=anNK&f#S7=2MLMRPgi4#7kRQdh^vImbwR&@E*)MFDx>@kZIZ0W7k+z%atOs<(n=Q zb6lJ09k9)5!#!1&r=aOzv!<@^0y$e|E%>yVrF%{NqgxLlCskb4Rd;0&^A-&GZ*WkE zUHHl$rGr7-oLTWc8_ry1DZR~d`tP&_|CCv@|IA+Su<CQ=)TP@6Ozurgn946GV%w3h zRmt(AF~_wZkjarZAtzTa>)TT25%5c|sZ>r)<G0Dds@L;cGN<+n=WJkhJjySWQ=$Ml zlSs<(YBz`2?nw)N@pDXjA#~-B^1&c~!7JC8c+zK{g^pIg%d;<4`Oet%%d$z-&i}#( zk*2A7Dj7Ab2et02YgDNp%&J$=_@{DEYT{(WwUcHGt=TH;_|lf+nq|X+H-Rj#brm$~ z84t2ehmJyYuU)CUcq+@(djAb49<zl0ci(X1*z$ruzix9*yV1Mg*>R3(S^`(*`ENM$ zlciK&IpSS4%hzy$H6N^-+MK~Rnp7M%YTJAYbgm@m(9ynkR;5e61vWiu7hY4y<aqKZ zOZHVxulo)g&dIW*$}2>?4{ZAMU-3r5vz0T#j^=Wh-I}?eXj;NVXU<h}{u^%WWVyQE zZNm}R!iZ=7%lnqRQ(m#REn%ji<6X(+iBYHbPF?WNk43wtWx+$4rm20(88M0xPgk?J z&SqKtw`IYPy^#Aji&?VQ)?2j&S7|qu1_^UaTf=ZjHU0ZMt4r217W|pcVHV*L@KKvZ zI@UMf=W&+qJH7#>4jXP5vs{g@XIr-Qh!~6Meb)_F;#juwD@8nuXxf!7pcAgVqF(eM z7yLrb_p=}uaxUi#tGqjNM%cx(oMF}KjvsjrO3hc&sN^{qwOnvYoZE(TQ(02;RU_7W z2mJGAk+yLSxG0unA)V_M@Kcth`;BE|!b#96RbM9uyC=B|hv*CIY@D*-*>;X;yIL1~ zo6B<A#sz%T#WhRr%d7Tl9^~?DYO5Al^M$kNn7iPbA|uC(sw}H(ColMMmL>a767+<R zH~zAjwtfM>QkzQ6))oADvyJ8TTepCJk6ENeIJD-uY`FN7W$J$Dk`KQPH?*2w{a1*1 zq}nuV<7UG-OVfm}+?$#(^DlH@>7ATisY<z$<KLq!+BI_*Jmh83F6EH2ci3?1K4;fH zj}5n^&mY{<bzUjraV?ALcjbuJ0Zo@wn@Z2AYE)|;thz2Z<-g=Xw(q_Q5k(viCw14) zwlb0u4!QRr#wU9si{sVrLT4OvKnG0x*WoUnFypur-z?A+!Aj5|^Ig!TquW8#%X>lH z)%7}07W|jtE)J+TtaPVah6gkc;PoDKdc@wy8O>gGK`i+`j4k<R982C^ahJVvE=iI7 zBj^r@W95-296y1&`laTeyFNhId4i5~%qcNkcS+{Uwgg4?pP<3@X3!B-AB{nmjz)mS zQzo}scEo_zKip4D<V(sGD+>6}%UwL70(2N5<W#C>`$5AOTklow@UqOvKX*tbr7T5} z{Vor8@r2(d+?FroLDL0(>5A-!Q+3WbzPzpTB%wwdbQlNdI&Hf%hh(m}flhFG_Y-tY zW(8<O<=ufpGF!f-D6+p~2CXf)2<q)a))p)W4PWGIa$BA-kC@SXP#1L2g|^tUgf9Z1 zgDyaBUD36AO7pAP5i^=^d;=X$Sts^PVIt_T&+{7%*jENeoN%n><Sw4Dv#C|4BtI>Y zuV{aYBKu!EP=F@EFaCUZ8gx>`ttU)z+nPUa(>ddqS}s;3VB64YxkC1&6W=4yas#)i zpi9cr6ZxXdH%@5&;srW9q6>7!SaKp?l|JbFOgHa>;%5m4vs-0EE`!FW>OtYz1e(o) z??w%<1>Mh{G=1ZQ=3l*_t3Ft{Ei>#+9Fkem2D+piba=$g4^A;lWlBI7bnJ|cIN?}t z4;rSD;I@>Q2AUyK5-TzQ4dtweIq$@`s2nu#^E>i{<DWpWXA3?a0*x_2_SeqzE}Z&o z!PEpr_Lp-(fx1uUi9$68ckzYU$DQ~-fi8rHOljzXZcdroDl-LiVS5TMx8({u(6~=p z3~0LN*ENtE)y0Y~+-YyM^f;TS$o_UGXmAR2^u=n>8iobcphX!^)+H*k|Gf=5k;4{r zGw!TbOAXu8PJG8Mrta`6-tY}{k;fjU+q&B{P%8V<D8<(*XJg&+)K;pds?R;+JM&8B z+!IT-JV{g0RSmx6WmNwBjYXgF@xnJ2e1{9q<a(b{iLy!!;+gB?^?HiWlBpBU{i<94 zdgu3_kLSFuiaCG&`>(zC=byK%pL^=sXS2RNpH^@CTK509@}zK;pr8Av>ImI@AR269 z+R3!xRvpVx(7C!5O_P=jui4tL;EPvN=`EJj>jG=OOE~^a=QNvPSpT<Xt>olLCyunh z!qc9D4WMhN_V7b4DHqZylXCp}lf`?D!iq}mgF)YguEcq7IAhFGYQARee6u;K;_55* zYaisQ7B-pVz2TA}ORv9b#M3|EvHpYS7rywkQhDoa&aQIKYZX%#Jh{x0oyoW?w5qtN zv`kUMdUnEI8ONWCIm|3t7d+a@BCV^uqDJ|k(szN7+8GHOmvgLg*E>)!?M4oy*t>J5 z@|8!He$%aDj%k*Y7Q8#ja(b?BK)vQcF5RZKa=|rU1RNiivaH_Y5>WEYsW1Na#05We znoR9{0ya5pxHg^BYrgA-bCbXhdpK$HYR~~6DjNT#n|Rw;ME^T)xVTI_DQYU{T%vg_ zq4q8tZU}<UB|0*b#q>3c_gbYDwd@Ct_yw-iPD<EWFMQ>GieTI3LuJrm;fHd;?p~2i z$J&M0d~$7ClrFHQOwjRTHpjIeijF6Lb6)$!?D*2~pp|rNOXk$O(-QXH<vdkCA))y& zhgMx<!eTDRr`()knXpp>{~o+D@kTAn)q4L8M?SNd#&4Fm9F-;AbW5`-^_%*NYK?<M z^VL_>TOH&Q7chzO+;HhTr__4Ih*XDwFP2SL<6@5&bmhBlICdMlG~#6K^1dy6iW;>t z2d$P1PFX9sW_#0uKi@gbc1&OJ=sky7RojA3tM!g;5xd#6;MHvovC{$}|1Ay*9T&Rt zN9thEXO1j=^@wNFpux8L?n>pI_l2(1&q`p8Zpt*hGbdr`b|I5_z8g**=jf92-4Hc% z!H-Io>=?IzPijqD-zjQ<&g}LVoO1Vp5KsEvvmB??In8clL*g^$&x<P)FHU5cy5DQV z2}_nxebtCJY)!B16(bh;gOBds>l0A@EU0h(&CUhybef*pIR)%@*l;MELu#JuhD)j} zz2U-ZK0SuIY%#x|?8?707yRgE$v&cYbc@$JhYi=}u`K0Rig?b^v`JlXO}U!m&u&h$ z2-kp(&KoW=vrPT(vf%_bOKALdp2LygLoU=J9vy%*HH$t62fGKUHr+}W(Ahj=!8=oy z(|<b_{QJwI{b%BWhcgnE_Ua$rB9-sG;nZ%9E;)w{x70boYhbr?c<Cucto97}Rn}Dc zZZ>3jpXKi(S0>*3%<?q;_JJ*CH`*3FvgS0qHGe_Tyo8Cu9INUkCv0qGxw>8@;*nX? zto@J)#PfnW!m)i1uiWiQ*jX-c<^J@9R(~Hz72AH?S5~vOc|l=s!c=XJu5FxQzbzd< z9_P6B!`ktr<n-jI>|DoyD)XjNBbHQtwTSl-O`qb`ZzRY$9zD%zwySl)r}G?Qk)V^f zLCum<lTwv!54n6+|C-G)?Z(sv&wg`)Pm{@LO7&A+Q7w0{h_~sNx!{@)!A))b0&BjQ zHyx9XX?(cmlUviG-2!XA*)=_~Y%;YohaB<2*CjA%xliq3NANH__<$tP>BKid1IBUl zTV<a7KXpikCoWBq{iykxCwna<-X3w{JM|v4D6JT@#&5IE8OJv%VnqS#LFX}mR?=14 zg3e>;ZiU`Z{u(qrF6!{ZPqri;bRNZ>*Xxgjo^q^b7kjo~0%%cMGpOPKFGmC&*z_qD zv}E$oA(<<n!-+qF4oN<?9<&be#37k2ppzwE`mR58c4qUrZ#qvDeuEYxlq+yso~Q@i zU^N9~75KU<jXKa$MmNxC_|GV@X9`8^pmQ#|S}i+lPdf3v`k$1@Cp6mxbYzpy1_Sn$ zwh<>Be=~p%r?lX<d@=9DA(<!p&N}h^>IT&rS3##ZS#uW$yaFAdDGXX!FdyCqSR@_W zyV`_(E9kt<AMbR|I6h_uO&CdV7hkYyY?WD}4LZR~5i}GJy7@gXS&{u`{3ZkT=HsBt z)a7-~9Mq`d&GX|s6}{PjeWD1r<%)O556K+iOI2k5`Z#h%^O2Vzo4|7mCZHRy9J5rp zEi<-*j^vOME4onI(`tDFRF(ZQUI#iC;?Oiu{U!}M=%T$<rU!K6m6j{0t<c+Qx#DcP zBKt>CkQ2kiiYDv<ogT3^RgwK=dc=(8b8V{;$8DxO1|L*bwHtJV*FBvx2NU$TEj8po z3q1W&71^JD2HjF$Bvur#cV??)M=9v2i$Jkw3Lim-Zojhnr&==M)|^(EE#;sSyg&y^ znuD%`>q}B({~HVn&z%r2eb9NDup{TyVm>c(&?%arL%p7YuPO(vnRE?%to2l3pCotj zg&Q+lWmLX{j$;9(w_hHhxeX(3%M<g?9+LS2I*F^MyVX+S&QT}m9p#|a7k|K~Zdcm= zKgz36vx9GywO=1gt7XU8Bt`bu|C{e$$^Xw<HQ}!bsJgHIa;sGKcwx=n+@#BGscttX zt&~jD(v1e)IPozo<(2_x(V~y**&{}(xt*zQaVO7c7-z0Mx#v-?(Rz#J$ur&3I;Z#O z2w(1*mS*;+?tJB^d-As}`#=9FsMv2m|Ni^+SHJJBnSA1O{iBtZ|NpCl>iYVlUSgd& zA2vlUGU#Lq_-xU%)K7gyts?Av%6D~5znq&y;}kM1R&V`xn?3%F*KGlldF~rd*|E5a z3#}>Rar}6cCHso{g;^g@H8FAQYoFx3yl+dMnntbsK`VcODY5Pw?kziQxb{;qhuMwR z1xeEq7CS@k=gedYJ+B^-!|C{SHpjFG?|{#RO-qllY)y}Ae5kYeDs++G-JQYiMb$!A z>}MpjDsxJOafDe-U+^)NW%V5gaQD4mNThyVLT~T-BU`-AsYPhJ22|M{wBqJCCFi)| z-bt3H=hbdJ09^#irx;W8ao0-a#aCITva@vCxCfMij?x27&h8UFC{!(Q#ik=+r7O!; zf2D|JZUNtgnx3vxU9rC_q19f<q^2!lX?Se!Lz6z?HJ|!m)y2$}%3J$|!RIxu7n)+z zov?Pkz?6Nn66WeT-fZW%Ry}LMp4jHh+zqUbN4E>-Y_WEH+ApY6B;k0~=wMR1P{@D7 zgF@lZ6`QlmePlgtJpz7fHl>>F5WBo;x8=bi&!%6y1;OVMwF|BJBHDB;U0}^8<)%fa zIm34ME~uEF(7Rt~N=;|N+UwgabE~YIO3NVEit1VJ{(og+T;qa2(>cv7<}P@|&uJFr z7VuN7$yH8a#V_%uqj4%L<aYC2j!KGdn)O~0d|o3r=QPV{3*IF)J*{&9H;Cp!s<BTW z_N`Q2YA<XO=f2@o_PXO+y7GKC+}h3A_1<^GvE>|I(>ShK^)C3r%JN!AQDb*g!rrYc zPyf4YI5=a$BQ^5`3+Y_ffS<7}-ET}$I<bNw|Je=-Jr}%^BcxNR?D*D{<+P1k!1v0g zr*XB)%R=kT4syL$$*`E8u++x!u`)-PW$S_$mMp9P&ROu|D@*nrV@O@$XJ=Hp<g1M1 z%NM>gu31G3O}RTWVXrO6srsgbW>*%`{eBw)d%<;8rf|sK*$ErlIamE}PUw`>HkdOj zUnL^Tz3G-@Qz{>H3H{FMA6F*cxz6!wzUziV{+v>Az8fyZvh>O;MWng}lpLOIxc2Hj zhkzRPCewTV0iPI}w(==!)G8gcN*9`vE3l?q)$yk_r&&b-tYPx|%EXIovOcRnz2+3V zId8$M-yCA61w;PJ928O)xbnyBV34@*l{mi*XWp`u{$@G-w|BumZx-!6d8*50^V~OF zs^*k>@4w+xI<$k`Cud)}BurJKPVk`Abm1wW>p9suyzD(Uoa<&uHQ&v8IVwN8=~HGC z?>E&IKO~!a`ydNm^i)>-(r!8$CKU3Y_n^>WNQ@SJwhwj>+MIWEOIE(`hBG!zyQ+nC zzGpW5Qf(65=Xv1+Q`6Ks&kGf-2etOAY5Zb2nDt*xW8ceMiE~n%O_O#DfY-q~b6m5` zVmdfU)M3YyH!FkPtDXx^`OkWg&0HwtjbP3f3CE}Pf;vW@%Y0V9islfT?H%y<FpIa0 zPrzqy7Vl7wtohCx&ZM$fzK8>zi}Vh3J|XCE=|lGFt_6W&4i$lXZ5ORKI28v}f#${E zpE)EGVt2%eZxN_tc}pDBe@g-N-)ceC#*|je4A9Agpha*ODrdCHq=2>}R2jcJa`vR- zKVML7;SM^RQUP=}<%Cw5Dczt|h)Y0o{@||P)%U)&E1GYp>O5Ic2kN><90i?C3A$R~ z{Duk5-`ZkhmRg=T5_!V0oE@~nk5R1Xf_+1)rAAF-s|=5SN+O@v{ZvKv$84aJk-A!C zTz2c6aeVt7v;@M0yZFL|*w^`b6+6Hcrpopipi`qS+?v-av!pydk<ZFftZ2et8}8x@ z){U()Pe3~sc=SLG8_*d~7vF-;MgpCB^$AoByM=vhzu=ft3|fW=z5$`PRmQ~r&>@*6 zpt|`jsBS(ZIa#S<2bV&{G`U^kAWy|~x5|70E!XGT4_e--9yz1=QaxyW!RFnSH~F+c zYd$Z=f{y#F(|Mv0mypOObsV%8<R$3x3n!G*DM8yj@<EIH^fwr=H%G4iDQ({H;h~jA zp0TBn$g>2K{#KbO-xCx0lt70we+6I92|6MgbRKDvCTQmZ=z7j~paUP(K`SAwKub%$ z$o&(rIsxh=|Ba29(d;y>RfdNjv;@L^^MvM)D?!bgKc0gBj`1o~Oe+$IHTt@cFAFr5 z0Ny0>(SqC3qYre9=9y~H)`fdrt(Gs&q$;xCw2hq6eD9#n6NP#e?qY)#pyjeVLBlnm zi%<78wpw<8*1ukL2VKwc8MJ5Nqtu-_mL6y3i5xr(-e$01=B!@<>??ojJXx?8v{yyu zpcCINb<j=_P}AXm5NLQomfKQdU0Nca7qeKAK^<tZY|fR#p{E>6yv3d^*e1+v+4271 zAsG`s&>7~gpwLtiE86hS{)g}1ulDMkzF~-S8m@ny!KE8?!o#|C%AMI^(pjaCA~kcD zTw4;EyJNLj-cP-ln}<qYJv<Pp7xVJa#kA#5*8E&{%}u)UkmtIhoG{_7b9Ss2)7;ZM zMMP?P+|0l8zJKm*e>cCG|NQsge+!Nmen0M;H^aF4TD|=Hih^gEoo7MkG~6>kH|uNL z^_7feGO`C4XZL67?aE&KOfugg{q^qjD#p0?ybkT6+UI8Mv?vJ)=1RE6xTd1o8NLOx zc^R|e>->6uGu?T74((G{-=7WH?D;<TBy3YUcqgaHtl7S&_p>cHylU%b=m86dbKx6( zx4!G;o9Xv`?o{8^``H&9e#M&(+bM00*jM@vv>BLhkNxax|G6C6MayfTd*tukn+w~Z z3fbnmv&>BQUdcP21&2>nGrtgK1-m&P?ZW%%=T0wsdfWQumRq8*>)iRDf%cn&4o_HP z+Q&E3@BiP0IZ=CGAHKQeo-9<2Kjioc(1zhse)o1C>&^OSmVJ$6e6iutN7xw*wTL_D z!JCsiKX1<bIFX?w!jccRvwdH}GQ<`1puO!@f0J)+`QyT1wZ;l|z5;*$ZVklk@*hFB z%io-ya{1LK&`|^`&;u6c&-wE)hf5)e0d!`@uH`Q6KGxzoXO?}P3Ubj3@5^hLXNV>o zy`2vB8EC(`S%y{0t@s}&bE0B|8~C*Q<6%2*x55Kj8npXg_iu=qZa$Agd+72SE9kD; zIrCxR9Fgr<a-t+k@7%JhYZ+f`_;p4Vx-t9YBKQvHUDH7)BV_BHU-ngjK{jm8ZWD+x z_u{6(i~&bi{oVA<nT7ETR%>ED?1b#`t;i4UlW6Dw-((NoN`8A<>g7)d8A>8bZ;HcG z&uhe1@>qFUqq+AgUCq|k$u{t<o~{esweFvH4weYl?6$K?xwZ3u_suPJpdHXPKTkpJ zst$(R1>X1n@KjFJA4|~A_Ww(vO7gSeO2E!>Uw>-Z)B8*p60iOWg?jwqH@L^6tU<ey z)%Q>GU0u(%;P5Noa9Cnq3|F%YoOs$nr;fZ>f0n^&%?|a3x`hm&qd@M}>A1s=D*&e- z?&~R+AFa*al(|zG8td<6Pk{UdJ_!W0@&CotI5XY*mAPhX<zPvy{XF>a1aM;hT2peO zq{_#BcG!DvhxV)eunppqr^AEr^}abr*AySRl@m2zyn#=99w#)V-bOluA;ap+tZ)8% z4QGpqvo1J%>N)HXhI?;Hkxp0u?;^jGpK|$=G2@F3ubwiTv1*8946CVlWWHImp@T6Z zu!S#su86GB+_G!wmtS=;ltlcEVwlMU^17Yn-{_kn4o4WkoByAKQ}g{vzOPq<j*6LW zz65&k$azH30^Udd!}{iy9f}OHVe?9|VP_FIqQ=-$>CKsy-V9c2W_`X3iPRqjiEt%r zy%#$#yS)D1Jm1x4LCJXz{MeC8h#0&3VnSy6+wYq)|1M@Ii70&d3}Q}2bt>E(aQfjb zPr00w&S14>&zIlO#BpyH+!*koHPP?REPJZV_+rDV>TrmIYj)_tBmJ5$D6emmPr3Z6 zobknms;BT{M-T;OskvLbkM-_%CzpNQ49e=S?awS@NRvI#wf#N(FpwN@;8aJO>4Nt2 zS6<!=bIo6Px!XINZ)V@O{}!{S{Rf?^A^jeDunm7ZT*+2B@VN+f6MVIQpX6C^_|<Nv zKkus{CoKGUauM#aUDb=6m(4wVdvoUBX|gxBR472xYQFtcP<B@c)_bu5oSY}lPrdx; z$=sV;YBZoq-phjB3{TD{>gV~MHU?#{dC)So=7$qJkk^WDp5fbHtuZ@Hp2wm6sy{q6 zha;utSx46tpVF9pO&(Nv)x%TsaXXt}uOkdDETB{<Z6aIs{df95rP*TLOcxTLc7smh z+03-2;(d$zCRkDh7lvEwXZoH#&%WUBs&H7Mf4Fl0DfkHtrBNj(O0520%!!H>ZQxto ze|IwEbOpPavq64806N;HJg(%#mg1ZDa-!m;8~C*EZPSMqhj#Yxm<NZ?ru!+EA3Xz| zqH`-Bdb+~iZq&kg?XCH~r*AV~NW8k|I8@2uqlg%r=F;x7{JQN#-_@WqmcIV<PlFyi zavE;T>vbJ`Gs~|1x0`*<9u!2=|0qJ86Ng%v)?aSSiRu9b&!lu{$-8$e+$wPT*=9G} z_jE1eiw#*v4?^<9j{-ykVQs#9yU+65|7ZBFUJg10<&{13NE-F%q9<SLzy1CH*uL`X z$^)RGj@mD?N+pjMe%pEFgvrI6z^p|#mE<R#5iy>8h9}y)M#J!O#@e<>w`a^*nvrU& zQ>V<-nZF@*(#%BAcFyE2ki)x6>=w-KOO=dFGu!pP_+HHKWb5ap_wN6Gvuoe|zyG3k zUAwLG>C^gK+pPaUuHgLjA9RHGvD0aDk4|2(^(2dkLx4v0;Uydgs=PT)+52obSk=@e zt$+7JIOl%DF5!@SQxhigI9@%>DK^_b;BQHj>N({Vm6ivCt_xpzC#17^(t>wZO;6v* z@ht!6(<E9p4|MxaQ*FkM`&TAjTFBD-TyRa0n#QgP30qHdbj7=GI5w9>HC$lLS02Zg zlBW&UmTptin5`0#AK&z;T_DF``hrKAEYi9PD{43oDqV+-<L|9~<*~*;pqBTbkiOuR zJFnvU=Ff5ssFrU^eI{^4S3sv+$nkG6XV{L81rJSGwC{RdsIWY!<u52wJ27GFjUt}q zKm1s--`GNX%jf1n?wRUjc|FHDpiJ;!Rk-k!_X0T^dKNsI%p$$lA>ilL?}<^}Z#)9N z&SmMA^$Ga<n8kYvM^;^L!b;Gx2G<Q|&O+zO%cuLvp0xD~sQ1}$DD8W46z_KRh?lY~ zz5GfMPm@_({S_kKu4Xx^uMn}=GXOM<|IaC)%JiVsdEqH{xAZ?;vt8El=XT+of(MY@ zjXP(|w=%Mtx8PGGOZOcAfUoM18#vFic-uGye9mX_c9lP{B`e=~!<lz1rThvJ?-sLs z?N^R?sLjHg&7%Ex#)6N$EUW*(cMz^xsl0W+kjVWM)@56dz2@|K=e8lNZNV2ome*(0 zHLBSTf_tli2idL*hx}1K=%&r7)z2Yz^MxbN@>j1p#BR4P_*Km@%>p)M^ykZ!iB~dN zwu%esTvh;|Xi=}QVt-RYYdWXYxrolpwO^u|j(IkP-t!GGao=!jIY-xguMNk{Ilbb% zHeB1vvh=+|#B$JnM9^4y+1o1<?|HL4jsGlgT>gyGiXVF5-9Z0T4l1b&hpZLSDKm8Z z8ZV$zDD8OmH^;Q9Sqr{(vz*TPY0ws2uHN+Y63f?g;WZyRAszWoAC9b4UYajp66dnv z)OpUXdrli}?PWRI&XWD7d%+X2)dp*~mZ@m$6+dXjE<DAyEn%+^_;i5+MaQG_1#?P_ z9iLWnh*g3X1c18nuk4ri9Wj$nh`K7w;{C=m;4?D|_@*hArqpw4E2<d}7TK$=s24uS zW!u!YniITn(^vjrL0F}-<3(GJuxfk9kBd33{QzywZ5Pn9jGSq8$!h+BFH>1w-|-0e zme=%EPEq53c@yuV{-h|;?W#92%9~ETSB`k%(X?v5YQ!6#rdRh>BOY-z&B|?B`c7%Z z-mZk1&*4j1Hc4HMddJK1wOl#k;a(QreuapY9v6P_G==VS4k$4^n6+O`V_!=`Z##$A zI`xR`#m5ciTq*?55AL=<Sk*2#<v-6sw&k$(1^4G!8D$BC{1ZK>6x+0lUr1+L--2Co z$G1!a-LJKl#rv&$fVDe#U}U+F&Uf9WU(<!weDH2+D;HSvg~9RhR+iN+^KKZ#Y)D}h z(6ik2bqU9Ttb9d{efkHb<kd7RrzfnP%;}}C5b->zX_Ic#*F0s7di#SiJo7+3`~MpZ z*ca>0KT<rQ`O|FBu(h4elLfyN#hxjIfi9`i-)O+zS*-3_@LSBG;AYW<KM$|C%Ps*; z7rzDV4m<<e8z;NTfPH5@=u`qR=a{9I8hbiHXLBbd@_EUpD6&6Jj+oJWN*r`c2I$7G zFwht~=m>&i??H1Gpz-UfrdF9H@d=51R<rGo%11M{@LzW<YX{%WxYsuFgrnW*Loz&l zAjg6_`-?%n-k<ECF%vD&&P`d+R>M<He6RXJPBrAV%-HuT^Ph6b1kk9)mhTCPd`9dW zCp5nc)H&n$4%ESp2OaZpf4;~=|2qs#>6+|^wLx1SzeIy3=4ZEBuCM`JQ+9r{0sGeQ zbq7OFIo4Q<JzFpl)N=-{Zn!p4=ZQisXov)~A0lP{@k26S)`1pd+y@Or?2b6$Sa{%& zOwYVzMfRuRYmT0s*?htbG7173X3wAfYen-7M$keBL9u5Fg`l%2>SnfDdRzr9bTH&D zp0KmARi<S61_Sn;pzWpgpt~n-{E&=UD#HUh=sPo9tjOTr{8q~qGUuH57JUYdmAuq> zqEIKtU3_6j4SYewldr+@r59dpngCts(AO%H@-{MNspX5aDT?erK`ROl*6BQ1PyxCi zWftg|hg7j=3Y#Xh%7o}AC-Ong&6o>XJirQC-~hTyEp5%=vnL&^v&Eh%Or8Tjo%?S2 ztHpdp?HeXE{{!uWE#Ts|l+a5^<nx-oVM23ZcdO-!J0OSFf`%d5KwCGH68WT}dn<18 zO#+<<e$5WFZ=}7|@`Xsm3CC}s>8)}-(A}Fy4$1JWn+YERsd#<GUAAW$=<?NIP|UlE z6$SLJJsvu%`G%j)lLh<CLCbK?I`Pc{oq4`e7<2`v4Co5Zj#inH^=XNGW`Dq2H@PeA zXP*O&b-<7Bmfq~R<=;d02T5Up5(a@yENX?Ebyrf3@(T#B+|}sYV8G(jATf8AXjY5S zt)?(9jU6VzOG`pDye|5bdV2Go4e^#f+BGdy+&dxbhtR^FO&z)KZQAz~Za%4Y-tK+% zdCQx3_iy|8^>v>QyZtoX{huyO-*O}<i!XAq*UJa#o36>aT0MVY&fjSAZ9CJeIESm1 zaiB$}wpBkLw!Z+KG<urPuHc2JyzMd<gPg=Yr8}4(%;n}@V8Y-A*^+QKKO1s7>YDGy zy-QXuFWIo_|0>XO@`E4m!xx1+btc^S5vlz}?yojzQ7v@ehy0yI(BrHp>PmUOt$&>m z`j%Ha^)|zlsJ|i5wW?<fni4NRzq{i5ir84t5`PtD4O52Gm9=}J+dkCFvQ*CQe`jqJ zan)iOWT~`0Y~iuAn?cUEMWLV8n1_iR*v#0G!sEqzpy=M7aOh&ywf5O6y?cLF8%12T zTnN@%Y7aYT*ETwSW2<)f>CN{dgON_}ekTT9T>Ew21&>)(&(9h~T(#c{*2}vOcJlX} zE8#hRW<@`Ve7{~FX?b_Ta;Tf#;x2e(?a%M!3A<_+1m5`ZYC7l~T0@Du>1~2Mv*Rjf z+q~ZDi?qD^`giEU+?++f{yns;Fgsfh+MDn=$CN1{;xlN~H0bE&orx@m)AIMmzQ1@Q z3bgOT1F{|B+2mUj8B0_c1he-RuDzH1<}s_lN~_6PEC)8cGlwogUVQzP_O^ob==T>Z z!3VTng6tHyD-K<rd#(Dn)!yS*o^vS#eboo;QQ_UU8oDAl26U)!t^JkiFW<!k;QJbC z0`4k8PYrkTyW)`*AHgtj)wfWPb8FX1F&fA;U#v}&IJn^@`@1XESAHQK`g~nH_X6aY zUbDhkZkPUuvI?w}x(*7SS@xi#g_8|-o#$1SFx&mwy{i9MH<C_s_xd%^HQHYTdzWln z&8-kr8U|VszAF}*nqH*U9u<?@>;3-X#@(sn?66H3)r+7pQ}sMU#dobngU8i)kpHA@ zU{QK!-TE6p{#AdG`|E0d6@F;AorNznDJehCR@r)8#9_&*yGz{|cvi#Hpro5Y&aVS| ztNL$koc%?P0eYnH>u%Wop~9JNm;U?$9U6WX6eG{}Km#XF;^2nJ|E>2H7X~q||NB>h z33lYYJ?v27uwdoPoXLD)rQ22uHUz!4gQeVBxA={%=DF9ZOZ@Z|-Z8?q(|oUirQCIw zJ!ain)g1b_9dy7i@4v;+n5>D6-}tfo%q!bp-N<XZclf~kXr8IERsPb!RkxC}SQcD) zrTqQ$`YHyV;|V46!M@xZ{Y7qTF-R*kf3Mev?ND)vR?e(j&lhGoFA#L{cg^WA=%$b2 z!yIjAuWf&6D<v)>@SQ>6Bm+2$#;k)D4A<^Tc_!D&u6ea*jT?j4+TZKqU)wTB@FkSU z+pcpl$a$E||H{^CI`T%7y`E6#?v2k<@!h+kIrQ(ux0`YqymCL(K~uq#lb|Ec=f8bv z`^y{2x$;|Jk#gy>N7ijV$u+C?LbCSN^Pq#YK`D<%S;EZrYjowc?Cau)A|>wr3aEQK zZKXUvcbA<?2z|T*vK`FE8?pl4&b%k##+&J7CpX`Vh-Em>rZCA6T#|hbhvm+{vC5fm zZrlZxMmxbJO6hsfP9V^6?s^x)a~@{zpPasT^E%Mh4d`~TyYpb__I#F#@7yodl51Yg z4+NJeY~rAV589`qe=R&`&sX-!YrmyIJJ?{G-)_Lt(Zq0*Nw=22pLlT9Ep^Cg<9|UX zaa*u0{tYgC&Fz)WSLJR4Sqt4U^2-jIlze4AE<arSA!k;648z1#8(lyZ#~wFi|MGu( zWh-UPg>;2MjUP0A)^4|5^<BbxJA+c_^CVEgP`(tJ_P?;z9u<4{v-{rS&&NS4{-LMW zm&Zf*^F+MATYt6MCpLg#;;Q<oQj7*U1*@T2Kbh4YJ@$V7_3AI*MUhIQf+NYW^TwZu z^e*}KlvQA5*6Ax84T)cQp_@%+CbAq}R=?T*ec<nOq#X)h7t39T6-Ue5F8#R#%Bs>J zoo9bTx5j|eu-&JYdy7Bb2RRp-$7jE<40_MFK$)>4;`L-H&*bak4ohC$Sq9n^2HqNW zJfTGX?y~ha4n6+;Rc`L}|ITHF4A7&>cQ4O?)v1-eOIBWG6<GNTY-clUFVD%lzq)$u z|4+HMxc<sz#AO8R>9Bg@iBRv7Z@ZW}LblEa?TmR<jO@+$+OYS5*8eOKn@xT#hnDna zA5(jm{Oko4y0^i;{0r3xu3Y;6Oux7IV<S`C@85<ju)UCVu&rHd^D|U>&%R0sP2Ub` z0K8*_=C>E#zq)i~Y8~ER{E2)$#O~=ZUzRU+yL5$5cFn8vD?z(Wet3UcEDJh0{O^PR zum1i&{-0r&o%FT}#?+g&SHNdlecM^`=#I=RNxL;0jTYzd$}c{%B(-g(>ozev(V41g zQZrSzg<SDDW3(wJBJGLtEXh>0n^7}n{^-%|J|nVOb*AcN%R)OH(fa+x_hP==g9k?L z*RFg0`)~U5bEVhL^zHc&e7o*o_kS1ASr_`zCzU2j>d*MKS}l+vtYXH3hgD5e&FcRw zeb44@66dht)H~sfvo$}rvk1s_zB4afQl{bfQRtx5a^Wd4ejBb$Wmy_7w5HhH@#a;Q z*S(yl&et(rzLj9-cr=#N?AG)JpANEg%XkNT4QV=hPI<*Wm58LH(0+gA^(zyvIJ0cM zE~sOit-CC=T<&0zweXeu?Fp?mj)xa>hFP^P__&j0b&OlUm#U_#?-VrtDIAo_=Jax# zf282rt-b|cl$uJ#pm$BpiM1+SQZC~7b25k73c-*)EeVTXb7<Xn+i-%JB~)HLBIlWv z&+1=hEZ#aQD`qN2WN|vaRpy))=@#%^rs=7k(u#WBgIwETE9AcW%4+s<NZk&eaZPKz zlEyCKgIVttH0l%%O3hc%s8l)_6|b&wS0UoLRMRGN;Wg#@jz9kk<`^XRJPfH(J?Q4y zbShsxLIt#@4b<)bDje*dq%IKhU+SQcxX_h9k_Us#1+Tml*7>aAc$b&u^xj9AefRHA zOlaLMXkyclu(V&;#BN@~R4vDg+#F%QH61@nb6)%L84_leKTlklc&(Ua>Gp{J%(a`D z9dDW(tokiH<-f#1w&Q{!f3yy|Jr@r7$9Yi6Tp;AH@WCYKrdQ_$bPT^s@+^Or%`xp( z^MY@6ET?76pbIj#o0O`27j61=nltQ&q2uAHEZTo3F8G+$6gp2$!|HjYPxe+8$B%b8 zu2t|mo^<B82D+#`ljZds_keF)O<%(Vro0!-`EUW^w4Fb$T$y-r&2xjbr`D@Qq=+}I zdaoAoMxp7|e8q@GP63~9vv|k)1^ljRN`0rY;<v)VB7dPP{dWBi*KDwLJnYJ%y|;V8 z$DpQAJJ5g?DA>L{0Uh%p2tESL%<<%H&TCZ-3%&@mygqZM0kR0TmF4Mu&kYBKnz~$@ zdZ!77{8KxqBrY8CSM^}hcA=2})(3^M)u8u<_bL^~{oxN{nARnH<z8pP%)Oki<UBUq zS<dllzQ=|`<{VOH9AUp$9Usr;4ErhVc=0$#*kvA`<v*^mWbbKQ@Fb2U`zeQ)yyJ#* zoZu0#rny?x->yu&*UIv=-fzP}Ul!5-UK=hhW|^vdXp7j*t_81NbBf)byx`Yw&S@5N z7CaN@m}b?z;2SH;=`9?u?s;su6VLI=-f6?3&#=Y6V#hvuuUF2PqZaYBmc^BuWp(Ym z1wUr8WY_dBcyg5``>sR4FS(}DHkQ=+suB6`+$8*dUKh&Q!0veTyHHMvgyYlmf;vUV zpi8E%{<<>pMkveGdhZQKw3=r9SBl88b$n|nog8&qM`6Wo>4Qa)O~2X&)_jO;YFjS2 z=1VN(syFGTMdiY4zWFyjN^UYWoBi`r!NEz+9Mk8_vDakUa7~Y8>37wL=L$`mjti^_ zSJe0~+r+D<zT!uAQ|~*K6@U1fT(1d++$|A~OU;pU{3>!#=(oU?KO6^x+=Z{$%}khC z3|`2>VjcSZ(n{r>@sK;;7#$C*bA;Ve>B@YXut%4>IH1y)+p<Fsbm)OR=*+3b5i^>P zO^-a`xars-nJu8D5iehZ76-1?d7@Bl&s}^W`mht<C+Yky(2bctmT=6Q!YW{=$$r$_ zxAw}xjC`@8fPVtq#R8U-T4h4^A2}p*<Xoa6`&W6;$VGPK3CGQ+56LV6uSz%`F{8QX z?4i(8j^&^p_jfn3q6_!CL909_w94>+uHE!X1)Ylp8nF0N5X8bC4jwl7GHYeO)r!B3 zpi9eB6Zxe6gN|{Moc&PitYfyeSkVN(O$O|9L1QZ4n03xLzApeBIi&=;w7k1jMx-7z za1o{RWWk?mv1baG(iPdSf<}in@`8HUPjl|AZ9dXBSqZv!#N@se=p-lRh!c*x4;+$7 z=}T8+ziS*hqxsHv(6LxqV$T+Quof#a*wfx>squF<=tRZTL_V#JmOH#GJ3t#ouG~B1 z#P{eo=weUMb?{FLLCX?&KsTSwZ?$|8W2)KI4&I@laC_#j70vgw#EK@=&uF!jSd*^E ze)Oz4sPBJ~33O#S=x(+*$DR0AwQn+D-zdawxnlk~&=sVcCp15s3OYmrv>^2US<taq zpj|mLS}jkUo!32ka`V3$&_Nfk;d?F)*MbIuN({IyB|v*%LO@G7FMf`g(HsT31RivX zR4-_J=aZt?vjtoGK=;WV1>N9g+}|pbQl6H`SM__tgyx!gt(FopXPx-EmV-vtia}S9 z8iCf={sq|sIX^@ebR=5!gjSg=*Fj5yUx<Nr;^ZAaB(p>ebbLq>Xyjr_t4v9MQX*fG zI_M<L-d0PEm_tr{ZPzy%urIw0I%N!W<?JU5Zp)5ycZAH-`Lb?rn9%&g2{cp%A2w0g zneu8eUz9s&7{~~;DwGej%=QRq#41&h{U>Mu?_jOYlLtrb<9+}Ckx!S63^Q)yVgP~g zZLA=Qf%!0mesu~$f4dE#pTB|77r#L0eSaYIHC{HbzP+LldbKQsK5h=7H`qhyiS7`3 zK?H>EOMuW#g%CQc5<=H>LFkl85ZZqhgpOSeq22aCXoDjVTKWux*1HR#g`Pra_HPhc zhMgVkJ~n;`{Zb4<Uy*~*FZ3bwa|Z~0)&oL6iiFT-6Cw2GOb9)v5JIo&hS1X{L+JY1 z5V~s(gf89!p;L}R=-g`%I^Z6JHhl)672iQ<qyG?ENq__FUUmrxEv*Tm84V%ydkYBt z$P+^UkAl#zk|6Z;EC~Ie8bTj$hR~a*K<K%1AoQlS5PHs52;H_9LeIGlq3iEM=(Oh$ z+UGrlPGsN&yDxwPLfc6~=m0GUZD|CdRjeSinKy)1iGk4kDG-`52SW4LLg?=;5c**c zg#Nn>LO)ptp^tBa&|CIF=u77z^u7lWddUk2z56GGUd9MX*AqA)bg3YOo~R9>>y06F zwl##V@qy53ArLw!6++wOLg+W_3=GfN85o{G=~wIw3~$*P7(g^g92AW&*cljJvNJF| zWM^Oiu|Z-W3}S=Sf#}z0W`Ou0Js@*oYC!a3b_NEJ9LSE(><kQ`<O0(VVuSR6<UsBM zu|al%Xb^_!f6C6l0Fnop0}=!AL3%*?LGA*n{eWZ-$R8jKQVYT$bs!pqL1uv51d<1d zgUo#o^(V+25C*9M`2)uO1obP(-5@hSG)O<l9FRM{LiK~p1MxxnLFz#61nC925o8a@ zOprJXgZL@nRLH;pn#G0Q!~AV$_Qaho&qbam=A7%C9eic$3FVoRX=)%WcleA_bZ@HW z%^;hUl$kTqQfAIj&zTvqZAB{hU|ychqBAwq#O4`IuG{~*s`!0>`t`57?%lut_0IS2 zzn=%o<Qi#z`n3O6*ysP>AzRVwwIfxG9;a@ec(zWJ%i-x+PO(U*fYSV?qkM`h>TC`Q zt>(y@=e{ANdBHcSrqsOI4%yG1goiUcTz{{&;FZTa&Zb|+W=FS#&2YY8;JM+_JeFR5 zwTPz?O^dWS!>rpE{77ZVzT*(^DXeMhFP5e9>JiUXn>KBJUU2K4Qq!m1!Z`)7wr<6j zD-$nrHJu7=a@A8^QDu8D={3iy`~Dk_B(s>tt3+fyK5MY{7B}ZKPvI-J6B2eV=6E&V zX~UtJEWG+^5ig^fj>$HKzJsmTwwhxVR6TFO54YLLQQ0~Q8awACtYzl#lK0%;*SX+L zR?}BKC5?L1gKXKHTK9Z6T&!w3bzVrvNW1T0h_8Uoww?vQZgWht08QjMb4<VU=fstX zS0q`s>Z?RN(`wqaT|no$@{0c&2f6;MW$ZX8Hscy-0sp<$gsIzwL~Q3IY~>dgSue2W zV`|f+aG^C{otrL|3$6Kl0@{|mnZB&=%c5e#wV$E|b2b<|9^EbgZg27n>J&*iUey=W zDPwi~YIablT;R$d=7T~0!dK?)RBhXQCZ=hZH|Ml!W5>VFoM9T!W$x|E{bV(3CxVZf z{nG$GYWDBE1wVpWviD?Z`>alxldx8mW$Aati01;}tA)3BE%+nOVU{5f^2hF=+jhZ_ zf3gRa{9%*hSFg>umNZ*1<bGp9=U$GiXB^Y4`WAfiYDzt)x}sVVa`AxnL9YF(89yuz zdQHqVm~(71XV}eo3tq^vtlm3$!4E^0>>6mO&9SL|<?%YG>5^_!={3PAwG9b-k8_;* z-=5Ii`@HbaqcRrhrJP#(n-eB7IbQ7-)+rNn{JLIHr%=}M?0g}eQhCR>iU*DKeH0=# zF>y@4b62A=Q+K<r<KL$&+I!|McsP+oyS4}1r+UTWx?VBjtvbum_lgmZ&$Fn`SB}WO zR>QOWOHEVhG{Grnh1Qg_IR5Mx$|(S~=Gz7IcK*1!QhD)e4z2$)5+?5ESoN<nVWXkr z*Xz0mwoIGh9#9<KwDg<$idu_<M(V;>)(Yr=x^m${Yd$D9wUrC4`QqMm?7YC5Pq%X8 zCH26Llet?J#FDU8#PQ=_j%yYAjwdI>7x2e827F6v`ua{m!(QRWgUqI`^^qr5%bZnR zu}Aoz(r=-VTK<Dc>Ovv^O%4ie1<yd=SUKzdEUQVoIv0F<%W~SrC*Zqg)6=-Uvdcp4 z{WcuxW8wX-81eEhORv8|#M59F*YoNTZ)dX{%~y$7>>cn)v1#i(6^-0(gE^&Uuw8Du zD_(iz>o<LRuXN*qMN?O5Q|~#|6@Pe}T(1d){AE3u#1HAy8E-qjB`eQsL&&5B-{e?M z%lHI*muPxw=Na%nr%4ocSG3%=WqniX{4V@pYzqD77Vt&2>8hD$(VrhmO{Vu;0zN4; zZRKKF8ZNM=Sj_RJH^;TzeGC4a=P=vRzu-|kr&(3wf}$LDp7e>W;NIRL)$sckrAHj! zfbQ7+&j30GwiUGg`uri8C7^D}ThOJ+KJBeCCGQgx`HJd6`+J{*_7=Ssf4t~Pg2nt+ zOO3satuj5J-Dyw%>O4`1N=oF*nxCx5{;?a>$thQN{otqD@L{f%$GW+{Ry1FW)p??@ zcV4UIi=N06j%9~ccX&bfBJbX4z~1~9v|Fndw5&M=)X4$ept}<^n>ZV^`ArPI3w=tf zjLH9_hh&zR-4HZaXMejEv`<S9)TsdP7vJ^UxAuyo-gzg!U+NnT*jq10o;dh{N$lBz zFS4N7*_o}DEB?%Cm2r_zOytY@pQ6bA@kLO~Qp=2-lTLh-tU>3{)kdCh{AB=Y>nd?u zo~UbUwR{1ZTK#t$bRY3D&>DO2xq4mu!MFV$26cA$#hxh`6|2WAl?egeU!3F}dBV~5 z@F5u$&^q?B{F@BeR|bP76l*}c-z-6QCxdps)tz+W`^67(q#(DY#I18XEQ<p`o4R^H z=g>~w3qLE^g&)*?x%CmWWxlJ`GGoqhC%#F%pdLgU=(NP-L_RD2O%s~mfOgI0yK#kU zvj4QyIdf3qq!V9P^(F)M#m%4|L<DGd7Sw4x58Bla-hK``QSi|<xQ}N2UD<EtVSCPr z?-ppze&)^HA2!LBh;KAt-+33*AyWV?6E^`Z69>)ChJu2#rPUI$3mw#jy#-1G|3JI) zDkiqdsF>dqHBaY@0<E66I(tZ_gbzODJHa;V)ndM?<r^k6|CtF2)g-Z^fIZV%EhS1p zM=HmGLNi5?{pxejf_Asv54D~y*w@f%>7kRZ$bObNVn*|oi8@af#DWgtUmbbE@w<}P zGlg<fZp#<zQxo~P%Kf_@JOrP8Y!GArHIRL2I%s>qVbC`852xgR`2PK&pFH8IFsPcZ z{qee3_V~xjy%&XMPMLP+%@UImqf(j27U%kk&HH9s^7*PqPI|UYHS^NRNk*K-(>*ga zXHJ@Sr)SC361PiUo9_6jM@}lUnyaJv^33<@*z<eO%kM9a?SK9AXWahpzd!%{9PWAM zmd>Y7`zx}J{r}(nM6@#`ZsR0ZCFwmmUFT-GFh=BZf{(wS;}h^nr)jI6vPP}!L923s zDQ^YWY@fB@&u13toK3%f{cMVlJ^Gfz>{joBPfAU$eKQ=gABTSvbog=cRcEk!l4#Sb z=>j^1x{haWb57eef5ErOET`8fuaKVGo2k2<*YR&GXIO;8g%1TyQ~T62_Do8c+Rf1w z=e!|m_JSX^EZH`O&~;Sn=2~64Rl)DG`pY$z*Jo5Ttmh}}eaiB*n?-t#W57qJrrvdG zD{8n8Dt#9UsqIPFXv%Wc+$Y(>`v_;&JEsj-Y?^MJ=9p$#2HidL?q#rh(R1M|`2uSS zgdGouvS`~nUHD<y6uL!yS!juN)73I14ZG<Hy)xjtQhu>GzWmH_ZD-elH@z&c@A?M( z`_3Y51KNqJ*y63{_U+IXvs;rEe7emkHq$xat3uP!KD8D93Y%2Vsjm1b+O#x^W$SyT zh-ZpTyUq*fe2;JX6@BL67SN!hkMNoj2FJ&XIgqZ1DF$5;;}h_Qt;w{`Dd3Z1)7CbY zrSU2e>7Vx+uH9rUu*Q7$f<OOQq-8t<J}zdFp6eU1({ICxuPmYUJ{xW@vt0e}vf&6f zbbRYsTCw5UrD+0J=DBY0nYQ2^7kDf7f1M`LeJ&S1ST#+pbGfj?eZwhv&aOVru<EmR z5`G`wa$c(t2Okb2>lpA$rKwa4S{A44&$YU=d)k6O{G4Vx<}Y|8&tVqj9`N((XM?q_ z+f-M~Qi{k?bo}~VK&Oz&@vOhFPN|{e+iZ?$J9`(rt7SRO%kgTxYQ)3PnO_gHLXHG_ z?J3wW^`7U23cG_^?Sdk<eF<Cr1x3~iuKCE@G-<ogno@nom&ZA;MLGwRE&jRZRNR~e zf2=voX1E7@41nyk@@#U|n*rXa{U+q<dVkr@yFLMXy*C_L%wqcAZNrqe-I-VKbtTN4 z%lYb@YQ#H%reDVe)&!_!RLC9lidW94Q9h_;udeaS;9yq0s>Z&9Og!nm*P-X`Og_0% zd95YOQhv3F=NV0#mJ6;a*K_>2UMS~+(uyC(O}%nTEB=T#xyC82__eE5#(tZ!<5yeG zX%-U}q%|h24CVxHw3-SHw(|TLR+D~fI{szn4Etg1cvze>tV{RM7OD3R8&1iwxK0;Z z^R2Gw(Q<(`A1#|Eg$t}HwRL>SchHJoU`p+*guUV%r}mc_wrxJx1FrgGiVDB4R9>v( z`1HG=PLR@yUy)5m_0(7V%WYD9r?TQ_cGJ>%N-J#DBGN9a&Ir5vmUCLQIrwy#J<}IF z^kmVlZCmhhDa&dT@Tg#mci%n3(k0)tn;xy^y!J!g@ucsj8P}q23r)G#n=rSPCG|7Q z>$wgA|9qNw_0(4U&~56?Q(p1MyUBH)%8Fn7O-C2~PPDjs-)F-S*x|p=_655KwF_Ud z>r0q9m8CSB<#g@j1^?EvX#Z(i@bEE<_TRY+KDzx*j#|B^bHNLrrmIFQN2d#~`Iy)= zDP7=<<7+0dq6zzEwOYQoW24zr9mw!-uf>Ub#$N;3_qs=(aI6C@mdVkaro(>J98_b> zjXdF4DG#bKdRk>d>_NkfpyOP=>VpQG*tjh-{(;KlwMmNXZ`(ojZ!zc=oP&&@)9$O8 zxh-F)N6u(IbPrT1gLjGX@X!0TqWKbg<cWhnOh8B5vx*f7?Col`Tv0n8G|rfo$R`Eb z_*OHm)iPu65huP&(Q+qFmtD9yu~jAov@N-c9kflF9drQ9N}VSQB2p9i+`>0aX#Vs) zVn*|cSdc~F+JDu4@aiaI(CR3V^EV!K1PzSso7!sWu{838W3?uC@dV4RR+$oiP@86c zx+42u(8jbw<&h^2Za92MW=b9C%o2al;lH5eXfK|zKYn(`@vW}T69qfaakX#EHJjSA z!2_kAPOR*=%9t8)!tqxQsI_1TYAv+4TE4h@(uwcW{=`H+Hvf$injh_tn9+Q3nPtpU znJJ(eIz^1za)lnK4%Uu1;rKTNw1!KJ+cE=mD!>xZcKo;25i^>7^5Fxr<zKJ3%RZS0 za;h)i!O&UFhst0Z(4&qx@g1uad$yoRiQBRxEMi9UEmfT-3U#`mrCf7cWmMjS4y*A8 z4c=LaJzF3g+ctZ0^BXgrGmiP;Vnq|;4;+%=;RBsa1KA~3@dva-Dhw2^pdDUMq{NB> zayJ{WZ~P5%DJSS``)RE*Cgye`;hB6v(V$b6eZ-zA7|#c-Hv{b^Q{uLK0Xo57L@z~= z{V-^W-X&d~CkZwDpkeSFxQ|NCg3c*2i|JcErMUuhsnDB4PJEL<!=%@YK%=+QS}kA9 z0UfCfI{9}m8@J_&`=In01`17OPy^9YtSI1G;ttDVfty{eG9l$jiF`?*VN%=Uhh*0L zXWqY3{y%f0srC)f;k&s#b5cdy=6|1a+^FqZl;*@MtlP6*1UMRq%s3^mFllq>!mxz` zRu96mWU^EiFeOJ^2&r3h%|$`*D9?t84Tq2OGachQxk`f7{E<7uVixla#{}Hgs?{kt z#~w|czmspL@bmL&>vz8UZTbAqjIg@Q)Tm!);=kMs|G$J=cj>hbQ=6q(osq?dPoEY# z!2Vq1!$Z~Ip~?(y$9_DzwEeVf!?#QIrzbvq4xPLWd!40n_KzF;>R0tapmi1z#Tk|2 zZv5cuqVIw(EH~4AW&3J3*McjIE|6=c&A-7;VSFUlyQJ0rMMCIrRnU>1bCdrq{?3qK z(QvW0PUPT*o15b<R&TkDwA!Y8-!kZ$hnMd&ReJyXvahbPT<hg6&&KVr^6UMTkVPf( z*85xxaz4gH*Rej>yBc(GuY_cC5c7sP-*-coJ<R^S(e2Wc`qB+q8;*UIWAMto0bOgc z-E2BXn`!j=%hf#JKy&%fwIOzUV7EqZRX3TG#uu;H9Qt*;*a6Tv!^fbPhgX-29Ne(- zbk?Ue*YkB6(wPNLN=yMAwp!=_U3(yWK1)Sc=4+MYnk;$9(Ysdipk+;<B_91s5@yw3 zAAVZ1JzpD1?`L7yqKE&H%9(#|Of`zQdOHTZ*5VgvF%@V5*2cTPx^(Ma@_t(L{hcmi z<yYl@PN?3qyrz@X{Nr?+Lz~w^&IJ9D37I6XeqB_1be8$riRrZk3mE3{IzX3r98QNW zN(qbpxa_HAh1uCTkqi@8)y<P)G+0vzT}rjrx+~$vmABbn<-WReBdxWtfG<l??z>X0 zGbfl~;;OyTpj8?(!;~4?;J&>-`>Whrb)=;*@As^MMefJNU*sO|1}*&310UPFe;qVt zce}=KJo?XSulxI*pj8jhMH=73_qa|w&0r<e&~bL|f{7+K^%^`(cZBsaNSOWE23e%B zw{-cwq_fBSu2u8!Auk!LIARDr@HcCDw#wO<P=<-C{-uIehCGI@B!I;0@?&4+zB(f% zMe}RxVQVxD7f#%=VLgLV=x;HQ#^=AGs~3I-vK&qmzqjsthWt0an@3^Ezx>`(m_I+8 zPCAya)8KJ+cQ7b`{?CLsw)Rb7?NPD+MQiRY-d@&xkJ$lw#_+$F@btUG?b4H6R)Ljl z{Gg*@+x9~f()M}R!q@zK|M-hs^H*t5$~FdH?9Q(a%dESdlrx{*W9kU`Di6{qbsn@( z!%*Vx?!_E!zE}M&RA2Ged9d|<zaVU>P%>-*q1w939#Iwx;CHnDgT~2xc~^s+Zzm>y zk$anlw1#D_H#7~I{T1z9^3lC?!>aFFKr1x<X+wi&<Cnb1`(pRYHZi=<)ka!0_MZ!u zaBH2FGe3FCu931?@5bPjyQApxC%qoX0iDsSy;u&<QlGo(yTluvh9Gu$Mun~P*}B_g zQrf)B2Uq>Fzrx|L()8NgWssr;l5Qnuf0g@ciyWWdpi4?~9y#_dX>GQOxa#+QVlHz) z)?C<X9dLZwU$|1eWxbBVJ4V=vzn^`f?)Cj2pq%+<0$<qIu(=DrGX!M)^@Vvg*o)<` zn103jdyC6!kpk)OZfLxieJ}1^a`N!GDF;^_+y!34Wd>Wrb??@q^%0+iqn|{|zd>Hv z`N0ut?bUe~J!VDzwv$}5>N?~A^W@!-bOiBg`aS*gRe!HBRhThM>~UPl7;#RzAG!!8 z=Mih~lGd-FqlRm}7<t4Rf?jWdE-?DMn4|4%U82*xuix$>Eeb8KTL??M^;s&t^LO~N zt*(mZO4z_KCF;Ksq*(cVBd+$S*#2LRmDj5IMGtId)Hn+%3jg;&mySJK#jkt*;O5Lt zkqi^FHpGH5>K^C;v-ftn#czDJ{oK`R9y!g1gEFwR|BxG+_E)dHx9<Cln_-{=X(PBm zYDV^LdD#owSNoCU=Ob*PrP?vOMc*TCfQqucpd(_h?S!3^ZQqq}<4yVb%hg-z!;u#J z9zQqzsZm28i$c=n<C!YG?=?X=6|~gs+J2Cm`wqUaD{J4E)NQ}Z;r&Hv5yaZBJ^Oz- z?PUk+EMA_a;u{C@X938aITiDvt}WfaFX?Q2?2_*idm=z~Li6~;8+uTkTQ{3dN?WJj z;1Rk%5>z@=L_ij~-IH}S$jSPbwAcNv{=`@r2k7eL<i*gyRV&L-(S4)e;Bj@IGe}>h z4@93G*q8F^U*+DKzq<xMM*RI8c%ABPGU-^pF36MMGk@!0=iq<K1{Fu8EAK5X|01`S z9d@?+-_5Wr{#~<o$;sWIbHwF9WjwDqG!d=6|62RnnfX_$dCbH<+<JeUBVZPI0pibs zcBo^s=3n=iRq4UL`jvessP*szx~LA4bZ70Y>aSYdu!9|bR{C*phWY>gv%Om8hkc;2 z5a^W0pF5dP7AB@mjNIg-discSw~p}X9-E{}hvzcqEI%3?zoMBYwsxt`<`X_2FDPw3 z64o82HjVwtw1~7Rx);xA_@|sUFwWHd?6CiS>E505>&s%-zyABzcK7@D-_NTX{_oIL z(*Jj5+uWa*>?iI~nt0^@+O3`{n=W>zF;2;0%9>|vDcZ19Pie*8ri7VSSxT=9=zM2z z{9DSR?b28C(_Z>d6z_JWh)ngSW7UFdiZ~oER<f+tRn*uwC!u#Wr`I-)Yr7^d`0|(K zwGHHGQ5n78f38ftH_g59&mTS(=_Q<6axNP##x$Ku7t$$GbiAs~DHiP-@VBf<^_tKX z86lmmjSIf@*tBgv^Npo6T~Mc-!SSypi?$AU1Kc51{^`E5npZic)~iH3HEUY*U2x4e z3&)RNS+a8qO_qfgsU3`}7MgNSXpQmg1#gs^zD^UGvcD&x`8B83J*N$U{R=)VX6f#M z_6yhLmGLawdZUcxYPotuQhn1bcVV5a6Bd-UCd~Bad==-u0d$AneeVs2=5tEfd2hJ1 zIxX3vce`qYs%t=rebd!GC5<|*gHrS7fOh5`QdQTVX?5wBn&Zpa9M^WXFL=|Cus5CK z)c#KqKH1H=99sJ(CoHbz)RK4HaN;COsJ-Kch~5Ri%sHm*n7H8CdCqB7GZuWi%yK%% z<~it!Kk$_`KbCMDD0;83Vn6>uE_vmQ9Sz`>Tl;1tOkFQ5vbQl|tDfV>b`H>?YM$18 z;Ww*f9beAnymoW;f;WjQug|J$#H-zSun63pzOk|^ezuiSlyAV#be3)#kAScFEZw;# z;+I$bi)vDx#A15id&8B5EL)!o>J*zf-hIk)x_0V<y6%M5`9dap<|i!OFJw|TFJbC+ zksqK7{$%bJg2wp23y9dyNa$s9Jh_?U+O7!;zJ!7=q^f2=2)dB!e``WBFN<jM@yB_& zTWlSlmJ90?DL7tz%pqpoyx><l=d>M3Ob@;J6hMRgGp#1Q61cLjKVhai$E$Vf5$`}_ zEx(L8!z#ob59=KCIxb`a+JlzP(G};n;nsG}uKQjaj;-hPvUA&TZN`Ex&(sqvUgy9T z!<F@~RNniS^OU^vhJ#K`UGvp$ya;MK<=X_hsuFZsjhy0&fBH?TW^?;9uhs}34B9Pt z<z9cnOdH3$!JN~6i#Y!E<_P<74YJ~_vf}cUiI?;_rT96*eyTfOe9<}MT2{V_#y;(X zQt}EKl`03L)P<+m%}<ys<#;oj^V;r-3;yVHm{rVJ@W`IS>{bP1Tkua_mhLx3(8X}3 z#-%D{7LH#hb4;`72QS;&)wbXpFU#pWz5(AAo1VI{e0{6plihk<*yPU4gr(nwP3(FS zrV4X*&GX)H>p5qaImfjh2O&<gth|0@;<aX$rSp{{(ibZ&3oXlT`Z|f_>3#PN2N$x4 z`YYUck<)Z)zH&qgtK-%8!aCd97F5ko=qzP1{qMfvN|<`_t#5rSr{U)&eSff0dFNx! zSMyyrI89yfFqB2RwtvA#S(ep*`WC#H%(D9L^aVerEl-Hb-ZOPU%B+O7%$#25RU@AB zK<*W0b^Q5WDCfdVNJbE^w=PZj!UDdX$>?zi^pGCcfWOKt-e(k7L@GsONjkng%{eX7 zb3*gGwK``U-<g9B)|KEc4)|ftZ7GpgCuNq#=fw%y9@X4xxuT{bh-La(hC`>z1m@a) z4P@VXIr4;KjV9<0ATDl85703`TR_*oyaa8Ws%!xr(gQjNcD5eq<h_4qL5K91gAVBt z;4U^e1=@C$sdL70$ss4cRq<)C{ZXI`e2(k|4PSuANlgBmfL27MN1kxBuCiKpNhW1m zsv`Sc(0Mj@*g-?^po7`K8>Hr)KO{3{AE^5eTK_ZEjN7u~-r++sSN@%F;(K&{g8_T5 zuh_$9PZW0Yau;8?)!Hhv<a=r&pH(|(Y+MU;%*_cg&`PCpId01n^%GkyC1MUc@paiJ zCGxqIgWM_)8dtdt+Q-)=wC<A3kw>5rl`_x>06({7M%|QFOAp-yMfSI#YmUzx)p@eu zc0wXwkv-^O-QA!$qW7TL3tf;!65#v#RCSMqo;p~O4I2D06Dtz93tDydG~$F~jU9LK z1&emj!MaI_d{Lk=5%8%;k%yi5Ht~aQUM$slq9AX{Y@W`?77ZE!@B<yJs}DL@w*_>t zF8scpfLNoif$SSW2PoH>au-j?*f^p2*<777j<4#(iZ0YmYPCFJbKZ$>SM<D-r^_bX zZfuqLav5}`l7d)~!JN~FWTu=0Z8ZcP$8>5c{9562PJEBDL6`r47RW&kKYjwbDLUh< z1$Ve6`^&eWgLQSpiYC}Lv|7FZ9sKk2C@AJ7xh*xWMb2ox=p|MZu&=k(vf~bDeHrM| zz>Qx)i{Xw6-2olZlcLCe7IeB#$h1}&$Q_Zclfefb)$HKg<qf(~sa%rV@<sf4(CxyB zitLBwK(`A|0j-5gPUO>?J-2uDl;#&@I%gbnLFX0UpWkYk0a`jXi92#eb69Ju%$_gb zYcJdDGjM#Z*#O$MR`_BLtK{)|+w)g=w`V;(^K9p))_p549*Nv;;mhm3t7yqI<?}(8 zs$_GPTr1nD-5#ZxWmY)paZtg9xS)&+hlKMcI)!m@Y5Kfa!JEEUcx%Y4>2bP$ZQnna z=D%ytE<f-6_umiq+Q0j^<K*7@dG?>ry}r?&e*fByGiR10?zhiLdls7?%>2g2rh&Px z$ffw=T`|-1mCRPVzn}ZYw5QIFK{hPso_Y1gazEG+J?`)W6PiIs^w@u_G1HCXb!eYj z{swwF%J(wx5h37HVL)fl-1>YyC+eR%gKSvt?`Y_;6hAWH#;iRKI@aVb`=-p&C*7MH z_*R#*Tv*NzI$P(*la+{LApAk6;;i30#rJh`+__~(*E5|EIk1K~;^Q|9cOC~9hh;Gr z62Zr0IM<(Fc9iq~iDgfd8B@4G##Fp_09n@Hvva{=@G%*m<IgX9nh82Z<R$DJhzbLc zDGj2oFE(70jy$tW-eQLD>dj0S62DqN50jYxLwfU47uW$R@8-O?VElH@kM%iG^`Jo7 zBhdgoL_~bwOkYM99?;ngHx|5{kXirJWVYCJwgrbznZulXZ&H1FFoPg7JcO)%2bk%e zW+;g;O;0;$9p7!lYVevNtfry}<ZcG-t_z84gF%7BopX)(!PV`c;|`plf$e__erQ5z zxC?vIwU=_!d|yj5Ur0R4&JR_yJskCzp8ZvMX1aAU4Sd@EGp9q3Rf?UW%%-3OcJ+>z z6JBN<o_KT1pG*d;HBpB4kP{s2_Shi8NECFGi0}Jz%dVaWx#raO6zEY9uMvl3tn25S zS+)&yYS3ZOQ6i7NLl0=UJsYk@+C<jq?fvo)Gu=AS;T7v6V5bJ%orL0?7YDzmU;fk& zIt${jA@sPBhu7eVBkDWoWQxN_Z*KVoI*a9ANe(obeFDckI0Wy$y{LHk+~eb^mwzn< zowM?KQVt|_RHws38JvL9?WXv4n=@ZXd}@0fdcaQgZukKg(x5|(-p0=IJ*{kK#QkDJ zR&~Sw+V|!Sk<Xh%<?SZITpjWH<ckR}zdRM*lv#SE^X8U&lCXoGzCD2-2K76p<iwWG z*W5Q}*8cIlx#dSG^thUPb&8;nMx^PT@~4*_Jq~ixWO$n13imxYO?OXAy}U`dfp7IZ zZs-v^?d|Yj&OSS5){&fF4=)RSc(n&~Fpd+{CcByYYqr5pjJg&pAX~L;ZtDCK%f3!$ zx{!GEHSFY)>W}L1Lw3M%Hh-hiY_V`qs-Ee;6q544`<($D9+coJXq9uP<U~nHo%-x) z*I5=EUd5UYO&#rsgF>?B%E=ndjr;U6C#qJTK{ia^P8*ucEUiJF2WQ21mY_HbeV%gp z*EWWdh`<^(h?;w7hl%{ZRlGT~FrUF{jZJMTB<lBEKa1*`!|9tde{KdH^>nThmV&Or z^Ul_5ZtXtHx3537?5aK}LBBc=O|0tD@KAi6*8@t>u@ih>-)C8Hxa)KnG<n=Me-4UM zaCQbAgLVI7YfhAnXak@2^|%?({FRG1TL=_5TV6lPiTbArDii-CL0nu@5f4v@Ykz|d z4szE&xhxcP@Kk=>WQZ~IZDznz*!P7mCS*Q;sh@uN*L+a^TIUTtvgNHi+{xg$>eoNL zthGK>>_Xzxzl>kFS;58Ty~l9BgAZHbi<#$p`g`0&-_`A~V(OtWSc%coCcbQ2X<4JW za(|-C*7of-ntiRGWrAiyB>RR(llQ{aynffsH?!<njq>bk>Z}V6cio2_pz{`SfDYI_ z`Z2S8yUQ4?*3_6m%hvaM!Dsm(N}rRva-!x*H}I|g1~cUF&e!SQov_2Z)>eYbpOg2` zEW6qYI#_8c>@=uNFJ>W*EprD|8QSYkFZ&t}Di2Mdw&m;p4KrgEFa#G1;4D8?dQ;{` zJqFpZbGK)t$sSn4pnWfT8Z4l1fXc%ci{7VP{`3o!e7<~zB_Bz!-$7?eZ9Uh+H`7o5 zefs5B?TjxrRJ|;R#>M2%@RH;8I?y?D*X~d7eGNK3=jh7WP&IE&;pXtp;+y%+{O%0j zZg$YoI5W+msjxa6wMyOf^!Lpze_TPu^VeobIrihjEqI9#b=|eyXL)q~$z@kH7-YlN z?=*$v8@rw0f(4Sdo`KGt`ki}o%RgrZt2IA<y@h(~n=Gnx%KvH17OMt1r?eb;;7|2! zL<$3!hxaD=p8m`DVnf#FSg0uv`w*@PEjdy0>&V@kTdGVMWW(-ngt_MCROS5to8SMB z|Iga@JnI8!z@rwj_+##M>z)fwmX%tisxK|IGSt+)G}FWT<(nsYs*;}CCnxpE9zR<k zW8HUHrrr2u$~&vcm#ijwW@`2<S(@@{iR-2(X_It3aV-Cs9=Eli`-J!Ztj%fv|L^yR zo9OW?6}-w*b@RDfo+1sKKzpqC9e=iSm{pW9t?iBfm%;GRy?ge&9S_4f54dgS&|1zR zcC&rKD@B%WS?7SiAx)}bLRa?8N?19YBkR8JhBFNd-Wju;&J8+N@anuuM520ATeaYt z5&_4@N(Z&nA^XS5R%PVA@_6gj^vGOjO`*8sNp{X_RkId+aqBi%Tl$D4^|{a*^Zo^Y z7+Iucd;>nJH1&oFgzTG>Fj1OgRT-yPw12=~%_h}1OL!s63W|z%dkQuzeI{__-sFUt ztSqJdN)hXP0_rUfa!nUBiE-a>=`BmIyh6lN&Zb4iVxP6LZwrX{3$5A6?s)PiOSY_M zK$YS_tJB~EP*|)(%g$bz7}vc3yh3gMf=Bl`%&I0X__W&p*^h~`oU8V=Cv5!7xk{X4 znnmM+XE#~AW4!`?TQ#NTsjjG&KUh?+y5c|6L9UI#;K2c#JBdLo2}{d`P44w4O!XHO zv7MK&bu&j-ywiqb+c~_-IIjINb$sd0d98BZf;ZEaf6}Vn%z0{mcS5s{<57R1oDvzw zr^cLOk+zK=RM`dIgj~({lkL3g6!143d{|Gh&xR|{mM2DS-L4*y7T&b$w~)?v&Zb|- zh1L{UfmgSfa!TFz*>Fmqv&+tB!!3KxuKcir1=lM6LOabnPhXjM&5mX1XO`D8&H>*{ zn!bh!P5IAukj-2$<PYmXH|C~O{}m!ss;riUel2C`e(MwP_b=pR*<u#&xlRGQ-8P&F zg)exRV>RiwC1k;awd3L5a|gDBRp~lD-pR5$g`-Q(W5ca<&Mtkmh{rZflfnholrlTM zwC21P=^s$$vSHrb&WBU#c@MG`t3fu99sTYrE2*on;*VsLtC(Pj?ev6=Y>r>w3+fcI zJD$BSsPk3D@vWq{!P?Yqsw-xzM7-O}^7Xm!nh%*xZQPt;R_zNuN;QS<1Fh5n?`+$) zQhBSL<HzHi*M8_bo?LtW(T}yVoL=w!Hk|v%lG?8j@t&pWQ)m;fp6ZGpvQ52tsw@6P zH@V6wulOb3baY!(*Tax{;e$di!DB};MV}8t*E`Hhn7NdtR9`XT-EEey)-2k8+7>)q z%%c5w_JWVo(n0GTCN6l9$FjP%W5JI^mh34UUV4fV*`|&!vpKKrOoc8;db2m!y{cbe z%75{LY_r1+*LHC>^_How_~Y2*%BQ;Gmuu6}a|$c!1P=;b7r63A@nDc`(=C2sozF6k zch62sv^c%Dalt=57VVk@$T`S1XZ&R~Ya17Q%w$;|;}KAzdoashL8FfUpj5q*#!sDt zQ5TC1)?V7oaqZ^J1#j-Lyq5I|`1hGbdX7`TN2aFUbxJGls6{+^2R(6nb^RPGq3X5; zzmA<YT&tQUbfw1nU{JN-6}t%uGnE|g9%VT_*FWGtSCi;I{|g`dnx_79xKQD;;gt5d zqXk{ZIK!%?9Y0pGWY<6scA8^T^~xh#(D9`x%WD&kQ*r(q?#Z$|t@k%zZ&r^y;TWjB zfxp<`*0ffcDfdqul5qjuxN}v8yI8=wt5xO-=;U9a(~&0}KZ6dr&64G|%(#E-kW7l) z43UG}K@1PMi!RjGfDUV!__<(e(S`f7S}is9w6@CdfDZokk_R0btPDD-Cp_YWV+rWK z-``T)mL1zcYeD@%R}q2s8-11Fw)EJ4;*d<qHmmvNuN8i$i9K5|Jx!7QXFurlo5S#N z1C6@MSBv@FKualqt_B_0Vg)*?hm*TlV0VA3%$0V~4bQA%MHgy9c|ofYtU(7G=johr zOtTj&x?l@Bv%j{pRptxm9Gq4wZcB+cP#3@Mj1%9n@0$$Rr|$RZdhifD64GG@S|zwu zSMNaRDaRT!?&1p->fDwdX`q967lKaek>M`BVA<R%lLETAxavA+)nb3djAqCFRvD51 zXW%FGtUGdcX7h<}pfM9Zv1ba~^tmlp)X!<P^pLTJuNm148lqSk3Oa{(TC3%WJ7=8u zc6|q*)Dv;S@qZ*}Gug}59bT3a=Rjv4X@Z8LKm#Q*hn)Bp9S2?X16oE}qY7HyF{@QZ zB_A|o0vfOR0vdg=F@|q=+kH|CaZ(Rx)l3}dq#n>nlon`p$4Ssg)G;T%RpFrhZqlI3 z1@u6d3n+k2>NyKqTf2(`w6@lQyLiIP(@uQ5Kx;GZfX*DMH{mW0u*ig;67;ZZWxth1 z>^UdCW7k28X7so%JJzKq@@0XRzU+wYS#84J`!?c)V-X){9BOi_%o6bp2JCYeN1Sln zcIJ@G7tnb;e^NmsCbHa?8u!i}lIf94P-K4!I#T&W&sFI>J<#dTpmUFI`}BdX7f_8n z;aCe=Z)-TeRmP-0C6O-(w8FGnlDl{UXv}DruGq7L!{B9}@*Qc%_aN2um3t2hEmz$7 zBu#y8RM0YEw^J@r%l(!uDJ?QqUF&CLm8m-Ox96r3t1_8(%Xeim=Ec=zR;5wuMx4$@ zs=AZrdT1wlF50~B{_CoDwf`)h?~=Rz`Saho-+zC${6F{3+UNOVl~2QSXU~LOHZ<us z?6RT%2R%g^MCYkw{17_m6@BG;y}8Ark2+1E-xM^grYCG=a{S23l0C<=@tWJ@{h1sO z`+fChT3y;TZNZnQrcyZtjop0-d&N0V9T!WEl78b5P*~p7`%ECjt|wvQV~$nx{5IT3 zYI+qetaDLuMWy}0Ab)`?b&Ux#CB@gsLl(y0nQN)ZwBgP=mam&xv}K$we2{3G>Zh8q zrz2tNYL2dTiV<()njZBFuQ629*!fZ@E;YNl>C$G-Yd7aFcw^J_wNFi>-sm73H~7Y! zgymXh%lmr5ghTeVCrmufvC7VU!wog@q^PUgRU?wbn`ZqM)S0Tj!ghYb%*h<D=DBaU zBg*nMTyRZ+xZ`2QgI@ntGWL87;z^%s4!eK;ZsjYFx6CX@`PCvGceAMat3<4J3;1Q! zR2n8UW$)yKz3v>R{x>Hy^XebkqP1^g!s59cTIn2OmK_UTiF1fqH!k?~onu-AXv4Q+ zi+A2Vn^KihcE`8voYN!)uWao$T>JE%LqNTIljuGF3m+VsrrP;m*x|F`R5@pt8AsUe zi3@(bWy$_CZ2{=!rQ8b#3cT`NH~1C7YKypF_bPUQDgR{-vK<!=`NMb6&6`sz-hac1 zT$a$^EZw%Q0e|<ic;{4d9?#!5HDRSKXV!Vuh-Vy4ySO=~trofx@3i4iDRhzO!&@tr zm);iu-!xFq(UrH4=W^6rU6!MlS+Z+d7Cd>#k}c~V@QbIZR7`lv-nN9je2zckg>ycz zI3BGR&M|o$#IyX<Y)-M8xlpIQYG2-Wgim!voKnOilcrhEg>|+zfwu&`Q(p1g;$YE# zr4{>Mrp~y=#o5%hnKSH{faBvzmen<_3tnilto}P;!H=RQ(>fdIn%{5B`nJd^Yy7o2 zXf->gFLUbMzJ$H89N-(6Y#fj33+I#wIX;ya&?!=NyecoKQ)ce?)m}*FqmJX*7orm9 zf^G|3xi>RmW-WAH>z$lUsmgcNreEqpYd+LAwM`dXb44wqM*W~xy@JLso`YG^v7MQs z_h%*amJ3a})1I)lnZrw*^O|Mjf;T%^Uh67r)N>zXD~BFc(7k`Ym64QSNL{G#<tP=u zfUmMF-EVyZKxa3~xCVTdX7Qfu9I)GQ!x>+e(tP!ZcjYW!=c`3Ho;IA*7A?5uOBr~Q za1v|_M%9xm6K`GT?3(Yp;g~CnYQ93mYqO?H{DNyfi#Xmq5jx{qRkYBQdg+5~)j}bE zBo4Z{3xxcWI;fN`7_xUl!bUO2uk(d<KEjT5{5gH4^2+HPS^4{#9;c@XUhx&y`5xHx zt6g}_htQ_Bbb&P`jE;}9Il_KQI$mVw2$L2Psh^n8dp)lA;gma#32X0ic%4^_czyv| z{ck?*C;M`)TfjcQ4F|cJy6jbNys&FJRj(HDgtcka?AYc^U9-sxKu0&85W4b*=U~ur zfh%^c2{T<;N~a6!d>3x|W!ohB&jb>oa(4EmOTNs?He4&yvmG=%{60;Q{o-HH32)0m z7Yt;GJzEf%sK|b@Rp*T3YcJ4g_@IUP@6r_6Z-NewzqeWEiNgO^uR(X%ZQ$j$)K~^u zy0{Ot!$A|YCci$2<$Mrh%lS)=UvGo<A6tVeV*T_)KB3hSCmcVAgLX<EaN@gleuDw~ z%--l7UY0NN&K{C^a_^`U->=^r4A@&iOA!+%wpwb`cDKs(oKH>U)0)0%Lh}pIReImN z#fk*#TUsqM{?x+jmnUu4A8Va;)IR6LcgcFA0sGv&ktZC#f!0yJ*8uH2R|D-lZ*P?m z(N9d|a|0cvUo^edvcm>+ePKQ57`ig%JU_ly>p_mR-ZY{4*>BMHfkvGt3u;xkizjHp z=R;o11I_X51YKtIpAEG0oLj8Opr!+~^L%QnOpmhpBdxQJPeF4Lvr-cIvfigEvVUX- zwQN9>HJ>WPo-HU9<F@qBPfg^r(iJP3uy<;!<qKQT^#cBz4A`5eBW_K~D-_nheK13e z+foB`qQ1+sO%s~4K!^B)CRDzH_P-wy5-Yj@+7ICYx;yACXxZx-E6`0u6I(4$9L+m+ z_9WzHrw!KJmJ;U@6ZyQ_LANGt1YIxi1+<+5d<n$Gqo5lNch72-IbsdEkf=_qXhKaB zXo2kkC%#L@d7!1F=X}J9Cfo%%H8t{t<39nhX9)#7+?E>iP92iz0qrFJ6smK^5qu}$ zz0-$ej>x4evVR4gF?gfo>95(`a_hi0oqenB-gHQ2N!sQK&ENik+F)|rmM`Q$QSX<g z$o?0U+!mg6;%fu12nAga_(ub@BDAkn#>G7Iv;4y5TiZaJTe!K4FWl&Fl~MVubH*{- zj@!~>{*gm6CFdYhJO?*_nXoqrv~dKK+WzT@Jxef1P-KVPHKflR{6P8?sIt$zFgsPW z{o@DwX>Ke$Nx2b6Ld2AnSOj}J1RM;NJhHaBiEwUW72%A^-V&yy<aVv2!_g(ll}Aa) zjB|?W6sO=*Lf<w-D0eOCl898%Sj~IhxcKRp!?$PNkNIv~e6Du?{d<p$-|{N0|JS|z z*&j%!{-ddOq{^mqtJ4<G3}4^N6i{j0<XWb%Vwd{Cq|cnI<UKbWNoty9+O+hX`iff7 zgGQ@4UaeD$c&FL)%Xr<vg0LGYOlwQyrR^IE{yZ!TcK4EOI`)|(%(7|03#F#3e#+nz zK(#r&)~Q6i7Hqnt+*F#Qxh%BI>|oVxp(*!!6PkCji1I7nSm6`!Q>4jN3ff5w*Pm}C z6zv%BSFuTTp2~`y=eEwc7POfoOPzDt&8Z9C{bM;T>l9G0c#un7(8OkX!cuQeDSh>b zrTzh5IGe7<scG!{SUP9h#<MI<s?iJ&Cr#e%Bb)iwJ>XYDQ>mE1l(j-@%K03BdUKdX zxCMNyYwEow5K=cWVPfyP<6Bncxo(J<wcyur&S@4M3!a5@PP3Z0;M+}>(=nhr2h>U2 zZd|HTuJ8EwIA_=odB?-N`JnErwd3QtoMAsD94|6+hG`3m*f%Eh&KH`prz2tQYfi8G zz8lUZv!u>fkI4Va*A{H&xZ$85w03>uzPzu8PhrI$(I(eE^%cK_n~v(Ktf<pHC}i6- zt6nkUm1Wbd*LOQIbvLs*-j(H?_M5};ugyWOc(sfQnS);TY8f?*2eqz4w>Zk|O@8I^ z_B_kc`Kl4hyLc{Z?X)}?Wi2q}UU$OWpDd~UN)h>njz8rEa|-MnkD48HTg{>MzcXRt za?Vxjs)gG&-&n?S^}g?hBXUr;JzKu4Z%G%+R&ha{Vk^hHsw}7fHZJ(*)g=1Q<3fSU zhD)!fB}et93$OX4*R*K2@S1O)O^@1z*L?JCnzUSK%~b`BTGoSB+TgL07VmR*Hl<6x zt2kEP?a9nF=!V>sH+4Z#Ujpa?;kxF8jgvW7{clR>Jk61H&u7DxW|pn<6(ZD%xZ8ru zlbfF2a}1~#ZxXeGZuXIrvngHjrL^f-Xj5pNU%(dE4Yzz*j>;=UJburj8m|<Qy;xMn zey{04tIVcNzlGM63poB<FPKxH1UX{Y(DA7>r`XPXSc|0KmB$;_rdRoIlA^qGJOe)0 zvUtCB4*2cRl-j4dqMGSoQEAgJalthO%#Me5bB6trc6|JtGwf!9YFls#-@&Z+sv7fD zBOVt+1MP`nuzS>T;VE|A33ItQPsO=!i0fbQC!W)+qHn<?>Aa&`%x*O;_*Bgyc60WE zSLvK$*0UB=wI*~Lb7uW(OIW#-W$Sx|h-Vj}b?ff)ezGU`)`>0)tuJm84PxQ_t`zao zn5DO0DdMR$i|cuXh_}~Sj;>dWcx=`*iMeU(JT(p5&t^R7bA35a<@s;8C&%*C-gkpz zA*9zI^XJ=@i5Fk8Os#j_a3Yi?G+#9$$JFs_y|9kq<1(MsX>$@*zU9bz@3-NMP1CM+ zVelcl%OQvCh6}8@qMGr?;Gow3S>P=-dX`z`2~n%}wl4UwjU~ILW5JV{rmcLc8nJ2- z&r_N<Jr`V4F6sC)n!~JO!h%QkoMv5jIv<AA86Q;gY+5C+5|LBiBy$DSiB=Kw>v|xi z)9^t`#-!c?v~~cr41V{~Loz9#p4B_h5iRfJLCYM3K-b*{_lrMG*kQ_D9Po>Q+fw5F z=|eIhTslt_c7d+B{oBwga|E<GP-=g=BKyfyP#eJx)J8abNTwui2JF1n3B7k)SDUc! z)df`;e?dJrdC)2cCeT$xpmBx$=MTxaoJ&(=zj}Y80sBTiZp#(-L0cg~Rma)?pskR+ z??E+KH6M5Jgqt&4WlBK%N8f>t)vf2@E)Mu{4|K{2xL!HDd70g-1(0KP`#|Tw9(Ced z)W6YyeXAk2Wykt@{&kmROzs^6ZQR^wz`hpLH2B2;+KOQU+KMr~)$+w$&{hmzv1bVz zrnJh4yiZBwa|4|e^Qj)RyrJs*y1hH0g8(b`l@_UJoN>$n-K+H9fV+6Y3DDs$(?P9; zLQrdg4Ro+>1N1D|BGBc`pe-;OHiw<~+Kk^n)?e6sDNW}|!XD6RyEiAd%D8~`E^Jkf zJmFYl$X$G4g>M&VQ{utRQ<|Ur1RboqtE*LJNjRuQa}{*Qq6p|(-a`9zL42QPgT_HX zeP%<@Wq{8%PH6rl2&#kyxh+@BJ9bFs$g~X;nty?grAY!EOJfJRFUd{k$%0(|2hYwp zrhNki9}|cCy5@J=g5^sm-0p3a`SN^|0ekD=h!Y1loH-;j#Se6MG^k5|>M1Dln_4Yb z*dB4>djx8!^p^hG;brLo8h?oL6f2r=57an#bKZ$>Q#)ueMyt*fg?b@SqpKX=Mtih0 zSiaOC>YNkbsammT3ZGcGEm!P2b4cchS>1%`Zx`$X-9U8%w6*wqaw1<4JE)PsB=$@p z7__vdIATWg9nd{h`+Hg~HSU~u;%ftKJHFJd^CUs0n!`MuPb(S}bfA%%b$>xSJJvIN z`<)RDTFOx0GbdEE?S1*VP_b5*K#m!rVw@I%PFH>%U!dK^xxtHp`H0B|&*>6hFW=x2 zI~{QC!j`CIfjSwE%+Z_vcu1_AX4WK|(zS%?W*6hi1nI~U&Ivs%oHHcYB3I|Ww>iG2 za1;Cl-Z$~LW4?V=zT9|z*4J>EKhvf~d7V{Uy?P2?jq2=(_$B%>KOQ<x5R=ne@RBv; z>t)bMpx2^73zmCK+2t&Lbe-o{mbhkJ_j6$)W8C~uhGy0SCm_pszw*940bXpswSLV+ zlW#42VWsoJL5nwKBMUQNTR#@JPv>aUt@}~5*Zuh+CXG^ti98FgFz7tLyBfA=)O__s zldo&|!oJ3XR(_gU{NjS1&pG+{6ppsu`TK(2UyKg}Esce&aQ&G8JAc=0Tb9b%Z>1Yn zZCv5T;I+2$($p$`hBjvCNw#*?Q|~R_xCd#~=rc8_gMDpQOx*IpUv|wZ{{3FtcpX-5 zwOa()SukJT&0tOW#j92QRi#J^wAbE-y7t<<%N|mnuY%6Wgq-+WY7aVd^*HEIQ0MrK zM^|Q6UbDWAyx4hnD0JUP@%aoD-@UIvrv=-u1fQ|VYquV1t4(<P#*d=cPi?+;KLqK- z--FH2#m>@|j>?(e{${6aSoQHDcwP9lm{m}{;PX#sZT+;y{GA5Uo{^o=P<w^fXR7Gd zWX$CWyP7Tn-l}qKI`rt>&xbkMzTUb&IeqWG?F{pH9iV4yUYieIUCW?!?ca8{OA*C; zdBRH1={6i>3dp*fzfur%I^tdT@K@T~DuScuef3)p(hA)&0zb9a?0X+?`?Ci(O-jQU zT~_@IGG$7*@gNGiF#L%W=%ma)`|d5?zng)r7<Tj|`+C@hpeHxvU)ZMUgSJHc=mIV7 zuG|Y*E)6-jH`o43waGWo{tf7Q|9f}VLzkm>PBwpKD>hBkVacj+(80Y?+d=yq3?=S9 zZx`fw{dP~_`@sF+b=Hu?RQY<Y{Uqq(?zjD!D!sa(1=Ep>K__M2gPm&o_J#JgAG>|4 z`p>-v?G%`j#;}wv!ScOa_2hfZ4(?0>8+ZC=tN5<fYw)<bA9R4V*$#i`QtQh7`;s32 zu3Pl|#e1alaDP5{GXIq=1L#;}X-j|Q%x|ExGJB)1ax^5KjRoaA9=Gq{BSGVLxxT+> z@hA+jX~Z0MZe`9Rq248}zN`W(U-f|k=<5<_0M$tx+;Gp{?6vKy$9b3GtGn}S-Jr?g z?fNX0-n)Se6IT`Q2Whm1ra}2yzxa(u|DSnfYqk8&I{0DAd#YjQygplZ#beek(2=S2 z(?RE+elUl{=ILKuz4DdQ?=9ZHq~ZVHzY<Jd#%!Pvl8;#gjg^U8r93y=%C5=!<JikE zCF(OTR4e$9*Y~@l-(R%YjFeH+)<X4l_DXqj?=Ic2s?HCjPrLj{xHV+&5U5c4{lK=W z|JNVT4j?5-2hfg&==<0Dc)-V=R=X)@=B(!nD~$^S6-l+<#h|6iV)v<_0_l%<RsXrA zpy+gg>_#iU4m-Z|UAmNKu>Pxr(Eq%k-Du5^x4*IlpZh!CW}%Bg&Y#V<zsUXFh2-3W zZqRb2_ss>5S+%k3tEKX9>)mEsaAnqVP#T1tt-bHT^s4@2tB~9~dp5LOG5grzcIi-^ z?3z{myZqlXF1Ql49J(V1oNj&pdA$!@5853A+ig<X4_!Hb%Rf`4S9YT_+iELyNcMhr z6PkuD7Jm_q{&S1@)0*-;P|*NgbzfNyTXp~J-=c{orFYh+Zdg^H1>W}|9kT>ltn7)1 z-}rHL)u%Pz%|WLPL-%gfeCUAM>lSy>W0q~|a-J|#JIJxWv!+AK2Jk`R|K8<4iOkQ@ zL@ttGyXS=Svs83-DkIodzbc088Od%%PQJanpG2<TjkMil`@dDt6!GnLeRT%kJm2JW zF^46uVnD~Ozm5ZCS5Of=_gZ+)$8GLk<>rF7qQQ>X{@n$2^Rs)OXWUDE^@ph=<S+OH z-e%~wu-c;LeMyh)=U=Y=@*QdaM$Lz1P@Qgmch-KNv3CoDQt0E^pephi=*a8>SVonv zh<Sf8LIY_xQ00yHsXM_*@y3x%DNpWFMweAppuH{Ec7gVIf%c5p_asET->CjY?(2P| z?Qi*ezk5P=e!TV1Qt4f*)!=dU<^oWGlm|PKIq!OS&c}ZLm$p{_wUBm9eLrFg-Ng1x z&1BNDYoZQIUfl<Uko21n$d)^QyCv&y{P^tu+V)qwt@3UQ*cOiF%g_?v?2~KnlAF?8 z3PGmtf|MEBOy$ENI?wYcOPKwA6}Q*@@r&9jepoerwh)^1eQP|GGZTwJz69+$TC){a z1cH6J*8a8aD}I~Rh*P$I&4GsOt?Ah+y}6(*HI*KqZ7Q|U%{c7;!r%Y5|Ig~Jaz_L- zE>isid@<3VJ1aAGX&9fpb|`pK<$*Iwn^V@R%6a)1N6ng%nj&}M%n757*A|^QVw|RS zGfHRki8CK0W~y#8iJDe%>x04ZIqY-J8JeD6@_gqy^Y8zDyxkRd{rB&f{lEX!eZQ}D z`~L3ACr|A^UNQUsTN`u<^H0beNNTW&Z70))TZ}A6`&A+yr!-CC=D4=21-x><gyYmb z*A4f)nm*|Z<QP2O7ygX9t`vNciht9o--0?tERI)&z~d<KJ{yiq70$>txtYoFQ2*MV z%2ys)nvQQpSx&D}U$Oh<-x=46IGcV|3#{3o?|8V9MSHHpg&#gmp?WGByJjS8mFDdF z&T*|`#)2nSO<T=s84hdOb|=ie3mv&WcW$oLrPIPw?E4a$jXAXD`ER(W)O6~)uuhS> z<5hM}v1soA+g&`DqmtAd&)(&jHp@BSw*jPgYjv>bxzLq(j}1=MkWQjaj8W;5EpxyV z<7QEPnWA@RC2Wo5=sK?!@i?Gql55k}eO>{-WSUCXDQHyd9<16fJf*%Np;^%JXgIII zoZf9pEB=%<xqh1o=_T&`b#bNg#$=9F^{ok=n>n-0IHrM??&q?czT+P7-LvWG7lmb^ z_1;aQ_uMWN<Tp(%V(INyi+FmP#r3>W#9Mbr-_{@2wYCj*kLnkm(r43>xpp(F<4sx4 zYqz@>{Bh?nv*=pzXgY`4Dxr{niU*Z+n^x7UM7+ssdetwe^HIq0>~*^{nZecqSNw!^ ziXX5vse<>3C@=4Ovd<%+p79`;y+THX=s~Y~g^U{UgIdl_i}Z!oe4FKNu=dey!8ITC znkM}gUQ^2A_;NDGwVD0_+x#}%n+lqf-f$3phxNsk%8S3UOf`>h%+%e)>v*+XNN1Yr zihrI>s(vafe)2Yfw*}2em^qu{)i;i5)h!GDSv85q{nco@Tp)JP>%BsT&Gdw+{;+Wz z+qzdCYn=lAFg2OhxdnV;YufrwMdPo)L91-eQ+b<&E=T37IsOzm$X3juRW~PLaWbb? z{oI6!pE*|jo072cHOH#|QxiH5Le_5V{CVce#4E>~eO7<F#&Y_NS3tSh!6JF(74a$& z53^Z#&nrf}RA=d3uNv`GpT%{$;F@nHjvsl$6D_ijaC*J-*#KJg!LJzcd<JwxV)Jre z*_U&D1O6Rnk&bZ=*yz3CVlB(m`AQK-<PL9HwXZQ@<6Mqa`}-3*n>n-U+7eb8IlkS@ zF>U9Z1@Er1oc=p=!9QCTZP<}5a&BSCQQCXwE%?~SvU<<-1uq;~R@cs2@M9%Qc1`<& zC#fviTRFV$du=#(mnAh^c+Gbq$Dh}2&t<Y(3xw40A9Q0E3egkLDLMs>&#U?VvYoMB z0e`2nc;9gf_&lG*d#%!nze)#<HmVz}-E~_~=X+k$uiwIJK4><zsSB?8qT6(=v?;XC zF<^`HhFkU=UF%gM9{+@{-6%RXdB(M<+X7SWO-`7r<9PEh=QZnz3;vjMn(gRV@aR0J z*{;q7pYC&tMLGtQ`fRw7<_|jS!fC@1ITllU7w~B^$Axu@pFy2=mwQ>?lUXcZ^A#c< z=Ckn1D@DAtY&tf($6#&fJ)eM*@}{eH9sxG~8;*%{c+GP(U|;(=;)G+BAb0VFouEr= z-X|pTRWXCkeg&N{l=J6{wl(j&YfK#PuQ`77oviGb$mg~jG${@mW<QY#n!c|Td!|rk z#cjFbdc=(8BTiyP7yig{7f*;f<ivODbi|D2Gg6?tehYa2S6ZCdJF!*f3+U)pE=jQ> z1Dh7m+(uukOpkwZBA?cM(Af&13<o!V5de=|XMyf4{&*X-p8#}Lk|k*R{u|G_Aihh{ zppk&N5honKfvzQfp8%TM(BUpN(AYeo`4Q-li;JLr4S%FT$G}Q+Tdn|)#?MPpWdF)L z4|GG&k!hf91CUK5lR@47TeDkbw(x_FNCI6w{B9*^zsqi&CkyI9>oR_ra$8E=J9S8A z$}iBE#VpXFSnaJcF54qcpDqii)&^bnd-RZuiQO3|zDe$&v6bM66OOx19g->0n+qQZ z`g`??yX=>|L`C+W^`Ha1K+76_<cmE^5UJ)1*JOVR+8g09t5qh1KOvDX33P<)FVMn+ zBu3C_7obs#bxDfsZ$ZOAJG)zDN<eE7c8W)waI8OOb!U#HMh<-0#KD5|pj8HMk2~=l zYX_||5CWZ9o1Vy*CBAt=^AFHa$m2Azq6sml4#{i@+c2T|OO@EO1)Fb5gln?jWZ^Ep zaJ#ux<_Ty63>zqEd}I-OmQbR`ZK)A|;*gAs7<>+B#XZmv+D18U%N6!#4#}uo+c=^5 z*|OJ#Q=ciAcDKrG0WEVe0u8X4pFbq?q%T>K{jV`-v&&D=c^sgrqS*6Je8<W+7_d)e zk38X60y_P<+pd51<mMkfV$T+AybT(fVHL28VLxdJ8UwQGX_Z;hpPI;LwS4o0<~KV* z=W-}=Tb|e+IivZYkXTVb3}`*XwG9)RKe_2Y&^qgwk}XygaJRM9vg2%;BKue8$QjMy z|C;Y#>Hp6pV6aIFRL$4_c%3YJ{A1-_%V)<e%Vdt<e7NLgiIM#!l{J?=CttCeD-q<K z61042%5veh6t5_i;7gy1=PsF=;yG){v+pWfOHQdo1wC_LzBI+^_(8+@=SywB-?QJn z?)cX|?_XE%fB*aU-`BG>*3Ffy{1_a);c?q~(4xjisi66fi`~nft(>gE;62wppjz~x z(RKd?rjMW6GI4wh_`YtX>P~jfSN$AeH!ALW+vn^!ULbeSYqyZez3B;4ojJPn)Fa-e zG(CDQwC19MMy2e*sB)nxbqxt~O<7XE%J8M{-O2K_T<u1}NtPzXb^*Q>GiFB_S+y<r z<jK-K$2s7uQPa_Nsw?WO4+?!3zEU$OVdaUcIbm-tSx&!k2`D!_Si~-L#eP;ot1yRD z7-!h7mIWV8Sytb%YD_r6r65!EW#3BGt;adL)~iP(fBS4xS0s2aDzoX*X^v}_T?^iL zHGMs&q){(;kS$y|WY5fm#aCITwzG7vQC_htH04^`e$|5wI@=~J_;ruPTW79=!4rWd z+bcFjS)N&}j&F@Qr>zvevbQT?XS~pr{nHa#>jg||rX?($DgAJZlsZRPC9~s2or78O z3L14b2c_mKYg8&8jJmI^Q7e4VYA+{?a~Q+J37h+UbYI#!2h?#t++ud4alxb4oMu)H z3qJkk6tnDGkky*7k(*;x{q%%RX~_6@J7<=??}jsJZHHIxZb;ZE;`sM3XIRC3NVrv2 zyty*zQaY#9eE$un$~n63Ic&JKp0kUe<Ju2?$CJM1$1>M$m34f1m-E`qe(+{aQO;BK zZ3)evIkf6#CoF!=srA1rVPd<Q08b=ii}SjF)mff7lYI-Ku0Ce*e&ZJKS(n9I)+?ae z@}SXjfh)Gt6L#hcUfJK5(5mNnn4Kf+m$+l{*#i&PY|?eS7|Rh>ZS455ne*C@4-mIm zM$U`6WYxal%Tt!uG2Q{+_?o`HQ`h+K-^8ml`_UGyJm(D;{aB{nciC{lktNjLWy6h5 zmaF?+HXK>XVj9ijeb+7Ew_Q`}ycrG#DXao~J9pP*dFH*==)WKDvf+?4r<9&@#7c(? z6{-id;#D-N3=d}6t7z15AC#(B(D*5FFzPjj*KxDM57%s-u;7gy%WGX#jsK2KykR~H z5=9&jCv?Zph%#Cw9P*FxpptCUs{QH_ZvvZM{a24jy7tUs?JR2{ovq@IZ*>kDxeHve zotLolyzrI%6B1hA3!CieOjvqf(4?*<Vd_VgCPnRrf)f{Yw;#w{`^~HAQMJ&Tk7Z4h z+J)DY$~e9>=D4=AVL{I1guT+7r~Xe%Xm01!`q!VZ`0TbL4@2r$4=Pm)gw#qOOsZ^p zC9fXw=nE%{GoPYN(dX5{-a+9)SN1g~%&g{k#m6!2x4z?F-}FaY!fwo4@bDdr_TH%r zJ|?oPuIX9uB9mqHRgSKB#|_8)Ilb=rZn)+Q*~U3JQeRe2ViOZb`<%I;Q}OTRu{<?q zk(Tj-oPg#O@Kc+mdyNu!a_ybciht=%s%|W%x!Q55S#pkVV>zbXoW9`QL6+0CEeq;q zCba7NgTn2gkLjM8EYFw6S$f|qL_GCpalJ0EW?LZ7^3WQ+gHoPNle{^uS#>P<V#xA( zjz_?^oTjgOsv7^5n|Sk-SKLs)@q)AI)OleYqja<QB8G<(R<EBa!WfZb==il<Sf|hg zd;nUg>WW&!gGSc{o-C;5<}RM_TbbMPh5fNZG9sXh^A6v*R$=gL!Iv!161w(Q%N2XN zTV-58r=e%fPf}$62)a4$n7O*^k7!AU4|Y!!D!*P?#up_En(hECiI7WGWWTu;R32ZG ziCHT1B>w0j8J=%RitI<rLC0e$N1Slnc?2}i0Xn8Ie}e)0M$nw}Uvbc)#5hoU!J4~x zLKSFF-}XCf=IMM!o$zV-ySB^CN+(pab6dWUKX*t*#11qup1#3=ed+qm6PgPrgX-cr ztuijvI%gc;=IcCB_{YOteBnlo-nt;ZN!A+-*w@;Ej+_F`b4*TGWWVVT8kPrbP5qax zbLQZKQy_~V2UOb6zIEl`3pvnXn%dmO0+pbuc=7E=T4x<|7`ZK1?C)!}^Z<?Q&w8Jl z$QN{c(}d=4pe?G;YQ%~z>}_hbJh2tD<unhp7(yJh7^17yQseB5_Suu0KZ1_E|8xsv z6}URP_;;CEX+SkUw`GSosByDW=ZQikXj^R5Q767jpoM{RLC4;Ia};~Fz&uHj{b%pv z9bUx-Gmbm)b?pWPDCo@1p8}xs#^$ujg!qH@$3EXQp}DHL)zTxz9KL+=^SPD2vP(b< z1mBwKoN;vfTsXDp!raq`WS*3P))}bkoN-h<?!?yyS}M78GU$kV(8*jylH8UZpyi)e z@<2C7{sy^lvd$9)$(wwj11gI_twnWEgP{U`Le;keD}80ZfG&*uDGXZbITg0T1ho3| z(^}ASR6DVvfZ92&mK|$BYa(vm{=7+61+-!!Z5C*6Y_cNzThL7pXS_hGQM+3$PsAQ^ z;`<f8*?_%uHhhh%#JYL6t~h3%aN;`#+GKhvjJsfJkwEN;Lo!#sf$oY}s&mHiu?MJS zbMTPNmUAhJ?9%_#(|>(tTG8<)3RK%e_b^oMJ=}6R$jGX1r{%c<nc{N?Wy&X~c+OHO z4pzHpr8)W1q}dC%O!0ZSWs=W3wUd)fJf|($nd>>LB+Jvst9bg{DKBb%*R6lO^ZU=o z<?CJ-+S=bQzW4t8^YiiBEX)1gOttUbIs5<n^$~#^Bfk9)+Zd748-AwhI!hqOsrz0V z4*q2kl~=xzA?o<_t9k5S{dW1So?Q!G1vMT0#8`5T>t8aL;-9ux?q_5?=Qsp>)@fQA zCU9lntc01-;L@sk%7TB~EZS?V`7dW#^d~Gm%F_E?HR7p3)3W2jYr>Q@>J$%3g$qvE zGc#fBZzhiEGLBoGd{H)bw>mF8B{tpICmYl<FlLc{;|xBvaGG$4-Q0x9+#IXo95>wX zWx4uZF(Sz&;B#ct(rbQ+7F*2~BhmyN@9yQCX06gtaFR<gs%XDW@sa{9$HSjF!*=y7 z_}I&``i|>`64irQ{=y>h#l=3^y(*3;TUoN-x`J0f^eJmpbAfN#-9IIvS(-!Z8>d;7 zMMJ?!K^Jem|H;ozxI`yJh2D4AaAO<G)$a-sj})3_y;qM|<rVPzGt221r-1KOO;6t` ztoSc*kgHxjL*lm3;mDU?S$f3<)@+h?yv)oQRvpjqaFVFgmM1?>8oPsA1%?NsWScH+ z7hdx@x@nWY5V#-5yY11B=ASI0<*GMcFf^S~ZSwU~f}B>UwBlcElWLywil5R=OXqPY z#1yeyoOJvDJj+R*XO3@qmFKzPjvmX`@9N+iXZ=;c)8VsOR@byIc)6Bk_1{?wemrIY z-?Tett>K)lX6hPyg%4U)Hf?ejT2t->b=ses#_nv|kXAu72dGsr*`0HhAE#J!KI5{` zTH_|wX#!VjxDN)k3t#zXaL_1S=*r#+2|LXk|IX$N`=RQ1*qtNnS31MPNy;75Y~s{= zGuLj?biB-SFe_h8BTgmau``S6d4-7A-YiSkt3*6kYufZ(XpOm&252>Ac7F52kQ(KK zZrvPO`#TdRJ9Dh6n~<>aG84!2H3ExNuS*-d3r!aWFX1m2zVeUxpwW4OE3w7VKG{2a zIbZ3kL@2sn_>j;v)z0C<50R$OeI6IS1T|f)a|rn3*JN7fA5i49VOs9?svY1M^~)C# zQH)5BZrW50Sp<>HX|_Tr<PXz9x9I{Q|LhK`l?#OYl|7i`FBlS^thnB2qoU(klY>FK zg|F1HA2d2HaK(0J!p>r5klPN;T~+__%)~?AIi&9SZMZaH!N<ugtN%=2@RIFz@t<4Q zSdLB?1h)s=h1YyFY`Rq1RH~<>Q7wD0YP;Z+`Uwfm>Kt1C+7lKZQQG%JV3F$d?Oa^~ zIh(j0uWlFADHC!0+ApM2$me)=y`WC1uH##|gGT%US8C@Z>=fsGb^c~!c;t<y1rPlo zt$~Rwt3x<u&GX%GE1bC_u88BIpXmOyXC9B$SybPvN4(Z&SsE_5W^)|dWv$st5&4{s zKmT%=S<G4R$eqK?YVv|l;T&d}!XbYR4<<1;y{cD>c*NQ?YyCaJ!;x8WkQlvluke{i zT71(k*QTd^>MQEmAj@r34|>@vWz^^&)T&p?_$6~N>*LWGVIOz0WWR9;D3Wj5de1kY z%JQJqa=|Hk8xr=O1_#<A)#tm7-Py{)H(JZ|%u7^cf4X&Ag8Z|DO@`c-EAq}Ck~sn@ zC%=}1Zoe@C9Zb;GYUwfeyc6H8`=G9``KAfY@8;^9am-WYwtV3a>Z`4u_UPG@gdKw1 z#R0eUG!BJlD7vh_aIoV1%zmpCdnUKa_<;IjS@S^$LxX0>-MT@`>=P3CqV^{!vcCi! zc6lyX=ZV7VxuAPnwu5?W`G*h5@RWhBlwks`foN-$nPPwNkc`i{R7Liy`Je^%ubY)> zc5o?H?cjT5KmX^7<|EEJPZs<%7kj24dRsDFll?8|N|u?dpffZ<`xazRIPv{*2h|k) zn<q3U&IJuAG`Gt1tOpG#*e5HpUuFj_>5K<0=~NYaw&3Hf*oy6ZlRkrX{F`YUYR^`5 z;lJ+qt6^rp)eD)UPJEj{2Vw68RdfGdgPI6Yp!FJ>+{Fe}4XrX$j_aH`_ylwrZmq9a zcqU&`^ri{Tzs`Z~?X4Fpx&S)5ae~#vR+%mKpzCjD={#9b4Vus2ZK`poeYL=%_SXyQ z|DSP}6_HC;WIqgAESUK>ws2~Zf!{_0_NhyCo+Rv&=PnMgZfcb|;-8SnCzZZ&Lh}<Y z&~iyrZcC5*hYrb<uz?mpXmA%_sBUbPd2&6r4RrHSrOuNDJ3yE9yp<Mtcz7$=rkWdP z++}@0*92Xa0__6<U4OGaDUnaeeDj3nXSJZC9t1&0J<MyhJh5i8^tvFvU7+(RV%l0| zzI+E=+1$QqLi58Sv1bcPKs!R>4;+#SS(l*5ep!#ZIAFIr%ts1#vwp5<J_cG0yYt&S z&>`iwT0k2YKtnX3H74H##GWk(2W?pij-1ha@F!?%g(P>e!LEs|GE?3sCGx3hN1kx} znhDwj@=R`rrR56Haj4*Nq&wZMmL9S)a39s)IpZ#y0$Pu87nIuWECr3wFmo4g_$B@) zt!@v`xo_W>ftETHo|wZbd;EUgeqY(#)xBGaj=Sypq!^yNqV!azY2a#4E-qiIg^s5t zPY869tDG>gsd<V>%(l5vT0bX5N$=cqa%a|~En@3(9-cA|nPaOrXKU;3FIg{tSKHnH zaq;ixL+$s!|NdK`|Nivh!pZFUu?C;7WuJ83Y=7+*=-3ATGjnHF-kyDhVRk>)gNECE zd3w8|7pJW^U$FUm_2#_{>;DTk@M)XfHLL!3XU;O{DG7+}m~6i6N!RXgH=Hf@+59Y% z)tWW1{l53!!MALJx0JKzpI`R0`u&MzSFgd2Nw7Ev+EhJ3Q@kYN^-a(Q_Y?e^GQT#; zr(LdchixgZv~-6Z$Z+>BX#aLo^`^{PFVG&-Sg4wNc5~pnYe8ou{QptCIrHO5hLVV% zIWQ%&gU?PAGGq{B3=>%hIkrLluf^<X^LZWGLw_?Hyk<yajrjO*(eF)~4IPXTfh~O5 zx_x{z{rqbcW{1t^cWA%b&I~;v;^d|IDX`-fuEmPU8oga}Z;tP4BL>;9Gj}JYLH7H` z!ME;%Pi^?$G(Yw7qt}ctHWYnqhHh!S_Y%G_7QAJ8m;L!=Pq#B)NW8kKeG_Cm^x<Oo zcHiu|;<83_>oTLw*6suCWDd8P1Kq<NGX>`Pi0_X;d$?Z(nyt;}cW4()x0wV{lfV8n zD4@Z6=`WO=*iwI2e^X{*JZOXa`{^*}Oht80-o1&wrxO{h*6jLm5w<0G7Cc6y{(_>b z@A#(7uMrGZYvQ&W&t{rY%Ak$1CHNP}HUHE%W&RBTMc1kIn<3tM%L}&(yhC~W+f&Pq z7Bjxsu<8G8h*cHu(GrjK{4>j*YJ;`}|9uBh@}r;_vA<mxw0~Q^Zocp8>&zDtzqT!h z2H<4G-u2gcpz|Z<-Jk6Hdhve??iU*#&10x?Vg#Lxv1hL~D2;*lF*{yXy!@|m`R2^R zH}`U)@;ITVA5>R^V<P1gtE?I55R$6L=WlMYc{npCDwiLsr2RK|7c)r7wJJ@k6f4_j z`Zu@y@?fx9b59g@Tmv8c=!|Q&Q;x1FzVrF!mUp1t|MslVE%0w=il-rVrZ+EhexLdO zi1_Brk268(Ifwz8z@j(k&t~e70K2*Hvf|~7r}n2^{saodR{_v8Z)dab+%g6wHc+&$ z*{T9^QE|Q5+I<oYe5=#{O@kO@XQ>JId!8UDF;}Y2z825x&@Rf&4?Sn$_VTAF2NJ~p z{+<(6W6U5MruWkwa@xS2?N)GOz|Ki+-<0`tE<;H~=pR_p*rNbfa;+5<Vb|kMFT463 z6k(a|(4;Xr4<4y^y%#$#o16WAs_$!g&;bCO<6-BItUrO8njf3Y7UKsU9>M*8E+oOf zkDUw46yW{#8ILFCME%oZkPVBq+WcW{|H1#?|8HSDV<o=k$A?{rM19@8-DmmtTWi=4 ztX2m(OLQK@xcPVB1x&>EX)hekSVcciyZj3jBNwf;ASwUeK4ZA|z=^0Yc~j=cA_l89 zd+Oq#fmB`(_ub=V&dV0x1r>?epp#>!{e))2huaX&;RiYAaqG=3pUTfLS*_V;-0*J^ z=wt%X`ESm^LSW7BijossHrEH5t-Zg~VzwAR>?nn|w%|-=^t6c&d`yCTUAUR<G`ZQn zr{N{pz0U|GpndmeEB(!M{rMc)L;KmGsqru~SPA%0g1ECuX0cmTW{16HD2dps4n6*0 z{vLQy7g0Xz=$g$rnPzLxb2_w(>cgE<c^WlI@BjO+>wD_uM~gs7It!Mht>3lea494) zz)R@m`sbHD6=%ATcolZmg8aN6|KMt(PA_&|cDdO9)UvD7L9w+*>8w>lBx6|3CL?%E zyjuk-gC6mxUH&BvI@RK?I_!{*bhI@6ePK@29f1Zu?dkOv&=`%LjFO06oSJ%b%O22~ z18bwELK24jy0h?zDD^BkQBrkQ^X8Ua+6=N`^GmZJv0hUY36J%=ASciLDt~s_SAWnM z5<lfnF9UhMYy0|BFoSYHCG`G>Uvi>sgc|s?^(~d5&e?4S_ZB#d-JP2g^-m3yrt8w6 zR#ha!ty(*Kk@K?4XXVc?yLuUPro}3MXl_=Q1{Y<ZI_`B|7w8C*_2-s-?FNNTbUG|_ zLgD6sPqc`Ao^tt70w^uNyaOqIEAq49O2BD(@BCBCo=#*aiP-w`GAu14S_x78paW5U zUrV{1_3tO>RHoIi!nbCVwfnt4Y4`u{|HtiHzJ3nqJn!tDJE@}W_y1e2-{redM46*Z zhg)Rk7Oh;ZG6QC_gp*!@t0Xj2IFnh76cd7eAKbVuNcf^@j1Z@U-s}w-Yd$+3)lgAh z$7XO!iLpnq;^i@&6R8IZ)=m+0FSuDgFS)MsbL!^vHv8{apYtrs_J410qFXDbcjNE1 z{w<e-R`o_44qi2F2Ji8I+jJQBR0lCyPkXbyhe1Lz`91VJ@BGsf@4?P|Hv1piyClq? zef2N?RiKNJ_rO+2+ALTf@%hB@uX2CWKr1AdLRMa}&%X{?d3EE)RVmNS`>!5c^(!8H z;_fBk)4L5|ceT43<h=T!{#EYlX5@pw%V6vN9;x*%IconZA@p}9XzA5l=lPea84P3& zyx3>Zm2l(EvGgx;Z$EQ&R5L(td4FHy3tiTB+5UouRQ#2Lt3WqD2W0L2{^hG20}s2w zuItyPaJ2FI*ZRG`xOWHAqOE&Zp=&E=|DWj=^tw`Z&8y}0C$=$aY(3ZsT|afQsxu*? z`1j|k{(tL`7HzSc!<_p@u6N1J>!ll3?R&+#m%Sk<d(Il@>M2Rk*{sjKtNMRUMOtHq zbmF;U?~<eCdvuyZ-<pCC<6c|53%UX-QRLu;o!)#WHs9N~479Qmx+wG9dDv31zu~=0 zJ}UpyY!1C!1zxoEdM<PU)cUs<!gGEcZT_^TJYTONof)>^>%AOwmCjlF#S^bsy)y;f z)gA*rKb#GAe)vi5ucF!S{!X`f{kImh@(Q+w&t?g9<<-V;(@AOmRk3WVUqRQA9!rG= z)J)L%?(1YvZI0W&8Oh1V>Y-l(7U{K3sFH$V$I?=1waWvYQL^MORHZvHFVTi?YF zB=Eu3w_S!Mr!R?_p;5m+<Ve}CW>5<K?gTno`@J;O;#;A=x_Ij|P8Yi@`CY)s^NgVf zvbuP0I4rQ@i}$*}-h){1^&Yw$RDJ#R@HLg!Z-0^d`xg{L&_$i{H*Uk0ZQZEqU2?OV zTOsJGHE6-tv0SK~V9&mjzFd7}zAn;&uZ>l$(AA)wx>BCqpi|4Yt_LllDy@Sqsf(9z zHOP54acWinw}VK@$@;ym3*@f$8()r_Oggq66i9nP>qxKthOUa+Tef{)(&5(7s{VEI zYKT=^d%wby@D#V8*Rw#rynThEA+h;BbS0kp`|IIre%^Ul)&K8R`72unm@jjnx7pvg z;VR|1*<9RV$*TXLV}-9(gg{rW8iP)C&whWY`pR{=g@|QaH<m$DL+5GJNn+nc9hPL> z2Q8C*Z38_99PCLw`K8}8@--gR|F?H*@Hn;rwBUQLKkQ)bU#F!!AD6#M2>o6M%H(^+ zp{E3I75^$KEw|6@{l#3QHF$f<Cqh?>J=?!>;+0+D?5ltEgG;18pwr|*3+XJcgs-VQ z6Sdd9J{q}1nhvw@4O{P$o9(3=R{d)MojiW5EBT4PEo2#KkCKGh*MG@h<-Qgpufcl* z3!fuzr98WTmu^_~cM(YIxrxVLLe_$U{rPT6X;pvOYNS%-+;-SPy1&A`OFpKTZdhd< z0$PA-{f`B@0Cj7rYE$B2WB)7FCF_w_43;;;{8_(p;uWhE&7prqOK+MnEH%5a0$Qrv z2~m=`_UBC1UiW%`kWZm&>6>Az)6Kp$xLsP4KjGl2U%MekfIl{Yt+dr>N(`R=yTRu5 z*O$mgfS1FM05|Mia`dYFl!L3j?SiZ@zlj{B@3^I(M&{p-U^vePI}$z}cE<YU`s*H2 z@w+|QR_~e(S<aci98#F=E!(#*>G1lTY3X}^?*&=A6nX=L+y!WD@yA=rb1kp@q=T#e zWr7RB+=5Ps-r7$=wMS>&|F^;B^<Qhy?G01Xc)WNI6xmhyK+F8({0x<|X4_6Ag#LX4 zaq<mNT0EXmG9Og_zS_O~#OApFp^P@tuteCa4l5Tneo{VN{2?dm4(I^!+I^sv(3?Sr zf%hGR)-~T}SM`5;&y94WL1iS=-plTDSACbT2Q7vE9t19rpbOip-&)lkZL|No;@;xl z%RyNjb}_*{xOX|DzsjuzFNx0sFNqg}#?O<rzq(B8Yj@pS{67U0wXkYc`}~Bbkh8~= zXPZt+Td&dJ5&9ogRjj!PJ?sBGI1N8A+w1;!D#%XgQfO)S`76IOT$sq<l9ROB?b4%p zOdTO_UxP}Axx1kmj(agj+u6UBPVX<)A+NqZ_uFnAROc^YDbL53Sp`<^+74RCT=gAV zu4M1O7@qUvO4(lb?-MqsinGI3+HQvh(P!}tm92T8lI%T5BU?YL*!>S`JseQ~D))Es z`HR&I8fO{6ae4#1zV}!C{Qpc+dp#F|=7zF2M1wcN#&bAsId)1_BZ(oqS;<XMTCdfi zu`S5!l&QnYhsy*nvS~{Qszx+2C^?EKM@fqq7V&mSxT+{!Q&4&+=VGGdq@^Snc<88> z*&Ex>DRcRspZW8w^81;n_rE_r*;SnG68E!n`L=)m=Ytl4ybA>_1i7cZ?4R;v4F+u+ z=L-S5*Z=m3m|~`!u}AixRxxLno?67)il#@YO{VA6HFkC-td-{QTCW!I{2}KSvx?GN z3{8<!YO7v(=x<_Q7Fs9V#G57@a%XD7Vpo=_{i+d9LYh`R7t+}_X+c$YLg#JHEIXGC zSAto#UKi3aHa?uWdo#zh+cOvZb7~Uh66TmD<Fw<+!%r)fmlktK#d~cywUxy+Upe9} zW7DH-j%yV&7o_C)`(&@p<pk}<PiJ(zxs>JgT%Uk{I!(N<SVY&W-FOk!bn3gH&Zj5P zRcKemm-ih>d()a3dVf|z=Uk4gG|p*JP65@D2aU8jUd1_WxHFaIYrbN{L%F85e&IDH zY8iVz+VG@L-7Y9{cVfcUTFx$c&ke_pt3eK4I%&MTZ_7TXfL)#&&YfjReXkbr-mvM@ zf3+J4i}xC??aEiZq2U@(ncw94i6zwDYr_pmmaF@HHXIRUF|GI6a3z*yD?7_+8`$B~ z<%eqx);_)G6j0CJB>GJ$qeA1Lm%L&|jnzRd)22n!h1YyjZhBNMwB{pw)1>o4Yrf8^ zHJo!PT42p)TgRI`2dmhHr~EfK$hO>9A)<)m;iT^PSyo27dKP@*=M>x7yx`S)PBCf0 zknKNbToalte5FPmJi!s?v*8RUOX+Er)3p;9{L^X@t#i0gV0zGNzjDSOlY?5XQ?K)i z>qIiPc=zR5X)<kynzZ0Y9!s{2N5ChGrmcRe8nto<t+YA8=M%kUdHS10+Qv2D<9-(D zvv>H*SAVkR5WCsB;1wg}+_T9n-XZFsU`tq@RhRtA<CRs@t?hz3pA{VMnzEe!+rFSK zhjH1~L$^7l&M8J@);AsV<_!DE;&^d0XV`Bm$B)?@*Io!r*)u<3tvaXIewPjBdj9?d z9VkBMZdwpa!rr+Yr{247I4IQAr3ya%40P~AxM0X%$%9GXg+l&w9~9CTxKeZ1DK1sZ zF`(MLDfOA~728P(JNt#N*iTMqUGJw*bCQw8`sxPD(j{Mln~s$WulbbTwCKF>ns2w5 z4c0!27F_c&u4&S5fi+XrHEM+pTBQq2**ha)uQtc2|1Am4yE(M}btWu+&Y|Tlo@mjX z13A1trs%6}uzS*Hj#ct*8;*3cnA&@9xZ=vPRbM?~nQy>%zow`EJOchlHi?>92wcwE z!Ftf^y;{Z`#fYZ@O^aNcuG%>V{BdeB-De9q7sBtJN$HYOcJKjcl7drW?b|cgZnt#& zSuU8f!P@a?Gl!W~<AP7iImLE1EXZn3*eK1p>VH>4r#na1zqtvTy2lE#^1U{kDT8h< zFE5{MHR-hA75lz~R)0YgoBo8Q`GO|<<|Ryx7ZRzRk+5~Yph&+=OXk{-YE6?ib6&gE zy5NgaQ)!=~259aywCU4*l^YLsL05`?6c2WH;};6~r+rW<n{(B^j|qM9vt0vheK#C& zYMLdl5|L%;_;xerw4GBHyaPq{oCW{>vS{;gO6_yma7mi)V1ZP=vjO{5exI&{*IWvB zb~V3fTG?;4LiVf^-y`+S2JF518zwZT%x$&Ihy^W0*uKeteQq`A2nkNHXA8bFaa*3) z|1aRq97_q6h!c(<LEBj~?6@s8<c}VbahbMpLh~!|9h9IAivK`+8w*dvm++mvcg0<H z320aATacPF$J#;nRPGk#E}l>=&u#hQ{ozA0B7F&p?1v{u%xDg3ZI$T(ogbxjKUtCe z;%v|aPCjS?#}G7uqc2u8;m(VKJ98{Ea!)$(UD6bLw!j#11K76@EBmcp%mp1LF+Vwx zk4+qO40d_sjOM`Etuj;U4<C|oVFRsO^#{#3B+7+rvVS$-Y{1?r8*#$17$jJ#!EKoV zTA6X?C1?Ui5wu)}kK6JE=vpn2I$PNKii006g4S971x+?xw`U5^<kKnyoz*?B)pEt# zgHC*p*g+x651PRNEfj&=Dc1wKQ|^Ql-=<hlh;ndSp0Ec^h?S`1`SEql-e|zS7_^tQ zQjfb>AkqeQK-GdO&{bncPU$>ZP-n+oJR#z!6W=WJ4F>Ehuj)Km@E5fI7PMTYMA`kJ z)>+3qVX>kM^UofV5h+VmWIqhLo9tzTSdoEUYpdmoIj5cY7HLP$XpZV`l{u21p2#P~ z585#bz5#SXP1dW$d{NnvCmgGu3B_$|J_kCh`|iwE%NM#SitIl@Gj<W@ocOw)Z!lnA z3_fct3bw@7?!Y0LBcR2XzfS9%aa1ZZ$@AlzbsMyS*%EYqS3|4i37xsH#jqD_L8p}! zfo8YrKofQ`XPx-kK&fr%Z_qLnP-^=Gx})t}MAvE)_N{9{`+`AhP9~-*vY!O4TgjH> zw)9w^kjQ5>eZz$2H=s4G@5RK5ChTu-wUn^2gGG^Jq0QHerT-t8e|=qlaFUlDsG_gE za;sGGc;TNIyA5I8K9f_mqSS5~UGmW}{xtCn&t_Aj;Ae9Vr$3wXXii_M<V;Pwl$4th zvnHk{*(IhW%?!-$J;O6Ub7o@N#F<HHnU~&|pPT*q-{XDfE9ZXyUVg5$c7Of7qaOt~ zE9w6~5`6pLdw-Sn9!q|JE-T-3@O0YZneO|9SQmUUW9fe56;N8=bd*ndMV-<?A#KjA zIIj&MlNWr;1>Z)xdtSoMbk0}jH4-eeb6hVNxNf+#kEQpy;F_X8EK$<_VJjF8iMoaR z$gYg_3HX!MWSXa{v2$9&T1Lm0=^WQ?rr0eDE%R>rTBfG4-~1q3F{hTC_lAo_O{Ywo zT-PbBsNz1Dl+Cfq-f6>;%g~DdnVWlJl=oS+6}IgOGkF~EI&)5ob_uALJjf+3U=riI z;gT;)?{1dWHZB1rE*oa)9w_L_cieEyk45#nQp9V4rc2zM*EAsqXilGFTmQ-<U)1rZ zG>6%a*$W;qvq;;z22?gLHeBm!roLj9N<@x-(<^z!h$KeGvzIxhS@kdYCdzU;#y{Y@ zUenWGEMMoVL_FLNb=SjX+?I7O*Ru3}SBQ9en8o$E@R~9$$B$YErQ%gJKr3JE)ii45 z4_f&POsSoiu=j?cgx}BY0^m}bUofYH#qnt{hnQtK?C6E?Wqn81sjj%E81X2sX;!^b z#4FaOTbsoaqfY0z2b7yPJ+<=)_+QW@>IK=UaGIrey<)^ucNW+8iV<)7S&q(Ek9d6b zXm}epxV4m$6vUFSHkQ*%-eJSJM3z*4rHFi0$DjIwITut`{BUmSy(Sp)kNKd|dBKp{ zs~h*6x^a!=>V3}*N9tHiKeKqxbqJ_tJ!mu?vI(PX)w`>~?nU+rEA~%IXuU6NQqz{O z^hM!}u*bTbVU_HT7i&4fep@(xe9UppqI<y;SC;Hp*MMEV8_r2JZR!_V^WDVpXK(-U z0<#&=Dok%*?W+?S&H+D@S-M3ySIzU>aHEyw>VC%!N6xaC*86R^a+qbSJIm>u3Z`YD z<=jnA@3{rk>o<wod0i+_Jm{4!U}85vVJhhManRnCi_**cuGF~&{BdkD{pS@Bq@EO& zo$D7+<=#~KO+{n2azs9(<Il^SW)}Sm9$n`&+cj^&Cx1?{o%0vGisumPp40L$q~7+R zkTvwEs@d;nT1~Q=vEW-xQ|dIKD{F;xzMD4vx-PipgK$&ZcfmDZ(wmOy3$6LIYqDis zNp;iJODsqEl_DN{K-NG`hpc&pouT>d+)CxW)tsmJIn62>7d*=Lo^j3XwqQt|`9URi zp^(2K2a~!vSJk&AbXqx{eJ`L>%I^5Knqyk!oCWVbvz*?WX4n>N@3`U6ZfGm>F!Qp$ zDR!P0eyB8s+W7{2F>1QH&o|&tS(9lMi>kbG#B0l@OUC{Ow_Lk9Yrz{mme+6H1O5rK zNY8Ny*yyz3qAJVO{jM8M<U;HH@Oslym2LAD{IchqHe(~p<yAk`nwAE!Z2hhnktXMO z_c6yb>)8wbxw2^2OkR*UJ7H<OkV)N?gsJrcB6pvJ@T6}w7ZSNYGohCs8f=q`m-lVy zVp%#}culdQ<4tYOYrAJIfZbzqpVRDCf#$MMOTP^#WSUmJSC4oT&?IxEKQWO{=rri8 zscz6&Q>LH;Do-4eN!c}7X@?i9K-|*icg>*955J|kEnmDgiD|af*wff5!&9D;$mjJw zS&{v*40myW<*Zg27f_l0mK${H5a>$nn)#s1GSd?IqP}mQ(EKG>=ZvFzkyV}_-zHEE zwii^9{@V*$JMjRt<`J^I(%rZA%E1gxZcB~&d99WmF$bOaR_TIvj)-$xuJ{jHTxoOC ziEq~b#6-TJ+0!a-^4+?<*?@hfZNv%3?+Rki6v7h}+5duy{)fdnPZBCDxr+mS$#Gjs z+&_6pCZrCu`C&D~q4w7bzm8mSm%Z{2)S}sF3|e*a5wzFg4ya87S}AhL9dw}LQP3I+ z(D{+!>5A+>--C{vvIezi%t6;>7J`<5igOnW%-qUjuFifn7j&<7p3aj6^HLM}gur)# zfNRSOHlRu@=sD;pP0;Zl&p`WJ_Rej!Jn?r{tIQYq<U~HMWYBFHm1^rQ$xPV>Iz}Qk zVn*|+e>zVTsw6<`JSMiv906_Q=mpiKn@%2**^-y6$o{fa=Zs_eUr^f#d@{wG&7kcM zvp33wYqI}b3tC{=)hZ(b+MwYE+Mw~X0<;pesZ}Nf)M82s-#nrD*ILku6kTr13_j42 z9H0wc_nwU0VOc!k=A>4c63_;mqUy~Pn*YU!JzG%l24oX>W$NLlphK`$fsV%lRjE(K z#EJxBK^LXSB`UIiTn<_a>X!ak>#2ez=yq4o{T(ks%WW;Ew92G_Za&|es`Eslo{_uQ zAmXeOUza(kJ)_5MsR6nY*Troz?5d7c+d!?Qq-fB|9DX2|>WdX!0Br{H05vn-8i8(| z1>KSgI`CtsH0Z2P@UmLaAuM)B56Mh1Tk+u8lY~8>y*ZwnCNzitJDC6Kx_6`Ulxd&} z9)2~~vWlK*s>^gu_-CZ9m>H2aW#$a^Q$9w~H;hh#_GwBM`xVNy`I(o@PL6b2tvXF| zCcBC8sho_{8pbD;PlMGNfBe21v~{xrax+)#`P%yZ^>!EkYDK%n{R+RG_xt~U@srv@ zo%@nhyIssGPOd3CqQd3y@g@u8h|2XcoC-FR=Gd1m+1j(<3opy-JFWrS{5RYSW_cQ~ zawFl`oaxWG>-!&_W08LA9q`kj$+b^;MU~aTq|l~U-W=0*%v<nmE{nIVLqN6eK_h)g zMbZBH*tw%yrrn;n;GZ4%h{^)7gI>#pOy;?7I2Fp`8ZNlznxe+OwuD}FPOo+95!t7A zO8C8$<+ujAaOxn-YgzAreJ&dg-hp-}3O`<%c+sor)OJCgB74WH$2rBS=Pmg4?0I68 zw+ctrJJ$_Y99g#ZD@Hs^Y1&oHF>Uvx1$C1XTBA9n)~Q9j3~V~~nj`FH$-UEuS-ry; z9!^?ptnSJXcDs4Ok4Bd4C!AjMTsB<0&f%r667k%s34D6DzT;1O;hYaLjz?eo+;i&U zHkPUP{WhFnWC^u*+W_99;I-jMD!A_75Nx{NuvF!$+KO8KgGT(qS8Asv?CjM$u;o?0 z^M*sWIi%7!!hUHuJ{IN(`^n{ak(Fh2?c4=Fd|9&pG%a}I%#z*9;guh8wBXv!Drn{Z zCNJ2%>bLNe{~VA*Dvb`hi3^A13Fs6lJ6@eHtn*F5@#}s;orT<nYiF%jj>rmcy7gO7 zXR`7N>)8oA^#!lY_up{H6zsGePag6HyL;IyXWUVbc)Fg&Rr#~w+N*Ki0X5=HruSR} zJ{2@=?NipMWjkmU+O)}=^V;rt3;y_XnpHF`coffJR@J!RQ?}ltEfeQL535?Y401;0 zKg}jpKjjsbb_avPg|Ec9Za5<gzSYfKWySt^39aGrJ(;F=8WWb53z^u>NSNv`Bx2i| zu=O)X*LBWoKh8n+Us_iFzB2LJUzVlw6(XKjHf@TIY06wXT|whNUlT8%(uyCPO}&0< zD{Ax(Ds^+Ls+*m#F`9GLcaCWlJqw=g=a_cuO%TuWZ$3?_-{wF!)K)*ZGV#uF&R6qY zHaN8|c<9tLb)V~nA8AdYb-n>#1e>n@^9-n&%sS(m)NR2jK7wmX8600O=DcP(also) zme;Xv0sm&RNN)iTMiiVp8t*GBY3md4Q=X+eCkDEI^DZZN|K?(jEHTb$R<jp;V`@rW zr@EqA_+Zg~l@-v1zdIhLN}Tg5Y&y1EaLuQCoGg&k=I$rEvUb{nACWBCF-`$RtOuja zg{Hg{TJu@K@n$;5waZUug#ElOoU=jO@#uGfoGHrSQ@c;Ggz76r<XAd>eJ`w2_#F~z zJAeLOsl0MIXO_EIQq<`j*MM^Irl<FO!EHf1-wPjjnx^WhWbB!eFjdU);%<(x-?EM$ zmvewm?UoELxOL5sW$Aa-2*eqctt*xHUgkIz@37(ERTk0x?i()3vP`Y_-f$wSY1Mzl z2%R$3Wubr1vUtC74*2ZM;(b<WMJ?Asqt6_#u5nKLt>^gnHfPw6vk<3M{y1`F;-&qZ zQu!M>E=Q$SH!Z3bUNcQqqfY0bRJ@9Y<@5x;sBX}Te9$4sJEykFq`U{+s@1=7Lh~Qc za=@Hz64q0jAI%1xOgT^I$$~$Qp#IvKB^>wKnK<sZH@^~JX7@_Lti4s{3aB?F<O|x3 z!3o;EdDe;V7U+!WnWdnGmY%ZVnS4e0pbM)+K&MWDiekC5hh(PMf%fo$x`R_eCt-iF z5_`7bTe4V@!2ap2mKi+oV;nu~%)bV*ukBrbDD;$LRWxY(fHk+}iGA~1EnmnUb>jO3 z?y0A5p3t1s+iEEhd)kTb6zF;_rSBUiG{2f3F{Am$X3(8lt5z2lKU3Jq%WavlZ)&Th zM{2|g$KM`e&lHLefhsX@b@?t5)Tr4#rB&ujIOr5j`Arj=6Q_fYrkn`62r4m=Piq@X zxF-9>wUINLZ$*P{)v5;#D<p~)O|Y5QYMC)L@`U5BB#;~Dw92H^A2=lQ1$5}=PkYc& zQ_JDo5j65Ph=gbIxq+_PDw@=4+3^N+XBDV@vGFFTr>@3bJYmLpC%##rO|VyZLC0c= za~Dr|xp_kKJ5ZD9ohzuHzOkqL^@0t?+?EpWKu2!#B`dN&W&$<4YJ*t#!@*alZ?OX1 zr=|+Js@xWImi6RT85McZB3n>L{THj)vjxJt*+82&Wg}-a-?IZ%!5rMh1~ZO2@pUZ+ z?H2*94y_Rd4JjOT;#(yP8uw^xmANuMC6Q0)HQYZLc{^p`XM^9<Ipg>&0kj(fbn-Un z+V*<Tvd@S!PJC^k;e$)Lpqt7;i&ZN@+i&KBwwKN}FDNb&0PV2p-5YVj@sk2*-6{|0 zT*@<tWJ;dFj}Si*XZbadeXknmrt(9FWO%|hPiTI$7<5k==v3?cU7*H+l5yk-$5PPA z)ny9YmMcJGM$`Wv$bWVGKf^PQC$6AXp75m&`iVYE6j^+xsIL3U{FwD9OMyzaU`OJ? zB_=L8yv%x2UtMt9pzt=s?N)%d*h)vQCraMdNv)pTS-~xhI!tj1i&wDtOxeJjoOGgM zrTm@;8na()dvA06&!d#dzVmA9_J5x<`E1>-i|%@H6Q|kV&bwFgBswZvv-jAV(4|}c zOkHzL>(Z;I@^XwTtLqtg^%tzN2c46YEd!c~P0op~dvHSA&b%)nLOr&qB%<NEKj>Ja zgcR_^(!B`yh0M|>li2QGKDg>y|5Xl$m0SNqC*a~`+zoR6?eP98x7HYG6+(VIY*zH< zY?Dc9^RFCSb&orXWx<tS|6%8D^n4MGuDTty*Zut+&>RhHUMLzmfg8QUS2^=px>ba! z%@WWR$5ZSpOJFBxolW*)IlS!E^q016tC1E>^yfk6lp@~R^)7jrU$SA<KXuT2YOnCD zD#$Td&yNZ6yq;6pdT;SP9pstnSlB$)Z<9%E`>!8db<G2Gy3X&n@YNO;eF-=IREEE@ zjS3eRK+OLB+f|mrAj|1+Bu)OZ$E-iA`NF>TMXG}iy7~1UIveFbCrn9VTgk`Js{VV= zKwgDTNB#Z|TQu=dUCPt=|HXr=9&)}0T}_?)MG-OwR{i;n(7_EWPus8lE|CX1E(y98 zpk|9d)WKWDO(*Tj&pVkATDu8+f|jWs^uqS@y~+~TZf<a#_x0CX(CP&x$ULL@FK7VI zt_xMp{BW(;DB`NsTCmow_OR(qP^8wKe*Ppf|1D_IgaGuy_P@c<)eC3;MJi{0x&k_U ztGo=d;36J+#r*t;<?C-;3b)fgUzJ}EnrFP!;|My3=(j%XFrkO>Ql7@?Ig<{qddLcy z-z@2c%x~_kT)QtRc=x*L>9seIFLZtp3bprY-`_(QEi24Q&+CFN{{_v=UwZ+aM*~Og zo11ICudt71Xtr$dU<=4%nD+TtB2=&M{2i|E4I@C;m~Zt19jmg>6*95E4;;15@mH$1 z#DUI^frjy(zxhy|XZJn!uIfLT#^|!@-d51UhktXS3m-angA(zc#ou4>f)YP;>h7NX zx6_+q*uWEw4{N17jl;zqmPnO>CK?y#uZA2JHoN+(=<KSz)%TJsc7P_!FF{smEEeAW z5^@aIYTrziQt&c_`cRN-Efzp$yrta@)_i_F`-|LHbC8|TWk30AKqpjzt^DQGyX4?E zrjC%cpjpktxALIrMnj3a;>QJfX8*s-x7U4j+2%Ko7@*1U_Iud6k~iumlhpD-r+wXC z4O)=$KL!#&b|3fbOY*LtdA0hB-!9kpj0;SdHB1>!SJrz$6CwBfYaX+1#xhLIs&D|^ zSp91)G(LZB+LsjUz5SJKn>O-Vi`W&=B@?&QdY8QW2fA~8IVf~`uS2I{iyw2emEJ3v zeQ$AH732TkzYW=3jKJq-<*$J)L-^7w<=MQCFKjF1fW3dSAy(EF${gJAbNic1)mzSK zBIWSfsjz&Sf7N4FO&I&?D*e@h4MDG$Tf+{}@()pMO7wpJr|sV2@<7mpGjuJ%UtegB ziQW;docT;uc8%1&WuPLd<j!1Zu`*j5R3O<EY`>ShV;Lwqp^5KeFI4Ml+XWM^$i7Gj z{retN3QDQpU;LdR!J^?}ZJx}*4d>e5U#-4!9l2b&u@V|YFPo)2n-5z>TwV1n<u=2V zsNKkU^k4GsuX1Z&Bd3G=i=i5CE;pT|cK^b`Rrk6;XCN)TW*-cV&cd+Hgd3M`<-fG8 zibh)E@!oy`RBLN|hRWG1@1`GI_3$J#ht7ip@7~IN`;vm^-_$<;s~{aIce}GgR}q9& zyDG1&zEqyFVb#BNkQE3KuoVcPlw16_`O})~`y-JSO+1!_<}+V@(@AXF)t~}tHKah& zhaR=0-={2Lwm)~i&Fi)7+z)Otz*58iAZQBQ{QrW-ESuW>JYiqY>4T5u`wP39zO(mN zmu~Ib#L8>c{UXR$u6IFGgxH(i?$00GG%5CDbXoN<3$z9yqZ{hoo7ci~Ufp~D+P3Yy z$bketXv+VY0Z+gm*}ur$?dDPly1E`z?wXb%mquUsUfI6dk6fmFgjE;I{3~7F8%6{( zOkA}!5R|{~ErsN7NQKJY|H?M18?*`wdKTOEzlM=|%r86`l+1qT^)5O2l~rJ6RW4|y zMSVZSt+k6*ufK7rU+#MKmwy4EvmrAf1>nDr{x2X!O7xEfZkNuKvI?whvj%0i#qH4S zcD5Z<7$wHNH>}wUO1-ecD0tqLY6g&<VZT?n1(jzrx~%#o3n~DQ2}29OorNrit=i{Z zs=o61@}_QPSYfmWcC6Xu=@}}#WnvCXvP!RVG$g)#4zY3HpZ!(;|Nqoqw`p=0WH{tW zvE=cOA9rr;b(!q!wnB01X3oiz3Oy!I3cRIuJn)i-k*AcW^*QC0nYSf!vnI{3jY!r_ z)6Ld7J>jr|q^hrH+Y-&?nYT?QEty-l|8>>*zkfd3T>rZ3-u<0*-~ax$J0I`==m)E` zd)(huxqILKuXFj-<s$Td`y^MT*L$<F=9zXfZMag?bjzD#TBK{h_qwL1a_ZnQ?iYtj z&tIEmSlK7g^!!4>YEQw2sby*zd-xA(wF`;Z_9bjJ=IDxd*l;YVX;N;})_2Mpe<coD zWpkW57qKPpR>8D;(^#ICtK3j<4)~bR)Z3@JqDJbV(sRfh#60J#t-<a|+MKKIJ8w9$ zn8nnc#e403iOZ|@vK}<D7PxYEcEV0(&R6oz8xCo*@IDt@b44LzPgBCw-JD%^P8)6& zvm7mzY}<V78ML?mWX($DwR<_do^xEYoVDPMOw-qALR0p)Bs4!|5q+<6V}*afPsu~8 z>s6*DM1`8GM&zhDezoP8Hp4gIvqsZWKeZLHsu9l~K|8IxulvZJ{M)nO-(eQ*KT{Vx zJk6rL_hV6?{k?e!Q%xK%GINCe)^Yqend90IF~^hL9M^VrE%=hl^4i8Fpv+^#J#Ut$ z_b(hNFuRclIXx?;=Kht57yq$LwRhfdqLU?bzvqUCxeI<xW%0h_74UgFi?^+7z;D;4 zRI^=7msibJ0N+RTT{+_6Wfoq4g@~8ZEWP?F5l_3IZd<&1dEb>PmZS4kA|B6YQ8kb0 z%3S+Ztm)Ejfi;`;A%_ypTTs)Q0NP2buMXbB950~riN*11y@1ZPgHt8^zOLn*=HUxj z7BO3XmenMyo(10uSx(RK4EWB}^i)rI#eKDihtFAf<&`5|zGvx8*Ezf;tkT%=;#`if z-wKW&ojI;)2u-n>o3QpXr<cA`MEX;fCh+N5H>;QTeUVeu_;23Cdu>10<y8{uH!|3p zPRT1oJW*&`6|WHSCZy@reP!^Z(rb=suY|7DwIs}Z&iU$97Ek(4bHOX~T{j&14IPL$ zeCNu<OV2r_&MQVd-Ob{vuNv_-s_9X=;F^!o-~}I|JJ~L4?Ug)eWz2c%o#%#oIZdDD zE8lpK($sZd@y3g!rc=62uJ2|*M@W9nUa7osIp?bX?FpT}Q8N2~mNhLcQ(sZbaL|ag zX_va7&i7o%dETauhi`L){W5iYtawoCznX?rbl<}@-^`jG?G{|~5p)DMpJGhWrxPoc z*9vob&G+8mH+R7sqo%KUsv7^bn|S9bt@xqe)N8g&?DDETx(AhZ3x%8&(kWwc{JLHM zyq8s8NN4Mm1>droQsrQSH@}};nRsW$f`4t=25Uv{d0i+FZ<=c7bm2!qQ|LaQfG;^s zSL+-C_IPeM=F6fguMqK?v+0t)z?#hmOC|hn=5k!~76h+zX@^EAc%2JqOY3(|u}G(Y zuWn68->I*#Q;0~?bv%1Lu0K<EtEl7Kxg67Owl8?62wCXzl12Lphm@XD#7nlOW8a0= zd}@cKwd`emSK>AcUDn#CdQj@UlEzHMh}Q~DmyQdqDb{kl`I+Ndv_rtZswUoDETZ-P z8!moknfjKECw-zW$Etmk6E^PUTvb0Qp)(meZ*%t3m5Enunr=-O(%Iakz<w8WrS_fo zpkZUs5dVfaY3a$$57TtcIBK4B;yYHo$$)*T|Aq<8FD`=4jCif{M8OU;Sn+2{tBlI@ z%?9jiK~n*{&L5H~nKw`5;L>1*hf9km)TX|2mi=P3bE5uj$A7XqXAUNmgZ715a2FeB zZJ5yf33T?A$JADtkorT1WR9#$RAm1OI=Je{VVx%neu|4dQ}_znaC<!L;n|tZXF#`J z?Un{DE06%4YjN_BjL1GK&8F^b#uoAG2S40d$zK}q%aGerLLan;RaUG>pthsca>d`7 ztujaAlM?x)r0=(cYqFnw8!@B#+B%&l3VZFji!WF<waTP;>zr|XF9SLcOAs^)f69rk zYddJN9(2OePk+!!3`ac$V`5kZ;$qmZUccW1+QZ5zRuoXr&s{v>Mt`e}iT%+-GE4jt z6xrWyj-1harWJHsc}gN*5op)wKhO#35BqhVB>Vu4QB>W{+GA-c0ou|Va__7Y-=g1} z4A{5Ya9eiBpFbpHa&DH$!J}Il4t2j)u&jUOEV~7C6!#Y`(2?Wz+?FTo&K;8Za&6Ou z=9({Jd%TJbZh&SrKvNTLzd<3o5ad`3v7!Lm=2pv&*aJ>{ueu{=G`q}hl`#Pgas`0~ zxxPt>JyS4#e62KJZ^sTUg*`j?c18PvZoWG47PKu`5;VPGz-=iZ2O3-P1C6bKHjbWh z5-SpjJ$Xpx$~(}!2Iw-EV;!wBD%anCn0~=An;UeHcVnyNi#KPT_%^X`GGO1E9C5<& zp9ts<jr<@M@#{<+>z2xhNC(TmO4!l_+Qyoe$fwi~8mcPRIpgTF{bA@S$J%1CX9|Yh ztuiLxlM?xYEX9g0*v)FSJaHybk^L^{@Tzy9drRU$hnl=gQDi@C2fC`ftyQKc9yCHV z``)?zJ3z_7vE<nkkANA?x8y(x6*TPv+N3-Qv|4Aa7Pn=@cmBHI`~SG>W*UG_`Mw38 z{79RzG9zt9dqHH<w2M()k#4hkmr6#eJ?k_Q&XwHiV-#JXW}K|seMZPw*q?WEkB{(N z_A9xYEY;`DT<1E=^BLpjRJDI~*S|ii`&YT{b?M&y`=9T8e}DS(_3E|%d}q7F{p(u3 z?f?JnpmmJDAnO=Ur_FVptig~fF1%)Y*MdKfS)|t}uh?<(QFvX^hXCof4gr?F8&1@* zg!U^$<j6UGHRhORk<YZ2H%?Zs;lcNS&!<)@uaxG@TCWn3<`MASwdtwZUdhX=?3)u> zW5Em6UK%tVQ*8?MQ`U%5k9b?u^vGOb&Bmq$PokQ(#wlp*{irK(&Z-kyx@_LOtnW*j z@D%%&gyzQ_TJxMXT-?brRbMsYNmbJ-+oq$}1VZZPC3N=Y9o>?Z=f2^JPt&d60y@P8 zj(2ymoDSsx9enU`5@d?x(+ALnRH-bz;lgV^={R2G%|E;)>~`CNimrs-y_{ZhE*q|G zWLf%Lc+KX91#gbByxz)rN?-BD1Hq=Q|4KJ9n)TX(f1YLOeq+>_a1wN#$JfKb?n&%I zA^Ji(g+h*J<pp#~1s&gJb4=UWyx^TP%jv(97ySFRJvmDI2&dFLpADDVIi%zrHk=aY z?3(AX;nsJ~u6U0P$KFGWy_4^*OuWVnK9$J5JRvG|yGlg9debL)l^Y7~0Ux<pq~|&W z{H$i_j&TV1x}Bx_u2;ZcJMaZ3k~@VDM`m$1-Le+a*?b&Y$KL(Byzhyff588WCeeM~ z7e3T9O^ssd6&GGpr0;l9og?hGzT?NU(+mC_yUpSC&TqrDI+mr}EU(WfYgDTpta>gu z<v;I1Hgi8n<=_3?M^=)TQ|o_w!o(XvKC53vbBf*WUGVET$25yMkb6$%E-0IuFjJf3 z)jfv|ckZ%$y)LxoLw-}6wEp3Ouv_yMfbM+T1f5BD=bvj8^qb4^V=(8nABK)6Pjg(` zHE+R}qNdVo0#js#*KEHSJ0lFV?!#d6f=BM0W>zy6e45TFW;t`gt9}l#Y@v|<!Uu)Q zq1D*zem_}HTlawK!*a{Ep4rAy8Vo*)>7OHuc1`Dkhc!)8>pU*}NNNiG=Mhk%c`%E) z>Ct(CH6L$1mGC>c7P2}oj^(wC1vEmx%~+|tcQVJR>m1;-8s$06b~P{fbeN^v#y8-r zskXt|quZ2L{PSy4HDWQfcinL1BFk2Pm566DO}oT}biP+N{fcc81y$Hj1P-b4&!1%# zv}w2D+GEi|Yd-NcEvgm*UvuIvxF%3dqtfhPl(@i@eX|ngMsuFJ=fC0JWR|DbqdOnw zY+!Xfs&de+S|H>f%Rwb~KZS@*OdQi={vNwB@kS)e)q1}TM?zUl^VK3&`3L;wY)Xw& zTCw}5t&IKmvZi0Vh1Yy2Y-(d|n!3*qd;)BpTR@56!7Ot@k$Be)$L_<DT4}I*)W*;m zVc@yM-UV;=vAmY`3;37KB0a}1;Nx-@=~%~roz5Fhcr~r6SB-e%+VpDno$iM^hRq9} z{e~O?8_<;M1&z>h|G8F^esenhJ<SpJ!`SigQx@&NtqVTRNSJ#4yW!eJoK08nc?Z;( z9h91{s!=I(FiKr`irw^txoVC#UvpgB-M!#XGK;j0Ib^XWUzb4F`rZ7GXE$Hm2AbcH z1I>l=b6c)>2AZ{x5i1J#4>~e2;)E05to><;d_mVi2R8+YJyX~`t5v3CeqthD(Z$~% zpFLTyA9T@2g*j*-9yG^nci4&V80ciAsrmk04<2bXe3)m|p*N#yMf0uo5hon?oINCC z0xGvpx`Qg=%b-g5AaljkXA3rqf+jY)TP<IJCMW(~2Cak00JRmwK<8bwgO(tkJS5`+ zY9d?(H4$!rj<>J_oy+jr{>Q1=+<NQ4mGfD1Ur;^f@%D@p-z`v^WhOIdDTIdDGllP< zSsMG<t(F=l5ho6Q$O4_vAPrgyasH4@$iIV5e2c0&<ek{Jg3j~!0h(Wb%&hZ7VP<k7 zUlizA$uFEbXB?lefiweRy!mg&``BJ_e6IysKG)i6De)!pgyYBepp_7+VnqRUhY#1> z<WmCO2KMSVC`|w9JX!G1QtX*Rp$)fXMp)#G<}08x9rkvBR`{hUvfl+AYw@m7tms1g z@k25qX4NhAh0GlK>zW_(O$Hqw>Xj*0WN>eKtK|wAkW)eR@hwTv+y>|btR0g;2Z*F3 z@<o9Tg{V3Kx=STRk^QDG`yT<T7iSX`*?-1@PLYriD+;jbX|<F9%}o3>5qqW(G+*Rl z{2hj-Y)$s7r<cpUQYd5Qwp;<8)B;VDd}bAUrm*#6)*dg*4A5<vXLQ7hF3bhpxy6^L z$o}_n#Ej-cnmSJsDnPRwyQaX-53vO`6hI3g=7G+_>Xkb>+nBxgt(t2=p>D&6M^+x^ z=2Wd{z6P3dsWsp(z5u%YbCampvjy8Hw8}gIU9!doN^g&h#GWN=nbj&YWqxWRpOUm) zTev3s)!c{~%@LrBWWT2+@(HnnPS4y3TBl>jZJD8;kjQ5w4o!HEtX^yb`DlB~(bNBL zKHvY3{r<K3m!K(-!WXfnlE><+@2?FixTv67ep2J0ux84VnB%^l3my5U2Hkp*mAhn~ z*{xNbyRY)5Z!1W3>$-f)Raac@@=-Ud^^aFQ_0VN?-*w}~xjQFHXRWw;<nF7km+x)o zv&UKem-+FbcHZ;DKVSboKGSA%%D*$W&mUq>kN3_^OS`l|{rTB5XDYj;5^h^FSv=TQ zZl=C#H~5^3;wMcv;~K8N-(4VfA@OJ*gZ`OZFUB)g4Wjw)&m-;1RY?0g^L)zXM^hL| zB6eyw_<)tXmpunpa;M}(Ny#16n_K==Fj%d*B@5m3&)<*O^gk=baQ3_%CbPrl^Ek9$ z{S7};;!f)3r7k=UF5vzA@Aka7VE$HQ{`qBJSwY)!mx4BZM>2-(*}Gu2FQW?&_+oqT zK^HxzH)USrb!eYD8G59~p7KlL@FOgwL7Teg?zWjdEgrO~`*YZmNOlA516}<7NV}G! zN=}qiJ)FKNv$Pa+kV8QwbSrUD7<_;4U02Y#8%x8}F8|uaP!jR6(FnRDIS#%p9(>A0 z{nJx9QGYBLWW(ldgYAmnZGpOJdDr@s%b&i1wh3pHLT%b%16Ojb3v{f+?)bCIuI>e0 zeE;$KCdiQyldr<}p_g8FY4@=<Uwd}hR|5vwu$ZDyh&g-iB1hJVE%nE*-rQ1Q!yp^B zE@HYbB(ieh`{r}Nk#*mEQ|8Yc(7pGc&qDS!|0oEC`+IHlV&`R-+kf5MQl-cs8}@xa z^mKvwcc#KNhDVfpmz>!0`H1PwEp?U*vSBs#wNTgWwT1f%d>laW{gca%)-zv7e7g2} zYB0lQzJ#OI{ZHWMDu51^u-c$Fd)oV7_jDE<UZu-e;tW2VqUJ{k!a>VH4hmm)e%aM( z^R&xV)v%KuZq5cdNFmtoLgL+8P-J~!zq#e#1KyikDqvgZ^X0)i%qM87zt{kFkfHsl zWk=mX4!X>k!j*81aZSa054cs}J>8G<Pc3_@4%&df51PJeEQ&wpXu?wb8dG(v6sx}% zbZ>6?Rl;Dk#!jRGlEVD^Zcl-w)QIxBk`r5sf92lX@-K$LYE6YMH0$K+|M4_q6)<Gb z?z)f&-e$hpJpJ;cPYfjymgy59$5Y(XE;_>C!UEc?4oS`R)n>Z;<r?@_Kf6B>5~=d@ z5C;&vKJ#M2%aWJpH)WRkf>QI3&(K(XatD5_!mj78?LOAwIVYEW<pt%g!~0JxgY3cA z2Zs>oxQra|9{g|ba-#m2fRb}0EXJn8v$7c|#-je8&WXAw+rYQ_+-`G7rm%~d35p9) z9*xKjEjdy0>+sr}TXxwq$cDv#Sq*hgN-R8}@5X`7Z>WqmTU#&Pz^AQu8+ztMem?3c z7x90sW{aI?S#bDN=y&KY{c7{4DCwsv!QD*vIOB^AtG*{dQejPnJKUnR)}X|5T<+Ae ztM{2fhosDfZqq+mi^yBs-P(Puce~%*QfJE`8+PYU0mPg=d(jTJ_~*Vk)9}0b<_13P z_dE-vxf&wbH$2*_jv86Abvm=By}votclBCm3j6V4CpaEv7B%r@zwP9k>DT{HZ+6)G zdYjqTtl@=WBV5gExgNfmWzYWF&AuiNI#R-)4SFuc+i>_H2H?{z^ee1pi>0$JIDE<$ zYE?!4ELc2%j{teGBz;rn&y@@%5v2yu1Sl`Jxq90aSP)*bGP6ptvi;hBbIUJKkx*v} z%A{cpqVr|`=fKnHUExK}%jUXPZ_5086jT<vL9^gJyPy6yMI4SWfR4%lpK2ri{_L`& zuNX=qD&Oogft)Xde&mndzhX1p{h|$gtNkRPaoV2#9N{2P?uz|aW43l3=maA5|Eka= zW@!&ETi#82F(EVkY-3K;eW3<EZM!l9h?;wG)8O6*RTcaHU(boUBig{HeZJBVs${nk z+!*kI636zZUM`9Tm4-nu*JQ(kIXhNV)@W{?)g0f|?V#kdX*#TE{)$LG>wEcTmTlWJ z$M>~8%YwsQ+VLl$St}n=@Pf0}U-_F`Zh+GJ`d{hLB(@!V&=a@<1iMDreN*PoBnGQB zyS{COW}6N047#S&AC$GOyuP{RS2%;!ntdNLAjZ^ua)77!yRx97a9@4;<zHtRN+Jw@ z_(RlSocFUZ(@eLoI$7*O;!|5#xw~h(HEQTgG~b+A`RL5<`~Ob&@~tj|9d2^?FWmcE z=k@c=^h>V^<9TpZ6I6YLLlcLc<s9Ywzc;`CKmVVhcj8y~3dYo%l^15Gine_$m>;#6 z!^MS1L281k=xV{hAZ-BwRgP;NN{)ibshnLBYi~4k1iBoOb5TiJyRyU0g#)B!wW*Sa zKqJF7Hcf$Pmqffce~aBOe|GBUzn5q7*FB$W`MmO%Z~Cm=AMf=l>;F9xe0$Fyca`-X zD&HYnI=q+tI|aJ0Tuwd1qWG%ze9rDm(^x>4RBlp-+|4NjzMFG1r&pZEhHIuQOY;>X z(pepEZe@9Wb_f4qO?mYj3ClURr1_bNIc?w<{XWCW2y|YxAWOH5f52CtrlZpYLiTqh zbm}>t-OV{I$~hqVdvet29KV2av4cgs1+SbJTvH(7c(|2Cd#>Mw3d4h1;gD6L(`91q zN|%(WJAS;%lI<dQV2f9t+lFg>EK8pYtugLj@TR8eYoDq{z1BgtZVs(&9A;5&0YCYg zT;<eO{IWTiG@H*dx3;=T^%->O`Rw2RvYw(GS^5eQ&qSJbi3{kIYdZd2%A$S8?LvXa zhD)1Sdd({ZE=N7hWpOQ6jd-ifa+F^+;_+%0Re!aJ)qVlLgqlj%&4-MaTUNimGV$JD zmZ$SUdpFw+)^^<%$l1c}_*CbhQoBINU#^2m>VhHv1rG{|3t#!8d@yLc0C*|nj0Nx7 z_>(P8@145f-#HfTnhMAah>cCfE033_S$efuR{xo>AY*dER(k=F{WBAK{|iou@!xPQ zTkhZ%uYBJPeq9UR++%qy>k{zKn?-t#N5DsR7U@`*fS=!4y6=GQg9eSxlp2((Z0lR_ zYjt>Xly{D6z~{Lv-fvwYcXKL%@8&dZ`Xw&3=7V)po4LT6FY!&svN^*luP&DGyQs?% zR?Y7Ck>{Y){22}#K;3!u{yA2ctmZBF63OyfM^U5N^k7wN)2IK6HxhUqU89>bbGKMJ zKHV;?v&q`=YQL~fnT6w5qk}@}0$2V>9t53I9p|^<Of0O&9PTfBGS}eHmRI?18=NLB zco@?()z0lgh224|`wALWQU|l_RW<4?4@%W5YTQ(hc%29t%6}Q<H~Vg5!d^?3r}kbO z91|gd7W3!Vm5CQMS*F%IZ8(w268hg~!;QBrSLIbA9$7Zc+IZYx?b2<^EB0z1H2N)g z#db=<&h>&<>}Mvl$_tz9X-Qbh=lD39GpsTJy0G?zaj<)qw0&Qu=>2I4z2AkV+?k%R z)|ta=I>$B3&INCNvb>J<3HayFB7Mgx;G<nr?=hCC@!R<hN2)jme6?lie(N0Y_cDvO zj4`A;pI4Xu%Hx$y(=Feo)OE@$s*Mj8eHXeC@4w+t_WT1|r1E?>T-wbk_1<@b*SrNU zF0!nyoxR}4PL}LHlNLPL%94H8CE%A_Q|UEd$PmaJ&>g$iZg(yCvzx>0M)!h8%Q?)f zx)yxe&LL*mzTlNUhgi07$bWY5{wq7T4Oh;n8_u~EEudpOdqG}X!cKpoEB2ETTJxcU zTJ7n+vYLPAEckepW%ZxA3tn(FU3FtQn%-l$*7TlBK#_XW)-rXCT84vG?ZQ)R`x5pV zbDT2gFxxR{!K3>eX1lr<d|J%X{bD6(_s|-KL#pBTEi{=n+|X%yC9e>n<R0*uo5fpK zWks#jL8ETYS8;wD?!<Gx`py|v(X!wnH;eY(hnaWQ9<vrW<M<SG6^2!RtL2K?d95-> zK<95tUG)^aGlx|mW{Ql8xNq$h$Ja)nlev!_k}1gpoq63JF{AljG3c`LU+D$K&k{C( zmf0<foY8!-4m4z92^unKXq5>8-9>stFF}$0>-|jz?46*~6@TW7JyS4EQDlFc4!X%h z?fj>3KZb{gOE26l17868u2$#Cg8llSC80XpmJ-t<W;9>=9&zH}5Ac!K(qcsdd*^{> zE<l?N--9+A`h&JZx}E>1zpy#1sa0l4d14};6==Nw4akCfh9FnQg6`G6w}hkqF`Iym zx249vs#lBo+^%n&&|K8tYT2<SNs;}kI%p`O?fhe{rwjgxi9J);Xbd{fw4>G1Ll!h( z0y+<LCKtEmi+P~quIr9E@%_3Ey416N(}d=SwmN4VUvi2S8T9RIm~G5H)!e`9!9&oM znN}UkKv$@Ka}+BQu<vNK%&3{zDx=~L8jb+%^7sPUO1T^~Ctwbm6POBeD`)~}hUCpB zPyfH+dw<li&|B<Tf(dA!>GzaGJ|%Y0W#toFEj#8OaN>KF4%%hP4Owql;K(=Yx=-yD z$5(7(MHk`@9+F7`O@+Mc;Vqc@OhG(dk^S#n(3pfAXiS2EyEwqAsa2+@A2c774!Qzf zNbK2yGEr{J4$#KdV|R6)DC}%&waoC`VQJ}+f9{Y>Nt!RrQzzb;ftFj!a9f^`KXyol zM{LuC=0`6<%PkE-mwFro?cLoxq50KZoimO(rree*+<C$?`GjVJ1|<4G0}^`NmKo=h z6ZwojN1kx}9wGKjp<IjG@`Zj<A|KaU_zs`8)1a^`Vdu7#0B!dO0gaek^iBV;N%qP% z(BO%s&Kbw#da<GjI-pb8S#_Q$)N+9?ooQ&5NdeshUj^C&^Un-4$C9GRel$IDM)UOl zCyoDpRyri?nE+bJ0N=T}Yhf|pbKzZQH?HLVtQh5OxH&`DRag1)5gp~zDL-4Lb*6gF z^h|TJn=~^q4TK*BC#6k{+!W?Et&3lGlTTRo#I&@-_utPkzy5DW`MKA7_U|u_zyAIA zbK6;)PtG~v{Xgro_+Rj4<tJXGg3f5T=)LTd-((Gj?l-oL*LtRRGc-9}ZC=)Qq)%-{ z9oIpj=R#N32<wzGJHFk`IW5vRpq%$$(Rslu^KFw4vo*!v<?7}DU8$hyec^{jQ>dSs zMit+|tm%Rx`{yO}LUx`#Fb^%ddS&9ZV3wuFSze!+)tNap)?>rHpr%j1g>wp29FGcf znynHD+1HXVQO)tHHHTRBgayBZS-jV%uh^L>ayT-J(ebUwK_l4h*YD&EOI6Bk9RF_S z2;0%I;Neyl?YnLlelRwLvaz^c7hGdj9PG3DhfR~|Hx-SYa}w4b=JW!c9PQJz$+YR~ zIz^3o?SpLJ{h=fI{eH5NYgJe5Ihi)&n$m6Ikh^meHu5-rEf>-$lyN-UFRW9l==gRu z=d_)z3-YEX?6eoSQa>$$b$07RlRMKAmWB(N-0M%6S`KTL*p|KWSnC76YHFWbz^AmP zt#wWTzY?2D=P7FJzW8j$wW?_0DgHt^8}uEIZWqoe;c<N0FQ`*w=XiC!kWQJf<5ziM zos07!^{e@Rqf(WxN{(-LZnmsT<8-`R%Q3B5+VL;bK`wdKj0(AfUh#?<HOdFI?3FZr z2^`F-SJU{%bWm!euECs1*1~JHnmfL1=DcPJ-ypIw*uCnx(3Jm12ieR8Lf#1EY?-y- z(|iuG%6SW3i4_~HJt`&~Qm=bZh+XJP4gbL))uvm|1$2rn9q+#8oc3GM@oy-L_MbvX z4QnIgFRPg=cX*2wXn}<(iz~lE#M`?pNBxx|9tX3i>Z?Yq_6+#t*HkK}qVZehVAX5R zQ~BFe+cqC$YU*0Ab|Zt;@u|&0C3R@1#n@KA@_3Wf^y<D!#G|sNS^E_tUKKXodd)Gd z^W4!bug)n)ybERdI$e0p2fd~?ZO*V?Dvpn(zzu_2Y7uXrvmBLIj(GeYwwk49t-;!@ z+tfAovLCdPY}(W=xTajj@u$B)PJyE1(e=VPCESir=L_n5;&8kwcrZyizxQFt{l0|G zyBt~j8WUDJb7skVZ#W|fYnSNHu$q)DaAp6@gx2{&CN(n>mhKlcxtAgBlRY(;qwAg5 zhFh;WyPk7g`@!IN@-pW&tNGx2?C!V(e6wr%`itf1f3FP(yP-~d6n4Al&qbl8Q|px@ zo(MF7w=tSDy$WqoU8l0*XKd5bcd9G?3LG@@7rs*4n6OiK`{9CD?|n8n&06sAAdB|i z{skXbvaGHtgSAUUgWa?4D{9p7AC%g!reUcP@w&3<Qt_i1*Q~lZPrY;9aIcZ&>3#1F zj-3l0rE{3=nzP{3c22RKvlqNt&mk7=9Psx(i}#s(b%zTMPIBg$e#ghBi$P~=&w_7^ zET?5$1HP*?J+<=<_#f0Hy3h5(2fwDNRV=;pRU)3ov$&?eJ+OMsTaSPm&4W_;iW-$F z2cy&lrmPcKQ~VJ;*OsvSRGfL~l5%UupYcLDA1oY?)(ho`%x%vMo#(&7qieyd*_>k5 zO$zK^`9bx?=7<xHpFy+wucE|?F64psM6!b>0t`VD0sNpH1cvg_=IpJqYOWt-bQ(Tb zT1dPz{2Iu<)Eu;nFHz@=<I)pOe2daSGxPeJCN%#5T?O%&9dzXcXwg7bORLNhP}MlM zSNwt2Qw8btM82xspzR~lpmFwvI!_k-P!xNXP{Ib<x!DNXxd}P|0le38!LPq7`>i@k zBTqQ~{SLazBT}sB!j--qUd0n`fiCkXPfg@A`n_>Nb6#Jo<%!w}tukNy(-Zl)=BF#N zA6^Y_D%goVTky#mw8){Y)pCWa*rR7p6!w{N7hkA23fj{F-k5l@cDdcF1*II^mLBq; z3)cOT71?i|-)O+T7c@_>4|LziyHrK?qo9V%;^mPi9DnWyT>_rhH2v*@UEJKo0=Ge1 zT<)JbB%{IwT9F|r_DrEvj@vRL9#r$@&4C|Gus8kHV!opNpg93WP^*TY+fw3OWXoz3 z_N8+pP8_Vz7kjp#NPye21GM|)3g{^6kDx=d-R6SkEkJuR%0W9~K~plD+gfE(=7V<Q zY!-j~?1{quj#f(vo!KG>4{rr+R9!G*?ynWi7Xx*kET}Q!E*7wC2W{X?PUK6v4%)yO z3)&Vb%59ke+VHsKn9h?0w%O`2OD#|6q$slA1>JO!2Rd-vep0KY#+(yQd~KjvhZ0V1 zO9{}dOUOI;1@r>71+NzKWq}sF`~cnawejUS(2eDKAh&9Qnu!Mw$&`Q&-njV~w0k5H zw0i`!bY#c0RvD4}<U~F<e$Z-+22jH*S&{wf%-x`S({n(#e!V|=NJeEEsPP2d4_otU zF<%fULVo*zZY)n$WWNi#p8U>Q&^g`q+{FPEQ(9$sK&fr1@3aS6PZMO)6Zy1uZ=TT1 zTmQiP>*M-KbB?N4Fy>B9gxyunI^D}LijjMEnd(%(HK7w{@$3*h$}!ucfkQ<pTjuA& z;Kd0{r&y-3G_|$Lv-Dnd36E(#;;7mXXf)~I3g5()Y6?pu9z|^Py|~f$U{m8pzH6!P zZPY7;pPzqc_j}KK+vh3UzaPo>_wb&7Z~6M_&)&bL=+@dNmHMxYO1ftI;dd(MgR_&j z={9>$e`DUuAR+m9+S2=a<qWgD?RDLwN}=m&&(?)0XFjTC6<B$TAGAEx>ijCmBJ|_s zFSX5TYIomTy!Tt-UUt~kr*r$W-Jz@3y`?;R=ZiQjc?I4xBK-!orQ!YM@SIOGKxbC< zvL3k)*V*i!1=ab+t#`@K_*V&`x!~)K|E5AVH2l7!(UfSs`rnH0E9M4+7OAR0mXhyX zC@TrRH+to5(@A3W%bP>b#)1|~M>#|ERp*Nw-0*JK@-K2{xsg{p*TPQM+I;_-$E*r3 z_SLt3U*T|AS=v_}_3tWkf(6^+-#@y94sLi@J?~=m7JcOVf{Pc!768{RnRw;J%Y@MX zsi55=&B0}_ASc?*x7+Gskn`zIY*l~VV$i}^=(6sEZ=uV&rK<y!Gyln3MTAy6gZ7Km z{w;>C2!HM-$TNFq!S;KL%Y2d6l7C$b)j9iBmWuAaWzC^yMbDoG9kF>W61t@Jo<>vR z-P8XUeb2ZTf)qlpkHd7<1}SGMeNWl2suprV@#`AUIfbB8|LW%LOFDf1?ZouGw$Vs; zrk`tuYK^Y&Q(jqkiQ6dRYJ5E8tjqbJRm;f+yZqyqtiSPOYxUE}_xZYrb25J}SPhMs zjen&)KWBeGnGpJx8N4QZZQWAn!s9%VgBxbf{QGIm^>-kx0?^$e`<$VRvxD1BCyANw z(`XJo`<W|Y1H+W4*PoF+YyD5}eAVZLpu_Mc_JB8wMAu*IgWMGSrrvat+PRmf6GDG4 zf-EQB3EI2?_U+z%Nr&IdOi17RHv(xXy7h19QuJ*ft~G-Ww9ASKV3@e79^~4b0_ei- z+FxO{N7de2FZurBy+*@983*WM>4UJ{BE4%XJ>MJFM>4vsx&=CoG4U&CGsQ!Ro_EEd zBjRlS`0sVkEk};o#n3>WZS{G>z2uFc>%=QTSG2GBT8o^9^W&~oo5Uk;J}UjQKLvU( z@|&Adp2F8fKu1V|_GrD{a+RY2Was1WueHto9L}!l|E3LE6b?PK^8K31Sm-&Khulpj zNtug+t~UlPB$xi<1zqg_w_{(@<n#Zd-(R$k0wpJyM`yZ!kz=qDYUt>VT{F?dKAK_T zDzoKo3_PpzgQ3?O_bN%4ecrzC-r{YacoEmFUpI#p4zIL&mmFQoDzI|achIJmD1Q)X zC~>!XF-KczyzQ#*8S|$3`FPL&@tXm5C;hJ#&@7iWTgp@TJ7`_FJ?IeK*MC5JTtJb! z&pm!);-{xyl`q``En;t&$T&r+;pv{@jZlqZ`&Lc7QsKeA`qynxKIi2F6=;SMcbD_O z(l)C+w6UuHA9#-ybUPXUx=YZ6bR$>F^K<{pgwVJCpn~NUGbB<V1=4c)<=<D#jR%Df zv_PuuhFZAn-8GM>I}4jb&)S0YMIB!=q5dm_MBl*|_oQ77)<j#!y}!6;2~y#3?K<qd z%iqksOFHjbMO?L8;l|*#c5~L!d&~_F8Qi{qysFxic$k0Qiticz$eTWP!jA6cw!i9; zwFh+GWh3Z}&4ar@yMiS65=!RJ(-7pD{pW_(UiW%$q}12E8=C0Nu3hw)Rqx8aI_vnl zX}Qb+SzmpjS#4(^%i%OV+sOBUyB8uk_m?d!-QHR^aZ5!A`|4S%{XxeNZoRe>suNry zo!{g9{^Fl1Bs<s6hwWecCfU2Bb1G;zm@VYqb1QLJ4YT}<sC3=OlFDnh@9Q9nmCEI? zT`H~OCX=L=_nuA&tq%roB$JMTZg82u&nbT6$!k%S*M5IT+P6`&0k&5!x!q*aGxIf* z53ah^4k=dtf;Mk}ihOV_bH8G_&Fii6#Sd&|?0{@%jJprpRkKoA%Cmd+`3VPCJqrO_ zn;i?<@^n0*<bAJ_#I?WIsw%I|-jBTZZ7Xcc$Ib0~vMc%K`3gT5cUY1YztD|==k*zA zDm<QlEj;JPVcx3#Z;x3GW-x0&&T_nG2|F>kb^G-%a=qSM3PE4jhbc3(@xFtVzxP+J zzww0m{k7^Z<zfQg8KB2U))crv3(@5CoGaC5{6Xi^)`B*!h5cWLT>QG5zqHM&M%vA@ zzJG7{wA0`m?z`7tIkV84(Pb6*iuMiHYLO#W{oeZT8T(MGnkSo~Wy4v&EEV57pyIO@ zbbRTW&l{k2{t^Gx#XH|N`u)X!;A2;zXLDMo=UfBr82SJHvwf5O%sSABNcE2;puHV8 z&+GbfI$shoT4K7}@3Bp`?&BSsGmZIDU1pgX1<iV5pyKQ0<{3PxP|j1$Q+cK5B?~i8 z$<AfDr#%cajDu!v+vYoO-t%4eir>rc-@ESm*MD~VU+>$0-@g9iojYHX_5Wt)>^l1Y zU$>}e_tF3PqTNTI?Mb<2q0`|M@TsC{>p2yTTE&A_*9E5Rotm(>j$!WB=J?eDi{fX; z8y2U0ka2Xq{p)GrA!V~$GZuWRY4Q~l46$uV*!Y-ZRXFFg9SsYfU1jmU>jb`!a+>g! zSkDc2=9shv*DE)PUSi=D7g|$N0J<iL!+hDtO*56JUgnrJ&ws<MsVqnH)gm6tHBEAE z+B#2FV{co+T;At}f8NA2eH9a!67L1>)M>Iv&vg&@S=8j4r?jF<{9sZ)n}A-VqKo%B zd)s1_jk6Y{CD|?8dL@iyYq@&FGliyI#|3oCjU4|LbB67hvfyDai}qIzDSMv{r-E60 z!v)uDyJsfh_fePg+Ks6To(MH<?c;;o7It~+Oy#-$f>ZXkB<z*uICbA~!$D^j(f=+R zE>2nSDSO+|EoL|8EO>Q}rCV2R#Xr3!RX?Q_KRuh4>Zz@`s}}LBtZ5g&kj{4(=v`s| zx{ckr*5Bj1oV7#ppqI6f$-VgrQ<WSq3v-73W^w%3&2jCAmE+0V9M^tXI=(#4dF`jP z<INx6B+gaM7MOCsF`>DZQ)}PkgvG*4klWur9Z&D`kzxtm@3tXg`hs8QAtyb=b54t@ zX7^eBO`2oc&AtVBeF-}cbH0-I-*D(Shg6)$hD+g`Qun<!obu<KwN4=-SIA*UN~?gb z<-Rbs%TbTNvZ!uXjL6n+y3{VTrdY=DrZLC0-3<$Bx)YkCIkf(@BrJZ)GWEaHhLdw- z4d<*{uMA%7Ys)!JL*Pn{{K25*>;ih0O&KTOo<ApZGR8IFds@@ecd9GwRU#gqXW_jr zu;$CHxfcH_^bTrO3z)=uZMap<*)`v5gWKE%PefU=YiBR`a+l?`jaR@o$)>Mi?2!08 zm%qVy+17($ETWrPq-9+Ke%i9kp5qhn)tIF_)-B*~G>i8g&w$V2EZ)7GS^v8eW_~R{ zSnvvTwOby`*XaUlKIApEi8f8OlXckfgkzCvzy3_ipr2}vm#sO%eyciuROh_*L*4OY zY5CDD*SrL$+-pskdz2-$Un%0fO4Fz30yzbSjz{waa!RZnpE4d)^A`yD%YHEF^_y>> z3LrPr{hHmR#Nz$NCEznd)6zbb6@ML@QnOe}^_3#tRW<$EF1Y3cH~0k9FXc_gKHjaI zI@OnR);os{x9V7q_A5j@W@b@6uNLvT8+10P!kv|uuAh~8S;BeB&SAs7WR|D#3O5qo z>MRTW*vlfF>mE=k-{i}uz9LF3;*Czzt9XToM^R0)?kh*Us%yHnUpeAgWz()~-2(;F zyrDVaUA}Sgk`3mLhn+dYs_Y#f8*_yHv~j#Fb};L{s>VI_h{xMmROc&4cvmMxEj3q* zNSAZG>B{n2l;f1V_lAQnSw!vKH(U$_yDX~cr?s)W+IOLlzq|)QTd$6bB}IAXxC9hu zH!T$tx>9F-(C9SBt9f1<?)+r=x?Uk-q4R|gc}-L6d@uZvYzqD7e4(V-)-w04E@O*# z7{|p)kGa$OOzyb_Y;xIf&7IS0zPkbYT+m5Y-_*pOEhty#wme}EIynH;F9zSCz2%>h zP9)!{{Io<qHPGnAtLVrX%{L4|OBF#~{X)<Xwi#%tVy~m%K0|KBs${-f_Va$OXg&kl zpz&LUyLdu0Xp-RdyVlhv?5(;HCk_^fi#=N~C0&vIG3aK>Q|*x_4t|jV9d98DI^LqO z)iUEx2k0OM(5>Y4DT?ebHMxr~M5gE8nIn^84jM(VW_A5hAnNp`K=uj$oS!S24^D&) zri3IbvOm?|Y`{MGb>s=hukAW#9N##K6$Sif<}RL4F|k#~B!24Q&{@q_(sZ6In41V1 zMFAZeAqcva+(oSD0(k9bO=qhN59pFJFME!z4-ca_E*>s5s7rXZm`_VttjM6YvDI?L z-Xi&!r7}m%6BGHQZf~B@{A8cb8OPVMps{x*?&1rU-K{by(x4q9QJ@_ocHG4VJLb2_ zh}54yB+~;LzkeG3rhB$A`(zc+{c)!c$s8$i6ts(J6|jwA|9Uvh?iFMW=)9AMWR~cG zCW%13{WGB6{_XTcz9MbV792~lXA2U3Kh%1fu)~nMIA9j&ypV3tDOid+PZFwhxQhc; zgGQxTb<Q|G&Jrt{u&1@vGUKi|?9MNRy*1Ak^I3rg70S;21KlomyQ5X+320Sqf+Dx2 zhTNG$GCiQ9M?YnPZf2_yD+<^PI*a4(87ID1-!~YrcPfGgQBEI{Spqto@>?7GhdkMo zXP}$zn8cnbY&X$>UCb5_y2k8~CTPAzj=MNu*PK?Fp8TXlKCSYN6PjNt>zr|Xn<-W# z5D!}TE5!at>#4#{QSRakx8}CWECJmdZ*?4W5+&%$_V+QMrL<F8EhX-paN_H-6?>NO zr68b%|GHC$+`8sZ!N&5X29cnXI9}@>3!T+`W17yB1^cu?=XxG;;+qAUy3+sOc>hfQ zf2Mp7VS7+L55KsyG)mn_Rn0HmE6Vekn(v`YorN;bEc$j@@;tY=yz+9;rpuhpMyk42 zGbc^ET{<buD$;7M+RB~xJ+nM#x_F<uvt+5t+@DzQE?rb|AZ_CGe^<8MefPiK6|{#_ zKl-H7#NId~)AMs(7$aT<HQidxIW5vXpxpLgQNPd?dEqr1Jj)LM*Zq-hI>&dzr9772 z@9GgxUvO?o+hgO+@X&qn>E(S_&Z%ka>q_WVb36%}o%Ig*CD>FtPg%qIvx86e-bj|G z<%%~F_#BVg9CSO)p*7!i!wFTE(DkYjZ_=7xl?&^9`~W!wXXnp1S0;vhQSe#)&5Y&r z8=ru3`=+O2LRait6IzWqq^@y<?V7dVqc6+qJDvew6q~NjQ`4|Z)@$2*>>7(|xl)9- zb3hg2K`U={0Ugj0%jee3vAVRoW5J)PEYfQfS5#OZ1f8fWC#bXO<G~qWSJ!fg-JZDM zmmQ1u8TA!ATM|}^vTW5?iCE?s@ZGKHX`N%hf5s-!e|C`RYPmcU{>xFIJ4q+Atll$y zK}KJ~*2x@Q^Zhm)OXu{8bKG#PoYU*R+Xlb+3*HE`yx!{(@UOJJ;MPGt7Sa388!p;3 zol+OjDY^w77A`n>_0^S$H=eRw-S52N$XXWDdfyFK9<ywXSBOaa>}<Gp*KJ{)>1r$N zrzf;N=9HS}wc%1ahg7`VhEv-)yY4w{xV4_6i=Xq_kMEE$v)mcUcRA{{FU!*J3K7p8 znl^QFT+<eu^55hj+jOCjKVk>nUUO*upOi51ILE4g-3c3g`41PYQs<a<WA1`yj4a-= z&H>ey2aTRXry%acnwF}3_ig%>%^CJW(4?j%VQIaP$vjK>%TZ5zA*()^S&sHAfo~(# zX336q3ixH!RGO!zQO$p_ieGrjfA)iH7Z02Bi0fFk6r4PotM1BRc55c&YW7JBUa@nE zSx;W@OPq7sj<yA9vlCYC=E$;l*>J{~r8HgVLFlu44gvM*O``YQFBC8y^m1)Frp+1l z^AI@L5|($xnU*g3#@zI%Twu+|>ZVElf@`*Z6r2(E(&C_%wa}Ei(-ZdoWO?eZb|Zn+ z@#uNsoGS_|{?s<P?qUh8ci(VhGRxJi0*51$PD3{Q6n)MOb`Po+zH&}bXY-5&?_RQ; zuI*d!Pn1Qwrhmc1To&!W(-wRbW?AjhcVtUfp5umF(VSiHT{j$4WKs23iFj?&bV*!b zP4Q2#(+W=Bymn>cy|pY)>zy|oe9R*H-+RNwXO(^Nb=(J)s)a&qXC!Q7a{Ssas8eX? zcy_%2cn&d|W7^L73*Jpg*!f@J%KbMQJn60G(8;*=Y9ComS(ghHf(NzaRW+*Q4rY}$ zJ-RNu=A&@ar0;@jzDhX0e9n38=9dhg)o;pJUcdDVu=Cz<(2zyc-f6={OO~noeKwqU z1>GdI+J2suP_%!*Uw;<w9?q<Nza)IJSKegVTCN(AR^PPCT~OzHV$(0tCeeK^7d}`u zO|5ge@Iwx~E#`}5)77|Aownc_v4c`8p}|&k?#je#6Iqr{7hF@U=6JK4^V;sV1%LQC z&31Gzc+`-vIGsalf05v_ttZS_LhpNSxKYP)wO=9Pkx0|5`AQM1+yZ`UHKpdwf-Dlc z>G-{GWxmCUzm2UjU*>~mnb%wJNl$K0oYQKlu@`jQGU)6>t@h0mnqLHgYGhAPjSQZz zZ*G-Qxel6N*5kJHus;FX!<nMU9%(ISp3YYly=g-8AJCSIgZCyYRqPOR_)#D$!aw`h zisp++VnqRZn+@0}a)T=5*Psg74OAgpf-2;ORvDB3#}3IXG1GdW^>o2rR_@{npmPV` z#epj108oWIvDH$erm0ni2efeCD;~7bP>j1c;O9-y3<mgAs*HNjFnoQgBKt?s(bC7V z{U4-Sd%QbvNM_47Q0t*m=Zxd>15SLK<UwtR=bI-q{|N`pz<|z;`VkD8fwAYd)cD`p zYT2>pfD_-Ujl!Ulu(sKPt|p(|YUy!iE_`O8@($>%ZNF4S_P3zj6=zaGr&!x^7f*P- zVM6mi(8Zq*&x5vb+JJT(p7q?}Whs$={E$q@y`xTii$L2Qw<brPaNKhQw1pFN;Ien* zjOJ^gx;gInA(@hEpaFmIYKaqepo6LQ8gg5n$loLpp2^3yIr4;Kp*d)?1IV%RXJJbV zZi1E;h;m!5Fpr$kd;~O+wP$*(rHAbyC%#*tS)7?Oy$gzqF3df8NajfyXzm5HSU}NU zv#C8BbOP4Ff}2;|Wv84=Qe=M&+5>uOE9eAGWA5UB-LqR|j>xAa@=2ZFG@&`=OG?aA z%Z%K^PJEZFHyg0e{Tp$@u}qP>_=0t7tIU)035k4creZ|_duFv-O58o-#CHmGKmEjZ z&@pAApwtjDf8MVZ%{O|M?(ni)k$?7(j7nLOBKuh$(1B<NocL~mLIQk8Ie52A>`5oS zU!W$>p|_ymRNyWSklHw*d824y@v{Y+K#Mcpf$t^<?J@lcTK#Ymlo}xOS)!mLJ$FrL zmC5<Rx__nof9B)m37}K0U>i8U?aZFI_1GtYNVQqIr+kczKTSwkb|Y%i%*1U+oICy0 z&%ON66KsCP2(+3ZFfD1Gf$_<l#M3Iqhm%v%W<+k8mNf0*%FM<0-|xD2=lA2ArF++X z|NcGZ``^F+elC$ddUN9Re@BCF|9QV3ynpk5*d~vZW8P_VrPTr%PTlj{aIcx=DQIcp zv5V66fj@d5J!6qp<<xrbx51-t!7D+QZe7(Cb&LmvR&!>>`ER(A%d+)1%jq@q9kQRL zIC3e-tlORV$|LV`vPE6J-a)S2LMC(kH(W|&>76dHCP-CdS69N;*Bo8zRU;lVHcfiX zajmLx!IwF$66dUL3r_JBUSr<B;Lk!9X`OkHNq+I^{<4y5RaVsS9#q;c6tcHJVWXns z*XJD5X4L8|3oVXrT3V*KVz2x`BW{jY(>SJCPhaqFDT{WD>xBZ|gI?bSOzL_Prlvy= zTI@S##dkSM*D;_by~*^OszxQp!KiKyFMZ{R=R!@J!iCn9b36W&JIK~A6!M4vpxbPn zLj_v<I};|(<yckMk+89ubCtaJ2A5RG6#tz+piSqZEL-!{BA)3r?dliM`JUhO>*8a> zwW9YtE)=*oO||p5@I#>~v`<l^O6_1)ypjfJfnILYq;jD(U)`H7`3tTw-n^x72V`ex z*=bL~hOcD`8vhxac==RTM5x?&VbXLeUM1p*QPV1Wm54WdO|R+|A|81*&C<7S44>I0 zeC6J}gqgOSujCvy+<DINYQEEkL*i-zI+iU7%cabg^-ZaBxbUN_DYVW%;0tHd)h!a2 zwf3<dl*(7u*vWn{%ChN_yU?1=Qx?2wWqG|;P2+!U6K|gKiXYZZz1M_8`sQ>z)Y)_s zT8mxX?kC&%)-B-gVis>1zktswO-s+Iuh`p_FjLI&?pBu5f2S?@XVoP7&-+5bIibGz zDsIQeCI_|T6*Zz1BHp?*J<{g5_5;+$X&2D5tbBWA;<dFbOW&(Uq<aK>t8Dt3Hoqlv zYQ6D6w%r0De+&+~adT+Rci3>Ek|p#tOLweqz~AL8-gmqMKI^l1+j<97Uppyr&geF* zU2?bbm4}{pz<+@z(LVKz9X$z4*9)2Ko0KqhzJSQy*$G?ig+=6r*L+;HbVe9>`)22Y zFLo@iWqbm@Su}msVtKmXX~RKL*!qM1<$XQxlveyvZ*rZdw&K?*qh(ufgt1%=X7PUG z8}NBDWPzUAK_hYDD|_c8?35S0vVU?yE2HD#<s4yEM>Qq<z^8RThlbk4z03Np*f|FL z$!Ie5Q`d-8j(Ba<bje(BO)<OU&34Xf)sq(dIqiRRi&=+oNDcczw`!q~e-;Oo+yz5y zrzULd=2%tVozN)_J+tEMyDJl~IJ0b>uMly}@9>sad5#<I+~$1s-Vr=#Bj>pxXy$^C ztt_i+CNFrw%d+}!(}Ew(EZKjW7d+{?47!<KS!1qBMEZ7U-M{&_zwArU{>_vo-gD|J ze(*N+MzKt-_uJqxf5EH!oMN{f0{(7IFZgpLrfJrD<%ldh$G1!ejl4Ntty742_nPJF zdew-B>CjMn_&3<yt6n+dkIg}?jmCy+7u^<I^DVCF(PxfpKX@EZ9%ad%>mE?0deACd zc*<LWHRbw_Klcmee9&>^>soKgeR)>%MKjPMMDV`N`%5_Vyck==pDy_I611`aw7KuE z3}~yNCTMkEf2*a(+C)Y6x4S_H6C~?ASzw)($XB#}9jI1D+P--hbS~vl(DqHxt=gbE z=?iGN+_e-%_K(pKGn$X(g9?1zh7S*|Jit}h+U1ca9IGa8E}V+Iee>;EC%#XWV$Tvb zOlXx6IiHls=O(^+Lh~okin|kMK{rTbi#=2L#sNCN8gx;$%k+oOo-Fvu2HI$-%x#(R z{^%i@6uw#Tr3JR2WsF7X8zwZ@^@5rU?X5CApbn<jeo(yzx-0#ZedGzpl4q7Xyov*= zZMiKw-XAz5V`2natD(SMe8H-#Rc48Qaw4A<=t_$>+d=d7y`V*iphbrtF2F07qZhBZ z%l5p9iCHQ$1+*H#1+@O|>i5kC>>ELQNdImIt<?|#o%CtLT|6NRbbd82=(xu+v7!sL z6Iv}#*q(FZ`(=FY@Y$Koho0#?NtgjT5F9j3|M8#B8ONs`o`N<p;A-sR(qQ@0fZYwC zW2`qDu=j$7Gd`J#JzH=!U6K9eSDiDC>CD`gC-Qd9nf`Wx`SezqC!jSUY@b0pObtNI zgqBvBDXT#%H9(C6Q1jvlXcgoy&@mH9ci|p7D-JrP)HI!ST@c@`+ZzqoXPR(ZzBreh z$X5iqqx@fz*s}#2=CsQ2fX>ohnjLZC;EuzGWJ2D7?hXO1;;8Oxl{u0g4yu+n>T+9p zygLMHb%9RW1`RtbH_>cr2QO$*sD5|FUG|G!sv`SO(E5~vQlQ2H=t>FOV@`ah&TlYa zpBVWZwB~eHLLy(1HE5v*Xhh|afLPImm?MW|mVibgzNvx&(@U)A!rs<a%M)KCP8>XD z|6%s8k4hKRHiUz=ZPxbO2^DR7|9(!kk&x0gdxytb(u~F|Jq{joC#{{)klHBpbBfq? zD^=aOH$-wXOIkvsk8YYEHid0NNpmu*wOZW+_OvNe*?dZxSbTU6_8Ue9rW|nz;PiPU zlvDlO@>%a}U$e?@mG`U9oqYFi>)!IYwJ}zIHYQ)crW}7s%6p}G+FGrphv%RCB`)^z z(&76yOhKQYF-gTanC@{09WPhq0-D?0`1k{}d)&vF&cC{RZFm29u|ZJs+P!iSgBi>Q zMh!vC8|L)igUwyvx+>*4cfE+il2!JgQ}nWJK$AA0JJICb4RXF66|L(3_3`-&TL$P^ zTjpV~RSZ?lZkHa_vI?w>vIou6Y^?{)kRDGck?&EK*tWrB>GzDeTY}y*!cGjVh2P7! zElb6>Za3(5#tBl425Y{DLuVV0eE!v?yZ0k=RsTCl)+6t^VM{Ldu7=LvUHd=BE$Fkl zRfK7cQ!m4mTQBZI=W1q7|0+7$>Y>fv;{~apNjT_?i@P**wlVDX+KE?ww(^BttzQqC zZ48{NZ-0x?K&JU(ZJo@)4L2u;zqEZNE{=3`CH&&Tm$g!!-TN;dTy^bp7R!PwxAJ#6 zyk|U6z_9ptg3Q4Ut;YISs<&JN-9HGM#{IVkIyw4m-^z(5Kh<T|yxO-0Wa;;B-CrPg zjQaab;b_y{Tfg<*;@umOrW^0&K^LWbtzR+m%Aa<=Fy7VPpo8sht$@w@S%MZ_WPNS_ zB6m0!G}#S}mHuzg>BcqRR=5Q{x3`K2wSN}`zGGAedQ9B;eq{->-QSA#y4Sjc!U%eR zUoUL^!|b{s<;;y`tvq2{)gUv5ziprq1NQ0bb(7NfZe7kWkJmvZ8GL%=w|;2wntf;Q zU2^iVy-suJ-C)Q?g6}~W-GOEskEH(U(!IOEZQj>+dqF2{LhlKEZwtP`jY(kRzX0Wx zm6xI<*GTC>4yRk(51k)PzxGnwZ0l#`Piy%3#Sn+n)qGh34dJZy87igcW=uS|>eo-m zvWtz8pwxfxg`It0!i_g?Uq6XlZy&;dxPvfxfh=SxP3Hd_ptCY{*MaVw+vNi~WG)Xn zMbG}2qfPfs{QB=1wqXp2vogQkhx_(f_E)*d;^GcVUabSI2l%=VdSmDO8%x*U2r6&B zxA=DmD2SoSuzEf8(!@3Y`+BSTpMfskJbX5b<-mrEu(L8}?)}x}`?q59y<`i}9gnc1 z^iD)WkIGzAHp?w2JsEVyTsr7<y52tMTq8L7&aaPoA6Sn(aeZvl*(!eU(W}d(r98WD zb14LEEn6wbaQN2!K*$OP{$qkX(LcV5?R9^B73tj7+wb?pL03)OVK<$mRt{R<^bWML z>1!Qm)di?vsECN)xYWKb=>5gB(W!{b{K{uRqvhB1Y!%b*BJg89<6-gn3*^baY<rIv z+$=+^s9M|x)tBYlyX4$nR)LkT?t?5eod=o(PBz#DPPx{<rrcXx_h|W7IR@z2oYJdd z5wh1+IrC!zqsyvmhe4tGZ8Nl3IB3z7$eq6<@cl)ry$%2W{*_>cp7XkWJ@hc#8+Ur8 zJSX$NObFc$E*QQqf`;oO)!L)e?tO~f>t61P9F@MX9GZX8Bg<}CbLipapd){Kr$Nr{ zduP1<rM8*rPUrWAF`=Lp6VMeU7sa6ZuD-kE@ycdtbLdxl&<UH_GMj_zpi8m-STrSW ze*bgPy~TClLJXEcXT!W%>!6%jxS%<7?R3!Uj;;PXB9Tj?;<A^vQLniXmmTMyhn5LB z@2aIdC+ojR2>osfTEn$>a{k3?@HNSHg<hQrH!iVme`UK1<%G@M-q4x#zpTAWo~;HQ zH2lo$Cg^feE7(Dq#h`;SuY~UYDtCA`(yB9gS!mEkye;fq;&)HEIrQsxu>%Q=8e5OS z)=c~?WI3F+ey{VqufNuU$^>Yvn9E&(u1EP|EalmKdY?{n=-OaNSya6h8Zica2{#U@ zznhZ2x3nCzunBry>|6MHi+B1`o|DzzO+C2kT_mJX*d7Kg6bgIyC3$ZyKe_qdUpJ6m z=;^!FuqAzK{`>bXdG@mWbi&mScfbp(%yz*RRBiT*-?;MjKCSb=J{$xs#)95V+CL9^ z=4!;-(%vQKx@wIgLiaBLAJA#K4O*Z)2c0duH+{d&>#y#hi%DSzblNP37Dg|VpZA|T zxH+>h6qJTd!D-kJx$OP@{&Mw}x=`fOXg@4feBZYIrL7wH7T~*}a_IG4SY5HhIez2P z_w}*wFaFI%PQ&Y=i<H*<f5%+a|Lhl2N66u~AWJXSK`lMAXJ1lq`@8GaJo7<|0%66` ziyQ3F#aIz<b9$GY1D&(^Djrn%o9+WGtU8|X<?#R2-~Y@1XT5PU<{fC_BXbMnR?hno z%8PgxnTEB62r_rDxb`Rsxh?KE(lw(i!{vxUrpuHN7Ue}tL~d>INz_^xAjM{A#OBhY zWOl8~(dE#bsEZw<L4utfMn{XURc(4defPC|+xL;#@4xT8pI3jkY34-n`mE?Pl`q3V zmv#LI@9ezToz|MJ7MOiZgr&7@_MCs8(wR0~3S;RlSBprEZ(8)3Bh0#OK}Bmq?`96K zbt)0B!<sIY3$EEbZNZz%EU#U~lPsQYSGbX&-qcksoKs@p_;fLcm}Mn+Vfu#P(CI$1 zoof|V+*6B4;&nXh&N;2BYr(f3V}rG+X~I|TPE6Qo%lS&)WrNei1rIw}v~|@o_RL9` zI-8?wom#}(#HL5m9M^9AI5WK+dJ9zz=oTtj4zKr)8_vZvZE_b_V?KYupP4MuCLCJ# z95!6kYC6R)r1MF|F;w?a=&>}x5b$b#&a7t~)2!w!_{Pa{S_g7q;G2-Uslo0=*CD;y z-<(qG)FU)ENnFm_V|h?3UtMFD^ua87WsN$CgHrM88ao>j)^>AvZRfbQvw6XrT9((g zegXgLS)_Bq9(#jUMtsbjti&L_lvAsIO2R}Y$E)iFb;_h1zjAX-+c9myv-2F&c1>UK zZ9>A#=Nzxj#Wd~SdMBFm)qAfEhuk@&<h;O35axSr@Tz5c=*_2aW96djKC&zSPFe87 zmL>bo%mq(2vt;j0(_9u>#dpwZx4@LMLTk!79DlAC$SL4*JX*|YwrkRYPyQU>)%^20 z#H58o>c2)xoD+(M4y4b1Kg(*;t^NhyY?@O0R98eRM!d^u`lZbo_QTNe@NSN<U&@Y; zmxFe8-kcG3QI|8!TTtYFdqS_j;1ru#32P^Fdg-f1q#tF09!?p(tnbS;fhqC=IUhtE zkA}}{&dfELz2K8Ir`XNz1+UaO#jGbS__dv5+6uuddwLR9&gRTouNLu4uxXbx$F%CB zJ0<-7S{&qh58XA&|9ys4&@UFp$I2XGKh+#By0WZ}^$Pgo)?~ViMO9uY;<abfr82o? zThHk<ZMrSIX1lfH&wk;Y0t?5ZMhD%vIkn=QHk|kh-JKC$Z&|AHjluEjeqo)BfwGrZ z{j6$QI*Da#xpKraho)Waf;!)Mn|^U~gzcEIAhA1PX}o~RzW#)%`vpa6=Ot{tKKFd) z<J&@OKJJ4K@qcPqsl1lS@#SOAYnHv>aidu8fPc?fr0;kKd|b~WZR;LjS;hz*;`iQg z;~&dad+!ZL3Rz6|yKlJilV$6A#fW8~eV(Abw%_NiRNlECyj!UCdR#}Q=^W<`m#R6W z(mBI^@;F`;ItW^VP$zd#DqL{Np1BEYcXN8#yKOi(W5Jtc;)xcobA1E;-DQz}13d*w zudeFVi5C$~r|v68JdtTy<=b>LPkF_^=qA-`f>-{C9}Jou)027i-lT+?b2(qVbKVd$ zZ^6HdEZQ}*7d#AVnz~LQqlWvS*8Z80=?BYQWv@Km&SyEg__V=V(|bPPy`A5bG-?eF zTDc2NvF%LQE9Lm}G^g2)nF}7R=QOKoS@6l8Lu_UtXIt=BUzYB-9s#z_8;&?a2S}bx zU)Hz8Pi4j4j)a*{SxWO&BHo3we3e&<NOZYyL2AY|uY8q^J+=pBLhL~MG(ejPZi$2L zJGA32zF+~G&j3x(zper;)jxVjrbKRr$icVT4IjSArp%x5Yen-tx9N`-JyH12CiX00 zLW&~$QGU>IkIbMg5Zs^>6j?wgD9&xQ?9feCWdAC^(SW_vI`V|$=JTK#@y!#OzkSv@ z<CymO>7HozyP%t{?);8C;rO3H?Ad}3KSBL`ZiSj1d~L`5Yp*!2Jmti9Onj38`&4<* z7DQRllsLc66NP^k+{G7u=yO|o<dsRTyCky(bk)^M6Yk;*H|Mv?q}U%nB=e;&S&{wc z`^^UI&EU>IyUv+|D-JvHo!XzC$ftA|WD$5k;p%_Tp2(bMPeB9l`&(NrJ>Hyh;+q8; z;SU0J^~*Y2El<oj<;1t^`9=fwovT4^Ed#mLTCB*RrU!J%$Lv;_9`o2n(8f*{Zp#i( zU;m2T5huP!?HfQBoPuUAz}poj*rb9ky996S1YLIZ+)S)!!rP4o?0ZW=V=6q{#RfN? zaK$W@5!ntJ`T=$JKY=>?DWI(na)%Ge9MJ;Z@*xJAw+G!^2D-j-<?e_Rj@7!{#S<#4 zf?(HonC}t_&*Uot-FEd4bQ)J;zF3ig&5Tw{4baUe$J9ZCRG`Z}b~UwHuGk8SdS1}x zmnp3>Dxk@)DC1e6O`Ye{68Wq^r*OTQr*p>fy@FWL1bxuGWx|mCq#qtyY3P9_FD@2| z6$R|;1Z~$yQ)Isi8dkc&CRP-1|A$x1QcI5`ktZCB*+D~8?A(?a=RrGk+&4^Uepdt9 z)v3m9`NBM6M)M(FohJ!9x>_wYY)?4x9RuCyAsYMj(^*#Ng(M}{AV*S`={!-`XU$!F z;RI+`??ceq5*AQ81<kvGMnc|{f}H9HS`9J3)ly<jx+4406IcHzmwdSN|GV%1Jq+bs ze=mXtMCxD6VU<0;{rvIBzB^gUTg$eDO528Ur|&vfnr2ZLCY-+W#+w+6OSYCl-X~Z4 zUAT1A?ca%so6A;AeUxl^bIF~pvV1{7850(>^kz?)XlLQ!xUk#r#J>5@zxMIpwP)A2 zd;k6S(Yx~B{}fK1Ui)v&|9xU_=U3iN*qr7o_3g`?$jxc{J$W~L|G~hw<GJox*Y6Qm zY@YMJIAdM6O#H!DNzk!29u50tu1{f_QOclwZyw^Dp$sd5&Hok&Z^|spW3XCdBjo@& zpyT_qGjlanlNgkkK<AhA&gPrh*R?(M@~1_h^HOveHuEKfHHgaVSs2b{>W~1R(Q)k% z=%}VMKW}b%#kSz^D^J)_7m<Iy<!~t^F@%XMJiN;rbXdw&?oFA0pE8t06#h8`JqYF7 zC-4z3AT?~h?LNO>-<#>%EzNWx@#&$-&|^jRhQiO)06Ry|T6Olc?JNrpuTp(~3gT$~ z>u`Tv+ofQYVzoCb&}{8K`3An#)3-y7ne1HzJ3#35x_-WyWmWG_F8jI{6he=?H$x7W zdV3ab75L<q{Mvjo-8#VrK5hMqc<7NK<-YJ^MZiZR?Vgr)`O|gA7aOwvZi1aoG9Py0 zO2q49pupM3pK|%tcE%SQs*0g!70u6qpHa1Dx3X2rt(%_@=0yDmoh}vgdm+S}it2E< zRp3*Rm``uc{CJ(AB%<=MJJeTu+2OvD1|9NcTN-7i`(LnuZ*|$46VSl<4nG{|T9t`a ziq+r8?wd2ezGo<j*!#K%V%3i)AK~$^s~r?q;$dl*f320D%~%rgF@eE$Ap<!5*rA^D z^?&N-O__z4R^>$5!PCz?u*W87ikE;-+sU|fa@o`0=g%#>x{$$$)!;QlSj~?lkhdCq zb}l#^rSIDAvs}H#Xm;3g)&+-O9fqFS^W(`@gnQPf8@`^i$7=SqboK>@yX;wEC;!}h zes+qGA%h?zH1*hB{&(r~=FE>X8A>9427yj;TEn1yZ}xUIcv1x)C)4Ghdb!A%!D>yF zE$sLoy<a8pbA8r^fzFfZ+<J4%E+q!pu=D#2&RR7@GKSRzA<~$wsa49Y$m&3|wfn^y z__Uv`KMe`$?cs=1p)#yeZr%TJGbiefNCTgC^n9q2?_uy`eZU7t?JBA@)6M60Xb)Xp zX9qcs$1Y|%BK<r9rJr5AH@Ex>WUyKjw-b6~kKIlk_@OlKmc5ve`TUuF%H>}RL76KK zdH~hEdx(RNKqvqF|K@vh%a2e7t2KLmbl(&?u!cF}W1K$R7;xacJH0vc=WNiaY?;N7 z1Yc8;4T>)C*<5RLFDhQn{JJzJ>aQJxY*_wYC8&#cYQv3rcMjy5jk-6t$bq6Oe+ty5 zZ;0b@z<F!_4z1Z@`s@o1pURDfX1~3*@azZ9>GOVind$zQ1SRG;=!t9ca`3~j)|486 z67%P+H@8^5TW#FHxB5N*0(B8k5p`7EACcy3t*laRS(e3`t-W7ZYo;s5sQ@{2?e=+a zWSyA8Z3RB%%l>DTnXcIV3BISBp{cOqeJeN>&Mb0l2RrCljq&Vh@}ML1{Mn(Y@NhUh z17`1?bu?$!gJU^Sb3rFL&F6($q<$G}3MiHC%1<$Tt+P{e_O<7rz}XK^%R9}_qon11 zfB$`YpK|%pBv4w;f~95apVQz)>M`GTANSSUQZIi3os;$zcKnoG%&)s}HBqM*IWN0x zdV4eEOuu=%m7!^Q6XL+9cP~L_BmH`xdihs0s4$#z8(OryEr$CHe6m*lKIPeBw?W6m zZL)?%*jCgCTenkt_Ox>L1&3E%E>DAma=SFr!BJAOMsvT_Pw-tmA5>EmzdHxXHva42 zheEB{tqLj(3;oU3erH>7xQn}f2IO$J{CIew3OQo%`n#Mc8#z$XESmzcs@fbiYgIkC zmlO3*k3lvpcc(ryq3%H(#&->LDAdb+^68gf?PMs4sQv2?_4wqwa9@?Og92wE`=-pl z-x*3GKE7p#`uuG>+*{zlk+0L3Ew&zX?3nc`NRe9cej_~E!Etr|zs~Gwo9pbDUu?({ zcu>FpH*dnVoe4+V{SoC#m6277RV~Q#el;qyukphY+2nL^RHU3@mAz&wBy03`&pz|n z*WTZo?%VwrmR5gffU+sLR0Y?ho9k!#c7sYVJ4sm8-UrVV;G$>NcKg}W(%BXqUZo5* z<VV3IM9C8$d1hHRU&`fIcR-;7J3Vmz8B2>`uP0~gzy1CH+Wz2&=u6;%jxUQ!CEE-C z+{@fyIwLh@md~<Dsf&EwW_6~ztxHLpadX1V#I#3JX<|38Butw)^U<V<sfU;J?Racv z)W@wSY3e&^=0m@<wwofp&whQec^-3T&(HavEwA&RtF^bU_&PtQ;^Ukf-s_L4703S* z*47f6{pY@xSohkGlUD8u6=+S^IG1D9d*=;D6j@CB)gxYAVX(?(oiF70Lo;i>eX+`A z#TC2fB<%dn@ygzF!=cYCyyiQeOyzBn&t9qv?ylYJUhuM}>1v;<#=Z#&y~UhfdWsR* zl8!H@vb^r$JZ0y;;ocnYcuBpOO->xkL+d^-;5f);Ef8|2Ibm@#r<T0$hLcR-{+gcR ziaO(iLfRZzc77YKWHsG-t^aWGnKP;@tosvo+Bp7g<_NRsTJTVlMSHF&Xr=z4xmkNE zo_Rb~Yg)$KbX87O!%j6K`R-|hwUcHGuGwnq`0_62HOmPL-b`e9eU;<Xe9sLBm72QD z1#(LC9iO&ynnk(>l)mL_+k9ggbjA7WnKP9^rySHZC9G8D$g1y6m}$)MD$ZrYol=&s z?-fD4wGWX^Q{!qamtCz$ZVJ8Ud7&h{>8hPyz@LI9(|uk6n;bV>I}hD>@nx&AyH&a1 zl-daid($~it*>NVw$*Xcf=AXIX17`wd^*lyX4$jgRXB&3_1p!&{5hxXn6)5ne!@z2 zj;#N46C`&F9bUOx@L*9ibc4gY_cp~#J_I(kwF|8YQO&54I;dr@m{G-gFl)Q8$o}~W zz54~H#5~sW$zFS1aEhPsn$5zFH)}bsS+^|s!^t8&$1C9DaTe)PsM9{J1+CK;4Ed{l zFiBq^r2g$y8T*Z@j%U9M>1;K3d@FR&=(ymOSdR^NDp^3gBMP`34^M@h&s@y1T4mkA zf?0Wf8*WuIaZFz$ut@dz_c@lAewa9(Oy;=uOU&_QF~_x?Eemq`6ZVR-Je{v_Bf-@1 z==GTH%v_V{3qI}UG&?B_zLi@Pa+pO<)2#g}5m}j_Jw6@N?)*D@X5yJ-meS=cr`KLT z3O?)V&~6T?cYYf#sdGxndu}*o&M|AA=Z2`+3x4#nWdE79;E6Iz_TTOWU#zYtTD;Ej z3MjK~`dY@V5VOgtW7-`3b25@LjsYKEvq;Z%4EQO|GCRgS;A=Qbx2;RS-}x-wN7f(T zlC`fhVP!67R((UlOlFQ(a()}`oMibb&7%Eh4&>kz*sc)yIhH{`*&Q#N<{#J+R;}## zF_+`o3Bf6M<|nK@FFeJrGhyy!&Qoz78}40YdHP=E#skKtF5#x$H*P%3KS^_#-JG@H z)o)I*+xiU!C%F`(ivAWGy9=ocUHPMTFi5xQR=AK(F}vg4-<;E`XD#?AWu6$Ny=UHn z#90YTzYCh&o1QTBypV})W5U+=0wVhx5_(T_dfju|aLu5h;H037x1YUf@siI>jyHLy z7yW_Wi<6Mw)HPr6#tVz4Q>9J5=ag4eNghl(&AICTyo645&a8j^2`hQ?jum9>pPDdJ z$MLQ$=d{`KkZnFY>(88-cxXGP)I8@6mzJ{hR<o@B)3@NIFw5${(--`hBe!hpv1ksj zcMcn_xpR2Q`)u%QUGRpf>FYT)je5g_Y~Gw&|E47@J`PK3^=W-R*P`1pLwyBx%=#Dn zYUiA0(V@V8R)3=b`^sR@-JR~B(Fa3r%NO@f9Flp$rt@UMe-7^AfFGc9xYrePZ_DHJ z%1={dfBY7-WuQIc#KA3~C3X8GL>^8J;<z}qNMQZhJu91!@kgF;EE41{zOZXvtIU%3 zsfm16{2M1UzX4q~As*l7uF3w>H)2NfLC_iDKO8};DH)*WS<IMo#))s0J!n23w5p;C zv@Y?^VJE&>^`O(j&$GE!6zaCTcx08azEoRospW~BGfsTFZf`JP-x(Wu!m%E7b7(-C zBKzUV;PWgZPaOQ=1Ue#um)mm2J<tsk|3E_zpp^r?;dk5A)!9#~a2H>wvgWq*cz@`S zOv$%oMfRJABW5(;>vR;1i)j^zjbZ=!9^@y-?p7I*^`N1L@(mN3KgmbVXg+ya=gERq zw?Ar}b<9x)UD^S<L*&NHRv8n}P|qvSO4NTwpv$v+TV+Z>D^ZKWK`TCUb<Q|G<OeNL z1l`@*b@$_`$=v$uz*arxZB_<dHvziC^d;!9r(Ai^*;g&CmKieVo%kk!t`A)c8nM|0 zI(1{(<_XPjK<h`}dx{lJ0Nvv*k#phr^$@<UXwaaO4!5NSXwi$$GthBTptG!V5(8Sq z!xdYuUvmC?XQp}Sgb2_M2k?EUp!E@QpwmpAN1SjhKR35x$}@%SmfV&vKx<w^z9lHK zA8wAE(R}Hm&Xa^a4Xu_dOh6lOKr21UjJYj4KnHtE^@FywfX>?3`Y-m+QC`JeJNPaY zgFR#gx)|N;{2`eyd`XJzKS4Wq4r=N=Sx^Bwggxqv6W=NGjRx$K8M!T2*qu5gb7UE4 z3&y*<e}t?&a*jFi&APqWfPLlN$P<pg9mJj~6svGszPJ`KqxsHEN5Q|3+63afEnn!* zstjgtl?I)_9w+u}!57dqBcSNg%D&(1uE~BGl-{D+T4nb9`Cq$vy*Q)(Rj+5DnjX5B zWA63chbI|r*PXj*vX$=6nN!;Qmia}!EKw`hK56ASImL6<?P*KqE}1sPbH;8Tuh&z2 zJiYVW*dJS*<2!EHcesG>vgfoVDf{lfu6kGd@5kKNRWaw!uiJZn{`vR&<4f*M@>SCR zyZY>`zyJR$Rk|u&3}2Hn@kGz`Gg<7uix@=gCnfX-b9l{j-Eb|HW$Aj=h;&ZJn~acC zh$?=czHj#<$?QfI=x&amuNYZcyR7|WCEvOR{A6fy?NeA$C3G;!wCUA!0i8l#$FsLN zrbW31{FZD=O}p2ad9_zqXS=QAUt7+w8~qC&K4j6J>j1u0Ko7dI+p_BGm5EW)7yR&Q zGM%TUky)M;m7VJvP-Wdz`b-GCQvWc=sp*_%7R?JDwX#U-s;#IoKB)9vFeKJ}!;K!f zw%}UsCe=x>fmOL+_aJVLEPa)TXBJJnx;ds<&tFj2ozN=HDW#_#@zS&Dn7+`OO*dm@ z?5)}pw%+CFI<FqF*dgFkMAO!N&H=w{no8Hf+*N(}%EWs{O`rOOb3QOR9=&mQ#x=Lw zLLqhf2bF#cg#6_>m~>nq<i8pCu&+O=2ZLmrZh3P~tL$0u?la5jy?L5#!S!Ybx!%u& ztXk#Y9PI8jUoE4?<e=7lCGde?`xP|mY!6D63r>k~-f(Tkf-lFuCq%u@aSkXGZ~FR8 zP2<026K|i=iXXmBz30?c+);^mvJ1LcZ*{q!Z0B0F761I3RL!E=GOyMM9}Kb<zB12! zgU`GL?<TUGu5DiMFA`B@`CX`R*l^08qs#66(E@N?HJ9Vsj|0$sA(aoVOuQz@veaKK zV!2zuw;=G5U!aS%!URM9SRZsVo}L^v^}fS~6MQV8#w^`${R94Tvv|+(2>AS)#XHs~ z;CEJ2YTjJPNcqm)MXx;aTmtU@nsJS5y?VwD*@Is1)id_!AJm$!u2E%kFzddu#yth_ zKEiy(h}U+IRj<jcZNX*UO<yOmJiYI};b0SVe&J(ru)EuF!H|D?2bIJHLO>e{%>_f= z3+rs0w%}Pk=d@dY?E3D{bKY>~9ZTtRVV&=hO}~x{tqFjf^|fCuqlWpQ)_3Ub7c#X; zuRPw`vm7<wD}Ooa@mdzu%PiS%odbRaG?n%#YE)Yt1fTUKdXP=Fsq4Ey&KC*Cr}e@* zn@)Q1EPoZvA$EJ}f?w_&(=1Y;t9^BRWj*J*1^kw2N?oV2qT2RgQMvGyc;^j=_H#(( z{b5+PHK=L9M?IF+H8U2xILNZPcIJW~D_OGt%m8m&jdc&$<-Xw@Z0E)1^S-h#bGL9^ z*7~2;#9OAe;)h~W?<bb2`&~A8w1V#}**#~$FL{n>JDL|fb7ldpdbR$g(6;%EPSY-H zL7nf8O~2TM)_gb$3ARrko~%?}DlcTRZ%V>cGslanEURrj0%~jzN=fq=teq4syk;x2 z<IBAq*KW>VkTWr1uQ2DS{|yPv-JDwg<|QnS=G3xx*l@xaHe(e3RbbiHh&c;>S#wUi zF?qo=b;vB?NAM+X+Z{LDdC&3czSD+7e_43vD@AznCtLJxSBZEk%i{W6Xw5X}>>%`j zHudu}tR5{WW#qQ>&<71(gASy6!v(q=VOp!q6Xn$#gNqGr%xRSoxqt4EOix+5BKy;R z&=w5PjZ?o&L6=l_w8~tmKXyn)B?@$AQ#feUdQPj%mieH|5%m4K9?aEh_%PS%McywC z{o9W3a&?|8n4gx&$0eVv$bQ%vRC$Dh>f;j7`BK54qbR#TM^U!6${d-#(SW^Ik=xQ^ zA87xDS!DC<$<1H3ftD+Vixo}ys{z_E(9$aNWdET<GCY2>;X5lL=Kl&{Ukuue`13qy z)uJY>{s3*3{CeH4Yqbe`=S`4f^TeJhOa<-0099gVE`oMoXmJ-$_|3^}`6B+{AsG=+ zyP(Y$RB>={TS|cDNJ8R1-#Be94qIB8tLENxNahM?Q^uoe(Bew}O%s}*1nQh|Tz$xi z?-J-R$+@6A*T40H2HE*Q6*B0?cbn5rd|m71K25)HFhh*nQe%0<jOG(Iph=*PR?80A zlTLiEmV>rMZicLZeGqxd@$;sY{G}6ifsX8&zQKTfCL_1yi*@OVd_~fBeXFN5|GTDh z#xc=dtjOR_H)zu0fD_*_S5O;Ah}*IQR4d*B&0f@jCM|aKw#ul4Z!}<En-02^{+aL& z%i;^2peYx~hLJq`uM7FAszLj3>Ol9R6o9VrXl%8Vn0wNR@09pP1NMoaDV?pS56K(} z+ccs1*JYhEj)&$Q2|eXltPP5OS=gk-Z_o}-(CKFD&N%V?N(bHZ4n0}(!$T_xy?MU^ z*q6?ZJaMpsmAg0~^0*V<BI9e1w9Y!_a*GuS_-`^`@3oCM;aJ4TU3?+xgcIMT;~Ndw z=ep`VQK$yZyJdq8K<fqF@@C0xsbP2WkW5cpiX!{dv)4Z6$+|p)-(<exo!Qrgd`X}N z(%u7yWcK`FuM4*S$9~3S>Zc0E)Em&txW4VY`f!f0akq`iX&oc)Ga;LNY_?>iCf!u( zk4T$w^Tf2ov`3Q0-P1JF#BK)JY&oG5x!P4*_%w5t+BC^jZ<Dz%%#K=`P6b`QS#1CR z&91oXzklB@zJLFHynoWg<Y<?;Kb^~;?fc>nI+yw*X!U~8W7pu%dpt!Nmg*_5*gGX* z=2Vu__lgnk5}STWbA)xQt@~Nd{V$rgTqPn?zv<X#&M?ca1ur~VR?l?~_!HD*x^A{Z z_T-axP8{_cQ_^2~yv}R7#4osJ^SlLb<{UR%3%dOBese;zj^j~f4znnafS)=|u6l|q zszBSmIab~G+;BvzX;yO6(zJeqIk&6@bT<En&XeD*40bPS7rbIW5xi?N&SgW;<OLs# znnK?xX#7$*nB^}hGXE>fvaQFyv8a|SN4$1ux>VUz>ZbrMth+f+#d~fzxCv6`6@A>c zQh70><I}Ay-7fQwY+04(z2U|>maE^@!8brY=A35LyP&K$VWui%zSW=QtGq(QL(ir* z>GcN-!m4;3AIEZporG>8kg+X!<?%L{<*2`E#N*d2s_PXZUO#79ny(n~ysl}Ja?{rw z-DRQwuChqK@d@~Nmql9EDd6X1mhL%D0bjFOx=lG&{qITWoUIPpc~Nlk?0!F4&$VhR zY?Eb|Z9Q|1rF1gO>Al?x{vBk|u4!8E@Fa_N?bHPypR%m}GiAXGUzXKhIlJt=HXP$- zQQcnEb$Q!8hk&a5rcyq5yX4H3iT9M6K7}^%>M5`IA>7m(CKU3I`=C<4KuB$0!baWs z$F{86KQE!v#PRHRVVzPF$G4L?rddv3@NOx~>Ay1;)U_wH+Cx`8wDbGQYT9~Vs5mEa zIqGRCi|colh_|&YNBdPG7P|y|%4yoFr>Ie@d(cXo<J3LZ4fnK~KAjiHG59UXvplIO zVewr~t^LyzCLV@vqg_{5_R8apPt&XWY7vjJnr7`+idf|y@Y}H|b)MP^>ulR)Tkm9Z zzIyMr;ZQY))HBYoUp$VFFLQ?d6mz_|8gjD2ZO&^yK$|5%+d_8cz4FlhrL!y))D$dJ z)u?7TSjEk8s(w~N^JNaLy2%NPRavIqX6e4;74TJ_rF*U7ihU>9c+xv_IkNV(CanC+ zktOf7!3T8wCTMWz-O6D1qWcOf>RAtR?N`XC;6CV8ubyF}6p_04xxv~+g-uuQ`2^I& zH<{YG1bnh++UlpMQLA{+innQ#xxkuoW5=KS1#>ol4hD7Rm>zTG^Nee5(ZV5h!r->x zSwWpL9mlWwLOO+vj%VKs>Xh0#zMai6ZD;?2cZ*q0yT%<Tc$M$E;gB?^)H~k|m)JR_ z<efL15{K<UnrC0S<XddhBi$y`I`@E2aZOw06gB?Z9JGpdOVa<VtMbQoleOTQ>1yD8 zKz(W}epEK~o>N`%N4Cjzno!7J-GfQr1w!P7bT&c`^KQ@1Gi1ITmF3=at6E6Mcs`^( z*tg){O&0AdoKkV#8!qK@NZohcaH^i8Yn^&TZgHZ;(dR;IK9)62ifr1-r>?PgLc&~Q zXq=vlvngFtZtD0mUO4B1@`@k%O}+EfR_vLX7}fp8A>eB+OZQpj75@yIRQ*&|L@GwS zQfj&tE~vA4&VqMuS&Aq87U#Bn0XmR?Yj)n3Pj*e!8yOB&7aH8j1D_PF3EGgszQKTf zYCmZ40O$^?x7wij;~B7%6hM{o+Gx;Dj02!;hM?W_XL^5bk^KT%PVf^nz1|Gk&-YOo zw07Wx6W^)$v_w9ot&p_?Hs1VK<^5`}IKBbz+f;__+XS^0f~51CR!?azo6~A};>{r^ zzFnYeDtGd4p3wZS5Y#pH2JQJ*<Sq{Q#mH?basR*}nUGze4Thi-3#;d}${fj6%R47y z5@!nAkLXzRd}Y5?##_)nL(r;%xuE@$+s+)4`JxB9p$fdr0kjq3Bfrj>gD>nrw`ldW zT6Uc2c%=1o!7nedXA09&6xqLmHXn92N1kx}{8;CV<Es?V4UzL(El<SQ!8Rl;xIOFF zisn1-LCYLKoy-sMpk)s8K-*xGg5$O|e*~>3cnUhtp-O<eI6!;DgytVgV$T*7%5htI z#2q^%vt`<*3C&-;#GWlE<_BH5338*^h6&9zIbwO|WO&Lz2VX*tqug;Fv}pv?bN>Wt zV?0p-H5uALH*cPD;(K)+v~Glt+cM+c*+Vi*<dPKG--32`q@7FK;br;aTxud;Q8j2| z%d}QY4bZh-ZQP*dSESC9gc{JP4?EM~t078`UU8Sb0=hZvBj}>A<TFlulQyS6);jB$ z%?`SEb8f5Ui!+Ep5zujzY@l-~I$C8!X6u|em;tJh?}HAlmP=7&zq&kPM)Qp|XA6sq z0`AWPEf+C^N7Cm5EBmcHo`O2@ETB%j6}ROJJ<x`s@J$n%{~3X<1_j-k#s?a>i4rR^ zm}kizp2??m8<afP>6~%Qtp^?V0NR1mm#)Zu@^ZwC=CIyYnI)h$lKx-c>R-?6TQ2s$ zs9?;UlHGGBRkZ#7f6MhdRHrTSU45-La&2dGr-oaC5_j9_c1{Uy`75iq^NyS@@Ki}i z=<z-faklCpw@Q~)qwj{7SDGAy61%jER+d~1>tb~-TobHRCa}^_L^8op@AtXlGx~-5 za=zVs{=NFV<;}a_uAN<d>C*D=8@1zpPBM$~dMmiP+Uu&&=9zb+r>QnvpQroaj_Pkw zWd^rnJIY=zKP}tv>{9vZiNAK8E7F9n7CrV-%JZ+jn8T8+x@ge4^<B^vxu7H0a_av3 ze~~-8#kZ`G0lI#8?RMyL&zx_~Ql7%`+6^98GeIj;cY)8lG?ch|y!@5+wjbMXf03Iz z)&6QV1MFa~+Vy2A;5Fo8cIzgZ{N2mc5z@RCv`+M49CV%NmBYWfeC2k=zBi2cZj4yb zefTnT!-iSeM7K+KbXf&fW|f0hpTA;<E|Y#6$Z|Ms)!VB6sI?6LzR5bMKu)@>ZHC$? z6`rN?HUIj-RnPoDE0)(Tho1GfH<0D<v;Ljl?=RXQZ=AR`1*$J?|C)&=h5dYCrE+1) z3`?)cLYJN&kG~L}^U=5bwXIdU2;u~>J=L&HDPsE;Pc->!ExSfaCP0~Csaefc{U`pm z3~iv(A7w+8B+M%B=zo=K=0)0b!M`51T=|BtlxH#c_&j;g9+6k8-$U0|-;;AS$a%J; z`m5a5&qyn?*XLh?EOd@Yp6wR&-&1x?*1iRxEh46c^P#8UfsU2?oNl+^dq!LgXdO8; zfL`y09Z2^!OU2h_b#v(4#bu{JM`XUUh6d5&<I_3Xbm#qEaBp#OC}`O)G#%W9ts~#| zA-i|U%Prn)tJzj_gHD{h^`REJV18xr7tz^!9+-bx!*3>vwBurjFLXJ0@^6z#&%*wN zv8~R^*K9b*6p;0`O^Ok;k!G)LSHg`ms=J>?u8)mpK<v1vZHGpURBi8)f4gjrBCgu( z1Fw(XY6n`b3_VrO?0%KUysyvBA}t5s4qp!b##qWzc<WxHh^v-M!CFi0SGqCqfc6^g z4~XA*bp0R4d0)?gmy*M_m%O_S3E>-ux}`iXtG}CkaFyd?uwGt!=su<SyL{p|o|KlG znqIqMF=$&$=CK7=7<8T=-UoH@v19*s*sz~pw$qqPA?T{z8qfid@oOO#=l3f~m>GYc zac}Xq)eLOKu*~-LFf@L$*W6tH{YAb;gU8i90iay^YZo+}%)1h9{K>7qRBa-!sqhZI zJ?iTusI6)DZ(XkTnG?@2aaHk5(Eh03&?7Q`|LFbIrF*Z|;r&I+2vC}U?k#wCBObac z`^KT&CX<%w>os_o?uY_y2K%!SVrgyG=6y+%x!J$UH6I40;3;VgOW6`E<=0g@K{tEc zSSjUM94_jxWL2$mFM~wbeh>*d#}S-@FYbS3o7D}{3EgjW{kr|i?+h0vGPtZM+~yWk z9}GG_uNPD{Tw4##;^3@0-(t!4jCB$x^!Q-gz`nxvIGwF?QO<k>T6{kDEJ)+KJZPcv zL$3Cy*#Dp9d)?FDUasP2fbOE%y&Sgj>|wB!=Vfzohb2;XKzr4s-$8dc`P;5rf8)vN z^Dk`KUh}`UWq|o|-dbqMx$(5=q-S}$4IZJp!$F?3hbBO9WEOwf|3z-AFxQX!|N8|M zCK+m&GMuigg&l({bv;AnYx#?W(Bgj3p_A7HA&vzfz5DaJ{KaY$f6z*KxGyu`o&X;& zc(yKBIWuWGs6Yw_6-aC6O^$yHJ|WXCPqitrJHI;a{YA^=4LjHypy%hldkRfL(LWm9 zE`3q9iZHcU;>O^WTX7};y5Hl<DxrfLPJZ9B;=4qS4k8WJRP;jA(6Mb9DraS{99(s) z7+fGd)_(~(v={8t&;O=>mD_qAR1ON9WbooWP!zY{51PSy-%5G@J!}<mb<6(~pkn1( zA+%@!7fA9R$CoYTKQKESq&Fc2oQU%O20-<0{+_AgtMe)$G(B{yjb=0Jf-Ae!L8U&Z zit*PI<ca>X;<c^Sb+H4R;i+M_D^xG{{_7sI;wGkU$TElnAHLg`4lTNupSu*E^J%mD z$<1~<)*)w8_&LL`GgZ#6t=-BKcJ&_Qgw0paq1N7B%+YqXrmofI^;UUMc?LV`a(_J3 z+TP_RlYYJKpLTH7vy+g`BBhOxEVCD!Pp8KzpRd{+j8vYzR)oduzi8#mM=eu9rP69} z$x^Bh-CNZkziR!BN87_rZoc=k5^1B!Zd<5c-5(oI7k|i^Ww(|=>FNW}HoF@eAR8!3 z=KpHimo)k9^_RA6-^C9k@GUR_SB*bEXhQY&?k(GTFZtaZrjC%U{-C2ZU&VuVOC=lZ z+U_pMGyCQ)xA%d!qe0~Zw9fcj3Ds$OZ%^3!i}xcKCa$Vn2`X7io00uGH~&Jl$$#Y9 zMtgrC=#<P$|9}4xUy^?(18Mf7a_`|uHwtCaE&61iACqC9d}-2*DPk{umMjHNfOtgB zP4S%dTQzf1lzQr=lXp^sq84qwtf{+Ga;~5E$ty3ncuc#a^7(Jv_2T!RkH3$({<x}c z-~QKs|JD5aQ<`^INv!f?eD1kD|No1h)E0WVCuglM=p-u>)__gZ6?dl<X)(NBtE};# z<sh4M{?AMLTzxBc=pJ<Q=Fm##5VLGr@T!xgTUUKWo#8<tZH}yaPT<7|*__iP1+Q$C zXxn@zr|DNWXV{H=@W`;ZiA~NckCzoq$5NX@^;9%&DMY+gYkIVr<66ax1y9(Twwldq z%$z#Ub%Wm|@TJMRsv7k=2iejELTu(IEMCo_b>CsbiMz1T*WbbJNv}Cq?RVI4<jh)& zx?;hDLB9pA*iA{8nauHOp5KO;sSEy1WzmlDzW};%Ku#g!kHtZ)^MWFC4TTR!<}x~d zG&v~M4!yN&^66!LTl|zYYV{6Ug$qoH_1qBGzTi(Si?oefz{mY8(zzQ=mxWfUH@SXO zTk)%`>1dzYia4c+M>0*b;uRxaSvB1%7tkqw4;#C2U*7lRpL0O{=g1k?xHy~Iss-14 zF>5;JF1Y4XVAG;>;Wgh<n;u;kTJur4X_9Tz)_*PmzcQOj&2F<D*0i3Uu-BIJ)c&an z&3_<s5IcWNT&cWxGly1vXTrp6j#d9ABy6naSoMEmLg#XhEVuOJDDSh1EB3M<G-4OJ zVmm2eC%^C&`^gEd`a&jqW+f~&b9`*dvic9G0}SfyznC2Co;CZ3;o3*H1=nnpc09?< zam}h}LCM5~xldVA^%W!XSsj1M3*>B=wcycvPBSUNkh-JWB+e-nHm%w%q_fT5@oTxD zP9f+psdfRqoj*^mR9@N5k!8*?ZRgAd@3fkp*0~4NGaux-#dkT&qB~(}EQi#4?+spk z3tkkmtgdZZ@Pm~lyJqf!C%r7$cYOnXDK?c}^M_qOmD8WO)_n4UKj&Da-#7<+oXa9D z>m2a&F-!LxcktNJR>;^9J7?CvsR=8eb7q~NaX8bMoAcE&&S}-`j(<~Gv}<yhz!y;1 z*p$EWc)6OTH(Y4Vr{JbV--Xwhsc8JuIVctVt|4>k9RCg1?y)T8SBrR_(X?r~(3)~S z$DdXQ*{%zQ{NX(4_8l^4bRIh56;t~)#wUAYCCk-#?+q@~z;|?8wJ!K}ljU@bU%>aM zrl)m20sGxI9GcH5W#_x$((1A!1ybi#Bc6h;GEs_nyO-stzgom%Bgi3WesN}{OG<ei zU*6`twzGY~8)cT)wypvHrgHjZH(RoZ2D3=ZdVmh)?4IKSIh4~Y;O}h~?>lY*pQp2U z^KxX_du}+h>~oxy9{A$&J9qPfSQ2*L<$NXYu;I{dPAM_YuwN#QkG(m-gGbSvVZT`& zKbEp&|Czkt$uaAXTG53~m%KSZ^BZp-vb>(_5b!UUMLGs_shc8;b?C?0!R~J6!XfX3 zbT&;}@XDS;Z1c|<VPA7OrbYM!eD-DWmURpGZPAo^iltOvA>y4h%h!CBh=<Qvc;%HM zUcP7PRpvIB6S{34WWvjG)*P!K(CUw+EZH>^7CeyzpKkF>tEn`KCH1{h#Cyl4PxZ<- z9#l5Th-{BKQEMTg3mP^y2OS*X9C^ZV>w!ZuNBq(i*}v+8#^ANpT?=lCIaJ)_n{|Kw zuNBQ#=IT6IP;1X!JfU(9=yGyUw|b*1sE2(XbZ^G`bl9XgJLrn75YW6vC1_p)v~i%e zzg6alwayvG$J=$DDEyQFtukn9m07a=hNO8qpVep3a6kBrqm+1a&~;Ec+?FTygI49w zIpoCG1-hrgZ94pP3t7<V7Sf>8Ei||-SM-ApZF=SzvsA{UJSCAYsCwgs=5LOmLk={= ziZ0mB1YPbitySiWesUro*ICeb3}n;DcF?Agm)CWkB>Vxb>G)~RZP}5xjeFfCnJZ-p zitHb4BWE-pi;g(qXmtLN%oe{SMfR7QBW5(8TdMO!;kPX4pigOT%M<^*S}i4bB2GAd zj0bi9FCX1uX{nKa{*a8zH~1wN0kxm6xXT{t1C6TigN7=ML0eovM|Q1CQ)GV&It=uT zlvvS)*yD#}p3F;7WdGY+el&De^Pz1zPZD;tv|4JUf<m-F?Ad}MC2q?Oz4S!BEYM*e zKS1+Ug{PNr$gKnKPdRxtSibZ^)M+QaOUh!;79@KYOf8xKx<>qq80f?<@T7(TXi{Sy z=x%b*CLAZRX9|}R6xpxN28~o`fmUtIXtngnNl;`z+gk=YV&e+vDssO~2JACyL3=sC zkyJ7rG?w))0(7=mGw6zs^G<wipox{G(jbTGi9K6zDP58MB4`liEvs0O!2F%o`nMgE z1wp4<96ltog)dc+{be#JG+*jGQHV}W<f{S=d;Iwdnszw{kE1SrpV})2Ulf9dsQOwh zJI>r-23<`KIu=9ctP|fW(CAht==`usDemG4R?}N$wyX!uzJaE|wjVwu^W<H!BD?<I z#`{<L|1<WL-QNVN<LiIC2AxV>c~|DO%377zC04vERqe}U+8-tye>g{$FJ1jokb3YX ztDlCdYyGSiPY$~@^Zw)%&*h$3o@dTao8p<NIkRQqWX;TpK1(*(&3|3>?w<X9&;p0= z&v(81{rBJJ+w~>SBc2?M&;52y|8M6L(atab^HsZD%&IP~`EW;t%i-|ZVEy@A2fS_z zn9TFvaOx80mNXx`RSZq}8oP>Kd8~B_sL?$rB`z>!PgBC$*_>YYT{oO#Yua>PXwCMU zF+Qtnni85TSwyD`<dm>DK7Gt7X4$*oRV7PztY5%iw<gspVUB4sPCK4FQx0|yIxlc# z-cIgiThGWe?JDM+X5Fx$ZeBubGN;r!#fX<OO~+nyhV7iU;Kf{))wV7He=?g)7kxIE zGifvDwOcb6d{JsD<%8XdxjXBX$9s{cPw9d=1(J?O%?`Si3xw27NSL^sW7WAEqL-s` z#2mlMa!!lz2>5K!w6u$5Yq+q^=Dr1>QT|xxfd6GpqVMKI*B-bByL(BWKfXmO-*v+& zYmTmW-WzUNb9Tu)Z#cG`!)u=BhOp)ZU#_yezT*bIglV3F#`?Pkb9lF@toTvZ)GH<s za!ydE=nJ&szv}NN+d0=U;O}b|?-<vB&*?1QcfA9C7dE9T?M{v=-L4SvE|=x&ca?~T z0!?l1f@{8THXRFX3f<=xu*G%5Eq~6g`_3DV#e+|!DmZykd|BU?xXI6cynM@X%~N<v ztlNfr6Iq_x`+*M=-tV>H;#A0`ly_M|+gZA8{R949txmA;&hZW?Hg8(Wr?O)2q=cEm z(820=>*iWbS}lBKe^WxMys*ih?u4cG!X|ao5~hZ~?aUOtJ1b#pEN53b=d}ub$CJVw z*Q|OMeEG@pI>s;Hn_AP?Dwe1JT{av9O?-o*^O5%VLtD&dIR{jVH@S)lht%>POgb(Y zvR*)^P|xvfKID2gYtCtvGZwtN%yN2f5+h{Ahh|e-H%C~Nx#Qzd<h!SCg6^JD(x{U? zD79Zj!%`vQwPw?$+3$KY*BW;(c;m<NT31Emzg`n>7mKL9^M;F7O{eawL_CRVTD4yx z;tgZdtN#iSNt0{i<n$tnI37-#{n1mfVQHDdioN;=joO8-*tR9?^oKNw@&&+6B0a~) zzd6EwS~~{bo*o`qZR_~)E$6ixvll$!YTDYTrV*<a@w|tV#XF4Qpx@NJDX%=<Yc+kc zSHJNfuBoeD`G&@%gIl!r_a#hJalE>hLoC`a;4d?a_Z**q&)wi9AG=*QoLLK*LtM}D zHC`d&;a2XSlbAWC+uQ+F)k|$Tr1X^|mO2D{QE9rm&mrKCQj_UE_kd4gO<UioY5bKq zXmwtAO7GkD-COT%V|iMxe&c~dQ`dX-8!ud%PR&=3cyfrdMc=X^Vfm`M@>d>foCE%D zXYsc23iy1V#XEN&$K_RfO%ED<=6sdLIjvgW@vksP*bf=U!=E|Be(^azp3M>Vlh^Sg zFU#s)&aV7z_HCPw!KUI)RtCFAb#r>jJ8n2v$dc->8u31+>6330Z=S-6AK6X4a%wC7 z=r_5h$u(q#)(Rg?D&}1E-hYG3yamtPIj2QA2mH=yN`<xM?(EHb<pJ8a$<CtvXYzuF z%eoEMPQB-Gp+ddMa>X0a^m6-V1NN=`paFPIoimP$k2P#9gUN1kx}#V7V`!Dj~0 z0Q|{AGGELho2n-^|B(hQNaUNWRN*M*@S{ma#5h>K)WB*=tIQPpGlyhc!a$4Y!a<b= z=pxR4%3{wH3az;<GyY9%we;wXIN^AE6X>R`n_aCkCEL>z`HDcdX#NA$S05C`iVSo% z8?Z0c-#nrDqpHps$EWR}6EC?ydpQ?v2VD{R7QAtjg}eB|jSS6oL41><HyN<6RROKl zNJ!+f0xv9R1}!W|0i6sj1R8;#(JCWSf8dZzPhP4b`%^paVgXPqCFDP7vVNPzg!0!5 z{)K^V;(QLTEzdSDw|k{fD#C4<G5^RRnUqzaWe|d(We|4UmM`ufIwT`f2ddcmHyW@n z{l8&CbKx6>n5C90_O!OjxPYeq-ztDsBTfR{#MuM7i8DQsFG?IVqXF8mx!hP2c5c(} z-7EX8Uf7;;;`;<TPO|yz^oLqc7wq8WE;axiIDHCqZsQ3rv7!LojRx!+w}SR<GIAGB z*wNG~V*)xeJg6Mhqye4W^;w78@<m>mLEbr;Cqg<;7TEW<T59Ob6oKB_l~8d6bP^?K zLf~<5<c#K1m7s|tOVCM_6I*4DRD;@If?`DzYCxB9p0!~K*JOVQI?FO$kK6Ku+<`+f zUp|3mG<3L&4I&OZ@pU~1t(*L+^JGDdEqAfN&SLm9$=8LT1JG<ib@0Wfpm?5f)`@SH zHE3C)E2xoZ!CgE7bcXaU&|;3ApiAQZgJv`?fR>_KgJv|ELCr1|?&5%%6Azy~=~yDh zT^w*5<VJDO;)lba=Ado^=ma#e<#w+YY@O9AvjjBBGZ%CV=Qk-(<I9@c^2Gh~hh%u% zbe=5O@sTTLsb$5>|G$^j|7V{3Libb!W9+QL7jsx8kJnernHNqI4&QZSVwBhVsm$Sd z$IDi3oVZ%%oL8W=y5FhkW<^skahiK*DlTs6ktvzjbZGUGD+=XXy>;Es-SSx58GU`) z=3CvfBsK57QhWNo(*AzMF8g;64uAezXMe}OoZWop?&O1KX7?YxogS~ZedbKh!)0gZ z&Ybx<KC40gEcb(kwa3lG?^XtWUSqzX_}cmOYR0&DnFc=XIIa)NlV=4nZ01WidYfB* zo-TY(Chsi1BWw1*xtJ3b1G>e%pC#cM;~B0EkM>@!o9N5v!gHWY3A**}YVyr3e_|M{ z*38;&d=|2at)i??qM-w_nM?Je;^mjS;!`ibIt|*I`0z1wr`E|X_{No8+KZi+&Hd`1 za{1S4(B_~o@zDF=Z)bydq(lU^@PYTg<lmX=+no>E&81qs39^}TuQy_g3}|cO*Sguh zr{zKWHmCZ-HwVE@$&QtlHJV%Z_GM1gUjqi&u=PJ<%^=$ai)!IczIzt59Y?l$bLQX6 zpq*|vjiFBdHVy7%@aC6t+u6R|$3c6Qw*H1W=Qi9fX^?YlKeXpW{R3@d%awzAs=XY% zBW%MW4%utBKzo(`y>T#GJCEC;{c1EH)XD1K5!-e4nuGQ#eT^|&8_(y^E_&Z$3Useh z{%JvG0l@@ULCDUs{ol{u+%ki0!QoSD>rX<qJbjlt30HEb<U~oyivly<{?+M>vSD|n z9qtD(oUv*Um49~*rfAJpb<k$F-`qF1Sp7Vj6P3>m+g$b=>@D!tpu6(2MsH>QMw_j@ z|F_6YSB@8|<~C%r5=f0%hE>X~`TzTKqUH!U@M(XCU0%O895u4$e#$b_JrCNJ_?!6z zWUJuAIoH#?J7K9ux0`RK-}wsL*<teh4((UlnGIeuq_IYPJjpp3zWr<$H)tp6(*LKH zeSHc_JzJqWK=<7JRc^*AU<giz;GM0X`_nEz+RXT3!=-y3kS)gV=T3!fMFQ_5eX(e! z@clm<xj%gJ2JN*yIOit!-sKEwvIn~Or^B~3U5k>F?YQ}c>-@5-;Y=42OJC1`?8W+# z5{gJeS3zm0^z)|7zh@arB0k<rhHdFWY!C(~>H7sSX1e>t8~C)RpFa<cwQHz5%y#|M zy}4x#(}l#VueL+e2l9Tm+%CSEe*3p7%nq~XbZEaiea;L>`k4O(9A}`+^ZK5MtkGK@ zzf;S;>N8(RJbINI=9*|kgqX-0y}keaTTYaXWCNeJ`P?}WW8UALh#Eu-U2ksrlgwbX zX4gwuXvTOL3*XDO^<5v|Oh5VPIlimKnJy%LUAq<<I8PeknP(TjTf2|7|6b$S*VcnJ zD88RF3u0IPd-%Rf@Mg*S2VZic&eUGlS#bE&Zsr%4AxY%kI=D&TEpPhsCi<S9eD2J$ ztIdolTnX10*Ze5R2gL+<n_AR)mv*1!lfS22esx7@_BCrJXhJ)=5Nrx)*JbH^&`#}V zd-Z2ud(ZFCF6s|UuW!TQK?sg4{g_F<-J*;yHhh{1OJwCH@I9&Et*x2QQ!alx#849P zwFb6Dea`-pZBsfJJ2=2u-pbA@#me?~^UW>4LKv*p)Tx3B->?SJ`E!c$;K}u_D=7J# zdU|uqJ~al}uswp%&2`()=cETS2r`3A0%x-L%}TSyu7gU%$KlH&*$uQ0bbX%&FJH{^ ztWvCYeR00I<qc@Nr0erk$R5V_=kOE;*~xylz|m~&KDh?I)#v{dz)HkGP#A)9&)uyc z=R6C&x#b^d8~=|3uON|H@%t1w5J8(x!6jm}-6Y@cYS6}dR&i)jt-cP=I1uN&U3_!P zpF#$!HBrAeLX7$Gp$%?Kl(}2G&+_uPDZZ=QnJ*-Moth0zs*`8Klj`expxudgste85 z)`QBIQ>D;!`0Xt`9fEiNZm(6EE%qDaoZk6opqYyet^~Z<R&J-s>}mD94(*}aW2Qk; zq5M6hozeeZOn6!H{prmuztS13*6jP+1$FWhNb&*seAjJI_4RlDxn*B{%Ec}u9{tYz z=Y8>h#xqvpYbvVK;h_jFgATvmoLPA2>dh^C?4kR@-`j%AAcbJP7aPDyX!Z4!%S9jC za-wqip+##uWdA*=XuW17A!{`E+)p2~wOydS^~O+B=I@yS%cc?Em+GX=Wlc3(d!84R znqm7p-zvks&O3{5@AALbj^#wH;c{r7$_^`i@9pv3ywruq!3BKg0@yW?^Up7Px(k$` zVVm9UVk&CkDRu33(BAsf`zH9VUJc6c6QLQSrs$UV=9h2e|NMLZ(*EZ1o=KoV5BNz{ zOpcOGqMaQQYqll|H1izm5^yoO#--`Zx%9#mC(hzi5&<e2Nn65{l-!PWbU3;st(9<5 z@ey({QM#qjCCGXxp;tplL@>u9eXaU#`Mm4D);_m={qFBR`S%&8e{MXX{XZ)@|2Je; z$Kvp{DHBgrt)Fqq+Gi1i&L(lktIQl?(QW~Mb(&Pegs$WW=xm*};F~SWX&alyYi#TP zEn{Tazj9ah^1de}2X-|cUbLU}AlGd{lRM1`OJy7%FJ)Oh$1|XW^<Y-GkVw4ihGTaj z=M70VU7E;jIHxpCV9H$I4fkZ4KK&NV*#KD=A0A;-`|89BFVHPpu2C$Z?^Pmlcpbkk z=a^Q}x!~C|_QF3`bee8uHl;ojyb|lP;m%5yulfoR4}+T8%mvnzSUWyu=M0mCuh=hq z<&nF&*>LS6W6o<g<}P>=16iLoCt>bq&QsSoukD_<;7=}#w2ec+$HJ!GIE58^PBQVN zPt4_9_0DTU#Iyy!5?Q?G*g(dl^Xjr*dAt&8x^-Ppr&!zZuH3<*dc_t0Z4Pp+w`RGV zwS)Jd*LyYaMum1E5!;CgTe%!Rc5`0)A?A28n&a9pR>zm_oYyMzz(;oNc=E=r(kFZG zTFz6;Im~XfFL<QLA}#A409phZ;}Y<7HcR(ipMby5S-g2Tvi>zEtmNfCT9B3Ry5Wo; zOX+vDh<6E1zg(L{?F=DKlZ&$`UGjyu>6p3Tnoq_}i~0rDd@FBy^jiPOmTMitQ|?Sm zSi6_QOWtF{Iisdc>B4KaPg?NjI;UBLZ@@<H4HplyO#Sb+p+k0C9`xYwuf3C%7`k)) z1OEO6Us+Nqaxf^h>6SLfw4Gg$`3tXr|B6kb|GX|3Z0ZP)tYUV2e3NDMp8f?d{;{l< z^$hsK(`0G~K1YegI`mW5O69d;jxTp}T#IxL_?Fr9HBL$6|F6TA{}RL;kA4@*G3j4W z)R-{wGRLaAX$c!obF8YLp3rH{k@c@FVWm1})_#u-K5sboJz+ScTAuFL#h~+DuIX1X zXP8CPf`>&-Q|+8D?C{%gs+^;%j3ex~spCg=j%z>69Z&j-f7Xh=Ei~m`Z^B$1$D6J! zujl#%{JYE|9b?q^L6u$LO~}!Fe_6@9UI9PDS-Nf90!n>0+&ISSv-(#w=d>HG3!b%e zOpEde`0dh^dQN#owc)`c-=<&N1=f7<ZfffnTJuG}>6o<c!Gf^L)6j9Pi*uLvU9s~B zu<_q;>?4cndDV#5N==up3#}<OcD(tU^P054l>h1n*`(z<Gec{P54u?khWukVsKm{& zO3r)34NI1**(}~MptGzLTfFoB6}<9zW!H48UqI)xj^o|0)03i3@9kXhua8ALg+oft zW5XpwmfrJf5l_2WT-U2byk%!O`d&5S@pl$gcb4p%ff9Z%bvduytb--CdBN^gpE*y- z`)+WYx!{pFhuN;K1)siiitTJ)@ajE>ShROQ?cT+PYgM-?teB}1k;UJ1>$kAZ<|zx_ zNwS=-od>zA1Ts50-DXerE02}_7k*?mh5mC7C^=@-7hlcp_|cLjyQT+xjh&yeMyzVY zbEBqB=0a=A*&To07s~md;&}AGP>zT-+u@ae%9>m+!R9CJtx8q4%}`+f8XPgB`3S4d zlLbFbK^JK=b6aMVN6ctG)2#Dk!EY8&2cMtY@<o2s5z`sXhi-!|(=rF`bPyCPGKf2J zNG8M!)N8imE)Mvu#ckOUfBuk+Nu7~qQ+g(2%lS)=pBh1{D?vvtUjj{&%<b(z8hXmn z?BF4pFQCgIeuC~rZwBoWsQ`^jSoOEcOaX1wa9IbspIRPt%(WcoOzxSjmL4|eo%m+0 zx8*ZW=L@<GI_UZwXus)w(D*laJpb;WEAFyS{6I4T#gQ|b4^59eaqvSF$gQ9|wD!$! zwOsM1vsK0gG(VDc@p0kQB7ys}S}im7bhpZ=d{0c|i{g$r;rPn}w7`;&+ww$RXRGCl z*uzeIpK`^XB^2C;4}~1H_X7>IM~W2%+<U+hv(&O9=cp6ks`n|0d`Z=ybEF-0&NwbQ z0Xpj{J&`X6G|2JoDrkyD4Rnt5^j1sAZ787avJXwwA3b}LutSx*IN(-et4z=Lltex) zcKD`*4n5G7CbvL0dG7-aotS_&I)Ls|-__nKvjlXMmKA7FDop*M)>+4VZn2^X_xoBc zCEgr#;_I>$dzP>TGz4`%C6P}Fbb;4b&~nvnU9B=#-lrw<3Gw@OJ$MK{KkGtG8tA(3 ziIWSb7G0PJx<)+>bV(WLxc2Sx+?Fr&6BGHkmT#WWoH(`BQX}@96W=j&(Ai<2)5l79 zxr+m;yIN(A#3v>4NzI;Kv7K)cXznKrG!6ke+H3C7$x0PFzynsBmV@R(-ZO!Ykpf?; zb-;<QYx`yc_QmXxCmbtJ9FlPXZO*uQb{c3EX^tAV<%;*`4#}uI+ccs18R$@|EKAS; z)cHd)DX%~SP@tPocK5c*e34H`<m2l1hk3}LCh^r`KCjtxK#PS7L33)Lv&XK1CpV@u z9ywig0d!PVZqJ-l(YE>D=dj=DSj6PEMA*vIOx8J9DM<EqXt(OyYZtae-BRe=(Yiue zgLSFV4PDKM*IPOje+1U=IJIa-j|Pj+6h|?iDG###D%LHSAsWVM!RW*>!{Er*#cz$T zE0*8M*>rO2^;-Mqb5g!tFZ(1NKfAB)t#!fGjq)#@yjL10z13Q}`2NXV>7tphFUHF; zul)CsX;z%W)!m>YO0UfauOE41{p-NS@I7VS`;xl%M-<iE==d^EtKpzb!$in+zyEjL z`WR$o)X>MGkW}p3yX53~F^47KWs7aR`Ou|M+uvOX&-t^^_^VvAICld4jNY1xXP`r& zK{}JAO(vy%6LnaURpSd<pSC9)dPpj09p9D3r@zSc3g1)NZ2?=B^vnyoio`ePvPaa9 zB1V^0-*iFiw$9CgEF!6`6FIoy=JNY%zGuwe6!RXwu<f%RRAZ^S>7-Zpbs9Xb?glL` zyY_!EMC0CX8}=o29~Q0Zzjvwa9<u{<S=&E0sJ^qcQOcQ{!WkxJ6|9$HG|2f;4qbco zy0G@>F@GEH_l6OX2Ts@9yER;b+>qUV8+JJAHh1OBXUDA~uKt(`TDJA97`mG2WgyGp zWqz?szh|sLUWB(Z7`iS<IJS35>-^UVp}!MB>rdy_gBHvhO5A-8T4J+yzwi5t_rOc= zpi69?b3xr&x_{lokpFA>!oJFZmwVMez4<ASAAC*q*2!KhhsEw!_1#-s?ufK5^Dq34 z;op63m!8CzZpivD2jtj?(qXTmtG4FGDM^^^`!=_#|KK^K!<yS;q1N`^T{+R@^Ax@? z(|?OW>qvL*S^1qI!J^?}ZI#Hu4J$iMtNM?vL((|A9kxKXaK786L)}&pSM4&_=14aL zy`BSI;5c92)gY(r_wKK9Z&xDew7!28vamAZ`*kVLufm}7efM4lFC&fmzZV+1x2$T9 zis?tHpRanKfVBMScX^gO8)(g7&O3i8&&kW@Xg7y8KLszl%B|Q3O$=Kj<2QcPzJ7YM zoka}NHSG^;p{wGgtDTfH(_U5@MO>|@hTKjZ2VKTDAGB66>pffLHR<i3^TMI4z3$m8 zg0BDTd~P~PEG%!z!ByXOfsgmS_Ok_IEhO<e&wdh_e?Jsywb%3WP-|cR3s+vb@7Z6Y zh^x0_zzfuVg+tRs_U^Bu(SMHp{Iur#JAI^Uod0t|W9RJNtj`}UE6jZJbU-UJJwPin z_ryW6+r3?m@f$zB&%Id9(<g$w+^Z6*xp(fy(D#PLj*Kp=+`!Ad_XI+8+JQ4_zuk)O z88$&k%e{<qCqIQ04#L_dlf=qE3&r1qPSP%223;Zic`--Z*?&2^?=AkDf|L;FhQGg1 z&G5p5LFt;UyYk9?s~MC+-?N!AB}DxH4U5>^UtPNYo;mGxf8Pp96wr&rzn}jx;U2St zJCnf1oyDe;)RuE81o7sDC^NM2)`M;^Kb}yMe|PQr8$aUh)_j*(GVRSH2B=Q{@C;~@ z$mw>wbmkyaM@VlzXz|`G*y8l%pd9z>_|dBVV<+XWRx@-!R~@dq21$oET)dSt9~OX$ zqg-%t<P3G|PtX$c|2u=<UyLj2yvGb%V*VVKkY3jXDX*-{W^`F~?=DE=zr|3Ew~~K# z>4wQ)uKps$y64aLWEPFH5>uoap6=NXTdY_-|FXxdJ>KlA+4xroHUvrALGw($?c((} zeq`UO>Oc4jDR}s!p@~TP_WFq?#ryffOzW0|vgyxB(9{RcrMI3|^&k5M(g!`@eD-wM z0p5?)dY7~+TSZ*0^XX-n67~Fu|4DaS@Z$9IpmoT<r)htYd%G5CeQx<W*s;{#Z%cW8 zbuZbl>U$5UQ2DoVH}n#M>wemTJkd4BL#z7lxg#Cm{r>%RXvp5-H<_e1|H{Et2fab7 zNZY=ie*rCzbhQO}r0w5l?LGcr6Ox15&0!9HBiFlRrg+JQRUf<VZpvlwTD#K=In}bS zyI5^vh2&oId{}fIX_oTjUa8z1`t~vxXmNT}`dVlpf$NuUd;RlOzq^r^iI>;GE+2pQ z-gMF{_4gAGuDZ7glD+2#Kn}aVZuU}pTgA_~%4^l}n#fsH4w}o(>Sn0;>h1Ict%(O) zd#hkK#M-@Eo#Qut%>I6Iv)zuJ$jeXJVMXY-HEx%D9#=`O$?6e5kie+1_1RKrN&?q1 zd^L{qz8-sv<YM#x3t$COeWr@;ntS@qp>KV`<>1=lr4VZ&1=4!C3F&+PUIv{vJ`qy2 zS=U1swnvn|@jm|i!Of6(&`R;`;2QP*YRKaB^|8y>-*|M!aj*OP>8u8bo7U^Ypw(OP zdiy2cXWWSb)v2JBpg9$wLaFcI3-|xw@Bi=r$Ija)8x0y1sr~V~SoZkG%DsnOqBs{% zRy%1m*Ux#=lQgTjQ9)%^C+|$@+~V@AuCMrprNy&?IkHQpro2->dFiEzr><x5?MX(R z*IrKXG*p=^H1{iXv8UzruRG?=fB*h_UA<lXyo_%@BA#5e|9EBF|KIA9!c~O+?w_h7 z6!|`M^R0uEH5iWet41VqI-d0AxVCHFf-ku&ufK4dTBjQEp0(+d^!yF)w)58+O6NES z6skA%J`)PDn~*RuS?$8D1fzXS9Ccl*rmj@p*v+{r-hBh;HqQ6z5m~&BZ=Z8cyZOS! zXZ1Utrl&zHU!M!CDPV9syqF`*YVLxMUQMCv6g8^24`zKA5|I~J^YIR3!K3BQhn_R8 zMMVowndiIV+&z}m@9GijJp$?!53*@<XvMj1xR}*+s$5v-lZ4~dbPloT|0z7ntL7wh zMzWZGR{~$Zq|7-j(x4G?Rap7YD--XCvV08}TysG+;|Iq<FMnZ^Ynn5zX+;Z&%=O%G zOOEB}bHO!*ERH9Q4n{o}oU(6D!ra-Mr|x-fxObT4>3_csj!X7{h7S~5y!G~F2C*b8 zmgUf@Z%CN<mt$33U&6-8oU7)0Z#a_5Vj9ijeb+JIw_;Q3Je3u{jSd#&+lZF0{`ZYV zd(Zp@5BITXi*iWKci3>s65K;<nyXdy^2)?p<s4o2{WcuS=kT&~+;D9_r`K_@gs9g! z?g3@tO<%t$YW$CE;_XvjQDJt_t+eUXeYJ=s&H-Pqvvk|~1^kU?@y@A|iZ5b#IBE86 z&;Y_#ZpXJe2aVbVuGmgX*lEo9D&A?sq3s+}_uMvITF)W1UNs`sC!l0<>z-5Kt2li& z9Bb$Fl5^Q`&5&iOxbT|dk1U6#%Q$QZPMsa>UbS6l%74{^Y}p)I|0X9a?iEXnntI=9 z!-+nYP<xjR5%U)On$9uJqItoyRu=DA?||Q8O{wpcS6C}Vypw17YHlNYIP&3H==z_B zZ&oTV<%e9*t}i4K>#`xLcfpUVEZKh=7Cf<K$+mS1_!Zeydd;5sves_VgH@Y3PNj30 z&F~NS$ju_HtFWSm@t{&Tbm%MQ@4qV(Z^W`(t@qz>q+`LdP=mEgx2deKoeaL-?VNJN zyU#3NPqS#x@xSn)sA=jx_X|J#nnLT`1HNcBUA-3F^HAjeoP^#du(bC3%EW7REKB*- zBc7);Z92_yZFkRtKjoZeJDL|H%}-dY&Z+f(dcwrB(+d8)vgQ!G-Miq|an5NLJqw<7 zvUrDbX2pALIO7YB&qJ!^`SYzN{nmB-tIiSjgWvJ+jhUeHs?{_0Xdl#)SJtS~IGA-@ zSR~$S!?EieUiUmUgtagD(#-PO#xLNTYSULUTkgY}|IM0s%b@3?h}&D0rj&3vJ{3Bs zlr9+ZSL<Msxj@K&!-GQn0$2VR9}LnLx^nMHj!*VX7RS4DIj8;R0H6Ez!^rXQQ5Nmm z)&+%=6Q;`hLYCLcy!Dk``L}by4{nz1J!P89LW`uEww9@A*iKKFYvXuxG3Pbw<^_LT zS)^mU13tF1NZ)l2_}S0WZQ~zcS_L}zji>3=d&P*PbC6)$`SayU<&}#$vh<ZA(o`Mq zuI8MUEqrBvdqS(dfJx1?gr&TzW`sRn%Mn&-?s#!8XV`BM$B#+}rIrg$iE-R;Z7PeU z$9m8i7v;WP52QpKDtP%e^@Dn?`A3}iKCQPD*EV5q)&<>q6$@I!=r2|j@K1%iSio{p zt4xT0Y9e0}Xu-wagNI~P!ZuE5ex@HWqxp)m&XWayMYxM6Tr|2fN2UaHr+Cr(6h-#G zcOz#sJLLtj@LvbtEc);v=n^ig)>fGw(2A3(`JfGqXLZgvzI_floZA3&IJY#nrH9)& z(4FGf(sZ6E>}3WW&fN<-oEtQ%!UsB>8+3q`<J49ek^KijYdn$^*`Lk_9ms%mJLZ4T zeV-erN1kx}%lrKECRr8G#bReoxQi#;0<8?>2c0IZzF|W1yCAV=3g1h`iZ1L2U5xr? zN~;WyJm?NFXR#s!&=ARrJ$06Oete5ScX@67zHvhH4?EDx31d*7Ukr2+r%FF)4C5MT z3?nu@k<ZE;v>VtTbW9dA=x}avv1bV%l(;Q5_Pt|@S!yYv8gat$=U$yNjw+{}_*Sul zhJcbIPdL_cf);tSw91&Q2Mwxh-#DSUtiRRr#2V0Dsi6!H50_r31@-xhq}Lw^J>^(0 z4Z256nA=hUw4v3Deba>Ij~8{$I4(Wp#J5Nsw7%zc#0kegVW17R_S}{p>p<f_=QmGi z{^DkOXO88IGYN|9H$}LMFU$rF0D<;8H!p^-v(T7l_cf4x@l?=S4_?q(kJeV15YRzo zNz9-%p?#n=p*w`aHQCRujhxYZB}c62!oAs`4a?`9_;$I1t}36<D)S{AbkN0W(14mL z=#KK)tuj6Gsfm1A=Mken>*^KPU6Q%-Nau-yU3aTx#+#!~e3L$du5g_Qius0C%NIK7 zitIPFL7}N7Ry5%~=ooQ#&;r{`v1bWOx(kYn0`4_|7K4H|*xG_tAc4*gv2SX%^q2x# zp$b|zvhFv3U2y$gCXd|1so<La%dAq#<Ar}>R?gU#k(o4eQ%+~9o36B7%8ZpMrwulr z@Cn>}!X#2#`E-h|sHt$V-<>&!(`$}py3O>=);*(BlQz?Jn^c<AOjWy-n;-U_uPlDs zfB#(N-0$D-t$Y3Z@8{_n^ToUOd{Lh_>+AphN|mlkozp>+A8z_HXQlftV$j*zwBVap zQ|dK=E3w`i?g+DdRqi(b6Mm?E=>}ED!?K)Vx0)AxY-Cw2;~MbArRnN91&w`k5_-iP zPhMrowsi^kRoGM-H^(7+^7L{k2ZObnjL$#+vG*p+({kk-3384{nGS;Qr1aZx!l-GL zxq!~Lt_8oCS-frB0zR`gElu)<oO5A3eZf0MmeaC+0rhqVxt9AWfS2yIe_g4(^fian zea8)_YFS*b3$OVm<M>fhJRvIkjFQGq?SoN~O_$mQ*Az2>N4<3wHR^c}vTYX*+0&k| zxRhn;ahC3!!21R<8&X&W^mgw0yM*IFQZdJ>_wE~xECip{nWgCX_9)9~6V6xn+&0|F z=XhoBwc*fyPN}>y#r2!MxHTQqYznn=2r%*Aa7&z{YrfNlW8cB6F%y=1#aWgv`Rd$s zsa$xCv4X~LlY>>W^^R^iwZAc;IhI3f-~5Ed&Kz3x^AaXb=3I4+Lkx5%5kJSY9o-9_ zz2}?;y0hfiX@fbb+n~25?A-nN%EXv9$VnG{3m$s1XxC0(@KKdzb<KS664<|e3x0GY z@b2cgthLkhU{t=U#$NG*R_uaPY}*p{8ae)aFOah#39{KCW>4j-6B)dYPw#_oF1gw} zEjg+?*EPV_bHkB&ET;BO8?Ibr+3K$n@yw@b*J;jayE_;B%W4w+#lkDE9`SNNOK*DI znN00`sLL#O{k}5sRy${xyyu2v(>cAuIIl&y1^jYrDh-32(0QEW)c^K`<{Ml-s~^qg zG@Ioe@Y9*4TgEZqt2aycT(^L~PED%opv!B`t%Kczz6)Q8^WSjhGfQc@iNV^Z_gn(% z!<$6yJT81NXqxK9(km{wrijt;Vm4>kZ%)UL)tuLU7(1R!=e&07kr2=FlBR^YkoM9c z)%5T4tu9$lS@1`k(=5Ux;A2fw?<|(7^*$Rs`WL*K&mmUbw&0hx{?RSdZuBj9X3aV6 z*7OBs^Acu0=6E&F34B85dX<QW?yx4w-C%dGdX<bnCI_`Ho;Fy!=(f<BZ+uOUehaMm zSlBe_xX_wXBgdD;oY!{FS&%b3Vef8^Q~w(inwN8E<rP`BZN6y6GWEXSh7<Rob$__M zS*c2x%8GxbO{(V<S5#^q4B9SqW#8n4neH5~`aoBgh|UQ6yOuMoLfY~0UXHL|8jg>X zIl_KQIbPh%8I~;|vcEN<Hy*YdiQiu~)7CQ}Dm*Fbb&hL5nR?ULZz>w|m2W)AXzGer zz45}Q>6Eyz&ZbEVUY+Lz&2jwAXYqDfcc>t1-?W64vYc7({WhFwggULfe~#6p-&~G= zwGMKL3!3bin6Pxdph?|?gsJ<5L~17{Y`tz`Syy9rP%5)&(q|6PZlEtrO{IRy8oQ?? z?0wC7>i?94=HJi*TaG@wGV$VPmZ|ZzrpvaT;A08B@4Vp#Bg<8L-wj7<nr7Wsi&*6s z@H?t0^_{|sYW;&UPyQWp;`?=elL32ccx-d+iGv0Q56Miqch-sT*ze5->{I=HyB_3; zI#g`uTci%U%i?Xc&J%@wpaT_S&N}f;0*za*1)a_SIw-^HJg8cGb{%vuQNA_k1SfIO ztrOE*Wkl>jXAboxE3!Z3;w~151f5YC4qCf-JK}`n-|L_YJTHPKF}Oi1I%oX{of2^+ z?K)`D<6hA16P_C<G`|B?sqch!o-C->0iCF+#%(Dv@AM&=DeDpx*&my67YF<VT~Q4> z{@|89=+=qLuR#}Negxe#a;LS`G6Qt~=_SwxfVq!A(-`2k#vAixcCQwcgQhy-j~$ZX z0WAeR8o$YaeKDv4`6;h+#xdowbivf3fL>4~JQvhds0Fz;0d(D{4rmWSXRFMX^J$5E zM$<tp1znvpj_Xc4@%;i#>a^}h48~vS1>J&LCChCo@g6i~bni6ia`KG^>{~&BRxz{H zGGh*?W&>4Y*Sy4vCd7eGsJymmLh~D8oimR5mkymh=~xH48Fhm)x248AP@{$~MUnj} z=q$(+os(g+3#-yWv6N%UZMkAQXjUsrtmr~bN2{fW?KvmDTc9g8X3jMKxJkAIbl}#` zyAdZG>*ctM12i^FXnx2f_H03k6}P2?KBx``wNYMZf$pPc;I{0rKXypQBo4Gg1$@4S zf@E~(Y7_RgvY>OZ^tg*JST?lEr0|38dIy~z^)E#1SwcX%BKy(T5i^=Eg7)9k$b%yO zyc6Fl(2~}TlID+}Jy`&%(IHo&M1m&XBtR1z2M)=kh=HbMz-Mf|(3=T5GQ<=V@7CPK z0Xy1TWq3d<JC_=ATT0~Dfwtd-fZA;rLF-a;?Zt`&?5Dtv`vjfZaV<%#XhPm519s`U zgZZzH|7SSOBBlwh;vt>+xz}%>U9#oM%QsIhXh_cW(>^(A%bgTYpGm5jmrmZ9;<;ss zkC%5|+oho8#;R*oCO@7ddpyyiPxg4>GYgaLx}KA-OqwyJ;M(`<*z<eq|IY#K>p1`Y z*WUZ{&;NXXJ#w3dSmn#*X6d)5{|9aCDESB4*pap;<<z>lE{qZH1;Hm0ZMeBrdf)9^ zDW7@;n(|ld__~DSfSYF1scK=JN$M-AWDX`R7Y^A!A))g$XO^BSxYN#f(8yo-%H5KW zZJCE7i=qXu%y-{#XdVkMzhcBoiKb)A1=j@425<2CBi9Am%(ks%!H=vaQ#mz_pCSjN zr1cMO@j9;-k*?=>ljmR+yYLkISqaU-oLckTH(XrGGIhObM2e{6Rd!A>X~B@~W^J2~ ztbra=RlIzq@=9BdEP0m=XKI>ur8Yf1r?_JOgoM^?4yko25ijeSj`<6%F-q247Fwcq zFw3*)(Qe^2g$j-*7js;*YF+T<Cd+G`8DNL$Syq3&GVvZ))2G@d-gycue%LqlZrjuM zcsi*2n#U4q@3i5@M3$@jT{gH(UGS`*W7@8+1>erHoWA27@V&6<=|ArP`)xeP-Pd)& z?q2e088s{iwc=GYs>BXv*{f*OsUDP)ZJP9&^V%<C$CuAJuibo9<+J)t8O!UpP67X% zS)^tB0yesAxHyw#YQ4jT6QGN;*DxGX4bPupB^2!*@YkQk+r~ZM^VHLZYnKYKY%N!d zNQ;NuGsW8UD_vmChuWq#-=?YS)HC)>OPFdeBvLyqVQcuEhKC~e+Y)-qVRglCAKA>O zoL=(&8_vCCN!3@1cwf}?>AGOfhPDNd<T=c$W-TcC;^vb*(UK+fzTbu$aV%Hu{Wcug z$YQ$RZNn8-maY2A5zB00gC$?DOuX}+^Oe2phC^zyKC2(*G)=wdcEQ4V!>QvOU1FSJ zzqK4ce&)RPL(>s-SM^#YjlTj1t(2QKN#AXGxW>GD!JlYOvm43KcFCU?S0-MpX*w0J z81W>gX%%nNQ8B@g{k;jD>>OGDdJ{D7_GDh2=eglbA4_SudW4>Pz<-S<(S1G_KIAk_ zt#i8YBdaMCc6O#^)%`0IZ^d(Vx#u0+a;-ww@uV!rwOhRlzA&=9mhlYumeTZf70c6l zpA82?Sw!;{Z@h?WI#sV2@r1Q$)%wVTnaQ_>L;QtxHvUKMzE0-In(w;dj4Dg%dX<QG zYE8dhbB0L>nbgcnSQ>u6E7SB|U&7RKVUfH230wUIMeHXg^yUjqStGorl-2R2H0QNQ z&?*qn<y_x3u2kOpoa5B~EY)RO9h(+B+Rb5hYx;su>YQShjSF6xbBOJpvEY|J=d=jF zfX(h3t}JHRI$t#+?elGeIlFEP=zRBU`t@62&4*4%Hu&`6$4cd;T#k>sIl_LjI$lg= zS$)?t;E!OF=|9(iqRo2CwqBEIx}@1u`b|lrTH|2VaiJ;ytq!t@3xxc!Jm{9&bgEoH z#|WAYcK$lQQhB3qcw*Gm`wkn9*fh<OSBQ8e&~(eSDb-JTMYY|*B75Z(`)4Gy-sY6D zbJ=joo<l0X#JX*>*PI0}Vw$er^AGrw09)_U;_Wxjs&q-Ip5sez&TEk_0o%Mc++zlh zkTr%SM_Fp@1MT8_3p#4*eR3k768pvp&9BlUXEaC5Y?ZmP|MVdl6*15pb3UlU<|S5i zVIQb-X=AC`#J-r}5c}f=(mR<!H$SaUR%HJx3%XaS8nnCsbhp+QNwFdWyP2()D{9(X zWn9EJ7_e^@gB@$UKUtCeq<X}R=4;%b9@|r?duyA|eFJTZloNZlV7ooH<q2`n-Vskw zB?j&;i|n@rbuPU@-C%RjtzT(kMFD%;TP-_4wb-lwiHUqd8?8YT^)<qv-ul#5nJwRw z6Zwq5cRzLNoN;^?t@C6-JZP8ce$W;IY0&XacR^bSBtQ*?@9+k|3$g6Nsm~T{)8)48 z03Bd$vI%rMIp_i~tHxHDC7@*kR^p&zqSu4EmqnmC`|egt37b<+d|k<)#RZl8=IZQE zK`Q|!f?5Y(L7Pn8fVNEj2VF*PksJgY)_C@PncXXet0{`?Z&N{wHgvfyU)UW2?cYpP zWdD2i-67Ea&1<0B$&(WKyg+LxJ}w09bg>XC60n`yYPllzgcIMR>6;DMdqEpYcY=0g z@PZa=WQsjou=(XKUieX)H`juX+Whhibn4?g&<05X&=$@%(7BYyocK<G*0>Z&a$By@ zOHAZT0v%BKH&N`F!bi})mb@DC|H4)tXF=Occj}yRR6Fd%w+l1?v2!u#&@Rv(-GY0d z&|?*_@wSwR1C5|8&5k^AaL4IGG9jQNtS$<27YA(qy2Hz|<9t#gpOiJ|E-g9GU0R?! zzUG}jBvW!q=ZQi!A9wME=o3zSpRR8(U~dMEBUIRP7aLSfYL%Iiey4f1G5f@spaj7M zY7(wG3EDpL_M8*nEA~wW?464vPB?xR5qqXkYQ}Au0XiDoC>*p}gG=n0!u51TcK!dg z_rIL)-r1a33aaX%2Uq^Nv#jF82_3_;1(gSkoX;LR)w9Xe2HIseGPV(&sS4_{ZwtBN zbH*rYZQ{&{?k2{ke2nH+9Lu$OX7gZ<aP%|I`u(q~ia(z(j$Qxy@88%v-{1eXski+b zT7FDPzc%Z3&i7CK9&r;r{)cKus%*OFoz^O@7RVr#x9`c+V8)0{_oic;Il?R_EO?Q~ zvRc+ZV2{^^W39p&xgTDMb1VFi^U|AVb!pec1z&`kO2dSv)Xq!Tdn0y6*w43|W-~kk z3hSGCp9zQ9O-q<~nRC@V{|z^knqJ8^slHQKv9l>*Whu*6^Vi81r_aEOmfg><OuVy? z<?D07H33Q)6<P<qo(r19d2BdU%Ho=@4!(Qyyx^LRFYnF>JIQh|s#;*mJm(GIJ2!>b zlyf=$EaouFfOh-4ulvYK-gOJ8lsu?(UMS@54>_Ogjk%nw-n(r$lG8M+vT13b(u!K6 zgGSsOuj1S`+zDm*ny(u1P_d~kn<K32UiU+jd$SUzmcvFm@20=<csrQ|bW-EvXcpD; zY7wu+S(e^rd40zz;G1L9*D&EJ_aE5#WH+zn(AqaSVKI;6Q-1-SAe9xre4CD*Q(Ez_ zxJh;09LWAq^Z$0GDy8<0Zv_t;y}sX{dG+q3gq`IASMJYFXzdp^v1v+JnlE5tH#uP{ zo8v`oj<Da-;2vy6(}E>(2MVrLDLcNL%X#f)Hq*gLpwky}@?LqoFKGG{uYThJPg9q@ z@{JcoO{eNrBc9|nt?C!l5vvrqyy_oYlWLjLil1>!OZk*m)JhyQQWv<gR!HZ2F!(Hu zAD~rv?E-q0J5pYGybNqQc3ybRr(cZ6``<Zih?=$F2O~>%&9nti3R$w}`UU)AYAO{I zoU*quVXrmEDSl3~2={=GrTmE&(q|P`{E=&N{RU~u>FxYAbEWdeWXK9dE620<1;9r& z-sYTE*|OkWG0W+_ph07MNAMW~=M*DeUS{d-SBrRR&Ek4qHRA1cmZR%cBNl_IM8y_w zKfU=@m#U^M_@Z{&U~TC(RgK-&2dg%7o~rLkXkN^r6~<u}<p5rr7~>o8HJ+tgS9Qfd zo`XWty2lH$@|-t>bS?O1$8uW63fe09eqyEa&V1o3_OlaO<AqFegx7rWZ#u>=wC0nE z<3(QiV_U+ijU7M6a$ftP;CRxR<C>Nb_=<_m9H-*EHr$)a^7OyshJ$~h{r*S6!R~Ia z?VB<~`-F8iaXVh+7tkp)aQvz-pi`*icvfCWr<Bw2tv1KBmqJ(ePEXjW&iN{vwQcjE z*&I^ud^TMA%_;SqBkU*WY!2;)f|D0NU72``pQ9_@XT!1goL={QHe9>QvQ%C@Lfz=d zmQ#6-8}9i*j_r125w&;UaFLT`>VE$XCtO)VqglG|x&-{yYf=sKg^Yg9{y*Pp(ybcR z<N5C$Hh_+=W*5*2S6ERmbCB!4az+K?L9hMF;A6YXg+yXKH{ANq(REzzXu-7#X~&Z@ zS+d`{2UOV|v^owwwPKEK?kkV=egXfsvq;DI2Yj?=k+yXT__?2@J7*i$<yE_64<=O$ zg`5}CG3;OPESz(i)zk&wcCwt-QCU%~d$8!g+KT;i5?Vh(+Dnx?P9}q<GE_76Sc9(K z1dZ^5769G~2aWKGh&@~IQCO^KLJnwCB&fjuWd^D=rnJhWT;F8CzPDHIIA{lFbi|Bi z$1YHB{mdbm9?+Kir~051tS76x7I2F?RB+3N)Z2UwVBdHe)N2Qw82u<rtmuNw1_Sn$ zpbpyJhr$(8pDAoM<+gnB?$jZfCw51i_<n)9+^zZ>Cp16w)H&n0@|Y9fvFV_NbM_l2 zG`|4#m)|mi4kkPc>R*0-qMfg|V~3bSMWL+9_E|EVT*Vh|wYJJEG1fWb_&fo0n6(n< zFzbn}GEeG{9FpPDOH^b(Y7gqL>v3CZ+&_Lu#s##!FH8BKkX1+SNhiKn`RR##LZIsO z*>TV;grLro1+k#+db(fNgGX8o52C7G#DP4u(;jrU1ZbY&p&aN`$~mo;8f(9c#4VNS z*`AQdr{yVDByhL8)pAAclvbG|pq{Q&Jg8w~3~JbbPFk+D<SxFjv$Itu<+sim$9z$4 z%M<$#9FpNNi$A-62V;x)b;rWvCnqj2U|$>w8Z=h`&6o7F%7pZ%C-NnQiWLRypU`UQ zp_8V_eiqbRxgsQ1bRq8KA(<4=Vcd6bT>fEd%D(e0=#maK?&5$O^IK(jo^Li_Uz!X$ z6x;-K)Wg3e9RDA&3B-6?cIeHjTG1TU-YRqCeL^Ci6lmpRkt}!dg<CniaZ6>Eq;D`_ zpKBX=!m%t~?AZeI{#KbM-k^p;2&kdZ-)adt=L6JtKk*iHc7_3Wu|RcStIQSiTDJUi zGAcrom7uX?@_k0tismaaVnr7~qcJIcX^QN3LF;MnSn51ka6cuHkLx;UYyos>N2VmV zrNnyB*n;%>qpPPhzW^Oko@)*|?g140psl2pp!o)?nXNKQKyApmr`22w3PnMwA!R+t zNB7o(rb`64q1#O_rhn8rd+_xC`{sWu1<Ma?tw1@vQa?>w{>Us2weEEfCrxa4_^FZc z`YHCQy-k68mRt!H<25j3(Z8B<CGen2<VsfN2<^Eil$xBcB<=Kj!8BFqW|!}3r{L06 zv%?BD90_u|9JuXB>itT-pQ`VspSAn_r|!L_`flT$&vqqWdK8|2^;P)(rBkO}dNSdv z?b57?H-8*^ukXq5-ahm|yZBqsLPE*Mua4f=D`k-Cw%2uK)6a%3@_Ev-)f;r~)z1({ zmsPjKuW~dbe*FqvEb+~tDRJ#t^B1;R)!YdN?68x`;=eIR>M?^>C7BiOa=Y~BIhR6^ z=|0f$f7$mILY6zc=T(+4vo2qJZ*k!Qq=VF+&Cb6BS<htlH?Mce#xzEkRp+EY>zTgG zK~@m{ezEsgm#)oU=e_Rf3qd+B@hkwH0C-pU+Dlu85)}r)*}ogyE?p_-QV9Bb9kkqw ztsi<Snfmq@+GhLm_ugB)|IP7D-OSK4Gwm#5p-U{3wM{3bffjs)?gpLD8Fn9fD|<e_ zvc$Ej#O8a8%W|R-t0eb1LG=kw&r~^kKZ;@EszT6e$2C92A#SbCmpHiLrn3FTY7@Ub zp$LsX9idBDeCs`xGv9=>3aqq>zQWOvc()$vRQKP%x^(yaTVB<FPLg%cx1WYAQ_^@q zr@q~bT?t)>vNJzZ#n*O8bLii<pp`ys`k*CPh7xzx`Cn?A)!mV;>i^dTTIT~>wWSAL zgmU9VRPU0R>LnXieG3PzlPujG{BH|$f(6^+>S~FD8?JfE?sb3L1kwpz()4;YY}HI* zx7(#Z!B!DhU#u2v2+H2~P;W0g!(qmrcY70A4yT>}(|T`lVIk7$w6k!Xe~WvUY}{)V zan*7OXc3k5FK?*M#o&`K_oOerx45_xN$cIMw<a=zV{^B^a^|ZBzOb)v^KP0kEH$fW zhpx8yG)3s(hL7ClFKvIV=I*G5tum9p16w*c@wmyPG_jHmtIE#Ug3crivj;8410Rs+ zX0T@KE4#h!Z)YKUR2CL3Z!UPuiZ9#G6K2|{4?Z#T?00CyR3GMOI~(_Lfz9jQ*W3?o zGPoGAflg)2zqcM5EidP}UAnO`R&vd&IYHnxhr8s#D})Vp^~Wt)f8)?}`AO+}H!nn5 zm2~&`7G+3g`98<((v{BHsT)?+mw}f_O4opngzP)`!mhG&U()0IbrJKv)-6ZU+bjzW zCTYtx6HSVbDH}zER>y<&u8s#~IM6aaQ0m>4*lqKAD?1m$$?M-kmz&*i`FHk`jLqz4 z;UW%8vSL6BGqdYLAxppRNxK>3Y}*_9{^E@&hGt9n!s7ifC;vTm{Ht8DxQN4&S23Vv zgRkpAMG45oRcrSpahLxMdw=m}BxtE4bcyi0L}(zN-TReu@9`@~Sp`=93I~NQn>j26 z=U)rY`ExM0s{h|SQ1rl3@Td2%Gc!-Dl=3v5F7B{oRV`>Cbl83n35(dTKi+?p+xi!2 zrP}rB`PZSPN@1Vdr9ZDgOBS<1CD~cf`IiMeZr|@2G$n4Xzp?Op1`pD@y8L~)%b|-T zUw+S4>5UC$n7FEVGw2+?-I0(Gva?#f{>GvE|5ki|A@f!J=21rI36}5Yt%9bAo&8xV zzOkScU-h#=YoQHbXPMqvw*JPC&;GA%f1NIWi8%Ul&SI#>iQcA@(#%90maMu9TCBMG zeGp`2-1c@sp6tKr+wUzl`<A{JehB5SpU|{0dxw{D=01>94MB^`vfl+m^nGs=<e6O? z>;L|u#oC7JcJ^%z9>>6C=h=SP8o*z#r96}QUnGR4&jzhLe8&wf=r1;d%A=R>tNPFF z1O+V2lW}lQX7(;wxz;M;>iwmlb1w^AprP}#YhTji{QIlFznCA7lnM@pLftAIxqPC@ z=3w^KS^q(2_=ee6&HuBS{QxLRz?Dn6-Qw>nYWIK&dgxi3Ro<{tcYC(V+4mO@t~%xj zD#y+~o_DpH!9eD~3vi<S7F)a5{jo8~vC#8R&G#>d2JFlDY?a=(*AK2brwuAPcd6Sh zhc3PT@<iz1hStu%RsH9lBb6!d*TD+UJBOt_gPCR5ypsRB2ekHDdJZ&~&9_<YVvzGk z`2V%)FVRT0^5?;pW}cWV<!S6LJK^A}ThZY4icx=Up!Ec};7#X0wK;A#__$1!<bW)O zX`g?oLGz05n`<7k;{Pq;2{ZN6gA_>I(1pR^qIds?Nj9&0k&nx~SK|T=rpxCuRC;@5 zCmmdME|S$Cg28L;ZrHlcU!Ju`#r73O%=>!oJg8)V)iJd}P`$<aSt`DB%_baN^{*1F zH@Bb_TKaBUxc<hES^rOMzIQ(aY0dY+JgAd<mrS4j?7>Zw;yh5Zp&eAaeO(Va`qEJ1 z?(M@IZCkI&U#z|&r`vE)2DZ%iZzM?XrT@Qw$=~SE3ImUcOqiW2di>*;a<ADzT$)=< zB91mF9OQBl;fyeB@(4I`!c-|qU}8sy<C>Ogfr}BVeO(QeoOW~w9%VZy6{vDTx5Fdg zh=!7&;9*-OCoYjCN50Sf`Rt9o_2-%IKcAcT*>3k{<K2soo<F9fUw<SxdhLIaliEU( zfA4#Vb)KoX8FDXEh&5s2UXE3AP8)7)WV!0E9+4#Kc=j~Mv@GE(`{pLh{LT5w&UM3` zH6OJ<v&QfG6)mu4!(o=F&1#q11mq;smi0~HQ_85}IjFT9($P|7IeJ}SO`){o$=@8; zqy(qT+bMQADqYa=rYg(pw~hh-7@Bzd)K*j&9dy&?&@$%`+nEOK?!Q_a?4I;pD5SnA zfz!4<^J<L8hAZD#woVt)nXJ5`TI67ny5N;~zYT|4S$NkgMZ8RFI#$~hDyOU=RVjBk zGS|2Xd`2VaMiB6&5C5-Byf%?#>2$$0!Kxb7j0dY$bDp~IvEiUCi>SQfjSN}Gr$6-z z{+!sx5_*}X`>lJxUuDQmRNXAzbNvH;do`uz!3J-tzh9YnXTpMipINkXHt}7~+F^Ro zD_<47!&6>Oql)QZR=k2noO;CLb{5t3>JhKMvn-WYib&UWyjiKAWbs;+<JA7vgyvfC z+K7UaNBMnaC1w2setw3W)yU4$9qS&j*L}m0W){==su5Z5yk~^H)#aRabLN6~_gGHX zPFV1-kVQL$Lu#J)hD+Z$rQ*FeoO-V&pcBd1;@xLwP`cz>eAA<1rO(&@-x847cx*MN z*D{W4x4IX6S;+EQ#yj8}Pt(^h!72ac4zlGob?sNZ@uIHj)PKc@ly5vd%U`YL5W77Q zd?k}b1$ZLDY*||2E00$uO}Df;r|q1w;GI;{(>mvX|8`BH|9meLEHhX;^$|<&bb&RW z_?i~63$6K9*z{<*z?zStO_Rih*MN>{)H`VP-4C*kZcc5{D-Zv+%&GV1Bs9O})Y>;U zVX=(k(|$pnAhi|0M4OK4DXsV?+@zYPxZ-De(^5J06|yrsAL?vwSn#fl<@Da(1^@oB zXxEg09X8!2#;kP7m(-?X;sR?fDQQ#*AIz#(()g!wP)c8D%AFGCzWcYPE%=hh^7@T? zz&3{s_c~dg?swU6@F$CCz0Zb=yew1ydu%vy7&^@#9zVlMsQc`pEvxqTC3MDeX6<VS z-_1JTVZ#|qmeSWOr~h^=`1h4X`%mM7ht4e8w(b`y?&a{LPhHE=_0DNS)U*XZ9<pTD zR6(3J$ENy~$7`FWOW^`*ie(*d?&i2wJz>G0841lh1ASIM%H}k?HFLqI-JD{UJqunf z=Mb~*UhvDDV_JsLl|QTpgUW@j)HNi`OoztlyK^&}Gj+d9HT}|T620eo;X^>vR6EZL zKX{r#_xS~UDQdb}=NIsYugR2+MRmSfME18f3BQ*|Szf>K2q^P!`pT!K@t?Da*Kamt zOinyI*xhZqP{=>YgG$?lL;gx1O!5~Dxu2l7Z0iv*7SsD48$#L^d~0MmE#n;UU8L#h zKDU7XNll`4UKciaZ@3iCDP`}up)2>xsRGED+>1q?f(=*iIRw=3H<{Ww1boV9+Ios* zDahY~jyG3xUfbQe;7>e<S;d?Mk9sO=rY>e>nL3%JTSs-puezqAeM&3-SvFaE=*$;6 zcult<;bhT;Kku$M%Pv_5y7d8cilNW^R+$peva=%4D8;{J(w|K&H1^DEmEkE*PUQ1? zpRCCKm<cp(pu=t15f(Y4`Br(v3C9}H7Q`Q>+?F2qKnE1cr75z%EY&&VsJ@M}K88gg zE{6Rk<jSirWvPnnKfi-kr743}r5S@R(U1WxnKa`r7T5_|G6_28@=cytQNVw3&_y5f zT4hYk`##RT;Fy&SS~u6)YI)+#X(zs2phLNK3UOP$n1A+=jL1Gy%|r8dFtS_^;%f`{ zvAyE>GF<0LLX9}csp{O89qUpP`Ld+X9$!7BxuOZQ$#W)blV|(J3C&+Vf`(LdKtn2O z+{G8NL6=zRN6u(I2s*U$2fx^}gfBeYmKymxH1Ex^?8vdyZ0cUkaH#wBf?vy4wp(?8 zR#UvH1Rdff!)=){@8ltwCFel*gPo3?(R>DUB2_gzXy2?gx8)0akYg9kei(Y{U;*g% zj9Z<sO`h!=CN#g;2wK`%0vZB3dPv4ZZ??$6qgz21Dcqb_wW9gjTb(Bgpff}-RCcw> zq@?Q}SZ%_-S2yB>V;#HLvxEtt@t<bU8UsVnpo$h~jloGLzEz-0EjEJ2r0S$WYbN_! zWlTVOO|zak?Xk4X&`(O_GxCOyEtG)AFzzsd))<&@7YA6(Y?a{wElpg?#%(DPfA)|} z2xwu*#cEL83+p^lSa<fL)>+47MQ%%vyz|goNlN-!EnmnSb>iEkzR`ev?^DpZSc;%? z#<GG~#IG}P=&x&jbT*j3)Zi89Skh$B;?7MR>pwlMKRm1HRT@jNz-`d@N;zn>>i6ae z&Ce$4oN>&O<hINR2ZbnTbMo$4t(GV5o^ay(^?ic@d#gKW*`zFYalkD3=etV{?zOdA zu2`ZD8!vJBKD+A0FZ(P0^?&$J-B!8@+Up59-fH{vi@aNJT?*_nzqP8jyje_h%VJs8 zEgp)>tnM!tUQmb+I^p$l%WaRfy)jEyTs>rLJZn$X**RDF%AX#Z8EtWCs`J~dg-i2y zTxwlheAn$)^}X}uHYNZ6K##Zj`{OU)JGJh82KCpZ`;E7s|MO$X*;%HUH{J=SpPlvB z%JjhbXDkH=p6xvAT3&d?<}L4wHT$ZTi9h(74m#@QPIj8!zbdC-@VPJ*)vkT8qlKE6 zF&n;)|FASC>I>+Akfu1uu_t@BYq_JGf3fs>>g7+_j4w81eVh(GisW!H{3w#_x#F@$ zbK^GZ%?{fRx@}(cHB`;yZurqLuh(_*%`98@*UN0}doG7|(YY`+ZxI*UgO4jQzca(P z8+2*?sn7eMC*r)11s@IqKW*#9Hr|_CYBU&R!`9w}p7SHW4t@^Qnyq%A^P3J{-<<h1 zg28G{Ty-$SS2ab!aF5^h1s&#cEkEV*uYS;ZNuTdSk4D*U4nGwJe74N}!eTRB(79Ao zU+2RPl-dSAmkNBC%dOgMGu?PThxX9fd8Z)<tnhD#pZ9XD$^dlJ{HxnHx6A?s&e{7= zWBlb1M^5cFwo18GSyybf*8kT%o&|@ys+nZ|@4wHGHkUhW&t7wQWH??{y!=nQJmvDE zx6L_Gb`sDNalX$x3p%}Of~Gj+II<TnzHiR_`EK{7%&oAaQRMf{P-at50w1svCM#<+ zcix}FIZ=D{8DzuW!w#=fUk^Vt=bG)Dqic$PP0NX@2OSaiM-zI&O8#wIW5n4gj+Ylc z-?D$nsbxoxGhIkbm2HTG9KQ4H?rfCf(O#^PPrv*rnDNDiR|T*GfpY$;%x3D4Xb^RM zvEkaG7ZYB7agN@c`E@2kNks1V<q(7Xry+tdR!-LF?VSG(W^4CLH1KIZ%ZCQ__HekP z!4bCq$Mc(8c7P5W)BEEJiTFL+t>7UDKF;Oo?ai4#*D{nuY^{N%pSTI2-~lDEh}U4( zylUT+S?Uf-KRcCYGtDSv(6-x&IOgbGDk%N%?mxAxRJ4Il+wRkA$dNc0hXI+tKeOzp zKJ$gdr>*;;5&wN1IJUrNmVpi=GpSISJ*^*fTHEO|*x5wV@Qjn4+ru~0@BQCgv$gX$ z9NMpj+d)t0nSW;*+{xd+y_k@h{(D|d)PG9`*|3=JyJ60G2R~E@d^XW;x%118dNaP* zQ1tj7R7rj|JXbtk=DcjNdi{Lg)1IKCt^RgGl>8`ghbvhdSaPD|*Yw((TdY3v8#nN+ ze$Tg{TmyVMn12~O>s-4l3_2gJMr-!9<?qffJNg%TCRIgsJ;<a6pPdU1gWa><cCK%? z>-m(+MI!LDd>$n&XPmQ`J?%ZGLwjg76ZF)ohuW4&3`$IEG@RPE@}(Nio>!(YJ4_xF zIKN?M_?)~5_xG;jn`V@X*-!U<-Osk*aMym;36Mkc-UiQ`uZeur-`-z;MeENmJL(EL z+bRI$r8NxN_pJZ6!cSQ{=G*S$zWP;8RGk%rY?v(UV7PYge-mNpJt8}^<U~o;JMWuY zeu2&dw0oK`tCV3g)0!Vo)*zge?%wWWo&9gB@9R1St2GvnpF#q<;`bc58gTGr^QT^Z zw2Yx7V&|9p(Bqx%{e*iSe0I~iD%081?t{*5N|!$eEq(M6B}=Ji$%&F(@9b}G`4z}u zwZ<-e66AcR`7!h0sb_l+-^?;I*^|q@GK0#($N$el%-OykadO^;k`r6@|I9GcmE&`0 zpE_Lzn)|-zodCraJS}fYy%v4{M}hQ%r;iz5Y*;nNwz%-m`%LB;rFsz`AD)G~d~04O z-;tbMXE$el^#PqI^{fRF06$U?+3@>0P?~-|CnsvZKm(t4-kjMGm*?MypG<XQ!OIDm z_3u4zZmBS3kPW+6R0PZLwx}6?bN;DiPv4lI2c>G`10U~SW;1vlv*FQUq$AtpdiiGh z#m|}UyL$VX^UJ>0LR0LICnv#C0zM+`yKB3Tb-VxBWnX75PraN3D}J~0gDZp+Q@A0A z5a#EfUUqa7LrKKX3}|V0&l+6%f{GXL5qlZ;ByVo1sb{cSb4whW?)l>pHCU-i_suO= zPc6F&KK-i^cAAt?`a>U0Sa7egHn;jRr)=7O<=NNfb2zk%{^vQchIxi4=nPA-RMhj) zexA#TijivI)9!~I>bCdj`%}vpl-LjvSLJD@yPm_LJ+yc_^b9rraIm*P$E;ne$_J%t z$BDkH<3L5?1|4XT7&%pWef{S5|K<NP>jhn~2M>RUTrQP7{_$sJkDHs{W*IFjfz*yi zM{b|lv{G>Mi7>aGJ!{Xzq?joe&%C*3+nJoSD@vzluKYaB__Ua7k5TlqRH>V%dNN(K zji+CqI8*ZT_TqchKbXNAJj%b>+<!m6McjQm_uen+vu6DKU+)yz>hy5>+JuD{!t`f` z`pLL5YV2xC*xJp}wO%RWaaz-)*BsZP`~!CRZ#egvC3XAUeNV5m+n)h#v6FY%a4?WX zv|l~qMM={saRHqm)fH9B2a~ehFU<J#iHn(|ZsjX}9~sXar4>78Calb~PK?@`%yL@B zA)s9FV9{}*EB3t!t)C%_Gpm{oWpjkR6cD-VvEf!R%hByOB@ag?hc`{)=C~GR(UfqK zD`C%*FPl1*=LU10n#OT$_nZZPN?D}WsI92bKj_pi6jIlgFmdJXgs9MR#Rwh8fWID1 zs?&t7#Q1HvVhLWO_)My4mv7V4cXEy!o*4E_zjNQ9aLES|$HSV&25YC5DQVcuPndd` zvrA7gLf1dwPfnBRK9_(`SxsB>)HQ0w4_fgHPx&i;uqyg}*TX6OLOBNg3m(np6thZW zIXFr5K*h<Y{Jt`sb6f+ycC&QHx(59H&Ema<Gt16p!xdqcty`s+Z9Vgv^VK=kh<Cm$ zUyWI`YbGpsIFm)YwsFCSsVu8&`XO63>R1j=I&KhG^yg!za&G|7Wv!je2cwEPyyU$$ zoV&=9YVWY&o+Qgtd;bjwMOj3pS-9^y2K+1sAJFu5KTCJ6{p8EnzAHpL5@?#$%{lE< zFKEC)LVxGpq(>glidjm-1$Dm1HvM|d5%xpZ@vz-Num6e~GBaB<*KV?Qyx7bcRxR!L zQJCYJ#oPr?T3NC~Ilb<?Y&aLyv}wO$ME+%tCe{ALJx~7ZSMTFl_O>rGbdKkS3ymyO zFSB&ZxCeYqX6c^mAMkfIi}xLefMVW*pgkM+JT{z}u;889X2Us8%am8_Z%Am}E@Tqp zw&9YyJ7}n;XS$4C`6G{~+AOZGSyum@vEWB7OSX+yz^BNjt;^nl?s0M4;McU^O*F@~ zXs>{O$}G}zoC7|dX5o(Y4*2QL(tXD{V5|Fv8<&+irrET8ob-DA9pTGSN$E|qK66g9 zYFhA3s43OXC*XTd(^D;$uj|z!9=5aazE_HP>Ce(SUnSzHXtBYZMVC3lZqHs&(Us7f z%;7c9X~VThWsYfU7>;@S#ak6FDHe6SDKD_*x|+s+cknvkj6$F6g|eJl?_D>XNMs4U z@4Df}NtUbKEZ#9r0iV5^mgXt1s8v5`RIjk&x5dGt=(Bf?VxWmFF@z<d)xz<xGiO+p zy5qyi9AQ6I94~frhW%D^{20x7ZAbHhl<5g;k8^nK_t<dmTlK@dsdpzQ>~-ciwZA!` zS;q0Gzkp7NoFiys(wmT{`93n8cbo#grn7Y0dIW&>ZtQUgFgD`99F=9}__mpITBWt) zU15%Czbzd9a&w0LuyQ;+n<FesP$bTO!>M@AE<67Xx3a%I^X5y~^W?{xK*0u6J{65h zk%Ljk1*hl<tSPp0yt!U*O*ymU&-p?*1@?|d_Y3H3nYrN8>hBLj-I7>BzpF>&2s(cK zE~rx|<#@K3b6S*T(?@SUg&QkxeeG19IbYz)-u{H0_QF@{rzNz8pFO@s>YPGErhU^P zZqBfiLL#<P6Skfg64^f|p*NeeXhO`{Lo!?5B`UJNbO+s_a9QVxg7iIcX=C=ibKPAF zrivZ-p(Xp|``jNZnh%1ONBoErd#12uPOHom`@@H1T=YQ4P`%$^z`hZ56w&`#t<c*Z z)IrNcr?9LG;=6Sj)J3(^d9q-3QX*f`d(eVL&=P<{%R%cygFwemy$uw!iD5OU+rf8e zyMN6U$EV>sPZWL`a2E$igU%Sg_8W9~Q?k2Q(S$k256Nr+?cjV_zS)3%?&Qc5j^Bbo zCwB{p6-`*b(SW@fv}fewbe%JfFBCzeDC*qB0vDO?%#jI+KYmE&$g@810Z5PAUoZG~ zth3+BBL%cpPzAJBP>tI%BmT@GnUsA;o%nWvZeZORzhOdi-Sk#VjX%w;GCc91O`YrS z&Y%8P!KS^{a>bs;RvDN6v_!tF_vwo4AB`hsG`oQYQ9yS_L~ZZsdhk%I;p5@b3IBc` zahELtt$w_@f3pGmUUAUy#BSz-sm~G&X1B_SOx8K$m|@6msWJb=AsLsj4HKGQx$2y8 z%n{|bTyg)*AsLlxpk1<6V$T$=rYo|)eGUrFZS0SpJy~Gg-D-J4)<mnRJ)5ydeqHmw zKw<gP01MFI6t~V9$CpfEMFQ(Ut7)VoPdJw7f{vkTXq7o)zR`fa_pHtn1<SXf6UZ}; zMx1c`1zM=PxuaDkr5|*C#&Xc=&`Qvq;Hun~8gb_j$+TR9`zYX@Pt6s_6lKtT@Ss55 zW+@S_$^JDKbaM)mSkZ-;6NhA$OamP<zEbCm<FX@8e7is^Q+I+kl-7f`a_*SkD#Ih6 zn8@dKe#3<34_8$3&dG$7B`UICWZ^Cjur3M#EsK?~k756~R9L?BLc}>IzDc0N!`FhA z7X6YEd$!<miX!{X-H|hz@3Db)5`k__@v#IQKz?*?#Ej+(HDW~pc5_-SJ9H8i*{|Ag z7YkU=Zk5UT$zLa2|Cj$@=a)I)TK>i@@HWmn+YF2gbq`-T6r7YcG16$c^t{5$otHI@ zjX!myw$1FFCYh!-&v5gJX`80GZWG~`oT-|o8#OaRE+9H-X5hBAG`GrAOYXnlb??sa z$2Uv&uKWJI-1ht5zyE%k=+E98`Q&N+*0tMy-mjmyM`_}-|DcVWZ2Gg8hWRaGxUz3* z!c0@)jI%Rj0vR4oe0OW5^3LTPukv>s*WT|{aD=O{sm)q=jY<E4k4jCUeo7j<dJ?vJ zb9Aj&h<Gg4G$|H*neyIw39@Go7M#lS120wku5?2IyoirQI{0JDO6A2%S*GeMMLdye zT4gS%vu)ObU(PJvYm`?=-W0wZl_lo*R+e+xOy_`d#e+r11+Rdvo#N(@(o>IE>3ZRZ zUQ?*t9O!<GUr(+~yw%NeH2vMtkJ8oxQ|9<?xOR|bDL2dOIgSC}YMQ>TQ_`rnJ;+ur z6tZW2!eT|or_VXXI?o<0Se56#;l?|btIvgX3g19hqwTExb!Fm}N|vp!Sx&D}T2ak@ zuxP*PivKJJx&A9=>^N!Tlf5*TL+ZWT2Cr!gUKp~huAQ>r$4-{)n$87JN?Ef1b}aa^ zm*usM9dvPFnN8`E?S*WYwf>7W@s_Ess9*r!ZdTutFtM0pRo%pdjcSfx<%M(#`5n*N z3+Q~+aeUkR?O4IIo3j?=btUZl%kfIyb;F@{b;yP0hc_?po3hX0!jGt?&^m{JFL_N@ z*;tOwSB!Xk^>;#)>UNcg*Rm{2zbi(h8#>+$=D23vy`ZKyp?NBc=zjkV7p0m`?N^U@ z@)CUc#KTFe*WVJq9F@b}^eSIHLdiMcb3xNmKeZKmdlF`PbG}-q5b>^><?C)1?LU1B z9#%C?&9UcM{_z>h>OH;S#ru598g)tsrJh2z6%>7Xv{HF(Hiy@JpAF}-nl|wZui4(W z;1ApH<S6MgN-Jil+{oZ_eCo_8W;t)cD|HUB-4ho4y3R3e$HWEC{5hw+624M5DPiVx z_;|ie!{g`oyaMXY4sx{%nCJ+s`BK<)?78roPoYhV%mvnb6K;CMFR<pLc+(_(fi<Gm z0*5vC+8(spEj%UGVZ*(REKlv-HXN*kt;h^t*4Gmz81ha)r%2xMs=ctzHyOvT*Hb}< zHma`pDF;~w%X833wQ1LLA)W83O~0-SuKAGM)b?Fy%@_5iW64dSan%>J53_oQF+7~K zxDz~{?;G&Pp~<vQO`}rkVAOMgDf=cR%vE!|d7Jav?)C+L<T=eMrY(4s{p_=rJLtA@ z@q<dgg+tB?>y&9ZemyUsQ+O1d*4~7i&G(b_yz3V5JFh8KPI<*|o`XdfS!aa()#VJU zP<K3B%MoTZX~9QLmen=07QA@Mvbwf;LB;HZ-gu!YHB%DSF6Z<*Zy|9wGQArbrJHyA z$i7VFI3@46;owOYQG3@7pgmFh{WhFf%Mx1exZy@r(<|jBRWlow%d396H7zYuTVXpr zVWulfslQ6ZyU8qH_0=L4!j4A0XH&Z5OL5aNe&IEr%9|ENb!4vn#@6)6T5!!qb;px3 z2cwP)Pl@x|aITdlb-h}|d$*=f_R2RF_y>H<XOZ6P67chDd(o{EeX!}H@1Si-9sz%w zS-j_X25k1)aHXi}mbrjVvAE-1c8+HX-^0a<F4Q-+T59A>JKXdnVTUbuaex=-$_&uP zzE7Z4mbT5H1&s4rWsa-|t<AqbSLERD4Gf3AKUAoEe#KpO3+Vczmx|oQ7b^Q&Wm3#- zdBQXKsz5hL{{fACA9U1tvfxLZ*t3L?Bt`b8pnZH3t+*{$)PZ(p+&$>T_i8_AXNLcV z3C+*;N6u)zq6XT0f5C5q0sG9mppye2cR}&b0qyAf9dW|(KWN$Fhe)v^gFTa4Ej4OE z`~N}fHMMNTiUexsv|6tC+YPGC>}0|-`K0pG6xmOLs-SECK>J8qS}kA9IpxH+NfdOu z11q=XiF(l1j5~-Mvp-%3T|rXS(<(FN|H(r#E@oCtpxdC<M$BlA0G$B&JvEU}$Q@Mm zwu6?2-qd-rpw^PRc*4$UtuiIILAyx8b)GEvuL0U_$__e4A);rtG5b>UjT4$b>gk+u zOnnd9vkE?V^Wss^p%vPjCN%$u)H&n$*hs8sLd?lSGFw0s2QN)^&N!y)g6>N>3c4@F z26QgMPYclA*qN;|BB1jj7lUrWs1X89UmSx?Ux<S4_2A|%p76pC+@t}W?@*HhI;0YG z8GE!lsL7O8ELL>kZfC3IiML0c_<mV}ZUJZFwv^CIP2}?u2RRgU>gH25u_A%kvxj7^ zfUY|K2%4r+o^<@|Nyj2F(3;SRtujkMmG9hE_=by3$3eHMy!QcZcxh<0lmH#Ea8!)D z*kD(8tIQP8;TKB&n<g~B>IOAqo(aWmYyQQjbH*_Vv>ik4%psX2T%f>Y<1U_H37TC4 zrM9B$pd0Gvf^Mk)2MRavUf;IEEBmc9Y|lIK9h)uJyV`_(>f4ADjwR({&lZ@?Z<RR$ zYN|*r-#nrDNu|yi$JOVY_%5xEn9&^m??C>m<MoWUS=~X48D`by%uSVSpZ|T|{0(_l zW>a}eW7imb;o+J5@`m(E;hPiu6nuIQY}nAIAAeIx&%;8EcjgO^$Lxz{Xhb>rw#abK z&`^|17yih+mUDrS0b`cNj5ETE&$(PsE<2KUerMjNZr{mj;p^|e-}&A;_kULHixaQ5 zeA)Q_TCMy3CEmJAs}9W4TDtUnO0ssmrP|w=U(W(3h@I13@QrEJvt-agYTrP|LKgJ= z_2!qUJ^GwokZ1N@^}Ts7r8ys*T?|_I(bKcBUb8GmoZ)ok@A=TBJF}~bOLO?<`6|on zHh5gk1g-G63tQpQo_{?&=VSZ2?=Ng(LF>j~i!`UC?&MjZ%-C_`&TZ34YU^|wJg)8r zosD;GKIpW&WP@G(_m{4}@#66Dm$p^ypoLh_HJbP0clx|%1nazz*1KfpYi@<0t#+V= zRIA@ZS2y|dD@mCByiv5*{q<4MsxjE=#jV<3<iOYZ_Rd{Aaf?AD!^BlPV|y7SuKk-R z1-=Elwoc&ShMVbetG{Qgn!2f*8FpITcGw!X(ur=DPKa|U1eLx6t-va+hc5Y%uXBmt zc+`IVrRtKt__f~|0%k!D``jIA4LQuO__36y@O{vI(!2dZHvZoWweb&U?NPV*s=fCX zZ~w*%TAC>7u#z$2-0$bGll5L$^)8wD9&`*~DQKD6SNIv2jx2}Oa(nMB-ny_M{C^z} z1N4Zo*K?tfBE8#FIrAaARm4@BMWB_If5Rb5jDG)7XiD6?`reZ78Rv8q5LZ~&?kr0I z-xt5kZv8})Pr<TlUfC=JY5Xk@)%fA`uP$9a{@1ovw~-@cFYNZ=;`pl`vnoQ^S67{1 zDcBJ7T7J7<-Bs{<(%(Ne2_4*UG5G$X?=RjWTUtLEYH8cMYaX*Iqu5tR)dwpxEDf7) zun4*kt4iSDhLgJLFKwg3MFhSx2te;VmcIZ!=kCT|DNpY9k`1dWBYPR9MEzc?4n22s zzPt<Q3{1_c{xpB2^`vjt!<M+cIxgj@tp6$@H2vo7l-mqbqP~~Fe0ogi;D)38TffN7 zEkn|JcQ<VP>v!$mC7oH#JYiq`G{CE{{%(d|oc(z5udd#AcE0nz-fIM%{I*oGIf!|~ zobT{+`7VGKe;wcN%(gm8T@-xcTor5`E7+@hm!IBzZx{GVbJ*gq1<*h`Ht)JeRK)H; zw$-zGL3z<@Z7uAIaB#{!KS%L=l`-;t$G@*bQ^V|EyWK9GX!A9SxGJd&cJbZSP!}H# zf33Z(;`Q#Qk?(B+kQQ*gn+((Yd%fGGBQrs(QMW^GVgG6mz3*85{_^!VUR>XPV)H%g z2qeA#K120NN5#p{Zce{^W*bvS$Xahu(eU*wbSdFWP!it%S#Ga;_B!NS*kS8Jd)Hce zzu&lFGlNoS@mA1E%fGJB_yH&3`}OPZEv{UJv>@=?B4|J@^Sig|yTr~#3`(Kt^Fca) z`$Bbom<u`s^GVKL_vfvkvH`lHw0!#hc+h3ZLJb{f_k!;H_Gffibr0mw4gYMR&iz>Z zRaE-#S+l+F?+ZYQ0(!Ig@9b@_A<NCBZ>^eWa#^pz<La+TAWQ2&SI2`Ez}j{s+;}2y zx8Qq*Eb@7^arN_{OG-C>Hk~BK2U>Ld4s`D3R(WU{cpg-e{a!9x)t`2hJyjgGtp1*z zGqhX@o}Q)Bdnb@#;;Lfsdh_2ipykSs!rG%^{|g)MEiQi4iYQlhN5fKZd9T~0BR(Ks zHiL@&f5tFhrhgTcu6?Ss*FFEQ-(L0xXnFnjGfZPiv)iRJ%&Y<{qwGQD?NwW7$bM01 zN}Rm@XUDz8TUVl%NU^ZAaC`m4Ed>GWt7jd*%HgnbYdok7O*YsC@#V4ZuX1O9g9;W{ zu^Yb{x=M4Uezr>QnU@Kn&m%$WJ7agd)Irv%|K2d2qfK|-ChzwbcOq{dc*hI1a`x|O zZkLXL7OKAn7fI`P`MhU5P{6SG_m5Yg5~;lRwQbdIBuf$JX9j={A7+(Zll9jdRIcoO zR|H+Cez}>W&3E43==T?YRe;jLM98*-uene=rK>%ZGaqi?3p2G-yv?@Y%B|&~HO-*o zhrzY!2d};EpI?H~9<*M%eIIsu=9TlNlid11Yi_@Wg5vDjUC=`6;|V45>%3VGi|Oxn zdw=m~4w9XB`=AN_S>5W1CL13`Nv?UdRvVnXL3;tfi)TL;e-)L^m8!f}y<POcW=0L@ zaj5?%K()60TQSk3_>p?bhE=6ekoDsBYam-Q=F7Pl<a|12`)SSgWk^fh*ViwEM$E?j z87jJK?rS%P`o@BnxZm0hU5xs<nWOFOy-%K%*Ji&5SqnSO_upKowafmkooKS@Wff?7 zd^A|^u4;(heP8xh{r~^b{>H6m8&C#C<P4%0&D?k;C9SQp<BZVeo*d0I$xO3niH{Qd zRtDSn+}z?i(=(0xd`jAy)Qg*r<a91?i*)lAj+UIsZeqO2N5}ZmnVcWLW3SJyuD98} z?)uk%|JFU<xBtHV{ByGoZ|~UiXZ^OfYhag_C#iP3m{r`I5^LVcwBepG%hUPlHxiBs zzTPh_6IdvA(5+f1<eZRB(G!*^>HVLoSp@2~RK2<~@kS-f)%6PCwV}5;r|s%r@a-|n z=^oBkd1Wfgw%+;1^7XqyL}GnYTQO%?l*@%3P8&|8vbfG>S$)?l;7?qWsT_1Yn)>|N zR+nyl(ePRQrHtkE5{^^n)FSfv9Dh#cFpF>t_$buWyH0gQjqyRH?*bvR0y^Jx9KZTL zOSJILaSkXhZ(90I8NA1n8+K9WJnK@GaOD;ICndDb=8#&a8u2o*>DYOJHJdJ8oDp_$ zCd=x#UI8}F8;&hxQ56?hvvtaXFGWqIVFFWPeK*8SU+|}yMcT%;G2tZWxXLKT%eOMJ zn@;7cMx?|yt&&%c$gy+$YIIOYT=2>tse?i0LRa>6C(LB$cm-Mx%XU1$;_G&$2u05e z1@cW(?Yu7hh-eBuHw!w1Q}yu5#9QH<UH2U}9P{V!`o?+f7q{cf=^WQ?ev0Uuf44JX z?_SPR^(_g_OpZs5In8!8Ecg`8A+~egf>-^VV!P)r_%)w%T16pbb>W>J+4fA`t;&vX z_i{|L>{#&5rs=7l`U=olVDV}h6|x7tWSfq?<_P;K?08X}Bdq%DM+v`=vK-ec)E!SU zb6&HWw4kIjVeV(>aKt&#*<9PZ7X0bwFx%0;;E_D1nUruy-PanQ?1?OnSIY%-$`~BK z9_E~8(YoN-a?WYH<}4_ioG|k?$E$nZ8}7(+ys~%SaA>t(gni70Cjy65`M1v&VT^eB zmZdjcc+DhrjVhyqS@V@N>NF2Z-B;15<USbnU0_Pxl!UqIoTuExKWJ6o7MM~meUR<9 zV8|bqgKpfMTJ@6>CU%44lf^ppt8}n?Qf||${R$C}1e<2nt4Cx#R$3NXt#;68x8Rkt z0y^Idn|^U~gjuwMFa6l(ccH@cpq9P5#xH?`S;|e1r1g#zT&wsC9*@wo+<E%S#B2Ll zmhvk`q}zd4Aji4{>~q|3u$M)&-fhE0X2^{ven+;f+Siw`aW3bo{p|^zGLB~-b4;_E zz2I9b%jp=<O&p+uE55g_RNgsX=*oNHH6Oy8+Vln2e7QB*;$MY&Q|LY4fG-J6SNjw- z_RUD>)p9(!niG5~>|B=Dcl-msc{Y8GQ_<Le^rXZ&Hfz`}?w2c-7dLZg$@^|NA;}VI z@4n$iD9hFT-W!g{vY6KUZn!dG!8dM}(>b6!N_R6KEPAiBqF&)3m%E^ej^LUvkxj=y zceT%jj*~3TUe<S|&Nbi<XOrna-+-cHnlr9NaW-A57Ftux;CNG+<C=Brf<NgTW;^CA zc(k3<Y*+7sqW*-5$2nL1>rU8s*6(P+s{IoaI&GmVl+W_}$$Fku1#co3ZQ3<mNawpQ z%9SVnO~)<@E!%o(8;ff&%j(*O1wUk(O#M_ecJ?H!?dJ4~_uk-F4PDgz=5DZiRlmTL z|Lh0Zr02I~K3(vG4Rln4G`FS3{`swz9WtOUwyW4Pg)%*E%N6yVt(G2ZQWV+G%5O4Y zUkO^f_*a0tctT}ct4xWxjjVY(Us0i0(S`f1t(F>l@`G6V&oObx&uxCF2<q~Kj)~}* zzQKTfsy^tPN-ofONz7tJ0-#d}d+&ofuAqxQz0)6PJyqBXS~7ZbW~)rf{nLkJz7&C$ z2-<KL8(4tm2ta#9d)6f?vOj$f+H<=bK3)=1Z~irqedF?o6OOh;YU?h^sBBA8WIwwd zwClDu;)LVx1hHod#h`mW_0kggisq*)vj1HTI#RQ{Rfgw%N+O@v{!~Tw$Drw&Q}v)( zg40L$M6+)--#nrD$34(k#o@_H6+6Vh$5e8It^>DfZk1UAI#a<aeB*@XH{U@gU&w;? zT}q2ROE5@LWIy^Jbj|14^M^uDIsWw3Ipdh3FIE%)3N(S)$DR0IE#GLs-U&JeYV)~6 zGD|?$n7lOw9eHsVbZ`U{hy1$ccf!H)r4y>>waR=+p9AXlw?^tbN!X#zT^w)<bWEin z=;VtK(8(A5pp!2SI`KUMb^DKj7K2vGa~EHzn$s$?<Tz+pWwTM9AK#|iplKId(6mcE z$dTpnV>ccxTG?+U5ehm<#0YeCIp_>X(EaH*_Ub%Y@K1ufctS-VXt`}{(`pm;m7u`~ z>!XKcQqn-DNr3MDC<iUK1>Xb@I^XNzR?wtNnply+zS*soD|q0M<T8KmuNBR=)VvEp zSE{su&Zz`VY5d-3z`ph{=!`7Tpql0MR+*G+(B@!O&|RdU{mY;Oz8)P0tq7I`U8V9u z=FS|;jx!)ff(E)G+FE6<fQ}&*;s)6SI;herLl0!rl_JpYV9+fkpnG-mrng$2usz|# zckMsq>hiRmlVd?ueJ$*atLUhmvnOwvbmnD=RFHR`+Dg?_tHdQfOO~d1MHLzElm;DV z)mK>X?2duwwU<lg`ek{Z$@M<9WXlwvLl<89cy_m}dH;Rayz2M!@0Z%Hd;YvS_Wk$g zpP#Sa|L@9KW&Qt;R_6Zy4mz;<(tpr_)iXbCiah97t;*$aSeG;G*1QED=drAo@d)^$ z(RB3`%TaN`HHE5<C%3X>-}Mam#n@DO&3D1phbb1U0({fw7FsRadQXhy>0}n^H;w@x z8Jc?gR94go9aQ4xT(wRGd>z+q&S@`%uheuUtQ6<WI&af_IqjLimAiAnBmLqWVHSyy z8q6lfpmfQWISW3PvaG)274W65>FPWMjekrBr8XKHt_9y(-n-ySOjBu}f=0E?!K%-k zr{euK9L#F!l5OgJr@Z2i#X+U>{-7y-j_EOXw=`wynsqPurN`p^#v44&@5Qq9xghwS zBCV#Uc}gql^$&9O3z^6Wui5f3Qo`?XE=O1;zvIPP4)EE!k2$VcfX<k3=9oTbPsuBf z)t=xJ8{a8v{N^}VbzW#n{o9)}uCYZ6ht!xKbgLE)IVY@Bq~mzim{Tm;Dd2B3c;5f> zbQW)0pMc+zO{t4M$4Tl%Y+~Y=e&=ph5KF?&a=|P2yAxXdg-mSP5|&=(l)BCl_EXLA zqS?W${R$fY1P)5ot846hXu0RqHEB+-_Z}Pk+7`Sy$nsj&H{f4L6R(&*=!k=ZexZMk zU72|CE6db+zYQmvSwh`ex^oTWp+{aQtoT_68S580XtZ45O03I<nC1olqMAhO+%9}z zY?}Jd?Lx(|of7A?*28Xs-*xxO#9O~Px}I}f`yu0aax&+&D35?&Tur6d1g7k5PS|Vb z_;bHd&IcvOqt|sG{8((sGWEXqh7<o-LhZdb+~{Pvx|+p%%?!w{0`vXmr7ES;j&FZ+ zPOF@>;2k&1>D;)ZTV9=4i%|5vP@s3vYrbMejm<%==RzW}ZX0fub9UW#0w3GW$9b)4 z-hwY_&l4?PpMkZOcE7$d@!md`r}n-Z96J|0vSg9gRbBB%w#hY5ZN;zbrlWGoEB@s- zshUN0WM1_V(AjG2__mf~nq|*|cZDpc=lTZx4{8$K2W`j6-LoiNaz#1g563~R|4JIW zF8-WxEh}G9W1sLrDS0)GN{xe2$AzY>6IipkWx<=REU)i61pEtUk+$&(_&A?M+EqN! zV(NXL4IWw03hY(;vc4mHiYxxPHmROdSWzi>FzC9#l{{gcVspp4?Htp7vpfFf)jzN$ ztU?%k9PBRz$H&c_VVXiBw!H~kxjDPyeK#EAhfa8%+`X)Ci=2waUz3AY(mKbsoI1vN z?RMjWKkOW479HUGxU5<id}3wkj&Tk6%FfbV%DL))V?yUw7SmG2w#`?jv25M09FcY& zTJ7)Nxl(!OdEqPeGZR`rb4tZIg0}~Oj)Q&A*|knNLO1B}macrq4aaVCdcAYpaP1$< z(teeQ=Ppf~o(rzo-n5{mJE8eDw9Y?z-&a=B))Rc>#hE=FkHhb~foBr!-8Q&1E_imG zV_K9$K(*vSqw9iK_O>SMyf1V`UTDn+`KGqnu{{shd<knhwp(b;r;AXh$-4B<_|?{Y zD?IXqV~rhm@r4zj+BZw=*@9AIZc7i)*$^di$%^bZpMxqiHSYrbq6zn>fo_UA<HXk$ zDfTR(M1tE=qrMe%R4{1G!)ee_!CIhQ8JeIy1c*B2S^P4)R|=+}Gm?7s4u;NZK4Yfy zWWjFGQB=P<xGi7Ep91a9IOD|E2AY~E;RJ0W03DB1cgTrv5oqoHR(nw8yBSpZnofW8 z?1{ooP|Lszv?~KtBR`)28XpJGE8NrvkHhnT#^GnQT1vzmcH--@PfO%;E8je!`BOuy zWrxjSC%#qd_kpHrlA<?GX#Qmlnx&7^d9vUqCur3J59m<EV~1o?vOu>*nSyp@2!gIF zKYB<;<e!NqXk|eQ|Mi0(UV=vA_hx}^Pd`>D_H4l?KCvQ!v!Ii_`a!M*ogDr6waycT zoikc3Gh&ZA@m;zOTA%^C3H;y0R?8P_(-qk(S3iDs=3s)iSW&<o@L^*oo%l|HPHdV8 zJ~<jZ{}XaLSiV#s`iK+Xt8ma+PM`)?r8;-<gsO&CnJu8B9cM~Tf2{R%!ERRW;tAfH zCN%#89Ut|OL9EEY1~g4H_lOhUG0>#R)Tug86n263J={I##P_IH?Ad~kS3qqHaL}C; zUvBqmfoZX%{%yx9&}maQ=eEkEfQ|{PVihZzVBZLu)<{rfKl&6@{aT3?1?-#JYT0oI zbVQ~91_Snupj8~U+hoks`Ght{o^UKS7kj2)I=xk9OMgNlpV4v9*`AOiD$mUaO=vyU zd9q;ttX4~nl86%rKiGj*y;yKtO4uJiBoks5+Xy=ELPzY`f^FQOqsC4jk}=^+S7bja z#9e%0)^R7kOQ1X5&w<Xb-V3^O?e1wOzEAz2JwqSi9y;0^{z&WW!3=3J{r~Cn|L<iv zRr2H|sFtt(0Y0qy+s@pHX`4PZ%<N2STWe~QGNV01_vjfVV^>|}%SYC@PV206I(@`w z@fnrNo#}Imjh@S#%bYQ@!t0Dq)apcSW$&ZTZqsJ+&VLSC=8z2T&)e<4`~Caw|7N$I zO^Td2z5ZzM?SKD4$6K9vA*vmzV)QsQxN^FuNQ3J))fH6|2a~utR?T<ZaD<h`bT*6k zTBQ|#6%HD`=6Gf2zu`_B_x1DQf39A=KP#biE{D`P^$1P>3qLHHLjC4DWIxlE=2rN# z@#5#@eOK10Y3!Sn(96!@W#_fwS~1Jg#nYiD?CKq?`pj`k-e<$XjVz-6sy9}+1^o1C za=pb8`d&FAN7wOdI>)pbH(^~w^X+p)7$dUy9N+RBG-4OLV%wImb28^E&_S6`S$L-l zuPNbod~C|Hx`(4HuRwDBrfsZ_A8)c`zwr(D<j}OWPfep%=%Cee$d<ygRXNG8Jn|(S zf9~cqi|`Hj=-Jep7Sop*daoy8qAcgCcb*#}`WF03=a^<Oaly0g;Hw*}H4hq<3to9E ztn<CR>6gCXnh$N-KPEAAOt-m{7{rpW^t*tGT|>fDe_;{Z#)Pd};M+QPG%k2DmnHk} ztOZ{lv%KEp5m0t*>W=8wx&8rmz8enyV*yV_e`J}u-*dwWS7DC$B8G#0p?m9IdA#9k zdbM9EBFQ7*^L-ZY+#=Csq1Dz+sb$J5cFP|uny<1VUMb?CGz+i3O2kX6reoWM*L?DB zTGTJN=9_-gBkB0whifK6>sa;jxmK5MO<(ZkA<JtW1&wO8gH_@JQ}$0wXbxo&t!9y4 ztGwcmf0L`(F1E|7ex)@XEmK?ZkFiOWPj$u5%BH1SEL+d3M?9MaEs%F>FYkL&=NRxm zuu1fv;{}6TN4A7j2|GUC$+CLS`~@!*SytEfE%=eil3mlk;7KS;_Et`>`~Kh+k>`ch zn17DqS^h_w(`*K)GYlFl*_Z$7#0!O{Q?5;}eu^u8#Wo#Xr?8^V_@K~tp(}rE4+i-Q zU%8hcxNNJ>oCWW4nx5YC4*2iTBx>h<;e$%k)P2qub~tW0<qMrlci$cCp0!_D<DbYu zsa<@RwRTz_jItJ*a<4mKu8iZ&#T?hHCocH29dd}ZTT|~lr4@gon_TB9tdNTAd8o5Z z)A8$eL7k1qAzl8RKOe4CUa8EP1>MN0uNJY+HQ>KrlW3jug%5>IQ~!BisJQl3X8)(K zrbWAj*L+iKdc@jfy3Z%zQ%=)XJtd93EeUh2VM8T$Mx{&4RW$y~H}RT9Hf4s^a36Hr zEg14o;h>VcK*(RAgGuRvA?t;8HqKb^%%5Xg)r<w-l37mgaR?}XENw97={D6B`?U{p z-GnSE`Sjt#O68^cLf`|?<b^=@dfduoIV!Id@z|e5^*Bp*?v+DZyz-qloIA&o`du;N zeL&Nvc!e7aoB}?wvq;A}2mB0ca)qrC+WG7FO685uIalpZ(_FUo$Tb$zU>5JQ>MLqF z4jLU7ykgs%u=Bm}mHk}_t<fA(_xv|pYFO}bGt25dzub71zX)Sly?640A2v;<cA$kl zpfkwitV@@aayh<~I%pLxFeTP;!@a#MPyah?ICz;wG=3}3<y#tl0Y7C~x>Gn-)%7HR zk3Q>7;1hZdny?2Qp$NVQu^hBkZkDIuojFV#`R5$JuUy%0b>gOP#3q?9pc^K*^3xUB z5AThb(R|4rbWW0n*s}#eX^QL@!KY(^4pQ9L(`uRVXHKh(O8902_O<Gu&f2je(ESHF zpv48AV$T+AX9q1VXlb>Skg<f-myRD-gBBN9wYSPl0bLEL<Q;j!@oT!y8OJr}o%mkW zCnxd=fwq%Ad!7F*)!O6j0Vlp&yEhxK&t#4`;kf<4A(<!pKnHJwPGN49-#nrDp%AFT z2nJm!(b8(U;!kN13x7Cc3;%V;FGpAQTdg=61{y)wXUkoDp~9To(&HUy-(g>ZBKyn7 zku#dlMS_mgR0g?qMyt#dYtUIviDE?of4D(MDmJ#tOo_jK?Ci|u6J?;&ob<$=DQuGm z4Sj&`KtOaY>uN!(3&b}~X#S=I8dL!7-n2V%Nao4EGfsTJlEt1a_;4$_U}}-Uowim> zjkkwDhlGQMK0rO(5=PL%zUEe$Bl@5dr+h&RAdViA*#cS>3Emj{{3oJS!wag(9P_h5 zmvwfwT1uP&9Tg243bE>Im6_t7p2(;4eDj3nSD?iUIjY>2E9?&(l2MUMRb)R4YQ2Sg z3A-~#X3KWaHqPS66OQF_+{F{DXSB+E0j(F{S`J??VXy|&e0u2xx~TQwA(;@+`j(5J zKKxrr(5X{9xj^emqBl)wej+3GY{68}5?Rn@(sPEO6Do~BCyjxQ#?ntu<YPMxy6!{{ zG>+8PDl-MNnKY&O_O<&l9||C?n(Z?{r<DoJKI_Eys(P~ldna?`3CGVFpca@As0DWV zkW7jcsF~OU+QoU+iSHL^kmk^2ohJzvkIVikmjvAEX_e^#Efr1m2erI58nD0q-+cc{ z{(t7ByR<)mD*D<xko%|Vy}VEQEt$G|;+9D|o}de;CPf96O*-Q{^Tigwl%ObebLC9U znUlgM?VPXTZB-`IzVp6iUvl4U<IZVQJPnsjKDYk<`;QfGi~knJUVr?vqP9GC{`vRS zZ`ZFbym82K<8*r|>HL5D^*1hx`}gmE*v5#QwbOl1K5o)r$(}3ckp6Z-hl0)&yZUDy z&$XI1d2?Ko7MfB&DWUl)i|F<JiD&cW|3ygWx&~CLH~E6v1sn&Hjthaxt3o-)v)Y`~ zqI?3XSq~cd3tXw~OxS6;*Yt3!cNoXREwAz=MHC|xy}={fd}<k>-2%ZJv*vkkxb+k~ zX0UP2f+sT)){1j_t>50!ziBh8<4swPYqw`F_~XbT9m1hC&t=0!OVIHI3U^kXnto1Z zrjE*rT|EgKk8`fF_up`2mw8eYsJzPc52)5}O65~oVcnmwla=M`d9{d#Nlk6nh1ZxU zWc<-MsC8b@BsSf!ZS$>Vj4j?_92X}&zI|3E^NnLbk>0^5ci}1P1lN3KZrUU+xTajz z@#k(1vx=4lkM?tzb=_~t481oaVRA0#s=AJZjm#XY>KhX}g*mh8<|nM=X6BeK<GAHX zS@|=MXM9b&R&z|NZd>s0mbbxL(R)4@3Z$E++Id{4sBa2A#p3I)5b?H}<*2?w#N+KO zs`<(huN9jv`3tZ4+%?;9&L+>MuiN+(Vm3K-Oq+AR^x267`=+k>>Nj3gG@ZJydSi)e zz*lpY?ysDy>>V~731%@Z<zBY+N*l}8`+ghF=&_V?gD>^?Z_y;W&-ucKnx?6BvJN|* za4b^opMFMW<sJVEUp$+xCe`|^{=vqQy{C7<lXEQDwNn<rPIfS9`g%@T<G$jJ1VhK8 zzd6jRnihPj=P;XDti62gzNrZtWtlmquMt?JdfncrSS3(t#ZS?urGBa_{w6o2u2WdC zyCY#|HRr2-&afZmj)%YI9o`aF#qIc5mLu$^f#c<7j<DZGjvps;Uds@gvZp6utuzxP z(7xCjyIb`OPpNH8*jt)^e9NhPzYPb!v50<Vk(Tuc`033uTSsBVFXg7AdWtLlv4i)e z{1HAF6x?)c{Z8h?k!i+FyKW2WY)@x+I7!rL%aeb1W-7Pp3z@7DT=T`b>6p0SnoreD z%gP1Ud~<JlbY5u9$5+;dYbVVXSTj{oW3Tl=D|Ue?wp|H(g*i{ncinKXl|{7PeZxgr za9WEhsx&E1`NZRRHT-UCrtUUD$FJprIvb@O&-x4Ll&UzsT`#P2Sz$#r<H4fqLRa?p zCbYJ5O4<2txTO95Sb>x}XIQ1W<K<Y+u-`6FxBU<_c9)7*)~HlF7-g@nQOkSKs<vqp zztEcRB94{P2~pBH9swU^S)|`O1^j%>GF!$kV5`@L8<H$n>)kdSxyoXiuNIM&%J6WK za>ukg|8Ad|cqYo*aP2N>0iEe;EA|^7<dRp;sL(j*6|b66BXv;AUNz&EV#Hgurbo_A zrvJPGicAkit&cyJc{y5e&1PlCo3)(Rv{?o8A{AY{&&eAXrxa*89-S|cQ^M-_RGQOl z=kx`y;yJ{sI~P<vlJm*#)aA_D*PpPmmLscvTEfhqET#Tx5$|G}eoYr#b3r+yg8!gb zJ)c5M5zEC%$Mw(1tlX1lx$J65ansd%-T^iFO{R9v0h^pQT-(m+HQ#x|IaL<R6M89$ zd{v-|^`9YVR5w+T{pj_3-MurLFQ(}{S?~u`8gEhuEkK;rYT0p?yX%9MM#~E;ixu_R z&s=3y&Vi0nG~+Iwuxnnc%ofmf&qn>5CN#eT^}F-tidIZ1x^TY*G^Rfrbksw7BA=J5 zSdoEU7w8o0$*nRzpyP|P_9rQ_e*_(bcx<lD6NR4~+{G7ur3bW#Uw7)zTi0Cj$Iiy9 z_`=POR+*ITsfm15yFsh!mxE5To(Nhi$t(6O;Yxxc`%}=J5|csKRDUf4RlcCrhyOv> zV_lPbbn>L*XV4&emb6&Wg>xGX*k}HYJmL7AL+qJCxl=%kc(|gA_;ttsXJ(3*2K->< zwv+(f3^4_?uJAE?<c#K1Ev+&>Z|iKliUX>Zxh*^LK}RXt9dP2C1Ri`o4;oYeAN2ql zL&yakO|UxhgyTOkv1bVzCbi0l{6BX{rsrDJ&SxiEp`Q9A-K3nD$fuSmRulj_c|kyX z!-VEvi8^N-A6bYMU9g$kYUwfeyc6H8aFAnFb)GD+PD$h|0<8!9mj$|V>L2qD<EhOL z!*tF#W~z%78Qh!RYPmw@kQ3js<DlV$DzK+ou3vKgvuCDw>4hDWT4hu~_ff4C)p?@u zmj$%^w6j$v<+)9VdnR8MsCupekJEt0K0s$tFa8NS>w$~A*Z?%59s;VKle!~LID!{% zN^G9c{0y`#F>7!8k3MOql0w-n+d2ALKxb$iaN^qqs+)H<N1Sj3-LWp>m!!ykxERzt zT&nXVVb6qC%N07witLv`XNBJS47%#%-QhpVB^Nw4PH2AeP3Mebw!T==1iJ~XmM_kL z#*$cd&N#k*v!LT%p)<$&r7}<S=T!!>H-pw^79Kbx(*tU;JO#B_PFk)0pz(CUE=_Le zK@Z;(68VHcZ5MD8Av9T$J^SCm{AZWz8Miss{sW!XQ2$~MtL*XT&x55jcX)WdWV7^= z+bXm2>BV)oGFGddJC(64&UemL<#R<3-=sbF2$#;ZXS;moQP{2mn_ag~g<5}E)#;^` zyX0Ef?W=BFwiAM!j;T&hy?_35)$#Uu^?Y$P_uhZ+{{4KXeV)<(p6h3Sz5jg7)>vMC zd*o&{-s(43H>b&d<}y9N-_QG?;p}l!@w<Lk{+yG(5LtK4NB_X<^(+exckRrdyVK&= zs%0Vv)-XqW{5A=^|1?Zw;bAsk_M~g^m1SnSW~>VipQ`-439^%UZ>lA1KQwqt@U=a* zv!|7_E;zjEoF#PE@WU>+DN*6B?LNz2pFh3qDnHYO#IKXeQ-T>b^CcWrzmBxqUR2iT z?VY+hv$ddo)uPk4Ku>gdi*{PW`?_#5T{&)t_Nn1#&qH<%f6s$&WX%C>Z{PCy?#(TK zav7}FM17r?13rS{M?o=Y8#se@*M-Ejx)&8Mzij5-l=*czLrKKmvP8%p-kKtRxSG3f zLA&iAzdya~Ydq*Yi|e2bt{^Ah-VHy5LfS;u=&gK3y_xPm(2o4=H42a|s`qZshHZ4d zvE${0moH|j-rQ32mKC&jeH;4)Yc6moKir21pWlm}mtEc;JHvOixz5>TU*EyD-xg_r zHWfQ8i@A_^_b|vor{zyA`#Rfxj&FB6Y-@ViT##kpQx?EyHGGsmyX>eXXuo|uY%6fJ zA;_2p(76ZT!w57_Z_506kD(-@)B<|Qg8VI2q(c^_xwrc)&);b>J4_sOeuNb$uEH8b z=g+B#G-DMo1P9{Xn;`e>yqgmh58CGYPY}APc>D7jCHN@|U<Wlly}9KFXwUT*?MrLG z+l8ys5eF#bS*2J-Ri&EguIG1X58WINO&RUa5j*>{K+c(7t2H~!oPELJSC;dko6h~k zkW!C~tkK&ksb*{U3pVg+pW9^xjf#C1aIb?M^D28&rXhzz`_#8nq3QJACwM%7H`{No zF`GT@JKKW8tB%fxZaL=<hr9RME;FkXtG~}y<wX58VUP`r|ML@?z*2nsU}v1%m0s+; zZ0_3Y>6d>!WGIO!Jo*ZvrlQ&zuBLgJ^RmCc_f7EaRtFu`uyrp~$@_1p&Z*8jv+Sun z^M%B#z46ckL-^k#HrsF2wMwz7eX=Yk>aQw;Y*>B-bj$kuH)r76ws&iTj{11zeRIn^ zP-eHzgNEOBcX%e|oy9lvTmPEV%Z_f0pT}4du~WC9ZXpBc2#R~vHt-MxC!XThn=^m@ z;=Q@$mMApJ`T3u8Fm`Z&Hlwd81sy%|_j&l{%&*I$H)q;%DL`}jd4!tZIVC5y6yLeN zIrHx}hLVVnF0gc3rwF$S99f>yn==jV8Dzucc%a5q>%)@;_#hOk++Z_Zdx-|V)$@3v zO4^U#w+r?<!r;OZ5!k|)Jy!s9^2pz{IZ?Gb46<SS1)wK}`1|cNhNshaD=#Wu_DlCR zTf3eIw6C2HYS!)L_117jU?)v-G}B!NDty#)d^htYgf)o1pNpE?Gj5h|&a4b(uv%mF zbsZ#9e-t>v<9%%_sPO5^y}4x<=m3HF|DX~7BPA2A=5F^Q=Vfz4zo%UObq<t%?tO!p zQ}G*-Rw0Kqtj>wLBiz8JEq)Ig@9(F=;}l#l=iQ#@d-^vh`MBPPmX`eC@G!i#OVKLD z>h2!n*<t%ZhgnR2e+p8f`scyVVOX<U&no5C&3}t?qW)`vPWq^=hZL84_9BWEaM-+) zPrv*qfWd0bo`>qN%ykVdHGfaJ{OLU7iw#+K4InwxZjU}Z(y#TsnDDaXKJ(2jzp5Fm z*4+D%0I{iNlR8|@I|HkfTb6$|=S0bW{w(RxE_$Ey!~XAekn<kCEr$CZoT6Xvy}8BW z<HDS%cO1|dt@a0(8KAV91F9;%JUV=HOOEX%-_?oGRA^^230|OHyZB<l%PVy=eODWU z>aE4_6B>5Hy|wFny5Z|HbxO0Zy$2;7e|FeeAJgIIsYsj1{_^`>{4Moz66in-8!2eM z`QCTi9+6U|&1H>b=l*=16IG|eARA^Y4^622{#8csG<fYKDE0jGPrv+XGUJO4zm~#l zid*@cG#fe?L23T=xn91RWn%ItmVGq^ovvZZei>5k-u{g2CZo6Ue}3mgt>JWNpQ<ho zjri|Y@DK#2pK1M@Gk1cHwmJLP85$WoRN#?ujTPjaQ_45D+!ATvTm4)XdW?tvw5KPu z|G)YD|GB+_^CmygN#40Vb5cdy=6|2#e#1rNsq#dFwpRHRld!rK7N=CY<P#0Ov>5*+ zT-^GvKtwRWu5FiULaUy{R>mb++l<7#+xRo1ruw~#GH5M1nxrG3Rl0Do&<u`03JIUQ z%(7k@Uso)D^zY`It=DVqpU+8IR(SDfzAt<IG`s(6uh%U-ALZ3+m|f|0)iLd~{hluq zSU&u#WnE*Fu|JLFzzK=#GJoDL<a2obAiiCxc{y|^!?qdwD>L}!`5Mb>HF%g>flfmX zi-)f1o*%b%eMI%+)T;iA>zE|Yz>cw9{~vbVbmwBd>(yWEA{i#GvRv%Oz>~cev=A7y zkhfo1!mRrG)T;hx_mCF2MsF>H?kw<o@yfPszIKDh)tw%oZ4i51A&0~6GwDmXap>FC zs{V7Akxoo4zyBdOQV)6}vR?0!lj}jJ3$F()j^?d{oyeVYDLm)rLDQ=Kf1g2Gq36-c z%am@t$L!$FB(U))e}>B0m@tNkSzG*i86?7B_pHyqw`hID?~B=A<QDG&Eh2}mbpFbD z{S{<A_iPJi<(1{Rj4rF5^@A3!uVsZUb^c?~l(?Dw+AG^x?X}@$g$&RYz-vXJD}ZNP zxhrS>XlCjNnd=K$T>VZSy42qLF-M#3o`Svi78if9LY&w84t^W`ch%k{Cx0?^g!~0< z!`X097`iI^m|gABY5!}R?=7~kTzrq&0eXb;K}o2M(SO?9E}fanDzMTGbWrbxU-D3m zFVnw>X3PJp-FrO4m2rK2eGemaL&A0V4wIxX<(1|0`NB*=tIn5RtMY`ziJg64!i_hE zufNKjy^OR#I)CjY=*EjHyi%TrW33{t-dv8dP2`eAQzEzey*1xo_=qAlY~0%$VGZ32 zvpq}2_ubWlt3Yec7hGY>-{Wfc3cUYjZ=p+P!i`V6^RHHaIj@V@n^I%28oJ>4=xx(U zY4fffTy@bKbYAbP?YS2qC;i&h#&jk`?EWjf_jpDq(hBLX@Ri^<R!zK89ml?U*8fmt zhNai6elD-#XJ})VxcmILAkS>u;<)!0_bdUW1L*0(*VsU3&<Ztl_`bd3A$1LOVtLYH z&_Tbi_qjtt2$E_mx4*Qt%0>#I_y3$=KCQpvG0SF+dUNRC$6N^;7^d8Ma2Ogd$6o&G z(ygzYZ1eiBH1~s>4A292?QX!1Q9imqL*=YY?QWj1UOzqXitew=p{eL{`b+I=`${(3 zyk5-9`XPtG%a{#x^7#7lEa*Y~k0N`Q+<PKx6mhjCAH1SFd+vJZif(y#gPd=#_Sw8X zdlsZs39>t7`#0EjqgScDOCEMvr*2qPTm{zpx(sS7IPKQF&#Sy<eVYq$c=&reSh29P z<orU*3Nzn%VGI*j)lUN*a9ywyvK?V>Ve7u6)Aswq-(TFnAGFm2x_5&8Jj}@-eWSm~ z83%(B@N>{n{jc7y5M(%PC~<fB^;g>0_9d^rm%L>;D0X1E^fWZ(&$g(WeQ$9&=xpI< z;h-H-YrjH+_-7%@;k5d%*?ZlK>yc8#+E?bAV%RQBWN^t@wQ{0KOekpc1n4Z|HQ@bc zeFtAa;+6UKSGl>*k>hn=<$~`FV2$r;r93B32Ny=6$_#D1;N4)!2D{APT?^0oIT3W? zzBWo>^gntpdqW?KLQ=Q<MUPpL!Jxt@4pbQBheJ-}_UHd1n*IN4c~yVl*5I;22511i zUIr~X)xxq=zJe|!xcX-%Xm82a36PMjt&=&p;pRo=s{Usa_g{w}eV;!UmX20(n@nnx z25(sdm7AsVAO|NK?9%U5me}@TeQZ^~-(yFlqR1V#&*Qsk?~;>eL1(fvn=&Qbc+dc` zaIfK&@SK}vuWf(5*Ld*teZS!X6UY(O2fd*AMK^BcM3c?F?5o}QL3=J%#|J>YxPQg^ zh~K*WuWj9yiwPh$$?bE1W*6b>nJQo3T|WrkiMZfO)Oy&y2~Y;D{jFTp|LizYeB{Tj zfR+j?XPZoFt1j8F$~Xj+wQc|Se+uMpcqq~H4xDKBd_G^*e{MPQDc)r;UzX2yyY!?^ zcFn8(E8G~oatqGHL3erdCVR6So_7ELjC+g!gLeu+cWba;hixDGDA&7W=JS#bSzlI3 zF=}l6b>#S0IR+kfgI)gfLzE=0{re$Y)qk-SlnkK9j$b#2X-#T(3wkdqyC%!;&E#C> zfULE_&`kFEF-P0kd4HGOTU?1;imjaoO$N)#vQ>Cj|22xZYPpSpjoV>m>1}9gUs}j= zSZv=8mGf24e}VR$LAL|$-hTyJT;F*t<vH2iPPIAo?__9U<O8YCsvir33ZuUbHn0EA zMk$P7`#g^B&r~^U(q<H4YPA$>?bYYd6Z)6iFJ2!}{q_FSNcpdzQUO|y#k~jbY5BW< z{(r`p<sa9AXM?VQ54ZTXGiPGzBj@fMwP~8$u5CD@Vf<0?u#c+o;WLZ2ikNo$2uDjs zs(~&w(=oo}qhtJO;xx%rv#9LEw1>vUeu2xA&uQA(KYU+)ZuaYc&+VR<-n)OlcHQgW zck8p~)qYo-C|;knd3)W<aFz8QOMZ4u)e)NcaZ%)}NuDANx4Jo}MfwGlvmPvZ&H2im zUH|{n2aCgI*fw4}6aFuU!ExckJu8)$+Hy$AyKFc$k;T<tCE~45(<5==H5b*u%eHrO zdaYNENPouVv-*t~%j>hM8vCUWvat(==m_O(nXurKBujUUNn^rE&`S8PvsNl^T*`9w zy-GxquH#wWcL%pj>k_^)&w0a{bu6X)3K8!NntrJZtSOLkJj`~`D_qbd&S}G`w=AyL z1=cK^D&hArk|jIG9<uAg@10TUlBvoXdm9qwKIS~7rxfv?sp(U=Ku&?O<I!kNvnbyH z%Od?{TTeV=3Ei$7k(1u^>bIbd;rs>93R%48dIkKhX-bWPE+i<=pJ6rWx4q+EcFwSh zz6B4}R$J78HYQwVSzXh!Afqv1>v};E`__cs`2th+%t=_gUtr3<)`Yo=jyKaeuHF7& z$Fuy;Z4R>=nUFQBG2jb17qU#<@3rB?NtV#pEZwng0e{c4c;E2}_-xm-be__Ry%+z^ zxMmbBeC6)Mgq=E$f1NqPesDP+p3D*U%h2($H)q(-%PdW*;H$4@FYCMVPF2HBE#mQ3 zXP?zi!dSBR&R*~(j^(wCPr$c~rmtEoPwQPa98_fy{qM2iVo}qn?*clXEF7<1w`q8& zvrX0UtH?p2YUu8P+4E;vO|t4*@U4^O^c>fK?@3Kh|2YKIOCIE6ZfbkY5mxoJc}Cb{ zU5>CyamR~yIm3RdIDS;-xb{QM@ubwjC~?6l`=%w#T?!4h^!Kx@E=79>{0rqzjFLXW z3BKknmQ$;~DPiJa&Q*2I2^%kSuKM4U(7Bp3tBiBnuI2^bq?%H5OxuFX#SRv|S6H#1 z`yiJ*^b&J^aUWUDshm>!N)b<=v$(ETiFo^-<!HWg#N))KNyc)=wp_b4XF<uNgt>D$ zPrdWsaIXe(zgr0Sezz5_0YCFuy1#&TgS2?Bvok4G`DWwz)mQ%EkIr1qtbOwnR@QQ6 ziE~V|oVMVdCd=u+Qx^Pt%A);e>Vk*5EZVjX7k)@Kg(hVi%vp3>V9hr>$OYxl^%q5- zW~@|RJDJmKzWauAsVu41EU)kS1pKRO;+?0o;>Rz+Wm_*^gDiQy#}aDqydk1<!LM@8 zX%^ELJX_B>ZP&a7-+Wn4uYoRE-Dy3~YEt#xD4*5;!dSHTv@duV$D&<3Yr)5hEURnU z7rd}!S#8SM74N>`*nUp0bt)0B3!5%U+jTu$vso1~S2}q?&Af!>#jxd<`Tnw!b6vsL zyy>W|_@&=;G)y3*z9*scg_Y0hXR|q{&2kT@E^kWZQ(95Ya<J&W(u#QHh=<czc-N~& zyqwR{`(7#H=|$*TpT)-Vhqr`P3p;+?$&#JI;U(v>;o3u%rQ$+sime=Pa&unWJ!`=q zdk(W5%?loNBq&GsKMbi;KBxpbz@-B+RXQ=DQyA*9v+{njo~9gG@tzyb2sQ26E_lZA zouF9Jh4~*Xz9sT;<tHezA6DTm4)~=2x=s81A(@bU2b}m89p7xgzEvJ{oD^tO{_%I6 zCkmCy+{G6_2QGgBO-y|LvxMW`3?`2IGn(I|`G43X`(+#GXl{Pc1(da*>HW{3m4Fdq zMFF5Al_r9AFnlf7Ipg?-4YUYU9<*0rLaU6){M1CgpxHfz#YGoDhc2I30$PC}FZN8~ zyQNss1$)rah?;u%284%|plu?*bh#}h$|GhpL$+X?I3#mrUYa8N$KLrzLT5D}i;g_u zSfnoYY{6F0+78hA(GoAviVj<`XA8<fD=h!Fffj$BcjD^;U4H7e8nmCmQ|y_-ri;P) zh&2epla(D4`I4+RO=$iFI_m3)B4`z8DtryX;X^VhdZ~)+cR>?cG5xJFUqCA`Th${@ z94t7z{Ad3K$CtXGX%J@a;((t9+?E}nqa3d6JL1ImNL1|Eg2Hc*wTL#}mL73NUjy0K z9t9o5F{jn?#hL^~_M2aI&N%A-;#n8O_h~ceByk(iGSKE$O9|N{PJE}_HyN-`6b7x5 z@d0hUF$CRE4qB;dBvy1`&+Jx9kG08)>~CkDfAs8(V_G+St;pS-psgaeds}6`@TVm5 zae?OOVon^AnQ{(v?>p#*?^C9rWuQ}9Emzz<>BRRaJ90+zF}Cv$wVo<SrYG`66@ymv zgo`~}P|Uz>c|z{kA(=0J35x7LFN1EoONPhOqfpR#o~T1ke5ba9HsF}*JXx^ndEwM& z3TEA{GFP^P4(8syVM23SU#q2uZh|8FThPLrGfHAb7xp%^TAqkK=*0I6bPsH6bmWPH z1)xK)x?Id^U+$mZnt$eCg|XPP1)HE7VC-Kh?D~AgUG~biWJUImKS9fYEyRi@*i3D; z%m7WSUD6eM_FyTi|NlJ<rygvT1J(7=8%)3LEHyHhovl7+c5-Ehj__HrRJEH?PZUr3 zM4nbLK737xAAEypnxroOiqw>u5vMhb4gF`#Oi7!ucH+#3hEZuV)@IC1yY#+1Hviq< zk8gIxUH|=i_q^Z#>i*YQmAgE1kNXiGz2iy!f7ef4E>{1yPjXc<jx$KT?!Sm(&GyCx ze~MY8&&>Y#sJvNO>z&(%z*!4EDYA5naIBi=wBg21maF>85sz+hZb|b?<5c`}bk=r% zS<kh~D{NIFo<%n8DsIix-Ola!cPWdujLU@&8BJ6Dlrn1g4r-M)Ez0H$+ugh1$6uCg z8^?em;e%1pl5N3NoCmFb`zb_#_BhNr>nHnit%^py(m}R#;gCHu5*BB3Xo2nuuVo1Z zZJP86sQs2U<C;)6XVyFC4WM&zv^l0l`UY%w+Hj{8aya2K*owG&E0veJb4cZ@M5JDO zHY4n!E6eJo99{a#5sx*RCavbUX4Spmi&RtTIwg&2<%3n<1*XUg<b2?9JgS?PWFf7q zu;PzblPe$e*sPtu&aG75D9o{{eojKCl;hd;!aAkQj&FB!POI!#@a{6p>D+y+%R=q_ zHXPFCkdkxQaLJlOO5PuQS9hGlhFjM;y5jvexRpa{|2Z`UuRLC_XIZM-mAQ7at>aBw zj%&B)FZgqt)6Al8LDI~G#h*E~>Zc}5e9f`y-;{)n>>R89w<dH-ZZ?=R>$Z^2RFxHb z<qsOQLvLBVV_Wvh<K1GGujiE`9%{4jMzd)DZCUWKswwoJPr#STrmN5LPkwy7TX@aK zsHREnLTf@5G-|aDT0Iw<vUg6x-fYfO|7RpLm%>hfn!l{CXP)W`nc2OWx|_5euU?1T z$@yJCr_jjptiP~Msix!Gtt_WYI9}cJ-*88s^Hsi5MB-~p3BSXtEZTc#EhwCpFtr@I zokm92S9WD>*Mc9aEZH^P3!bcH$-e6z@T;(?^cYL(^PJYqwcBSb__LbBEW$6~V=RmG zTD28_JeyqglvdnQiFlLN^lHCK#3NA6!>1Ti^jSXGJt#V_H}h(o%Z4-eSW2f0>P%N( z0a}LWFJKbmyy22Lr__Cq4X5-uy6k*5+`7-%<^DX$BKwU?K#_jaRz4MtT9t!V>ORnv zW?THqW4&9zKWP@}I}QOKpR-8Yx_}!9XL=H&Ld#Vma{QZKNj9nWDXjR(*tGPV!irkP zgGS*(SN6_J*g0SDO8ta{){BsJp_Lh*4c1OAQ^?rEeo!l4L8HpzVAgwejXJA?QuCEH zZYo5)wraXmF1Y41gX7KR9M@(W${*I;FME*9v#D#n`i&O`O{d-~M?BdAtzlQspKB$w zyJ^9%?;O*1OkR*SKVhXhXO_MHhBIcj3;w)&$8vga--3S&S+w^|U+{1xi*_idRJ`AY zQ{|jp_Z&Ce%IE0HSBQ9Q4~@?!dvXoeZY@*Qn5!1?JfUgRae+1EMvgzv3*;1NIv#y5 zkaI<G#h>gZ*EW{W|Gpb;+<9v-=as%<M3ScC+3i9)r6!JVg$^1$hlbgmy(zCe-ra`W z`5Vr{E3Y2$GM=UPc}{=k+DQr;yYvr&_rgp{=;arlVlz8oZ8wKkyz_>0XIWCKSzg<E z1^k=OBAv7IaQ9(WZ%~W|v;^F#EK*@8Hn=srRb~q4fJDfdiS3|+n_5A4l^;1Iqp}XP zyhDDY0sG48$P<pgL04FBp4ci=vbb<xF#FC{&}w|pRTve*+?En@ClAR?u{+_!cPt%r zSfV~?fuL5ygUG6mIMc6z>|4#aEj#WXJ|tuE543RN{00N|wKJ_BfwpWmv|7G+bJB@# zQ$A=d3g~|Enu)EJ5_1kZ@pZ)~B=Wh1Z<^5ji9d2i^NG7UPZs>D7JH`f&4k->MgBGh z^K?F;kD!VSeALk_eb6?6D~zCnkt{$5Bh71-DFH2YECO%YWCNWt#mH?baqs9MnJIP0 zo%oLVZ!};RecJ-sIRILE@HQ2+9>Jcw_`->e6PlkCg6`Bd0j)-u-)i|H#!$1VeKo_O z_SXu(|E}z}dI72nKkZLV<YNOZ%t%U6Tz5&PXB%jLDd_gqiGL$bIDQ3P%J~M=-uchL zT|B`8bW6s5(BVx{AUA^U?}$9_#J5X2Vn*|wVx1=o?DGZRUvo^{4);<Uc+ZF|XdNhM zWyjRuh!c)qV#J;;D3j#2?1(>oNX8@&w8;T<;8gYrC%#MPHyN<c-D&+;>#4$SZqNnb zr=0jcv4alv1YIxwQAO-oLJ1$YrN(toc-{ip!zy4G!+!PlGP_p_W%i(jprBPVW}qd& zVcnq0d20*k?)TJ0KBGvnq6@Jn56L|7OHpM13u=HII;!&|p@NsYIAB+6t4vR|&Kbw2 zhGInmvY>|IP0l-WWR8HAo%GhiSHODcf#T>Imsrt+xU+|3O0+=hKoz-*FYKPxD)S^i zDUpxu`=$xakCb)J9L!MWw$wNu1==?{5p;UjtfWM~B+&7de>KFODQxU&l`#RWAPUL` z?a|c&EvJ19YCb{szuJNtHK3zU#s5Q2vYIG)*d1Kaf4NmEd%W;lmAUHXBi`L-CRxt4 z&`*w2vwA*bR$$sA(^Ed1wnn5))IA(MDRR>^x3o@OQB&c~Jvzdtd-g1v8JM;>wQXi+ zc}`@?vY9{r&inl2to{CCx#vHB&ih<fZ&&~C*X#6rvC5a?{%8OFpAWvN9I}-|b@QRN z+k0eK7d)KCqW#wCLWSl*Eq5W2SjP>wGFgshvt-Bk1$?S%+WJmO<1fQOtMdX==325J zj@0)Hs8es^o#wM(>p^X9E`^wYj~`YlFMi50)n75<NleqK=|VbXvW{Q%4hnr2zEU$Q zLG!lQ<yE%b2{S8MO2vhB$~7GSrm|>H;gnjZ7V%Q3>DYClHASHNw7~t>(qQ*2e<6|i z8)cVmJtoGYI+-O~S4pFm<Dk`X!6~+#3423Xp6V;zSl}A)F|4WgnovmHoP>$uoU8K8 zre8kxOeo}jcS2_~bQ|2+LsuqV@oKu2F08Y;ZNWQJmeY5Az&A|Esb>7JIq0?Vt>M~Z z#T;QbCog#6$g+Cx`~^QcS+Z;9fzN8LoxY%?Jz;J&w2yes&Zu<BcNxc@*HsPI@}>!g z+-Xi&tmF9fyP!^x(u!Y}O-J<<R{WD~Qq5CZ@l(2KshrvhTeXO^$Fc@<c0~*8Y?pTY z%gh;8@t&ngwOv53vf|K{iI>tjrRMu@@S42fMK8<hzq1znaAwK=GkL)ix6cM^x8Cy! zsA2@KLp&?IrrgHyr!j|_ML%R;edB^p>p8?K3t)Q(?U(f(S!A6Qb@jf>h9fmivwjQe zl!`dM6=gX+$1h;J+lD*aIbOy4Z#bmSDP`xd;nIChsq=R@4@W-jWpOQ6i_mor_`}g; zYG(r-Dw$_hx};Rk@#SfbYdiZEyqU}L`mTRKoy&&Cw>_DmHOvRyK67Zj_XZ!<Z11uG zbXfC#mkln>3!d>qI_*|Xsq^67*JN>@?48$zulNhC*}(63*qI{?v~zJXN7zp#$BW&Z zVZRw2Ki=lJ_Ck0{O%r&fZ@%69%Vp1mrre#Ku(z4>RDDB2^HgXJmQ?!cL<X<p)AzzU zMcj^8?+fdElW_d{Ur1+TCfDUvJ9Q5RWj5Vn7t|@%al9+cIqf%-<6qE0&2o;1`M}q7 zgSTqVgr1zS>m-v;_SRgEE`61V$8T9w`xPTry9E5wX)4uI(5N;&SY<9a<-hJhHh$rd zKjsJBq~CWv4Dl1t*<|f_b-SQWnTg}qenFkWXOQ^Z`E%<^<&~>BvhI6q@abRh&Y9)( z-|hwf+;%5MY42%W@Q|r#>OHRu7XBMfxpQ`fafJQWa{Q>xdF=<M<H>4{YgH2#eA&$M z+NHd{atCDSt8BHWV8hpE!c*?g2Vc%!*OIXKGN)F3Yr;frj#b+@#dgmIAKek*A5h$E zTQPN|E@#$zpABb%ns&Jh=!C1RsMk8k6+aKOiMDBOR>jRL6EF31O5OL|aB4nBm!0#5 zTdT#AqK-ah$$sMzP{esKs$FnOoZp6XCs|U@D@Lq$4EX2HB7Mg(;A20Fw5@AEWOb57 zH*6E_&RvJEOuUiQ^vbeHb(-LnKPCr*R&!>>`)oL~m8CRaIpQ5Z%h&k|5sH(JZIR0J z+i>X{OYe8Jh^MhEmK}1(56N7yI||#_Z?5iI5GdwQ5y&@b?aF?ujJt=N_%4BZa_5pk z{a_~U;tRJ+^y8*9|0&Zsb5P-|6JHnTXal$7pxPI7w806`>5ad5K|M=eZp#(_K|34n z9CzZI<t+A0;j0a|Wk&uc^SpC1DbIYs$2Na>X!SyG4rt$=HRvEp3DDjKPO%~bo9<Ri zjjN!oBA^k2PsL(I0((Kr7ytIQ${d-WoX97&J{D9XKgj|0)~&fMJ<>t@FD`;y3EDMT zt_IpGG8fcaH%06fNeA^UUu~Sw{3#w(v(0Fg35nm#zwVOEk!@*;&~ud=L49>OP+y&i z+cG0OVn*{B&^pIzRqo;mzcoQSHV=b#Y#wsrYXeoIOT+b#ojrN5LR;+Ff=RPP4jv9= zczC!}z&7F4V!o{Rps@u||MXaT#0kewHlR(gte{P>2M)=Uyh~GLzsU%yea}1beUg6H zz1oDmSvT^8W1%=`d&87gnJN7#iF`_+OT)jKh&@vXOIBq63cA?q$k)lRqasY+&-%5Z z`3kenlLdcyxQi#u+zC3H`7WqobGx%u=F4=@6;+2pJz-1k;s7g9{d+z!kxy$n=#&gl zdtmKpC%#ADHyg0`E{{Cnc*$soW$}espk1Y(L1&adg4g{wok80;xA(NlJUI<It=bfH zTJ@nrGCk{371^KO1_dW*?`G{J&=TN?uGLeTe~Iawaa20##5b!ObgU<645U^D)ZprD zl_>$OS=|X*EV>`G|21!}$ic%~L9M2Q9c8Z;^Lc@`x_$(mtev{~Z^cc%Ma7Xbns3GF zJW;4K;4Z$Pv0*~<6E9F;nsHlttWQkjv%0=vLh~EYt?}>e#EK@=PieK3umKIzZ2VhT z{4Bwwy;Ww<m-RL8zS{>H2xWnnb@t2&6>WQ8evb88Q(F+z6rBx9y3L{<+^?o@3i5Pt z+rS$ka<DgVL!&2;;}*5WdO?Pbp&T<f6k7}<IWMlPZ?F$gOEeTR&^sbvu=2=`YrL$+ zQ?oLaEgo#!@pkU>8RmXwH=n%xyzl#*$#?&*Zoa>FkBx5aw!3wo7v{e-o^~nWL{zAk zcl=4WZ(=XIme$*_TsjlEn{RoR{(`KUB@8_b5@Gq^8<lgSs~%j?z9;Eskn^f9{Apyq zO%TI*Hib!s8m0`VEBC?<OFQe@yQG!>uP@u`sOgZC_r9Hl&Yt`PU0ePB?di?;suqDR zRfe9t_YFSFSa<#1;o=WDQoDCBD20B{0^LLYel}F|#pti1(SIIhSM|S(VdQzn06kOg z`#0F+=DQZ#s{WJO+zLTow}YlN*~+0aTk7vFh3EXaSpUlQmo;c+OXDnq7w>^0y9n5% z%?ZisuX4uV6%#);f#&_{93XSgV9#ci&A+#J<7&{fD0I@g>Si5u!Nj$g)f2aTI0`y- zFB&v0`c@t~WAnTnbocMK_^SSEuaPFFw)@vOL(kRx(kkVd91mKhvDX{4O5-<Zc2uVM zV(k~h+M{Csf8_6V|Ly>qQH4%YR;Tko57s;zyKbUMWi_MAs(;L&g%1bwq4Qe8{x7x7 z{++sA)&FnP{}XzA3rv_naq}}_ZCMKV&`Gy-7d>YEtYdUpb*vxc+^?UZfqTxNDKYpr z-wRtQb=fQM`OWp_&<X1`m3!ST9Ri(WcMWoU>3ql`V(&g5=4d-B=W~4NQvL(ct5+RX zsEcQifE=!Qdp_*!$S>h0la9%0Hh5gE1>J;u?KNoX*-+xHd--eaZ51Wgs!OhemN3AM z^DOU$y7t+&Och_dh0URVpMy@a<Glx);@q=x{f!@|7JrfZ%L@uy*kY6z*qz`LcbiU1 zdsebxmEn5Onu%|MKQHouCo1PAvK(F(rhmEG#7qpaXd*8EDrEL9;<ID#l2%!(h^x2O zf;85?xd>am0E$lA_jjxMuWbcc37u=)zJDona`fdow@WwlWY@gfw-ThY{8aT9$TVgD zyeK7!Z9gt1f0er{4N85`bNAlgT?^H^Gd@ejci+0^(As!C&`EY|?_smmYcvIUr0;KW zdVleL6zDQ%6-abGaD>hQ_u8zTXj1IJzFO*Z|MhAHjjg*tlh4TpyUIZ~Pyc$uS=E0m z7s<KTZ$l?!uhlpzXC~h4G>W)twG}+oxK$sV$3e#gDodE%j?z3|^?DxC4Cn3rumve! z;!P$U^RtR%TfHkAJi{6Felj!_T|E1%OSi5xWZu_2<V(}{E{9rc_PxA!$;r^=ClW&U z>w#BWG@pm21$DKrqSF7rWqw-oeY^Mp_yKw~ADW<v!HrGI)A;qVlntvsZe)_sVOSb= ze+6W<#d{uQ3A3+zFM~>?IOGy37&_y9R{36e1>ZbhZqNk%Rx^-|x8k8;e4bxf!t8f* zXjT6;V^)J1@WW{LFN4O;%g14_ZI79WIV^eQ2P#jh%0XodDD^G}l}Db=?=RMF1eFcY zB@=rap$>lLXYKvouoASe=^yCU^9=|0LW8OE_ZLy=_iHa!e<=s0LTI|LDLBFozi<7f zl&7(|h{KYs8fVb@1$)pX@y8QNAYQ#){6((U7CBzE&s~Mww|*^V{lqOBwlXM%W`l0B zzg8ClDah>XdlGKEk-zrJHYy*K*P!RS-jj=74qa^VE2wwL#@nD34DUhf%)X{UbNFKa zSK8ZtT>t!4?yj=E#%_xSm_IkeBDH*~+odPApd}3apu&&sJSdn9CGM)vyBwbLM_&F~ z^_TD~Rs68U7VNKK8jI$-UGiDZpcMLeIj9)>r3#%WPnS5jVdeJguWhB??{#?3s4xj~ z%x@mFpkGrt+3nJyZ=f<)9aM<D4Tlzgkiw{R;l0JBevJQr|2AZCF#@mI$*=c<CWXtv zQl82EuM<MQTbeQjWbLhmM#;s$zq)kg>L=b?T<wTl=E9dDyt9__oP7R8Lg;^0kjCa_ zsK(CnFQU@+f0OpQ?~ep!QP`3(U+BUa>7DV)na^Bh*JSNk1u7Wqo(cU7g{+zY7e?py zhP=P{qXc9pbbZ@bU8vS<yEPNHYzSsw9rYVj{A~?4gRXo4r&;T|nfDfdMP4&;`#!W7 zyYc0`$)sc7UL=HmmjyX?@73wh!+PayH@g_*e0(hZRqn1nayr-@4-KH={ntEZ)p)b7 z{&hTO5@^vx^Ji%23O}FD(bgMZ+j?(ty*HA3o7-XW`Kh{h$xP+-Qx2{=b`o-M?mp1^ z!@h$rAob|!w90GJ<zfdmGeXa)jQbx0wf3xiri$*G9bRm!qte9=Brs}h{iX&<4f=oM z_x}I?NBqDi+o_<T5a{7mm3tR26?V>gXJxIaJJB=3tL?GG@x=DW66em|S>n0L?~=;Q z+iELIgUW)Ugo-CmyL7YD&->()yh%PUw^+?RH_7C?chIJN_g`1NtNr(5?(3?U^XI?+ z+IxTg`T6@l&HJ4%SNStO_jcs}-};lnRf7J3j<34;Aa(PJJDyi=WiW9mXoSQXX)<lN zVbt_WTu5hQ(}HKcEZ(IYS@s?q&Qvw+(ihO#e)3KDGwwRWujztoHds3z);Z|a%^@}4 zZNsUbEUx<M5pS!S9<AoQR*?W6<gfqwWY<dNwT6x_CD{|AUY}9X*e!jqs+i-Hyz_>G zD_KO(tKN8F)O5<X$u&=TMV0-*q-@Sr_U;>w94iJ5@(b#0)pmS)ljZai=t#u7c}Arw z<$R8RpR#DjIA16bJ?Qma$V5(H%_knmi!T~yT+6yGAacJoq4zI`m!3+*>y)NT>B4J* zmBF1_ZO&8oeK#Bg9oGOFcKx_=rSf9l%`?KDuH_KBIdef)Tf#<GmaFz28;(S>nA-bq zxDv{;HD58}nO@Vbejy!mr4{+?i5A*>CN6l`$D+M={(_G+O`&!M&;=V`&a714dR|Z@ zUtrD0+NMe6LTkQ8H(l}<ShM-y!5LvUbvdtj3r(q)KgiZD7-G|yu-KSGtA1L-L~YJh zb^Qq&tvObG=bTni0}iw4cmABeGVzL7v1MH~dsFH+CGghW#~iQb`)zQVy5ONGi+1hw z1s~tCtp3xs;6*aa>c6uW{77q0u*lxix*%m%!rGlIOQ#F1*_;C%B6;H)>|UkKajL#O zp_$L|XuNRFmU#<4?dK4?St7SA^y^uc?zfHse-)Zk#e}cu2<ntFI=(&4Ic;a-f_GU> zPwQL*>SYgd{htHsPajg{PnYS+TsuV}V~_MfEp{Oh+i3|~`vpYo`xAQgg{SQ4OIUlG z!|OZ8wMg%PZ<S47&Fpv%YyMYjg56_M*>p-?En<lgG|;|!2fHU-7Yg|=cu?rO;FUkh z2ZPQFUFmyfur_s@>Wbam2aA3SUy1kFaHyR_YM$?gOX8eT@xB|pW-oYQ%d+}!<ANWT zS+a9X{!e`f9$2#6`E?1$fhcR?Dfgx)%w=Us?PhsB*EQfDH;Z(PYrw~L7U{dL0YAU9 zblZ3ad<|{?td(5Y^y<ArM3Q{dtaf3YQX$8;#+=i3g04arb=VMGDjn=zWUsKIUi~1~ ze&viGEC;=;m@a4SQ9Y=YudcDn{9u-4(<61EH6J;fCQTPuQ>yCtayI9+oy`m0D6_n_ z^$W1u#Blg#0_dI%aB9m9c6X~546&P%F!3?xs=7G|8@oAI)%PZJiaDO0FR1g?!13*I zj%k&h3*M!<7yY>t&G~9MXP8CHf`>s(Q|;U?{7`BN-Dd?2w=c_9DsP=HBvRjz(5vWp zQk?VJtrEp$p;gsQrQZ}ZstpcSb#tDopOVnb&7pOT(`;Acf=~V&VwDph+gBSG{CeGY zs9@R*Q^=rK-oENr9$MZ3zayGb{ghW!%N;C=S6l&#b$hjp8_E$c&$IN-SC4qQwbEzx z3!kQ|_gn*N_zp_B3s13`ny{7&GFVmyOKfX{-K)L}PWdl<knOxsNX^-cGp@Pa77Fnb z)G?a9;8h??_gtrdzn58xCxGrinsq-dkuL}|yZ;+B5@4L5$bNTu<c#J!tNRc7JzcOL zG^<?kd<jS1eI}0l`v(i|gU)P{J?X@E%oTKElcrdaz}k%l>|66UPH6rC>ZpG77Au+% z1G=DmUWy|7OWyvYp|hI(=CsPBlqV(fRsG&Dq4|#>=(GqG&}k7WpbH*A{r~+Z56QUX z&4i6A1ibaDz2f*L5VQ;eG%c{fb%&Q_#=gc@OOLlFocL~m_EgRU4^}8~7f;Xz-SeOd zy5~U}H2!W3TB!lrw`zarkW9$Gb54AVq;)`tQQQJ;le9T`NXF!zHGHV#(+1G+kL-CT zzDwyF4A|#(gYN%&t#ih4{ZS{rPxhdb82C3&Xnu4*Vn*{tZ=EL#_WTrz+tz%74>b7# z3Ny3*R+%fH9{i&cv7!rqKw}fTnp$PHfClf4w)?{ef9y=Y2D0zm4?127v|Chhn@G4O z`{B2dGny~W(|M9m1G<|m@{kkXBG55lw^~6{FQ7}*@0@Vrn<OjtY{6Fr&=5d+BA=B$ zXb3=!6?A0C*(62wpLZi?G&`0d78Wc5oj^JFm=oWr=b(iJpo1j7g6{g-Hmg<Uiacl^ zDCpX(V$j4(noRrZDb3$N3nVs6b6dVRmzc;`bbP~v=6|4jwjRcSZc6ECwbU>L4N!%N zJzG%pA3mq>;uh$f5ZmKUe2=8%`&XN=_r8rd;aCJ(`CvM`Rc6U&(0%VBVnq{d+gmMP z=%y>O|ICh<(R>he!r7kbt(FqJphYE;V$T$WY#Ghf*{|k;&Og)Bd9q+1Xz5FY1w4*E zKUvvt<uUiH6W=XU(7c8zx8;j<pe?|lGs*r*fripVxGg2}DwNh;l9>WJt@JVI&MvRE zRv8!Z4F>G%|A0@aWK#Sc^9-q?pL^XJbehEy@X?cgub-p^Nm<RD6n1ImoY^}q&&eD& z<U1$R{%o6Srq$f2AhpDIMw)AbjZ}4aO3vLfsb|U5l%4i7-+$jVuX?`yIm_#htLpac z2hVxTyVbOFw%fJ8t8%xV{r|t?$<}x8*GHXHnkf0&=VR(*4TkI(hk#E(O<VI+G-}xo zT4k$Wi28qZzL3L*;3=}cvM+D$3IDe-apL`^gyy*%TJJnJTy$ia`dm<_NXYT(XAZG! zfsp<45;|{lX4!dcxH6e#Yx<ev1=DWMS&%m=Vdq`WSMnYk4hcfWtPefBv{HF#F^AN8 zwTP!tO^db*uDPbJ@sH)8l)m7UJ2{NU^WS;|REZt5+RS-M&Tqp#p{7rvO}t@(A$!^q z7Mrq61<eM3gv|!)`^a|Y1|8Y5D&K#D%d7>@7+Ji}Dz4Z&BVp!dj#qI`8}5X%e6?oL zzT<r1LtxX?dCD1kK2DT4r*&IMWUl9iTXif)`IRFUgH~56ws`xkn`3q9mz3j6ZO&^u zr!IJNm*ussQ^3D^7U`T4k!7KVjvFrKu}ro1+HhhaOK87pL=LOt*Y!d=g{+Qe<%M;w zDzEr!aM0*HY&Q7rhYX+Wo!bSk+@G4z+Am;oMtDsLkK^N~EURl;!8b7d?O5<5mL>bo z%mq&_vt+yWA1v_7cinJK4{`#LK+`98!JL9u(82T{Pgg20KFy)Eol|V*<OQ$hbBI-U zE%^0|8+7-S%8H+QO-sM2t*A9PXyn?o>omu--$IUmy*a~vC^{at<_P<x?D$ylpw@cJ zZ=fm>Jg4+y3CDq~_bM9u#1Bf%SJ1GWpRjf^hu3`n4SvlF-te-#zUvq8kDo=_#xo$W zyJG6%vz%J{n-eD5a;$pBAvW7P;IB)Q>bbd~y1!}es-I_9DzALak#*l~!x?6l()kJz zdO?S_yvldmaOgIt)H$VymycO``PCzyvNSD97hdy?x9O2?lWCn_z^A&Vt#axbf0Yhe z&6YVnUB+R@lQ(>ml^9+x<vdm2lhAC*BHFKh<AqMssd)8>Cs|Fa?3E+l#5KKgZc?46 zzT#)w(H+s=x$Xg=6RLj;U$N~;*!h^_)q0hPg?5b}RM`dIgdEmh-Z!Pr>%xz`rqF+G z0be4UuEy2dEDNoXZZf^+9bn|W;o3r$rQ(8XHa9JJbCl(EtYg4Gbr$I_99sXTCM-_p z(AuBJ*|zz_HRy89@cYK4D%<qI7jJExupq59VP!W*R=nSaGrBCL@0B9n>9c&DuNLue zt9n8d?{=jKP1g$*@=c+3z5!n{ny&gOYt$(ol)4WNwnM7w`SYwU?V7ycOGCok<s7H- zHi%q~%J**i^j_^oLVi=%e5D&JJOX}-G`VK6gzk6VaKo47YQ5`*BhD<Q|6Mm+sZvj} z*!q~|^c&C&6=-E}`TCX0JN<>O%=h1L=p+m8dG&~ww^@4g6(W{;2bAa@%=)jQk(cU| z-D@s5<xXe9T3Ze;d6y06VwyIkHhn#(s_|d9iFe&>P=p>*6|c7}P5Hv$__SU?$LO(& z&+1pwoMPTWA^#N)3b6}a`NMQD$eS~3zVC)JSxviio1TKsHmhqA{pWY#gKN`NGuxS$ z`^ps}o-#v2%{|>;cBLpsm%d`e<8Bt!aKSaDrjC4<K!xtPy`cF53GU(xpfix4B%j{k zRBUjguT@6m{`o^PJ>Swn*K2_)i=#SE7F4Nm7YpoeX_dKBf8daeiq&MLiXbtEA4_CR z>g~S<vafUpEq{m<d#14Yqvj4T%NKcv56L{acif5ZS0tz?wiGKeh&cjVFxMS9qxsbL z$P<oVK-I@LYq27M`j%G9j2_Sm#Kpmd#m^ROHRZPScz^7WOvyLU@Hlv0VZz@xSKMX4 zh;5qC{O2X8y`Usk6p*vYfPHZ^sMgr7bH?#WBIpokeePm`+aF9~mdaeYf9jBoN*U<P zCjQL^>?=WYF!E;)$)rpJovqvox?ZbV=gES4Q2XG=Sx_|wp6fq+@QS<alz)ev_>M{I z91oq<d<tYkiMZIa1>Y3KiUjOIu9Z3I#5ZaACIj}hQrwmv@gTS6B`LDsjNfd)zPCN% zgyX;apt*v*TzP(cUHPEnGOagHXfA349sX?tTPU$$7ig{oGG)&s_DrErliM=m9_VJv zccA5cTR}%wgKoAgvs!ma=E=4MMfSg-YU~iGc~Bw1T^s;9xA9mis1}yvw(KyAn9+Re zD`={~n!ETyMFG44@MJRRRP9+CnZh;MU!IMe(d;(~)c#6O<f~!_g(s-}m7vLOsWI>H zA(<Y~dCs3ec0Vx_D++kK*?@f`=uEP|s-Q&$HzoH(v!9iXoY8zmN37_=JWz1*&4)G9 zF2sTsW$x7CwtNA)aEl8xH}OzP?Ad}6dC)<W#}3Ja#3d-QU-S)oq;=LYw_2=7z#lXL z13Hqdh>yGYLev>hvumRP`&{pc6OP}s#GWm<o~X$Fvl`S+SUedv_aicY_OBJq7muYs z(t5gJk1ltyz|50Qe5*j`jchy|al&ygXn9W9rU}jA|C;Y#$=7F=_Fe-zsB%`}i#e>4 z$De<mA)U2#Y1pl^S&wRpANq*PRjy`>+E%c%d+nDb<@CME;l3g3Dsz`ybBoF|Iek!5 zGbNu>OS9r4CtpxV#)Kd@In$umvMaYvd|mY1r~dr!pQoI^pFi0C{P*9Qoz1&{KUQ1) z?cD6I#~$1ApR=jGmv(lR$(xPa&&(=4^7;zHcUjQ`jN8xU>Frv*_*wtGhI7mIpZmhJ z=btBo)tZQ3Z_XUOr#w4|A&NcW<F{Sl?YcT$7ZRJ7H78!X|EnervTu6&e_egn1*HsP z?{mTX<qs_4kTuJ*+ETJ*^EvO+@$3r@ue$pC6U3B<&)}x4)xEfI>1FGG6Q_suvo1LN zs#O2UQid&j2Odq92A>YGLBpxNG{1{a_wAkEL2*(4?Lhmcf1ckX(r}G2V$WV}&<0wD zZl#hN3tnEh^e>}6F3Lu_flqt8%n5JC4B3XG)#cz_$_arjeA4E!QC3xd?pJ31Tn{?2 z;qvK9O@_718-9HFhj0%2B4_XA^*{XMqV`HP@U1TQg*y4<Vap^2C8h|Gg@<?TUhM2` zeSYtx>DS!lXEByUeB8{CUpLQ;VQq2a(c9JFjlm#i^UmVaefz%NRA2XAt%bg>JjViv z&-SK+m28>9ZIyGUB;)0a4{OTzd|b-@;nNl6GzQtQw|r2Ahpk|~i^z^IF*vjAxXjGy zVd<b?vSr)?4uP6aMc}>eVy-VXygO)f#!CI%Iq%n?knAdC&;`dw{_i<6gOu15lp0(G zL08<rFnz0UW2LXF$K%jG^*7UkQido-oqMyZed4$jk{CcS`*@kNw|n}2Q+-{1PKWkT zcIFI7I2HW6{V9k+kQo%1*>h!Nqpbe^xU*-=FE0kGHQy>dUo&iBil`~7LO5vAiwl>| zbv^!c>DMZTk_f~1KcLZe7kughIE7?bZP`-)()#nIN4pqGA}T-ahKBdOpYZTL=G#84 z@09T8OP`)GltgTOu>@jDO+_Kxrfa8OT)6b|>e@Y9q*xXle)a7SRLLeacnFr~mSki; z|MmUZ(y!-1$B?Msn?9ZCf)zvec60D~3LsBOo5)7py1!3BUsnzkINJUvp^0cVIL1Jc z+B=(1SN3jMR$SCSH3r$RwXriGrtohEA8Y|KB|Ep9Pj~LWMJK#h-v`ANuRJs@s#_x^ z=}tb~w>;ucmwufOa?MuxGZ3q8m&45gyQcn0&z>z6iVU)0dC_wqR(*eW7IYv5IQT#r z?8}q(PnSOJXMC~Y)wIpf;Cl!@?gW%EB3>^9g-!glCrhse%7ad%s7+`1>%<7ks`G8; zfi~!a62_X}E}-x^TKwtKuN`G^QFp{0pbqi}pK*|(DGtqJ+VUs8yT8w$J$)KK>jg-D zzE=#6tf*70kSuTVdvRrEr5l6QnkuD+Y2Z-i{|+_=96quq4(C+;*|cYil{tfKn7u62 zCiQki@Wh`!yk_%*J$tt7Q(%w{vyq1?xqW;dbe}&cE_i40?fw1t==?L@-Jy&xHhgkm z*uv+a-Eg#8J#NBurVa^^_iyZYdEruEdSzTxoLB?j>Nsv#-fEqH&YRJN2kiIKsFIAA zUxLM-Exl?EI-Ovn_M~f!8LS(AJb42z8SbiHT)1@Z)%j<=U+1$fINUW^?-VqEPQw!i zILEJ#o;h92oNdA3Q<v?aN$h(VB8h<tp<6q&^mX%j9oj>^!=6J5g~Q%(&u`rYDiY7e zpYUEiA9QF;>U3C<XoN`3wV>EqmKPWG--tmrtmauaq%5oWJqzyQ=4H;_zjt4Ivh?U- z#upnl?KXp!&$07ikq#+-*6BZ8`ZS;ULgLk5r(wwla&!u)Fjy-Ka?Nl3^WLlNL8&== zA2b>ILyigpCBtibZLPL!*}VIV_v`)a3l4WlUxWJUE#!zFXk_WWeg88nE^3cV1D|$z z%tUBvZUd(sP{9h$=<|L?#YNq^p3Wc}c31Af+4q0h7L@93`0-&P++S<m7dv|||9WiC zma5-VD>G}$p#|N1o0%YwEm#UV<7fFIXK!ov^{2dFU%OhF`EfNv6qmzm2AzBNz(<UL z)qun2-f8dd%gh%NpDu=$sC&LA!Hbo`%L|t--g*7m(x;$PfL^VH8e&(Y3Xh1_0di@V zf6o4V>D3<40Z8W1<nEuAKT}f`USkw5a$cXAesTNfOTQL@&S?pPrqtW&G1K8G6;y-O z{chW{B?5E~2_kbfy??TlL5U3%(BKNS<lnVDTlOe1$cCN0ISrEH+q)5|=Ufk;?%cRP zd-rVlmBwJT#_r)UXkmB>d=Lr9m}{|8vQf7pfB)UH<zF6y)f$VZ?9k*>T?zNR<K=}* z|MK4cd?_iN!D@|7v3ndO1f#*HB!Th`IQe8;=Kp-@Qy-{gE=h+dv5T1wiU^RBHKmm$ z881s-_kX(dY91(n{v3zc^dlv?Z_mH;`~KJe;pf*btpN>qRR35~EP4Fn$DOijxl$^V zx8<ssT5ehF>l<V(q^A0Kf|}~$Exvt=mrOL9EORXJt;O5E!$#MVR7^d4oM$cRnX*h* z@~MG`n`iKB9?vH)E7!fY{r+!bb?o}re{1XZgEn*A`SxkDS=Zh#%MHsv|NkqjttFQI z|Gt)3_u8+MBA>ld;Bveh%{eXFH{icvljuCv42$Parq>t!2-IH6A*HVnk!s$w?6>fm zG8cxqrOolNiY@l8ABEHUOwK85REi#q+Ac6fPGHSv4e$=e=>H-<tN++E@orOIv4i`d zn`_glcEOw?8^^1~9AdjCE%@cj;(f<A;B#Wr(s|IM|89S@TDJ8}Ow+E<oYQX4T=1`s zMO(({LV@8yuXI6^IM)qc(-*vqWm#?O5>Uf?Q0n!(u7^|Z^dzj!<@9>*vf<o5mekWM zuVuv@cBHfl=vwYCd3GW})$!<SPP1K;7kpx7neD@|YF(|=vaJ!57W}%#;;o~yVyF4R zpl*&Vd2jG$GTo-9>y%g2vmfNDSIGDwa?s1_@6(5eRNV!1EBAN?v?NU3E@*OhV!~D? z$B)gN*M4w0p1jO?ZP$ziU$(Nm{xfC4n_8CFww?iYWo+w<HW)e{-7b`~h1v0Gzfew* zfa6ttVV$xp@Ij6{g0Je&vYhln;L0D)gF)YguKY7TXrwQ2<!%Az@$<Q!0ruV-4!Ltk z$$4zJG@V0gzR!kJtSr9k6(imrXF2*_F(TO~;8SJO*0@@=_#%#rlP*WIxN=;p6nDIN zm-Cu+!-7A7EYfp40ycVXxOkOiYQ4*blUYrx>XjniST?;{A9ZTAPmW)}=eaE2PdT&d zCnd~O=6p5JVZ)tG42!1AIPD1jx_*{r&<{n&!;A;L>QyrS@Ep`ypOX;f`&@9%H@>Dv zyM@3*`0c`LLX|aY^$uEv3r*QOGhy#;&QtbIki(e^#o9Jsyu$zvww-fkS{mJ)x!~1t zPBH5#3w~YaoMth5!Lz3<-gi9$ev7l5?%{Z~PB9{H?`Ffbzh(=r`B2u>W-Ykpi(%8T z)TYpVZWl^44`xk=9x~Z?-=KKO$Ks|*{6cHKmN#8`J*PQy?PL{=-Mj~@xH(U~ciwPN zsi`Yo^+pD#<5O==vz>hlvgRjj{LQ)Qe{TY(wfNzcKckwKZUc=Auw0yU`~7UoNjJL} zygSHpI+PPMU35qlvU@R|rT4vZ#MAXGzRAxMqgL;2TTn48q4&Gclsl6X)}9xbV%L^1 zH<<I(I)#Y!!qB8v|NG3ugL_#-|2u8C$jvg<JnGm-^=P4xTK<Dc)dC^<f;xpJj%R~8 zrtO-r;9EJzw4J>R-r2I8wsj2nU)dxoblPxETeQHMFYh3MR=MZ*nTe;CbIy`;-Ehm3 z<>-0!h{v~CRI6FC|F(fof46ZDC~H<*w)NgKmZ#g*Zz%W&d<<rhmh}wyIh$p+j`E6M zx=ly(coo2hz{dRldS>F0WERuqEZ%1=<u9-L>(-PiCUE8M)P$XXIbX^9Z8&7kAvMo? zL(uF6AJ4L^{?oPKr83LvzugOd{1OMvk~J=PQpS>9YtT?|QqaZQPdvS^gijTGDNefZ zl>JQ!&C;A&|0X3Y7Ib`CFO;+CUh9motJj5f%;qon#m+J9M*o6m;vArZeRn%<IHT3H z%UnRGJRF=0wmkXQZ0s&0^6#J%U)$@Lmf9K3mtIGlI9MSKTC@l{XR#L4X?`Ag!tsv< zXoH~|x1|SYBst0+bh0(*B8zi>b)G0#CnoY$ZDTT5XaDI7+E^F{I$N_2v{*4bpymA) zr;d9on?F5IvwM{gl&Z*n)flu-1hi>y|M^2QDxl8%+54ao2+$Q;)oa1q1~zkYTfSHZ znpx=sZ4?1rJoQ5dv{B^nA(<(D$%^ccMRm?NKCRYyl2B#MT^u0I=K7;R)agrs?2-9% zey(Uf2D(YB=&^UjO}?mn(6Q07pjC@<I!_d;1-OeZScA3;yatVvIDzI>^g#0}y{$4+ z>W?3i@tK#P$bJ=ch1N#i=pB}pEB4Q9we&~<ofdsq=Zxd40}D9h*R=}R#<0KDKUZ_b z@mY#k(FNQ2t(GTZ4?FSw0&NNX5G__@P&231QseLJR+%329U|eGd|LTQitLx)f)*@- zMnL{Bh&@{nn5f8pQaEx(^R*(eq6zzEwOYQo13J}wb>xiZd&!Q1b}?X!ex|3}y-F}h zS6vsx*QE&x*M?S^DdowDd}_ZpPiTH62-*b8B32Y&-`{HK5p&duZx;CaF-C674Es}u zWK!lODze}Gs(<+G%;q~bVnrA3_qAGTya6p$1<gy9L<F?(Uw7(|Ti5*Yqp^HxfMt8D zjL-UnM7}K0UFtvXf_6YAiWN<$nbm5UaWwp))>8%B>7XMv(-qlo&IP%(4s=%<2Y0bS z#2F{PuJnxt?2AKno-DYNn#iYCzHvhHD`%ZEjyb*oE&Sn%F8tRWYww;0?GD)}$!(dD zclwablCmU4_P4c>Gn##7w#t<BCnxe1fex0fSLH4a*fFmadQ%$zh6&9dLFccpsb$Uc z<6Aa+lL7nIwUH+rYe1VoZp?0#Q4t4S>6P59RI@`2lpK~!KT~tXarsdvzD=O3OZ5M< z@1MCpjwL+p=V#CnR@pswQbpVE|F_J4#KtQw+;r^X8u2q6oeP8{9t)`?Y*OsFBA}PL zKzgHv@9U*oCpd;@37&G(3tH**x`}yN>MXBL(X$EaPJs!AOr0z}2J&VJZ$3D#4J=U7 z-t}ef^9k{f>TcdmpMU?o?emm!_UEx5zHRwq81eI}x!uz4+@G%vvn#x=8g2gfi<{q@ zA^&dRfuE<pNxL!h^n5(~biUj>hAq?fTgznHFOii5AM3Q!K3m0i-*+X+HLvCcfiDoP znhssxlkHo3blSSTF7v*=I|o`R20ilVd);!V-q!jIm9sS!OL@Y0&+CAfdo7*@J>F!e zTl~hAvuA%=!~b0rX|>lEcj(GC-;n9D^P1Cxp9`}Jtb8>ev^LFj9dvQqdC>V+yKAT3 zTl}v8v=CGYvffY{e!Nrdlb(CYg}WG(LRW)s4$rO!t*->#5G>(tux9`3;;Q~@laW?W zZHFJZwX-~S;rAJPHZUlKmiL0zftJsPx_4V>{Klp8*IuhGnXj+#juE=BvU2^dAn1L@ zx>rmmrLEIw@G#v2T6kr)D;Toy>fRpj_>C)>b@v|skN{dV16|DN?!N9KWW|<Q;VQSF z=h`4|UI(pAld8VL(EvJB1$;<}-PW-8f%Qv4>&u{PPu+6oLQmX!x!Gir*nZHh#9Ko_ z3rOFAR$m!P++}~v(e^d|$E16UQ{$(_$~Zt)4OaekhOR4`Z5^+i`DqzbN66jXpaZw| zx<i+GZ9V=)G+Jui_3D!TTMG~;$CR&vu4og}yX-M*=VAt>s|Ed_bBDfELzd`Nf0nF0 zx-9PQitiHF)?KP*(15P5wep6p?h(#5on&Rrtq^oo9(3`tsTkBkuotVM-v`<+Y%u>{ z-@^z!PDNT9dVreQ-D@6Eca}GYu04K*!(rvt|BE0?{^Wa<C9c{3dR^6jEfYB=^{+z~ za^ASZE9E(vAGFR(61)Pc9u%~O5_hxLztrCL<z4nyxx1S|C)GfmdM_Gw^i}KoES0l1 zE1N?PyMi<>-kvkb{wsq7XbsaG4MCpSR)w4ICGS{-6d{4pFki~{E;;wvDkAj%YS2kF z$2MMnY0DtNmryca-rXQ)TjAb&i}xYlqkT*ame}tvpSYzWfPHn;`jvtWLD~D?vq6u? zX)a_r{A>QM!1ouU!X54~!=thcs`KpMAmz+YqOxmV`JFkrjZtIkJx}Pmv|A@tn-aa} z|J`<PakVcf;XzlI?S<WCEGBo|W7fvmDI2mXa==GWN&7<6&+O%|warY6yKP<{)&-qj z1wHsm{w^$Eccz<8vU0zx)g1cuyEu5U)-g+{wcyjB_E*fddHppPq!)VfmHD@9=%HD^ zTzi)sy%i?8CTngCxH!2b4z(7XZ1<P#wt2mFH|TgA*xEgP=o;jlcllDDlht!3A6)hB zC1iQo_ey92%-y;#$=kdxe%{x2_mGRCd9W36hbFmQI+JNX;oz!=mSDZPTjHP@^v;6y zH?Dl&c6zhjop_|X)h~9#vWrF1@rRZbW~Jx!8azVxM}V^04d{AIaLj(2SGm{yZzt#w zKj^Yxb3WJ+M89@ef0gUj=28gS8U{*&x6DB#ER(+fKk?q;uQ5o`^Y%a7x6HD8k3U%j z%B8%Z)sgqgV18}im*oAo`itD%>7eDruoP_l=s4`at%u4|p2n}a6oPooL1F6#%H^OE z<!tp=(b>P=`t3dbApm42tSmbI_7bctYI6&EK8vX%<ZCpjWawo_E{pyxT>X8;{y3y` z`1r@&Dt_?7@@40*dPLm`VwkvUD`>&$HM<2!8TJ2VxxMbM*V<1+DvTDxLU*@|a%NF9 zqsyvypyja}?gc|r(yhzCx^(~6uD`eVci-=?a`5H;=U}VK4^5TwG%go+SRw_sOnNWW z%6z^rqO*U!_x~z)`0@9bwhXY<;d5bGyt7xzbM9VHf!hyS$}6Q0jS}z)b+xz8f0g^% zFZ$ux|9(T*-iG9*&=PQ&dA5o!=<KX(mq7>P-GZH+1x~y7Z(gq6Vh3IY3M+EC;l2!2 z&iuqFyXF-is2uzH$MNS?K5!|&HAYEd+n0lXtNP!e9G#U5+naER-*i&iyvqkyJv<Gv z(#`$*S2^(cSLXeipz`Qxcvb(yQ%L7Py3dBK$J`jLyz=uTzObwRmx7j_ew^11U6Xzu zRD)JOW8CY$HxxNKtzpjn*XnlZk)rIHtf%fNw;85H{dR#QzTXKl2RHny+<&op%Q`K@ z$$@)p*F&rPo$;XN!n)?ryUB7_K`YYZK*^!+;ETB56`ct;E-meUZMzG++8?$8`8IeN z{I7cX|IFczTO>dOA+=YYKn6k%sqi<OO<r<S#re_+=gZ4_rl@sJyyRjeof%~G$wXE1 zmWk?2mEPx`N!Ox|MU~mCnOnO_d8y|X<)xl-ZA&~e)4iqN{`h;Y@_gz4!u!wnocsOT z`uVPX_wC=GTa~@NYtJ8H|FeJogSWdr2W@xVbnx`DH_DSW7+%}D2gF@p``;&mCru#a z&dh|xwH#W{Im9fdEqL{krF*V>z+bN>RWX4pF`gT)9A?=%Uo}GQQjC2}_Tunwf({02 zcSZYmG3aa;cKjR3qAlZdp}_2**K#3~bwX>3EFCY39n3n-*|lCR;<04Yq}LqRZWZah z3wtTcdCgOJifu>2-o>1!=KE|oxD&iOWJ9p1{#+}gS^fc)ng^Bm1w&+obiOG$e$`!f ze9N>OjSHULWAT>t2>7khl<KFn!n!?Sr<LR1N)~M$#f%>e2fh4-OyayZoJ#xr19Zul z%v?)NrVY2|u^ipZk}cyI@X4iV>p4Y@TEl}@#hj<~)FRfq2mC8+;=LviQgfDfw zSf<|h+;D=CCDh(^!wpWBtJ*ByF(%N(1ZQ6_>s#_pWks$1L8E@bE47UYJHyW(-|{No zeS=fif`|WDv}-3V`1p}!bxrev7p*L-|Mo2S@s=g~Pt$@Y4GC-O1*Y8l;l`6bHy65Q z_1rtN(k0vF9e?@@<OHa!_)*%_tEaHyPjHi~m|)0X%Y#Y%LLvXH4+=@&YstLYBMe^h zTFW^Ndh=#&?}C3nS+xJ8K$eEu#DH$zR0ZF>S+A^-rR$Tu^|~N<$?JEaDK=9Q)+Td$ zP3OF3*}vdTD9dYI1&w<CgW!Ff+6Ubx&NiHLYQ1WNiY0U%;aA&W_oQ}#kpDUdg_a9m z`NMZGC|ux5o}kX=Ch+3Ve@@`VpV7JxejJwN468DCeB8_trYRs|+nTU7Usz;+PeQLW zbi?t<)L{20e!(eqvl8ZtbDlbucW?{%-p$<{W)>3`JWA&<v+7*%X*-A5&XxtQcv-qj zIad8|OXytAk@atO!pgJaNfukvg>*JwhaTp2_sx}wcb0R$n(wjUkU6JRoX>_!Us-y^ zh1Yz_Zd&9ou*OVHW8cfQGp<SHD{1UxKN!U>FvYGZVXiR8sd>H|?zyr&oy{VB2joxC zRcimTUY&Sh*mUZ@Qbfw++Xib_<*P*GlsCPSSBOyZ5BS{8;yu?j;I~s#>N?dG)z$}# z_N%P0SBQ8xpM}>vuI1sHFKkW6b_=fg^bfiiX>q&1?8@541wUr8WMAR%igVs@O{wY9 zcR}zd;d9Pww>R`=POY~+$hKQ3<cvU0iG<_R^TIksY>rpo3+t4bI)07joVEjc2+Ey5 zf3Hlu(y?IM-M&oS&Ag6xV>zZ(%RByc<_!BG<9OKUpx1rnj2h8{TH6IgVqG`fde6~i z@4n#}TX}+oYP!IhsVW+K`43vP`@oL#NPFe+-lXZ%ebpNexSG1mg>$wvEhw6wFfpBT z)xZ9PjceaO`SEqTkd9&Zf@kiW(`NYw{1$0SUByzWuN?7Ct?Ackj<6qZAxn`ee|)(z z@lrjf)bV$RwuDu3J6<$7m?f{MQD<^cDqc~eQtx2Ybb%@J{5SZuFL=|;^4iul;GaE< zbk07B!z+KJHCal$J?g}FN<J--Ps!c4>p`4|Lxn!ys--LYtvb#oDzbl-2VELfsq<t( zr8(>}%=w^;CPBA`lwaOmSo}<3I%o}oZN!Y`L+zkDCOJS?hNXh;ECF3c{imZ<#^pJv z!@4`-gyWv$pwldD;A{4Z&R%hs-C`Emw0cVO7tm=E>E&WY6aFf27hgENc|!A_>7Xh8 zNYJ>7Gw2WoU2aQ_`v(unxV%eOWWQ>^5q2Hst%GN0HXi}qBKDI{?3uz=dv41N`E!S4 zQu^k@S0UIIzgo;!1RBBl3tH>qG#k{rPEO?Ws!vg5fBYP@0L2Y_Pxjqut(GhH&TW-B z0=kt`N*;7ngc5i01uMh_<eMinzX6?6@jgzhXu^MH?qUN8->wG_qd<FI18S}s#Voay z$UW@DcPc+Qkx%LOrU}ijj)Rsh7J_c~4h1dhu;aGO04>e9;sm-e8+5m~9B8ltbScN2 zX^)>hSzzA`8mj=^*7|#c0sB%JZc7RI!-r%-{NO$ku+0Z;stw;Xq4@`s*s}#2K^K;Q zA~NdyrU}g@DXi-*$&_pZ?WzT>54ooUx?L1>S?ifJMfRh?ku#bDr-E*Y1Knz-D^?T` zd+w0T5zsP^U&cCT9FxF@LV=gQoYf8gv`KbJS&Ab2TNBWR)eg{51?W<Db<mR9ouDPP zL1IM)bIu=<nKEtDgyxUUI%gbHPdM={(g(%6?z@9$Pde7HgPaIDM@;U-A(<_GNs8<* zCxb?(<{<Xe{sJxLc?P<xMo8>g!UqO!OAWh|hh%y{*O7lpTU{{qnS#;eR+*6RDT#bZ zk)VN}Nv)P1b51z%&3X>HcOJAVw_1<8ctYeEC%#>_ptH{`xGi6RcEn!$-+ced`soax zK6$PORq^#VAa|DSef-8Hs&3t7&&ersmnd$1a@@~q>k^+s9y2{&UpYBti(j3O=jzKZ zd;Fq2pM6(}RL`6gB~&cK!*0!YT!wG5L5Y!S>({?=*Nfl(Esh5*?kv0e{`>Rd|K;Ll z@AAYdUk1<K`}VZGQl+a>>;LHN#U3fm)6Xzz++;DilUAg~06x(|?x0q?kVve<hN#vB zKZ2S}^%ONKc@IWy7n&01z2RI{(<bBms#NBOI(u)jJPl@%e&ZbQQJ|^!nQ(~R%!G-? z;L3|-fBPzNU)j!B-+)@ygF?(rv(5|Ym?m2;3#}GAXk;yTWv=&zJB}=0yIHj7cw8vp zJm@7ZV6v|(Vd_)xL6qN296$2rA1k=l0lsbf;iSpdezKWweFJ_uG?n%#YV4knu=gm- z)APzV9(Xl%#WwYZ35V2mB?v}0W`^GFO4w-0a`n4P#3P2LS?<C*p=vAkb|lO!20N_9 z`<>owt4X^X7yQ#|5}l`zA+eM7a#SXF(=ls-HJ|L77X21p^G&kpk-ET|kFiaYo(rtG zs-#hCd(i5;z?9lK346skPvw_sFP8-$ktyb|<H@7y<$XQhlvl(kL_DczT6JGB;!O_t zlF>(2O|!}cb-qeCz7^+~)_J!r^XlEcgq`07uH2uV(CRO2V$+wfG+)?co$#7Zr(yNO z+?C2(tvS2wy*3=%&*7DK>+qIqx27%llE?Bo1+vt2Bg@nMt{V<UvWV6@Zn(IWWoo{9 zM2f8ARl|cxv+wjh45=4BD6|`P5T*Pat4UTp3%)TmrTVF^*gYj-r<&v6Y>u!Uy$c>j zHBJ5Jd7<K&?2Kz#`6?Q_j1OkXD`?D9k9f?@qUx_0@!F^9lDP1i;;+zZ^=9<4zAtPn zPyah^IM`!txV9@_;YNmf)2a9B5l<4DR?SzBc%#zv%Ct#UPi4hV>!zi7YAg1(CCp6c zc$K$9=y2q_uPk57RU#Cjca+M>S(GmMV%c<zn=|YuqvOTToMFFp9Y4<IxVEEz!IO>z z-QC=mwf34Gv?}H}_0Dy}Jw}$N_TC#DXD)d3ozu)}`hrjS9AY~Qp*sg&?G1KM@)ruZ z{~!-?x0V{`v|G&!zWrl4E#n&Sou%pNKKFqCGEJg&z85}JHBJ4+(krhX@pM0ntNH%+ z%h&FC1=N%`nc9K&NGP^=`^j0BE-AHfe5rNNDqVQW-ll}TuQ|Z`f09{5|2uC8T+^Q! zTE~4*DYI#nykf)~j;2@fY7vi0nr7K6M!YI&x+U9`8U{U%?M`jUE01^gS-zUbwq~yV zkk-_;TX4;n#HM5I!fS$*G^(TyX4$K0)JYzcs#npdWIq`7n#0T9f5SPo?@1P^!Yr@f zdIkJ*W|5XLYfLx^YL3)pzB=(Dr0JBsQpA&>rd9O{5o_E7{wg-9&Qo6T^VQWE*Me>f zT)8(Bd}z#PmeaKp7yQ#?(f%`G!Na30+JEOQ_^8XW+QtIfhAa7dWn$D9JD=4*a+*x< zc?J~8H*Mup)3BYNFn2P?sd<hY?zOT!z0D$h$0y(;V^i-u#T9!l{*^eVw3%bozUG9D zJ6W#UJ8p0RMS?TO^gDl!U72`AsOi>oVVz=8$GfvRrtO};;9o~VYxvrOTcq-QH(a{S zDfQlU!>Qw(MFO^<tEg*1^_e(mSsfd<rAOS!Lo!?PKwB?BS6Mzk=_weqjfq45wqqFo z1F18P`Jn9?_0rtM1~-~pWkiZW=TB;g6$Sj0;w~15JmSQ+3bf+^vP5w`sIm~{w#@hk zx`4}-ZHJ|0Mn0(OEd%Wm0c|?Ca~yP(g$HQS=T%Ty&#h3igRkxCO8wG+Uy`6p$U#?N z{yXFZy@Y%}Xp;zN@5AF#{!g1^RX|4^MrDc>O}N+JYWYIupcCJw_{2oMs^y^efj?;8 z<*Ck-1wYb3^8=GwWu~<2oN;{83bF`XdtJT04z#)C2B>lHFAua@QJ>o~qpqvf(nI#R z6W^`npxeT%xGi7A9RuAcdc=wES2^gA2zO9ZrV`YYnblrUTx8(4!GL`#XiPr?)I6x2 z)GBkNKQ)n0>i!(qSq%!6*RD*r%7{G$x`P~aqLduB<q5kphh)CYOIBq6*(-l6bXN00 z(4Fi%K=+@5mT8`9-(<i(kyYo(f~dqqz9i7l|6d_c^MDt$=JV7cnI)jA?;B`ahH>#- zZF6y(7_g`A-UJ`1S)>V?)M#(D)Bs&$*7g~+OXMVImk8+8uAQKU*ZQ<XzAWvC6OJ_p z4#}9zOI2h)DcS#_|AJ$-7-%)^kwY>i&o)hHe&Yz*%5uVq@00o_1NLTB$ePLzI!_l= z9K15!N+K52Mg$$TaY9P0D4-T}uU+mBjdekMuL?mSs?2Sf@$T#)nI(LnHbOG!j1W+> zCicuBnJ4Fx71{r4N6u(=n%*kI6TaDied+Ru69*%l@654Wkpnu61adr>Rr)J$*(={t z6xlyAN6ctG20FSVGA)rW3Un&QFVN{-n|oSiQt}fL`KmxWk^XUtJxdU%<O$bgKdKAb zb;GCg<iXSb?`Qw}!>^J$slS3T^#=5K%0G9kX6h=RJ@V<mCe@je+k8;(AV0RLM@RT` z%e2m|JyG69#-BXW+GcuYOQxyKlS&iQ^?qjaAoJ0(VD*1>*S|ii`&YT{b?M&y`(wZV z{rhhI@;%Q_omJBRdu7|az5l>xQU3v*MSb&u>gE%UlQkGZ`_;e&^>YE8jVVlPCD+%O zG<;Zm_S%(+SB#o&o#vbt=^9Wjd$4G>?UzqA5BFc1@4VsAI~LyO!fQelGHR3#YNZQ{ z#QJQw70PmSy3m?Je#etjS+Z^21FW`j9ga-@4XMX|+`PN2@5?j6DfUeX&8;k==T&a3 z@C*3K)#Pf$68c^#;*Dd|t89*GH@+nLtbUf$w6sih#az{hX9Z2WxH+a-&t34Zl|?%S zTIR{!vnX9s!tVH(og++ASY+;3j%8bKm9ZRsF0`hQ!SUo_j%!wp3%+Esyw*|DxUCZL zo~`NAcHx{2O$#1nHT9<1bv+EZ*Oo9b7J6y)x_9QKD$~?g{IhIQ^;2E(Q?_ZTp6ZHP z+k-~i1+T~o=zJG({M!pUptnNV@$g*Euqt`S$IhH#KXn{026Ke178KdvlF)nKM<Jq! z<Kd*q+x=uS|8_6<BFyqS$K=qKQ+ci%?)kAiHD-~PaSHfo%pyJ4C*bF8mhKpzfUnnC zy6-v#{GHF@-NTV}>#@YSplG2h_a-LH+zV@$$k~*te9vt9mD(h_&-KCwrKYKMt`~l! zHHH3j4EPe*bk(ea>9W>7)`L=>O_Qnx*OUr4zD(x0X4$#m%}$orx{4bAy_<Na`9hr5 z{dcAE;!u{U@d^<spVckvzUo5uYC5xc%eaF3t)ZM*`f3r+vYK{zb58qh?f7>pi?)sb z1%q!QZJRI6=9GHxw&4^z)M<U7D)Ad@)1!33H6NLqCWQ;FxvHp9Ykts*Uua6K_lA4R z{F9@e-gnt>a2<=Ny~Bo!4_T&qvvkjK4EXw+r90Ly;O}=9Z&;r??_d5ak5`dRw>F0* zN1ayTcy&%S;@w}Cul&jp4?nZ;`YT7gtY+z*&9eGW--3+(gsuODMC#iTdVS{|Ebz+n z-f&HiW$AbI2zAgA<)GCI-+ruA-W$w$s(yMxvp1*KziA1JZ*yq<Z%>%GoO6}i@fp{W zqJ=~1*$)c+7P|6B<6zKn!7FyH2{XCDdw*hPFZj2XMf*=Rbd01X>6J(3vCT8W9<SvH ztF(5!Sj!Rio5S&=(m|<sRgFr!gHhtbQ}*>G%#G$ab<cmpy^aNc+OiGi@NQFC@x!dC z_nX>^KZ#AQeRCnFW$pYmb*1vg&m61FIi~HHw&2-(&S|^)7kpdHaymnIS!g+T)6;ui z0rmP#qD3sc{fZGUPqXx%SB-djoW&KizQv#A=zG<O#}6T=u@)J9l3BL(+B24=<q8q$ z{7swKh1QgdIR4ZZ%n49k@k6<(cb(dbKkiMg(}Y86I}$dQvRsY-ZL{3>jN*!!Y7tp> zj&GGYr&)q3Yf*<CPu@j>cBrbXs8<EA?x`?8=;hpWESoc|@~oB5>KETwR_~n+xq{p? zph)pxRJy>Fb;4_kEgf&p=D4<d@`6AAIn64{Ab}QB^ObwXH8;+tQ}2}`RJ;Se3bS<U zDy;a&+hpkx1L_CuPe|koGT$_zxvam{@`MfOYDv(!kvqd(&Eo$bzglpT@7L{32JEfn zn<g|r{HJrq@#SMsg<->89I(=->jA4~LxBbRMOV<_(7!D~$4`Rljep0S_$Fyb%xJ!L zS?7s@Z83AqQp*=PXPx*qX@c(d)(4#})dRYR{5a_N$@D}%H}MS<nm?V7n9+RVD`*E~ zxY#oVvjj!<ub?xrjvTA{`K+lu8+?uUXI^kk76jS|@y$->jN`Kav7!sQn+(`@uHQJJ z`5)*Q;)lyY8#ZM@8#cMQEhRvEQ9|U>71=NL_Wb9Rz48dO2~rrexj~=X(nIbLsCSvF z$o_IN=me}vP*ujIP%%wzll{zJE1K_Z)p??DA9ViY_l*;pA0_LYIp`q`+A#13RLO2q z=C)k1uf5f>L-vdl->dmaiF`t-p#7R^p!-@oT4lC?`jSTGpsgZ%L0d&Y=YRL-w9GbU zZ@ms50Wi3e2s*T>8q^hT1g+P|5GxX}?QFGNG54?&-y`pc8O?6ptuiXpHyW_7eF{3O zx(jqxb+RJ+&9iAAHpzZ@2HOAn4zyLoj@wd03{<;y>O5IcV+Lw|fd)^&Rpx@Kt5@7* zkAQmIzidIRFja2L47=lpWR@gJKh}D>V6QEA@r0YbtuiIgHyW_-RE{{|Sg*)k9AGiI zRfY$&cl9NgSdqa#kh|_2b>dsZ59+Lg4z1poDPo?^C$(AK^+SPf!-t1f9`CF`$7<_v zTY7*-RZ7G_TT5Sp?ih6vE1Iw$6!oADs9m6j(_;3B6ONT<4#~KrojnqI%CXcM6!+6x zWv=iiB=QNhgU-n01T82r5i7b7cjS;v$}C6})$HKgW$sgZ#qnL4SkZ;}gNI~9K%)^4 z&-fNheYRi=FSn%x=my(Upfwk>(i8cv{pZa3_1u-I?Ce}nhaOtjf7^Nap``iq8M9B> z<oM(UrcIi;Fl|z0!dlUps;7Nyrp(xOVoTz*i=P_O+@_s8)ng=le#Xp{?8LN*Ganin zdFN!NOq;QE=9k~G*JoGP=bZn#>)!qQhim`uJO8gLOFhrZ`qQQPx0+i2|5l%L-8=sO zeJ`=jGZiOA;%z&bHXL(hQC+VR@mj3uQn~ONV+D=hJO`_c&8vTIX1yQw^Cye6&RmD= z$0yZ!6>WlS5?-CiV0C=@m{ZJh@`9}1gpJx9tM2=3I1<ZZDz6yvDzoX9bZ%d!u5tf@ zcXBMJQ#oGgt4BPvXlh$7yr#s?@$puc)puYWi!bL^DsOG)=<4UVwn5im?WAJPYqxqA ze5qr3t)r|_t#hy{wdvDyp_~FsN6>P_DF1+;j7_fdlvY?Jd-J4&`tFliyx&+ux;%Mu zhNUW{JdSTeSx&D}Tv06vz8*T>eS_2d1rOPprpBpc*ko(>#YegXl*l(-<x|$!Hz}d_ zGKW_f=e1v4jxV!0uI)@_Iyebb>b<EAcCYdmnDU?hAlpWXWub-QkRscx$+b^y#V^68 zqvsS?{7Y?8U8lC<reegaxTahE!aARA9PjFGKUOg9cISeBaV*+<N+IQ*jZM}okCiSL ze&jTT?sEzFqSbV@&LiNDT$AZPkAP2>O<T?8w`NZD6IioZ-SK8K=QZm|3;wionpt!& zcqGngmL(MOkMW?=UPyy!((3vdRzlU&7W`Va*>J6DnZk;nSxrm%lvd1Dk9eliv}-lw zTt;oqupf4ghj(*^{jzm@oX!!}nb-UfG*EMEHAk1c$A)9pupxEfWqn)Dsc6(H9<&M< zn35~Jrd-_d=Y7GP4<?RBd!HvnNuN~)AJ6?weZ?=nrlVcpzT6R37SsKH8?N}WY<;g1 z@eEY+gfTpvw0rSN<(=U<-I-VCdv5?W2EQvuynM^j+pik&^f8O;dF6<;jsbtznoR4w z0zNr5ZJnp2v394#IZ)SKPcb4tzUh-?6K~&4=*~fVv(l6;Z3{kK=MdZ3x8RjOhuH4< z3x36OOzSvexOUcN$i4USO{sk9D|Syx*x4_1Wxddv51~zM*9F#mNp3p!U2x5(=g?4F ztnVYcGS{~M@$qui2xZ@ZPXSF^`_wdQL8o&IOxZgnVee|rQ~x^>nyWdr_&Cj~<}LUX zc019c`;AY)*HV^lS*L)%ds)2afDV;VZ1K*kt9s?J$}ixzR#R%8`ig4)gGKd9EB?zI z<cdCXe2bJCM_84v<Kt$|uu6W%i<3FSev3GM^yaws!_4vIZH{ZN1gF&XB+N~RHkr=l zZDY6`mCxSvX}#i&2MSGH@6~R+P-!|P+T^OI23eG-y5b*qld7ERil6*VOU-V|9bUP& zAz`M7<6UOXY1QJ6e}y^1emsGWs8>9^G7)q<_jb;(pO%gn84qUFt83U5v-@QCzUA=J zQ;o>3Z@Q$-aZOWbifwbkUT%(4_00**vpKZ>^&~8A=hXV&n=rArtOj;crl}q1s1`XE z@X@D5O|#@xB3_j=-Fhylvw7Nrcf2g8OF3WJdu%uq&ncyKFg)_sv;`l9nnLe+1e8dE zhl%3UA|8K+&c><g&$qg?t51RbCFoXz=XGL56KW^5TE1`<OG+-9a36FXgAQnT*L;Hk z`{KiqCmetN)j8vsA_}^Vysy==BlegR-z!~EpRKP|#-#rAA(<s+8zwY=1D#BecFph4 zO@4(v)A)An_UmJ5wLGzRUaQO({?tT1E>^K31JFeP8nx3}WqR~e6Zy36Co8gFv<5Ad z%#S$X_=i*M*@BPxVnq{r-uB1`7hkwFvsGrv_q0Slt9DRr@jGHh^F2+SCkp>1#hxVu z%oln1e>o$|^&q~k`*W*SG+%tH^JKxFY_VqwpDeg7SN!X4wd}~<$sM;;=8E_x1NP3n z5homtL9PXj8@~nB>}NnHYgTiDPNC%EwtR8_*dZB_x}#2fZT%Y!*q0h|TT0|_)4n&y za>W}9&8F_v42Qa3E9`oH#aZ^sy+clXkK8vIu=nzV4y=v@9ax<tRx}|G)Mp1>dGT#J zsA>xY4ehIg#z0a8<Ce;ZfW{%*G{uSn>WsOI1x|t%Aa;UQ_nC5Au80S1EnGK8<lxb* zAd41Mp1$HNy99Ki<y%JX;t7>)tuiI)ddF6qu<rz&N3nkjsLOu9iLVXRsJiqK)B*!_ z*;j6y(EI|l!t-sKSdqZ~?pDhTn?p`~lR(=c*Y-}TC@z{X?>J}!><p2EM?)DN9xa`4 z*2ngWW4<M~<q7%Yhh%vC(iPc{g2n+ZMu`;#?3>tX*>MN736g)K0sBTlZp#(7h4y$A zPndDqiEq~KjRx#1K?iPEOM*uAr?twIoCdXNV#JCr><6vljIq~j>dt0t5x;)0;O`Y@ z*(q|MyDdOXxKllMKWIHwuxf9$T%ihDhZql9Cd&e9et{142m{^j4%*>aEXi$oBJT7d znJ?EiPH3)~-)bq5lc30cR6KG<^TjoHf9A<f0WDmdSgYn*P$=q9Q79Y2Kf7v0^9?W1 z;C^qbrAJ8QiG!#2KQRA#y`C|}^u~4YS(P16N+plm-+%t|hVRXpWy_y#yj78OZtC1g zE0cW#rX81>GBZQ4IXAYxC-oZZ%PFfpPi@RdP`b*wS~t>c<;0999@<hd+cMN&dH%b# zXX7rJotGbcNLd%XY47hduP2)Ga&xb*-Ftuj^U8$p>)-zWb^g82>G`Y8^Q}JU)*cLc z)gQUsEAyxJ%|nk}f4%v{&);BD`r^>RkjvaF+K!7g2r?XYO9wCJSQl6K;DGkMdkfY_ zynf{VBvSrf0P@nF_s|s*VbzYxneXoQpGpXQyaarx+%=nc$g&_i>%N2=Us7*BiOjzf zgmkD}@oMN&5Vi756<)szAGXz2&=afPO@S;HtSyu|xZ!8E-1PLl#qNwY(hVNR7F=P_ zd45+NwyMqelE<p~zgl?0zM6>~03CMq&m6kYMtJ%w?QLHczx}l4`#bH1bmo9rkkd8) zvqD#V>B@Y%au9U?U+o$OrK=xmK$ph7sD`eY`6l|SYir)$)%TKjY(={N&wV%4;;`ti zTka*lyUZ%EQYs#_n$k2KYVYR99Bsb$f3CT=_+tuaX%KX^*xA}!6B$cX7zAgpv0wgO zqGlt5Qt0y_(6OA~k3;P|TF7$v+3)VE{&Rgui%@pw|D3He9isJTgImzvV1|jS%0VYw zuK8aKT}yH#7qr4Bv;E%U?YkRxu)_|P`y0v(y~U4P{+h?EUw(`(s~+}%*BeGdbXNb) zt34WZ`~SM{67RGTt1*AB_{R^`xz)3G$xe9@hb38mTtMr!YM|$NLSi*x`Mt#(AH{F# zhM)Gg4z_Oa39FQ6Gw7JW(mc>9c%|~7m8YP4{Q8t7%>JJWcz-eS@1}e3g?QhUVd3+$ z%Pr{dG^UP_y}LnY(*0cyUE(Nw{)P6oFY{xo`tKF)Mq0+C4o!mFKKS-7S!oSgtR)XR z-m>=}XvymFgp&OCSHjnX{@#8sdB?s6^Z#}5D@FVDpevvv-g@;e+4;L<!>W%fKno6^ z$w7kW_Zy3*#LMpQE>@dFAuWzHH;0~|m-ET0cgevp*)^|h7K7FW{cb}}w_B^f%FQhX ztt^8bR9OdG#%XMS)nk?Y%I46$b3sdye&yH4)?H;zuwYyK`^YJwgBzAkcCYHc*NSB4 z_x12)O!_91mX*Co052WY*n08eZ|GX2?boMpv~9K9760DwP6T2x($5!G&=i05-9?XC zx7Rj@_HI$R&9>mmEOqGm<8;u4h5xS9f0gU?MY7X;J8TKvC&%6;2km6nyjlZ3boI(E z`5?$0iTdE!{FxOR^^ajsG588VH@1K*hH0PQ=|khi?6Y|9l3!et53ag53sN%t-vJG# zM>@4fr|p~dH1d5c@`AyCw$QW?T@j|7ne|oCD8kfcBlvL5Uc1GR<#74)SFewFeOvoU zq<o$xB76UQ0Xxz2YTPA{S=Q^+nnQapLk`#6ycVK$zO1`J&adD3lhgMWRwI=vXLF&} z&aUxQ&fM@XKyuBiIicXCmAk~jg`vb<aZpJ8f9O?t&H6ji@q71b{j4FE#Ts8tWPkqP zW=OuM!;)8XSGzIrypCT6wRcBM{KloT`L9=hk<)58C<D6`_n$Y^-e`+A9D9#nv1Aok z*_I0~j-(*zu=;mY?a`?HQA@u|<RNF%7pAb7RSSD<`%F)#!Q<+WS)k?Cm5ZT4TqbjH z!^``!OTTB>#3Rx~<>h;-r&Gb}4b`q^s_=pj<%|K{Q2hGdDu`?2W!w#N{`I@RwzWEp zTpYcRSPVVq@>xmml3$?3&wI1M8Pyt=QOiLqgKxClTfBWca%t3={6&tzN~objx5i01 z^A%`8=3!}&&c*v6j@?_ke_zttqu*cHwuMcPg@?~Yc=|ne*<;r3eV}75(?JV4pTRDt zy;;a|cv*bh>hBpcb$bwH%4Z&E@c70pnP_qYw5GCnIw*8@$3m*6eHMKQH~zd<e_?BN zd`~#ye9YgTP>pA6VwG3cRWrJ*y7w1k<3CvG_i@p_B=3EG?=M!zGv@#ME5Qt1+WUS! zY-w-nI=4$#_F6@l)<pC&Ou6+!6c&}CwMV1e=PdayF)t7lA<$zkFS<e#!Rp*=9<!=F z*jG#WgO@PBgB`C5%4xN4PFD3FyNR4f^)EsfYCrLn@@&qvinv-C*vl{_YI|ANpH=J! z3V7VUgDaN%{~h<bKQBhoTD~8)j&r7E?~-4its<^ghk~^JKM@RF8R;(*swA=PijD94 zi@$@AYO}v*p{|Yo(d%~UN&x%nHt@-sz1QZg`_7PH(Qt8ZZB1uFM7DGAd&51*w-jH5 z9p}0FucLBi-g>?;(|c9RK-Uy!&x0&U|MK+z)!+Zm|7VEaJUIt6AX5M1HE0oI<=v#Z zk|-77xzAkG*dJS*D=_GLe8y7U_s}F0Pu;l^LEa^5OP8itC3-9?i7GKt)wQ3#WNM1% za?h-~_bOYLoby{$^6c}@b<f{@KK?!C`s1p7_RsHp|NZy*_R6Pv(Qa}7g#B~>|KAT< z;czy5P0GX*Z2Gg8=FM<nTv022&}cR1t96PI?_8UHZM^v!d=KZ1#sv>;nx;+@FtMAL zF!eHL*F66Xx1O>b4HsN<ai&A|;_zeq3P0v+{d{m`;<d{xOXC$H(vPj15q2{Yd=Y28 z#6h;>f+0GBIVEzAPfv4*?VPvZl`KoQtwX?H&nDGtf>-X;nDeBsWO00J$#Pl;cEdw8 zXpx#G%h&U&5f6o$+I*X)<|${?*dNsD7Z!>2-f(N#?&PSW=|XEZvOAt^WyyZZ>7}n6 zk#6aDQ<UX3=z1^igKXbnL;d}JvXZv`0hY54Z4tZKx8T(~@c3(;$w47?;VU-n2`jrf zv*Nus_{?7LZZFH}znu&I6|-pPY+-M^Tp-;vwM;c*j$*{qxv-;nx`W-b=BsGb2_BS+ zSJ$XCJ{V=MuJM=Spp|mdCh2#bnQOP3I{u91Ftcb_@W`42yfjapLu}`S1zF7r8)tK@ z`rnh#*$y3sIJ@6Z)-#iDS!lI(Q)-#o3hVg^J7paI3Uh}2uy8!g%@OuX%JH!@XV_0o z$BXS8VZXT@Kc0w_I42b?1U`YNmeWh#Z^JoGsMFHV&#}6+T4>6Dt%Gdl0wI4m54x@9 z)cQX&Vd8X-Rr|{Hj`zRy2(b0ta3rW{)_c{6S3Ho(XjaF&p)9BW&RFoTnMIoi>axS) z%lf9oZ8l$awL<KmRyAZ!tD2*0zT1Xl=^S3yIIr!Rx8TcMme)280pB#6zFrfYQvcP4 zC%u`)@#tO-vnZE<pLbch=YW<BfF>cnUJiConl2Rb-|V2!cHt{;1a-c$fN%NAnRaZ; zt8*$5dHzkmxH-cr#2pW(vS^2LNUc|hcpA;(ny(u1Hk{?CymG|j`QZNajweslekVj_ z=eh@2xo$Ye1ix|0UofY@(D7)#P|g*#6@R>&T-jJc|2uBDaqM@J#nt-`8;<0$nDQ${ zywYg8<=T{bZXR?BqWa>MiFeF7UfuWEa7dp+%FYRVkxBjz`DI(Z<}7%T({z<jMWasT zpp?9VMy1%nC~=`F``Qxb&gM8(#(AxJ#)3chIm|Bn`3k*o>f_hRN(|C(eF7@$n_T-8 zR{W}KI(mxb>VAg}N3OD%?swmC#g=8Oyb|~xyNQ1*r|!Hic;$X)LhD}+DLKClmwt0d z&G+1J>N$9fgvC1a%c_;iTg4nd?&iGqL)P(RI>)uD&IMn@*bDxg>jR&0mapmflj$H^ zx<JSunS*ZO0wMqO4l0!khWyn%n3T=2>VJDe=Z(gilZ-9idHX7ZSQ1uNvTPL>)+x4e zynC5r+HW1lzg7pi?ki?gs2}v&ubA;i<e=7nH4Q7}!>iZi`UlkL9h8b}nsi)nO{tdS z%g-Fwc9t+b^yX8zv2xROU)h(S1J16qNZU9D6#8tqn8uzMHTAyBh7&SPtJ;Nh%5)sR z_6z6~>N%cWFQ9W(Wks#_L8I@&S896_cGe3%S+M`)!5P<NMDAH=Hf3*MIFwyr;Nx3+ z#qp(pSdoDpX!S$Qj8++!>7e_S^f$wfpi~wsny{z8)iMKgz~C>?O#kMOOfgGkQnsfi z@>T5ytwKAfbLQX!(87m50^G$0R-kLYvO%j0kgkA|2OmKh2RedM8FUjTXjmn_lw;i` znI)iGt=`_$Ipe5y*oki!Xux47Kj>UX(1623Z_tzm=nkk~pv$$&L8}ktBThJ$962O& zB}XyOkMB`5XtBn2(3N0Opk;*<Y(U3CMS-sR`r@f`#&P)>&_W2%?O)-bg%ICC3n6TE zo-FuLE%q$oiw?J?Mt*_Dx=S)Hpo0#ts&E$z%s%hL_bMNBVWj$|3C+(y3lgt@mLAlK zau-k7Ss28^9}b?9`TlNYztxGaktZBMV>Jst#ETUf+({DDzYV>Hlb^ddpi-LKvSZ!> z&}Av7ocJDvg1Y~z+?F2q4jhu%@(#4N545l(otNA4#J&@UWWFRt-kBrwq&y*!kL@%3 zS}P4b(2UH*kD#dyEAC<e%lWM`A^Pcwd`YW8$2A>3B%>miqR4)BdE|`dE8IFy7T7*E zh*@fR;!Khv`(4no!*>Rtn@vHRI;VhEYk=+(DFLr2Iethc<lPL|(oca}P|#(8E*$$| z1zLspGbv`NjEb<%8OLlsZc7jSq(nX|(3LH3G(iJDF`zk(mR3s%lgJZ}AI-#`C6w56 zTWZ*!J0#-*T8{B*nRh|)GX=BBtuj}>CnWL-al<D{GM+8Bd!?|or&VSPD7DQL)p@dD z_oP<K6QGM@etq9)z~1T}dE(#)P-+v21>FkraT{o@WVu+8KrARU`#?9OfQGk@S%U6~ zHRLY7uxnbY%#z!nQ!?*C7q#5^3K|f3T%X^rRRkIjDTJP1b!OY8qtkZHn!8%&RkT;% zm0cGzbGL+c2}?a$(cB{xr_9R!a-n;-h}0I1g;S-MUvs-1EuDMyko3H(F23sLvKDFU z&sq7g?@HDKtIrp{eBU!)-=^fhOijhU`|sQD_do7?taknX2ieW{YWDnoH*@(`v+Qe2 z68Yy^WMAXV4`6;%>CM1?$8Pz~7wfub9R?j>b=G(<!}|A}4(+1Z+t0+v%)C2=X+|l7 z_Psdp;U@>Wz$ZpIocR^aesfEO1%qr@T&=qqtHEoAusz!iL8p5(h`PSmP<UDK^2K+% zH)Z~GVX#^g^?h*;SHd;MH9rc9!N+81cU?$at9w!L^2>~Dv$gYh9onzH-kuuFu$eF6 zsQPX2ksJ|$Eqt%vb@0tB<NKpB``Ujlhj!8XH|O~>p0R2W&3_L*$tMAFFw==G|5K*= zc3)?@koa`k{4|I$)xY7!NSn(V$=Yr=o;^+dE$G;(t;!8?zZueG4|MVKgAWbbu!uwU zT9uAfiq+rm=Qn45U3d59mV43;%fM><=bZwb8Z<#uyd<JrM%L)<o`2mrQS-l^&WXAs z4pp<gA8gJE(8*L6N=|I4|FnK{W}zd4)tVZe1|P7K-^-o{83T6mosttJTQX+&p6+K~ zaClWA!%T1x+kL4uUh2Z*-~tZ8Yj0jmc=_c`^`^|yO3-N}QVo&p2HFR@{Gau2(roBp z1c#3vD17w(_?oS?7jNLx{wEA`kNAJH*-RZ0V3Qm#D_;Kh>F>=g8q60GpT7BhX$|uX z(S)Pb&%tg6`Q0qfD#a?Qw%$y4Kj^F<F`EgHV3ywo55sKTZoZj*_Wyt9MAaHI$cE|H zY0QSWIud+%3@Bkll!um_*iwA9F(;}XbU@LZ?;g;Uu@`)t6v8#U{b`pUfsQ`e`6dCX zq&yU!G9E8;UbZ;;-vr;&^H~=hUKLt@1`-qe>*2A!rZl$XM9Htc&NsL0vSyGCTVH7d zb<R#rcp`jv_M+nDb94PuF8}(+P!jR+sQPBjhDi1ekKXcvkIq@6;ndDMi*M$)@_&}I z#p<~n+NWOss|qpa-t8%%qgX)Ry0PQsgqIl>=axOa3_2?6*?wpWYmY{RPA({P<SVRZ zhv|dP(c*RA1hHxIUARr9-(A{$tlR%h^L-u8bRqF5|GcvhZ*50Q%=J%x=0u(O`I~3K z;ZwPcUpQG0tYMD$c&`zBj!&4#!o$aW+kM>iuie~Y^EJb4?KhSQ5W5bmgWZ#IidFWS zm5i*>+<)KYZ*Hl&&wX>tK67X)oNog@VMZZX@5P39pFzQMr#|iSuV%&<8y-z$FoNXc zS{-;0g40gs@lBZ*IUU-kwlkj)fjH(h+*`e~`DXT=tFfFtZ9bnvd+2#~m}@5YpPwRR z$RNlFa&pw?Mb67Ee^$S_<yQ_UHH*Pgv)JBAzKkwBpm2`({^iAl%;%57H)sA`3Oe>I zdsdq4fi(=;_w3YB9kg_P>g7kj7)l~6-+zS^EEVq$p$1R*yn<7+<BOR0{HbEFT4QxL zP4CNnc2Fr*v%>~%*tM<~4ri9d&ztMJI-h;P;aA0e(3IvM20nBPlsB&JRkBLC6=|+M z``UKU5l!9CpwWN(F5E^o-*%thukTOu?S9MnVnfkU&zsO-GDQt0vva4HJzdXqA@Qnc z{&`5`^XH#{6$@)hlS@vN?5eCYTU#gIz_)rgH!KlFgAd9A=lFY4vPN&;Sg6jv*3Y)! zaM$`dvmjYD|Nb<1dR_2xLT3H@Lpf14q78i7`8DaVES8R%#r}40%8Ue+K+*o^p{{`( zq6YT&Em2vcxpFzFmtQ?)D2b@OEeZA1NdtKJz1#O<LT37(c{x$Ht3l^Z-4S(o9|$fq zx66aiG6a`)-~#c>nVhII@soT{$1<jXtAdL60U*~j`0QK&Nzlj7pIY`*GClorRy?dg zw3!6*6F9M6Grp*JdF6il*<tb=4((U@*`Ud6vN$-=gTrRul%t@t&vK&bRTyN$Y~)~t zVLZIp$hlDRBL7x=O`Vx;80es}bS7AWzOxjm>;>0eJLOL;dzuc4EN{jcr3{;y*8KRe z1r#NavNv0|hi|6eZSmC0uecdsZ1{B*ntN)Bp0#gA6wsY~Gt0uZZqEFB6;uLUOU=B- zc!q1kqqj@pDFa*rU9T~jEoRQT;P9!|xv&)d2%f&c89qFAlJ9BI32LiU<xfMSOCRpD zHKmayCrYZ`e#(iewFi~Yu@fN)V}1-$`J4+XpFgW_%KZD7p(LX4<SD3KzhA-a0;gqZ zyP3Y-cNt%7__WC%S}j+<h9^RBeVV(=WcIYhpwp|qZGxEcqo5WPqYU86J>R9>XL+># z`DIr@XQ=&pbrqI+j>%L$o?iR^{||YE(miUR@s8{b(W#>CA3wx%IEpZ{O1l^?*t#;N zM?*-!u*>5}kdt@jj^$IFG{l=DIK@>v64rz^X&gJH+K}X;z4DrrtIE`9fr%X*jxI_{ zZL0+Zn<H2|tIyj+K0j<}yub3E?dEI0>uly)KR?&8=Zmoa+YkHgC+<<2c<%q&l!+(Y z^k;73_g%!W<~xt$PhY;8pT>!XH>NFkq{kwi%AqCiw83NIf>$?Lx?^1e{$_y(DfToc ztYqiR>gSktvqG*dxLoaEk+tBJ`|Sy>KZP@Ljc#Ug96V+cV^zAOM9uN>RF>5y99`>F zBi@!ZJ>nNw^U=i7Q??~@?N(mLmzFHA-}nZYxq}boP8R?##1v(bUaPpGM*E=Bcj&#= zF||dnJai@<-m>bvLPV08<Jr9&(`LB`R2v>NI?eeijC0!Vo(2EDvS{D&x$wcRX{wxh z#-7H6sk;2nwp@&4S-q6A>%3~j;~CJEai6}dR9?%?>9t-dBHh&S=2w>2cfAAZJT@HU zW)Y1ymcM)}!yUXf?FmEEDtAGhGAYNe{=zze>MMTcHZ9FlT2ae?(5N52V88B_$GfZC z25WzDHi_QzyiiczG__A5qeknX)_f(6D$Rpg_mwp2ln+YTHcjdmSo77t>C$ZZriW`b z+dAI7%W>^?|AIe>EYfp8XD)*l?1wGu>(NtO@yEBxHBVv1FYl(Ka%wC7@i(cOMR#Og zt+72Aq}g=qw~)@}js@?Gnx5Ku2K-NI65Z!`VT136OZpsA_AVPv-3ND<TfF=7sx+2u zz4e-->%G^8W52=ol&tm$_$AX+s;8t;&3mxwHOHy{a}t`_Iko=vCM@n1Pqdi&m<7Dr zVJ~D%$mXC>I&|1*_Wb!)lXi72_!iZax{IY$ULoS$dX}$Kk8cU9&~-d)$)dfteL-Pg z!qmqcUDG(je#=0v-joBcb=Wn3!Ixl`*Die3m9VQfUwaBRd`%OcQg42c?K6j#ocD%{ zMNOyXt42I2YFY(4f=O}3zvw2_c?v6j$~P_DW+hX;`kPKu>Nk}Y*3%PqGCBUe%o+CM z40yG}n~=ky!R}u7RWtU?OPG3JK%}-OVQamxNWW}j=Gu>HO_Qnx)|4_hzFf?C&9ZmF z8&;OrS2@8~lz^5y%t~17&Z+gkJ7J=3-xF`pNou9-o`MZW%hXrQQ;m4!(KHLRnyIGg z)^s7AVp+$#(wx(Ni#q;w=LnM!Ho5ahBQEu2FH3K^a>UbS7FT}th_@n5kDd#z`M8v` zMc=X^VY!#t^1dy33XsLG!c%Jd684_$JGSN2{>Fr65yzwa0y$ec7JTw#>7L^q@U@$z zJJvhkuRDwP9oK+jhYeRYvuriDWjh?1wi~*FW%q7B*^_(cFZk!wB<iP<QNef6%e3j( zb%8aXoSPPv3$6La-t_3az?zR;Ov^%xqMNpsscFnrj7Vp6yeZ6a&ANNRA8`(|9a)eC zNily8UYQs;eZi;qoMMuKAzP2mxRzwhxoZE^gw9%yEIFSISAv>ur8cF830>JcDPiY( z;Vb)l6I$;Jn$&b9EbZ+(S|FA0yTPjnx>)MPy<qn&c0rMNrwzxBb9${)h<IJpbP2Sa zs&zrm{Di&g9H;(IPiS5{t>Dk2XpUzIThzHNHRMkml5r6OtwR8H<s+toZXiDfx`BMY z$icf&3=i*GW&F!|wU{pmw9@fgGU(iBW^T(D$NIqMA<d3F;aJZv_H4n2M9|ufS*?~D zwNpTAI}#H4wBl10*)M{6@M}Q}7QchmO6=b-q4^1DnMii`(jAtT9`8>ak|`;JZ$_N( z_X241USEnL`%fY6Vgrl$tui9}4;_-}S(mKH{&YTQpF=h1a_{q?Lz^naiUR7de%#?z zJi%kbgyv_UWh7Vr={#9b3%aS(a%!tg$$8LONbzZk?0?m`ivxa~M4ZIE8+7yL7j>~B zgSx&}%N2V*vBWHuaRDvQ$jVPwWdHa#Vn(yuyjB?%f6(@U=bI)pe*s<l@jME&vlP^$ z-re0Q^W^`DLoz&|4G~8(1kKa=+-`%eLA?!HvAPew9&uGWXmlq>lG}1cJ?Q4vm@`g% zv*Obe`GRuAiZ1M%3|e6eI*J>-!q(RhwA`^iTI|_^4WMPJX%RD;FDdFgNvL7sE)KAq z-74b(8feG@4cCB&AqtPf{dAHY<R??mxJmEyhgxSH(?R#OzB_VA=F2zGN!LF?YeB(h zaf7x)g3homYHqdcSOYp%>~`dg<{QkQjin~s#S<=gf;QlQ&RSmrT1EQp9%%f@9DcU= z?iA1_*z^q(n*VW$JzG$~%55pJE-{hMYx|}N&4u$@Emzo_bmChyadP3*XA8`lTV;-< zgNC6%%WsP0U`KI-HiGy~ZIwyUPfp~kx(*tCItv;p+Xot-0PpVU>i4g`axjA#GzwM8 zrGMKoMU2~W1?XV28!=)<0rqX6ZJXzu_-26?_pAgRnYDLTtL2F`>5A-kt0QML-<i-V z^96K|ecV6x{VU`3ncDTr^+1(;^^aMllE(}G+*zh$?5X?l!lBg8wPG{bb&Rj%tU05x z`Jv${pG~GSi@S>bY#tY`SvoN?Y1yK*q-#p2+fv=+E}qedzGQUx%n{?XFg3gLrF(aN zKVAktpmN>a@85sle;<A$<zd>y>Hn{6yZP<^f0s{PE?nR{Ilji3@uq{S_$}Oyk1JVL z%UCsDV_RRR-0(oUOK+A{koDXJ6>}4MvpK!iDMq}uYr51gu;w$9<IUdwx>V+a^=GO$ zn?6|!<`_(0@aQ0mw64mE8m)s$;({T2XC-W$&AIA3$FzzHaIumW`1$8b<(0i&Gs51^ zWI6rDBcNQq=_#Meiv0}<tz3?WLs_(AT`&AlYYKg*rm?FpVQV^P*ZGK+hif+SI-V3c z7*#C{+Ub2R5H^TE-Cy=4Xa<AzAX{!zSGiEm7Xino%Q?kvevt54{VI&5`>f)Med-5= z*afcW2<dF?S@4akDOFE>#qL=NJ8yHoTCW=M@Fb+-|5Whz=!|P#g-ypcbB6s?aJ=}J zGwe5$<Hw~e*>n5@J{dJ_t#b(2<-OrtGfV1xg$V!SNfzKe3Vh%_3W0qKK0W6Y(}cB1 zY|CGHya{T0bzeQ=ky+EM{pt~~3Y%_CRGo3nXtwZ`yYmuueiy!Szdxb%yr4;p(}qho zS$g#qBbK@bd<kp1TIU|{$FRxtA9Q!4UtX2W@%+8L;EkJayaTp5Y`B-y^eG)Og#TVJ zr-a+_>3t!cPa2L_>xFg1tmO``{1?`wTBf?<r(n}kK4tKRRb%L$m3P;c_dVI?9Z=7E zkZZqU#t)8zUjLOc_7qB9j!KPgT4XJ}W}2!-9S7uIrQU?K-5g%=&Ku61Wl4Rn8u31{ z=~HqOubI_D(8V6@0(v`tO!E|M=q*zLZ&lz^TJbBj>8PLLihrR^s<T*3>z%-3_}2w> zKFc`XmGrKhy3<_viof8R4~k7~pE<*R2|0o<C`koZ6B~kE*UzyE`fcd=aW}^`34tj! zZ3%06{f}(%%J<!HPNr#7wcwg^2FIWJLOC0H79{m1EI!St^}i!wqBX~=f1L>%mvgMz zpC;M1`N%Zr<i|7X<$X(xShjvwk9g(*-kP$zVZpyt7SJBX#OVo3?S)M0ni52<IWKGN zVm+AkUO{6Y`$5q4B$X@&qf(nLJr`V4{1UcfReE{fmpo;S|Lje?a_TE~+$-|Q23=F4 z&M9_tF60!>ISYOjvUtyN4){Eq#rv*9!0)i8)L$&6^2!nK_OpC7x1D)e?4Dg?!bvfQ z9Zw$S1-pC6D`&(gL_CdXS`^xJb)RFvpQ<MCH6@HqTjf+W{t6wm+Q_vmw9LBcYniIX z|G*|*KIIh^JO|y<1w#H=9aOsR3ps5e=B<xx=UxARzxgcQdprU@e_fss<$YFZ#a`os zMxQxe$vJI^>0IzHlSR9xcfmtb7VW=13qI~;S^a0~f*0H@tM|SO;aOhMl+gPfy3u!X z@$$Ycd`cR7CnU@b<~SASu_12qf<OM8W;@y!Jc{QqtLj_uDck?>7O|P00bgxdy5Bkn z*!pic!qhZNU07#p*Me_TSx(<Efo==^zHX)RPJ7`i^=%2QFJ8_FJG>The#c&pu%9N5 ze2d&c*Je!y?cY=7F23*sG+Mnaaz^ttS)C^ee?dn&R(7?@q?lVUN1L<n1znc?FBWtW zxv^#wdop7S|1rmp!NKyS23C_=Wv2M2z;4e5jV9-S)-C>@)oSShszuHUau-jC`tK97 zRA$TeghW20&5<V@%lSb&=siJW>`kqf8goDk7N3JwSF(Y2VVpQ56H<rRzxV6G6?fSy z|4usbJ+k5s&*YQJPf=t)2|9oB+B=;m3UiY|D?vf$CVT{qvCDuKSBh~L8|-Lol@X~2 z)oQ;$D?mXu3axzfEbiaV>mniM$}^g8d;?W&cAzDz_u=*B+4Fw2R~)lAK{t{|&S*ZP zs`F$)HE6rTZ&q&07xo80H<F)o;%n0fxz>En+0$hP_dsohC6Ol_OF(NCze$P}3B;c{ zBx7<8<jihRn3n21QK;qSF21m{9zGXRX7M$UeXn@r3CFt0#RXHJB^Y$K%7~OFCi1y` z2Hh|cAofgQQ%9>zh<|z_Us9=9QNaFbpdd|DWIqd9@_I#C=gES<Ox(p2ZvKe5Ge@Qb zH0hA1E>?75{^>(9B7E~;n@1CN6oB@OEC=lw0bN4!Gz)aa`^;9$6<<NCYZJwuE%<1| zZRwF$BDe06%$77ztENxqjAOdISkVOA39XhdbQ2WWe{zA&qCD!v*A)&ri*h!|iJ(2N zoATg3`g#+5w1<U!xF-8o&|O+CU9B=EpoO|YpFuaaXn<~PnFG3#9JB`qv~_g<*+ViS z?@|=m54VGwG?#UrB<wlJy2G+K;AU5=j0@=Gg{`)cCmbP1dm^^O?gTYHqd+G7DiV9P zVDl`{_D#_BBA}x~{&|W$OW5#_D`u&T$YY%|2Q$pLEi0ai|5^6$mwXw+;fe~z+$p&| zb5cdy=6|1~zGLI86%W``riL>4O-W!@^SjL2B`CQfWXsfRo7#?c@vv=JIIX9o^;6=m z2GNeCS;nT(tBpdGXLR%xDokvMe8A3_{PcK+Iak_|{tpvREZ~m#HuLoa>%xCI-)_C$ zH^11=>~h?`M<+^tT)w~K=~ns7=A~EUHtt#;BAaHmx$NmAmJheCvd$^LQFoT3VWQzS z`G2p2*cVj(*uK=Gc0P0|rqpLCPi`~K=Fqd{kmZ!uR%Wq)*O2_vXiD7s^750&{C64+ z>C6HrC8kI<Jl*p(7`9U8Uz~F0Bi-ev6GETwfGqQ}b?*fq_h+{+Dt_b9)8{9o@7=r_ zbXj>ObQNbj>?pf`=cPO^zyG&_CyaL*<QTk%RgmQ}zpt>>9#z|3>of1`!F@>Q(eXcr ztuFhv#_iG__H$DXuDX>8K96o~-A3qgBHONn8)x1`ep++=ogR{#>jI!_wR)$UP7<@b zG~wW?XRjbm-W3FK@;!N1gEi&HEkCU>uSPn2Z~MPR(9^kYny-tS)tr9$$V^s&l~&TA zBi`<URzZOdhkJY*be-|PomKtk4udX2hMg-{8wR!a^ZS^^-)H<QVRTt_@MspxfejDM zp^Ha@t-p%S-t*jM@9_r-pyf8ubLHCgVIlSAhyP1k@ItT9+o0t#tKUPHRc?0|<e9xQ zf9<`+-$Fn-q03IcroM*WgdFiWrFTi|X)c8z)9tGT84gFm?sWgXn4|5hoMrs`i#6*& z%eA1VV_w^R?X@ifc*Ux1ta9d~S4<rtbLWCw`|dn+q1WT@pbL%L&%d&@S}!7iIOekY zj~jIL=;!$tJZ9YsWSE$>0hAcb{(#N`1>Ma4im~>n+WdK!s(Gf>`jr*JZZvLJhj}z- zvD>9P>zF!1w)TNmZ2g;o9H*bfU)g54`@gnja4~8KV%{+4dN}l$z%_-PZkG=2W9kSw zTMb%Twss#>pM6(C#QUq~U)#=7&$$G@mOUSSl-<qs87jVaVi_i``rH7r@V7ZM0sb)l z)up@dC1_c$ChMMme+`+Yr15z19w@qZcLg*t{CsUPX<4;ZglUBjXsOqS`_MeIQv9pv zY@266dygl8S4P5Ob2@BU<(shHB|F<oH>~>R4mu+9>mSvh*ZCSiY3OYr%i*+p^)v4+ z-nI-WQhtR&vzzHHDNk<p(haMA_J9_wUW?YhUd>=2bKnIy<$iyt|JrtzI4DkFhr{iP zgC@Ss<(VqJHcOjB=Zb^wLN2|(Ir2T@fdYobzkh60ZA$D;k6HQsg$d|HPuQx}d#hmn zjL%T<wO`&G+Pueg8?VDkUVG@h;q$FFx)|hqnjHOAu6Zre3N!xO&}CxM)d9+x??h$S ztlIllYcG34(CYP|CA!H5yTIvoX4XsFEOR91#@R1`+Ij4;lqdJ;eOk?-XOp=THZV+y zdi@w0yx=0qd;7`F_x7v>6)P&q0icDa*We3Jf2;Q{>0F$ivSC$m68K=w*Xu$d%U1i> zUk=atxGMWe<oh?e4T#0z-(jmq&;E~9&ivJqow^}w!y@p?Q(o9Q<Y4ia+GdrHOFymQ z=MzCXareg#Xd!wGyz=yEmE@XLb0Nz|UxkB?M>LeUdwVfQ+u1vHi)~(S%|lvw`W1Gd zY_`nDuS+c}%yidiHF#W&S?<Qblbst3ar1X~L7vyO`R(@>{|rDntT*~UbP@B7HxoBk z^>><r3MBAJpu09h1M1`KFQU<ZKAx@WKPL=|9q2iZ@AtuuCjQwO{YCDwxQN3NshEXs z3_Q~JK;-d+lKHpRuD|hQec3Bpwsz1$W@u4nXY*(_?1;=aD!of~rZKv#x&>NUzGfeE z)&D&?SA(2q+qb{8&HAr{biQHTmABA?E~T_hCy8wnb6Apf4|E1-_Fd@8-uL}V64!p; z-Tp=H?0=*raj)vV9<)^1%-g%96TGy%3{;Zs`VKmq(@^5>@%Puma|#o;-&_2-E&sG0 zAM9-5?<&w-bGF`1IrG;xrjC&2zo3%rp)xdtR=R%`o&D!}`B%B-#ot~aPP$wNTXcOx zt#`@Jy{rN&v+P09adkfE^j%o;{h1&AKCt?J++OwuXyN(mCN$w^=UnrMvIt<9xT<nL zs95>B1*tsB&+&VI@lOEb`uh4F2Izsk(a&Ke;J0ikPvKb5+H&x9=fC$rbk_c1tvxDs ze_#Cji!(t13-e_8WN7kxmVeD-R-Fg?YBv8>f(=2^`=ASY=X=>t_?r7^hdk$pgEx_q zfqdRI=nB#s<tCG!&AV`L)vb6?(Qx&C&GSooJ#q|(VJTN<zxVsV=y-;ue6XA3>w2NK zO4(oWh_YDH9C}v#3g~>{KFbBrg`nV)_saYiwzJ|jkP<>^H%RBp|F!?vC%i0c29Jr{ zxCI##Nt?0O!`E=@jP{gBw`IK1T9InABs0~fNmi;DA3o!DnrE}>yqTXHimjd{KAO|j z-lHS!9i1|BhI-D-h_op)Q_?EVx$VCX9u(R9x+?bl`}bAve*gaaS@V6}JGY6~|6ScS z<LCbWpp~9a|3{xxnphfV+%03!$rSKaqv_}~!4Uh|37tz>O!E~ZR(S_h^By$v_g}E} z-V^a)hKKssLUZ3*Fh=AFH~reo5q4whg2cXrrOF&q=T#$~`ZO)_<_O!}x!^}ulc}7V z#!r!hQ4?7u{9g8QUc1@1;Ehbv*Kf)i^%4i!)WJQlgMOhlwXaTO7&<-`JE&AH1m1zK z&bey8(}p8$&kO%N+s5Mk)+wMmzA2T9rPN;`;+;v;uWpX89rG4E)M}b4r;uTz67h5? zbWC{h#?~3vvZ94W=KF3qX2+t+uNv{XqUq9S&TA`$r^GsLxaZaM>APUg2NuVp;v8nP z3hmm0E7cAvSqq1p71Al=a0K5t3cD4w^5K<<SBjc$Z5P%l)_1&noO7DA@Rj=yn0&HZ z%LPpCOiWnXFKA*nBVp=#$k~jaIlIg`ul+D|JXy_g?U%CS%jX=|BL5%SdYIK4v=?-9 zv|ks)n(0cAzOB-VAE`~ferhXf<PIvG=2-QwBVpri&Q<&z(<-Jdc=lTVQRp%=^%Z-W z4;obqT(O;yuv1^~ihXB7>n+$gzxJ}eDRmwfe&{uY{&NWUBH45`F8uhGu6(x*$98ji zrEy%dYFO~)BFpPD${N*t2dlg}PyKI5Xx4K)dS5u_i-}|EZi&N@DVL$)w)*`nE1}!H z3w|x<m}W6)LE6lOm76)T^c5qXr9pPk$~yjC&Jh-oF1YM!L2}d7Ni4n31=f7>Y+BR~ zxpFjJU`-)t@St5l&$9CIm5JBpvMl}0^4i8T;9F?ZSF=4WkDuT7+;C8jMbzGLLtx{A zPuDrcRtkmeZAsWD4O#fg&YAUZYQoCtoLR@kk}Xc>fX0JB>qE=GU72|2IOi*QuMLN; zb4Z<2k9e8XbWEEg?4^Ln-uVez_X~*BPfX}FkL}8wdZ#O4?OaZ;_r4p>DYB$`v%H?` z74T1~iFX?GD70?-xmHHIrZ4z3pHr-I-hx-s`iHlOc?*Qp+aDCF7QFID2l<Q(GtOzh zIUWC2bA<g6c0Bx?BdqGM5YO_D(^yvT$p??%?0E8GUa)&sWz(bMLTf(CHcjH@ycXpa z@XM^J^qrc<Z;69d=Y^*9n;ER-HB(>lBd)3Uo5G4el1;9CiYtEQHXRic2-!a=q4OI! zLiKk3T)k3xr8{Sqz4L}MRo;eccg+^m`7YP=OR`DS&iBHHjHapkTrd2PX$sX-*QnAy zn6+O;;~&RCDdna~ujBe2uGy;T`0_31wVSz6r@i?X>|W(AH08hALAG?kkQ{-W5@W}w z@d7%V+7`UB=McLrIwK5rCS^Ovv{Qmt?B*oQ+{sdUULoS0QPVGTp*0^qLk9~t*q1K( zQr>iIz0jIX7pKbD?~*;3C9k5fPyV1(yoyGp(!r?Erc2$N*LF58cq7a5+SViBUp|ZU z9-n}Zy5Wfy(z(t7mcAQK?1K(thVM5hRVia~{Q6$_jAN1@w`E2g=#&G{F2ir2?X77i zocMNujvCx)u77ya(*^rQK{Eyn+?EocLy4x?op<6prV6@<+!k~ZIcOCqs0UgD9#MI_ zgd=VttH3@d_LJ(%>|QPS3hHE^-ys#A$!EnWRy5&mXRGB4&=HxR<|ileu^k5;8Ub3Z zc@ea7vWAztSYYSeR+*4$(5yxwXcZ_wckzT93p!^{Zhi(DnZE+M$YZZMcku+v3V0v< z`wh?v%TU;X3_E7E%J6_riuBr_sL1{pG@Em3KWL=lZ#L-YgKezbmK~s@501G<oN)Z4 zAogrQDG#@$$NV#gWFSW|gn~|QI_t#uX@6QGA6q|s&1k@%>sQ=md#*+It)9~S2|T$0 zzK}do?3qHD0=MM~&_2u~o}j}$L8m4{E+kJ)<TC=DbM;Ocv>9|h=pK@HTS2}3j?ELA zAA-*4%q$lxGPnntERaEr|5$+!qf7@auMGmN2Ic0q^e6)jt4N6zO{fE1@sYv0E{Jc_ z><tF&dn0w8DD2nfE;cv;3Qy2YqZxYKmKyUx_q$KqFroRCFKlP6{h32DD)VN+hDjDk z?&J&4<O`DCJfZnp8E8riwE6el@k26C_>vXb{|ZOWXg>5(=Sjkj8LgHYTO&>!{J{r` zc>!+A4!e_wWUj;|Dzbm%UH|;9RYuNfC%#F$HyE(5t&Ke4xa+_nnG!$vn$Zcixvv)U zRe=tl`d0-Ss_1H!5dqzb?&d636j0aMYT0ol??C7&$5POyWwY6!wV;Wh^DRJ2Ye7R? zS)da>uY(4sxWtMs#GX7P^W+`Kh3cSlQn^7>Okxf{3S@cGw}9f<WOA!aPkB-zpH?L3 ztdN#g%ZT6Xb;0)kxRX0Xdcn0kZ0195L+Yei8*@6-I*(^WCS6nd+z{C|t25Q@rqpTS zR5jh;98gu>b4Fyd=uA~B{vE#U%R4VyhNY#*T{xp*eDT_%GiTb)7suwm`@1pr`s=Ft z`S;)b{(ZN8_1qh`j6Qu@Uy-%@|9`=g+CnS$CaHG2m{r^qiMIe9Z*i1Gb-fb!c#CX~ zYn780yqS@(SMvJ0|GQc3!+z#+n$7SHD6DVl?NeD%V{=d`-DknpkJ^{n1peK4)f()c z<lFShn{!%(Z@_2Hrlr>euiX1$<&!-#lBG15<@8(cfd3p#qJ63v6;cPio(r4AxokLf zmc=z(aE+OQ#=dC@y}n}W<@F$^K;8D~Vpy})+VN#A$2H423*OW;ef3k-h=-g_X)c(v zWzvFAi&?sD`~ylCzpk9RaVE>va@7bW=YY=!O-r8%UWs$qaAqgCTxpuSs`}`aiFbHe zzV2qxw(+^}A+l-e8#bTSAFr{je&Z8R!gnyMT|i{M_Xf9_3!YfAWXJjiR2d$$(&jj2 z=d$6RG0Ri)9fF5%Cai{(c}0enr72s?9iR3K=oIllF5NP7{3<V`Q>g2B)|zwLE8#13 zvl3=b=XjM@D17|<-l+>f2VB(5SdiG5uvA~r#I8GGsyy_7V41yXuROpDK7`hMOmCW` zFSJH9J2?t`9Fc$1CU(I!<tE^P{sJ|}qwj@tN=zM}@*Py-7YO+)d@xC0Af*26S&4H( zx1qJz?Duo5CRt5b@GX$#^c0R)dMXj`s#(6SSBiKT*3^~_xscrOpw{}hrc6;^0q}KO z>;h{(7B)>%7g$ru=J>Lk<60(k(Z-xu&^g(&7W|RtFpF>x_!#PKxVAS<Fr?1<pwe%_ zkh8)%WipOmFLO@Y(Xil|KgYBvr+{kFgGT+rS7N<4#FR+31=mYAiQa=9IQGuCbP4Fv zt<RicKcyTme&z@R&2N|;l=?0-rKTrg?Q>4A{2K0MThIA4ZQ3okX1XG{G4xC@<d4=t zx9373{{#;znM0ixvp4;f#~Z<>SISMQW_v_0uliZlw6sidg>8GnOlMB;(O23mU(YK> zEOZ3l>{c#pQrD3%b-$p<)gqpB@B!VO3BBKaAg6jw-nmkFZ8C?~e5VcPZnC86D@VMK zYWh?zm~%mW#gFi&-Z-Tdds5pUyO*h~_?6dmluvENzsx38KeZK+su8b(nr>Ye&?!F7 z(xj^0P;l}t`|`dg|C|E;yEci&RZB0sTEN~k^%6@jzf#20U=~+@rHHq)S&o8k<Q8X9 z%~y_i&DeCwxv4ZxL1XvNw-V>7ZVOMT7eC0x4sHNHoYXykj+K#?V8}nVgG!}MtL)Vy zax5Lc#tZ6v6mdLTFQ~KirtFNcw=9sBU_-)Ae}OCZjR~#mg-!N!BrKgTXcFhP!3*9b znH=m6x{loLu^;3<@;Sa6uGO(D?N^C-Zqc+!wCSs!g2w;gCf;cRA$#U0EI!Vu^}jh` zqVM}d1*`T=OxP&m_?2Hkr|<}@RRWs8yv&g`-+jXwrKVlEO;6t`ulO%<kgHxjW5-7> zpX{aP0w(u*6Q+I_7O|a^uvN+NV=(8nA9{`_wK=ctnz-PLSCi!n8zar8wb~5@ulY8e z2kqp@KfHURg5`<hpiK^XpiK^TpjG)A+?E>kpi?b$Kv!42-(bML5j5KT7j%--MbHJ` z_d(ZdrAMA{jE=oC$MOW|#HwA^ptF#^Z<^3t*Vk&PQBwxL5Bs4e=+LWQW}p)(j~$W; z0j*oO2tJIVT<3|xKOXMl3mtcwS5Ikv5(e5h;0`*GayIBh$}>)Uo92T~qy!y@{AWAp z#OkFwPZr!rO5}4Z-!P&1Q-0)(<`b7eMLrkk=*w0A=l)vJd_zpT@+RM_d{CuP4LTGF zG`Jqp*ebKdKPi#VD1GCE=69fF3-6}uJXrubi!<N{=m;b}&>4^Qpv%fWSA%Xv{{%WI z;_l>D%N4Z~Ku1!hCGttdgKDv@42RlZD^xxMov-~0G_zsKU3?+(oD<(BP$QwrAGE(^ zKPWuYzCYA@x?qPacd@~(=2n?0n|01OJ^^idv7Ojz*`b@F$o>^HJ#qvzOJB(inkG8z z#COYolL7n8kDv(|CJy;^&F^|bL5n$VPivL=0$MT9`WLj#!2@*3w+*+YM0muE=2NXY zPZVyYB=Ti}j=8J>Ij5qjRYnDLKh;{__s7qkbgUBNF23NoaYFN(eV|Dq4$z6!(^@Sh z=9s|`4FC9NWxth#ZjvJV)7hY4)e<WTkOjs3R-Gpc_MOa%S!(H#a~O2G1t{)oBThK} zE)aXBU_8H7ro=xrk*~-Ubh^c)R!faLM?mLqfP!<e&Xa^cGTg-hH&<-`_pGTMe7cr` zRdJDu#u>-laIqo*&;pNM&>iBCMGyy^_%1yMofZO0Zr|9%o-MeZs>uGc9Tc3Kb)GE9 z$o|oP;b2C#SW&>enXQ%`XA%|JuR4Pc00*sp&<CBUUJTg<^C0q+<L6H+``7*FuM6J) zm!D&KoII$uue}24)5mPnnD24c!1&@BgUu(}Q*^t}2pLb+5tcXF<f9Y)M0uKInpsrZ zjGG@Mjk|L+)5K<K+HE<ZGxKuiWy@uGhhI*aDY-T0=8Nyv&(ChPe>eBD)qDN#JJ-Gc zzVH98+2Oi(v_5^>Uy*hE|6lQw+CrKCK*w3-eAyKFYMG}<!!2%(X^~C=<-7-rr0?#3 zDBU{$;{90(ttyU(BU!X%y)OK)XbSaH*RYzNuvMF*>%PZ^V|Q6pf3sxU`UX_l9<++q zyJe7@eJrJwOF_nd_vs}Z2da!YPs#giaBN)gXeEpES;ZAKk_VN{1w!^tPS|*q<?4K; zh)1eTvo^99Y@c>(=7Mi_ET?5$0=`EyJ@r#tQ7?CpYdW}CKImsU$G&vQ6~&A_9SKuI zSzNCRuG#jpc}CdBxg6JS%wF)MktJK!IbfH|hI2bvQlAU1DVKHpd7Hy5!Xu!N^`KjF z)2Z2d#|p$eq4jLc-QrgsZy1_hxeMwT&R+1$lEpjLCt$bdhBIqfO5ZC-yyI;8r7yJR z!>e|KwQaYB*L?A7I`&(5%_Mb=Dxrf}@#^56oA%JtmeliST3!0Z?fCL3%j-Q30cN+3 zZ@G56Z^0jH4znBm3m&O+m|0C+06vOo!h%=*oMPF+A^(jJ3Z3T2vh&|?rKjC+&aK-* zI-7sP>WnjxZtQ%A4Tr)xrQ#emT<YhLy6>~$)O?OEJ=KU@mw=kbsxz)htyk9ADSa^N zy{bm7#6hd$!c%M~C+z*qdCHu_Y)2+|S;_R6Kaa0WyeQOk>b#K7B6owetJbSV<b*f9 zdaoFv<R0+Zn8kapV?eduL8EY?D|;s<?6eoS@?Ln&2Oh`6Us<$sziKR(eebm4)Na_? zNI9d@CEqfe9xWGK6R4_DX?ZZpTwuz+?u5BVSyID=*L)Xp{CQpH=oYgZGZ!SyN?6>? zp;h0NFfo{8Rb6+&MsLnl|C<szt<?l{EL#dro@HOwx1^0_>vch$%}0}Ggx#IX0X~q4 znKSH%isNCSgI@PlGHm)2rp^}@**iC3>u=7k^(qmM=d-AqN4Gs(GgU!julPYLc4&mo zv8{ULk#FYsGhYC_gLA%MPKmbTQ@Mjm{z4&t*$*bo<}q0NDqkfci63$hlSk7nbwQnA z#TC_p2aD`gSJZPK<f@+wIX;Vjy1%UE-?<A4ODvadJtfW2wTvU|H-qCxWsYk<Y#dK+ z=DfCR0{8~jEu5$Bd2hI9)by!d`9{LA#}a-=uM6jFv37j=U0A2+2Q)&jKDsjTMkLGC z{q7r%n6jAG`+^sLOcw$l19i+lA?ox|&R6f<HXQoRAtmRw;nH)+2F`NMt~lQfx7KrX zm2+OJfL<^%rzY=}hqr%H)Y9#W5$WMgn|=$enXatyKeCDUoWhD9vQ53~RKOco#RNiX zXC!PiWx1-XZ7@f5o7#$>Vogia1h3e&B+PsaTl6x|tW@QDZqu*n!fP%lWmJeC^x_vZ ziSylXs-B}OZ|9`P*YEiR)TkYll2_D-RET&j(sZeq<J!(C3*La%|2hWzb8O;mgDxt0 zw10VD&$fux%+NaFgG#>zLjD>YOgb(U^55v7&~w2nYlL-7=P&s7mgTgL68Ow9@czx4 z0+(ksAL;}3+r+tx15SZv!7u8ZaeV4MS!stCt3ce+<`+AI<zFrM<}6kuu)nX>GUE?u zg&%17*jn|-6OL7&zOY#(SGXqoP0*Tyd!Rcn|0{|;ODIs`w$!Mb*lH;O>TmyK*E!?3 z1au<O{^UfyB+$ypUypUpI6gWGU%NJIeN^vi6ZVyFBTqP5gDz(H23nP-95JK$jyUKb z>m1OU$y%`@gFVwgH(i3x!+H-o4~to>NT9Y6balk1%sX>rj%-g(<de!zS7bj4T9A0{ zA*c=pT_a(c4Ic;CX7+U<U)6QcX^;7!W&?QtrUZAfLDXp{zEkTf*updUlx~A=j{x=E zBidSJuGoWCc={zMvY%ZKy77P;RKaD5JyR$)=C*tR>Tlm!tn*~Se^70<!Bjd=uVM$J zo4I|a3@2A{K$SMPrNsNwhh#$RPC4-{Iu05PG2*uDn1B9|jLEtrMfQ`kLC1VDi4{$V zJ9J2<<QnMgF1Pca+AlcfXNwh009E_VkvdNnRA_*9#P)%fm4GggC<bkT6m|HZV;i!5 z#;*YOjna`P9RGrr9$ZX^?cl5uE4py+lV#kt<};u+oHc0qB<PsUU#y^&h+5p166ZiG z5vOmQ(EJf}y4h1du_6J`8PZqgB`LCh1YHH<mUHC(4)7+`qUR?kE&z4iBThK}0u6~2 z>u_71m<Kv-8+79IPtYNo2W!NN0^Wd5LUYx5vS3daXvJi*BKy^|*FpZtQ5P!;xIeqq z(nIEi6W^@kpx_kZw#)!6%t(3W+x5XbkKy3vDb4RngXLc-luL74zR*ue<m1wgIB~Gx z%psX6X0>wRn(U83$?eoUohJ%a4BW*5)?KhQlf|IHnk2Dj3$CUpvcHT59Y$KK^CaQ0 z{14ySpZd8!HsmArZr0nK_gUJaBYeqQQ8~U~!lXmIewvDlS+=UJEL|LFdCzm*t$_7q zFIIJKj<GmB_4SpEhxg7DEWH-F{ivJO%@d*0c~?B8SMHTwx%J|nTMJ*lue85k@#3H5 zj}JBb=j)drFRZ`wq|f`l-REPEx5S^bi%m5)p4?_z`sU0T!}Y<;IW@ry>^1LqJX^He zD(hPnvz4^|{I{$Xe|;FN)<k^Uc(c2<cvcWY6nnzQZ@a*|J3yDxH!o{WymsHjUSD?} zw?q3>?R6)-88c)Xj#f*9cXxp9o9~^?rz@NL-z6^UA84cB+I>d)tP4sR#N_Q}DYGdk zHMj~|t@#=Ox}5y{)ymATSqxTd;=Z4RUX{K{6|^~sp<AgWqCC4KBlG#sytt_Sl?Qbe z9PZLO@ZWAZufyw@gpc3OA-Txe`**wD-05QPV`faB*3WtY;^=!8Ad?)tA=`td^~L@6 ziHq9zeRE~zR?~)QOc$&ey7~E^bTD>sWPo;NzD_8~c=<)Q`_rXYe;HqFs7i<3Z7*{Y zw7=>AXoqL5D*xtlE4x2m`o#>|?{*V<EB(EB#dmWwVXDkBtiH_pcK%mQTvUuy1D`fO zo5O2{Eld%6$}fGb)NJTrgon}G@2z{b{0U*OT2rM8bCLi5q&O~xBnD7euQgue?7dt* zX4dquR0gXx^ET^b$~J^Cbo-w>2ea(jTxr>;TRY#h?b)(VkwG?W&s*r7^LuRdLEZ-i z<c$R{FI=kIK7G1aJikNx)btulNPN7Hodb&x$bIr3KkeDF2NY#z-#%Z;u!Zlyqr*SJ zyNn`479NfYcWIyIm%qnSf9*TacH7T+Cm}Jf&JEr`1@cwt`c6LGw`=}n#YNdmH1KKL z{n-kM);)VwP+aqG*3`;OLmr3rso{5KO$W!t_i4{Tagh+%!Y6Gm8)dcY{kA<@YLpmc z!(umUK^!c<4!n^T92L84t+tf>nw<Y+=~a2=3yEJR<v)WsdGc<AbFRC!Pb-s)pF90p zJ!n7j-~6W#HE+Mdy~XC+KJDB5J;wUFaUkbxUk~+FwKUuqaC(0Gt1K?+pE`qV*xJ8- zaa<0s8FcLKfOmL;)ALqKt1TtF@^j;&WWV2y1nov<ej(1qu$FnlkCbZgrY14h7aQL7 zy|{4cocH%9OTV6c{pr%9bjB?r4c8bW_UttW1rIo_f*sV)_vzB3yWgKJefkWR#;Wb$ zN{;!qPwVUZ?-&=gPoF_H>@CLvh_?<q!@U*N{@GymzU11ts8Xg2iC^zQO|h%g1(^a$ z6%pmn+%spCo~uz{TyHPbz^5GtGv=+Z`I%|pjhCQA*u2d7eck^#^3RtZoeJ8|Rlu-? z&q2H4X!UZt8Pl0MBtT}}*zxkhrHicmPnSM@4%+W_#((lP#thaCKR&F1XVbMxpa{Fz z{`t}?Z_swPBfXWH;P82}2d?Js=f%$6*5Q9`^w;L|Ikbx|x19t@9NYEbF>jV(wPj2F z+vLj3LU#tMH9B<@AxggMorYx!vplOUC0j~nOrQ3hWx?T9pXJX(QffP7!zIX9YgsQY zTzWaWZtnCje$al~Za1iFQVJ2VReEvZ(z#b>f4=l<B11_;;m`a}kdoz_FFc&Vv2{K7 zq<6P2XyfL=YtZz*-3S)Wkg#dH|7<B__wO!ss3{L;!Tr5<Hz=d`=biRmZ4cT;I(yzJ zh>O*~KZRwA*Z1UQqi)^YWvjpTHOMuu`=D0+1#g370HrU-%L|wOoxA_EcegSqs@5(4 z3~}(i$8ZOO)6TK|=e@oEAJ$oLc-3!sI{k1G;htPj=rHg8bm`UF-JdW0S_n%%H>ZM1 zj0H<$E+pQ)3ksd^c_+PJm%lyf-5n1rcfb7uo1^=*iBH-@HtN>=&&&60u>kF{&f|tA z)V<SDD~q{ba^j-4F<(f$`VMB34Wh0vJ$pE(>es$KTYd#FSgpAy?*I*(<L~F|swOcg zF@cJwUFt6FahK2C+5c?m*W=6=5|5r{ykNz!mO(6k{-+ptiQ2r(+57kBxD(#pt)S?V zf*Mtw{!dq*RlpD&h=rFIE?wNs|77XYub|!9EzO?b;=88851ulv?F5C-&-(M;tJi~4 zPjK8BsGG#W6$+>jdmSeMDw&@>-Lqw%E~sRFE)Pj!6~8Be0|=B1!S3<qd%E-}c(3H0 z!%!vfSHYD$UgqrWe)|8^>C^0a9NI(0<)8&r`*rZ{dyrMxx?Oy_bJxv!vJ|pca#B6C z_?`^eBnl3n(~F$Ft+V@|E&Zy&AR88Adlus2+uHD`;GM;%`*wZ3slM(u_63Jexvqzn zmV2+FrXDl7;!o1`S<DqXLB*l&=9}ELpP9kc>2-K&&91e#l1RJkTm0$LtDOub5qlrq zfF#$NO^WVu|I_dPxBtiOw2kF%1!L;X>J8DUqHQ0)#4c^gc8*qvn9$I1Fp9H7;z`mG zEk_aMFpfnMjw&2UE)x~DYbY^h_lBt`T`TDCkXUmyBCx5WWj0G-4~Nj2Bo{qKFV5>+ zEql}Ft@(U@=FhXA-&$tB)lYwR=H$A%q`W6f*WbE!d+#6JN#QD!YOi{MPw-x|y-bL8 z!Jjt&eMMRf(mBSB*Vcshvj~W2y)!CJ+0wC~XkNm^#~iEXIc~UN)bwh)u+GM=1<&5H zc-uOF7dl)toe_5TC(G%#J^}UdO`?2i85KMSy_O4_tP@;Q1e)Up4FO;Lc4gwNwJb;9 zt4BQMY?`!r-k~kmZgnmA;?z`X#F8p53?9)B<}i!!3iz1R)Eg!gvTtU>#NQmN`Z&a9 z?-V<{a-Zr!A#PZwxprCK5<aCBwIT<N)P=9aI&Fw)Uht2rNi<I>qk{jSSHF<SypJZ! zww`*%;##g0k*nYI$g;__Per3r=wQ@yp($~G8_t=6XF(3BrsvPGy7XFjO8tz4=28~X z=Y5v{Kzlgz)gzubG_9Ji5b?&P=~XD?s;S_nrFjY~YRwNC@k4IhJUj1T!K?QU8=R^j z0}VDd39md}K4$6lSBQ9;&El%B5b;)><>-3#h{xwyRNKL~msEY*JmZ?xZQ&_*=O*m^ z%XzB4C!tx$@#uP?oDw_7r}Dx&MR#F6W_w@RPFs(FzmiR=W|e%GSItz2$TDxb^;=M< zSi<q{W6o*6xg7s~<_P;C=y*7rGwc<l(|Vc3)x1jXaOB&qEJw>#BOc#{c1@ow40ew? zE;wbKz?#iH3*LZE_fpdM@7}~Kr?BFO$U(P@;s$F^MGNapQd;p#ujweCB4k8geZ^1R zrloqyEAFaAJlh6c|FHYJzwAj{&w%=C-7~Intyj$0F(F}TxsXYm&jzoF3tp^bSzSAM z!4Fl)eWSW8*>~Loeib&ArmZ_%aOxQ6wP=Tcf3hsnGN63`pslNQpsO!anoiwUh<Ks| z9?@Up9q{)(i?@wiK=8y${r`>ve-ftMYFhBkkLC0m-vD#>4R_QzU(I*faOgUxl%9IT z%XF6Be3gi&`QTV>iWI3$dF7#N#C%!Hu0NsITwuzb$q8$jIlbf^H=OHaNj<L^@!qNF zlWr4lo&tC_Lr!VMAEkpz#YZw%uUC)A;ct5NUO8fs0rXt>y1G{$uX37hi3{iyn>yZo z%{lEix8q;MgIwo@P3GjzxaPH9Ib)CYL9O?y8dVktv*s&n)QKIGDs7q+F1V&t*74<T zj%$?#uyqETgWaoUpE<JSRKEL$gLy2Xn^~k~-2#4YX6c^e8Sr&COLweiz+ZC~?>n9W zpW|7)dpWc6x5%|^KC_Lbv|Kgfoh{2(e$|MF#?VMjOnv3?(we0gbjH_p7T5O*5pU<S z9K9~IX5&E}pVd#yShAOLc<C!eJQrx%bX;gnxs~J3eBqn|Nynq{f;lD9j!zj6DrG~* zLDsF?Z?|mgjhd!c`DzhK@lCVj)goR=G~HS*q!X;XqM8$Y<tS)`=)Xe74~v6duU!r2 z94l-Jz2_2O;=JKjJ4cti?}lU3p_%mL(qQ)}alt8Zo*Vq8FL<+;<+ZI_z&*1ATg+}W zEqEl&`7B|JIcNrBLaSwm%uy%4RjFdn6t=ar%3P^GaY#lb474#)K4M1m70|H2Uk>i# z2{Si7)PAqJL!L`vk38S5&uZ>Xhh&~i0?p8?a~B8v0IhhCJ9tQD%Dxj$e8)iR@~6sg zn9%&fROgK2+wVG06z-)b@=5(qR%Aa}3Yx+==k`P3lw*}UXmLI}=%l9kt(GrDBThK} z;|6W#d*dk>6T_{rV_H$bpMO`JWqa18DY8GkztMnw;_k>3j$ikK);zF^6$SL?_Jn8h z30Z?23>v7o!U*5V*$CRnnUu&^1UfDJ@9Kyd%}(v0dpDC3`Mmyv7CWSaPQF?;8`Rl; zc(hdDZXI~g{q0(vCkp%QL0eulxGg=VfyN|ef(B6RxQj3BoYX3l0y?_<3usfq&-%>< z?9GPUmKu3`H0@jX+(6?cpQ1q<IqP(uEchh|TI*l~+QB&!wCT`X^U(br;Dg6!on6^( z<q><@iSHKZ-in!5L1#5hYqdO)YazWpi0{{J&@EuGpw7M^Xh>@FgyxSMK|@kJpizlq zhh(nkfi|0hmR>wA6Dyif)6i;}u{KeW{bdGE{yCYFXZ~Fe9%(gvcw}|roz2$(_PwA* z2LBR3BT_uvmKyVp9g^wE0-b!N$z3dv3EIWG78IU!pq;Uxy{2bw@vINxn-vW@q`Ot; z$%46wiF`(&3ogHBh&@v%=K>8!fKFx?2iX$^It$z&#`0?b`_kEwCl3CI6MMG6C`pn1 z;>_X?T4x<|y+PYuKv%hdwv(Q028CxJC_Ih0i!VeTa^l;>3%baqsa57leo`VI+xHC< znjb9&ZOb?ov&Yg>BOi43RoN^N=&9oYce7tD<V&&yZRMN<3e_V{e6v6kA}c{xvDNZ| z&J&y1DpT?sw1OlNbeqTVLo$2*yx;S3{eR{MRnc2PBOmbH9qk(;v~zO=61~mp4rr)# zIY~^Os=&C}D`18Fnq4nuaP+bGa4GWaICxCsuFHjvBo?zx2`xVZH5OjuG3r_?FY6tZ zl@S%Z?WCEjq|`+Ir9DPXx9y5$i;tgF^If<1-EYh1Dx3G;`gQKu_GL@Y|BAjQ`&WJK z)+tfS*VY6@{qi*X?J-d=_Se(E32O877rbK)dHdzTMyVbKiEEbNYr{9zy=+{({zt`H z7lWK1oxaE87%Qq{8EvE)lp%|z&-Fw1Q(UW#QC|5mUv|wa{rZUaj0>)?LCyh}xV!v3 z=$7=D-yQcB*B2vgF=(C-U3;ICv)t{{pO3RsH>@hl0<X7T9S^-pe!etlHE@^ir#08} zK<ljqpxa0GMM77l2mdymBo_YHiEZ_)txOU+3`?*5ngU(#nkaH`!@I4rmDgsMBcHhY z3%2q!ZT?k{Srx|@8%12TTMk~TUAhdmL1Fr9ZL>eel0U84{$3wxnRoi~vJ~*)w^jeI zcx3I_uiYH_mm7RY@T~`{vmhH@UatNkI(yIC>z~$qHy1}*=Di^v>g42aKQ>xcn4R?( zb6Bz}7PQEA^?elYu3KBxf9o2P#2H4|E`{IFRl&2Z3TNL-{`i<xVCAgope4*v_k$pd zy!CsOB(BvyD6i^&wvOqM9D|ai!%D`8bJ6QzTL#Mhe6Q;7JTKy~BrAR?=yc&4=z?pz zy50Md4$sfOUcF_$9^xqP%HvhgmD+2*%k?ffHl14`=xaV`@jY)HXafmok@r6L_>CuX zt-r|qtpmj?bejUZy4^zPNxyGmdYAkx1+9L*4?64osyX!B=;v;NJhLl{mfu@khJ42B zuUE0qHQvkgu6RW4*~y?3`ne2r*7@r|=&p)G8cm6JTklr&FZ=IVR>%O|t+Mtz>|pJ0 zM!icqqq!A=wt_dfl>UQ;to;3T>u-G6x%`XVThZz-atvO^;Qb%v=dME5Fh{)Smh$Yi z26+<fNH%}y+VJJyUuc{Cd=<CXz5c7+UicB|&D_v*Z&tR|?b4sWAV2O0t?j<bkL<^j zzrV_DHRk?t|9-!q!X(H+^0lx8xGe9AN7S7)&7o)CX0a@|5>*d9u@@Ydv6T+*FRl?6 z_|5>^iScU@H1Vb7U-X!DV^wqLTU(GmtMyQ)9&i8E#p@q^rFx6L7E(k$&w{RE|5~?T z;*}dqn?wILgY>Z_vp-!8S){$(Uqg^*cFldoz3%nCps0j~YqK=mm+Rdw{kd!v5nAmC zI_dZClJqZf3_R=xyUzD2Ntk_pxc`-HRxeV*do2w;@ZRj}1h-2`@$9Q-IfJ&UtSz@& z4O!n^3(lX%=UuKgS+9w-zWb{))VXKvmQ6G%bYWk8OI>DKE^|QETz=?oh~wwA1bL+E ze&tv7e?vZZy!;(3HrFqoc;&<M)D5fZgTMufbPebb`o4oN>}u!jOPU;C<v8!_zc%E3 zVRDxs3E{?#xZWi{MfFc5guabsHHcvFg6|8f%@aAeVdnb1I_In2_JQ<5m)^gQg}T^R z_L4_b%wK-VHCgjQ8JbxaT#171?c!d{(N?<uUzg46v%k3>++=VuVq40VV443n5Lzm1 z*3VM$om1(;w))m{(F33x3C@W^OO%h=Uqz+Q@jZ#m&(}gOjMhWbL)HI_9$8oZt2T%J z?FMgkx%D6lnq9t>{_67m_rkRD+V8s{7cZ4;25o!d=Yto$H$U8vv6=l$U#r0*^!FOj zR)c+Uy^!s9=3NOl&iKb)uf9?yav%Y|bpPKZsJ+Yl>YU$i+_4OlOTECk)C_7ZIG47s z4$XYY{NdR?P_pRZSpd3#VeNHTg8H3jZN`3nS*IJL%c^gspiLF$VqyN>{Z&-@pVn*J zTjxRc!Zs?T-z<ceNRGWrj)69;{CW-Atkuj8-3E6#7qmOfBJTag`jw#FPnRIJHcvkO z1#*IT@7(nhP3nU|yH3hMI=|{d?c534H}&t2+Ftj!D9L!a{^e?h7aj~sW~H5Ommal& zHi&_*7g(DM)!7=za(GvL<ht(}`+n*oU1(720gKuBmpx|fSj?alx*K$m{A-()kb~0Y z@2_2d<HJ+Ys{U`c!(Z7lz;@TnSuZQ;;Laql@m{#eB&qM9^WgJAoAr3bV7_GkB0Ae= z^%uFnm$^IMbHi?JX!eF>xw5@(m;R{9u37ar44hdvKx;&B`hA*zx%$dEP)QHli}9}# zmVRr4l`}W4ZVo+r7gRt*t%nw6;%<UGujdtY-CO+gA}BIpm5VP_-`P5U<;<jZzOb$Q zD+C*YUOx$j6)ea5wFP;k=WUF7fAJsktrl}%L({>v*_S=C-dsMo>R%$Lcxaxw{G}~} z1Yg3JrT<rd|3CjflfB1tSMYj}AJFT{OQV)-p8QzidBSsxzMZzkGRqaWK1oxb8x^FM zxWs2ksn@b2Ry$`-3bT@&yJgb3JN}bY!8exQo@BK0a*z?H^Q3dX>ej#B`TghP@^!BZ zZSC*B{$5vaSO1S|_PKeol~3by@BM)+2hsd<-%G4B=R;TKjtn8zgr$2SrzmGMEm9X= z6Q-)MuPvcBTm8Z;!=JWJ9CiGv`LnGqRZUp%<uS|aJuU%d*V0~_3)Ebx;^sWHoYTyr zW5J_97U{JrD{9ORDv1k&559=zTy@`n!;uLKo^`W$pPkeE5WF^X6S#kU(C$jCU8zbr zi{oFRgIwuCCVM6%EY;?ey6?2%)L!tSk8d`PAAQY^Z@K0nFeS!o!?i}1rTpp<&sCZ> zt>(BE?Hll~sEIdk9%LS0ygJz3tzR&tt}kI?@8cO^SJ!fiMLPx5);Fp0DX*whIv6Ah z?%Hmiw&0yF%jvtW0sjM=MCU1HNZe&Q9GNNS`1mNx>OG*F$UqmHy;vCRo+YoQQD=2f zDqc;aQu1I_Zquc5p*5d59B(e?yf%BI>ax%}?<U?d#T7rqntJ&ZSH!49JTYln6|WQl zI`qO`A>vUVbkXSN@4@at{(@KT73eM7>N97-J3p4wduJ~AcaTN9rendwl`Pt|6BiUV zCro`WEb>-p%{S|&NB%-<7FJ65owQ`he(MlWCErw91n%=6QcdrlYjw%G6>?5d%YsMW zIm~v=UGOQNQ>?OSK~{>kPxi)}ELXRyM<nGp&3dl@?%Jvg>lEub-Zf=8y_MtDeYXvV z@}a%g!^fBRP5I}1q2k&#nf;q&9WUB)hE-cTeq=f*C9keg$p^Wevn^q6H0LQhhYj~8 zEcmmZ(`?30-nQVv=%(Ijf+2O%2bJ7mOEdr8fGmD^&Eg&78t_@2#rv*%K(*{aBYlA@ zcU2lQb<O85_!rb9x`~CCUp?aGVwT=;!8M;un-+x&tofGQ^ys_5nvct&fmUQwx@7Ce z8lTl)%vfIQC~8zQ9IR>=obsRJAe(4YSG?+t3|+^k@`5@==8jkGg>=5@IDWmZbD&__ zjjjc0T?s4ya%9PSZ8*aT4YhLr`Bsxa<4C7D!hR?^9=7HTlM*ngo0Tv%Jij|rbguV? zTkBYkepiinY|EnBuO9K*r0J4rQ>mV+Mz!+6s&auT|CtZ6`9lx->Run!k(n!^zG9E@ zK_$tiRqxdz-dHrf0<CVUX_|FkDdH7d)2-O1R5`U3zf}$vZIf*auID?*Wi4cKr#)e5 zxuA*Nw1lajpu=AmKQHUMvd<HK?uBC0)_DpVdk-r4WY4|Hk~*2?^;_S7e{)%+Wt;;( zPG*sw>mBe@nx#9&J>Y9POZQjKRsVYvI;X1(K-Sq@&p-b$xSJ#Ez2}BATur-Jo1WS^ zf-h>{=Xc?QRMXTtuM0mIn?nD21$<F$x|-z4v;2oslj%K&fKLidTl-Wr_D)KeyBRuA zaxTuKbV<3W<4?YWY~KY#{%{|3(-#P-yURD@ni4n1s&|eXZWJ}WdaoX_$R*%&F^hMs zN5F5crqnz|$VG2zE9})G9&WW>2VK?OejBu^dyBQ>V`h%9N_j`VMe3k)FV1h8(EKAy z?Ad~gpfegk%l)q11)X~#!CiczavJE|i(8%fXB_jj#fm1}?`^e|cyru|ud5QYG?WRn zo8aIf85g}7A_o@-GaQuu9PsxY=(^0jBt`bG@t`Gm?vW=PKga8wan#ynye^3ERxxO? zev(+xg}c*REl=#7-YWB@KRuC;Yks04`(Y{2?W26~b1y*mW%h3{VBcB~a$`?yLGiN% z8;^pPLxS(;J{b$zOEMKS8UR}Mcdk(9iNfzpv1bd)?Lc>>&Th4oxO2dXuWNrwBA*-o z<_XQ8I$A9|a&~C13*uWfd$R%i#<>wE9RK?2oN;`VAXaqYj{<k`1goj7GFw2$Vj1;< z7OQ|Qy89Y*;PiKHv7!t09j%rcf0|omc+4YuR-3RdJsWZ2pvB2UG9mX)IPopA6nnPd z8>3i}fPHJLWkwBX^^AUEB45;X&|O_`K?jPTcjDVr4?3SgA9SMAGR=Eyn-4Am*#tS# zsoEEGq!TN*rH1_3LozOYX^QMuwYZA~q7OUqy}G{1fW7l}#0kgGj$+RgzFKfwX6y&u z?V0wrZS|DqcTQr@6sCjD@&b)K90~-j^O@9YsWJDg6W=k=1z=NGL)MS{D3Eo@2d$31 z^;hSKf?Y}?pOpTl3C&N=sP6D8z7PdkcX>KuM)SEM(4iMit(GsQf<~)+K!YlZ+?E=k zYwdgT5*68>f)0^7VFkLYd|s<%NA4!;JU_lyoS;KQO5h8ApEU-{mrk&n16y(nI&{3; zl)HGs?m4Y8U-Huu`M7MwiVSLcS}iqfk2~=llh!>NI;;8AHJv94yINW;SG+y%#P_Iu zqXB!baO4TcO`wZs^%4}>Uv32**1ZfAa^N_+xf_%izH4w>p0GcBNQP%o^#iS^3oQCu zEhTi*6xm<@Kal_Gcs(P}wQuoARsG!S(aV>nyjtSADL8N0<&%?U7s{lcdnm#8%%aaT z%adp6(`CjhlQn1F>7AsRxpcB>=FZqjYIAkwns`22XY8pv*XpvSZfnZE`>(6s{r&yC zJhuP!&!2JozyJRH^K*FImeXrablZQt^5*~lt|y|MQ{uNxa#b>pGwGHw>|_e~>e6&H zOekc3OG0NTi|K6^@3o36_D)Nf$;(oj{_fYMc=<nx({48{_!rY8`fZ*=_OsK6Sp{mG z52psZd$~3pOBY&GWaxNtHD}oF)&)OoS+Z^10zPFnZ8f{ads$0XJtCdY@g^(FYh6{1 zdKvI#1A8VUEM{|jI-5i6C9JXlja}#1I+iXqT&rrPzG5fy!JyBaS<gA9Sx#H<j;rbE zIrSCwq6fLm1x#XmH(YXN>AfzvM(C#OVNI*<gspEmyUr^|JbnPJEI!Rxsl4_wr`LS< z4d<q^q(-y6zUvBJur^O=#Sfc<ZnNJW{CN7dkj^G+$E&I=-J%?;=6h{8vXjMhzt4s% zUm=%sHi8=^4=3$Dyi$4Rf1xY)OXQYqJ#?Ex>YdkyON=bN{b~_UlUZEPD@LsK3;1Kz zWLoDF@Tsn8>pzcxUy)6vW;cZoYg*4v*gKc=R63`bMHXbPB<9bHD-$o?WSP3(Wy6WB zETQ!-8*bFHT>bB`;mC{y&sINAj`Gg+4X9RcN);2nVmm2eXTQLe^#W@?xI(VybZ$EK zU1-fG=q>P*7N7T#UAZ?)-)HrYFqZ5k9A597H(V2BS?aG8k*?}^)0^{Jv}?dWb{6S1 ziYqJ>Ze%b!J`LA9P#|`5(t=mkoMO=)0e=gcRQ+Z^noQ>QmZd78$}4J34;pP3y0W)D zVds6pEA_JyTEkNe*0vQkO}*!Mp@Q+CR=c2x?YxAo{sJQVI}&==3s2cIGhwZs<4bqW zYmxSi2`9M}Vv4SPJGSN8?Kunn+~zc!;UDlZpsBY{bw!L?#1p2bRq@IZZ`_(**(*mp zN^F`{uNskc%!y|?=(x&BETzu{b;>y$|0Z*U{Q#|^Y!}e0thje&;-zp-sqGx#n@sEu zX4NZd{9`&OwLbdD$H}(^!JC7cIj(66OtI}v*jvnbs=hU$`8B83zm|l>>>S`LOrArl zv31A39o!;zyKTX*JQi;mkATm$EZ%dS0)ERhrLI$0Q7wD0NVe(Mci}Z3)SKGQ3$6Kb ztJZK1==`&rtqWfKV_Cg-`htqigx>SumB|k$O`h&An;GjJ@Qbgh^qsQCZ<T{p$xWZu zNA_gqZZLH`S}vTkWyXR})f{4$GZ(yC&MCILXF=8EgwETXS^v5cR;qJ=H*y~Pz1JjW zLkg>a-p<`umv9^?datx%KmS3l%BD7T!8Ijfj*q=L!+wf6UcAi__FL8Q<8jVwKiC~l zW=sped27;wFMTYpbyPHV&q>%T%yFu|E1?;-`}b(RpRD9vuYjN8EZu8VSNtk(I-2&b zKQpvm_@GcRXI2`=v?#ZL-xW=%=TyK;Vec!fs5d^yWv`y`L*t;Aa?`OghPKV8q+u(7 z&&{+7y4}6tM^KZgolC$co~EsO3L1A6BA&Z7Z7LUD^WDJl=YGK)gU1d&s~<^on0X0> z+?$**QO5BqzmU$hrUk#Ab54Vuq$wk!XtLk_D=+&>&<On9^h7?R*AXWizq5)xQ~3T% zHD*)uKhQ?MM1IgQm7qGVwxLy~$3HodPb(C3M$#nE-iQ8HnIrF$68WU|rz*0a1Z|eQ zRt&mW`=;X#%i;?+(;!PO-(PVwvjrXK#2k6T@!wz2B!`GtQ2=P|zrn7iR+%aLPal$T z0nI90y${+`pvY~x;(vRqrAJPc!MY&6S^24nd_lW6O=$iGx;gz>2I!{pjRx#HK@F9E zPj${XKC}ky@(=@W?0Y}s*NWy#>p`ROo?_1ye99Lq61e+BAZDrM3RTd7Ug@BlDC9v` zW*$8xvjtS0zT6C2B$x_nE98RO3fA0~C%%K$g$jeVyy%NPOW0D#XRglvbo&Ma_K9;r zI|xKUR)u^ARhc&?f)2_8ZS4#Moj#?%!GL{bHfVXM8EAQ^KDXryyK{$Ro`6p1`gPG6 zbiU(<G|<f+6I(4cK>H~kgFNum1vI+>+9;_9+9(N{-B@f3-+WPY7POn>8tCjP(546D zVolJF&S=n%&Yhqgo#vp^r;a)Cb-9AtU~1f!8lWS#TtM?iS3xr}H$XF4|0F>7q|9oS zF)_E|F;C|UDikZaFz@gonG`Xft_KgbKo^d`&;$ACP9bRP3mbQFz>W#6GCa~cXB=Ou zfvzl{4LYatkQ3jc*O4=tXH9#k^;BV>C3o?K8;z|pD$h3?u&<Q?T~&VikW2|^7VXVX z&~6ef(6t_ot(FpN;D>H4_yIb!v<GxIY0;B{n5C8-XOa}zuf7GHsA&V5+?WAc9SYh_ zvV5}v`^wLt(DV{}rf?Z_0m*LAir{9@Vp|dJ;(&^IpoUlUx!t7!w?IpRFN3c2I0<SH zGJ*ETWPomj&sx5z;-mfVKj;55Bwci5M5^p7_r8{}n(6WS%1OT^Qw^-<hD};Jxh>`7 z*}lgH#}D>B-cWpJPUjYv^q{CB<4IyCr)-&Y=C4Ym)m*i?QIno+Q%x1por~z$&v{)H zbN>AI@74S5=iB?gUDCY0YtJ9`d9yz6uUD#cRoV(ZyrDPF>}a_EB8D}El8z@|b6(rk zz2J*gQ)wGZ>UH5Y+kYxb*KL1rTx-8B_<Z4a&KoW&HJ#Gt5VLGwkTo}9<7<vp_dPcp zVHVCfJ0nM@<wJPBon@)YS0%@{Gb??vXIiq9J{QuNuDW9XgoIXMPN{jW8!jDX>0PfH z@wBXI(RblB-z*$I8XlCIt$U!rE6;huwRJ2@zbi$gAB6UMZaximuM%zgBrcRw!0UK4 zn$s-GJK$$vlWUy9id`oc&bXGenRC^0j%hRe13n8hEuAKK#cp!KOm2=>alRYwoMriX zU0{s?v>xN1KiewkR)r$u>M3hMk-L)<wl;Hg>8nOO=4qN_+O&0@l18oYL96eAQ)*`< z>`mu9wf~a=PkOV3<I!?~oGt8*Py2;+irzq7cGcfUwsWq3z~9#_-gi6#HhXWl;>@yj zzGB2NvBap-?P?M4-m-ixSC4p@%)&ceU`>cxMvdG-t$1aPD&~V(_KF(+6b?$&&xBO5 z>gHB5m!q<^n=W}am6j=M?6yBx)y;WozSo9>J6S~cdu<5pUht`(Q|zU1$Y0}wN&12z z^=Ad=m~FImJo{ZhXREy9Tc(3X>d+H4@5I`cs(cr1`gL7+%?IVCw$~hCzl0qhe`Q&H zglk6F#kU+`)#i>LYdNo3%vtcnt7+>wMU7g`gI3oCr@R$d^PR!*r@c_lheyyYd_P_( z8?NnrCJ<65eo(1eIK;LmVWW`a*Yg58g`AFO^96NE*&W~h=A2g9wBX&0gq`8K#|mEM zJ8w8-%_;THb;G5FEWOi(*L->jZ8R+w_my2~%Gq__ZNo8r4lg^m4cE9?mYUmgU5-jO zbG#YLac#DLfSvz_gGNnV^ObJAU}`#bUnSy+7<d%~Xg6oQdW6!hl*0uFCpmLWzf*H~ z3CDpTYvC*RCMV2n=6t268u4y2%hzlc?V9EV4}Dp*LB}^9W?B8GcfpIE#g$XHUKbX* zKQW<~$MGaH=QXQo3%<N$c|8ZxG`X>IQ@Ee(%ex){|CX~z+qeW2x@?#z+ngC%CwoxI zS|Fs>{$LWjK#2XUgwD$xS#|RgR<b$1mFAeXbNYgJ%`B(?PF?WN?Rb)f_MS3Gi_XT! zPgZkp|ALSISXRrp29$_GmO`)|l)A61QOSQWs<!EpzwnyRLXJ0eyJhxow{`saT_|UR zyyH=2PBW{S3yP*DOgs&(V%ODy&PdH`dbM9I;*n<4tp92eS=~!#guQ*sG0ju>%H8P+ zJC!+JtyhV7xS54lUo`@>2Ev+U^`EW<FD4{xtrrxz|HpzSz1JMN1$gr3Wqn(|scG!( zNSG_+cylx7wcRZX{%q$o+tIw>kv^wcRnvk`_MBofck*0bHA^8PN6+yqzp&262@9Ta zvUtyR4fw6pl)7#%?4GmRS0={vFZh?tqW!0N!NX<8lcTivb}sm+$FjPnW5ElirmKDG z8gYsdk599xu2+nBE!K1?oAcR%&m7#AC+yB2lKHae^hSQm6Z=8CGTzu|HqGA5aA<aM zz#q^Nl0AH&RR)hi^Y=4B^Y^M^&lJi)XH{;GoY8zl3e*{9<}RL4)z>PsC4ElAY7_RE zp#2EDLAP)HX5hAb0Xi02qziPtmKCVat_0f83F-ycS-?&~R;aoUI;*k{)O|a@$$-5# zd``#eDa|Q!S}il)g8G=%8w}XzGDn<n{3ZdahowM$c2Lb>5^=)uBfHL-gD*fAe*Kf> zE*7Y4Yn2HJJ#=<v^Nnku`WIB$Zu|`@_gMw(V%X2#x#BLnWE$v-buCaeEXQs6BJSWJ znJ4?sJMsPc4q9Sxf768KhoI_0a}yJ2KY=c2MFi*?@)C1UmpxDndQhcbq9XfA&=|$F zr#ep*?t<>DD))y~m?!?FfsQKx@9L}vH5tIWOS?e3OWiWXiUR&|a2E?`f=*Td-5mx# z`!gQ2lVlxecLt~?yJ96)bU_ZZsq-sn9mG%19hSutz}q=NCw{ipf*KpTpsHCyZx*Os z!v(rp4O9<bi9F%>1$3)cnL4*+hdpSG!937#jX3D+hclB4rxr~BRqiFvHce>GnFczi z5_Cj3=$wXwpm?h=<SsS<U2!!9bTgUKcesyM*x7vzWZ!7WZMh=9jBDK`85PidYG-3X zcY(#}JXx@}q1Ez)PP!udT`o|UeL}0um-UH>d|aS|tRF^+JzMZagWFQV{`?`C5Hm|r zb9MHMpsUz)k2>)^`W!i<`IsWSrKU0+w8i+fk66)!eGRRaFJjI*@oh5SY{0(PROg9; zJ?PG|^PpoYj;$`3`YgeuyH#dNc~T;uQZ;B}j-$>Q#~e*=%N6qv9+FW3E$FBe<u2av z(Ef+-->>n9#ri&jPO_}+xf3ed_Wu2x)qS0d%#ON5z7XT-6V(aqX6BJN<vv|#;Uq6< ztEsN5{Inx-k|hr<am_e9X_4li#%pUfbZQ9O32{oWZBk5Fld~vE#K-0O1_{;0j6I4k zBFrydvOcev|LD)=o9Xkv?|nWeXW7;#ElXdXNdMP0H~*i9wC>Vt9i}!*UvX@Hw(8?z zp#$u*xgyGs)LjOhWSM96>H1>XhHn$^_qny1Lyyl|Q?}pj(wX_C8&=tcC^Ia*7P0Nm z3)op!%iRTeUazzBd>?o}iUDz!RiOiPrboCxQ$_cWGyCdW`M)QCt|omw2R2nM?`n|q z?b770a&KcnM`%GO_RIIdZhc)jKTD<ee>nT<DsQ_r-x&h3{u)DPdy><qakS}fvs?82 z#oZu>JMfFI|JT80U;aiZXMT#+PT7#PVGVd41Ft@4CK`0g*tHkh*Y1>c*}QIM1|3HU zy=+$AZW(ln=~lOtr?I?6DBJ2+%f-O6j>ljJXnq0Bw7(8NwK;D8ZlqK4jwwQ|_1&{* z;+BF>nV{tpo59wWu7fT{INz@%VYd7G<4<e0%WE_s4*mQr4b%HKS~>I2<oOej?si@U zoutayy)UV|e9ffvz1NYKUi^23>OH&n@0Z2JA97~dY-doqny?piEqMj>*5bWauZFLw z^ml%5Xc5BDYzdngI~)$384HW9YP*;GY!XvP$X9*PWU|zD=-fFtYV9hf-CO)G25ADk z_b_bbMZ~=;)jBz#)AjDH11+Dp16o7@S_A=(*^k9v<leR-&5c>V|FJ~}di(mX8E%(; zTmxN9z790M`o9)BOL}APuP)txZ({bkzrPC73SE=%efqj<&^gEQX>OOEfDW^4z70Al z^sqeCwbA^qwXfCutJ!<}K>^6M(3KbMr}ZyDbcXHrP|kcd4dl;!&^i$*f9O;m*q{5h zxV;Zt?|VWIKBv1e2$qDWXRGMSXf$|S-4_nJw*BW$XtdOc9Ncj8`n6ZKw~oiHK`cth z%yMVDFp<F}=T%nkl8?K&6@tFXftGrFtzYiOz;isIM84WFe&f;qHE!=OT7MKmETH(? z4UH4C^0{u8o-nfttYiZ_vaKF8*$GO#ao57v{5*I5l`We#cg5@9$t)UY!H37zRP2P# zKxgeXos{;=DkAiME9f-KW3QoevM)h%vhU;9e_t^_7AealgO85mbU32+4dly92UmUL z22FHYy)6E;UKV^@-E+`!sJ5BS_ZIKn2AV;Jp38UcI?T0SWqX%=d|t9))%Q&xEC1~_ ze+8bfERnZf=3<cZaf|jBxxKtddHj1hv}DP7$1deLnHzN6XKXLSlv^K~XMcgLq1fK1 zEy$BSZ*$~(!y8Ch{Aa;_m_Pe7RL<^M)g1cu)#pvQ3|?ykp-V;l`;{cF?X3uUA9x>p zDYOc+hAG48%Et?!8r9}q@`$on(;WIXdEKRI292%fw4jOak497CX6g0Us<(VcJ}mL) zLa5GVbt@;D#NAeE4&D10yuvA}ek(L7ys@c0Dz^WJYUQ=+?MN$}_WbXF>U~zXexga` zo9U?=vI6uQ4l)H~9rlK<tXf<8tIM=JZ)$pN!3I#N0zK0A@N#JQhDm3roSn177gQjL zLkgrcsEfe`((H8;)A!cJBb|ZSn+}~yHv8D-cInWm?9>gbYU9AGK3?a5&Rk43*aa?- zexH2{Dv*$uk$q-|7An3sOWmJ8xM@;X#^|!@o)u^@!+%&o7~vkj@#y<^7plL^(?h;} z9aicWzrVTi`;3@q&|$Tay$lj&cR;tdA5SRBe|tH6&CTDt?j?W7LC&T5&^1|W%Kqr> zJ$?qXrr_&(aA^cPS{Iy4|Ls`(eZ_fkq!mCPq@ic>-Z;Y5yQEc@Rbb^US&&<w%R$2k z9IN&_1K(f#mkqKKx{hb=_p+~$1rWZqUdov@?jT><fpq?NgzCKE3@VJWw%=R){XBAE z)PEm#ZTq{L-X$k(LHQKy%(i||!D1+JH`@P&_O(Bc&A-So?~g<}l2;oRrMrEUGoO6} zl|_;uD<6YM3%13-!4bOu?bYfl_qY4MXM`<BxClF!^vG1uS+(2>L0jcP6-((nXrhP2 z=KAQba&Lu~eSxoavlfSjYtAdF-X$M@b14LUod;U5_B9@)J=tJa|6kAejYs#yzrXl9 zgE7ChzK3BcWIfDx{manRKX-VgJSX?RO1Sz!60{!S@O5Y{ymtR<?Q1cgboU-#0M2Nc zY~V9vA5MkV53BF4nrQNQ3t!mP`qgd>UTZhPPPmnGHCVIz4c}h(zlT7<3SBxOeH~nG z{=5IYe(@KhFW`X?$blE%c3wK6^HEXx@{ujB%Q{oL)|%=VpUU|$A#vHHnTcr=b=xm% zo@+N;E-c?Zvuj#ssvGFwE4xcZi?2zo-D<EId<=%E@1MWtDvRItS3lo#?)UHA=Syq% z*WX)t`&qtd<;VEk+p_=u$E&ROQ2DxPs*cdikBe6BO%h^Fm{}^Ek^84e&>>*OZ_vRD zZcnGz1x9>%%%c6q=|TbDK`(Y;@Z2mbi|cg3HD$bxAEP<1y%3rb<GlfNQTf{xp7gnE zIZwUw*>EqX=@Yw9P66b8rF9!3=2;m<c?JB;YI4m}Tu~(tzD(KPbHkAy))`@E*K$nr z61p<ab;FsMrd{sBI^{x+e^ptuV>~ZxaNTgpmZdjbc+Dr!*f)3&32(4_R`i@hA0HcY zf=|0(WXTrg@H(#?k#6XCQ=8-3?#=~&Vp*iuD6RORanS8Gr`B=5qXl9&I~Tl)W9feD zAMn?sN!4!-Wc<PW{5-2kyXGwT*2{9bgyYpZm56uwEMMoVM?BohZMe40T42qWyryH3 zO`&$K0b5))+?vU9bh_}GkGV~g%7xZ^%?4j`Vhp|c+H&{H%o*2U#}xe*4EdvS&`n(+ z#I8AEqBrL%GfuJH%?p05=a^P8W5F|f&S|%vl<+M7R>pGrjbA`{eAClUEMNQ8BOZQ% z%-MYUuxO?7(tJUaeRC70#tVtmb|h@A7ZB+WGgxbS&m*A7ylLw_|A1csO{IOx8oMVX z?3Hr-nJ<)6AnkZGULa>n--1u~ImK@N=$YQeJ#7ucA=U8v2AWJ8ZmeUuYRuv-;{=|O zp6e7)EqT!By3m!qQxkU17rqkjz2Q*Df`z(|LZ`*~U#LiL3ccqZ@I|5Ns-1VhpOhxk zbF)Fg)-+e^<_xP#yIL1~`OETpjiSbHk%Lvn=Z<VSwZAW+S;g__ccC1U=?gxkbBN9K z5BM6>bab84ihs3Ds_#@++*FTv#o2UAnq%6{PdfXaFdR}XPxtF$0H4{&F0kf9W>ec| zj<8iiCi|KaroI;x**iO7>wQ6y`ksW||3Xvl{K>hqc59iE#$2_C^!lbv?t*K|l^lN- zbC~U@VS-$w-F1JimC-AKkbk-dm7JSa{a1|0*<1`d?m{gh$se+rfure`YE$Ytr4_s9 zB<y@IaAp7Ggx324CN(VyOY4PA?v)s}ZT3onZq#@o7wn!TucT3D0Y0^HXGg+XZ%(iK z4jazNvZPkCytefT_!rM2ofC9)i`Kr*gvEC`wdyA%Ogzl7s;)6%BbVdXZq8{t>L4Q{ zcm7<wGVw|?<i2vtrd=0RXIv|~Ep+96Z$c}-fQikNgr(<&P2xN@oN8rpU9TLm);Hh} zW0UDWmw-=^O<T?E#1Cuk<vnP%8#+Tc=WhBdkM{yipIn=G{S;SJFdlTfE)?=l@t~46 z$Etr56E>!EtlFQXxooS;oCVKrb55J(9PnGADYZ{^MYYkvqUXX_@&(p>XojV>e=C)j z+6$P}btQ<-ZO;_FJ1b%9c0tgAux@<|o*1%ZpH<h`J0)T6YK~L)d^X(M%ks3|f5Smx z7E$Hr$rjSNo&i7CvUH!B1sxmtwQ;5L#>Jeg>Zc}js<N2w_uCNCz2MtjmeXt0R{WMY zSoB}{gk$~Z)I06XhoU1+95gt4NM;J?EQVvCt3IZ#2kqAY9UAb>6m-x<C+MJyoFJC- z2bnnLH#a|7wX)wTgBNt(g)MjSg`01fK=+lGgDwHD-ZY{4k2|R6F9@0}NCgeRi*Z|O zTn8PJ)C(F&2?p&hnbazC<^L(rk&2*>|Me@Ni>$XYb6aM-KX^zcWfQ1R3_hc3SG#}h z6~}kppuHuaIhUAYPJC_V8w}W&&fhShxv;<0a>bw4RvDM$psgiW#UFu2;6YBRQ0KPv z03FA;1#}$aOW}wa&3>(*o1#GHV}Wmq>IWT?)C{r+JWV3<-{xx|`{L&jCmer<ia*pk z>zHCKRutg7(SUs;Xvf4~VbB_g3bCRKHodKu9<fK9_-<X_Xuv-6cH{}i?~G#46wDJ8 z+5dvJksQ+2KX&%y!2)Se=bsOBL}h!c<%*gb$lMSAb;mC|R`y%1m<zhF+*It@f{(nQ z8{m6E+crS6_NAa%dr8odpD%=BmRi0z3cB<|T<lqbL3^u=2!C24pBw0o@J~!)&lEQG zw915lh8vPV!wpr_TP;1-%!Qv(`SS~C(C1AM_%fBWL_Q<y%@dm6<$$(rD1Zj24j+;c zIR#n+F{RZ~BNns<0yNq%^*8ALchHsa)stFfj-<!5%{FH5T^n)2(dgtMnJsCcbs8~Z z&lVJa0gWz!XBFOf2FsUTh(6@R_bGgn0edqj!tWhEB-0ZHT2cZ!*Cd6R+j2$T#yQj9 zLhmb2OXL$`-!P#$t+Cb8<7~1b``e>BXB?k#i4|Sg+X=d;{HPP(uhkJVnh!08#}UtU zP-4&wJ05!KU<GKm&?a$i%Z_*F56N5s-S_qpG?;VjCg{F$&?L;RiLEkAtU*_ksB&9Y zyyXA0tZom(J;w)(;A;K{cpc;1>$?}L8cu9mym|7aAoa<!r%jr;Ws;8PY2Rr_R3axW zn|#K1ri=ITbA5*mk6RwUBU@~7uFo&Zv#iM6bJ`NmO)6(|U#lE~EM$B?|9+|My64Y- z@4ol`{PXki-!A@oHgS4g*5+q>{%C{tc>diFuI492=KodUa`@RRz7Mp=^Niw(9oz@q zBAZUN3+V*Sa>#BzIX{%)V0_@$o|VcQSy`^GSBQ9|)-<bJSm!H;<6FanM$)kjnOEof zZn)#e^7Xr7#KVN9w&Q|pN~9bg|72Nh!U?{iQ?Ka}zwnxmcUYQKLB|^Je5mA;y*8J_ z>%GT@bN5(MKeN1+^$z$K(!_gCeZ`JB35!ixroLB*c%s;}>b!uC*j|CdEBBcm6tWh$ za;H0CWiv;Xyz_=Lny_VR*}?8b-kh)2t3^CCYii>cTJuH3@$pRY#HiIdo&hC#2eTxb z9<d9pDKv3BS;>+;*FB($^Ptsgj#KNDBi=JMeNt}X&H1Q(nAMw4F{bEa?_?zg>0JMS zpUf=XG7bS>xmmjB`UL!~X7M)R$cl5{aAhsa*7xcW&p4ZQ8S6a@eRj_wz}|Diq2HWR z@BBAhdd?{|-(|z8a_~?!i*;zp$14+WZDl!{uNbk|Kj2eg)7Cf@jlGf0kIT0yYwVUj zSoK?Q%72-IZ0bTGYlOgeHkz_@-|-3fTF%mK>lW~LKZ|!x6=!@A!^26l^Jj`MMriqh z&+q0_Us26;u;{)bcqVBzr&Jk7*e_kj$L^eAKlvRm`cC_xm7TAqu}>R(GEt?(!6?<H zOX)&uHYY%9M9A>IOTa&K7HJ!&fRFcCq;pF&mxWq7Za8s{CDh($!wp52tNVR69C^uN zTJN&q%2SrD*M)R0E3f!%aIomV(u(~LgLu+g&7rIN+O7R$HETN-6wXVSsxK(AR$$FH z=cY%~1=kcRJD#-WyjIn*;LB!~*Dli&qf*la*KB8Y{K+qrQy}1YR9`5kgv;@%ynxQ8 z9BB2LRsG82O<B{cdZma*nN72#b&hYDcB^Z_x0oi-MV-|O2a8;reu4J3+BqIpWzoLt zaG^r>pjN$##xIqFS?hQ49M+Umk9aIA%rQ;IX~&Z%a>4FV>;hBlx)SD2<~%jedqZ6F zf<Iqbr0;kIeB@`5wsj1M^!3S}sLQcx-`s?acR5!*2Or%1>^kQ(tM&!olA2P(pq1;L zy{WG}-hF5JDz6@)SY)~EYJqXn)O&6hD$JWg?fe41a5P=r=N9lMr^!@LMdPMY#Ot)C zOWy_8e3o#$Db8{2_7^U{+4b57*+BC@;Ix(;?C#bs9P&@=pi;VE$liv8jiM}9>-{zy z*~?<u&f;zBA5cA6+i-2_Hq{lowGS4_tFEY*ILH;Rm{Fm2(5tlRSh&!dPn%(rN#A{B zSN@&4;73)HX<WJJvd|*FgHhJPQ_cykDdupz`Iz&X_1p!2`Z>*Z%vtcrpVRD>V8}o2 zgG$LwtJd$8Ivkl}=J>T-SZCvTNDkfkbLL9rm5({H!a1hR^bh!M)bzB@Dd4|fljuLl zaj<clnJ#D9bSF#|alE*fGwipC<HuyqYd^#sPksiU++D?c&}ut$3T}?9kL*iZ-++Ht zuO~!F=eP!ZjAbb{xHY*|W{UmMLozOXphE!jHyN;Rl;XBr5f7?_LB;g5^`H@L&^+>A z74G5*GjAT+d&1EiG*f@?q!ZsS&{RaLzhBpb-bjXr(!~ZgS+5rJdEHM?WPc2r3pk|; zT8!4!YPq5mbORG3s9N(EE1Iw+8#KYcm6hAlBmX?;qRw<h_M85oiF)P86OQ}N9FpNV zm#D~o6m+uVMK90<fhc#e0Mf-*U*&`4O9f_c6bjd5|9UoZMzhPLRvDA~#}COY0iB2S z7IYrg8PLhm)n=gK_l{PXFVUa{5Ys^uFY=&?7i(@yiTwu-$%Mq!%f7$nSYi#D&4?2# z61d;rYMCJdpHT3a2fAZx?dFIRj#Yx(#TOzEg3hQ+NaU*moznT|KB!IeS?9?DiQRJH znb7T>)u8F2eV|rNBxrhw3ADWvbdr-!iX!`2Q0*1c-YT=@J!s1$_%v+DqJnkvf30Y~ z0~*FJx-cJ9373IZcIt2!2Uvk_J_RlI)Jg|6z?j6IEhqz>x_$r1AsLf*X^QM8K~1Y` zGg@VqnD1gVPv^4&-H%qL4%#%y&uw`^9u%N`v*9g(id$FQWqY1&p3q!08FcCt=$=l{ zEX$3<pgAHL&=KJUrl1uipiQPLWg|~GR_BX7Q<w~z&Pa}&(R^nmXyt<)cX2?(IVZlh z?1&l7mt=LGB-ns1XFU&JCeh(`dxuwX!0lPBGDpf268WU6H%@4N0-BO|Eg@Dk!LGH{ z^2L>i6OLt?+{G87K?j6y-)O+z%pQ5d@uQ~Lvjmf3-aJ3PQ_-NyS$FC@SuiUxkuQmT z(}d<<pu^Xa?tvN_tl(*^&&%vyDQs<NmDvKiSZrpg&XWbd8MuolNN=3byzg$uY7=(- z|MmC3od3^YDyJh2+TL0JB9>M1*#3R*r`cysIxLfG9`5@kM0o40J2!J5>4;}#Z7Fyb zyTizOUCBeQ{5O-<nFXDis9gUfFU$AVO0!$5ZqB{iB9@x6WWvQ3>wv@}sU=G$Oy8C8 zt@ix$#_8wm#qIW-|6F5HKiB?U%Jn;w)Bk<f`StY8d8yemXPUg>FHTSEtDGy9@Lrn9 z;=#V;+1+`frtNze=c@P31s!a4o}naS<J%oKyVIYWEQQ=M|NS}Wd==1j^=27X0-OIW z6yB8iF^a)z&7F_OAeWL?yie$pXy^c6O8$76^RmUtpEqUxTqc~(C>s{a_{ER$j8%iE zyxmOD!6*m1lu9CAuY57#<(H@C>6c%vRZqQKRS&z2UJ`8Ai7DJxYpN}*Qf|$(w48ly zIs1acUH4&^(Z5{`K5Ao)hEqHER`=(+Czc)k&3qy8X)yfO`>WuiLBd29LT<h17EinU zsfnQ^qST_n2jZA>ktWezM;KgKK$qHQpY7$F>36;&+H9>Jw?q3?cV>gv3~8(pA5VHN zPYY%cWCnTu+FW^AqqjQM)n;qg^EtGOPKMq^zi00c*_$E`M;PEP3fDWi>?r79l%jW; znUJgK_d-q?0V(O7%{Q}8&)#<SG;{U^hgbbfPYGt&%$IPq{WSPAC9r$uipv_!ExSL} zclCPESvN=DpM%^*@2__XbbwC+=y<T*T2?8yBKMojzIGqvob-7!A$QT|uLqxe1yYl9 zq2$Dt`qPm&w^S%F$cD`;FEe8`c+C*DXS*Kg=$!^p*B2WKFDqWYIPH7d<xlF2FE(Ud z{k=)EA(DN=qr;HHoxsk??ckf~_daTx@9IR*L1eXg5MydKX~K=kv$smQRk>lV@9X=l z3l4Yr>z{|Xc>DVkFc*Vev;Q~q%`HE?L8<4$Nr;l~?@q#%+$lLxQj*|mraPb4p*{5P z=gm;Z%!SAKR=GaDnSS;4j%I8B2{!Ppejfq#_xv|!VaBZ4tq*d|FXx+E{*^OWt=aMY z@J*2eYnUTGeoKZM1Wr8jqo?|IKejXCezD=xLxx{YjNst;?t+?}#r4lEdm62Ga@o~= z&`7VT&;WVeVOh+D#I@;*oR?kxUt=;m?EM~{+1L76CqP_1Ss84Z(bFcr*ZTxyjo!-q z-<T6MU$lWw`=5vd#Pi$Z5uOLf)~Q!HQ8fk(vSD_@P$l2f><kfURoYzENLF{J(d=pB zpyVUY1Wl?B_n5*{;a0yMP<sBCVzzc2k3;*_^(-(KwT7p|({rqdtkK&w5wm??XER?& zJZd=KYcpR$Sc7Q3IM~^sv<eO*{heyF#mre396lv{Jr$Bxt51VZ!~rD^uzS}2^fA-T z2Sr);y0Z|E@rQ%Y-T~*FT?SSuR)61=-rVvljKOM+p51gvQk@?&4_+jE?|LyIGyU<` zn_K?nF<7m!D6fSivORmvKp_oItB#iyFaOJpKe6oSI);*n%7?trSiScPHNThVomlo% zA9Tu=X#5$dbMoQgyyj{*C^_%foE^5G)1m!pws{)FSL)GlU%k%j<(pZyN<aPbuk)Z| z>^_^PL)6^94bP?0CbC9v-@i}2oFvo0r(GQnOU`m<VCgUioSX}S&2<0EHSn$8_6?St z@4`cP>o=EnpXL5_rnAHTb2+qMy`2vYKYu>(F-hR?t2MDoxn;S>a`v@<TO%FXMfq7T zh;xB+>D%L|&XK=6$+vs*wzSJd{?H=zUbPh{{J<#{TsDXApXhr!IRE^ztBaxK<&O_L z!QnTv$gzFvyneo!e$Q)+XNSE91x`OZEa`~DZF;?L%F#8(_0eW)Z-c^SJ=B<rYVdhf zpaTBJf|nPp-|Fwvo-HO0N;uD%PeAhDy^puky*p9rilz5YE_(_(j_Rs6<BU><%}i^4 ze3-I(Gw2jRQ2NT&1r<Ki@6YyKoeess%>-J4&)3<hKbxsT0-P}3WnNUgd~Vh6&6%~% zpv05tJ_~G8#qT*_pMh!uHs5xi->dge_wDv&e6gYEATuoSbitJz^KJKWe=T=v+0(b6 zW39Hlhemq)bVOY2GO<dr+FO`zw)P#LL;Ka|Hglk9c?}|gRfm?G*mC)QqS@Mdfd)S9 zbN8o0)a1ucho$!$3tmph{NE>^dO0bd!D`K(FUMidIfm+-?{Cg6d%7NU?pJ6vtZ2Rs zPp8?rpwh7XpULd7dQeWk{uY*e-oaDhn%%lqDYtHZ-<%WmA9TuA&CBOdyT0|o?E;5R z|J(D+j)Kl{+xc@Mv}RuquW-PL`O6W5+0*nv1y3$J%r$=ziCM0bZ>AsrU#;0;>sc2Z zekHpYV#<#v34MG1o!|Gr{vUUrXI2zwtfTtIty0P3h2M5wJ~3_6GS9TmcCneNX_C79 z5os%KM$8P{)^=RO_~f-iXOxT&2TzPlS~f8<$=mhpk;_M3%FMgClb2sIRqbZfjF}bZ z+EDN1+6lRrE2r-4vWe6GU)^@+*Z=>n;LFM*PAW~5)SumU8?>|&w4drH%W4_FfG-hE zSN)VV?kPk(=4zUx&2g=&0leHZEoOJ!zH4pk&j>jfbZ?sN+r_YEx{AhrZSbm3Ij;>D zlbTK~7tkq^bG&+*LoC`aV6Xp%BT-GW^aXWHfA9MGnEmid(A^3<SxUdFN4!e_U$eCW za!0{>*?GpLOG-2yAE&acUZbY*%i>^`zJSR5Qrl%)kCm~gUS`RD>mE?0c+l#&z!clg zguR<NPsRIeaBN@jsFp?A)-B+tWs|E}<f-X04m+N_GMlW#(EZjapjN&~RZQTDj-XDd zlH=RWkR!@94;FnFx>7$Sp*5XD>fD~j@JKHKlY280ruGYn*mfjr)fX1AZ%pW&@1sz2 zl99za^wXl1%4=V9czx%%R@t)P&1II?xmONuIkmqvp?NNcR^5z*#Ws#l^#yf;6j%I8 zZaS)`vZ9XnpisHsl|RM@L3=g(?sYuW+58l`B=oNA^1df_E&=}?nnd?`T=-DYG_}s< z!VjjV&|8qxi2PZO&R2;@KAbuu?4&NowJLSTm$sbOEE^W&OibAOnB&y{2?@=gp_}xM z+WSCO>G!jA+c*Y%4K+`U>ORW3YJYP=r!7ZT-JFD#${bnp-W$%mWGR(qIsJDY_|z{s zm5d#I395H{9-7?iNtg=jk;>f7edVF+9`MJY$#kDzz^9O=t>=_AYAp|1o#s4s&ws<c zsHRU7pU$|(wwY6FUvI+Voh(!B-8P(1WC`8xw&BJ}a3|d*8#;i$C-ap@7O&&m<DAnf zCoXumCfcIz-CCBfmszxHrYv~q)HHRU*M%P{O`&ye0bhiguI8y|?CVPCRdhW0ob%eP z6xO!js_3TDvYC)ka?9QCuS~qh)buG{@x}v}rmoVa-f03M`x+A_PGt%G@3!H_W|ph* z*N<+Qc4O9pXVo0jP6=JH>qwZnnDf;<hYff9IbX%QY&i54I`om4|H|X#dY0b#Y7tMj zZcmDG-L4ez_AblO?`jc`FSDrjD@Ck!3i##IRH~<<QLTHhs$6i&f9`{9{(>PjXLBXa zxkU?w_zCK4x(<!dtNy;SowA+*f1_Ev=Qsv@zRuzu>l^T!uPK#{rF6bZ#Jj88lcT<F zSBQ8R%fkCzCF12|mfmib)iskBWb`I%jTaQz-<8mN8@h}3Wc%{IE#OU?vNNt(6*g_M z7Fe^L-SMZtKu!UR<I#M9oDwU?r}08MMXHWh^$sTS3x@m`KPXhD-WL3sm&H5RKcL#Y zDfJn2h~bWH&MS{~J^}wjnnddyFMKF!nwqDWQDc8l>%WSI)nh%M?5)0>UFw|IelUOs z0cD*6stgZWsS8iBou05)%<<=JPO}KmHEEzD$NnY1I`P7_>D2oDvmd)Z696xE{Vg1# zFQBurW5KiUkYOQJmeV@QD|UA!?A$MWrG8#QYXtw{%pJN1z1}Nl?9o4{HGeiFLT@^L znXxk8Vnr=zBgT2qB^3KX$4v2Y7hi}v>BM)bf1?5W-1Nv3j%AOl3wWO`*lx;gd1C+c zR!fN#P-k>AXia4XXc$6|yI8=oyHzFxbimV*X`sHSEocVdqNkuu3^(Zdj9HIY_FH+J z-6I~Z$^Le2#Ej-M=RhkK&AE#w{N@1-uOB@mBeL(X6JJ~UCIj}RYM}L>paZW#-S~^3 zZv3tK$P<n=i>HEihEy1X<|~dIlG*YtNs;}f4R`Sc%Od!M!MEF>@pbFwR+%UDpq+c5 zjSNSvBWE;UY-p94^8fH58J9)i+cSQtfhJd!LBkLeKwAbt2TI2$B=Q9v-#nqYthd$j zM9cvvzFnZc^G?vj#edL!-XGq>{nRGC=1}OY=1bC%Ck|Gyi#=N~DN&LAB6Gxy=3CD} z<2n|gaUEu{q6s$hTP-tUK_R+*lL7nOc2MX3$RU|8Ii`7je4ju&N1H+CmKT<TdiV2N zWv1|hPUk-E2U{n)VxAdjRV5o}1PZiZ5wtzx8MDqA$1GWH%Z&38&7k$PXCqEHnu9K^ zDoa&l|62(f)(H?RGKc{kdJS5I3K@9<?Niw`r`2-BS5R>3au;8y$P8lPzYd<6c=E<h z|F&Z`AGf8)`P4){tKXoT-w*1Xam*LwwmcCIngg-~og%KuU2G6_%!%*RYS28@W6+2W z6L+z|>{5HshRxd>4cI$PxGgh4GfGRuKpSriL9-Q0Kmi9Hn7F$cwEwl-klXS_IcU-X zbi(!z3GU(m(E8vW^E!s`Og^pKpfMdioimQP@}R}E2M)=Yc!6dsl(>s8Sar9`ESU~k z@4Hmzi9)qLckzYlDXlV3Ko`2O8Oz7i7au&l6?B!#f*CVpIJt@qZq00!S@WNH|4RA) z%*H>e!MAnP|9D+2dHiGLU76P^zH_3M3tM}hUH@ju7MC)sL`_N0?i8=6I=xQMO@5bD zX3qBwdcDNQ%R8xV$<h+FOF>43Hwp{NEcyy%(k(jA{i<2_dgt#yA8oIH-7)Wd?C$s9 zfB(L}eb4v5(Jpa6#r@Czy<b0ZkJ7|X|JSBWJi)ephAZDp7seG;G6$2mIakHIY&bHN z#WY_nVwF31b~~H%)w!C4zuWou&3L*^WrclzLaQyO)HBX7tF{FnU$U%@F=)KjbFxXq z;e)Nn-lSI^Z{3<6l?$%fIAy^Tsiv)qybab~D(1L$vva{4rlzlaN*eVd2icYjhS>Bc zEI!StwVhLJ=i~*ioLRcps;#hl?8uYec@tVBKkEy22W{Du_t@YwalyNlET`vs2h<B5 z<hm|svS)6>QdO2-cb3&TKLnPAn)q$F^^N7|cZG<@3{8{Vh1QgEIle6BxRwdK(P3}d zE026b$DhAB%_3X_0-1fX7e}&8-L4vuQr@)6vgv4_!iqYhgF@-TSN<p-47x6GWnXW? z%-<ZK1r2(eL=LaqZ+Va_e?DmP{*Wqv`CO}@UmA{&4|9h7G;+MSnIr5sqvJ=hgHrV> z8kPD7qxyxX)O9AzJuCO@$IV=hYu>_BK&J|{3x@m=I_Q=z6!OpRpi(%rG>-Xu_{zi^ zwk%iMS-jUMulRW@b;h-zXyGgOS`%j0a=eoB+Hgml^VNLE4Nh|xJPc*g{yT5M$Ga@6 zZQKIBur^(d`^?c6T%&hT>isN;+tfD)yGOMPOtG7nFt?Q@RbMUQy;swx{mM5MxCeX` zZ0fxx6jJwf@r-Lq(Sjkh>Iajm1w;O;927b(bY+czPN|~fTWyYMJ3;q-fDWm67aQze z#4mg$-g(2J)pCcoNS#xR&~ydw*qpDRQDt&4D_&Wn&hDU;y{bkf^TDWcp(%0#Yc|hX z@aEWQ!#Q8mgs0TAA7q0!OZxp~B}F;4>Zc}5lybZ}UqGkK*756p0iBNuj%Sy1PP>)S zk$JUGSZ6b{<6T>hX}={L|0;8a{oryuT+9*n%h2(0HfI=YbFWNo!YhxrnoW<kF<p*& z{FOy@yK+Q!dDEre!fT3I9B&#OtV$Q2@?Y>E+jW7EKgI{$z6*xbO-h)^D|ftLRi5L9 zh)D~6{pOf<19W>8XgkQCGgl^F@nqS0UMb?4QPVEnrl<d00{+J}iOy5V_#t%AYkhUo z<Noc65l{EBxPDiSSnCk*$EC@1pKHLUpr)<s)HG_f4_bk4{My9CF?~*~Vd;|XeG6)G zRF`c%c#TE$zVn8Qb}UoveK(xoWC`8xx#5N?%hh_v4KD2qp4oFui}DNj&DxY|Rx5OP zrS<fLo#nz;&I_&iuoAYe;0I_Gi-5_#8Ia3MS`)VJ7Z$0XlF)1Dc+xj4F)BOPHJ~cJ zsq~wYMzz7gs^daa{#zYn6Bi1}5y~kMc6_>DPzOF+^r|@6J;`|fp)ITS&rIm7WHGh( z*bp*r!MBSnr|0+ue6MMGTIUw<pRGyspVx%~hYgoDv-FzFC0eZB)4AY<AIs|6h6O(y zS+Z*qA+5PNHo31nR=WlKDrzcSr>;@Wez1yPXi9xsLUZrDV_UTLO-xuU%b}IdA!a#Y z!K>$-V%Ad^{3_?17U43X`5EXot1EAHo-Fta8UU8`?Rv0C#GxXPZ`U`TunfMUe9(0K zTTog2JMzTAf_l(oyro!?f!<~V_NnhTOlZz%Zna$Tx2;v?i1=m$_TKu<6PllBi9K7e z^<dVWIWkMqK`jLpZp#zzjvbQu@-11B{U>OTM)PF&gn>p~^($xD9=&8m_NSnO943O! z9oTyQkj#;=O%s}b)kn@~KJx7Rr%kdZ_m3TtSpurW-qwQ7c6g}sWI?qOckzVPn<g~> zTMDYz!a>s&+MwwQac)bA^`PPP|1(7n9u8%Ac(_#HZVvCdOEOpPfmRHFPH*^_2&#g6 zS}il?9&qBj1ZrQ*^#*MdnGU*u^RN@&r~fI5d~BydO&ZV^$Sp66cUW3#>~C$g?09p? ziEovBQX*ec`=$xaRh^(?6Aj@zD?e8U%fC`673H?fxPR!7ObV#6a@QR+69Ag}*ncuA zW~rryO2mnS1)y!CpmRedKywZueaVXK7h6G#FqA;+MJBacX52aB#5c(n6req=GD|=Q zGt8a&_^Y|NO$@lkys4`WIyUsnGtdQK^K{M}R5<U%*9E%$V)5n36OKPY%NvSVxh+@R zJ8?+n$h#y(_OGCjO5)|V%y66kTz_Hn6&cV)9-zenpnKQuf{t{!69}5G0G*Lxkq>DD z%v&nMqd)&w0Q*ui(4iRz56OhcB`LCByb7v@k8$ttvg`mi6RkH*Xns-yy3$mE+tLHH zY2@5WP(`c<nz1<I#P{hsXvPB6W-8?5E;f+bIHCDdoBpF`XB<`RVEcI%?D~79-Kyj5 zK_|Xf>>CW&J3(_?pGCx;DU|YYTV|Mp+K5|0ZNwR%1rDH%H=rq^rfUzio+emyw_0lC zo_69pwtJ%i`&1cj%MQ>Hs#ie!aUmx&oNm5x)EqRUkq_I%;T^H1E?}ibUWo|PWDZ9r zHRYQtzBaGva$?eGF^%j{Z1z-jSt}gr8+fJB#mUpV_wF@TDOnA#g8|E}wg?8rW;91! zT%>2gapmFssb-HjW?$P@J}>#6#m$t-kUbnJ-!Gd@TW@72`)|#kbEfY5mU`<fJ=S5m zdJ12?>g<@<CER@fKX7>bb?45gU=0174>~*STH$t?+KxU3iN1}WJ}|q-eFUA#RkeJr z-7Urs&stdnq!^&*RbGQF<i57gS9#^O1<j#zYe7rEcjZSfhMr6Jr$y-ChNJxJu2z>U z<L;<t=tyAz9o6=}E*!c>{<Hm6k6Cqb?5o+jL0a3?YvbQD9w=Z~{QJsD)uu$@=l>ku z8%D$-7M}ks*a}@4eXL5#vv~chgwVgduTyR_Oo@8z16_anMx!awSbXjE>MQGYkxs)a z+zr*KCV$Q2)o(V?(Q*L{;G^Zhi(-=vc7cxz++E{0?`s<JMvZH>(50!_{{xj*etUL1 zWy7lCBFMVvx}aXj5pQ+#_9b=4?{S{@_1r9^^Wn;?p?YV7FTRhJPTi1IkOtN(9S7Ue zvMVHhW9Llylbh{!>_uM6-40ui{jJOG(w*ORI?bV9`JrpMr$QI#-vk}EmbW8#-q&Bx zK=wjU(vz-+t{FC~3{YNqe}^~Q>RHbrr{P_j4qb`My_lozYu?`Id0(G__p~TMmhf($ z2Rr-kcdxyr75n*Rw~liu1eNNADl@c|hC{bM9AAGqJm+Iy^%uFh?pz55>;bbFr${wC z-SfK(8qCk`?~8nISewY`vg#nnu^S$KhuRx#{!-hl@O^Dn|G{~nmAug18vOj=mAnit zId7)Se`)({8Mi{v)%|PS7<jVRz*e94DM^@Zep|QK{qIhYPS_e??`<cFASb<@+-x%G z*J*BrAk+0AJzK4y!30jl*1N0vpZ!D982$dns_zV-T^DQq3id9!wUt$1rIk5oYsjwe z&^6h|x4+Qd_Tz~DSGl>)puGsNv*qSpf*oh~U$b|~OVDcfgP_&!H$FH*)8Q58UtPX$ z?k@W-p>tDjw*`C)&1P84l+ARzbY~W5HMjLuj)uhE<IwQgyqKfytjv$8_ZHVZYOdmE zfG$1nO@$?;oGg{E`T7kWSMPvQP_`}f7`%81SA#Xv%U;;dS}rEAUmt#Q>HKSuCD{?b zwWU0_p0$d&TIm5g&F(uZ)TKYVe|72Z%Zz(}(Q-FvtHveBVSjf|!(95`w|B`)_L2=* zA7+5IYCPP3m80RIM9;fm=h~xc_jU%qH;f1aWztN@TJFSPX#P$%&sI5WyQn$z>+&lc z4l7OjZmRBo#b_YYe6hAn<lu&xzZO^Z|N03ER_Hb^^Dx-T^P;_OLG}H7VW#om&0|%k z^S?s2cz`qM?*s3@$emq@9F_Mk!F;LLyX01}Rm4@vRiGpE_Em4c$K3Fc!R`CUsLq5N zkKE<2SC^~<9qS2OCSSc7mSpSKO*GjX&%T=N_#ek@ybddQ{XvN+*<hFd+&Co(v%-UN zdygl$B5ema{1qA}(zh2*G%5FBU;Qe-*6BUtf-6$bLHiI4CGMt!_QCwTGykjH*Vjme zV15qNy*HlZ^)C50Y5$3Y(9L0x^5{7<kAY7MtbOQNd2RM;<fAT2q2<E0f2-Ys-X2*F zDvzqbWy<TgCD6@6`y=8v9$o+KY2^DH(8jTVS&$=B%iCdojlbkE%kFEY<Ql0t!C-6K zz~|r^O59z(?m~FZqub|CY__`*!f>8#feGY<;)i+AJs!P#mrXP&|F+pEB6PkEcvnjA zYG{f8r`<c7!smVcbq%>Vs)cIxmHYi2wCC)VzIKDh)jbiQol&y_kxt(I{qS>D|FiYn z2?p!}CmFnW4;0<2f*n!(_Hq1$>MQ3!DSxLENatQhSn0bMwAF3@lJ776>_bk$usX`@ z|Gep6<t_(<_FRO6a(3HxXc#ZQ_Db9AV|Q&;zvF%+orjbEYo1O8pHO`4+y#$WyH_zN zh5iNYirR3@4VrDh70v#e>%Xt~zZj&|1CsiWU1Elwy{o2fGU=B#mqL(fK4=@^)_0&1 z6jskv|B0{afA$(F@o&HPd(}N=@PU=zj+;)(x-Rap<kcFGo>JK6y5rklYj6AUCE)!< z$>05N9>EXaJrCRI@h9D6(z0+-hb2-uAS-#n8%#iPTJ}=gY~%aVy~h(?F1p9;0L`fn zTcF9|nBDq`CfgT+JPF>-^4K3*aDwX>G5%M!uheBP!K?868YgHLpL{(-<*T`<!;-8# z(58~D-=N_NPP?+{-j^TpADI1K|3Q7dy&Jsg0NZGE?O&T)Q0{8Ju+p-Xpu8#v+GLV! z@ay6KtH1xBuV>_2Y^jej{bBpynN0EQMSjU^RcEToDQ`Zq#`QFFnq-#RG|5!6GtN_H zZpleZo3ys|_z9oLG|)WABTd88CyX|pIbpM4W?-80>|pi3b=SW>tNT~E?se(j{rj`O z|NU$CU$^etuAdX9*JW{w=l&2qsV&s`A9Q5pnTnHZ3QwwJ<r=X%E_`xzvJyk~UB7@| zbxo!7R5YY3|2?hu`q6vOrs<QlP|gN($D_?0W>#$rK54Ra&v6a-s?>Beisfp)YQ!VE zrdj?1I$P&0_;%;(9J9?S;8lAY_)9MbyBDzwT(O^(&^nnzYMn~NOQxn{;eu<5j2$ml zbB0L^iNw2aI5tPzVC|%C&TF^27kn{lD(zF!s8%{yl`b@8y>LzeXzHL{KyPQo<tq~} z+OkZQSB-dL*|ci2GPl_uLst2%H<X%QRSW1$R9#W2axmyLXV!X!h%{61A&qw(0`|La zICPkW_qxy;lWexO%N6WRp=FR!*f-7>mj=6MwL|7RxExQ0vSi0P2K=gODt)J>@mt_v z)p>y__kCtuW8>!3TE<~^YyN^yzd6J#=Ph{koI}jIAKb^=kq7A_-uZL&%ET)T3%*@u zIh~U=BkXQ2=d@~D$G?9$!YcF~4=Ww?ny;Esqjym2zM@8z?!m16D&W&C{wrzh{OBfe zE~>EUlC|)f&F`V3>NlO2_kHP8*7z^k#CuM0#gE*k-gSyA?x;pQVQgCUUnSy<*|9CF z@?AF^@nbQ)@3Y~GBFol()re(20pD|)p6+uE_@C7zT4xBkjzaF9ed&@f{7uIu`Wmba zeI_j8E41dDTGJzT;WZx}n<gz6T2m_K__CYxTBhKXy}b#0e{-DrKR2QID~ss%J5w(A zO%n*IQ$DB!x=b6?>yOa|o$28d@YkEgJH{j6Gdqj-U8jKGaZRam>MM5lCG3n4xx8xs zjD%Luabs>9F0pe+&G*=FN}RK6p8tkh-#NR=Ij;S<3t3QT`SZq=iPwr*mTtenb2%zq z(D9}%=e5&9Q|j#xvYqDCs%uPGe3fPDe)kP0dRap2y*J!YX1RKt#XHAN`0&b~Tun>M z)K~1Ck}y*ldOp>=c?P8_-z%Gbt>y^J5Hi^_FJb9@0Ta-j<^P35=2~)Jj>@fWdbC?; z%}2AQNtI1o`_wgR*$!Gg7o4(pPQu>T9H;)zN@xb1ssc)FKjyDgUhHdre2Z4T`-T&G zETQ+kHrz;LxoYnPUj3TQ;vMT2@H?t0^_|j+-wFqd{;RFn|1pOry)~CZ>YdMqptc1c z7qYCbfn<aoPhMmOyJy9#YSd{Sl(JXUs8l}~#V;^LPH;_fbV5|>cD0Cn^`=kxiZ>nz zG<C_V-B{rh@Kc+m+k|7)zZnS|tvOcxpP10O96C#KR<hV&?NTv;D}F*coB18@Hgit< zE#UY!m^18$k>lZR&ahvCj*oA1guN6NshyOtRh*;ie0SlkW2-s6-uZ%$e(hI`c>aWw z#XF4Q;iS!1S1Rx2<^<2HMRREVYXo0eZtuV0#GRcp!mfr3>P%Bx@lUTwwNGuuPtT^M zVM15z<|oWt&GD*?W7=<9$G^up!hYOm0WaaM+;Mao=yns8j6LcHwce{}REZqSQf+#a zF0|&OZ_}i3p*5w-jxVb@U}syLJ|y$SY<_#~2}iqwhh%ul(iPc{f-aX>tPI-UAgpu7 zG3DqIj=XrrmhJBs{OVoVZ`A?1GUDs}%?9k9a@>{~|3I4@)}|`5zn%HHaOyLK&CJ}E zFW#LxB=h9nIVZkfm7u#v3qc2AHMd%7)Xr^{>CsP5<kPYT4Fl|soY8zM9yDDbDfVoE zVC;uaXIWvx@rNL{WyXRQS%QXSiY2%$Pt^6aTE3Wj&WZ0+d~zZm8|dQAq}i>O5_gX} z@tvwqOypDY2i;4d7X7jRf@4lJ=w=F8?&1kI`avr}4<C|Q(gnKbLyEh2f@L{)&V=Rs zCFk##R`y$+_&cRl=F5A~b(!^PitL9$H)!0-$c|Ym)3ZG-kxy%Vsv`SE(3s4v-5~dZ zr#CV|V=L{gmKmm?D?O#eo-HV5=e9iYud~(ih3!!%zE53`pPf0Fa1g#I@#u9Q(211t zVnqSxK$nsvgDxcr);Z(&CP%C&V1G-irAN$JC%#$RL1#Dpjy&P`+f(eBg78hYa835R zpjnMPcd?=ipc_CnWI%U;gT_FX21lGYxZ~6znGn5M@L`}_(D@K=!$1Qpprxxe2c7sP zfli*fb|<&;Cf_B{Ril2hT4hrBL5o)1L5F90>YO>4pb9#X^1vaP9?-q2klV`l>O5Is zm6FJp1UliY_FUNx%i;+UHt<oP;`N}ZEnU#AjK!cqi%!shl^STkYV(BVe^WsNR-p5* z_Oydeq&(%scMLQ#HuWZV;nX65*dvEzu6#>SWdEqcU3|e}Qmc&0^vwqBYehlFpv`Kv ze6a>Tt~FsV=rpP-Td|@E^)o;RVx=mwAC0{ISnKS;40h0+<-M(z9Xg;jtA{}+VR7m_ zSr7-hrXF-a=4URkX9<VvJ!k!^6y(kLupLy>*FrXRRPKG;vqZGL)api|OnTqr8NV(0 z)K;pNswHa9oV~^G<diLU{5&svUh|w)Vzk{i$XmT^Qj}2f<Y`xKdd|J3IkU)EC0Ho( z{`*qf?{@Wn&Y^7Tcy(=Ux?9}8@Y%M{>%l7>g8pxx<f<gSCnxK^eJ9g~GgVEy&I{>m z|7f<p#@u4jznmt~AmNN$lb^bZE$(gXzOtIKt`{mK4{ALZ5Q%l%0NSb$F1)5t-ti>k z!Km{BQ|4{dUAFa{PSd7tj%(Hv7W@%pkrv_9n&-OV;!&2V>(wHjOoNs!tH1lmcCJ-k z@lWKSkhGe?+F6B7OT~n)*fk`~Jk0rOp38<ik}O~Kl_DN`HMOng2zw<2F09o#yKc$w zq;J*b?9x|=NZt<_A1?Z|VWsj~VNS2<oYx}#0={uIea%zSs8>J8md&YU=eHp+#oA}} zCoz_870y-fyf@qkYI=2CSf|j+@hmszv?$Mj-L4zXfL8y5b~u(__mMsMckY6J-7MOB z>hzAwpHq&=ly5rLF0>{{L8D6QAoxlq%Y#z)6*VfY4@T`*)cC7$&`MumN^fre!!_p9 z7yP-$A}wPCsbBT>)xSFNBBbfmeAS32Mop{kt3|AF4)}YW#oNXSd?iz^8UN)~pp_rC zoUhV2r&Y^4{te~``@!RQ*qbx#ml(LL{&^Kz^IvRV-go5~%Te>YjF+R5qnjq}7GCpJ ztm%@w@S0*H$D5xyKqnE^OipNy=G6K(DPi$fmZ|?eH=I!WY&d6Cw6M-LZO5<X!a5V7 zGdSk<Hl-@1dX8^JSx(2e2b7y0EZVQI!d@Zbp*#z3x{Bf2srNiCR0tl_%2(2;QaK3T zzi4()%Cu?Hb%8ad+>S4+Ij=>ULl36>_Hw22Ufy!h?#;%8=2jL_V-{&yzkr|3kgKS= zS-N9g1OBqJc;E32`23y4yO$&DHWN?!%(Wb^^b{iU>YIMG3$FRl2#L>68*ECKd|_=m z7A~;nQ*G0tazV&(-2!Vi9`u_Lc5)_5wkoHWzG6hWrsGXvj%%Qe3eP#sB0K{=R<lUo zbqv_)zu`nObhl6Vf9q0}Z9kp*;_o*mbRK0fz3;f;N+HWuf8~f}UIE{Wnx5|S4)|Zz zBwFWv;X`24)PK$wEVdc9Z9esl#q~1F>b-Lp{Mg8nT?5){;><C9&Ypx<9<Pm>E}09h zxvZ%1Tj5|;zrd9L<_Fnk>mJ&omFK?U;yjkA_uV#}Fk}h!X6c^mAMkfIi}xLefX~NS zK)2lePHak@Hy<+9zjOCXy&2bvIGcX$7GCoqtf|dic+Ho<ren_q*L)IfT6A4_%{S$y zN48C-|9k>ISvGAo^Gvi@3caPAU3krOb&damO}yuzcdU!=w<%32k#&43FQijs?|9W- zQ0JSD<JTAKW?U26%$fDhX~PvqmaY9N5owZ+cQ<oR`z_@7H=83YL)c``^n|7MLg0hC zd&{a*cR<b>ExERY<3N_Ru!#MHgx+%DDLO)HO4Y!P!JS<T-kfE5eb+bOA3uw94~N#i ztn#?08QM*!tOa$77#y#b3+j{!IezsQ)G53OuKwSIoIQ4B;uWo?Tjhc}p9LK6s&h=c zEH@*J@0W4pjAqDn<t?q28c!om9Q?roI^)q3bb8{sLo!$P9dzP*WWLdWy>~unegkwK z(N_s>OAq&NN6yY{KKE?0QbiD#LQN3grq9dmUM<)@qgCd~{ga1ect8XCM?o7k7He@^ zYShndwd~jual-Lyy3QHL9A40Ql%N*E9?$}V^|!mHzf~~pZ<W~sIzH-IfLPH5ThIko zwV?Bh^%D~LxZ>ek5)vvNUvZb6QU|Iv_%|7_PgUf$?AUkUkj$01-RA3p_#T0FlN^f! zO^b7Yrp4P@WtRAZX6~PFp3s~#x7G5+-2+a1pX?J8`Ple3OlW=-4_XERnw<DE%Ovld zjLS3lu7nlu3_)uQbhs^7fL3^T%sJ%5H*0=cB45yS&<sB4YVT)eVnr9;f{xDIzhOf2 zzhY3$cJ=oIt)~e)KpP-$^|s3NfOcGJy$7v>m>fByIjXf)<_PG(YN`8kVB0JdDqn-n zqkNaH$o>*!>hnm@?#&9rb(dtmq-~ziT+`KRDFLe5yFhzu7pH=5+v4Lc769!qSp}LH z*$6suv`(J8c!C7z?lNPYGmcru_U*8=%s8I}x@`+I<pe%qvxI*RXzK`QYi&HJQIo!L zLi0n=#>tmipz|nawpy;ZbJ&S*(QD9(jbPB-We-7nNHdN^o^UKu1chfqtIQJ6S_~`o zjT4&RNP-TeR017HISEw%f|?qTMFuxO=U!fP)_Jnvj|z9Oz{bA?Q;P!bPHMI6&`nTe z|N1v#M)Q$GohJ(_LDN`Tn<q4XD*_!W#>#E^;@*)%GEYEfm;T)f3QkZfvFA<yY-9GN zx)CQ1R)98>+=MjKTKKO!mb?TVfz}7Q!t65WIILEkCki`3(??rDtuH>%m2hpXGJ8I; z*9F_hF{L+h{Rh|dkW(7|#Jt=vZDQJ_pA9!Bo#{zco241N++z9R^f|?cb(GJhWV%f| z`Ki-L_-s#<+DzRVHRI&9qBB*~ylcG97)8yxaq2{k!{(`-`|n%kulrm0e$MsE`ugYl z*1i9J-g<Z5`m@UVe^=*jz5D-v_Y=|1l=zL{iazC9w0$R2K;7lN@phsOylH|VcjhK6 z{>d_xo27e>U%*$UrlZq@L-tQh=)A3V;ns_(@wN>Q%tJpnu2cpcth?W1gO5&g=GD9N z5_aC@cy(SmBGJ(C@KP4-x$YNs_-#1#mBrPXW%XV6fIo#zrf~`yJ5S2+q_2I;;q~5Y zgCFQ@KhTu=n?);?_XcyGn(w^f;8PaS^{O{AOdX$AbBI;;EO<2|VdKo-2~k(Kt41V= zH_iGjth05(f^VAO)l=1y2a9~0Kz+C!)sVimO^kKvk}o=rk9)r#-4b?l)`Ax~O;^7u zYs^!Rc%0HSDP4F?sixygQI^+hR5W(CCG2H%{JEUdY{o|Uw&0IvS)||k1XRj5x%R=g zoKIY-ym2$fs_PuncFbDvjGuGbuI>fjlvz&OxCWFT-Z|r1QNGfO{lW*iB%9jWh1YyZ z1fSCVNwsNFxZs*^%uSEVh1Proog)p}u2*DIx+L{?a@5l83K8k<O`EC(*OY5G{^S?T z2~b<{!?me*oyv+owN0+?6juDQZaO+oWyQXOj1uRBW(!~O5z^VJ?)a9K<@6lT#gU++ zpTGC4RNkpCaAki}LhF0T+7CO&$E7T*|4d)-A}z8nzFOMxqb<iZix~@^d}PU<>ld)g zYs0ysrcLI8Ys#e^f8H0$`EUeW80+l>cVKTc&Io(DT}Wq=+KMWHgGt^TtLo<@bbjW{ zs_RWyDb0~p&M|H0`~~kGvz-1rd%-`o&jxcu?>Sv42ydFor;@R!BVp<#ShJ*fdEb?N zUXbIuodQ0^HEoqs*7$34(8`$q(3Vqb9M^8QF8IU9BCVsc;)h`q=t7HsTnCk|3xxbN zJeXwL^r~Jh;?Yh>)nD}a>Sx2XOSdVmu$`SSb0<sbXO`2oQx^QY$)a5|bHPKdCeV`5 z3f_ZS^-3DQcn)S=Y&M+pC|YpMN4=&=zlGM6ia5TU%yG>UwA2!u(o}=ptHOn+fbOXj zcRXq@l=DTz@o6vL!7Z@Ux@S8F{N-p;?P4*t_uX*iD9hIMN)gY(ns${7>3nBz`lZ|? z8n^Yq(}%D=>=KRxUhfq$_J|(@pVvJxVXMEO2<W_SHpi3E9M^U=FZgnp<+Y7xK$vA* zYJPFkr+oDr3I0u8mQB5W3M>A2Ho5A}0ayNSLayff$#&lL3HU3{;%(y+@HwBw+m-L= zmaKfY4QKdRO1~>ayc1~pbzFGO2hOIp(59*T954J(YYMIN3;5#LboHNCK#lD|srB|f z`j!m^Cr?I#hUb=YdYxB~Nau9Cd70yy_51~Yu5+60Xk75<Jcrq?`3pWxNSG+jxoY3L zf;(%EZc|_JFRn@Tncx+hzJ!%aS+<^6jaX*a_(7Fj;7!Qg*US2z)OmqdfBbX0@S(D4 zYFstTva1%38%|Yobfs~ISx;N=V<k&=P2YkiPg$~Kodc>&4_etaZR!_V^IgXA=XIIR zhdCS0!jjv&mCAf>#h^KH39)AiMO@sLE5agXG~WQ#BmZJScL{X0%9wx_1}?b<s@Oo) zN801X1%X8u?sm0Wo>&@j!m&PH?Ad|>6K+e1c}EV(OtAw^G=o-~O?~gv^<b`6!-u(6 z9qT}K%dPdGRdfdq$(Z~*>clt6xbIl#tmbReK%F;Z?&1qG4?FQ~a^GaYzE=r!7-d_l zr9{jbC%&%xpkoO@XApc^A2Fl(gtE?)1;6~oo+)fglrvXn|7rp1t|M0EJ-dgv@gOCU z&nSJ<gywgyI%gc;MT6$=xw(r2UVu)cJPbN%SzhN!!kXlQsm~UCaswS*J+akt#oqa? zGDrAR6Zxd%L1#RIZjanGp;czd`jkXID|7#@2M@JCXGEU(R|GnZk{`6h;C|$cX2%r8 zbwPYx`3Z@9ZlGI2Km7(dmPxEA0JMfg0JOmJ3h1Opm30Y<>}SQeizn=w+bXj~9^^() zPr2NdyLiIwFA?|FHviKRd$wQ$;(*P=KZE5<1GF|wX#SW9x}B2=)&k2*QDpxJx{dT0 zv(6KRpDf(P7pg!f6C`(oytLUCw!#Fw4kAhHS;B@Xtui8@>owd;#fk#<&1<#nD1kQs zLf+5*wW9e3Xo2ECCQzV0v4~kJW3oLNdLVcisNJOlIu<$@v}gmgwz1xlyEtISv{o6O z@XZG7ORGVR#6ZxsoN1tIIlBvspDoy?%Wc{5{?H*AlW+6kjhdYWuNLz~E#EMq`HK-~ z5JZyO@`PFBjOKgb1FS*gGiyNob<l|y7ms;^+6VV$wOV$ZNmFFM3Thuj%xjgo;tx9K zA${|N=4YVA8(FN}mKmTpGRlVgsDvGq7OH2p%6v%|DVX}~!BhJWvwwZGKeauEwSqBq zR^f{|tdhs;tL4(ql`PyTc6%vz_&#p;T}5*q7d`RNRoyD%ySsN=*1oK*0V|vJyiRy1 zDzmCrF1fIve9D5r*PF5z_ZB@k5$Uxq&uHzl)nUTvl@B9dzOS^uU-9Cf<&O_F`|tCY z*WZ!7lk)w=l$qD%yX&ofZ#kEirp9~Qx;!oIT=o@)@AA?I7|ZuA-1*{N=PdO-jB^iL zr&lw^&EJqMb|LZTH-`4UOfN>zuFL%Q=i$4NE|e&w{aw8O%(A14?%v#TM-aBZj1Rn1 z3$*DHyvM`j^Xi<ad7!;@-&mlVe-1xK>^QNVe>A7+(Y>6gz2*$EVek1BARD99^}$;= z!TXiwrWn4~sk4}U?LEIkyQn{W=jP7eY2NV7Kh4XW-`DN`;cKR=$Lr8O^*nn5bgSfK z`IE~Sl-R%<7{ME;&Mm&V<qg|{!>c@@dz$QQ-fl;1cAEy;LG|2z8gzruo#U6+FwYQ8 zII5lw_7~Viv2wCTZ)3jw&WWm51YI=$vonV);Tq$bit5ll*lwieWzNg~eyu;T?C5o- z3yDuB*{4B#RxJ)U$1Kk(#cJ2L1T)?JvJHHzr`bdI9?Hi}gl+7J$j&V}QS$4k^UW>4 zKpWZOzJ@?Hvej%dbmwt!f$UdW_F_Wj^Jn&{mw&N?woomyhwe1Gjo5+)-d=XU&SJKh zJ-0*q)a?1N{bj%4`)ztbTS?zln$4cJpU<H^boRW{n48^7vq8=|yg%*ot8&H{8>&v< zhwj^%Y>n8^1>Wr@XEVw7wKK>$r}d$GQg0)68iAc-|0T&x_n%w?pLV|OEMIT}dv7}d z=JgvpUQT%VVq<;E<xf)?N+Py?oe$k-^za;fpHb9q&}PZyYB#r7y^G)6z_)rk>jiTW z@CI0QenbkoD-PN&S*bev8uzlBTYdyWBmSNp*fND+y%!t6n*qPvzq!TYul>y}cSPZ- z8Egt7HJ=yT^DkNa!P7{_7aOwTp$6I6!1t;}yk4r4c6s}mv&*jj25m5Fgl+LODt?or z3Clcdtktb5IM;6evLh#|UV}k4%tp8&lHEZ2K-c!?=TF1a>jlt;+i%jFGdJon$cF8M z?ehFCHh+RIqYDpsZyY$3_WZoL<xdHN)tXtKmzh8|8z&;Ap~#XGB~>3iZ*JLT#~>Sa z-rjOH(~MFEZ97YKL>f8;N<*iDH)Z|>h4#g$36KcMUk~4i2HA02nP{fFPqKked-`5e zh%xte>%ffx@BiiP-jw-sE-2253ZbcWhaFtWwKmXh?bZ3GmtFnNd?E4ctYGLq#>v@; zBoqgVu{+yTW?!=hZAYHI-xg}uyQfIo$5U?Y|NSK=>J6Vm`&8*^uncAnjxtb21!u5T z_h$N@c4xYfc(oM1IeI%>$+ca|pxvY=H|0e2GG9n6eIE-=WhZUn8Kd+)X#3{3IWv7< zr!!wjJbHaPEPs7Rb<O?%?{cE{fc9^`x6p<<xY_{jb8z4s6ur5{=9w)hvA*M7kgoyW z70)k!3Z68!np>q<)xMjP6E$~>(d=vAS)rxo<m2E{GvySk?6q1O&~ElEn=@-)?Yz0= zM<p~J-m63G&IFe~JLl#^%>hN$cUY;p7qW{Ov>g|m-~Hm|`kpQZZ3uh}-FW$<04XtF z)k(X2`P}(sS6LZfZ20vOo|yB`A|>YPnvxf$Z*~4Vn60&!YT(n3<2V2*GjDV2pFl~> z`(G`}iOS(|XrH<s?x3aeXW(kU1@yzCH@EzWVX#_b^=}e1F+aQskBqHhpaMF)#$t9@ zJZOt6w?1r-;<?lCFx+hg+M`%fX|{I1U<04_y^pXBh`%Sn%MS1!#prb>mK~i7+QE7B z7PJh1e+sSyyo2*^f9mB=)u8PDbr(d*kAh-&F~4^8V&`R-qt~5UcJ(hPh$i(z(}ueF z6Ie>Uw$~8koLzRaukGh>XcrC7Jpn0Mx97v79g?};3g6sPVZk697Pr?BntpccqNX3_ z`Dd0r6=r;~;ngw!R7jQ5UXDo4QlQYWHc!9&N+0B$WvgMCOABtyyKkVq(f3VnZmBb5 zkPWMO4fA=mJKS5~)Wbag<g%k@(n04g@G<>)U%a33jFtGBihO^#GVm7Q!_k{ED<3`I zoVnE&x|>%19k`h=K~uaW;`K#Pc7O5p=9Z`uXS22Cutvb-X3I~v?0^6J|1tj9`9;E@ zle_a_i$1#}H-veu5tVo)r8PIHGhoTgS*>iduZhm$5=qwdmKC`rsFUG+hQ&;?Cg8Zn z434A*-#ZPB51Ad4RfS`FoEANv&)~)>#I{*+MX>!3_CU45K=1c9?Rz>ur*1xP_rChP z<w?J{q0uw@>ezPk@B66ycGHxs<ZB<MtXh0#+P%s<hMW)Xe&*isWX0b$K?ddIJ5^uS zAGcyyyLi8B_m_1Sp-ZU#YfE{ao&PG~>W50u*`15OOiSKvPz64Pc>YQ+mcz@g`Mj`g z6Bl(@$qZf7DPQXcT@WJtJwxT}e>e8kuj+%q$9~uCfv&&WS;%rY?R@3xdyDt2MqV!i zKL^`>#l$T;Ry2pM4Zq6auyU(^>@w&=QiHyP8;4j=f0bLCjl6`aJ``%_-1nI(zW-d= zSMR!dJM}ihl&JNflckR*l&oK?EyxqStD^PZ;_3xRcHV<6>3sb^LV4xSeAzWpdiI{6 zbz5%FLCgO@>$c3MakTlye&pMG{6hfJlCQ<=(A8IFAE&ro`g6ofa?PsiTnQT(rbH!o zL(lcilR3EI=HAs$BG=!KV>r(SyRZ832Iy*=W$_n0qGEpPNv_FStK9%P*7vP9bn#zv zA<JPgzIjULt6twjT0nK%9u_z0D<+y${#t1iarJHlc->Z2IMl`2)n7!TcYQUfytbQP z6mehrp6^qj*8T$BwLWie6x-^yXt0ZKy#Os)1f3vz=IgI6-@AsI=YM@D038W@smBp? z>$$r=Y(3tg`^7nY^L#%)7k5~)Djsy#diECRny=!=9BpUsmG8Q@_@4_S&okJ%Luq%| z^1<3iQhSd-a%B}*8C89SqapDv_{4BSiM#BNIofnf&R?kBG7tG0-M{+K)ncW7(W}4D zxD(1SaaHwR(23vQOCf8os^9w69-X#tzu)_dvY<nkVXIG{r=Nl@1pPNt%JXbCw?Yu_ zZP2pji`${$YyA0Fm#^*4xc7!OK}bs$FLtKCv}Gt!VGx|1k)d){UZcSy^#3A|l^;8h zBQ^cr`tK|DgBLqOPl!(bdK0?bYuWZJ6<s;d!REVyL95gLH9~cQlW({57rC`H>)t$q zh0pEx(4%rAo*VTpIeD8~A*l2@Xd&p{`LGDBtvx#JU(LLGi+3k2Ml9NjbA~4Szy292 zrtftdJWRota-02H3$^fBWbM&qZoGSs7kpLQ3%_Z7@mlC&#o0I3Pc-=-!058-7eC0t zUf7CYa7@aXFa5q^z5au<@B0m5DK~jJGzl%szvdBTv!*$8?LN>-&~Ne3GyqPvez(?q z&&WkyT6y;hv_yICE#*1c|3yOR_efBQvR4%rkEOLor``LjxYxZr8kEVFN;-hjUG;Bh zCcC!ZTY2TrEqr0TprePEnpxF#|5?p`pn%8i`%Ga_Np$Yks_zo-bPx-@Yf50tIn~}> z_n0OBA|do|K4^8h)aq@J8<9)qOS>E7d~3d3)&J`%()v4dD_Ho{g(zo!?BEOA8W#>K z!s0#)?qz2<%-Hh|>`l9xjrSIpI)j`GU7GtAeh~HM1rtqv&f*Iz^}nHan{C0BD%dj6 zY-ul+!_)NcFZ}-E9ysBtKn@sx54(KeYyG;3SI)>!J-F)ODkcdXhNWh^9H6TkH%G>A zT<IUDb^h0eV5Ie!7Z=0aTj8giS$8zmC?d2zAG{7UI|sBF4|HC4ud;+$_2Z|X)?9zD zhjf9!#~rW;jlTxEY28qAO;)Z3q$tvcW)-k!)9m%mSG{gTE{gU;7aK-Ae=p@Z`E~ip zgwXP0u(hw>f>tXg8|=FN?WOj%FHi4&T2sCs*~#~cp-z7N-(7j-&X-=2YoziZ2b(W$ zhORiAIs1#~>|f79Kds@HN4mG*=ZjQmso<+~8&VeC=28f{S`J#y3BP^d`7uGB*?-G| z-v|CrMJ|ih!^*K=PgZ}G>$V1+h5Zeb&2E)L*Xe@GqMGl)RsGlAb0Ib><o}0lR+wwN z?qao1Tr8+CnhYu#%I8C}+g`Kf>u+4@|MtpuSG|V9J4V<w>-jhBBK4R-SDs(94_97U z>CEV|>LKW|^9_OF(3t(aVP8`4{$E?}CI9dOr6*|ecUO;J4q2C;^T?@p$<JtzNB1uS zErquMk)YcWz-jpB`4_gYGWR1273pkf#xdLLtem+qm|@~7Q_%eZ+4j&y;_^Mp65Bo; zm;NfZc6)6Y;;it$jL^_6U3bM})}GxAN}=BqL5GaLmxN{2>%Y2m|2}TGx48P0BcfE< z%MG>hT7IUADX8Eyt?>aJ-d+_5^JI1H(PgX7zqW15=C1hsJDEoVdi%f@a6$IvzukXk zrn^6VK(j*7gD(EuSs9VGWNqTC#CaKOU1#>@NM?yurhuk?iv2#GvGJMX7di9dr-oFw znVs8ujHKro8lRlDahhvd*YOiNk<%uoCOy-9`F-~~^Y8yE%3{~Q{`)ufd~N;ydb`$K zE@LJAzun8X{fF#+Jp|tU>ZU)ltynFPA?#N7f{#v3p?yjkyP6WVN;!VK%94G@C7{Um zU=+Xbl)6a?b9=@2+QffYt-agyVAW>MQ|TOL5eALd)F#)q2-Iz<cyeXp#iFKD&xLi0 zSRJoQbBIN|2mEzxQgvf7eQV&8y>ceY)^tIg&FqeMf3lp`Ra_CT7V$8qscpL8ni5&Z z$JQKSJL|!VLw7uRAsp<UC9QjGOV@dY2xZTJBDsT6?1EF`d^Vgj0$)tNd&+`8rYzEH zR9D<kzwx55>6E^J&Zesm=WN?$d@yOZaEN_FLZ>Ti5ADfd_n^?GThj$}Hn%N!_m<`K zUFU#$=7U`41x<Q%4{iy&HF3emn5NKg${JM~2eZ_LMC{uWde;k1*)uC)EuZ7d-JI7d zLG%CM8HLTk?p4t;jhR#HjSsTz77Y1gaL}z?AVg14r^w9l>UtraGEv8`^1?cW;*MwS z1$DmiIKDmGf23fVr@)oFQxkUb3tq9Gk<j`WdQ8RPslo1E@roIDR3e_bv$$5Xto}Q3 z!H>%<*?Ts1WKO-)m$0^6V9LGrgt<13H<LN9S$8k^Go90H$D{?1_&LpXO<wRxo<poM z3mRxyUo?EOH|BD#+CMj;b1!FBT~ETw#o#rs&zzcet>&DTEqo>3f5V~ooKkk48!oB2 zCtLJxSBrR>%i{W7CF1R3mZRM)*>mh5OIQ5j3`&=jvO2z$=DZf^9`H@E>8l&d)A&N3 zWm^yWG<D^x-pJr@Iwh|d@r0vk)p9|dGC9YuYzKv|3t#zTc`%4y;7VOnf~4&4PXz}j zfx_)wkEdY6(|b+<^>PQfESuW8Im4``F8HX`6uQqd;7e4~)jGF;KXy%~|GWZ>ie%Pr z+A8Y!GM3}o&AAKSG_t(bRnn;EI>>evJV|t8W!L=~Rz^|I0Y8_sbl>p~_^Qv+ZR;QK zckA)uKS%snOfR!|zx5CJ9nh5Or?jG)?_g29`igqfgIxBC85QOSy_}nloflg3X_oMh zNz5G6W$q>hu_SCQ7Z$lcJ)zfMa7v8VhHE!jmcC|r9pf7C&8+F`J0*?(=}o-z6j%Is zCA7Y1io%LNdQGmISVHYRH{9T4xoYpc;mA>8j`$*mgML^4yt^_HbmVI_%V`_0fbW@2 zPvf?NR=vs|<a)1?v4j7h*L;PH8l8h$qD_m$1=oC&ZF;m_V9iJErb+z*Yrcv&zC7!9 zq~O|3*i>mw)+>+v{H9Ox>Ng%_G<Bs5<&-EoKFt@_DKd7v8ZV?{rm*6lf0L@2E$8J` zKiQg=J`(`n=GMwm+Rbu$u4BM|rY6ySrjQtwyJu0l<O_GxF@C`{pZJ>=Nzd=eTq~xo zVK+OW_q*_v7>5m@TW+TduPK&uym^}Q+U|J^YNjVNvvX+uo136Kx9MR>o$W!T&CnIK z>-Ocp^2o7s{Cb#knuhR|KS~FKrVC!#H!WeNHOH%a?i=ph=Xm8lEip=aPv3%vdMw&| zr!V-}$g*0-Eucj5pv;wh2b}mGO$S{Wc5MmAyQ54T?~ghby#+5qNL6Hic^q_q=VzTK z3cH`K+{4Dc7j%jDKU>gRz<RNw0G$m6?29LZ7J`1(Ipg?52sHh{$XzV3dv2@DmHOj{ zWK_&Rs}Ml@S3}nH%%0r*jZf!{W7<2=f=BS73h(xThU|Zva9h66Pfg_GnxCx5ei(eo znH^}4<*`FDA$6yn_!jkpE>HizVM6l{vE`r>wUevGiYDCYZMDoujXdG_O8_*hzyw<V z3A&Ce_KXwXr~9DwpW8vFL_~o$z6SgOUG&)_m#WDA)R4Pa;AV{_=wkAG(C*dUpgp$i zpgpz+LF*7?xQi!%PWZSb4my-ujob3Yy+em&p46Rn;`;@<AiWi|zxQF<^2g84IKJ%F zd6F<EDUnZ0eg=GT<yrsQD~@j^Ko^sDgDxiTY?V;~O;tphZ=TTnWiIF(=>txDoBk&y z@>R{A*SLC0^B*%%i1Kk;YP>soNTx?@(}d<vfjVa#Q$V{`uYqRhvOw!UK|5^Mz^7Ff z{QLkqlpAz4+1uXm2U=$x)3U{iF3df9Nal$V=#=w@R!a?;lTLhXrz2-HU;3%@B%ua0 zfwQx*RmSByC_q7{X4V`zBxCZ%=gu4%70~A2wUMCtId;(eoIPwas>1FR(A-z5SkVOg z>7Z#k(0!;N0~T9@qP|Y-nZhR)Zp#&UC1UF?$s9@BFrm3>QmduMnPf%wv!K1SSCYhv zF2o%>B$E=haYFMu(EQCiDY2pp@uv^Ti2RzYRIvkma5|4X=rq$y+&WJZ_N2B>f4g9l zG3Zck(BdOL&?->SwBF+sv7!lUHW{$51?^I<;^i*Buyby!ObX~=)GBGv)#;XE&k_Wp zJ7-UBe$=OP=3s^%x24AW<A-GS`~ffgV4145VjH-M|1qmn@_6B&J1Z+roQO)&bv}FK zQ^QQpw6>d4vm~D>MlG8WnX+s}>J!D8mpj`npZVp?yKrsM85LvY{*<&CGeNi_Yer<s z>cnZ42cGX-Xa4<t|Jz%ytLo>!F28^O{rqkj%W}6TOXuG@vo`*p_N43H^?S0`@+wXI z8ux2Clg7=}0k)Y%S`6K1)mQ9mO6bhy$SUKQwrl=^Z&FRE^VC=Dz8Sgx&$ox~C*E#b z@b4Xq_8a#L9}1eLda?9A7hJPx&Vm<LSytcm0vFWt=70`cXo{RtllRIa`*P)su$L?c zt#%7end`gZo=nrH<H9)x(-%AnYU-WEGBsZ<Vu^de*TSZw*91fE|H$D<?_A4~_0DU< z6`iJAl})LAkZl)wJFA~vnRq9u>DOw`upJW@JhWxew)MJDp?gs4VzI&6MaG<Aw_6wd z*vFDB;}-BKqG{_n1r5+OjG-*4w;}7%1e<v0!Pdi>&*;z0-J<IFbS{V3&GrSa6j{1O zIakeh+i=9GX;!$PPARkF+ua<~DrYQs*O9RE`kd~ESME0@w3Z8++?k!Q)L+2Ft}S8e zdgu`qGO-4wORgzs)Y%@C+OMGTQ{Z4!y|Ttytz}!!@j%WZ5_kM5bCAtlIOLDiLAU3^ zA^YYeOnl9;>ffA%jqIGO{!dNlJW;uxM_k9UrQqaQbDu5-ovpTxZ*4iJSx#8+E|BGP z?bHQzQxjUfIi=#fH(WZ;A!YBd;Z!_F*FJ|0w_cw=ephRs>_Mr_rb+AqYf4odUry${ zHWPmLruOo_FY6RF{s%YlzEfXep>pFzWz(tkyBRJ=r9?NadaoLhQ{VJzzGB29m!?_i z!aAYqkn6|=uk7tl*l90(rM@qr^?KZi>7XOR5|e^h5|(}!Fq!AO;nZ@@u6Yg{Zbh;j zoi4cMqioZp?E-7ADr@{@IcW8o<5b?JhVYxWyB7S}&1p8nHQ-|~i?pnJz)q(PCnj;W z=vy`<EMHXzI-6S!a`&cT)2#nW5m}474c6W==A7m!cm;I#roYe?`)LWS`2r>}E*maw z=aAaY8TM1u@gn2Ftp6$+`yM(W-@R$=FPr(+8GK_&7fY(YdPKe=<i-+a$D{E=IbRGM zpVkZLY^vkEyh=(vBFDYymAp#CqlBhe^VK6>g*4sb=9sp#2-;kF_chqPD7NVrzu=k= z<xOo-EtzY-s5Kq47FhF%v1t*z;F@oiO^;HWO!X8rDp?OkT^F3PZ*sz1cFt3F&Ku&M zB=Ic&Bh6`c19~k-OwIo*6E8NhOttska6*$M^t^J!8>6OIx=pI@6juDKZdy7|ZN*=v zgGRIUpZ&P|mIJ)fmzg6>L%_slX2Q~9PO0@O5l??Z*Q~nd&$kNtE$jGkImfjh`i>`W z2!T$&=k$8-xZ&JCmehWQi1#i{pPmck1gNk0VcXQ3r@Z1%bd&2ffsnua2a{&&9xYgv z@4Mm1J81hit?ZS@tAeIm>H<2({R`e1L6*FVHHp?aU--b;H1!xuuX$u!=GsqYO^bF5 zuK6a|^r)EQ+7BbglRH_mbrm)4szf|r23@zk`MaO&OIx>qfA?9WbGFD_UiBlasW*sa zs=dz!k9iATP3I7^p1k1KQ5NqtY9|~&tLvO`d?g3E4|9V7`%Li30g1BG#_T({gBB~E z1Ff!eo~*PZhg)G!4*Owe&;rgcuAuuc=eAm|0G+p7BFbGH@Y|5vvg7_)(29;DPJEL< zOZC@&4S%5ZRAH|wckzXr6I*3cKubp6Cx{hI_|L>$Y+y09RYpWVDUr`D98{<EgBD4| zf(m>t(79Ku>Ot#7ZgjNDT**~kcS%NN8)&ga`6dJQm3u*(8x%kb0eQGBUrYn7KxhRm z=wRh84)~$SZ7Ff@<RO_U{|<n53~Vr9pZZnr;MtRoC7_$Ny*Ev0{t>5h#_{oEkWJvK zMWuh<uNBSLG<BXR)Y^gSjGk7Rl==gQWWKyhP-OpU$6ahtF{@QZ<p23YGCgMdr%Zpl z;13_@Rt$A+%N3waB^|Oyo%mkGCnoX<g^Cqj*aO;%5qsK+?-pn)#!Pm^8ie_vyF~B& zk2v9IUnaTkl8gvwmrEPy%=4xFn<g}W6a+2lP!TH<m<zgW0(4l_4^y2pj*AaF@lE=^ z*?@g5=!VX}pi{eUzA3shM<!)E=$?_?pe-16pxfO)c*0H%>sq?9-%0{>;l)$XJ?BqA zO@zHuTP-{89(Uq<<-f^*y%Tf?N%3Xvf~n6GrY0z|zr73Ef{_DiBpf~@^8~b1^6yTa zGmeRx+?Eoc1sRvlf*J|>+{FQvh&x6~?t<1;n&pAwd7migPV%X(GAf`$P1f3QTYAVJ zKO|FfE=7_3CTR6&KIjy*`zH>`@Vo;Z#tu5~<6@LpQ9xf@=WJv4iJ<#$cX4wU3*4UG zDszQDIgw8Ye3BQqjdsB%`PE{+pzeqhj@6>v#S=0?cZ}Qxt>qCEE4olWt<_Q^r$BUF z5MLYUc<-fiBTgK&IDAMZgb%c$R*Abfz`D0pX3wAhzn9g=u>`LFIuTUO!w<4jS37#) zq>GfQaHeXHUzF#xC5n-slGRtLrmD~FnF6_r{8MsYv*qzZ@X=MzWbD;WPTF$$<&{Y$ z_R&FVf|2vjm)e%kx8L`Cm)!Nwe|PTt{<rS`&))O1?TtU3+JEcX?SJq0d&Euj`13z( zlSj(l@H0}M)dLy4^wc9>%Qank&2dfAcfr<wPZo12{K+Ug_H_L}Eyjp^?xs)Hf{;y{ zoMu+j7JNF%(mlsJV5{SX8%9m9K<D~TTkz~Mi+3htTX417K_hFSD|1~p#PlurXUL*G z#{|@$V6ndX;mu0rrQRG;`l=C6{hAhKbA(k-T2S$X%O|_{ET`8yhYi=_SeEjuM1U@* z-pTShl=D=)%Z7tOO<k)w&8j*Ue0t2%y$56#XnAAlWo^s4oa&}mnN6yE3M(p24hE?U zU9sy<n90rgN>44~U0KsFexWr6Dj7eR4tmXwX?<vNuP<ThUCyp|{u^#hWI6g=U`^pO z$bvJ=$oW>6qFe%gnKhNlDQNuGI9PRFcuIY(@a0<yjsYL{vPi#m5BO=!(mlr^;OlLc z?pTL_zgbPHVM15l2!byudCoEI=8IS1?T|~a%D2xHVT^cZ%kuTRYQ)3KEWG{d5i6Z8 z{HSRPT?ZK{i5C*7pOMg8FEr&&f#Uj2TTLBbiX621EilEl2YfJPeRo3hXEgyG%a(-Y zT5*P@DOc21{NZkLWn&5b@3`RxTQ}%xDWwR|dRKXsh*tqkw_KZ2{Zv<2_b2Q;&G|}S zJ>ubW7T)WEYrd2>9W!2cupq1x)-1VO`O4!hGs{ta#fZnBSycTMBVNZeU79YqW^>Pi zoaqUBx3WC_@3x^)EICT_zQ=}(eJoS&`)@em$P#Mrz9C}ff?wY`r|l?VItacHd{5ph zk5_t4x3W2=Rdy}NdlKc7z0+La%KgTK*6)HQHWLz-o)<Ei=e^<7caE+&pAAvn3x3G5 zWdE7A;E6Cx_Fk8Os?Dl%wr&2)(xeKyV(q5w^1d&83L5bW;DagetKN9w)O6~)kj|#A z1+Vfs#HxE1{Ho`e*0I%K?W}y&h%El5Tfc>MibWjnTC$w3ZC_9~H=#8f9B2h658qza zH>J+^!jHhF(0{%GC6AZRxR!NWNaTKRLa&bFNo9^}R*eh3NV2?+aR?|=JXod8dFp>x zLbE%ERv)L?tru22%RfbPh}|rO*8H#Bm-QXtQ(y5fwMo@aeZ^1Zrlqr3wuTGn6x%!A zZRec!Tio$4@A~6g!YYg%4~rc1l2^;9kvOOouLRxzWUr!8r+QEdc9qHG@4m8`yc}Nk z-W$%boi<pzDOzyNc5d)G-vSNDeI;6sPo)kjadWJ?=dt0&UY4u>9X1>>W-*N~W^UVj zWg5%Ya<vFG&|#9GjPQK|WSy^lYeK8MfXSYogr(9PQstasKW!Z^3LebbCUaS9AL~J> z_X-+2&B23WcGD8(8adu9=C}qL6a!sU0`2hY{mXlG;)Q0@sn>TqGIfn6FUXpdurZS5 zs=eceBZlA$wXWP`*{ZJ=k*4W**P3J6YvC*PO$n|4g-q_OIh*O7uMXa;DG%MPVrf<V z%HwS|%hA;=*?)Q#JP~Tz8YVcUt|NiZsvooxzgy>wqkfsNm<sz(@ZAWupew$ULB~;Q zfR3Zof*(hD>X6J4vCR{jtJ+&FJ!~vAo5WKXTl7yjeqImilvg#j%4{*;CmEi}XLK9X zZOa3d#EU_dFbj8afCgy40BF(wOLb6xZ31Y&z$qubMf@8K*tdeVK>Pp=2|O;<E+{UV z;IqMieQhl0k}rEuPyGkH|Nka@ncb@e<yPF5C;o%>?U_WLaQr9+y6MZF+fw8I%vQ?| zo8wM=tJd#j4bS9D0v&t!3$!Wp2<WDand#8uD8FUuoN;_s1G?~O66idnGfsTJzHc;O zZ?*UBdhifjw;0rvfo>VOICY1Yr9}SGLoy-vjymxz`VDd|=!)?lg`ft*Y|v&AIqu>M zQJ}-HazSp@2W{A#2->g-It=Ue{jS-@?9I9$XU^3*b5P^36W^)%DT#baM?v*6_&BPo z`98H*9CPHjEmxdRPvjE<ot^brPVAY&*1lGmE$*NJkYl+!yewbj9XurSq%291{qNq$ z8O?_df)4J|0bSiOvsI?&d}1P>7B6V&=dnXFS3rkneFSZSc>EG%4>zdwH0c$n&!4Tv zZRzp;#37jy&~;ileXW)+WI--f2OTm6y3*sLkl3??EmK-$rhqoDDrJMV-hg(EitUsI zt)~TDgmQ#W=gESd;@rg(tR{f&px<o3K659i?F4S6?Yg?M-|7VDnzCP@eW8ay`$K9v zK>Ib%Iq@CS-(<i()i?a(CRvy5>4|(<nV?~hhE~fAnX^uOlhi>y_06FD7fzu47c*LA zQb3oYSMh`HcAL;@DY3@@zE!kv^3@$)#Rj+fK(~>D&iDkKu=#4D&KbuXKG1FCClAS} zyaGA2qt&wFEBF#Fo^NwqR-n}KN$MAcB>h0Oe5X(6%O%FDYfFsmFRAFvHSt_~xl?hg zOIc8qSD97f6d%uSKQ)=pj}49&_B^&Ip5r(9%Aa{lF3mjueAm3)=jETrTz_1(&;EY# z{rBI0zF(5JBlGl$)BCfGxBvey4?3>$iZ-a0Z=UY^Hg&QF!|653D}FN_ESi09&%fOV z1-}ZjXuom1P+)e@%U!_4ZeqezV>Qqgj{TVo5Bq!L3`&=jSvr0^%98zs!)u*t#OunY zOSA7ZWv<;U>v;1n=QZnw1%DD*q|d0Xh)}%oqNeH8bU~dWTgR)rImDu!1O7%fsix^f z+Q)2oB5+969DLv6RHYTR-3c?DA%_XCWceyCu%>|1@vzxJuW})iINuGYF0;6r@0%JP zdAn`Fk35#_H~s;jYld8#E`8>_W(hiZP}E^VaH_bk?8{j1fPZC8ykUYNHIot+^X{Gz z_SE8_(r%%UyZs3pojF#?yKgvR(=_Y35O_a`Da+{+PSC-W@8+|7EtPKDd`KErRm@%9 zH|3uD1q=5Lr_wpQrg4V-)^q&W&3Wwyuj5HomTX&xfM1+VrAphAqf)mkM1c0zzgNBS zAfc&izT%A+B2B06D@Ht71nuptUb|9x<801V_x(2<dCX$^-+9B8XXOQdzV)%3e&ZYP zU7_hI7t2?FwTOqeS$OqTB3@o+>CIP(cxu<Qs9$i+xBR9@8?6oJnBKDiHJDnw{oa|D zF8Qk1bje+CO|g*U&CMLwRtr!0FM5#8Tp(o6^n}HwEK~oxZa9(VZMb&TZDE~l>W*J^ z4hp#ofk#)<g|6&tNSLV&9e;hNH_vL)?)C-$qMAgHvGAJj<h~sB(wC*TTs`7xGm9&~ zdc<39mZSda5s%qfRA;kf$NC5S%4;gUCNQP8FJZ4_d9uaR`yLw{L30Pr9Mfa|9Jn&^ z;zE|GpxynMETQ}TH{4hXsi=aQW-&J{ou{~BZz2EXsI=&&UAqN!zSlMVD&`3LA>(-X zCyVw~4k>-5h^OCS-PiXstb(d%Ecg-3lD+4ZoX_ef*I2UOdIeZHZ#bvOlG?8xkuT@? z^Sxlsh6xKEz2`7{B@|NEkuXuvF*LgEq0Tl@$FJK3bvA;|y8xZG@N?rz<(0{tS<^YE zS+*~Dca-Jy-)Rf}2{nnzsbu_MIp`&Qr#sX1UQ@zU6~~LU9AUpT96u&=T>HW0cycr6 zwOt(xzFcK_eFqc+pxSkted&_#7LGsv3*~J1S=1L_qkYh=S~%n%$3Z1`;gG*Z2a`gZ zUfC-~Jkn~KRj(G2#p?L>ILEZg&INgI?0C|5ZWp+6zdNCo-(Ml3h~wd;c6VP{&Dzcd zA0t^-|CzDi#Z;Enr5s)Q>Jg9Ev#7?aL}YIkoe}m@mgCyZ$>3=WS<irdE*lQcWD%`* z*>F*mW$J&I4JS6i(pvpYE1~Mf1;4W29p5s|L*Pn{_`#sfoLTaI8_smHl=`bjylZFq zI-5oN&%^}}?}7)$epohz#@#($(3R)D;g&ULm%PIUH|Ti8oH^E|OG>32Uw-DiwsXpY zH>E7EbyYP$N3fn32<fqF$jsfM>-e->K*y+i!7Fu6G3&+!zsxzNMK}a}7H9F^%9(ZF zal@HcP^azo_mw@F`$b{d)aHNJK%MrtI!_X2Bqs8C%?Dkd^*C}y^Qr5RCmg@nfNrKL z25s-320B0Sm=oV5&;fzhIzZPw#elkEbJs?ka4ZuCUH1g4;_BysHWpfIHl=4Www%A@ z_%S<JzSO{~y;Ww4G-zmFN~|aV+__wvqR9TWeuDu!r)|sXDb3HOfp!?CixpkC*WPM* z;z`5_$M2xMCEr24Wc%5zmKuM0T4i|FrzP@vfyNpN=eJs}_)`l$TLH9b&twx<cqU)g z`gBG1k8>ktG#{&uIN|syLG0OrQWI`VkNJlV$&{?pd7|(;SnSz?a#3!}6ZelClHmdM zn;(Jh(Yojj+E}=&y>dIB66oN?EYQXQ*&|MTuRz-~h15YCIKT~n3xBSGM$7e56xrWu zgO1Jw-5|PqPOIe!(B-55T|viC+`0;C9lSemNM_1C&>fcSpt=pzI(Xp;I*$_6I*3b8 z<dfPD+9@I(F{AmKkyz1$I8X;T4nF)p;ciL8Y7_RoEZmkSKv$6Rq-~zi{74Yg{&Ep3 z3b1Q#wd?>LoxKWlmchoOI!_kd19gM5BTqPft^~CbPAY@8Yvvz6B$EO<ZR0NJ%<Ma$ z9g?8Ica0FxP=hXLONzui`>%oQOHYG#VOWVhTTleL!g5_=B45^d&<IG6=?<^r3o}kS z@lDbcd$zz7bSgAxpNp0Hh6&AYR_dH_%xC1bJQ08BkPOc)ohJ(_)IkSVpLOCp<-gg0 zePXYCEa;$U@Ts8zchibgG|o8Yn2Qw!+@B8`+D}wuKMUHhIqSR=->v7Mi4s?xCkv`| zxr--&CV#$w&INB(2OXDsc<Uec7Yjtv71<xhM$BkFRj2brp^5|4TkmU?IRet!TdeN- zp+L9cLF6gNqKBZVr*(;n>@Pv*Wk#NK;=A^regDe)|Jbh`n79tKjRU@ZQCm-~$*HR| z=LDONjMJ50j54W5Sq%IRcyuaWNl}sBn#LmR=rdF8@|LJuYa%W*vFUL0Otf#_($QhD z)>})Vl-Gmxc1LRFvVRMkd1pv8ExyY??OtVH<>QpezVmADeV<pn>88K+uQRVwU%p8H zyDa<OuW4aXUW*O0Lp5dpdYNt9<)Pj8?}P4yUHsw!-<ek3T7Q+JA@S>B(BhGvukP{{ zuSG%2d)5>mnw5N*X-`=hBaayD+^H(qxpcj}Ql8DnOE;|gx(B?9$pf-dVV^}`!i_&G zW%s(j{)2S1-0gVi+PfQXzMD*1Ht*uWRreS{tC;?7Uu(OKdog4=(#gl51wL7?zsT+N z=2~!t5qhHC_wa0YwhI#(Tyh@r^)8tyUb-QxBBGaJ%B>emzE<%wv@uKEovl8dqs@2z zXWhNWH$;Ngq(PPj{mf{FuF+AGyW;WcpD+9Btp8!k3`@i2-&z1&7ztWvc#c*2tK3#? zq=k{|^PvuoSiF9s$&clHVO#rdtALgx-I9l#u(eCSPg%lje^S`{i@Vk#t&99N7rH)Y zc5Re$rdDk<+v+NH$cdBxV8;_qe*Q{(+n1m3Pi(%odmqwqm4Dfx3qiJhXmY!B#@hUJ z!qtLR3~byED|_vhLXM@&H~S(wd*3Uy%4_Y>pcCz;r15}`c(Z#23#6n46R*_1FHhaD z>f1r^DyXpipv5G82VdBk_a#Iu-|IT>>#bPU4>=6b(<-l*L$$8?ILqzQgTLmd6GC6# z0c*YXuL-h1r?yb$;D(>Q_ot`t-MSX!VwL28EQV>HzsYY=2CvUC`z_zQWaZ|1h33$` zZjhBs^}diaVOP0zUy`@^eXa9V`R+(7BY!hP*URYc`MWK-_(RSt%l!;WS3ek;G9}zt zu^8&zbyvf4cI^&*Z+It`q1mzlda&YR__1^w-<0ij|2~PSBV?;S=-{+h=Frthpmj{I zbM`yG54;_XND`HQJD^EIYWe+@-z7{y%b3=MDKoTf&4;eMf<)}*)!#E@kru`6u`S%L zGo2B<zVYt_w@X*1vI?xM$_8bfzn~?Vpu-#|e+R|uZ26bARqMqCzB9nqRPKKxx0k)4 zk3}Jg`?8d$@pVy$B~temxH0fZ{|$kJ(Y+d%_>C`XzrVC?a|bP*(m2Zij#aC_bx@tc z;+ZO?>-8HvLU)70HS9h#J%F?7=jZHS<hDMp*V%2+06jzRR}nOHP4%vONabiYcwF5D zS~GR6E(~HNB&*tQdubcBJm)&%T)O%g=-SB5pz{rPt!7XPeJ==F#`GU{pxw#8zq)jF z|GK=tX#F@5v5e_&H#9A5E9iB*bY>e<N66t=@XAqbP|?EU_WhY+?a^iTKN{~nUQo&S z|Mzc0HdyN21+!H6waKJi)+HNOeX|CY4`0opE9r_KbF`J-`#j^`;<7l<GB4<|!LOmv z+?Jhl%|q(VwS%j!)q(WAeI@>BwJgJ7Ly5cM#|3$2*Wc&c>;C!?N|AIOvas^T8-CMC z%f7uz2z@^RRFwVWwEhY?C)0mkgp$OzFAppCy62}NS^BpWYH9Qif91?)3;4o#?*}L| zEH$(GS_oa`c=n{w!3{6{-(0HZ2^SUk&L99eh|%uW8d=CGtDWwqlXk6toe+AqP8C$F zyh;ZpUPFny;AC6#%X6>$+gnJ^eH{%g8m?71DQ6bAu&<6%w_Ez1At38*F*Jy}L5qm% z-(~J~&#pys?OWJFP_y52+%8@DxI1;jD)UJ2y60cw(7d9qe<eKU<!SCuYrf~{G^8`b z&bs^`2u(z~dzMTzDL$2-x*@9qdiL&GXgO%Ee>FVkmo(_C%R5oXYb%AJp)|W9RC#6f zG0<6;zdgW3!|M2jklYPPyl0<3iCq5`RG7IKv4M^^UjH6e`absUU2^b~tx?3)T^qpV z;MVm)(4#Iv#c%!P&!5(8uR~rTUL6QazW)www5%}mog2<DaaH+B&~n53pnMKGi*((^ z@SK<5_Ez=Zo6Kr3gBhCJ?!i~XbHBf}{QHcZJ3z$|7pOSOSPUr#_wMwJ-}sXK--_=N z?=%q$6@R|)g$9)GoNtTnE#AF}K`Hd@YEa_;r3+2`ptbu}{co>VUx`CrsXZ@#(o-XF z+0eV$WD?tIE`^}2*Fe#7D;Bb9@b@E&ro_+wd)Iu=_`4UmqS?*=0=nLMZMKSUY&65f zRogv5tETTmm+sH6@rd8J^gjO=xxMwEL<lR6>TiJ-AA*ac`Byw<MaDBs%&Gt_ywBMJ zT6Nub@Ws79OZO#RX8*V7yTr5or}X%s>oI@6(1CfgCQNx{buy#Ns#|x#zJ%2i;Og{Y z_!qgYllflSGC-^F>-MXl>Hb)c+ognTMweCBoI(1&*+D!h|2KZ`|Nno)RrMIARxqaC ztlTg=RrL7BFXdjmNv29u6u1&6D|892<=rF^sFHA0LrHL>n^<SZgeYx6!6s48ZXp)~ zB`<-a9XgC6%2AwA*&-7|wkaqHdUH;>w1M5r(W~bE%=~kIpP#FF_B-X-oaZ~s-)*_M zRolFO&lgeu+}#hORn~i`{I1uIR55z2x_O>lg(a86PfHf*H$DM{`c1t>EK~bcB9{0B zeAQ_>s;9Q1PWPbDY0j*Bz8kJcHQkyRDsj$ew(kPdY@>Zl9LL|kGbvTs&hGe^nKR5{ z&Vq+YO;gV)WYh>A)Y5HQbebb<clUxHS&(xrUN+0@->U5R@-FAKnf?LW95&ojYWlQX zIH%wtWDa6y#nmelFZv>`B^TBalTM1dx?MdYNzU;sGsm<jhk$CugGS2*udEf;DQ9*3 zE6o|Uqj$kWt){8-lrwC8EApgI%>~~J-s0VNZmw0(X(18&o`l}VoL+j05wDq=E=?C+ zvw6ybH(X6$!-S^D3+HS&d3Z+H(OeF*TfGZDv2%!7PFe6uoC9{{KnCPGu}QP{&$XJg zYwCh;e_2l3_y&|ez6v_lLS@B#rHF@rS$O#sBVK-H>GfBOcxuh!s;?aJ)*O5td9p*m zC(ov>aUVI_f~&NfO3UVg&oR)m+<pGa#Cr!>p4z)_IOxeDn$02|>lN_xI^<gN`z+nI zegU<MO=nyaiWa(3!+kJFvgsDPpiZ%g<6U9SX}`@J|9W$T{Qw<t)h?h{c>{E-h`8h9 ztt_i=ES?c|QI{jE+Su{qUe0SjI2=zd=D4OMJjHHy!rX4oQ*rJa?iDqC`mb^$f!Fb< zWVYd)UNhwtb5tTy&T~S}FI+7@&q~O;X~D1QoYO2OF909s(YD~5QB&$KmeO!xo$pGH ze|_C$_J2@oYTGTm=8Iy}G1jKgeJ%l8yf)n8=je*}-Ed4EI;?ckdU@X#IVFv~^AhIX zNS+aPQ<me}Z1;eF%`DP73gFW`{FK3`d8|`eaZ51*bR9Xrkj}=w1<zK?A1|18t8W4L zI&$b(`p)VbS0=`Ef`>8oxnBTXKUL>{p@Q|G7QcW<?X-lg;kJ#LqW%JFKJqn9`YpJo zRKxM*V~%T<Qy0AX$@1Ei^VI*ogyv}I8q%Zx{bVI=eFJ_@^-qfG&hZWS`j(~pt#^Q} z|Ar$QSxo&EBVO4w-I^|_Q_SpmH=1MGZ+^$W>YQO6cC8Oh?sO$A-7aWyuRUREKWs|L zHtiL7od3LP#A9_9)!QuDcYOkW*)^5QscTrj&h*LNtIK(6|I~zL9>=5of;lB7j!)MM z=@jufUX>SyU1w4V8@;idWi@HmPo8C=)!a>~Wr{0yn?vp;7dglUx|iJSpcik`vFn0s zKBYG;`Yy2Mn}%ayui+e1Gj)xf#s{OSg{GVnTvH4>w*)+;B)qKe%Q+Q||H@6g>l9b~ zP;ct}#WGc1anBch&+|!9q4Dk;ZuqfWz3;o>NF$4>z4L}ENlmvxn^N_ZR#clFEUH&o zQLlcG3qE@DdU@ZJxZg6z<=;DO@S3&YMNQLHJKumB!GluwRWvFU4@QLxP1)C(F!wg+ zDL&3?(e45NOkW$!;oYXZ;)h;S?>F@mjz2+%B&IxE!m-YgvE}*$&_R^^r2?xrPiX$7 zA2Fl(2&l9FlONQ(?rN3UGCwtu&uH`f<9kmynuEsR?}4r*{|%Zj@CTh00h$VU`5H76 z4mx4zrzN*#hhAzTUzRQCs-=^MWK8CP&K(4wZltjDWL3;k%Z%K!PJEX@*DcJgjXdG_ z4RkE#`v|e33F|i)us44OO~9ms1_0PV6EN1?#R5C$x5|Xf2Q5C7-rq2La`P|HXo3sq z@D1>N<e(Ytx5*JRn$Mg>48uS7t6kB2$3OCf<NmXUWJLZQbK+|Q&2lVN2OWlVts8W2 zcBL(7#oVbwGFR@McH(=)zS)4iSBcxwW8RTNGFw2`qrdb9E$I9T8c_)cji`Xee(aAO zlHo~ndzSO#{#odBNkET(A4{vH#M?toe5XKb>y+3xPH27wI-%hP=m^Jux?;~13OPZ? zdxBQ_fo^L54VvQEJgrrx#Pspg)jaQyI_B|lTfTUI=8%jC=q9qmGN7rANv$$H{t1bE zTIryv8Lftd8vcvj%k8c>zKsHf?m5`y<e)VX+Db7?WtNyHC-PZYgC@4*Ku1hDfL0-b z2CH<^6xoj&N6cux2pV~~la$D(bQ(0bp$9rEWLB%p70_*ELbIjnAG3k)`<4Y?60!s| zr1JKs&KbvLpzF)JBW5(;c?p`+QUo1s(bX!$lb@8x=T#0meFHS7Wpmz%Z_&n?KMYOT zw}P%As{zdpSv0iDsEC8+v_NM})k<*}Us&ni^}#%k;o#;e&2L15<zFo*R|bt$fkGCv z=J8Q6Xer`1w;f)V8u_OW$+$e*G@&_bTB~J;>@g?4SI0qzrK*A!pE80@3YpU?vjwyy zccv)liVx6~R&V49$NI^UJ1mO>Zlpo3BawgCw!dNd{(tOSZd*MD&4JYJfL_j7Y9wEH z?&O?cv*m}|d(H@L?wQ6Pv24ZKjYf;l90A?WdB$M#iD?gmCq^dg_MQ=n*2+>#lZ^D% zG5#cYwr7*-Ov$t~vp;{=y*^v}&*J&6xa+@v@80qL{`Wci{SueYELPU9JsQmY|G)C2 zaFw8nn_gm_XDUvb+^H5~O<3B@DYaf9;%P|JqUS<u$}}B6svVTtE-+=!?1Z()A@j0p ze9g{?*Oa7+3#^&0q*1Q`K0|n(&xVVZEK~K>BA%?`+>*9$&t--~{9$`DUwP!nI(}t5 zD0E)n${m%iOkLCY3%<p%oYqlYvAZW>r!eQM`Mw*RdKWyDYMPp-l2IdmP>Ww!q;^)q z*533)i=)p4*KB+aX#`l#oN0B*YSw};oGh>BxCVT4YWf-`JZ1m9gl0X*qwJh!Rb2}< z<#uK2ZjyDpdY4nox?#bui7ehS{sEhPHeB&)y0w~PTBLO&<T$?X=T<83{LT4ly?R9A zv9uXshb>vORXL^J`)@cU$l}_s3|_yluMqJ#n?-fKa>Q$OmZiVJClP&fZTgy)e=hU$ zZlRnF_aP43`D5Ek<;6^nPx}RQf>c-h3T-;NPIbk<<R;a3$}4`RH!Y1*SRs3x>2g$> zq2t|J&S}-!j(?Lm!+sbz9{$W3_KVB$aW+TTOX$5~GJDHkdAtQ(&Z!=ed|KCV?WAsw zYgN3CFP%BBS+*_6=}g%Bnd4Ob%!KCEoLc`n5*Al;X#Jm<F!4FZs=R%oZJQ&S7W`Vx zIn4re9x~`6s+#9lCSJ*80i8$$x`@iR>1mxu!2i4^(SHsXK14Q6HS0}`>J=AQ^GOT5 zN^hFFMjh8dsrf1zm7E8o!Ud=7o0%}T6gm}=E<e}mQgz#cnv!gv?B<^=qVb*^E(SH7 zdan@iM5Srfe1(WNN=>ist3)jF1rL1NItBdBY)VZ#cdX#mIpv6VqAXvHS+w`mLRLuF z*d)I4c=?#6S6?OKsWgjgzCy&?=PXC%l_HW|0*V&r8m!&AO<7|v`#~#qfho3K340%N zo~rLjXr9U<D$OFjR&~W6<0e<R8IXq4&R^SCDjS|XxMfwo_l6^RET-}P8$$XPd@E!* zJ;yWPJ5$rseSQJ|t(rvZye@3;-*73OQ)<7*hEry@i*CITYPuTd9`L82$@Cs5eJHkg z`^_^fT@tFQQOkPJ>blUBz0Hurx?2;P#W}R{Y{f6%$}n_%YI0DiT`;7U|6tN`;gJ74 z2Zg2!U-_eVFlf8*m3`9_W`f$TpnCT1^W}X{w%y{pylQ_(LhE%Q6PqasOUs2#^aR&@ z%4}Lx+H`fFbHE?7Ceu3KfKQxFTjwcj>~)nn9I5UYP$u8>l}}OQzg`os-)ztd;X|t8 z_NJvNC6bVB3)+rX<qjtG3xhA`oPGB2maKOk8?M}A*~+gJk;dwHH<)AEYJn^JTM}CH z1x@zMOjsH(Xi_&NVQRgfLImiHahbVWZI^Am#m90KG`Tc$!IPq<t$s=xwR{Jy#0979 zos_WG%<*TuP|gPq$D{QEIVQz+ZNZh*O|IXRR{T<HIyy}-L|;g!@EbH6{5-l+d8H}K z)_jGCXL?P$_yu*ompA>Ae%p|_RzN*t2m3*<e3gtEk%L<D3K~^nux$&V8y1i8N1Sln zbo!9Y7P$mP_Ls{eW;FMS<=n{pkSFT!BTqKvxgV$^d-3*|6W=G$X-#aFVnqQp_T0q= zR+C$0rhv|9asidluf9jlXudI5=gES9)u4m0=C#V0C|iHJe%LXKkJ~Z>bWWoYXhQnk zL(npaT2Pe%T5R~^&Jqs2b*ut0-j)(^hF=5Nmx_TlE`TnFsypt)x5$5!0sGefpz-)O zbAN1-H7QF}WIqWy)96~F&J%^$ghW28<)DisK{e~Wr#ep*{@aQ@ODM4Bw$%7Ht<_Qj zG&%9pUgwOX%CraZcNh+Jzh1EG^AnGN8O=99OCbJ<f$Cu$Zp)0ij#f*LxuDVbQqZbN zUeLCMW1wvdhn@I-`EM{_Zv}5#5aa&o{bIqE39T|c-$5%3BE^aXY};BbSJX~ul{o@B zD@rPUmdL~SI}A<Pn(QZ6FPD3@pj4aN(&PT&Loy|D>5A+(4Y`Xi+|Ch<TPpLUJSmZn ztr|4HaS&9$v2t5#%s+KV#^oI7q-9V`BVux^%$0o5s>yQD$xX?iWvG#2MHl96HNH2; z@`TO|k%LD=86F-jz2NI>d&TjcgILjp_;ZJ3L_n8S9WIWT(R}GBs3E4xT^z8p6Si-G zk=wGv{_r6g6SK&ka834;pz1tZA2bzn>X1yyG*DZi6Vz770kyzD>mW=gEA7}J=1@^6 zE0RB_YDM$KSkSDI0e7)L<*Zhj5c7Mi>o3V10iB!mYo5*-N2S9~e6!p)8?dhwjyU1? zTL%=Nirkhj)}<x#6<yyvp}B5)tEGm`2`9cb|BVLhs<%b+{rHZ7jvGDo4rCLT!k!&` zi`ac^uQ<N-0Ii3Z*J_zD1$1yGXqjx(Q767j*`Q|FT+p>(_MmIQ&O7maGM@GzbXN00 z8L^@OpG^kri~mNRaQw*uI-A=5hi~m~`8gM^nt<j%>R-%Zl|8=ycg-EIwY}T^IPT6` z_$WDL%7WOclqC-oTw7VDdY{mcHwwD&ip~7eRh97E6<6EhObf3W2VQo)ZMj}7@21mf zv31XO@s@71-RX63V)|W;zjgDjOWT$Fm)Y}U&;9q}=k3c2%x{)o<}}XVCze06cK4+; z&^cC?pmVIGuQ2qV;eOCinxCn+>-XZce)9#!&$T!2WmxYIIycIi$)?^X7;;S0?*jM< z7R}3;4PT#+pXS@WnfXHE({<1jPWG51j=cdLO0s3geBaalEDH{=`U^iM<=v;7K}u{2 zN}ywSBC_L3PLxE|=*|wC&bHw2tHZF%=Tjc)!q1i}6?bj-v3|bh#IjP*VOM+Ppoa`? zpI&1NzkD8i5D}~P=FE?+3?&hk@3%pZK)E*)egq2m;1<2#X=b|nr5gBFi`|_EIjcv0 z-fY<6NfEF2yqNIv%jNs0mR&UmokKJ!A9gN@IQ-0-Yq3(GbFB7T&AwL7vfywR|2pWQ zP}|p^fE}fh13H7~{%+;jV)k4P?NguMg`QFMJ{E2l_@JhYr{$Y7e<m<kt%>@z7kW&} zhc@_0DpBTc?LN!P*PUH<H5qg+NmnWKm>o}hcla?@pI%JJO#gfL=9W4m(77bv=0VJ< zKtENhy>5bUH|Qjur@i)PASbDOzlM6M)~#K3v#05U;_K;m=(#}c(nUuYTv#FkTlli? z%F7zf{r7BNPSjsx2HCLnx1gsT%||-OsXVyk#Fov!kKf$#&!53+O~m)fFy}-gr5@*H zf5pwyE<d`#p2jE}c2C~negFgLq_p?8b764-4xblK**9laK61Xf<reH1C;s{118XLL zPD#nmFF8?S^*7UOtzV_e>}$7~PKX>>!yNJP<Uw!{&Mb0lFXacNp5uRYW?z%%a%dOb z&ka2*?Y2AIW8h=8=5Mi_EhY~-<*S+*YRtVkx|^4}zyiAPvf|~7D?!KFOa`4J6Tpxr zdteQNw%wflrtoBVtqGKV4lmA$ij{2OTip*ow&m!4_>n$qZg=s`EIW69n(yoLtP2iv z{SKW~%CMPfO~vmXu&cvF79IwlvLkLk(YJdx=oqx7x6pXMXNj7e*ZnFr)6M5}Xb)Yh zzFD&&l6}LY!`yIxWyea$8qKY%OE+7)Pq=|^_4yyU(1WFlBH@v7_w-`tWpiiGKeg=Z zUC_B>%Kp$KfBQQ8C?2y6tCU;&zka{DWd+DNt>;r9N9a^vhaaH>J~S$7ulDR|_WTa* zq0|3EPvWtAgUC2hVzNea?|sfOTRV@#q5bOhH|HS9&_4{G46p6g1s(eH<9SY$y>J7c z_P!nJ&=Y<3X~UB|II}<7dvnVTanOlce^sGMqUWO|o{Zo7a-#N$H1Ms?yFDM8*X^dj zltjFK2#PEI^XZpgZDlBlsJ+e&4dj#8;PJ4l-@V<(`Zs^d<zF-QpJTFGv%|b$-$Dk^ zF<|%Z&4d|sW5LS_ng2f=%!$&mnc{o89iD#PfeivBLvZ1vR)2cg)9UzB%dWytjrwpD zu4F5pOS{kV;{OwUSM#$hIQ(iZtjK)w6s!i6E_SU4o$6PmI{TVDuS2_NJr_JN7sGO? zw25rhvcIb9(=I<+#847ZsQ^7)=)G)t%C;%6!<E3%W%W7!=9WJp3|4EZR6(b|g*AxE z&-)T(#wuXQpxt#LaqZ;A&dV+r$DdzzHJ#}~;@6ANV!o#6)&9+z4IPZ2QsMPHF<GOx zI&;%5|MCW<pC5}eA!i7_-3(8N;0zZ3@qSL!7f^L^(pY`p&&8YOK@#lV&j`1h%NohX zW^a~b(9Z{@qUDv)^jc8}Pp@me7cm>ozGq-QJM2EcL;KbAv(N+g{MW&cg<4Zx3Mz&E zti8EqpFXHi{Br|Z3jN*%4@+<!Tithl+0n&}FE)Idv>kdbR5jX3Xi?Vov#0InacB<> z*FOPCu>ARFVI}>Vtx8rYR(C)2<wV(vHt?-pA3Xz-)aI`_2UoLO4V0+AoXd%N&*{)E z8gHivO|f#QS?qpUkeMzY+k(TVMAf0Wuv!{5bZq~w%Zb_ts=%)4K(h}&!ok;W3CSAG zwfp`qC+h5;bg>JGUlkhc7K4k{`8nXzr9dY-uGy_@m2%5+i^}Y4`StN;x^f(_WV9O` zWuU{-z**kD$jeMuZ2m0Y)4I^aSdm{3iirlFoeK_w&-p6$JHISduz_!N8LX^3d>CFv zZT&azXpYtYzc;s7S%c20ge6z?$ugCX=hy!K|4ZKR_roKg(T-}^_RVb{d`|NObDtGC zzhZW>pZdAO5#GwDU5u5_9*OF<nKUygyY~!_v2cH4+Qi6BI?BdJo!zGKUKWc~d&X%j ze7a|o>GCf&*S|jd_jhA#b?p21#c%iRzyEjp2~O)g!N~8yx2tZ4*L6SH`fmFF=#xql zzh3(rc-2@fkU`9P{(@hjEZ%G8IAkAtBCW`BKj8DbmC7sa9N(sMOpEjmC{O!zb${)L zz|(IX0_yb+a<vPZ=m@MSk#c-2%Cb7fG2n|^)75t>8vAA?^e*T0TBjJHy-m0+xT?CT z^ckc#|83!$M=O>0KIS+z-)Y0aqNc9tf;lD3j!*dxD)9@2)OICooX)W-f0yyHtw+jO zOw)yRwstJ|wvpxZ6pmMV$`S8^ntojuUQ=)z(!Z*#_<v>MC1IA{<1DLl_HZu?HF4Z< z>mJKdaiKMZJdP)qvSiP75BMe2R62_#HD5I%U)1sEaSpSJi3=XJ?M||g&UFr`^lx(I zn+feO&zf%~6zvr7H>OEdPi@6d-=?K`iYsd64;u9gU8!wM*!d!FM%drA9AP(_7d&KY zntIRs!jF`uP&?m%FG@{U_c;da@!fDtA3A7$(tTOqmVbT$Rma}VxMmeCH05q@!d@Q7 zpWg*?3WOYw`U`?L+OHReY_u29`DWnwRq&wD>-UWhuhb|X4B9PlWuE_rGlI}@hI0Qo zR+E0KIR5442+I&K+0&V@biOe7%98zpBB5NDqu%ne94%LhNVad9WZAUUPeG$r?V#0j z;VFA(CG4Hb^0eM<gCpqd3-D6R*H<Q9jA}Zy{{H00?qw<~cIh8XY8MXquW(Rkx$u=W zLOP|Yj&G$or|s-s@Gh(A=|87{dftOv>u+-(&fH;p&?~d)ST|?b&jT!wZ5S7Wm-k(< za}W4a)MUEPJKz&v(^feZ4O^9n=c-McX20#tT)SP?@n<cES%gc#$G<GnQ#rKirzK3Z za=iLpK&Q;w@#}p7osUl-@wxNo`<2QoebW-7whFVHKBKf^_k@I<-vzJ4du=$B&LI`& zwBgcrPO1A|8@&1#ym-vA`fvAwA8wmLD`0&Biu9Yd@+oT6njEz9hAv?}7q+bLi=LXs zf88eDJjE41vYUG4R9F1*Z*n!e!G3wwF4KcanoY0R1$7EV9MAR(=#=s~zU}6iwzF?R z-t2^(@q$<CTM}C9eV}VGjh`h%Y47b^@G*~NwTwr=7muc^T`Wh>t3^EaW>H<Q8u2=< z>C$(hHJ=R}Z$9U|7H!}Aa7w>$&IWGBqs$y;R!s{&wR4JD!VV69CBD4x$U4Op|CpOp zcd?lMci3>Hm}RS}_~ocH?xtPVf;!vn9skN4<dRp)s8BoT6|ay{V|q~QzPd&g|G}*N z>Kgxa4oXd|jhEE}uM?d-+rNup4QRTslI69GU%<DDrmueL8viSsc-N_{xS@38g<jLC z{mKzfB%4<KSB}VeW^-rlt9<2%Bt6Ho<pMgP3M*>04jO5LgN?;H^!ulk$~)f+UfJKA z(0X6UL`G=Mm-wb*v){I7uKg6&w5XUPtlHY~<719%KUf@3K4r<i%IS6AVZ*t%EUEGe z5$`>lKK)m`kudr1PtfrfF?Y&>SP~XTvP|8~(k<g1@bxiEx32Pvf1ypP>(oy;eqIjh zI@gI6U8n=CS?q~C;aI--XN^2xQGOz513|=$=0giX8wgB5H-AfTTS|n37TblZx&Byh z)bOD8gyR;=uYv4a=Y!5r0NqXgF<q=^Le0ch%Z%IthIK)Fmu_z`V4o|(ZFxfO%psXC zeMyS!Kl?Wsus0WjW-m^IW-qKjvlpPl6L$8u%7n0kuBBoH-Jq4rW}eO`6b%~Cj{=>w zaN$lZXdfRpsPF6n>N^{8TfUfg_K?hzEYPrtE@%s<AZQEcY0wtVBTjtB&Vz1Jzb@0U zdP?&P(1Oz3aIqqR^BWA<dqKknPe7|LU+ah!P1rY~)$&D*G5lbH--lQBTfHcaJmL7S zAGA3VG`6#a6}*d}3ABqKEs;;@Gw2SjSde2u%P;joBkdrUea;32sG3;Og?;U<mM3hE zIPvWQZD`&3mG98mlaBSpV$T*#fZxlJV37~nKJq?Ak^M1vm8~Rb6(eYRXm?ku%#m== z`5B;lzc!seB(r56X!Rm!vqt)}gL`t=Z^}l@XucN%nz!g_wUiJ6g{KK<PX@?|p!?aC z%t6OgfR7npaSs$r;7dIInQ#|Rhyaa5Y;*=~;M{7<ZJ7bu6=KvKdBX9#h1fHNaxT!h z8R?09T%e229`fp(aa?)WiSHO_56;x(5honKsER#Xu<hl-9hR0IparESY4G*16Jkuh z2C}aO-7vQ62yD~@bTY&HD$pe!y{(oKcR<&ugNBY48**D}<nIv(&*W3$6e|k2I~#O+ z2WTNMXpZVgkXX?LoBmcykJv*_e7Af-G5#99!{^<qmHk#HY>zqd{Q_+jY31DvT4S4c z_>jz$Z=ld@j-1i#HLq311$2h|*5b$$2etq2&;Rv2wY@~G6Lef<ZqJ-l(YE>D=d`B@ zbO~yN>^c>qAj_MpB{t`f&;}QYDgBFdlVZ;>*-IFN3E5j+JgU(ZsW4ID;p5|M=3$cq z4Y@jvPBFQ0<{da9;F7)R6%&_olgX45A<I^twkwt`RzElY?%r>^-anr+`Hh~<-$Sdm ze2KjA@uvCyC8u*<{ua!x^13RtdFMg<X{rs|`|ArOb7D8$H(^Rh=~=Jyw`?c#g}7gt zmX|DHM{`}Cf6Zf6Ip_dAdC;w=U+0BFmf7^%E?<A+!-VNy<?c=ft&fB)mHE8_x{U7G z{uL8Veg?~~d3AoZU_+4f9?*r#pwqQ(tX_ZPM_~0=xxZ&YC&W!j1K(UO?*qM}dX3rI zi6+0bW!Gf=aRgnZ{JBAj5qzz2n#jQoD}UMTb$`4EY5mh|YuNgyUCzpxAIq&GuGaa1 z^gY*Ie{w$LU`^2N%{h60_kWdpn+viMy7;7g-xBEJlb7!^RIdJC(;RwtFX%YCs^k0C zeP>9pXt-FLQ`(tu<HMJ~RsHWok+gna2DR1fe{%1Vlj5ZtR@FOzmYzO1^8bY`g9Kkf z$$X2IE(SS!Os`abDHjv?4qxfD1AH<Ui$c=Yc9ThI@z)QoI+n-|zGnTi2xQI6?=u=r ziNUw|U)f3pBiU&VUyk{8huftjzvD}m@=si~cNYU2cSGXb&CtbOpqt0f#%6w6vpru6 z=}@}Q!mtH8po`Y)mYhxq{k{aUlykQOWZj=#*}{EEhtJC>ov-?S4s<BpQply{-{oM} zDgU`|GD)pJUa>j!Z!qML%Lk94i<mlPe|7c7$4^e*yB~bNd*-nPR~U4jHy?+FQ&@GV za^|-u&Z!$#6@u0cHw0zJt%a`jl5{o5dG+k@lgRu#;S7i)J&XIHDJhEGbds34yk2wY zTW)UfX`s)Gq1J-#9)I@E%4y!$Z-<dqu9p8>2aDOm_jlQ_pI`Q?l2u^kE^E*cnS0km zSJWNPyAYo9<L>sCw!8dA4kW-9GgZD1g@)DH{eM079)AK_u=scNRgQ+l=6tAD^}MU$ zIe-2w{wnv^78FIWL@Wb4lri_N*52c9L|Fw^O3eqI7igLejUVI19Bsa~MQiRY{#b*w z81L-V)u}sq7AP}zL}X8PyY%QBQ%A^KX^?a0z6YJc2U@S_Cdf1U_uIm%{%`w`GJy5* zwHK=yKu6)3{blT3@+*YVW!1a2pfyzY&O_}?=6|7W_UE$o7rDD%{B9m)gu3?q+RM<D zj(=RGJSUrrIxKm09<;)YZ9i;1oXx8BH-2!J?scz!8iiQU+3X8l!MEBsL*?wfNQQ~4 zJ~D$=f`0ZyPQmNvF8H3Y#xf9b65dW%=o-AW*(Q_3%EcX)WZ8q3yT1P83Q2?e47w6- z#BB9@e=$$w!~XyB$_~)=#OIDet(^U@$t~!wo$Q)dcB|YNyw-mAhgx~V`&Sole?|Yj z#noA$fQ6p-R}Ein_<xq$r6-52BCgg4fpTgB$YOALw0`}KA2+J@y4QOn>0`eRJ=Snd z*=)B<Z-lKPuKrjJS_b;8i}%xVIq(Y7bWn^`eRtdIo}3NRI*|vQ=+DMN-I{%O@x(1V z+}T&((q9R>gIVTl9CR5dIG4`-X1CY<_b24IjEAi~{lafL>DBqy38CftL6*kVPKKWJ zyK$>(Q{v%bzsuDn>va|0F)B=AoFdimbWizgXl{8{E#*1+cgcoT`yc(?l*{0iyCDt| zwsspAx)|jAnRK?Q|KB<!OWD7}eEMyM+oc?<Shm$t?vRD)&m^JwY-S+K;bnG~dgrSi z9|IK)6Cr2V&i)Q7w7*VqyY%Rkaq5Oud$)ntW0poiwSu##UFkHN*KhMdN9T5=fY+tp z`?(Y9;AP^blU`+?n|5&3yEaIPbQ`)(QGRzw{KmxeZkyM4^Tm-*-JJo|`YisM$E=(= z%FUsFjiCjS7c@B>3H{a8TmQKE)0*$^brCC9e?HKJX6~!^E_lqc{Td^=CTmVSc-8By z>!4FB4JGb^<8{|w)$>)4#gR%C^ZBsVr>o2UeUh=6Ew)|6VM!MF#NO92>mj8Xq^|jP zxT^nKJ{QtW>p!PM-MlP3cER@*`MM1rSND2>R%PyvgvL)<_r9dV^07<4zxaoI)B0X> z=<;c^|LeNH%1xdQy1P7nIcSymouv><=kJJ)-}sST|6=u*`N*qJ7km?h9+Me%d&NYP z>Rd*bRmY}-O0u)>k^MUB{<7~Gdyvmg{XF9>^yuBF^eh$Kcc7zp_kl9$wVm-0=Yq59 zoy+o<tGBd+ia=PU>bJw^JtL?fyYZ#nWYQ~hF^46u?tzN>*S63Wfc)>J`;rc)m%p&x z)n4aRR>%O$s?y-f;{W^4@zoQp+)+nFG>lIkPVp5!D|Xt)$lJy(t#f(j=N3P8xn~hG z1Je%M1g2ez;x?X~qif@q)-}`hw2-l=e`loIYSn3yGucgyPvzYFP`U2)*}e94yVqU+ z`p@n*=*-H0kHphKH<kw9&bs~oKlpO;{Yk3bE@l-s*A$*r;c_^6l_mSGf55N6rqX%p z8q#;`|L&KmxdJ+z^1aK3gLc9hXDgoCi#dGY744s6WfbKU@KdJARZnR}mFU5w+@@FG zg>*i0IG%mZF>O{M!?Mt7G4Np*X9aZ1Egb(IWznAFdZB>zpjWtn$-bEhQ+LDGW3HQP z6(lVva{q&aPj;^^C-|_7dn`+z3$NMSvEa>2me;!K8ut}$JWy-uDi_Sz(zf8!WtQ$2 z3OvhSiLrE_Rb8=9{h-iJ@M(rIMW2_fR0iF^G+j_<bJv1*y)38iItJ|b-*9L$_{@hb zHxJ6x+q8qvx;UpC@s_3OQM$mIKvj*MlM>cSb9mkN+i>nMbQmIi{tT;2)tw9eNIy%A zlFsoDF!bGUv5{qJzk0-zlBQMjA%_y(SB-dN)--FsQbd+Vz;D;4R5MGK!z*{o9xQsV zxMIKkL9XMz&_4CjmCB$qANEa2nEGBoWbf33t?@!4^>Y$>>jkIWv1rd+J5@nrFZV&K z--1(O9X8y{WO-`uyy2iKi|Bse4HtD;rdG3b+n7NYuzZ~#?4Beo+m#twFMLqQTKLKz zhJ!)w!dL93Cd}k=yxYw=?YEfY->WRzf0`CNT+E{Vw|PNfiCx>~Q(-Kw!7Quy&R_5& zkR`h&1F{rjj!pS1kJm~~m%@eDTvpSlmWN!#X?>8*nD6iwt$h;{7Rz#K?e9;RsLZiS zj8n{d_JUv2Ii~HHwBQ*($FyDT3%*4)rGkzMOJNnz+qwJU!x`6#3Y&ge3#|DN*VOiz zGt8=W!N-{_t7|4Mc=42Fb?y8G71I-X?+Z?;X-m+RZG1Rop67;hG2lzxrYmdI8y#fx zhK?*9)%TH=T&uL=k8P9dJCzl`qMMG+Q(7TsDRen1skmuYKIEv2&m7Y%CoXs=$#S}O z-hzK#O`=gOy!q-8FU?tc=PN`!-4BgWcXQjW$Jg&U1=N@~ner)VL@GqQE@`?XF0`gt z((&eN&TG4S7yMbzX(k~Q@`wMR+w3QXb57-}MWlo`tzs9@**0Opuj3rkb|gT;?9Lj0 zS<hJafZt(Fsd7pyep^7VCcil28rN+hlRK>mOLZI{v$CwNnX=%;PnOlSQy2W$%98!3 zWx<nXmTX(MfGVF2=iH93k=Fw+#h7z9Er=yy?_ADP^%D}B7jtUWwInR&a(ud8K<ARm zieJf1N97b&{Bv(ojZ;|ha~A8KQ&+CBYz<~P{l+`syGGMfJD-66F-@ZTKwEPaL8r;Q zTB*ELUeIJ;f5KEo$BWN7!>Ye-oDuf%CQJ67<^@meSh8gu1FAR=TBQq5Su41vT-EWX zyiiU7zvEGRft)Woj!(m7+aKx}!AEdzFYh~I#B!BiIU>o>@vOg~&eo;{-=4CZzT*?H z-Fw5G_nfcnoi{Y;9@!#wP9@@HE=%usrHH2iO^doY!nB1&;{7%pGl$0L$=S>Lw!Bl( z*xQ!CX9e1q`G)Oyvi!3J+by{*PpC)EXg(+h+Q`TOTHG-aw7BEsAsH9Ya)4LnpfePE zL5n+z#hxi#Ojl$-yMLnr`^r+CCkyswHkQ9uFisC*Ss%dIvi^c&`F2p3RTgxL1S@D; zD5qGF!JY}Q+sW6bCqi#0Z-?Jb4(etLS~G&yBD{^9(R^*4&J%@N1@7Vtktdw^Hp!<a z@>Pj%n9%&^dc=(8gQb&U2Of%82g{clRCTn<O!<H4kc>-QiX!{f>+?HSo3L+u8*#$% zFX-^2q<T>IdRnWc$5haON)4!ct;ucqBJMP3b;l_uzF(lrPh0IbPiTHPqt#Ml?>m({ zb7Xoz$3|(r2VKJn-ohzx7c_v8bsRMO13E3^@nz6a7o6P17qT`@X#S$BbH?#Gqgc^| zzrx(b7pfauWuC-W8?Cz}!?O*v%o21Y*J9AhR?G8;WL*3}t2;h}LKL)nwT>4wPGJi_ z#^Lk7mHk#8wx^u<Zh?k%X1)Ne;w(wuY{0$~ba-gJJm~s36>dujy~IR5ujd;kG#B=^ zTCRuz9mfGWaidHhw7TOEXqj!YBKt|n<qw{nam*HjopNCW3O(?-6r0*X=WOO1a$BC5 ze-ySSSQIo+l?J-)3^Yaox>oF}An3TM0>gDde6ONGhhoV_oNz487kj2)I=@wB3;2*v zW6*G#C3o?JY|wFNzM!4e>)_{Xv}J?NDER`q@h(5$Na(EQQ(`(#6s)GVTCR9|(uwbp zrP#9tg+knx9-u|SQJ^)bRiIO{c7jerdk?xly%uyUIrvu8WJUI)XQzG0lkIu7VM6n# zcc3Fa@4<&kUU3G?mqM<NTdi}(F-eu%GUMKXLo#drv+iFh|DQRAw|FwBqOXNqPCoZ~ zbkxffm9=+zmw0Yka#>~Tosu%Em8z*i(<be-epWDJck(%2<;+RvtUM>D<jr0(?TE@& zm1k<Ynv*XD8SRv|QoO(K{_CoDwf}z1eO(oE{`~h}d+*ObKY#z3v+X$&)i2|XHvjzp zuUk~KJM~|_X!p@)ds1HAlXg*zcqiEOE1M%M;{Ao``=#YB7I-&J-Ne!>F0`hI!SV7@ zmeq6J0%}YTN`(te+0&V@wwme18d)D{$1kgwA2)WlIxjpW_Pc>k_Fi3%Q|Fa$BrrN2 z{mWstN+2Z8al=Wird890b;^_-zwYLkRxxA2v&}5tXKiH;ubitCk;dM%>oe!H+vW`g zC%F`(is~<(nRv*ksqMMoni5UN$FDiVBn3=rI}*00b9AjQW?8oN*fJK??dlQP<xQ7< z3#=)&aJ(sXu<E(cl>IFU&96DM?)h!F*v#1C-KP*$^mFA*<;g#kd{)0Y#?qb2vFg3o zh9mb_O!-wKvNRpv7IRG7Ib%WI^n{(aIbPX2Y&ay$!h79L=x`+HkcaK6phF&fzbi$o zm2udS(kh^9S$FBo#ADMrz2aOpT;u2Ty6?5&oIK|#JHHM0qC|aG*Gx)i&gIamYe-mZ z%c)i0m@xS<=c>BSgpJ&stNu46blN$dwHMI&8o}^zl5)qiJJ$kdTr-jux^j0`!p^yz zuf#dRe%Lr3e#{Z}OUdyuH%Hh{JIBk>9AUq09Y4BrUhB|3R^XNAu;E%Xr`LOz4d>iB zPsw>~xHpO6kg9e|#>t<?X?;BB)K}b4yzwHf>C}GZ8&3qAR{d9v$oae2vhJ@gi}xFk zfX~V--cvcU<b5}sc?sT}Q7-EEcQ!}Z4_(K@h6la=b1K9Xv0R*V{Iy(j=GsqbP0K!W zg#9*f{P>sinnl-wCtgikXR$0@uMqLvt!Y!a@S5)ijz6Dsn9bPDa(Lwq%Y$y#0wL#w zb2jNaURCB4i<X0g+0K7kXDWA|=E(XtC1IsCXV(ALgqfO-cT4+@ZkcwwXTiU3EZQ|4 z3m)3BXv;cY*x|O}R5<6XIHwJ_{5iVrJ8n2OpVQ0Ecf+;Sebtpa3Qh{Tc>CoGi6};> zI|r1RH+}u4rcp0(kj<M@tFAR+aW|*d{}~CBZ*#2b;}EN!wBVQa>OH59xIu0s*JIgg z@44ZOB1@^iQp7qzu;bo^{OwNb6RC5#@FA>e>OYqYKMI>d;|gt-T{ZFCaO*Z_*K^45 zelzDatHuRiZnC_N@d)@9)%5ij%hUJjHy#)^b(Jx-ZNAtBOKiEu?rPS;A%86nCb0{K ztQXQL6mvYAFQ`+>>-aWaK&M#V@vb}PwAsZ<%R=j!n?x_M@Rln@yi8{4<yVP#8qu^Y zU0}_(v(Om*xH`Shq|Ps($YaAbdk(Mt4jaz>QZM}TCXD6vTaSP`{w7{87SVpi8!t+l zPTg0y@kFa>mA!Jro4BS|_39BxypCs&oRl~h6wM}}XW5i-^6mFCGADIZR_wMvSk%q= zYQ0Lt!`Cdl>lGqax?lK_*A)8C?ZOw!rmJzgMW82NscGzNNLYJbV9GjyHJj%wc%#+y zRgYUCW|LFLv^o0|pPhK%*VI+7dLzRt;HT;Ggs9m$ZUJ9oSwLsr{>yAq6%)K-Gc{r5 zXO67xoYQvBTkuYn<+QC!!2ir9(YV;d1yXrV2JB1A*<5#&ax322)%-EfSiUqsbJK+8 zmx-X$7(_q|>!!C_X4Fgvov)mn$QQLAH1G~O$obr4ohJ&?_M+zLd{xq*D?7_T=PQeg z6$She0W}U<T4kny?vqsG1)W$8x<LCio9mAPQKv5jvRCSDKL@gRvPYb7{CppDxW(Jl z9bT3h`zN+qp2#`v#J9^*?3u!Lac;{O@}R8^ekqFVhc|-`Sl%9a;^2=cv1bcDX^RyZ z>;)Zk;Tv(nv1aYl9hSuxDww$~JwU_WTkgq=JUqNrU{U*Pg}u+uxXYICB`LDsd<?n| zGg9Y?!hO)1%Il!}$n`<@k%Ly6{?QkEmSB|53OcbG)ci<_25oEs-8kyf*eYXUfBcZl z63{K{Z$X#1pJCN`vY^@&bP$88#-aArAd42*&-uBc`H+3&iGv?NO`0#ertZmMf4nwg zM)Rra$P))k_(A7|fYyS7j)O3H1!^0Bj>-Hb2U_`g=8#OuyHrK?o9>{A3@Ndq3Gweu z?#!{2$T{i6*A)qx$}rG4)Sj*A!hhZIXT!{Xs~Mop8CNfZnh2m%Dfff+h}=2i#5e2v z1_Sn$hTN7Jpmnz?*KB3OHQDd#f;M5;fL4AU0PPh?R%AcS#a$c_b<m0L80gfJQ%`lC zBvdhT7YFRthWSY0--k2qvL^FV71>YjECp@B0o_eo#V+=2f${uSnUwFTiF{R{lO*dT zLC28xgN`9hP2_U}t=|09&}umYG~u%fG{v;B^xT27Cmm~pL92d2b1d)99g<n{jkD{+ z!zhl6hf6Qm)jV6wXJiVxihg>l<q6Ov$FJ+4%|f?9!RaXWY{3^1ZcB;d=fQ1*V>(X~ zcFA%V2i%_8Ds$v}S|XoR`=$xaPl7;4n(%R3dc=c*bC=E&g=%R~Q%eEvqyI<FxX1rv z-ak`bpGhI+m<*_@&)yK7D%$?>LwtmC*OI7gmWc)Y*SuVgD1<R7vF()525r|AZPIWP z;B1lzR9PBxXsL<rQWh^ohoc4k9TICY)@V4o9P(|_IL0O9VyGm(G4cIO_185P>Cep1 z?@qr~b-!Qz_nDWQmG%E036B2v-ygh#vumo3(9H*_oA33wzFy2Q<^Gg}=AA5}-xY4W zaA-Q^+T`k|w4%!FV3IcHs{1Y*jzl%h;uqHWYU22o_xyo^X`RAX<~nb<^N!`~bKx}w zKUkuS<02Co9&)v-`^aj}^}Mjdal<KI7T4V@t8G03{%AItmZ&WYEiyY8Wi2pep8E#B zz6EcXn!fg_YwYhxXclD=U9WuO1z*#t@4`BtKvUnKHSt$ZM9#RDw3%a7zCU=iKEFc5 zD}$z6#|3pZPgwA-sOf1G%h&4yYYOBY50|oN@AbG)@$BZDZJTr*FUE3)-R@sd(U{Pi z4C_!_Ue>o|n&6auZQ#vi_q;dUo3P-|XBO$4pu=0V@_aX3)MJ@y@3i5>LYC0|UK?(# zWV!kpbfWHxpTbQ`-zl#6YjM!%yf0)y{GGc$WPGxB>T<q%@3X;a+Jc9QEZViL3qESH ztd4OA_@dQxwazEtPhOMhKbL?apAFZt&mS%D%6Hsw&W|PayIREigr-mNYBv@bL5`@> zn`d8|Qo`!^R9;Z0NZj$Ny^zi~9mlWN=d@?)ZnShfD{?TXTJVZpN5ag-9Ixj2Y`C+N z<!iX$nh(xRZRJ91OcXNy@Ep`yZ&&{pdhNrDw8=^gtM^V`@M9iJc1_cQClgt+=eh*! za@%mut7((D@S5$@7W|RtFsqog;L&Qa&sy$<;Jq0t&ftk?S;v6C(k$L{z~>pVSciU| zv{HGcG-p;h=d{X>1@D4cPTypm5%%{ci}s$m3m)1uO|^5oP{DXmE467+y1<$;L&uM= zIj{Y&bUew<ajk07f-h{|26IZ&paD0>*H8B4TepCJi&>;)+yXwnW|7uaSy3Z+Q0cl* z$Y05WNwrO{>XjoNsW#2ZUs3$$N*T-6a@B~m`lem1O;7i^1^hQ@5(Qo64LS~ufBj6W zpkJDfkE=Puerh{j6z2%*);+qVE8ls;v1(4QcitPWEo52RuNd(>q-m2k=e20xfPKyz z4%)Ja{&(7Nv6y9Q{C=LpktwTT8&}`Yv=X}AzTnq#&S@HgSN`xF4D#m4ig(>`CYPo3 zy;{V(^DJMFvuN*m=j5~cp%{zy-q{O2-eXx^)3xBmMV8gIvlskGYBHS#J^lH~+F<vn za=|HeJqdHuIZx$nk+~cNx|#gF(v1ZDrmo7S-ae%je{`E%&nd5{vOJiyT_EJY=|Q1> zp(}sX4+dTAHkfm3wxG`D$1F|YV?FQMF7JC{=Na(dqe*n1=Y<V!8!n}?^yaHYJPl`Y zl~;^-E6;K?ea(R_*DAQd=SQb<c*%QgI48)G>aP;<UZ?5PbfKIAkYS*+y?&ftsl2$I zL#v-d?B<6gpVhBsbBfJ&4*1K=;(bPa#ZT6zrG5%4YS|7N@iy(cF0AvNyXhCd0Qj_S zX%mAvQ}4N4u<+b)>Njju?%A@wD|Y??e=3?x{nRyfHYKdh=JdMnxWRA!f;Yk}ulKqH z{Og@w@aJF|i|Bp#4Hy5hSZdVwwOV$_fX;dh1#RG)(<*ZXbUw4nHJ`2rTDlD%v}8@{ zLFXNTyX=2K7go=#)O_d4w+pmxVJGMUiT9w}1nf>b@wFY_V8Fig{l*E+A3>$=r&_Th zfxV!Etp9>e9BS7&<M?>L&J%^5C%x{>vCPN?Eyv#tTKrH8I$BB8;YWdNioNmIK=!>t zpo__;w^~Z*fX*@o?KZiX3)(mk4Z88bg4=S%zxGzkj@%kaP~VahRDT$Qc4EwEwe*k$ zO@)KD5X=mYIN?}s2&#@JwaR?S2i<b97ty!u_pQC+`0_sJ2+fOHF-t91?CEWlaRKeC z$dXS|Wd8`79zQl6w8iDnA(<`bk`>usYDdgyKBo)X!6_~FY{7R8(Ak;Yt(Fol`p3_n zbo{s$v=hS}v{XX@bkb)A{HU$3-Qe!!%vPBzpk)L?t0PW0Za#ZRX30CynOLB@{fx2B zlLgj~xnh=Dp2!7_EP%E&9FhYqD^cJs4$uOfUk%z=@ia%QNFes)A(<<AAUA@RIy`;| z_tPYQP`^C;uo`GpNg=30Zf})IDF+RLfYxICD*$b9VdA#bn0NA!Oplb#lLa-*+{FSf zH%(}M)eKsvp($1raDD0y%i;+$&N}hUvIeyoDs`SLn46r)XT%MUq>}#mzg9HgQ35p_ z4jz&bSqEx3SVzogzVuh;Nx~n{A*G!$t)L|(pbOTwfp*5eKXypQ1az0g$-|)2y9z;f zU4WL9=z#XMh=Dd@fR4@tZK`cX8l{N`ol<`B%<9UUe5Y=2GGLzwI?1%uUhJ7dSb`$^ z*JRMmA(^0qDSJR`AP&P01+NATDx5eZ^JHGSBKu$8FwlJ=iP>UB8~(}vSyuO#|6MbG zD`-DQcF&zu(f0fQE%nn{1(?*PPPqDx{es|84hhKu)+COcAkRHlPNcRnw)(N0Uh2Bs zsAcQ1U7<Om-4n{L<}P^Ia7$#N!K0#yRWrS$4Fa1|46`|`uJJGG=sVez_xoJ&8T~x7 zn@?^&|6YCG^5$Lt+qLJHp1ySH_?0$kzFJT5NUyt!*<qTxwQ8?x7kS9X{d%T2L25hr zJga|vrc4Pp683}E6C`K7Y;<1#;|XK!(PQ!do;*xcz7$_}Gx0zI-+>d56Lx+yl%+7p zaylGwTX)H0*55crmsPizLDQ<gK0{}=W+t*6zO~-;i`-V>^%trcI#R$38P>0d-r;uR zNUxM9x4lM#$JH7q&_vHK(A8!#%@=FyBo1!ascgUYd&aydhd(tio%ylw^$5|Xla9$< zJh<u{_%N)y^(zFy6OQijSHp8Yo?ZP#ZtiC8j%o(jqKmy=){vt@_TDy`B(?v_!Bzi0 zgBCKdg$CDcVNL+uLb+rrM_cdwFOqxR>s^uNDw`p5E(}U$n?Muv=2j7*uU88;1g(}Y z?uE`cg1x!CHtc=i?j1<SjQoOKeW_NKrK0=Ck$v^7^{WIMg0g$pU8`m=kU8+e&b}w% z#vRM+uWe_Aiz8M*-21f)8nVmeuXsrPUd<O)`iAYM8N<?Rwe6s|X}(zd<%!V24M+Lc zUaT&;2D*e2dQ8r}yRhRz?)jTelIpgKxN4ua_8zmtO5S^*2{F)guFYcbEa&-`w!e^9 zPw<yPrz&%vWcDt(X<iq{wmK_cvjKEN=-1ECiE`t^9Bo^}*G^8~yKN=XVOGE7pmT=4 zXR=jvb$&PTgqiy5fwivfheplwc0r!m(YL3i@7;-f4%W5F(7E8*|2Df_y3x7)L_+BE z9>_H3ULVLznqAe-eM#N%dqU@Z^;-_I7P@%i?sD*a7=zNa-^<;C9$(RvT=QyvIC!d( z?K;$2_4QZ7b80?URbKmD&Gq0W1MJ+S8L)|DkAIgQT2`2yod>#H?^_^fmcDi_G?ddM z4sQ5%X8KpTt$SGwX29;7T`vz^#Bk%tM(Hnd+}d0UL8khkMGRZbptgcNySqH<{l%JP zNJ-+_{w>f$zy4n9t?J*{4O%v|4zysXbU$>aQvU9u^*0_l$6u~4i9^27&-}jH=~Qrb zt9pOMBP#~v+Wmf@yY6Oy^n=dk$-5q&^Cx}w7rDR9p!vor&~-yHyCb3VjVESGd2Y_; zQV6=b4>Z%b`aSeSpz}P+64(BHHUBEN)e$M_e|@_BHT0Yui+JVC#8nJRp~axnZq|Gi zhE5{?F=$FG-hY45_YAqh_BZhBMWf%tR>XWcZZheZ8ED}I_*Tf$YG~1LvG!M&?!Tvg zd)=S!*!@M0!OOTIh<U@D^7GK3jaa{M;*}d=3=>!F_Xc_L2kd&$Pm#4pkNw{p`u<}4 zKHv9@u=8~u)Id{U@0x2Kv)+n0ELn9HG=cjHe6$&8%DPRECwu?H-Bta!G+F<=e}7y+ z;G_h2VZgp1XxSiao~@$$=HkIs&+I@aVXXzt13#4LdH4A+N88!GnY-^Tt_(v8p0(Pr z$h2KM(PUdV`)aH6p#1%MAM~0;`P$g{jYl_Df03KZ&4pAL#jb^}y}2hV<=LBFvSAhI zwpWd<2PaxT3Abfv1I49WsFH-)zjt%1`v09mUW#B2J#jAQNnY=go8qA3zRW?6{rcD% zdZJ%C*rWgL_PW1C*7+J<j%|!q&V0ClFU&L^e8H&I%imC);6yw7$HIGyD`S!UnGdt` zS77gwjg5R^rG2-+M{n&~4hmvJiM#Ip`hq;se}3fcbzdHfbY$H3JZP+Zty?<rip8Tk z$u+O!A!`ZPV3(7sp9ifJ3g$nt`QH5qq*JgC!u0mqET3pneW%$dBD6XhdJ5JG=(N71 zo57mezs{f5Tz>~TeG3+)|6uDM)Z#9AL`D4D04k3lSADL9U3LtP)w`dPE3eJ|E^=Tq zV+VBEMHQ^7xLkkTL(2N8pyZlYbE3h8%C6PWVsNo<?NPD)R_f=gp8o@__JA$~E1wTt zFBI|KUdpp~v)t5!tNyjJ8bp8=nLrPZv#XrEFX?f)t={>n{~M9?^2fol%!!NsGV_|# zFTY`B6<C=y9kih8)$6Mq4WOh5E`Q5yR(@acR=?q(j05Df#>&5<&~WyhvmyNb#tooD zv^Ik--OSE~E>t?tuPkBqeewL)wzKwYBdv;=TBistR+imgH_@almC<F@IbTpM{;mgF z2!65t)un59?{am?zX(v=X0m|~Fno9M_ZK+^E1`ytulH6@ys~2*gHmWc=%VDDg7r|H zpX_Rn9^<!J`u)ZJAS9g!Ie&kJ=<KZtP|n;2D$2g`f(qWhiI8$d|L^*H|LgyVA8|YN z5;P)GeFJ*RMe(9-M~syDd#6f1?L5<CB)v9uMdXu-soF-~(^h6on~|0>Ga@ZTZo?Uk z%?r<V9)HNk#~(bWI5BPF%twYs-e-)WX5M^(?P|`$d#B|HSH6tT{cZXGxBjGX6{A1< zr|JmJ{5UBx^S`G^!!d5oFv~=ywKFFxb1B#e*%rU@SnC&1!+B6@HK*4-_YK!3vn(|i zSY!NpRqyB4{qALa2dk=urkoebDPVCt`k2$qs(HbuR+jD<&wx_VgGt|oLh9!vbbbUc z>*u?ECrNYJ)+;$px2gqnHtRdyWo0=n>m9J)X~UtPEWF~vYqm5k_*m5xDyORP%jRI# z?AYFiBKI2;dPN*h{$$CP^$YkV(NyXOJ=(~!`reg^_nxvm%~!gSAnSOP@u1sz!H|1f zTA{~WaEQ%z5BM7Z8HepnSSjWB_B6+|NVkA;-GfE^f>-LNCA9jkyJ-PAXPp1>WF-de zw@w!-^bTsV3yav!O4zC|C}KZ7p;umb%AUrAwR(;(**ULOwk=o_dwTVoT<3s)x-8Of zoC7{8vq;Oj2JH0NaKaKincg&a)vv`Xl{dcTSasiV!x3f{)BipjuJpV%SbHm4SZA`z zirt{2iPToqs~qHtSIMX_JLqMvl5s~V;%QmaqS~gb|6Bt8xHg%_RoccEF+7|!+1j^@ zVa->+rc3NXYl0Ots)Y_#r3+5^&j=p#zvs2#;$N1j|2;OG;ARO;Hh++(yG_~gYq@|< zA%o-Deqo(bCC9hMoYQtzFg^6<Q@F8mm-n*1Csr(9-z!Hv<Y(bE7g+P9OWJVlv0{#} zN^8f9e>uW_OE`WMIw%#dtWha=FbZ@u(aeOo)tsm795>vXvEa|?>4_H7XXZhVT)1ym znzBXT@oB%1PLT}cT2e;GuWAQ{v^lf>H6^S(&XHyBzv0ZYT%XnN_*hQwox0#(O_S&+ z7T$ivh?m+dz2}u9o~DC??U1Vbbbr~Ecbx<N2sWAia|<x45?;3TS{cjIa`lLGImera zIj%*!1pGVAB7KEJ>)(`w#i=Y)->XGDNo-nGuNsl_tcYj%uWZg~H$azffR6V#<0I=S z>lE-iqX~4yNwwa=B74OZpxao>1x;!uBrFwlOpb2MT>D9?X_2+?ns0JVkJts*e9UZ` zv|M0KDWBs@wu4sJVM923i(h%XXKeaZuXrQjn3vD$N2@u_yo5sP%nvGAHm#bk6!9hn ze7eV@pr%>Z1$4HyEhw9xFmpNQt9>3D?yL?gy!FqIMSBlu$$&G*bQ_z3R~|1Xv-J8a zMJ)9S_#)JFb)A|<o%BJe{c0LNMGi*k3rxB9D$OT*t}N%NWsvjo)j7;8CN6kn&SAD| z#)40wEZuiN18Ja>#=nLKyC*p}z51^dk#zd%jIguUg>|-yJHGwPF)h*~V7tqPJJ&g1 z#k*`cbe>b{p3jC$`kYesjvG$db9SA3m0+=2m80vu=Z0hKY63c-3*$~IFYDXVr>s%S zcF;;(V9MSm@UEe17HJ!|fRFMl(z$iq%R+yavUI<34EXw&rCV2F#Xrj?RV^0N{qEpX zuugMMi-cSk7gO|o;!5S6`-QLc-|Na;yTR7+@LkTZDtX7phdIK2>NsA!%=v7=w^-02 z-g8<lGq!-v50K?9zECxzRc6Wj<U~Fz<NU*ZPZf6Sf(~Su+A8zp{^>(9JbW`n4*uT2 zaOnHP1rgwm>q^j&g{;^!g(69A%N6%d9FjS54Rqt>dr-f1v(A$RKR4Yjocc^bG+mMX z?Of2&*fF57?v7T=6SZxvGGF`?6ZyFArzx@@1|4{@YhJ5N59lTdt^Kp%H(u7Jy;{td z71g|YO7jmh(D=$((D({JckzW&8z(e>0bOPJ9CUHxUr--=_mozdC+kxa`Pe{rQGDDE znz7FWT@iJs5p+e=TF`zC&=h=5zF1Mfe*x~|2^K}rBahZKKNDYO_e!DEjN38;G*yuj z1)A)T<}RM_8+77@{ZY`tScjeX+M@5CJY5!0rOa(9u^e<NI(y^^$1MjA$y~8J@5J{A zba_?p`^~Und2Y}w$N57tC2f<HDt15?7yO_1Yen<DX|XX&WuDwWa7cy+bcWT@zmYSV zFFJ`81=uyVT6WAi>BP6H6f|7b(kgQ$9JICMH)z@jbiKw_(Df<lcUxy0v(K~y9pj|V zT|D9RrU}jeKsSIr`~e>>X-oG34FNfc6&dVnYPDRkCP|U~Vl`-K$zz=-3U;6ggy=O# zLr*ytnQ<3ixYgV$vjjA`VFlX6@-0H_*@EyyMfRVcL91Vq#EJr94jz)}xwd&i^QXn2 zbK8G{>|q5RfOYlkyJMlVns0#a`k0rL$R`9EjQLz3_DrFahubn^{<%XkDWLJCyP(@b z@A&FGS+Kva)l!2e;>5uZpd}7lURr_Im82%}Y3<%Pq4|Z4*s}#?8r+s0p!?mVmczII zOjrXN(|T<LI*;SnA(;|TVteBZI*%h#tZ2ghCvq`MEhUabo^UJ_7kidqGQCx13V&K6 zpV`00`&at^Go5#fGpS&Vodr4OV*7b@bxoC&84p~!B+s)+zf6j&dRh=BymgMn=E`%I zGWgGBJv<XVCzU&V*M&>1&gY9ByeNG7!F{LqdYP45FNWn>Y%I-PIf38wgvUd+<stXa zf37;d{P$zNxSD(Kzjr^MzuP{~XlD7PHUIbd-8B4M*m`!BY37Y}$!TY2{guyZaDT>9 zaNt?;?Cy6LS5#h<zL0r#{!7-1+BgQQH5M`t^z*a57(r(c<e!f;2=+qSPTdh%|BiiA zW}zp8)fyY2hDde;?E_uk{cM!s+y22v11xI2xn++QgKU^AFLXO?`|>&ixSDI37ZoqR zoaB0Q%Pu_z*|77L+GnjAA{oPKjF8T9Xab$(aI<|=W^FKo)tZXyH*Z1?H28+t_s=_v zZ|1k~vQ3$V*&z44Jq%TH?-G1xGk9a}>houpJ&k32u_5c=Bgp3G9|e`5y}JzHt=*tq z|CjGo-rVvlk-=(>UAiZ9r*)ApT+Q8V(8&P2@@JQQ^=G<}c$8Hhy3=|)`pE#*nP$54 zcpTcNPLGEk3Gx2!9A)SsACQ9_8o4)T{+!KF5>fir73$)LZxI`X%|HiT@YgHN4m;1j z;P5Nc`DY+It^MD@PZU|R+tMoKR%KSC+1hx}Ne=P5O`yA|@6CeSwczE1%=+u8H@Ez7 zXRumxXNL_`Nwp6A^Z;;VHTtJsE&}bMHjAGK*{>~cHy36~M0R?~iIQKRx^klS{yin> z(0(<V`Gq(a_;`iMws1$6es^p4v0fiL)%W$}u(ZpMJ~9}w8oXu*+f!=*vdm#w%!S0} zWzNg~n%htI?fzbCHhY>iGwf)I)nH?co;LAGgEqa(e&3lBH4n6p`WxE>$iDc)*Wo+A zv-eIsn)B;j=*=y^JV3D};Q$StwCTo(11i?Jw$ICa{^j+i%vw;Ku3$EJ&5*_#@$nny zYWQw_@J{^sKU;I6YOEM!!}bY6P5K@ce`Xnj5*uiHcn;|3mXdd~a-!<&7-Yk4PQSc{ zd4_1h(e`45gU)vI&GggXr8qmx9CTKJ@HOahA?n@W9psRM0Yqhu-mdxKWwsV{LWSu2 zotn_dutTJH@KFw{N^fr2q0Ar~cJ6l;BpL46juv0H>dbWCb33$$rr(_djjwfQ;QQ-K zOF{9~yFUH$DlUiitIzLGf~Y~>gI`@+a$-yI^Ithp|8*E-!(x7&h2)Bg>R5P+2glaI zwKum^s4>Wf#qEV{)4x3dmNX!Vd0~F~<xl*eQ$T**-UN-U-H5=+?c$s1H~+>A-_`b@ z#9VqGdO(c7+zGgocdLRD^S8d7sQ<d46AE6RgE^-f)j75IPcJ*F!XO)#w@Vuue$`r_ z^wl8h`eFk(F)#GJxy9z$Z{r5O)!SGu=!<~PayZ%!*~<@#iR`z1d^7$2*ZG^Ry|>eH z_O;uvRCuxxTxNg{s3?u^;G0?2cW<`u>+kob`*sI1%w(ET%AkF(P8DPsA~9RqpICPE z4?{`BPHWi75__ki2G0@Qn_Fx^8~*nSK$92$eMDq^4HMh^awUJ-<yVgxUu^g_6`E^m zitb#Si8vYP`;QkFjNj_~2sB%(&+X7I`k&_jBo*FP55FnmaD-uvhEqGZEIfa6ns0YD z=v<O$*dYb)zsRQtGYB$oSi~U<KItOrSAm)Ce$Y`MefQ@<&uy_W26+q|iq{UknDDaX zr}E7$uRswtS^g|Ef1N{|^RU~(D&^MBZy$4_?u#|>Y2W*Q85&`~AAtiAbUX+6NQvxu zCzc(xWqh%r=wTmJNxmyQP9HCGUbc94{XE~(?93MuuRekw+Y$;c5J0}#dJ1$f$+tkW zwQ{@;?N`PBD?#GjE^;<3oFl#;1Jx9tFW=nqFO|V+&5ojUXvW!V2lo{?Z(WN|y_^I( ziAQHXG!=e-2agMIPXBVF_~w>B$qZI&c741KE1DJHrd<0As<$rh-jw<EIzvgs-nw## zO*Nka;GVjhdr|T7xqJ81F8_)LodL4D8k#HK%EEmGjw}9udb7ng*V{9{*zoBe!~fs+ z@)<UtW7WP_Z3edwoT69%-jw;X@9)hmx5Qv6=sWlvnG;jEt=4R{w@R_9{rfW~YHpFj z>}%HWV}BNcV*;F<`Q6)ntiL6ht?gzgiTD@;OU-tZU_l5uw57#9^>R`msH%{MTJ*gS zei#in>14^CTK04jsN%AKrg!;smNtk6Lbj~5tkK+awVJcTrh|$?W!M>3PmWCA{PK+a zpMUS)-WQcRdJ#O@@#9vi<nh99J5Qeo`glR<a>~{o6XDa$X=*bi)4WZL&p2<HmXtPe z=Avm6+mA)*Zt|I?zQt!v>Xex&X-_7eVYXUsvD~PX?|H}>9i!}*AAav$2fL-*KIYE% z&pGwFMPJ?;E9?KgvTfGaPx%w~#Ql0I3O>Mlsr{;|Qz~2slDauo#rteH63Sw_o5g#r z!iv8<2aU>(|9XGz)`O|<1sy)vUYTpD$+Y248Ozu2DiIGIn%X{dglP$z#CdEu<;vo^ zUM1pfTGOM`oYyM47Ch;(zV0u5?$WKM1tpUb=Gt<cl5^N_FQ(~}x=_vr$kO<I?OozN zvXWalwC;Os@R+~g)nu0LwaP2@MfN{d-=?}^r}e?0Vva0vj%k*S3*OZ<Jw2zqqMr32 z*L7i&7`F|VgjssyRU%Z&#K8jti#MygGKAgkUGU={OSX(>z^96)t>=_9_RdI{tICpU z4ZeqI|18M4j5X%#i%hx~e9~j-e&ZhSwV>&!7t7W23K5U)K=04a`j_;|<CRs@t#U!| z=8zc)JFn{>-SR5mdBdS<PN{d^8!j#9l#+MfaB4e8*F5J9QIo+-_V0KGd<tyZ`p+|< z>TtEeoYHOcAV+9e?!J6w;ypW-r=MA*WxNAE&SsIG>l^U%IZJnpbHLZKrlVm(A^+JA z3SCT{5q8#GSZAxU<J-NQ(=1ySyfb7uUE92%t~a4|K5TbLxWBCC-`)iuomp1@nY-Wx z+xMiX)q6V^{NQ8BPT}y9^Vx9iBFj>L)rfRK$D7_9*LHU;_!G}*7U39B=(1tr-S&qe zb;<{oZbOfqj`@4w%ETLvELZLQHyk<1V!GdZLrC+2Z&O)L-*E~kw>((nFMMVGMbMp` z%#Mdu4tl*;&!}NIs5M_%qe|#t)_p~dI>m!h`)5MNKh*PQSzUT1JSEP1!#S&_O|$Qx z|5$xnXiB~OLAGKJt-5Imi-kF~!a2n(=P!7*o>Odh<APs%S-jUMuJ{?>wA3uN@8Oks zz8ie1px1@I`xoq9G+%K=z0E<c`)V0GW`Iwnd?&D`h~M#|;=!!{iW>V)GS9dsm9MI? zQ~O}lZ^0>cO$l>_9B&$PUbCLQ;Ez71*^WHO9*meh)vr!uh&n#47uMNyk;`XwR#U>p zxty!&TM{}Cb7a+ZCak>7nKj>e!<nZnrR!BA*0~4#4{Q?s=X#;wFuUQLsrQ^NRH!$F z+JSaWfQA{qTv(~RRbNPCy}+7}&P|i13$7{Ub$n^faV^p_;9F(W*G2q^QBSuk-AHh6 z>XKKw@j{^KRJ=mO6O*P@_6iYif|_3CHmT-859&0RpJz3xs&BzJHvZ(O(>b02<?KyQ z?K}ehCp3w6vGDq<M!fvZ(yOl+@$@^3Yrbm4TgRqH=Y`gMT*cTHY~;7$+BcS^-<2Ys zFMzIM+3fBs`*N;Nz`tr1X%h~ue|-syqdBzf9X6aWW(nOYbU7+V&GBowkj_SR$FnjA zgFbU)&3D;w#;IwSxRB2G=%!!hf@=!?voxuK&V}Dm_R2%^6UVZv6~#@V_Z$OC_?xcU z`32PIfd|Au%RxVLdTr;pwzF};n_8CFYZW#A+c)u=)lRuAcHeJ9;H(9oj&q1<LXNnZ z9`pCrm5DcMAQ!!HHOZKOc0nuwZP@rWq1E!lo)b=dyJp+8iOy=ib35XMV?F4^>JNcp zMFuuapxqd)pldQy68W@BLCX;i9g?~7?~D`QBha~%#~x2sswfe2`0+wUC4R=Q0QR-L zVGp&QD%f_nTE2L5#))rJB<S>O3D5$MnXQ%*bIv*Ob=jvS^0`e1b)Dyf?<v=Lvf!5l zcd>vpXtm{Qx#MSNHXktqjhBE<y56eKZJ8l|>X1xI-)z`8$c42&pd-5bBThK}Hv;V( zaThBx*wYNUD)SKhl#6x8LQgrCWQ#ppFb%YLqBdei^ReZiOV2=k`BEuvOON?y56P71 zffiiaZ!lor3-Wp$Xar&&$RhBu;|qSwQe1aQre|A<BKy<w%?9ifLHDJ9oeWw%ApsgS zvEnYCuwvtc=4bwqGn%hl)p@d@R-3zcLS;v*ObO`p^P=^2Oy=tBe=WF+11iM1EhXL^ zJtQ;54z%LZeWL;U)L{533m0+U+7->Wj_N#7r~_?lcmZ0>$R+k{!B-w`OOM-9F-t99 zoB`eb{WfAo^SyaGPZa7ExQh*D9CzaDN(C(qozN;X1vEmS6b@S8aaQMyV~!=a<qFVH zjY^u$&VSFE+Oru!SHTr`pPabBfPJM3w`B(C;<OadLXEqi`^n$AfYx|`7KiF3D6$_G z<1P-^H4!unm7K_@1v;|*g%#+Wi<?n@Os6*g*rs#FF}Yl<Xu_Pshh(;Jfwtl>aTi~h zX%9X&q$&NSV)eZ%?N%>h4?6LEy1v<fy*X9q$$}p&+{Fe}pj|`B{tvazI;NO$TdsI_ z?2ycnZ|REcUzI_FWgkH&bc=BpPl!6>#CHpH8LCfvt4s;#sP3JhFa}+DA8_MG=D%)f z&?+LHbYIZfvl^g7)Q^GETag8~WyiFL8O^sOb)GzU`v1Q9-_P}@7Ou7eRrU2ZUKh(A z|2X&h?Bu>?PeT=JP2Ex}>xp59Jfb|Wc`gUtR=)i5O4Za$Cp~6*c$cUxE&Y_NqC07> zRi^6PpSGUU{AT$rpLRrs@33S0*S~Ssi{JmA|9hX@_0OO8zW@IF_s;)2*1zu4uTI&0 z;#hx0*17KaN|m{Peu3{QKjyaiSm<O82Gx9(h}U^dm$EspNeWMy`}c+Seang!U&|CV z_Ol;kV;2muX-ZhE$}-hoJ>tnB&Mj$vZNUr&&o9g>e&vy)3f{C4;T-VUvuSBsuff_| z*1|f*^B25pWI6qm^Oe3@#6y#&w&%iYN-V)gVBK*H_~O`fb)JHTTrJPx$mHeFJ(xxJ zu1vhf$g)&i2)uzasOf7K%Ts;T8xPo;y0#1Ed|_~WTFTOWCZ;_z^loFq#+@uzjaj^9 z+yXwcG%fX0T~RA{(C9RD723Nv`%;y1Zb#5o#vTr-TaRa4^SUi;a&K<J)LPCiJ%xz3 z7EO<q3#=*Bb3Dm*FzUMClsMN7=l-&!$}2|X9}|}F`x!2fGhq&-A``!FSejCz;rO&) zSf|Ly@#=a(oia|xuk(d;3XL7l+8qqy7rs*0m@qT<dhwrkc`T>*&Ry_Nkwv?vXTd{H z7VS_@sd%3ar~K6fbRt3f0PdNTF8QY3^oU<@%|{u>lezPbYyltCZF10xU3iM^tc1P7 zoTuuiCNy_*X#Ja!u$a&BslAYnk;;l+ItP=Y?OPv))SDg@s)pA8v)|9Onq<|rpsX`t zrZFe@_%C;sulXwA35@GPYrdE`KE9dklRcHi@uDqfShcz1M`g}yKSUf)8gpFRHDSS* zsVuM8%z-vcZqK&56zv@FPqT?P&9*l)v_|xx+h<O#{oM%@4|A@no0PDT%kgWzpiUvH z<Joutol<qjx9ptLBK-r*fAO?!zN5|g>b!Eq!@1Bkj}H&6R9@;YU=ruG;gmUNSDf31 zsM!mCyk*J$)4SlwW0vf*HDZ^w_9`E=D&{=(&VR$bM3$%BEYfql0zOK!Nb9Pv_*2~E zng_k$WaqEzE0s4M=UA1$iScrjl3&1QUKa1S?g7<|2aS#kU$JdX*tuT#O1{9F55`Sx zt2x4caXUVC=Lox4Ea7+YEk{_jt>ed9j%z2Nfj0TLpKRt_pMYO7O{IE@8r8Z7tIUO` z{5L+x#xESwW77}0m-D)y&L(rmtKWrn%6J^Vo)^?9G;=)rUP$Mv(u!K)gGS$dp=*C@ zGhTVTt8Dspd(VN7-0M{{W~fEHtYzu#SBrSMnZ@<IQp8(pmZR$xBOaTxsJ>T?$o2@R zVm@dU?Y^!4WB&gF`J1tv*KT(&_*2bkW&ybfRzB*_nJW`7&SaVTnx#9&H{k1amhQXG z0e|PSc-uG!6rYxyaShZGe($-#XWD{y6Io8z&RXzKl0~~__JW5~S+xIlEhy|ym<l?R z3w&6&tiSBaTt%PNKlE6#_sm@I#E}IwT?pF5DcZD2TwqN(EBLVP0(Hlu`-OA1%v<p3 zS=&RMO~#H_MGhvh3x)hQI4IN&T{L}G-&fXiu7AL9qo&j_fh&9GChTN%{Ck`;>_(2T z&+3PLEa1bx{;{mC=~(arbl{suz#g{^$M`wD?m29@ww7h7yh6nDjnKA<Y>N3^k;k)} z<K}?oFHV5Q*+HA+KwBCYKL#Cu%ncgFwgGL^VB{_q*gdaR=E`oJGmc57+?E-3rw++1 z$x*vEM`p|R<U~HB-J2&gzq13K&&{m!WI;XXE^Q6}t_P2zKqpKa*rdN&$mexGU6K7U z==9}N??D%()`2!Y#ETUP%-<*(x70FY&zx2n6;M5zoiA22!EQ>c<qMg^PJEl@gO)F* zZ=TTn=X=DAX2<SU8Ik(qhh%!*B`dN&{lCG0eWJLUYeAu?Lq(x%NW9q7(`5p;ds}6$ z+&^|mMg?@8$63&lRjbZcnJwp&68Vh8K~6OVIdwb8srsNZvCO$GCGrvy`Mfrs{rK!j z!X9nz;($tRZp#kPiCkCgPC4;C0^O(GyWR)prwMy9UM=K{3g0}Txum_-^2HsHQ~xI= z@>QMRFroQR*yayfXAdUuaa(HaYi+fZNCgF`vd$UDB}bh2R>h|!@+E<`EB@6Id!|sR z%x#%*540Q<G+zI0MyutCGxr`XH5ZSGVHJprVZR%z=H7Hj=E=7NMfShV5i^<(3F<sa z*wNBzsWBIH54iXS1NNzE+?E~dQWE*H$~R7E{vjpyY{5qrZcC4Iv(Cmlv9FyAx&R)u zt;BLdt4s>$dU(j@P0%G7=jMwXJh~Np==jmo%jI4rYyq8)^*$kyPYHBa_$$zjDmuGV z<Ce-?0WFRd`V5+9VE|p|G6A-UbE(dg1<}cgd_~hivn-&)upXL;6&chtv|4JcO;%)o z?7RKYY-9GRvhav<dG2R>#ZmXL6W^oujRx$!pwqrTg@86{fcCxUrzi4Rf%c2M0qu^> zH|4fG(H}9RxiPmlT$BAMXrJ%JcREiN?9t~g7Kl9H#JB4BMg#VZpyj@QV?djXXMlYK zI<dX-?iFX*C1D$H{Fq<+?{WQp!HFuM8Xvxo(>ltkv`ohQ@WVHEES?q2@ObUA?1;)- z&CF7(nX^qez14k#ypue1C%&9AZIY*iYOuQQB+bm7vFe#iCojF6Iq6L8jQ8Jn&8z<Z z?`Q1w$5nOvu79nox7+`}ZsqN?T*=CZ>hos(f3)5sZlcGlRB-2>HMsh%3fBQ6X^vOt zI`)2<x#2{vUx2;mhC^{Iywio(l!!P!p3E6$*}mX~D$D9v?|?sHO{TwCRIdxK`D)|% z(sHw9Zu&=-DCzxsHZnBTYV6K=<pJ8f;4YA}p=&|X)P%)XS*EU6jCdl}wCcN{&NmLn zuj!oAX6)5m7FsNLFenpp>y(A#-N_u&tS2w{ca%jt2E4DJ#apgyS>Kd*iWz?l4r=)e zi_A4;zZ{jz==jl=^P0s3@ZLf%mZj$vBAzQXZ3-7!Q!ecIb2o=sgnPh8&!*nAytaoS zegZn1j<Ym@7sy{_Ue<RcOd!O*F`@G^XI7lYhAW~hTdxb~TvlH3Ti{@kzrdCIDaAh7 zt#3J`-nnhKbelu!IcL~U4abXI2eaZ;HR>!6O4-BuvG3<tUHZlC`0_aCwa&c8%&Bv| zH{4st^7OvfhJ%SLqV`T3E@rY!-S4#FL@G<@ZI<r4jsbtqvv}LM1r$HlHJr0_o7#%K zQxay@!kQ*>R;4Q8Dl6)>4syk-WmIS$^s-mWsIfk%Rj;J+OXFbH`i|tNqmNm#-?#)6 z$v17i=L%Wcp{P+Ucd&}L>65+EjR)JHO{S0i!R~I-99r4hKG_p>Iaa;%*l^<>%hh5Q zZyDc!&y!ia=XwYH7HUder@W$C`(RPM!ixR#5?W7OlkfvwUGmo9LWSf(t@p6{zv{`A ziMP}_y5{?CIA+e_wT<K2uGR%#{<6HbaSHfm3A(}L@W;=$g>xpTt@y#$)XS&7;!k0d z>p7(rze<~qu2Wm_kGo0r-CRhc$-F(-J?Ol^m3iRv8WkPy+Hy{-ws!nG71A)0WYNCL zDHZRz;naD~u6w>4Zr$hXvUlBZEPLD00<UxG5!w7rmm-@=!=SyzIkttbJl>ZyeTrAU z@qnwT%U=1$3ip7Y`7GT%oU8U#S^H#ftYo<w@4n&4KNi#b?i;RrWZA0CayrH#;CoTi z(>kw!|9(xP|EwVUYvk<gN|$_DRXHQ<@lKZ2OE|jTxo@~t&DkaIzTsFphu1v+4cAgx zmgcKOJm+iL#N6~%PFdssDVDa)2d}Y+mMh-Kh;KUeUNPdy4QMsCdj32sq1A#R|M?CI znG0O`V|p-%U+7BRl!TeR&yu4`AG4f(>l08f-z0j^_reE>rm1$W7k=0@h3@kW_)^t$ zbr;J~d4-6__o0oZCAtT;T)Wk@;EPaG={GfvYL0_d?!r^_g>wq@9FOJ;=9I`fK8+XD z*)(mztNk2evo{JHUb#>5ppa+NtbC=2EKA3?%AC_ID`8_MH-p`a?yIc0uN3icIt%Z6 z^@x}AS$gLyN2FeMm9gJ7BVnt#kcj<^gkC1clc6lxb6o?fOb=Rx3s2cQGhuHv=c)hA z3C-!y#Scese4BC2jhj<ze`CVLW{y>L6B0H~=2%tVn9$kHnf0$BVI`mA+ufYgDrbQA z1nn&|YzwZpJ18R(cixGw4b%hr!YEc`U^lVVaz#yD5R3V8hC{Q91@>luRu6zG=8v-@ zW;7oIRm?@8l@3yyCp3RKBU@1XY=Ln<Y!3nG5{^H=LDSu!?${4Yv1bV-jNFzQ=8-d+ zPk^o||0~N~EKuFiDs$!k@k25yW}f>>iVq%!^qY6S1>c=<B@cA&gFJWfgp;6p7<A#+ zJJ3pqdeDiEKjc7XQ=T{^Go|jd6W=jr(2h<}3!(Sj3415@t+}8*ouHA3k78m)6Kr}~ zEi+_KJMmpwzR`ev?k&h>{&`E=-;^)6d$pjP8MHg2t<_QjbX!E%|HMQ-H)-92p{E=x zwZ)z(Y?{(46JiYNwFiKD?FOK=4pUoYO!gl+B(nrG0`T@VXg$VTohJ)^GjJDAklr|< zdEeWX*~aXxx{y1wKRmRO(3|;dMf0WEpzWsuAjc|#?(}E{-RUv0RpyAa&KbwY?Vuf< zp#6qXAg6*Z8#~uCw{U9Fgu8QEEnlcco^Y%K?J?ajr&UIzKPi#VO&v50VhL({O+u_7 z$X;&uN})`f+j0fyvXmoLVnr8f=CxXSoQ*tny6i&SnL{!upuwAW2SM!`4p5&Rv>sy> zsGTsY)lwt&uoK@gbI?(rpj8rI1VK$N9d65x^Y>WI)A^*jL1PZ!o6S9zfx@m-fZNhT z{@fv%5<k!eS<v3rd!S*6dQt9TgBKenG(WNhEgeY|D+;Is-THp!mT0&p`&CxZ2!p>^ zQGoq~R!fgHDT?f8g}93+ST(oGYypi!7=^=6+k9~kbOz?m-Jr20(3Z`LNv$$G>B|bH zK3gy)L6QCO-N+furx<mfDC`0)%$R$?iSN;J(2Z)`ktZBKfyO|#wzbMEsRo^$p(s`~ zp|+{jvf|_a-^=R%@iVA={)BRRC40nyEe$u4tWJb^$*flTx>&Y!gNs5WQ`;f_pc7$c zfv+`YbYw`J@LI(f<9s2)_fNuA)j%1}85#%qb{Mi;e8>M}anRzDCAWWF^gP(a_@=>m z%NyhChUJg`+<de3daeEQId9&*>2nWTqCEee==FWyRCBjYNpoNGP&3qhhOhnC7n4{% zRDHc6bfe@*-C2%?iH6%`f4&T2Ur_pF_fn6=u;c$ayG<vF?GIv@xazAdXa(kP=nBm0 ze3642elq*Nu#Gxh_7Z*_>Gu1pp=+0CdiE~)m=9Xm4L)P*wcSF<lHB!gFNNp)cr>}H z|J<s(Rs67{t9Hx7mbV^KH=VSsE`nj=s{PKOmE9Fl&_(_`!{awD`y2TF;(Bp`dVSc6 zaDKgO&@=dMluCIP+h0Do>R0|14u_Sdu!A<w_bEx3?XBs*w|F1;R50i@&d0>wo&YaT z^}VxX;+7wv^D^HCDKjj+RtG&!?4Go%LC(H!Tkb6`&0{R&XP5{%obIiEraK$>()4Gq zr92<^zeos8Uk^HB?%l=er~Z)T+1L9t1$m_RmCnDnc=Jl6_5JVQ_Z$D%=XUAJe9+SF zH6XnQUw?mX3pw@DW~Yn6nw<~VSM~p!hO)97X6>82-X%NtzfK7KD+*fK-FvnEGIYC$ zbyvcTFO}?H<i4&3ttW@>r^(N`4h^9rf2BOT^<N}}zMio!N4g;>dp>9l@bQF__q|FI zX7wi>_qwk}UY`A%9k%!4=UTT*6<>RJ!b<%h+cxg<Lw7P{`_>+9lmFRn^Lp-VZp8kH zdwZjytLC})U-y_5Rp!CA`qygk;gh!#oS-pU*|;z1?CSZ`)A#P*i?nT{`8RaihS@fM z<;=XR_Ng0I{W}NQ^05Q9<pb>3ulgr8$IaJ9I;L}DFw|P%c9ThBuk}wQgsz5M$$U#5 zx)0(z=+MFaPvbwW+5S!wN$cMw&|NiY|JF`4*#}w_UaF_j0NUSj7ksLtp~T(n>!5=m zkDdOsrd&<~aVTD8`FyBev14(OvzpT{U)jkju=3aRm4Xb1+2W!1Is4yRu|DE+-@<!~ z_itukD~2U~_Vz8%O&{AHh3-9mXBksR$k%?5PO0sn#oeII3GRYCv;V!U|04JGE@<lp z^r$%VHdy>v*sk?)w}Tz17rWSvfhW5@8WPOlbo_7nHWT&((Z4}4AOSg+bbEg(^lUq` z;%RP|euT0Lth6!**|^Idy7A-syDQ;2I|`=UTl_f&lm(#Y%<b0hy9PNib6deSw@V?r z8I(f*hk^EnJ$Me?zj3nqt7!JWM4$H;<w0k+LN7?JDfqRqik|_rDeRcu6^~iFcQPo2 z{<Q`zCqLE<)p-+iQsV!jJ@*#Z-FclN&MpAC;QL<0a%joYc~;7^`!=^i(AIaL19i97 zLpLsg6Y>8)Mtj|}pBC+9hh5kHjUASnJ{R^b`M8s*BV=wh=mfvJ%b~0Fx7z;d;*GZr ze19>rc+x#)2iOAkR%qx(|5)R8>545VLis_#!^RHPIJvg==&a-W9p7J!4?pn#Uwse5 zQb^G9o58k3<ji)vbcfk0BD6lFmtjiOV^zok_TO(bni4NBpR?%uihLcU<@OsxVaa!U zri$*nD+gCylLKY)-0H=Dve*w4@VI@yr_q%7IepEg>MeR&NIGlRLQ`PczSR>=_C>R= zwyFi`y!+G?dSvESVK0`$ZSSil-&<T<35rc<fp#|+?$3>Gm#);wu6eb8i5r7gZh*D# zd&UC=42yq1S*hBTc(yp~we7EH(6%{f$s&Je88l8d{x+HPY~JOAt9I>=+{Wv$()1cA zpx`O@gVbL4zs1NYHxFJWIZJtVJIk)gnp@BY+K0B)99pncFXm`F8~;&kulwt6B%Rx> zp{|`>>7$(aXU8s3nWPKJrLbLSTR(plm99%#Yx8>Uda(nW88yyAcJfrib7_^7XR!8t zwdT;jTUo)|FA6$gdlG_bkItH3-){5z?`@>=%&x)%8Z*i4rjwpso1@tr`qd4*+ax;% zT8hq>bv4NO<(+<VbKHMVMjPn{k7En2Fz7t*Jq}BOg%Qe`g?Fta*JRCw>~gsk4n3O| z?A!XccR`n~2O^!}`};i1yC>g+3zi?pKnd6zoPc4wKDJ7K5tTl-?s|1eT`WVhWy2*% zO?9^!syEuAu=!r{t3^y5A%C?&I-8?mDLDRG_?pV=zrV=+Jr0Uk=zd5$i#4!_y?k%| z_ZhqQfb!{Oa6UDMZZ+Awn4``2ea0)>SN_O*6D?llz)F>mWxY#|u4NTi83m5hTjj_x zYriM({l%(fNR{I^&*z|P*O&bN{!`ywvPlO#8gd1?vExd{%*1Uf(Wf?v%%5aA*J5t6 zmFWD!wXQQg)7<P-W@>JmmXbDO=8u4+WfRvP(NX@)xV2}E>NMR`KGXbX%uLBnJgs7U zdCC3vbIh;*+fjb*^`8Cvi{r0<|NZ>#Y|HY~C%pe>ZGQge{eIAJ$a~1_hxf8S*CuN) zNS~SOkUiN`&?#U=#epjm1LrOHbdsezgkx2l*M=KgS+3?oPRu#ZF|Dd?L0QT6>E;4| zUQL_n8&DqJ^z@thiv4pET7@~K)~Q6iOlmr|nj<U|GNE1<?D~GLRZ#Vm1wYuDOwDGt zXHLD-m9RFJ!%JT+B3;t)rqaPGZH`m%E*lP-vWVs@-gqI`bc$bC=aY%!)!wq>1!CR8 zA@@5II$fc?!)KRPDz6mg$eQoE;Y=$_sWi*!yDs2cIptI`cFapqecPC6I?r{(DLxig zaiKNSlr`#X4oam9P1)0suy!@4*L{x-=Uzd3t(&u#_kFo0IHmv0@hxUInif3z#v-k& zu%d?Lpwe-{kiQZKlb#EQ{O39-q}w#hUNPboW792vL7mS`j(5*~f3jugcA+c&!fOg{ zut27UE8bk0c*&hpYQFo1Q`0%S?m29@)z8^=-+#lg2@9TlX35^G)|)x?-n4|dKUq@w z6(inDG<}j+yzwBWsjIZ9cb)o*Kgvz6?`At}03QVTYxzp$jn6q&?XS}J$?mjdF)de* z(DDv|ZC2nrSQM|cqF(kOm%U2H4dsZJ{VcunRU@8;avRK9bX#D}HfhI?%$(OMu0z~r zS$XQp#IV){Us73K$9M;P<7@hQO<>A@=7VhK1wv|`-kWjFZ8N9Vat^Ubr+}|>S-R)C z1pJ-M;vM4@@L8J0`>tES@3^K^Ht05ta&sTqlY5ioeOCVqW6|Ez3%;KIp5uib9ve=n zb97zf2>Wg2_%WIjeBgyU$F-_i3%(rVHk?!Xh$XdLEh1mg@#l8ooPt9Tr|talai#KN zZceTG-h_#>Iaif&idA<k_;sIS+J;)gwX@c%M`XD--Qwn)X4$pi-A9(wQ#oJ7J8U=< z&M9@zW5cEM98&95Bc85@I?X*@=g^k0YFWpRDhH*ig{Rm|N?7}t!)w0dhI2nzQr9a* ztalCgx12@V#v$ONK8y5Thk&13CH<st_APi7$I>n97qHi1!;zP;gqFU%Z^=596}8L< zjmibD?46&m(_Z*W{k(+M8%aK^A8upOe(QPRhd@*4J@0@oCQVoEoCE%FHJR@74cO$o z;aV-r()lV8&p~IahA}*xv>ALWhI#vfKXNS6DV$pS+7lKBb86MkN|<<>b5&h;!bWS( zRsUxtbgFY?^>I$SRZ`Eh{F@oe=`+eJsud0vy;oaN&vlS%{w&DA62JaztDr0)lYPwz zQ{x3iYUd<utrrrRzmw&1)MH;3)#pNMwze!NnU^qkGRLWTz8mhTvOL}Ix#3_gi)g*) zhKmggKG}1Kb;^DC1U($(m9)AmgV^n<3x3tHc<ZRI_^H^mG)(BqIzjMKpS3Kf|28f7 zr_7@LXYPWB$Jjq<dFLx+>@hy5CE2v-G)LHP1;>w~EZH@Q;Dse+tDcw!yGNM|PubU= zFt?rKR3FE+&CLdDKSc}WY*2PQTFYr>)uq7xlpi!_uo-k=HE1Aw%|R!=SNGEs`Gi0h zUOWSB&A0;UUeB9&^x9d+v};Q^<~1{M%x`YaD|)q<uju!N3C;f|f{rN!9d%H_#$6on zONQH0BL3(hnUH;;dq+WsRYc8il{s>KqXBy_=mOFwV(gzc$!^&OIzj@}M}A%a>axmn z7hkZR+bZ*9HR%3~Q=q;+w?fShzOMUof5Gm_v<4k_Ap$?{qK*S}+y(ej&rGqR3pP_) zEj>UZAh$pxATvP&^WV9|o+*5n6)U>%9(3{OebA-qpg9%DITtq<g08Wy{0tc?kzd#R z;?K%{s}-R0HXngb?&uZbw)B_>y3tiHU6K7IXawXO=%&oy;Nvb>Km#hE<1TWlB-RD- zb#a3B5cGrg5P)s~ci%jr`4#9~&KsaT7yn#A6B~Cy7J;`woRtS{wODoBiSJhbW&`$_ z>7WxDo;}>*RXpMLtX7#X&o>#cw=zeZIB0PGkjxa&6{L?rCwrY*3cBnAbZyD*xu6gQ z-Ai&Dv|>Y2?AZcQThKy^mp66JIHq3*1s~X^n}0zg7~4UUTK*}Cd~Dj0CmcT}ftEvb zwaQGn4H{R86Dta+odP;P1aydmZ{Ly7S<Oenbe=5O$qid!a5`c}v(Lm<nUe4g2JAaQ zr&;|6&8ZZehWqGnHTY~w18z$R(A>l+H_Mo%GA@sG&N$|(gYHv5a!AHx8fe7^r_LG2 z*YGP;Od?J=ep3UjfHCK`JYf%-b^~o0S=4vv?9AqiI$}iu_dxqwbU^o?fR7IiI1Ad- z@umVatTMUP(j(@86W=V*hL@GnktZC%r;SB|&PID3F{3#qMRQ#c->+y;)W3~9aqx8g z1M{zsUFE;oNP_Bl=myR|cUD#$JEIbPDoSjos$5jcv>97Z*ep25yS(^}vfQ&9%iLyq zp6*E%yJ=)2I#YF<iIMl2kSjiCj5hh`7=P+WbGtcd+DynT<$LYt#a@41RbPL;^#1+# z^UoKoci!H;=g)ex<Y)i?cRvyB<cZ%n$yG^uPfnOsh7jw5k4a6T=M*${btP;)&C#`; z<Jyj7rnS9sKesZr>^J*);L5~nmsys^t3;%~K78%Gc%AZY;e%C~O`q5WayE1<c*NDz zdro;p4JY_;qP@Kd8-H`I;^&-J(YWAQSh3-prD=ko6>DeyK?-EnQgJ`ole)?)Kv#g3 zHnnAQgzcKT;A1VzY8%IZ64`@U(K3CRqVqjB9Gl0Yx|t<g)-#|=^PrVB=czcC4fn3H zJk3|TvA`TMVy$OqR+{p~#_{RdXNghWZ#)7@%bSiq69}<yO6XK&G1X@AUaPjER`j5e zx!{$((-U^ObG%xw8j(2pr-a{ONfzy;98&MyH=Ozdt@;-)_mN#$J88j>R+j9V?gdYJ zS+f5&E%?%qF!wpfsk}MIw_LkDZ^0jH4znB67d(2%A}z|PRo|2_QOfaZzK~8Cv*XwK z!a5&6K&!By-&ZQH<n2GOCF?lnw41F9-r2F7mURpG&(b7n=Xc=)Q`6Laju(DZHHGeC zag|q!c$?31G?%e$^RaABuXjEhu2pk-$vbU0R|p9+)%4TB?p4o)r~J1%$aY;Y<d5V* zH-4dzf7%C?q-8oYLu+{tCV4i!daoGqD4}WAaRHrDHOIH1ET?1q0=BzuxZ}?WKBO}q zI%IuVe0krLxLT3p^6y<XoZ8LVCFijrs&T=Omn_*e(-u5g%90)H9q_BHsq~$?#&3~> zRr&%``gM;Kn9Xnv_!!F~{nkI=XGW9jG)Pl!dd%N5S0>&#%5rtT_Xd~t1<&$1rd3T^ z@Xe|zb(@XU<yF>`6LyvhT{$nbra;8;@KP4-+F1)ey0WaUX<zU{mu2<eSqpwVX36e> z&ZD0^E1npY{njI(iodD!nE?3UZlR`6^ObHSm^vO+JLqOE81hf_pi;k3$Y1e;NwdWa z=Df;Rh)6PTnkBCgq2(X&Tcj!V9PCcE>W5b*-r3Ii>b}Q@L;9Rjd>moF)Eyu9iYG^{ z-ZO8(i*=BLyKS0G{ggB+%??JHHeCuAT2svIc(a=0TJ?+tf9yHTZhV;W^)Rb9pJGhW z$I{744AO6%0xX?3oQP>!r7omXCg%86UjV%DLtaRy)ZFoHJI6Fh!7E#nE2r*!%kk>H z&xS+4Ii%#AHeCA1(tDa^bxj?34q`*Ft8}n?mc4>To%lhi{puP&bq+>J%d|rpgDQ?U z_i|pdp0VK1Mi%Kgo&g_=S)^nA0)GBx>AvF`u+@LV4P%z8|J^qnF+2WQm0dt@=g()J zf(=W*DXpkgIB3+(`D&iuhM1`f{w-zEuIXR!@GXn>-@XMOgIQMpnZDq~HT4I1Yrm;A zJ<4n{wQ~w65<D1nn$v5(`-XEzg*oDj7!LYP-JABxW4&|0zwIp2Hl6_=?OCLAE0vao z{_JJxKBKncS7OsqF`*EBL7hT9$Fu8&Ks#5z>9U-@;~wz6u<7YP-+=nhN^P5+lE8KU zbelWLuRLBlv-FA!tSORmyf~GmIN&!Iw`E8C*+ViWb%&hzCfS0P&S`L4df0<D9Gcm3 zdQWS9!>4n`G2dLQXu^Nc+PM>;3$<5*#<oFsLH{%2E*99C6~vP7!`PC4#_{WM&@oQC zr-SA<&K#0a0ZrGZeMyN~YUyz{L6QA!ENI4{4s?)Hf2-w*xu8?5L5DB5>TjIT{BWwy z8ON1po%oJ{4q}{Y58B3845};^2Nx6<3ET(WQ@y7azS;80zF_&%3$s8|2Ciby78Hwd zTb`(!*lGz`I%f|$s1np%c_a_o71_}$GbO%MYuzOomq(zLlV+fOk^J12D?p1RRs0eZ z+0XWGG+<u|+PAp-;31imb@SotCiiB8S~c~cr3Iji(3_?`(0ZD%161QyX>(gjygz$L zCZq^d3CnO72mA)@Ih=p~kc`Q`V@`aNKn<L=){!S1e}Rr-{LI5`dBV-_@Y$Ko_sl@o zsf&p{OW1H7USS?>US{_yVatqGnJMQ%iwi)9V0|?bd!}$LNs;}lb;OM3Bg{Ha7W@QV zz6DwhxMh3XsncZ>Zg#fHlw1Z)YcPPOHI5vT5eeHcq4{B@&Kbv-LZGdipgYv>m}xe( zuVy&Z{#v2x{}p%HD{VSY6#g-B7hkxss%7<*<|m+os7z<K$}IT~T3Y~`Mktd6HNd8~ z$~<`uI--=9+fw7+DcHIa^@thGCqTEZ-A$6rJ127_4YX|+d_lRjCT!K@=M$jgwslh# z+24X9_ZjFoti7{ZEl=D9#XKmreURX`l&}M}Xw0JfR!?dE2uf|K<zhtwa}OVqxzd-Q z$o^4P=ZxcGQ1S$|8?S|e)=Ua>7hl*}4nIBY+r*XqRxj43Dzg9d4SN7uR>H<@sj)0# zM)O5bVzZgwY8mmLy)M{3j>+;;zbv?>hh5S6^2C`B7DmR?B0;+q9<JP|qkQ?uG`DG; z+r%=}p3SMaailWooaLOf88a(*jJwZBoi^IEwBp1GBg3;Nj12u}%#29Wds(^e_1V9_ zlXrjHb?<)q?)UG%pLgxE%1cZ6>z{i&^8at$N!NeH|I1hHb}@_i?;bkcZxMsewpj~) zU1jlJqq?F}{9q7s)2;JDI>yNtwf}E>us*b0y6I_|$_o4bgw|%Y3%4?iyg44aw;x@p zyfm0YYQ0j#Q?I5)*&JcJn-~0WX34g34k$YO*l_LEM=VR93#>7ozTizw(^oN}DfXQS z%~M%K_0?~@aBDgh+vF+-ok!pK>&umiGjxw_S(Wd*;Yd!?tZG4>QU%AitSqOeaK2in z5b@5a>6f{{ngVUd!@oJhswOQce8R$$K2?{qON}$^cJqQCj4atQhLEb?Pj8;pr6|9E zUqMZ!Q7oy~1=p0zJN`V*X;#s>;L)?xKKomZ3qIwsbieTr*y^z1hEmh3bYY!BPRFzF z1$0We9p6@SPOHoTm&H4tyz35jFWM+<xc1j=Vel0TzlGL(k!(74Txd;^n&ZW8&amHR zjvx6BO6^zF_^EL)>c5i4-j|*d=d3n!oO<WAA#U1&Kg&7IEYctaUW`rcs}nDjnoiwU zig=RNv}(Uv#2dk;SIJGPW|oqdSN&9LT3V*KVlV$eqjrHSwzCp;Ugmfe@3FyY@`8uH z;8kiL53{WPQw(+6i(|_ZqE_#nxZuY*mh75_1u63q)-LAon(wvYTvpSj>jL0ID)l+d zBm_eKupe|Yo|a%S^}fS~6MihA_x(5AILLB!zr%(jlc4J&Hk*~Il!`jOHDx({$1~u& zV$;)qegXA|m(IAxwO&2LqCH_LkK^N?EURngEqHN~W%XQlaQC&&A>dOP<Z4c(h~s{> zsXG!*g4Xw!JzK(Y0Cbzl{{Dn!W=^fTzJ$d_j!%m@#WDp#{@NW(+AbLK-}a!8zu=WS z3l6RJ$#o5|_S|r09!n{|Ld3hxEMLWi*A$!sH<;dp9QIz`H)Wsug$mh&TD47!`~}v0 zi*I@qJ?Gd*Y0%aM>w{6hg{RofNto-(lDeAZb*xjszuhd-cboz~ma|CPx&{2SXX(z_ z)}wFPP;m0<cAqW=ooQ+-{s}gz@+q(QncB4UoYIO~!-GcGg|EC7059S17h3Znzo~8Z zymPB{_Rd`JaUIL*ni&gTEM!?dm7{CE<A!75>H_<oFf`58s=Rw;;<d9ZOW!L-JQr-* zq%W{$`$@?eVLw?8vh5ZK`6F=9tz97GpU^?2(;TbnS`#*AbFTV7BcW5y@vObD&Q}}9 zx4q|&6imAb+GQc?u;a<QbHVOK@+vFlt4BQShHPD6YC3jZV9h7xrbXq#Ye1`D&kL>* zwB^36wNv+Cl(pcLd$SYfHglem^W1PxsOi&l;hci2;9%3+d1IcH(XPo0KFM>6RZd&* z$}K%Psyo*?;O|@(?=#9Peik+@?PA&LuNILe>UdY1W7=;;$G^8Z!+tP39u_?4wSHBS zMQ^&$nooVOCU7z6LRPnc8pVTB>OxauJU4_jFZj~S^7@Wjz&FOGuk(~N)<2zbjV)R* zq{jN7TeVQgIYFIG4GUgzvUIOiSn-dyNi}bV!v@f?qwKC6XXAZp4?DgB-_Y6AYI(xt z+`(f{7VKu_E}l>=&29PO{kcOjBA_*VZS9*4*q1(zJaKTxDNt|yoD<(7UC>l`G3ca+ z{#MJ3KfSFoD)C8)d{ML4KDcY;@&3RenUYJ;qY0MtZTb!Bi@i4hT`1WH+PiSniLWa@ zIT3oe@p(|Cu~+BG0;`lnz9fB6mGS!AQBddd8>nJK+q;me$bQ!t)M=aBD)VK1av~p> z{alfQkkt|i73Z(G%TD=s%8BooK4^L0^>s%;dl$sGivz07xh*?Dbv0z~f`r(!1zUSs zWtM<u*sZQ_n$Y~lKVn96Tz{*~6VRp(o_Xnt>_@N9X`gM(z8G}1#m`usGma_q;T`6y z{(iMr9N%P!6$Px{V8GtV9eKj>^KAGPowHjlPuQFRUD3JGfPH89`a_|o9P6XSo-LS= zq{x1FFX;Gf^@tM(e|U&JTL4;A;R5QLZe1OD!m$Q)I>wG{M4Kkz)ndM=^Po#FdZgA} zk}27isK|a(7IgZy4JbrAKv#5vdg~&v-un8KL_Q_ZQ59eL#GWa9698>qI1E}?Vk2*^ z&VJT{yLiGZ(4mi@!!pnOf&1ugYp{Ij1nbULnJ=L0L0eCQPK$OEd$!<8sv`SicF@t= zpgTCSRu@ivwqTnnw`Iq9&{+@F8z(eBY1BF6n9ay-=`lTWM)Nr?v7!lkds;1D+&$pL z_h~!mQr6$_)gKymmR|$e7oT9=;bp0j54!MVlFpL_yV$vl1*{udWv=umB=QM?ZVW93 z-EXmLN~_G4Xq_{TX|mjwFYX;bB=bZLbUNj9(1Olq;-B(ldCEa6P(cSge5}(s<G9oS z-lW+DUZw+D1N-&=)boG$@XYABsRla0vhc(lR@vk6_4}W#>Wo@<=R=t999!L(n}=en z7Cse|Ub(T+`R>+<QFbP4d#~g@-j;RPQ_}KE)+J6!O@B8jzFQO7d4qyZXeesi29<1V zFIl>zwD0nz@84_oeOmncc`N_^_uqd%yf^*(PW!x~OUCc#RX#2~)p%2W`i(PZmL&3@ zpE=X=S!q_oe0k{ujK80miQoMh_&Gh_A^qsWn{f@-=YuZ2cW1WwJ8c>CT!csa&rTCE zWDsP8Y`<ksx)xt)F<Wdl=$iRER*(}GFgKI$PrtlLyn%1^GyCa~jpFk2Cd2lHMywW= zHJY1eGs$<gH0aX%NA)Se;0@L4tKmDmU$5)rn_0H5PI30N?JNrpck%CqUizND9)8Js z&V`Z_TlT+=zq#cHXgB_wJyRf7y^oy;vkJVKJmdWS&6z(pGn7PZeP(|X;;o0%WMF=Z zieBuz>~eJc$z@mdnJ*-M{k0gX=42mS%`R)uK@HZ+Z*KYL3)&3)=_+*J@$Y4DHQ=qu z-~XA<7OMvx)DWHSSNAya@cu%`VHV%On``0QrK=94*)qi02{-VqE~|oW_pJzrZ}(lh zdoi=&Y&#qE*<t^A9NMp%%g%=QZT_A4Fuz5V*O#2w^7%*f=1f~qtZm<~3)#hd&u#`x z4P*=M&B~iwDt;GkZs5~?&-dZk{Y>T=rFsz`?=6OJ9qygYH?uF!!fy67_4yNgSO0}> z^ZxOn6YM?Ee&4P0diZAg#n-x<t@W!;H(SdOJ4IpgVX!fvgBD(21MO1YQ=WSH*BQ{J z;N8%j=X?GZ!Mz4P0f3czQ)XcvgVmZEBj}dj_cssSgzrs<MB7Qtn_KoMFvx~og>Cr# z&=O|GDqsjXUqcuaZT)-HXNOH^TX6W*bl8@A&)0k5+t*9QUE6)EpX;7m_EnteLSpOu zS!uEd)-Y(_i$guo;{Tz`n=%V?LC1X*LeJ^gvt0>w$8_rZ^UI!^GhIl$x(K#iJZ2^= zpd+$FOHPzjUA>eORjbY*8>as^0UE2D%;3hn+x22X=JQ>~n==14Gn7PJjGG3D)cpKY zuu}^lY5Miyn_GT>4nv74O@kP-r@Ry%f{(!)+^45s{v^)$Vnfzl_s!4)5^}*20p8%g z&Ar`cdGqE?nO|Rnwv=bOLX4@|q>gY+FDSBX<xemB`kwhh;!)G|bVxS5y&aKOGptf> z*<U!h>}WhFzkln8Dyc4i*1_1pfk@6D?&L(-fiirajXoq5+U+riTXn4#w8LHAZl>>Q zkgxW={R7E9Kb}C2M*tNqyB322XJzQkE%QFFjC5!hwdY#kufdQed!TE(Jp70faQOWH z^C~B5&K9-V)4sDpkMh`i99(pyoMMF>M^N?j^35$Z_gQalk%B2XoDNrV%}PYpXs+Dv znK@B&1snKQ%X31{lTp`y0`u3kTEp(h^nbf@qUOss@M-@Of$m=49)B7svR>G})!%0^ zTWmEbcoxEvyLtZK98I{YJ0&Mdw!E2?6BQ@Zz_&V%2YSpxJGb81WzYjb)@(JfO0lv{ zcQ#wA$M4X7bv?|{lU48La494)fJ11xd%KTywprTcU#CH50POJ1yvBHjYr~_rPvH>{ zJ_F!-z20mwYtVTOhfZ&Xq>a6w;iraxPeX|<Of}QpFWSJj+V6i2G;LHQ!jstA?nTba zF6)M;UVinP@x_L!%9)UqIzI+}5Jg0}bIFM<n?HBo-14uG!D`KqBhMg_TCp2(`T?kf zuD@)0bIT5U&~YDMmO_=h-vusLK)yQW+wSB3dt1uoPvM}{eE1qf$&Ui0((o=Q`NV=s z=zQi2iKUgfP!|^!!(DuLFDNztd%ZdH?{tQeh{C_&P@liGM)=%B*66MLooT+^he3x& zeE$Zqs^a}TRM+%>+no6`h{0;jt=-Dd#B2jUpClq%Le^-m-N&stQL>-EMmn@#EoZ6t zyz@Ro+Fb6inokJ`7qu^PUN*NX+-z<C{kgu~^I_%X+v8vdfs-mId)5DJ%Zb|ZE#-0% z?9iF-^T6f71Woah8#`W3czI&qOyAS|EDH{=YKI-f@bEJ{%D48V7|x#e;cZUTTu^+< zbHmc|dPG{bopp50<`>U$qUOst@M+KEgDSaQ{QVqq<=$TR`|r~2DVLMLB_aGErf2tO z`7*lj9OzPlxF_Ri`R2@>hA0Oyb?=`JQxoy}3h1zpr~7iEa`_zEuNLR~Z{|w~YY?5U zb9&<S{Wrh=U;m#m&#sam)D+5vT~^K>x%isP2WHvF4HJ3X9<VbW-(^2R%P-W<nfun# z9Pi%Ai>A1>IBt(vIYn0>lWUrk*zSZ*<r|+87cI^?;KJ#Bz=gB!N={1X6i=3^4JRGc zj^xhY*|+m?+GO8#d*A)Ge6F&2|F+NDF5jOyZQr)M`!z4zcby1&)f2JXEAyrG%`fg< zCGRfj^EZa<-_)>7JfJimv?%Q>A9zW|Ha**d7ozL$u3vxSgL%4)oSD*HM@F7!3_Xx_ zBzwQFwTSV8E_(du)4SwkzKFw;SNB23*h<^1fvoGCKjU(E&Yzq9FKmCg&(Yj%0b7L9 z%nx6;$ke;!CTK-y)}6JW^=Ysbq4UAlSGP{Px47_%7h>Jkvm)sF%&pflRdnwKGE7`m z3p#mx&1Y-q8mdDYO^L<p>!RLYTvzXgxFh+qCsd<v{JM!D{~bZsInR+|G+6UJ9HO!M zt!3@ewtNfs_ZMw9GT8m*gB}uG`JM@?^K8AZa^|PwRuNb0oq8Fj+<I^yx;U+K`WI1Y z`(HJC-Rr?isGtkt*k8k!@Hs1IeiH}Xb-Wj}uJhSzsK%L~Rc(E-OTTAqL0+1f2VM0V zk-gpR(xdgI8&>_D1JZYHcGzim$XZhU9wiC0@=LB&{oht0tp~LZhA!@z{coOI&|iP6 zh^w`ppe1JSW9MImtOt#=Uglzu^W&mvRsXwRNLs6{VXpn(;CAVWJ!lo`E|9GU+10+t zG4QY(?DCh1SCTN>SG4xt;{C`ASP_Tr+Af-CVtwJ@s$;YDC+0E-WStGZ0zHBjv@U3E z-16@kIiQ<#Vb?3~^oOpWTB~k4NvuCYzd7`6G8g1B@)AhA{02wp^t=h_dux-C)=$la zui*R3-n-;i;QZ+aSH1fPUbnTjd>Ulk*50yh`;rc8?^8Hm_5B;tvAN&npjQsx0N=g- zIu~>Vco6usUbgwc%8<j9*IW$G`E#rH)0*$!MG?!zYCgaggdU5#<}u6mqmksAteQ38 z%b87YBgbpruSqtqd)q<Bhr1ZDEoDov%$I|mQ>`6aSHU;WxBE0`!Qy$)X}hK1!?lkm zlt6AeJ}+9;|7|hS@!{|P#6pAWa{kRV-&btsQV1#qA6H&=9kePGv<&t37g6bZpHug` ze_siTSm^24-{W9wq5te&f2G=IomPX#)qKzjGq&yEj4p9kz3i2?*}uiB(GLM%vN{!V zd-{zCXXVVYT1J;u$3j8ty`IfQ_Gw*RFZ#Xfsqt4KYeBDBg(_zj1~a;>`ep`ND*ikU zIa>G6x>UU-9yvvPo~K&{Sqr-C`~{Cy`I-$LSMP$B(!Kuc(#s&xcko5r>zCSQe;!}| zDt9+)^_xeqCEwqrU`gNJT{-g;XhGaxYw)tRc<8D_b-ByoIeY5o-dnssXEtI*=fRaw zjnca#lrz75W9kU${e6X_A@S^T=%P08<??@@SM7CQye$?{r0jHp#>m=UDbMcTTna&3 zK?jv@IJX%ZIN&?FbK37Mu61Jk|NFNg6Eq#Xp95PD|8lkIq*wee6GFcmf|h0O6~6+> zE?dRFic0VMnzq;d`xfM=gfDCVV{ST0P5$b^RsSw$u`Ia4wjSokI8cGRcl!(5U)o%V z)5Gm<tbi@ui<R=+?Ef+$G&vAdE_^;Q_fsH0<dkSo7OgFqes6JM9MVG2vwdZd3mc-U zO(%)*ze))G?Fw@1+{O9O5(RQ)b^HFc-!o9|PA}dI)w!&G#l(>MIQG@M)`Kr>m)#xx z9=wFK`t1&(gBu<m|Ng>u*K|>&y9{nGg*x}={45pUeT$ky|0?fSzRkAa3Y$7KwX6H- z3GztW|L5E5zCRdrPBi3H>z@hhp|&2AyY4Y-ZCc8PRUf}WmWj)NPTNm5*mWLMc$S_l ze;S#eqmQ)KduKc>LeotqiA{ey_28;+g^&_ua}Xr)LHsKIep340+HB;~Xg;h$`*mK* z^Xsj<MiEzScY;fWuVJ9P2Fjn}ue8nfq|LW^efK6xVFX+C`p4dMlA8Yxced5P9)qpT zEm#RD$ZC_C_a!|xuZf@c^&j%t;r#Pp1=)=N<;-te*71ay+J%A(vRSi{Q}5lf^`OFt z`@u~HXd=x2=L++!`Mq**X`~HG!up`))1~X6%i<yR%=Nmx?$!H1tJ9&YgXh-6y(<ga zS@0QD8kvJilPYs){A8QI);6>G&~R_@@7u^p7`{5a{(o~-|H-YO1=Jv?CN|qbvQ7N2 z_`U!C|I)WK>IsF+4P8!^JpS?L&fsM;*Pe-yb5GGTmKNN3rbsS2#d34T#P*q~!N%@0 z*Y?b)%t(EvbVO5bnG&DloDM6c?1@i%u2i0ynR;5uZKm#LgR?qCb>*?|@BaDN{JM1S zeEsXS_UGsCfAOf*y3Oo=r`ocA|JMhE&Hn$lOH@bXR?_Ck+@~5`4j)TdvTa-fii8hF z=?hKii}~{Dzf8>)tIHgx-g$4hr_=Q5xKNJ4^aYQ0vT(;b1yr&gRMKr)#V??<t&pX6 zZCqpk!$F-@`wa?JHr`e8S^X@eX=$3!6+dB}ViU)^mpP_c&tC9PsY!I5dParyL9g$E zBKI6NoSLxU#WwL|i`8%a0&3U}O0^44nd7|S+Dc`PX=@mcdHc;bEnKpB!h)Q+346D) zJbkZv<3V9l*LfkGExw|cSMAY0sC1cgm7LRth-nLcX@W0h+S!l*x~%-VfKIWw<6XIf zMgIK?5uYTEdB5`qt%FNUZ)*E3u%<-7@!?ID)pJ||O5_e^i8ej*=D4<_cfpgYrmb?y z8h=F&T1CfoJ)BZ2e6Xroc#6MZPJxKy(euJOCC@mTRJ9vEPC9*mzJ<`vSqon2vUJ~d z4ftEm;?2X6bt}myd!;OA)_d0tXV^Jj$$4(L^PJ<AIA_=oImg4=oMF3WF8DB)W%ZwF z3tmiSS)E&W^Ymd>Z@I%2CqK%%xiVbq5T0^pe!^O14zKwR8_r3xr0)0La1U}+`2)VD zuGps5c?v83#5cKa+b>hT`c*Wi*zNuWzuY;dS<GAT>?Mo$RCmxp8Z6eK)gO;cJk!fk zdR<WGyL8j9*&Ja%_#F?Q5S?+&D_>D#j!MMS!z`}-$`Nn9S&p7pj(9B8G|60G%~d6h zzX}Jfq&ZLho1L)t?Ybx4d<lD={F&|U%3wCbGvK2$3%9Iuz)pt^CoEY)_0=MBEFHhj z7tkrRcRb6>;%)06P<>l!y^*l;3hU;Co%}*q<~wgVB+e-{&vnBkS7nL);CYliIgdP^ z9%pg2W?B8WbHR^dmh7Bj(Pg1U=1p6d2~V+`k}y}t@ut4O8uR%J{_t~}MR)~#bZ6nd z>m2a2pQYQzIl#2Wv~BYZJ!Ot*Hf<j#y?#H(Vv@y-1<!Jtmino!u<cKnDKBs()@eh` z<OTn#nneFOT=<~bG<Dl9w#!<3gb!-HSJ0?ZIG81`t}#zN;;~QDBypiNrC*^@dU?B_ z%*#8j0pGr}yyoRNwLgn<+17)7ETZ@QHe6t2nQHI0;Y24(=zg~iH&|J&W`pm$t5iQ2 z)Gu_UZc>6|Z1=+}cN-ISZtsOAxA*piOC~60R4^U%idWUBu{)?0E+7)?y5W{SN0+_R zhGW7ks?OeqYqxGw*VwCm5OhWTUyXxR$Azc-=Q_xi+SD~&Q0Iz-<AxMggSeuf&xPHU z_=Q9MY9CC}7YwPtTPkC}k=^mE%E6#^;VX812{ZMDuh{k{?DXb*b>Csbq4OM4b{-po z<}dir&9ZvWw!ViVbKN%Fs&*65spNUAdOY1%CR4^aph)jv)N_F;>x96!l=^dC+dY55 zpLh<lig^njWlu|t;`UWt@h7jz^%6^{z3+w_nJicLyKXq5)HLff$FyCwEC(lv9;i6^ z_S}()XXbOhvUA^XXZ8ByTVB0)+i++%hm@SxhD*yixaNCp@akOf!l~)%I%SQ0(-L~C zIlS!rHe54ivGmB_D|2~P^Eo}8CknF@68Wmw`@0@2(rNhUYI&l*=+R<6HqdU%N1zR? z7nsC~0`^U7wd`1vsK|abezO7lMmcWF75}?iEj@f98&^+he&(lh#xYA?tmuLr=#Z?M zrdF8}&=S&j(x8JR6uFB7cC@w1@PIBF^V%;Zdhl>4!{heX3V&`KahDDGchZS(QS`lr z)h6s)LCYvWD=Q!uVl4(;h}Eg{MB#6#*s}%2jNFzd;*K7Y`4YByLUYY*&<561PJCVe z6B7BHwh5W5vp+Ql-GsFlw1D+5XannsKtY=r?u0)DvRCY_KL)aQDsfw8%sYQbX34q~ zMfSI$I%gc8O$Y5`<p3SlZOv`@qCF3^<nfSn#EF9i{$kG-e6bTN60ig9w5(}sm2m+r z&&ax;sL1~DbmWX?x8_zEmG=pWd{O-UT@M~=HGDi=I^o~#Bkr;#aiHCy*Uc+#@>NxX zPQ3t~oqh13&XWZ*k`wuymV-93ZU^lR2Az%#y0q-bwT%;+e>v-%aZD2Cw#?Xf;*iV| zCG&^Ro-DBKZM8h{2DFZGGw4dvjf+7SwIBHL(CWqcxj$AkAKIz&Bq1U-k<Uvz;)LT4 z&{|u$1V#3Xy`bx8S;dM3?&pcFyCh?>47A|VN9@^xscDMrFBgNRa58nCC{%;a?~XnK zJ9O$cXp+fJ?3u!r#1Iz#aK;|_b<Lj&9xdimx_z%_^_1pUpxeQ7*tjiM$R9f-qXN1x z{Mky-gqV(4(S^7Zhh$P-fsPfQ23qQI+KKNMXhmyldgO_N1+TAy7TDgJ+A7ns9JJfk zIpTz)$>~EfSNtSJK~sCj_^&(G{5#?<Ya+G*dIUOnBkTLLL_RB9(5m2Bt(Gr*cd~|S zvi}4f2!GH{=gEQ!0q$afS!bR2PN{D+V4n!OkS!`PkuS+R;)LU0&|;sB^IB#0{Nb(> zw*SXI@1MFCsG85*0=d8RzF3ozo3@!k(ge+GU5+kF*Q8ubj64J`c4#=VD0Q_s_Jpcv zB&G0lNvzo_DA*h!y-Y~MS%Wp`7z67e1veMZwbvqCo6eZc+k9<ut^J+d*Ya)OM`pkO z{<q?Gf4r&or&Ip9r`P`fu0APT<<dXUZ5=lsh;BZ!%k#>u0wyj6jVp6Emi$d;+HmI? z%h%@uYd~cc!$B{1A(MIj8&2J1an)Cec&pX)NSpIoMbCmK9SOR-r4DP_b|=ie$&&h9 zaLsmm$DfBe%{2TKB8oT;`i0sQzdDg2==fBdLu_a7f>+8c-D_1>>~oa99F=6;G|RJT z=`*1#_xclN9_Dy8&tt=#mn>h!h1L{EIvy5f(f-OQwO%pe=~CDfwDJ0fKeoQ*?0WCE z;n+SFResfo*DOt!LYqp(1g69~ZMav|^od_6XTz)okGNT+kFGmfpq1~v!DH5fSNB-D zWt{^4S~RKp!43`7pJ6pA$|qpA^M*58O}qRBb-v3u{`H-n7zN&=pvR(J+q2-~L6+51 zIJ)L}fiKUF_u6obmqqoxa>VQNEKBFBMx;-EeT`ond`8tdH8od;Yqw`E_~XuLX3??W zQ8=fWRqKLJ{hVSuTNb=3W$FIPvFd+kLT5Th*1lg=aj99_O}DHCbvCOz-nBVc6tB3V z-sm8gJ$S)>)7-3zk5?vM+RD=VUMb>fKa1;pwFuoR=4GKZ-c6?WTmy>uo3`4y2K>@! zD)m#+sMb4JB`!E6UpS|L-SMcsK+cxF1)ti?lPtP(Knr3(t1-UL4R%l3EgbS+<)Dyj z)2#a{5wB#LZix%&Y@V{<ombP-I{$!rmkozjTg?bN9Lb`+w|T)wo2F1Z$AB*!O;`6h z2K>=!GL;hMm?q=2<H?h$!R}Gp1*g<aNtnBpCACy|+17h$EKl$IZ8#Xy)MeS!%cs2J zPid3uIfWI!ikpt=sjsNxJ}AU5eC3by!JvsxCH&sbWI6rDpb@f8q<s5I<(>V)SL~-G zw5}I4StGROi*eI2Z;r5?GZ(yYW?B7r>VhBLEZKYhrS;9f)0eQ;#PMY<$2H5A1#dpG zyq@a@-kzZYyFDa-mX%SIYe1#Ph7+GzLgTkHU5?6;ZhG}zH6lsBY1Vw@h*uF!x0Va( z1go#8);w5bE_7voe?sei0h5|(2}`fvYkFwnC$Q$zJXmVGxl(!Sc_9(|wuIjI0#j_} zC#?16@QU}};Mc$4&19C>w$1_nOt&XRN$0o*e7wsd{nj(!r!h;njBmhJrwunMS+3Um zZaA_Dy1M4`yOqi-)j6{4eK(vrwmmVbbh}D~o@+oof0L-4?}ZN<O;h*zUicBy6k6vR z@I|WW>N^z;JB5hH@hqz5uaYdXa~%V!+?z_v;Fr}rxH2(r;(|ZhIm|5D7d+DEG>h^I z_*vHEDyP2Umw(gIv^7VztUAs)ZH9Be=VlgfS%-k%98Iaugs<3kC+v(DxUzpzLhC!o zGLgz3FRo0yWX~b>y38kgs=1)ZT;C11*g3l1`)@cV&gnIc^O{xjf-g!<rF!Zb)!GNE z_=TtZw?4==(b`~6SH8-P4ECl|@6{tx_CrH$b^Ht~A?t|?e(`fmi|`28?7HC!SJN$i zL7mNQ3*L3JoZkD;ukZf-z63t5_n-?XLFYLJHMYw1^rt8CX@L&4xCq*9@wQy&iNd}) zt(F-!2AWN`w=*2NT|Obk_G=*f+UJoc9IeX4^UgunB7hcf-irgRU91G%l&QmQsZrP8 zYAJCQbb8Zv(CJNwo%mLL2Q>{q=SKeB530#7?=CDZx^QP!tEC6%xWQYIV$T$cKY*4! zGI7YSYkp@4T8!{pkK6JEXyv3x9q5h>(AkGeLDzr(@DzKtz$i_T{i5%?gQ2sUZ$*O^ zPNaj5ZxRHZanS)f<KnCn-=*cCYf?cwJhq)YB=ZGy*25pr84M3PL8~4h`$qnQ4x_ku z%r<7J%#{0w4#~KbB`LCB<p;UehTC#Q{mfQNk2z<Y_-5IsB=QA;j=}n73ThkZi4|Rt z1#NSv-#nrDpWF9G&(1hLJPSHHLK(EXMS$B<LjDA3y^INbK|<9f&>G7u(8-j7+{G7m z%z`x$`a$Q0Sb=UHeQX!AR3^n7ba+>B#0f{c!=MXN5)|2wg3fciSR+;xU^lzfvLoh% z6W^+E(D6-Eb)GEvC&67jK>~iC#f2@uLD!?0cDKrG0j;E+399;b+jAFBu%6Q@^W`*X z8;*}ykwMInLo!p=B`C5#js~3@p$s}VVp^-^irfuc=IZPp&qmB>cAL~HqXODxvi5Jp z3CCXspqADncqF}f30iI&eZq<F)AWr7?9HM&PZr!rPvmn0Ek60FD)vml=rd#7w&qvg zKu27dixmajpWbTeA#=)!Z<adfl2k2j%MAU5L_Q->om>uD?@>JgwESeV0eh>sn(K!G z@aY;7$K*f_uS?rNm(GID7`r*URmO!sF_ACpIOy1}Or0~1kD0`ZCd8aMB(uc|bVq7e ztK|!uqfUIA&TlkefBpYp{;TV!r!KDE1FGU{A^SG}#MmvksdTz+E05{qnj>dajFZ=@ z&XRoQyd^AY+QgYj(<Y`RXSz-6eJY%)b}Q<N(aD^L#xo_ig>BLKnAo@T<&4Xn#l<sH zZ+<v#nZNFD;rlt)E9)P|T>oDEzr1|e9edL+m-e69a`)f=c#YKoD?V=mb>&~F2A6#g z6lwU%r>0S_a*%B^r<NFp*scPW-rV?q(-@la1Am_CRG#R{68c^tB1h8k>v4{074sH6 z>$|Hf&9U!VkYUp;c0rwD7RS4hET`u>27tC`Ocyp;BfO?W+VLUZL9NvsU3qE|x$W%R zc*J!oc@A#rdT*u0wBcAIi)y;inyIQ9wN?kMiaAcjd2P7o)bwe)K+c9K3m$njwVDZr z@Y$bO-Q%OYqKe^Qk}=1s`5qgNWU`o^SC7d02kwL=EYH%PVKFIF=t`{9hC5tMzoa?B zZhTYpS^ZFtMf<ILK!w#ot>eNXXNA|4$vJ+kWXX<k4=6G`81-FfN}S(@a}5jLT;or+ zc<n3exZ#Om&-6L>(;uC9P|(yRuNLtlr0G<=V#E`nrd6g*NAr|c{Bv(ol~Y==b6$d` z?(r>I?|n9$iROGI=e8lHZNWcH7VVmu3mzV2(f*qO?dUv|R__b>=MeCPvFYkO6%Dy6 zmdjC(O<7dGD@D8xXu5P<U`?@+<4t|RHQ{O+|DBt7^AuM6sBUU~r@rD3%Rwc5p^)0I zTz=BGi&+j%(rx%S>GjD@<<7;NS@T>rT)D}zRbMIM89Phqdi98R<t(6Ewifzd_`upU zHSX%+EnM#%H=MHO=#q2YaO*f{*L>Fv$68rbSF>d6N<sUFzs_|k&rM}Xl~<2=U)l6& zeN1O&u7L82A7xFgmmodYnJl6E{WjbXWw~1Kw&BQI7E^2R#+bb`6K00nbUnOscWMHn z>w12UMbHlo$HSL7!?XlN_Vpx8oi8M^cWT1c{Q@HOQxbZ8SyZ>jw`Q(2?OjmPlrUG4 zCDq<(!@W$Fr~BPD9IR>TvR90F@l24#JB;D6>h%9Mg({bn!AEr7UVCiIs{Qj4I{$KJ z`Kd>|VrjZn*_67^E1+ENV3EDriv2SZTI~f*V*EB-GG=MrUdeMfQq?P<B);kDJ>#Z? zlgSeLmUVZIOgy%dMfJRD#A~6ZOV@?g6bn1vG&@+uFF2)sRsyqaUuI~H>_Mk$Vel<m z$Av=viX2RGZF+TIE#i?>(=2l#oznB*wDxh*ZGIn_lQyma=3Ce<N4?w1^7Xq?#KTw? z-hRc1my=mq&nreejb?FOuNJY^G2l;Llj%Ekjh%f7YsERe-d}mXWv(sfseO|Z_AYjZ z^s1j13%j$W3y1tsJLnWH81hf`pi;SD$Y1t@Nuaf$vlBW`MER_KCdc9}>lE-?qbb$S zG2pvS)6;#90sFl+9Mb2Iy63s!(tYp<PS13iIOD=4pY)p+1<yOM1$<chL6+<#oL=YD zB3}D6T@n{qQ_SjkbH4DJa%;z*`vt*G;Ry)~Wv?e%Oug^2;e;b(>F*jr7Vz-W*Yo}| zo@Z27R7xHUDs8&8T}bD1c+;+aA#hvx?ffHK!YY&<53?NfdatZ8M>XPUF^lVSfi>T3 zn;vm<UfVHq!IPk-tyQogx%@d6mu|k(^jZBzn&aB-`3u0ub2cilA3eXxfPEq8`lOwp z3J%nXdX*nJqxpuASW!TIORJ?vPJ$x)+1zW%ub(Mw<pv#ReEN_~N)YI(DjDwL3BS** z;Fvds)u1kj@7Hs`nib85%0X)bqd<LNX|W=KebZYlSAbSKE&`oBmFE9g>#2g>)K<%k zH)oyrCV`fZu1yB*cL422SboBZZ`1w6M82x)puX>V(79N)pyP}|mw!juYc;j6W&ri~ zDpxatc4m~NDY9R+;4T)h?rD{|Vt?e2jEY~PBKz6mh#AdSj_N#FP;1IvJmIG(x8)1a zS&&b5=|Hc6`ZK*%hNrx$t^D<ZFJhp6`V`O^UK3hnT>R4#`Lg0=;62MdHXj%ANri)! zF@nxue61%|G~u5*cku<#2Ek3B6R@f#^6v1mJh6XftEGg@At$~rdC;hW`o;;(pTr|( zG@oz<Z6B!>d!|rk%Wb)0J7`ZvEofh4TdSqVUIVz7s!weO?cBUMw^gPDbb(ZnJLufU z9I<B$0zjukb4Sc*zBE<miNc<aR?8K44m$BI0_~@~l?>XGVFTKeQK+2f$2Z9kblxNQ zsHua8WJ=ODPH2833EGbHZUu+jI#z?a9ekgr``4^!cAVQPBa#mqQjirZ3IL6bxR`-< zoAS*rnEFg%n>MJUe&UdfO4_Cg&1utGEj>WTV%`D;>>1F>l)EQ@I_ig<_<ngu&S*a5 zC01l`2Xw~Rq4T*rcR~j=1m>Ap35h&gP{hD(*>Nr*kuOVq(}d<9;0n10bfES5Lo!=H z$*p8^tK|zF(85(wkW)d2jOFj1xxa;vZTE%=&5u9}H#4lbEj8rNAChtTr1NA!l^l1m zz-)6Z(5?}d^Fe&CuKU)kXg-o8R&-$xs12c;uE_qDk-K=p&8m*oQ<~p}h&@x-&d+Um z;}bi$Kkwvq=me;;uig-yD%$q(OKd>U0s+0HE5mpaR6~zwY>yCJ+~KlD!oj4-*VRPH zOQ5kq!%;xFi^a8Ps&~MVjJ3QS60SKr*abVcUJG$iR%%%-7#O5?blQ&ZXC{~avozjc zxz9E-yFUHgmg2VGz0cjuYOX$ezvW}J%6gBKAN8O^E0dceUtR!Rzj<7EO%a>pMQ+Zp zYypw|^AdWkIbqjsZhg1ZU%tlR^_iIt+0ULV)^1o3Q1<Z3#C!W#o))u6%eV)8ENSZ1 zQ&>^MdQj=QV94IN2^+VvT$NXkQ2L~^EVS6VX=$12ioG2PGn+YI=_y9M3u*cl+9aw6 z?O*eU`^akE^}0~Odr+%iNF>&O!!5J(g@1l9HJM&wQ56?nQ>x?mGMM9<<@^P2f||Zg z6P~hvW<v8-7SVPVX<PSz%Gb{g=eU-^w(ITs4!LBZUoGNMO4F?8f;yq<EB5vz%$&+n z8ZM~wUBK~gDU0@*I>yUcGZZ2+{hN-l3#}<qalAO0BkZ@0<414KYd;P``a71Dm#<6= z>tFDtndP;Ob3j@5*BRHUtc9l3D<5QI7YO;IaL`R%C}f?0P7$Z$)%U_WWy+3U?+fXC zWN<wDUqHw7wJXo^Z#7M+LD21&<=^L9P5RB?_*a-C?1zlw;cm{bUviF*%?@hqSJbdl zh<JOS<*0f05%Yhuc<gqW#<>L)l{am@=N9m*psBQrCH1^g#CxTtPxn=CJZJ-V6@M(z z`d9Vp#EZJ7Q~#ABQZ7r*2)k-=Flo17NWJz!p?1M5e>e^Xr3+ozHz8r>YK~WN{u^SZ zFZfr>qWx#`f`u&)*KA>SeB8>idQU2BlZaxldzQSKMx0v2<I^mv>s2CNTQyxO7g)1- z+JZO2EU#U8E^F<#J;=6OD5S>tpc}hz$UlLDO3MX9{t6vTnl2myI=lKdB;<DfJibyH zbOq;n^@z0Fz7}=mj0cPImB7_AljGrFj<8=!j*pkJto}0tvVpU4!4GAYY#aXoqivdP zo3H7yEd8#CbkD+ZAILom+$_>pIJN$DBrKlFGPT}+!->Nzq4QNEa#qir5%zU1$Fv*W z3(}?~tXvGaXkjNyslIB&yLOhZ`AQKF%b}wZ51WJCy^@=bMd!3-uKncIw5VEO%{RZM zNA3b^K5{lqdM>c0l+*F0+CeLGp(%T(C+ubCIQ4&eLUUvw&+<pw9A;jEA$9Brm43oH zvGVh+gsf*T_;sCQ+K#pb&(3pB+tt3{TSEfq4$eF??#of{p0a!`SC4r3mW7vJJ>q3D zOYeE5h^1};U$UC6*13V31^+--YAUvP``MY6F4_9>*^IE4l`OB{xCNLwgHP_Z_u6o9 zCX48PuMHPNS*F%|Z8#Cj68hg~!;OvwyK);J>KIO6@GP5S+O7Tt-xOI+%h*FlOt#yU zs(cr1`V}s;=7VrkTe-lRFX~Ol`~}t+C42j<ej(FzbrQ?b@2U}x_p+$=D@VLGfiw#& z9dBlHUfbQfpk{tT^Kmr+9nkfhN5z--^=zAa_T%aGY7r@dj#s}6=xl3Q@M}5ev=u^E z{+JyM(&osz@3i5JRMW100iEwmj(=~2Nu1+auavQa|De}<wTv2`gIb_tCP1f<SXTYJ zBFMfqAJi)<5_`7bVxl7Z$*Z89^oe3c6YBa}EnnnpHJzrzeiJlG3hA@!f%@zs+?E=k zbN(;x1l_&q3p(ksr&T7T{^%i@BVnM?XM0ekw#!rSPB6E^p5=VAqK|#hI_s!)(24I> z^#%j>naq(V9KUmbPEqg_E4pCc-fF2))7&b<1KJVsa=Olwgc{I^(Lb%ZEjvJc+AC)F z+4BAP9$9ZPVDB{t4eB!LoN?4X@5FZrbYk?}&FZeuv#C?|+kFjS-wWzd{u2is`5-4& z6!1qHboarmR+%Y_Z$Ap1)qDcfF|M`cE*7{wA9RNGc~CO})T9A5d{%-s6a3B+d!}$X zO_BZX?#LO<cj6;YIR4iZd$z!!c=z;sF$IOX4Ids^Nt~N4!^u?~P$kQ4DUlA^@BljZ zamxwNEu4p)_#TOaj;44IaxJ`}uy1~=<%^z(6OLt*-~M!dvA`U(Gcx`(>{xJT&_+|x zv7a?;piQv_K`i3oj4anLId3)m8o<8sb>s=hzkZ-IALK!&gP%Mkv&4jbeGng{7IqRV zx^Q=TtL2HOp!+#2#GWlEu;R9qh&ur5wr7L7?Qdb-cH47Ke2*r|et2f~XZv>%lWnsd zpx*L$XZ4kbeXT2KlcWKtU318ZZ`1Nk2JCyaxGhh_gHE#s?G1gjR_DyY4A6#7`%{Nx zTtMe5yfQ2Pxk>g2s0ObBjnwF*DYBnUj-1haMHAHYvg0nE5NV~^)V-PkbZYeOnpX?? zip)X7Az7fHO;Kc*{&%Zc|J1>@`n1Ipnm?Y?Ipdg`4?64<)WVTH3pyVhbUY<!fM(OV zLo!=H12kW}#GWnK+|??RBA=AVSM@sLgk#+|n?07r1|B}JW5fgQ6u(-?=f=KiLi4AI zI%f_ZvyYka@0YytT=lh}Gc2on?xc#g?Z0m+pQ;%;J1kc^T!QC;i^yTsLMCawdVy;Z zoq>iCW`%oMj%hds8whXGy6O_XCt=YF-sDX}Ic&ntS`lutI_77$1?fn1`A*+-txGxd zGS@WCn@y+dKFfSkJ7+(;_FLus_j4wn^~t%nOHH@#nORQFSNC0~f@Vo<YFi$nYb5>p z?q(InJ@pG3_;qf9t`s*qcDB1d_7#JPcztvikKeBHl~bSxAD*z3@-&{W+29fSe-mgW z;6_)-8jpK&ZU#A7$IbS-|1DsA^N2x7(qScI#5w8gcb6e+n#}4Zx?QSS&7c(eIU2MY z>Kb(A!uOqtEQhPA>z01ckkdyxg{}10`mb`3QziHNE3f>v6?9nFS&+_m%#bw?-!HO% z6^;Ip?f?E_WZizmqNeimu=O2pLZv(>-`8&NxO#t)8v~E@n;_^iHJfGYZ`_#pwyOW% zEcP#Qu+>ofdeAk5H%^#Jc^VtD3aotfeU%`?VJXnkriT(e@7^Y|99|Yz=kY$!{&6B= zf#tC(=xWE_{3{-_{#P=(ta=7Igl|JwJS24N%zF|d-aonj+IH4+u@ASt9~Tff2{}mZ zYamps@BK9sLw@)2g_X($gU-(U3yKGs=8LsoR_sgS&MtppYb6dk9SnN@-=6AT=#rhZ z{c9(hSgvjk{aXuO)wC`6-&W=X3%13-Tc&fg_0Ijd;@;x@$j5aabc0&iduQE5lg~@| z!mj>b=*Hl+c4N`>d&~_F8Qi{qGiXW-e*QP`ec=57#4@4E<jGJwk6BB3er7M(u&NS# z7T@c&yT3wKJD%rNmbkY23Flt-&$mDeSfI%u`aUd5zUZ4y%4#p&u<CLBB+z2VyRf6o z+|O$X@<dns2OVe1i*!m|c|L5V&l_hc&&kJ2H>}!U)DJq}j`!YT=z`gdDI9IRv47L| zy4MFIt-@-Ct-^9E%T_t7b6>kT^lLC=q2yyD$cnn^YKemzRz9<<y!LuK=zP156do_$ z14Z|4z>eelxZHHov+HM0CWL-o16dIDYXNk5QJ%!X4flF~KaI@ahP*_`7Ixs<%jYJO zveIpon?vV9j<<VV2Rm}pYUTPHhr<6Uov(Vn4rx_Wc{%K`!*8y=OHLN^pGXM(zX@!u z*^f0)YadzF9zC|NI$++{f7_7s^3Q{<SUka59}PM<^UP6D0+t7*dDA=)nQX9Yd%Gad z>|Y=6f0g^{&Xr&QKN8Yn33Tn)$I#ln$N&6d>IgaO30ivi8n)~foK5Yk{oY@!*@JY{ z+%*U2f~d>O<t|lUF#|1UjsYDb`MM64_N!*^OXB|i*Zcj&oAIE;1UnRx^Z&G`Mh$%| z3Q3#aU-6i=XB+73OEvJ>m+H_Q-f8_sRQmVb#J%qS13(cATO_=??}aTxi3)?D^iBum z%x7(&b3QXc&V8JR9Ixv0u2)~_M_wbhV()_Q4B#VY{}lBu`RU6luyWRR(Bi8o(3!lT zOmoMeDRFOl-z(c$r{ku?$~Zt)$W^|Mg)VrU{cnR?(A#UE(#RUL;PI|3)U6li{_4{G z^LfU-#hZVr?q!Fq+P=#TTO$0suy@JHGDeqG|L%f{v4e6Dr~W<?{Hv>X@0JDMUtI5d zZObqvjRCaoRXzrGaPY*}rjyd%X*GC+?gs_wHH#IHWS`HkEMZof6g6ASo?-p`*aO-3 z<((TQ8fus_oUVNA1<T|9nJQ=VFCSd>%n!5xaji1cwczN?FWKw<`4DnGoqqvZ&VMmC znUvN4A|dp-CP?4i>ClC7i`T!<-d1qSXs>%ZI2phWNPN9jnfZkWgOb^A=H4YILAkU( z0KDG&iSYEY#4q4QsCF9{xftZUxvT!t_E)%=!%Ak@;g>$Jg>g^(dY8=nYZVdtzaO-U z`q-|gRs0NX%o2BRALeND?Ol7J`igxdC=Eel<uUB!;okhK9<%J1G>4uI2c1~F^<2$d zXi*0C==<8{dy9YWME0pYbXoC@FZrgEvW}N*ShYE6Dd;HQ*YiNh_jp2yyzPD$gPe!T ztG~$2)dwBDDgZq{bua8>+|BhDJZ8mgi(*^->ovGsx%EH@7O6{rb@kT1^8K{tdp_uB z+<;l&i20eY8d`+9ealigyQXe4PuSJ_aSY9@3$93ogVq8XLOoksx7OzMS9#DXYIvap zOA#N_O(#9eex0&mRb?II;LKRiK7iv1CG(}-4Ay*Ky8XoFdw(*KmRhcLhsDp|J#Im7 z-vmmoc@+}{wsw~<)X6WFgR0YKnxEE`*MSbsTwszMki{_V^Sd}`S~|Ndc>1#kH%%%N zL5WxtoQQj&>8Vryg|^wYpC)_V>#IQ<9-!-}`R84QCa4py?=Sm4LsGxNBXmA!!-VO+ zRY=Kr>(i3G?zQ2dJPutg*((mtH~-&%wtp9NkqbOJaszyX<)1s=k=n}MuFEG^rdX-Z zyJ(YeTE+PAHK|#W&lHV<&**Ggx+3+-!i{0wI>Mh@rgd%=OZ7G~{^XI?Hq$d(GEHrs zkg>LC{r=Zg#rE|%*W<4L{#`xq_rKcX@%~S)oP9KL`o2dick2HaJ^6a6ecaYbu1c@> ze(?`IKi7pZ0(1*kHs`bm=YY-b8?IP2-8wI*W1Rgtyl&fr+pDbG6L#L^cqQ+%;m|}D zUVpWSl};BbSPyDl_gS#@-|F8S3V#kRGWV5TdDl0fM)#o9d7&wDETu0;Wpg)O(rhXf z69TVC@ML*<Ug<`HrQ^}n9A;U<A^RpLOk{Mtx|~z&b^<rg@?Xzbymg>^;?4PILDnO9 zY&a9twCgm-wB1b${$(|Z=Ba1=5IE@NFJv-rqw2D)UUL?_sA;;&r=qd1BcWHA(`%mR zhHFPzmg=iVq=Pp8Yc~{}y!r0R#Cy#wPv<M#a40rd+cjGt$E1J3r++NnGL8XXE1Hg; zV!5iX67k5WY1V!9h*xG!xA+BgK3h26JrO2xt|(t^#eU|4T=~$uJox$NTLt|RaC~gc z5%yEb@uD|pShk?Z{;3JQ_l2j_^dzkPFEr(z#=(!)w*{x%ZBN*HnB$Z<hndCP1&_oz z%yzXb`1GAaY$t3q;??_QeMjU}SNxN2QcWs0Ts!NwfX-HP$G6U$(=6K-yvt-cT{~|< z-Q0xM_d+InW+p7XFJw~Jk}$PiSmbU&Hc$H2oh(Pct3)VULl63pvn^dxs^$3dGY9zI zNGr%WmAnVpUUO*mahlEA%DXJIQoG5uOnt?#vZkYbN-O>uHmROdT=7%1Y3VGMt@%n3 z&tTL2yR(<~J^AMvQ2%V(jB8xcLMA?fYrdF)*CK!}IoZq^_S?wu<7&=pKMWmD?&i2A zB`~F~EnzP2w!;Od&Z$M@Gdlj<E|jyO0(#QNpA%OmUJPnFW!mJrPG!X}<))+W6juCG zZ&ID7wBqNiw}xw%nklcaou4q1$MLQ!%jwz~3;xYy(XQ!S@bD>%_TLE$3a2Mbwf9qq zfZRvrE4%V0-;A)2zMR)?OkD7UktJK!G2j<VQ|T#|RDGq0_eM>h>=kZ2Fl*|nSGe(_ zu<6umRl_;1W@;;DsYK-1H@$kV7V&5Yczh|Q==0=Y_aJeBEBmG-%#`MQb<b-<%=`ua zl3BF>^e=em_SvE?v%2ZnZ-F(R^qLkO7h3a;v*}T2lj%OkfKO~qTk{k(YV8kN^+R1Y zr?&8whyFI@WubM^O}yXKR{Zd5>g`j6Tymlgx#UEB#XZG{M|MrK>Xjp2u{Pb3e%JC) zXR|5fdJ}fXzl%A;G@!SPwLkZj)vWDZ@UfI-^`D*vFZMzffP7}j-jipyEY!$x!?kxT zOZgQeo@+F1S}w4roX_#+dZC;PDl2{jH}$?#T=7S|$#ow5P}Ey<4cCIse7iq2p|hDY z>lx=XtC<VF-DEkvMqx#@>A@m<#TE792f6CiGJfzJ^t#9@;rI9^%j!2y0VRqDv)(If z)CnDwnh(94MSZ%zY-X%yz%Jhn=VVz@<<%nIYc_p){k|zPcY~zk(Yc&vrvyUk^babz zHm#bk2%bUB7uMN0Yr(VioX-@#3UFIyfQ}qI({n}pH^;qhCXV~v&F_{?R(4F}E3)1= zq4^(Z2;!lw&Xa@+CeRGN3Fv&vBZp){q(D9Fu2#zxf4f>`j>xAZ@=5(qQDpagdusLD z1zQcdEj`XBC-PbS-Z-H-2Q<VYd)$fd(|pijQ{s>w>%py4njdXnCig0#M48)C<Nu^q z%MKgR1x%pTiAfXhe$aZl;Gde<Glh-J+?E;dP9Kt4!Ur1P2KBVhNa{RU5S^OHS9Cu` zk^L{|CX7S+5ho6Qr~}Qul#3M^fDX7?v8S-S?hza4-m8mm)j;=NUAY8WW+1^`eBp-z z=y*y{_xc>@cuLSMkmtVYJW=>v3_4Fyp4;*SKWGw$8#D>iX8Ym(6`7uGX^QMm_kyN2 z7J{ZW7(r7TQlP~UeXW)rSJYj9bV@rE=ssQWbMDG^D-Y1YO}D;pGGL$S9&y6)dnITT z=$GwBt)~m-CnWN5fz~)aR0N$n1v>k)hKsv6z;b%4j0@<HiLKe7g&Vxw#TQ<H=3hWd zAim0g0`wMl{yCYFXQ1%Y0u9ZacxMJ025OEx;rP!3G-74MZK)9kI?Ra+v;eiO)w1Ib z=#DVZ-J%<}g4RUna2HRQvC}+msf@|?ghaj|P1q{LX&WXqzw-ngjRjhm7!Nw;WZf*- z)mI5O=2fj|zBE_oNx~lk&~;|BT4h|!JsyJ=nLGonh-qlG%#b<a#5busaz=C5#8#Ol z(>EEg&rJobmt^HGz7T!ZiSN_)%?9kv?GYy&KYD^r`%ISKV`-^z9JHqMkEbB?ezL2~ z%jI4vYy(~U0y=S1D1Fm}=4VGi>m`doE<JikCIvK^^;-dSAWKuL%$KeDaZ4>Vat=B1 zwS5Ln75&qBk}wB!q3H3=6Phz-wpy-;J>kUnX!=G2cK!c#_rF~K&!{TJdIq%1v;GC> zz>EF0c3Wpk^_9H*utjF2f8VyO#j>TBRKk6)obpiaSF?B_sAl3}`P9hkf`_7VYwx+K z&etbD>Yue^wcnhnkKCfSKHO4fvANa%=Ao(Uis#O%vp@e@R(@AKUtG<-_uspp&$sTI zt#hy7(V5xnCvQKuul8VCni_BQqg-R-{K{sj2aT4DG8^jhiZ8|rnfg~VTZz}!Zxeq| zs?Q)Bc1He8oXziR&;u{Z)ZKX;T)>ysJ6>*_@ijdE%(A1rp#8!R`8Po~QzLGY?*$#4 zaP8hi-_!3|7aU%tIvc9waCh+$1{aoyz!tvjSRq-XxpBqmW^3yt8u(UQm#0GZ*{e@~ z4&Q7ZD=cgD_Rf#RIZ^ke8~C)(t%jQOwiLe47reDQf3NjyvHctl?Ng`UnGD&J{XTX& z>=Xj<Zs8Yw)tfVax-eL+i7JkVZj`PFhHpz=JA1M7vdh2aPAt1R8ML+gu0PbO$zS1C zm72S>`&cj5y}6}Mk3lx9=I=r1PU&jIhHLOS4sZEWFF!iUP!du38M<$~BHtTs75Jcn zz4oV<JzdTCVnf#9^Uy8Xhmj5{kn81}>G$8})UvA=`Oh<1t+^-TFn<|%*S~)m{EUHX zcSU85-pW*|%)X|ccY4{;X6X4871i+|%Nl%kE;tN6eq;S#quFB1|7*>j)(_imz1Ip9 zpAO4nE+m58lPq_B+0%B=F%Ih(j93j`GlbRrK%7po_Hg8xW$L--mt8#$I+|o3Oi7Y; zns=u}LkIZiiP(NV+so%9_n%z$^(SZ}{(9*76cyF?&cS!<gWcm@KijukpKZb6Q-@(r zem~`YYA}N!^M*wnkfREsHd@b~7SHF<9=cB*a-2#{MHt9iV7u13g7&_%`lnrf1q#Hf zd-otw@gt=YuI6r8$%!q+FE8KR^3RRIYK_HL{+p0fPQIOjZ)^uU2ec)-26Q}yo)qjL z2XVw%4|!H8R=Zx!%!%S-x{w%JT@Ot=JHP=5&Jfp9L5?y1KgD;oJo|#fucn@dCFN{* za(^8s2};UE3bU{Avo1K?b^fm^WDotleTb7V94{+g{`dBL`sGL08DDJpw8;vpWVazG zvcPr~URJz(@oxR;Wluq;Q(T>@4?QHNeLEsq?9#PLvASDjFgxr#%YwtNvj0znWQqBA z;3vRDlm~-ONx9K|b4#5fC@sGZfcR@qZ9O~y!D;#K|5MA3YJ;4!Z8|hd><xq~0jHh6 z?VB?#pWolyz_;3r^@6zw_|%&A+i<^UzwH2}=6_yhYy0dc`M&-QJCo$(J#a*T&QU3y z-^Dkxtj}k2=HGeYn=?NaFwA6{QOclw&klUVfI_g|iw)r5xifR}y+1D{9vpqdP!h3I z8Fo&JEck4I1Xn?;oI527X^VwzW>1snb!ZRuhn*R5_&WH20dU!~ciz#Qs#|k%qH47m zWW(e+pd0_ykDr;VtD3~1#I#1kslBwn7nGnUZBM`a>oX`p&xa@IgBNd#I2>UBsREZj z*2mK>Kl;Q_67jPN=5Fi9vT!xv;xJ5hy6@@rpyLXXpD&FBAAiF?9T90!VxZ#i&$*na zT3ygdDHe8+$hV6`MB4W`FD7KBpZ${)Rd3B88#d?XI!LOo_<aZ*kf4Jjz$yB*->GFs ze}Muh#}OK@<(a6F_S)~<vZt|(FE+fI69!RI6M=BeE+wlJtGzF;<V5{7VUP`r|M3oD z(~l>s;5O|F2OYd2?RR?FS7pW*8y4+0fVlYHK0A1F1xMMl?`fBl(iyDQ?0NJBVob$* z#6d&glP;pqomuu&p7}!J)vK;h*Hl!)9lREFCd<ohpmSSl7_8Rps|$pxDJqAnxmyi7 zS0eWP`DI_dL6Nn)8(OTsMV#LOPSCaTCziF=7KmL)e0q%G|L;5b44cofYTv8Yfjb(M z#{&17%${~!_w=%>w$LK#M*-L}aH$#vvh4D7`#HX=uYJmi;)f^bf8fx8B<NngnPq>J zZ*HlJXRumRVFOL6`SPgefY`r(lM|)G@6bNAn&||je7ZLgYzing!GW`U&531CcY>no zCamDK_&x`5E>Ohl6)z^d{IaG${qn2Lj4w9)N&wXqYZ$ccEFa#1m%O_^gAQx@roJij z?^4hyMDw9$?b-WbGCZY%Q*-#bQ_GIt0##pEDxqho>{W%=g5bogXJtQo+I3K3{wWSC zf#$+p9hD9`XJ@tjTwlmhR)@|*)u^v7deU3}?eG8B`>mYz?gou@Krb(?+<R0dDo8Eq zMTy$drGDu$=Ec=zeUBxMC-z;=^q6+X$7<^mA9YDh;mo-wmTY;FrlM;#ckZOHOEZ6a zZc_2J+NyFkH>Gy}^{;n+|0z6IYP;_F^Xhfqe}De@_j~r89nm(QPR+k{?e^dI^F87w zdOZGr?UsU2<a^Q03|?l8s`>Nha2<%^7n&01zQHf$-0J^<1ui$wa$cM58BoV}kd2#D zOU`-2#iFKD&xLe~Bpt7cfsbgcZ%F7==givYvf)bF?&4eDc$!knp!Z9!*q!joW1U05 zf0HKBbBY-|CM7I=%>mx7VFx*)k;(Dn4Y?WDq^t#|%<<iDt)}VHX^v}_Qy09s$@2Ot z=c#z-4F^FtYk-eWp0`qY@o`Qqd-n||mQ^Q3g>F}l$Psh=s&i1tn=@;k6L<~zbOD`W zQ^&iZET`|f2K+Z{5<SMkYkrUWaAan5)3MzGYl;rAG=Xn2y{H=Oo~14*V&9$6+s)}^ z#(8bm)CFInno8wVHGcCPtddSOSo<ko^@f6LK%sq8Z=b@7KY>lI=Tuhw5^XxVPHDxz z<R;a3b09|*nzIMH2l)$MxmS?ylRa}S$E$Zf8}8VFkEi?~*wmITuqH$~qek_hmc5cj z75l-gdIgPtA_t|`-()|mxl{FE)O!_;xr!0#7okqO`FMHX7e954|EW#9>l9Y}aBk|| z1zGdZv0&BP?o8cntd3vH1#~v5JDzpsm}b?tploKs%+H*!;`}z;;pcdD-*3aA_t44v z!==IQUa#M_XPVxdlQ31q@#0<1FzXo$er#mPu4!8Eq>?2&)-B){TT^MCvc_%oi1(gN zpZ=@fNZ9<>GWX+K7U{QM0Y4R*T>Iw1t`PaSQhB46<JWuvokCm3v-1UYO6?urs&h=M zoVXzG4TDei&h3I%=KF3q)X2g+U2x48!=_{D!fQU2HZ8g?wC0+kMxFjasrs3aP*a~j z-|Et>61`=iRn<+UX@XPcx^K7_)bvTUi8o9j<d4)rw{YQ*f0_rC%7sJz8XrtLFBDQA zD|R?i$uZ#bUKa1Osw?)kB+Q%)yZ&{aX{pNh(57F$O`>&97YcL_detjs{NXvMwSI1U zrs&<agstBNMDEW|=(TY?Da?7zYVv|Ft}L%(Tm!y|HGO@jqVeCoiFY1slgQ%FhHHDb zDS>w|ep6jhWpOY`T_EH?&p{z^$dZTLrd#C#I-fZl?=I(<cKd+?&+>oMShV+aFGy@l zSbCU4O5T6NspU|o^~D*KF8QY0^vGOz%}4E~N&LcVOqDeD{$ujVo~z4o>YdAmd-GVH z@~hr>VA0eyU+Kn+nx<2{O|E%LD}H%59hFmB@vpo|wdBHT=rQ7-eJ3k1c)#@ss4j0x zEn+F{SB-c#ndR$w<%ox~S$OjmB3_EK^!{d9{ik<9MvCJ4P2b#_9vO39yV1MgiBr?o zJ~fTKa}wsh0;jcv<)`ATN|%(|I{ut5oKs-$cyzx|&KD)er{*$eJ}Mh?tXjq?7VQx5 zx0%Izj$6QIZWiygDl2Mr4;p22yt?PT;m(8w|30&5@3A{RUB+R@lZW3XD=}#Aoe$X; z<Ph*Br0J@if50BU4adH7daYB7c%9dDsb6@_XB|hrO|xYV?Jm9G4O(FNJ7Pxj!5Fck z0GqB>ONqH>ocK=7Pfp}hn(ou}L0zliLEh5^zxJ-|x9V7%q{#kNj=Ok5MNg}Y$^XNL zWR{rOiG*vizs-%9(R@Y^w094@hhS2x%oqQZL_RK2u_6P|T1Ac8>8&z7`H6{qTKiKK z*)O_B&S<{1AJnm5?7G9U_`;1U_+geQS)ju#e{Y)5{6!FS3nhzK(S*M`+{G7mPiU2S zvLCeD;TC8dLR#!u!WRzESV?!QWk*g0=;n-7ARCgbH&1B(bq}<I#E{!ELkzSQ0W?BV z3t9`fvj~3r^Y>>f`>js=1znOMpO(nS1sbq9{8jw1)>%i*b54B6tT!64Pu&}F!m&gU zbV9cQw`IrtbD$HtL0$Xs4F>FMXGffH+;#epOo<$5yJr0c19n^4zS)zT|I7xBmh^#6 zHx+aEQ6MYwea5d9&4GQbGE+dyQ(aDhMoe5mBPN#ImMiXqR#@hxE3%(u2RYSBtms0Y zOyg=3_L*lvcX_CB7f+ad*op5KH)xHeA!zr=9MA>jpnF_F;|f!+!8cpH$PJb+4XAEt zl{qpWGz0}Y9{ovLW!$#rYdm5_6Yfm~t*}f`WWNbIzT3LDRp!b0#6;*t9#){ELEBno zro0D5z5j*@&96Y$)a#U~<oWTvvId=BdK2y;ljr`mR~)k>xh*s1pE@Lyat^d=#)`Xm z!tOTM-i&I{08}Ap019-8Oa3O7@Jv3f+n~eQb;O=6n3k%@{&6X2Q-%m=Q^vtVGF$W# z71>{cj_N+Q3bgZvRlqKW{pN1aRUqFbK-ZLmg7ldCL#?L^K!>Os+-e2gj{-XG9JDdy zs|qMI#keh3#Gg4NqcTh9$%0C4?&1kir=9rX{<7|0xj&BWi$cUAP%WPgTJPEZ@k4w} zQ-|9cvlB-fG#)1PY6!7pyG&TXaj=UwNFl(B`Ki!4-lfwN771#z2=sCYxfm|;5NK>r za?I{k5E3yg>J>HYni`SKtCW;`qx#uNEBUIly3c#6)7F0fTa<Pyt~AAF)4%20-tztb zFM3j2D06>~YPXA7RQ|L`^G>FK^3Rpheyj;Q-*UWK&JlK_o@uRb{J#u_gXSi4tV@?{ z=~(d5s3}xWU89QmU{<-1NPR;>FQenhtt{EOWg^Q$tE9oD_1*r2y@xqZ&G*=FFsP}^ z6nx!O4f{c*@BWbS=$P7~R~|XMj$eKIj%}HCW7dLa?^wK3IkL_xMx-e^-c@Be9qSbE z->OM8PdVd;YQ)RJreo&?*CZWJuy9QmTC+{t@#9UFY#Fo0gp;7<ae6bXE@=saH)?EU zNzGS{$X9jz>CR~u;Tur6m}%M8i#$!IJeyoWWwpk^q|cnI<~wgVa+Jk%y<)^Gv8G$v z9MfJx-F2t7?3Kqm)uvz3vZp_C=PPFHFhA%eua*&`5b;!}X;Em?)qPF@f6|&v>wE$} z2{vv0=M(VDwW&1ec%nsWxl%;Fe$yv;r5g`kKnKb{_N-K1+|8j?KQm$CY>riB9Aeco z7W|seF%5Km$?9jxQQ(r=zbTbZX@zxv!cHN_zucT*KZG0)OLK<(5_Ejr&Jp(WF}O4? zIC=5;^1dr^l{U*lZ5%fov*z%U^Vo3hI4AhDZdR7m^(qnTJp=x=vq;-`1bpOYkzT93 z;!hW=#5twg!XdTR2b0(ZLe2~86n=s(#jN~yW#Sc4maX{;5zq9RcJT}7e6Mc$mCYH} zF|Q%h^iEsC((QsK_xcm2_6v&Gb|!4~7ZBOslhC_fcuJ1&no@hmm&-Y>MH)9IKo0A^ z+&bf0RkZMwdhLU3l1*Lmsy8we9iM*Y5ZgIr!K>>WV!P)q_;sFhT1C@>XB`PEd%Gq4 zz?(ST0?N$}7Rf8F*x!)QTC5IPQ+W7ku)7y;)3NPBYd#q_E$SCs^Ub{Jk(J=(sK=!& zs^y9i+3`)6ESpN72~Uaj*>LYD%hUZ{8xHESh}L^;2%Nv*Q#|ME|LZI>4;LJqbp8KD z<HmxMSFa1{Y%_NJS}v?p$l-W4nRA-e>;+|06K1aFe09%f!ySK)SN2XD4#jgw?eo}h zDO>Dep6R{LgsCQu7n?c5tlJj+n8}h|Qw5oqnX@PBmB(wfrc2+2)_gW_yr~Gj!=(P} z$r;z!tc5~q#1Fc$3x?QrB}}}`xvH)!VWXAf*L-1}LVm}y@q#*Ec^uz9=bU!)lgPd& z42M+9g(oX9oZdTm!M{cpZ5huCA3~a@+Ie31;nftn&o$snUenbomZS64z}q;tTTBhV zd28N+FLEreWgG&&aWsAPQ`3l7yz!umvqj&sAz`^zUGb|EFZ7yD?N^CN5p}#;FQl{W zXXcEsueqGlJOr=Q*dGik=FFP!vf+$T)2``)I^TJle&sfa);WUDviRqCq2jVo+vZc! zYM{eDTD<$t&9VxrmUsMknDd&3(3BXj4cC^kEM2c2@w}{Q(|5r&-&q`g?ibG4@bTr0 zu%i|S-F6Ftr!R^*R?TzRa6_o+)qM4cN4ua|;q&ic_n_FOTm6DMpJg0l=Qd{Qey?i! zwOinfBY4gNbasFjXe9V!66i{8OR*w>y`ar}wf(I!N5VnNEboIx*g*^PL@kBCE3v-> zZ5l{_?kTuOfmL9i0{hKcP;p$&!EJd${`eso9#F9l-Z-)tbSm}EqlaW%K&u2H8%IEQ zkN=AY%~)KG+>^t87IaDW%Ds^%9DgT>JyQry03Ff|T4$Laal-Mxme{ieAMS$6eejOU zw(p>mvtOF)JV~%gN#xV|pQy-w@y5*^Uc~{`!rYb}?~fjmF|j-0#5c)(vjO|s%b=Yj zN@C9z1g9&q-vr&ywYNIrgyX;Kpv9n)pv9o(+{FgBKFQpfBQphb7PL||{J!iJX`l<h z&H7tquJ|V>@(GE9#@NL{6>%?U{h~Q{@r25bR+*CdX^DJA$znwp?k5?pyCfrW?}QUy z8)#kYQvMASnm>Y?Do;f~A==PtxuUkQRptn2UF&0Zc%7*tKj+tq=4)DFMHAwVACf6C z(|@e>RAD#hPI&K)6Po}01KpLO$8D*h2fC~Lbi@hApG9KN6pEO+Emzz-c}V66=v;=c zpf*gzX(zr}v*&itHfCR$8*#$1S`4(wAs^AO`2cFz%st@**_Ywe+bYAeK0T4os~qIg zTAeeFPd&wo1Y$uqWtc@at)9~S<C@MH$K-Ocq6ud}?HWs+CklHffEo*+?HHihpnIh{ zPZa7wOKM+$LN1Eo;o;JNnwnRO`P|NLoY1^!Zu@Ez_K7n=r*vzBc4eG(;(OJ;(SW^^ zRp-fq$h1Vhpwpn3j{@!B)#kQ*u`VT%uc#liw*{2iHe3w4Ge?FeeS-n}QWenMD2ETp zgz$mRYzJS|B{0|iYasj9;)oNDe?Td>keA!i<KCe|GFw2Ytpv2Z*5<Sm-zNQy2JF)R zn(tq+|Ie)2vTSt)W9qEho;#tUZSUXD$&NY7p(2zOaiVRD)zZL)8nS1@yrW)S64A{S z(8`V!eZz4jz(M8})7q(<CULc{`j~v=sEedxf)b1RhEAbHef1sk8fpfwSbQXsIA(+x zU0l2I?cC=R%=64{KDqh4_PwS0?%&t1@$1G;>Z^HkZ~NyL(@Q#+hRAPpn|f*D`IO-0 zI@W5rR-eu;R`{x~wcs6VNbh;j3ddQHi+FeU+Z22eec!7jvF*bL>;AKhAC7GX9jevS z;|N;KIXl#M+G+5b%(GWbCaKxQGE7`m+YDL;`Z*T5h~$UuuP)txe|Yw~|2_&@ZUbEh zQhslj(|g7R%8VTm-)p5jjjxM1ERlKxIxma&J@kMvfBOaNZ#)Tpf2o>>542<}U>4&P zsfMRD6{kRp3$272I(pY$^_aDPC4*AvTRqTXuf5RqfBB$0w}0Q6S=B#luIg@!1`jsy zx|LtBV=sMkFL}JWAIC6pRbfBKu|K&Xjs@Sm-g@w6RsXS%@2^%fK$j!s&s!`j>EO;J zuyLn<hKg^^W(K9u-;5v&pYuakyL<#KmHBhsva0{v4=u!6uen>Hi^N{Xt(<7`xtXaW zq`6Ou(IDrCImE`_CGqhaJ^we{OWv@j;rjnN#5Kp}uq9AyR!%heFD$!e)!!h{S#y6= zAv&wGMGkJbDIE6NHVgSi^7Z+bq3dg|td;WoJO5=u=;KV#f~~Xls|6Vj8%o>-U5BeX zXP4XiixJ^SE2lnd!4~!0T|3d_qqOXrtnXJj99EXzt62AE75f3u{m36T3LV^V)cD#f z+gswu>z^{SASalv`EA>~WM+ElhO7^pq!=}}KD>SHr7h&@b-R@=205Gd#l1JY5r(k! z=Z7$;t-ZD@CYt<T#20q;-(t|x)4E^n&=sWHL3fJVzOUKq{`M6p0YO)gzJ{M%`E`a{ z(Eka1VW!8-PHbb;*!rv*dj8+uK$gQ|X8BjDP4bX0g0@@_i_Q2<72g}IMiE!-Rx+@0 zJFF~Ky9zlu@bT)eqS1TaEdI1+JMyuWdp<8HgB;_xtNx0|EX%sdJYl?k`V9w}0<sQ& zhU&d6{Y7;4osE(6z8*Y?yc|;=7BM$IOL=~N9G$XZRaqK%vDWH%=s~aGbi4btQsuSm z??{VJ<K9EVH|LdT?~;F?`cEc=CYOS>X2-3AESdsm(SqBmpVpYmX(64)_fs7jz-M){ zRD9Rm(rONU%L~fEUTZf8L)RkLt=*T@9ltwd-q&xBSwG}3co~Ba+I(*V3#fOqm-U}L zxH&T|meFO^!J}C$2R1wet-J=MiI>q|L}&l`XSVnFf@q`*k`IT2S8FjSnU#G?t?K{D z&8-l0bscD(*VXUPgcuFFh5Fx*$yNQgjFHy&Ugw9cdChvc{EOV*TvmaVQrA}rG8~?D zAG&DuyPF_Sbi|IB_ZKS`A}u|AHhcX9=mOH>^h_1s7;tga4a(u4ogumA_m5goaa6VY z-r~Pmpv7g-1*F#M=3gL-%S-K7Of)G@26?p3lquoHheW8(FUr5VeE<FQ+I###0g}#k z@Aa3e8Ne$Yw*@L^*2Ocrth%)wbY$jNb!a+V8_04v?f*XK_kq^$iZ^w`RynR;4_k3~ zC9HSJzk^6eW<oWB6Y#&v-g}ETrYyh53|o5o*%?}@%>LcucIn7oR)Lkb`aw$tt<Hm1 zt{O_*Jzfp+<#(&S?$tNHR`D~yd?^iG^mlEqpK|82g`naH<jBOsjnK8kzf^0Fs@?y@ zy7zcOD&zY9e<c{9i9tROwr=l+T<?;fp!J;JqCw@#*XvNnf_-^^pZEK~Xrz-QD{FT{ zv&^!07d&2-mu^^fF&MO*{fqvo{xSxh;|V3;r2C<7_7}NcZqR9)uu?Y;mi%_U&rtET zS==1@b~<P+XQ|(gNXW^TzkhV9HYIkazrR+!#Xb&EtW>7=LoMBv+q-0@c*%yW53|4p z(#q;Dkag+)^TU)R%qri-?LD4Q4T{c*kOP?y!w>NNw!-bwpVd|op{L`gfflBJ1+8xc zrTA+aO^KW3Z!Z77q851-eU-O0Wbtyaf0oKu{?`eikB{62?Il<n39Zn;$#%yV?W+D` z(~&*;4|e}~@&3ymvn<|~Nv_G79|m5FY4scyqt{<+Z@Y0r|9sW&b4aC1xg0cFBEIWO zc^Z4~(`pWF76s?;+#Qk7{JqyTe&b2$cT>`9FMx^$*viunu)~~t|2ivY*4<>3T(fHL zesDo}H61xpzu(h6U-kAM$l8gJdjIu)*c$vR0m>`)e_Fs3W_nHhKmw@r<%8rGNL|Vt zKRtc#$ID1sXV*hreB+MvzQP>7dA`EgTna&3w}TV#Ziu~gPwKz^`~SFJV1nmS(69)6 z@?+`F+__6QO|1+ypXp7$6r?_R_OwY8w@lJ`x#dnuXK|TKyXCuMg>&Xg`z$K8N)3uC zGM+NcYOZFc>dZU7la@|iHu*y2{r9D|-|g!EKxaSx-g|%k`T6+#W04<EX#dZ;o%etL zf2B%SrM>^7Pby91jWc{&KF5XeMnZg3SG!P7h{B2*t%FLTO{-3GitTP(@GF+Z+s2^r zn%m_2CM^%lLqD%vsk~C0Gwb-XHTN9~ju;tpzIx}p;m$#pul=eK4^x`jxH-b2ye?FT z9@LU;TJ&9b%{LXtkEJZxIfmkgHFq)}jH(u%V%L!{HyPXoW04NtW>UHYbYh`U6K|MM z$ey_gi+^)y+52rc!OaqCzB(bQTa{zgd(RC=8d*&H)grRY9N$*5oYqlWaa$$gU0Bnv zY>u#s5=g(*rl#(dN9N?p8DWp#a)jNSyWoXR)74KbNBxx|lJy)<y0T=)`Um_HYbp&B zo>JQaJ`{6tda{Ldj&nevc~dW+0(eQDAMCods%uv!-dM_Vb-&{Vm)Q%R>2pl0nz7(p zG0W*36Zy-lcAFk7datlzzGB3~WENh3g@~8gEWP?_5l>&UxaO-xynW7cR9-0}*#tU( zlUKpFZ0j{?4zKr48_xY>N#$3Mcwf=<DPHx)1E;1g-lpC>r4@gSn_T5oR{Sz=I=Y47 z@XCKxO{!&TD}LrREiGc%+OHh(><e`3<!<ifeNWaYt*BQ%$YrmZaYH@g<$9Lhc%_J^ zrO%80yzpaLy|;V8k3^R2npq2;WU^$3a(b;-h<MJ{w5eQp&36XJpZf)JK0JbslKi;! z*<fvNnox+Juuc(&<5hn_oiZ-Ruf?3xBHRK#TeEoI^$hr3*Ob}@ITCZ0R$FjAdz0ur z&kG+Ez+L$rE*nl+LU;PQzYccKy04~D$9_<1znaERmV;6H0#ok&sqx94%i?%*FUK|O z2@C$HbC}KW4fvST)GH<&@=xrb(ssd+w}Lv~Bpkoi3+ilqc?fo8D0HmfF`!!Pppm=a z72D2)om`H8U0JkiCNFrX3%Tx1nPv5#$qQZ_6aS!<eOpMxUvSOGx~56)LTgHu9A8?p zypFK~58>Qcx#_yE?8~j3r~Xe$Xm01!`q!MWxL5DU7Onjg6DG!TuG-h1uu+*~l{n`# zi^&U~sj_&-dI$VYYf62my5hIM!J>Ng75g8$N}S{R2<h*CDww`fdFgi{6T3MHQ_l;E z*fu9@?dIr;cLoplmvLUJny}!@W|r44l6+Rb31fNv)+gYfEsOLM4z0Rr35zdtYQ;Nj zIB^vkZsGgQOI6DF9lzce*7?Zec=m+qjB7#BLRao}Cd~ZH0XkXbPCCb{`EDBy{bb<{ z7g%#eDWk^xpw@n6jbA1Qv;M1U*eyPmDV-0RcH~xwDJr_ZQhBX2hnG0VHOtloZz@?{ z>ndyfk8R?8r?TQlbW?8|%hdnQ8$8|w`K*2w%^`NXeZjAGj%gOt7Cf8IIW5W|V7K3f zGpk^Ut=vcU<lnXh|Abkz|IA+S@EPd%w_N`V75Yt~n^;`?6(c|=p*|O0Q^@Lgaw<#q zUAKT=c1@*n${N;c5&4&0CH#Jx3+HS&4ohwaS1K=F%uy8ZPl>x&VCHcrzE#sfCpoEs zj-;H?YUu$wrSh!(W&`$>pi``>AD=GdeWtJ(bhi}fX2?72pz#V1(0B!_Sdqb;!-r(1 z=p`$%KL%YBeX3v0^@EP6!w(%<mvGP!dF}xxzDL=SGn$XB76*0rcY@Y2f=)49!k>`H zXXU<OLi3yFpnJMz>O4^Z-FL<Yx@YVWXtm`<(0ylr)Is-@%Wzw+*!PO-&K%2*Tu{$C zKRuC8XeNBLWS0EgUn`ogaDv7w*tm-)?3~dmQ?foWk*~-ebo;0tcX7ZEWo}CeyVHkc zrsQz03*tK#4Vv574jPUB2Ri5xbgok!===zc%@dlRw1Rd6XNeU}*w@)=`QnZ-{BWw@ z?JN7OUf3RX;`=0hrEu!Agbk|PmKtiH4XmL1GXErsJyR$GIkv8~)v`l3MUnlhcI1ra zBcPF#pTc6#6t04ntS$##=IX8UWPx?E=KE`odG4S=mFcaP8ZuUzptBrW_^%(_VDL4N zeQ9vyiGx3EK-ZtmX_axw2OS<!4%)ZO44OF56f2rgGqKe&<LI=9T2B>hLB~hDIqbx@ ziG8yH`(DstBL7lA_oOzo%7{$gWWc^SROiWpJBf*WO5gp#r)_?CXte@-(b&dPohJ+S z9W4S~H~Z#*6W^?AP~<m9oN)Xt0UD}GQe?mTQ|F9h9w)cu3((DJT-!HKXnqJ9NO@T( zR%9Tz*?@iO*{}!Co+!)$xv_fFgytWOAUD2%$I+zCpv%)u`&(s}h;KGvpR2}gc>;7P z>X&Pv8MwuufvQTeqJTS3ykeGGO5`4Q;ybl_vjO|WNS!AOW~C?cC4r6-`4s~iPU~ou zF#!$d1a03iq4`^u*fWLCC*V^+?{0y{eq14!$$>7H-yqFx3BAOW?bDqv8$eZk^^aMl zlE(}G+{sQ%dn9SheOAP{`-~93q^|dws1&&kK7qy?&uBy+PM$Jz%eADLuG`dh`F^x` zX7gZ9*UYY2osn*<O(7SS&(sy3|9scIJHL~6ue<*BpWXiZwe|b!^DMFpZ9ZL^Uy=3u z|9|0=+Cq~1l2p50%qmW<DY>D-<?vXTGt6=__*mI#LL&LXYYO!pPnNP|+j<97J!{qf z|LvfD+T2`@Q}5h1#I-H>qsSsX$Dr}rneb!$3O_Wp=Gm2|Y-w2V=_pHgjDNt_yr!eq zghJ#6bqp6bW?sEBD`90UXV!c74QCFrl=iDffX=)4%o&y;U=riG;ZiJ1FX)!WE6~yY zz}S||wcAu3KgM!gyD@!1N?*d-#~fbgRU^{n9B&?FdA(Laqn`aBTWwR9zd+8Gc?&*0 z6ED7X;v7rpceRKdGsmyV9MfiiM&}h<yz|1A_bm|<yb|ZP;mli>QhB9_cZN;B^o7=J zcq!zw`e7f7_EJtMd6x~RF0#1#D@MGHW;v>_5b;>6Y0`GVHD9xvE;%=q#?63C@>}jc z8RV0_SC-?{{&vW<oU;-Z3pqZ`7t#q*Us1(+FloC`$bZp;Lj6Kl{)it8lGZ<5kfqKs z?Pl|WcZ@8jYr7WwJISJ5lMHQ>*wnxBcxlvhY&B=tOF@y^mV~YKLL&EH2>E39nhQ<2 zGdE!^zt9xBri8hZIZlOfT(j<9@MkHD^ctlVKfIfI<<wUE;cs#^+w<k=L&&;~tLEUV zIh9uYV{B68Q(y7ZvI%rG=iUhkGgDbgZ?l~K+qB@HRg>sHzY7IvlfxscY#krp<p`^^ zcf9D#8Kx~HV&9z5`yRZN;Go~s7^Bi9rM8YQt2wSkdIx-yZ2FoO)0R24UpQxjw&T(7 zf;lAyj!(}E=@dyhUVSg5Qzq#6HJfwV3!y82Y!8A~d|Z1fan2~(7c%2@=WgmNk9T`n zz814+*R(Bo7}GR$pYw$Z!-HDa1w{5vPS|>1P^5lRLhlLD8DS@NIj-HBx!{W(%j+|0 z8r3=ntBN^J)z407c7>i*k(B-F#EY_~Q~OmTo)k8%`mY+1vsv4+?yoM3_Z!!M&&n*` zq8wTCoi>~S9d)4)@h+?B*LJ}*AEcYwoSUZpbG}e9d9}fu(0exEsz0Wv<ortIt^C3w z_FW0R`hrtz+7s4VIlk29ytZ@df;Y`9uWh{o{@rJh&Z#k77Ft-{)cZ|g#T?a$Cmv0! z;#DHv<TSmqSBZG^2~zPFeSWr5c_lkXmc7%4Gcy*vb31Lg_US#Bfco+#Q9G9lA2^z( z?sK@X!)e1QevU3P&amB`3x51%$^O&1;K^r}?A#lN3cT{&H~3{S9h?NZ&h*W{VE3wa z;VJ)Z4zi^ShWxQR=ysYz>%PZ^6SXX%>@3~3?g4+VY8$Lo-KM(YXIazIG{Gx&Qxawh zbH1AAxFM!@!9SrUQP`!S{O@O41^rTXe4Ne^R(aKQM%cw%j<9NJ$B#S*rRFPWR5Bfm zS}r&x&SAqjSC-WE$`R|m1OD-|NZa@ZTntOHkj`}t__>y)`;9p?)V@9rc27Dk9HK9* zV>o}ovsTFZ2X*lJ2RqLVci65cMSb0_5TWRKp+LTAs-4?~9}!KV`@8}`2gBQ|o^Y&T z1?_J5xrAfgK_-s%2OT$oMv~Vak38X6Wy@WB;pQ7Fy_=3@>SE6pY!~OYJW)RdbW<j1 zQNA|ls&deph<}{i#R8G%ocLDRCnxeH@q<pfxDPt%qFd+50?FNS=IMMv)|)0Ye*@iN z{cHiKz-I+bUEf{2%<h%K_f*(X7k_4eM&VNu`MhL78!{%eTCVsrwN=I?9#mtj-_01V z$^KC`Vn*|^-H|68KRJL7a8dzXmKial`P@y=Rt#m(Rt!sS%M+jzD0$>&z-}~M@MHSQ zek+My&`FwGb7PiTcBp_3um;^l`i4QQDB!;ecku*^nXNJ=`_CPcSpwSN@m39VD#KYP zzFnXbH+R}^p3wa7Gw8@iQ}aj9o+Rv$<t`4WQU?vhpFboM@(DDB!KF~MgKv?#Z|xPw zx7na=BXXeADO*})R6s{et_2-}@heg6*@Dk9pu5V$A8MU-%#Ri;no!TqU2HJps1sjT z`$hxy#lj$0`hd<3nb0Z|0;-lbnt_%;oCaO`jyRpNrtH;Xz98d#(3Pmw(qhjPHcN9` zzDNTdV6CY0WI;V>^Nq#aRv8{~(3C+psF?uT7g7Yez;#_}B43uWSdqZ|jZ)_6d{WV% zCe1p~<)ijuMHB31v|7H9v4=Nls$X4km;Lf=^MvM_=2lCIH7SbhM|Xqvj7W(U1=Mx5 zT6Vlhi&-iYvOOh{F9~!I)vtXzXB?G|JMqmrzR`evCFn2|ThOKSpmQ(of=;e_$0t^F z;XUYhN_E8Xl<VgITG4#z+4c{cWP8e!68W^MH&1AO0Xo;KjECE@1GEf7Dpag!0_eQW zEz>|peZB>)eNhA*Tn)M<b?*r$zE897b<H+rZ<dWb;b?gFkW3F>k|O(4&{5ncG+|Ms z|DS#T%KiV?+uL1yK{Y+JQ$P25^zy4OQ#@z=w)C8Q#j4XY>z&o)OG0yBx_F=Th*Akw zpLSc-&~w_7mnBBqeS^H0pX)npc>G|2OmVfEm9FaK-JU|%zE{Vd-&<clr+Du1uYYRJ zSMIl;Z}0zP#?p@`PTPOH61M)2*vZ#VA=j0s?fK&#>Ob3sG2#tV)2nbHosB&Uo@F&H zZDZLQuMm;;_uOj8b>(~qi>!sN+@GD$+RPy}jU&veb-~A<EURPu0!lQ&3m@X$Hyryc zoN;!}8<UoT9Z%k*&bSuE*>uTTV2yGAf;UV}U;C6a>V*!nJr@kwGbLfMD$CSrmTnub zfUlNKN7G{aABNm-O6c6lV)|Vv;*~?wEq5WEVAxqz)$guMyratUb-i-LL$jtfe&ID= zcpM+UDDugkdX}SW8AllCy7HPP(>_R{^;}>|oX-Zo*$duiHGRD%G^M^Pp?RkH9;+De zRmtM!GesD0WK=hu+AXM4#Nc>U>0lB!=c@U>-~;#ft43t0I=*G+oK`t$!MiH+Pg=!= zO}}mnuKAGI)b?9&%@@_CW5<QpeEJLS?;P|K-COa><E=T%(cLWBcl-lBaW-w;!gN_{ zui!ze+d@<BPEFV=<M>lwD5pTi@#uTOoDxCDr}4r%o0=B9+RM^y>la{KB;2<7NE@^s zduF|?ZwctWa(&2s<<k;&z8AW(e?mg5nB(E6EZTRSFZ?iU3jOCDP|~b-eEr_Jkc-ND z7Nj&LtWD<dn(w#a94oYwn7)3N)um{+fPZ;SylpI^|D88n{AHdTHTAw5_`Y&`FUWo6 zZX1rQWHEis;vMT4P_22;s9f+$tow#L`#E2okL!H6W&>ykY`cJ7<&MKwCI(Gg@R12z zWPeF%x?1NF@W-gh^q)t-C%&ewa;h4(Y7yzzbZ3O!)aAT3+dJT&GK;i~N5DsI7U@t9 zt^X4eCO(6<<*wTM$adcK3;27U#oNX^;B)C}gSAV|)K|<^ib(Ts+Vz=p+HWIA@bO=a zj)y@fgU(y<QL8DG4Sa0(+pXJ^ERLG1Mm)X?4Yeolg59Io1;F=}x3Z*Wv%J<-()e!; z9wDmfNLZ}SskQ%^nNRk_Ud~nfx)V0?IDYjP)G3s3Jex11Q!3{8wwrU>&N65Pn^*qI z;~ituFMokG3EPvSc+Hg}Ue0CdEmw_rI+?|lUo~Q_Prx6aCeu2%fKO6QTf+pV?CVdM zdz|ysxfh8RuX8;D>^wId)PuV0k@~W}9zMkte|RCMcLz5eU8lC9j`^TaZPToJ^@vxh zO}C`)9Q<f}Tj0vwnF*j{yY&Uud?;;dTQ0oji*VDiaKSZ2f1#mv(KOgSt6o{-pVC38 zjn;;1Cq)ad*(wY<y?e%jHy2r6&-DuUcbr8!#xY={^M;GDEK~n`fd|I!SBST5z9G}} z>b-hI(tB9DWOJ~4kZRK{bzz-iJIA}HIi~#<cKmD25%z=I@vz}Rul$JKOw)Ul5~h9^ z6q)P3;Z{0Fm%QtSW91xP^V~OtO<wRtsHrq>KC~75`^1%r_cpUUjjuOfw)NmM7Sa3O z8!pacnfjTfTgEfst4q_-bE+%;c{i!9Q(jT2eNbkJT$&>LTTtiHr-b*LBKuw6$QjLd zK<fbN%R#;Mm!5)m*0KuRTig8bVX%B@z%MgyONr~C6P)xTPB?Bkdr0O=9_VI<t)Pxu zyv`E^%g=H#OD!{U&p7d2x(({H@o-z7cz6Dg%oo07MfRWhpyP};gW3wuL2ZR7&>q8? zpk135u*zkD)vRADnr~Fq#w?Y&a{tsJ8I^6xitK0kHyW_71f7-qI{-8tZo_T)V&2I^ zGEbyHH5)JJu8YoA86MCwJTK6a#K)4~LFYz4)dg)a)dj6YRN%Jkcn)eRAkIJbkTVAz zyKM|Qff=+AqSzGFzdUhB=F2b8q1*go&k{Zeaa(Ha`)L=qt@)xE=m;;+md#E2+?Ffq z=CxXO$ewoMdld>gXG)RVGUH!YtEC6{07X;KLDm~R1#My=%^Gpga*6NDeiu$Hx^RD1 ztEI*g(De-RpoM;xVnqgavsx`z%sJ-7w+K`<Z`B4Z@s#8)z7PRA=F?g1*@CZ3+?F2e z|1*G&1_#~$@TL!R+G9TKn(`^|I#Xkw5$F<d(2=Czg%F@C&_mvXs$tN1Pk%vMNDY5G z#w?XF5e8iz!Y)>HVczjWGAUx9y_<ocy_+hawpV|vrAEvtC%!h&GKQf3R+%1o&??mP zpvHz)!-t1f9p|bz!ZZ1@qBl%v{t+YgY{5oxZc7ij6NhBB^ra}WzvSXBz5v>cnxYRr zkrcF4BNx<NPZTQ(sA+4plyJTN=-HD6dnCDw1w1!SXnrN9bH*{p4s>+pLC_gvh=y9_ zxhw9nOT;!$XfB)GYI$M}Xj}o5+TMZoozAyB5IU>*kQ!)xr!9AJz^&d^nV#>U^VVHK zYc)UzjDeQhe00@0b5Q&L{`_Ch>))N3fP6&5x1HA)opIURy0SC<n$gLe#M3I9k0hr| zn~|C#XK*?odXv%OGe>M(W_p6oWZ1^jKXK-!GmBC?XLc<=V(j;P#<|XR%hDgeW3SKt z{qy-wP<Q^{y1U=M|NgW6*wyBWCsXI&Qk%X1mp14+ii)#dVx4Cyj!ua&>SWq*Z7<8x zaDg?(pZ{&WpL@3Itu!Oc{QxsNO{NX^Vwyhf7RcEk?|Aeur&*Loz)zkgS3QLlRjLP* zVw+x-3+rs0vtXHAgmtckH)vShlI8Rpp8#|B4R;o@eC=0{c(?^zuKXy^sQ7nf;-#l7 zz4<B;PyLz}o#qJZw&}<ey+0wLH<#1voH}^9TD!oS%?%6QtYmpT*F9jL>xP58ETZof zZe(aWKAp}XcJqNEcsW@3_IV<V5jpBjud0Q00u@$N${Y+@E^x(edcsU^&R2SB5%0{J zemOUZ#;IiNxOaF*w05rNg$lWYTGc`#wlfm8CUbPn_u1f9%k<EjPvOSON!`o(wyaav zsMS7b)h{rmc3#5XQu%{hPUZV;0Np;cnMGRGCE(|3mhL$&0biH1bjLac{MBdizT*_| zS+Qy9F_x{@D@6`RrfmjS{SPPYw)T-dS=$3%s#epwAh9)J>3ji`eKQiK+6#;9otdze zoug~LQp96kXP?zima$|n<?wp%w&5HjOKQJT#Cw;fPxfjz9xMWfT1?SL|6q4FbHNZf z0i8{g7QA{be|U@7?HLPx?dF_zW9ouu%Q>c5O<M4cljZakj#qIW8}7(+zS38Wc=#VY zzWZg@QVGAu76-N7&w`f6vu0Wa{Z?`OsLXNg2b<$bW6o>48WwyBWqDn~dFq}s_*#!| z7R$CC%xUU+uW}<Jz3J3^g@`8xO{-Fyj{2!XO6nPqod@Rs?MhWj#U0<KvYb9s!E|}m zZpDK|o=v|#bB6t}a6IhH5%$Z<@o_L`*iTl+i>EoleoH%kv^yx(FFYm2dBZif(-yg) zHLztcm+d}(Wnx_8f<M<e%q&_LJUY*5wrdJ#iK5ufxeHz;vvjXjTOn61bU7+XyJ^;Y z^@uF}rd#a7I>kDUcPDdB`z;9`&M$%O*N9%;H$_f0<B!cjt^ByYOwqed30uDlirjBb z=shnqMMrQ=sgUE#VvcJ&TNb=2WqEzqDd1mX6Ynt=(fcNc3e0ZxEcjH-DR#3KTJ^t5 zU)Fb|Pi@6N*(TL<YAbH4M7)Y>x@9h`^I5?Wv`J=fA?vcx`rszfd(IaM%$ufuV(INy zig<dP#r3>W1gH(TUNPeFeQ4GH<ZrNhls2cA`}{*&uHBrm;LSId*KfT7{#|B~mhlYu z7|kMml|$?Qtb~bXj#ujib;{%&zb@yPHsh;FTX3;*)6#9qE9NRjr2U7bHFr>2o4f#Y zqQ#%C1rI}6wEs?C@X?lKbq`0^xtPw(wcpH|9u;$5`yt?X@-N3VtDXg4oSI7g)HQA^ zM7-x}`t)Dn#)EaRw03f(@?y#J2~kt;du=!&)3i!nB|^tN;BPmJw~T+lXLc6vx&8sa zjha&PR94(pk9fxknKwJF<!23A2FovCa<4sMs=g0oAV((Fpma%?sz#mML8*ELjY{T& zQQL*5#CdHvm(?Vba=l*c@$BY%VLDF~K#TI&sy9w(egqmszqk=pt$_|%F4E(+T=5T7 zt?8yIvVZ*#T8Z{>35Q${tAL#Z`&n^N*L>?e3DC|3UC_=28_+R|&8?OvYWrGczIcPW z!quQd9{50qJb+Gmj6Z%zCgk5~C%#4f8w}XDK94xzSabJzLGiN%6B88KPs&ElXukFi zba<iwsI~xYW(a3&;lJ+qZ8zxp1MAtXGEeG3CqAxARb)RZ2RfN@LaWS_`KgI~O0RAD zK{q2=N6cux!Kd?N!M{LIC9J@0nNbJ2S0WTN46OrdC>U~EzKA<~Nao2u&~5-w&%HGr zURz4I#e?dPOQ8B=52*h5$-!;eA$Q=A%$0pdK!+%9GGOnO2QBY()j8w18g%i6`9=fw zxuA~ww@k5T3(Q};-kBrw<o>xsGCZJW%2Cku7K{Hzo^afG;*gAsAE+7wuf_}b`|ygp z>=8ZC=>BTZ=)Mr_z~!|GitKM^t_EE!A)Kzres?Em%v>Bax(}*^WzISAwSo39T$0pz zl5i&>kxwgp(}d;>(81t$LFYVzuEc7Ki>fXL-RE?u{k4K+b&-n38OLlsZcC5%XAa4f z6oF1q(BLk<U_Gx@<_T!ICfoDP6Ph1A1vS9jKn<|Tt(F}+$%^b(Pe}a}wCcz`;l%gq z_9g@N&Yz&ew)MG-Cs?(#%4`9(O=bqGyM8DTb@-rTTXKH(uNBQP-K{cTK$8qypmmZD zgFsChA#O{F_3}4gT>ZaD#3!3i3pAMU0(4nfF6fL({S5}}y^kYKIDQfVjo0XLTYAKw zIwVs9s^Z_|g4#8pZoB>_1NP>xX>0cGeDE-e;b7<~$HLPmCoV8xUtFp4WWgSF?qUJU z8LcuQ{h*ttK)0q<&26>x&`DBcKl>WA4t1^0lLuGr=e?XiopDXpnikNi#=;kKSS63w z+nvAF(j#ItXKwS9FH0T0IAcqidqiZGY@8Tf`D9h+`m0AGUz)Cu^qqCp$yYo&_L$Ds zqf_-{-kgcHxU^JxtIW$&%ITI>?wYwzc0F79@_nWK{fZa=EPs5c*?*tEyr8`P&XYg= zb4@-Udn{|6Hh<dfGiR10_V39|dp6_xO2%zxc^@?RexB9+?$L^y&v{?0i88v){o&U% z(7o>0F5T?@TRbZWy!HC_=_1%E3(_XC9g+2Ck8jT0sLCK4rngIDHe_3M^h}h~5i<UM z%89xs+`zY5tX>JC#4ctoOi9G+RiF)~*Sa@nesy55S`&BeEc7sfYtP_kHSE>1O1ZW3 zZ}816bt(+9VKG&nkWIRK_A0`6)`GVK@11{c+0kIoj`CIKq5DFsXTvv(OPk9Y$@Ye& zUH-HlwBvP`_h!w8NcIhn4qL;OWP{E<m}^%RX}0#CWCP!7^C{4c{U>YTyHrcJySMvT z``7Euz6RQJ-6daR2l3XudsAWFy0PHpgv|dxLvL=W_$<1)flvEA*8=}Ka1d7a!w;b_ z%d<+cvMNb6)Af&<>%00bY&-CWnc)4dpuN6ZkAV&VSRH?6+11_qrue>&g{fI`PLNqZ zaDt|INyPUA&}jsBOmA+f%LnZTR%-BJJY&@$nlFD6qz1fm{X)r!E%x=kX1aDF4Sd?i zp{7)vUyrma9lZV4?|+t=uDw(P-)cU#glmjvxHddG{P=nr>;Q#pR*F_BR<-x9Z_507 zlA$D`Rt0L={5hY#A~pnbgLX_8UrW9GYZF6BgyHjFCa)RNSR+1uL)%R*USm9440II3 zRP{CIArb%m7CfZE4$}J<W~RGe5R`sutRVqyXJZbJ_iL3G6)$J*RGb}V&bHw2tJeFl z^fT=VJpIH<$r`<lsZBOp%MRMV&1?=mMB%nJa%whuyZ(2aneID2hxVz@?@sashvECR z$h-efl$2aPmJ{`k!=XLYd;ffh68UxTU|v(2TXLf0*J9tBTdFh|WW(0qm;+HWf6aMV zRII6XE;+Gf^Vjy9TmIEDSgqNSGy$R}KOb%T_8#5YV&_3eS!CBkjd_1}9z2EZcsb$a zi-)>5xBPKuuv)Y0;Wx<s_a7f1Cm(>ect_n{<h<;%di^Zl)&D`qHT0H4GllwMZ~_CV z*<}sdyZyI3^>S67Dff#FkJK5zaI+p*!yNJP+dQ}`@Ya9*J9B-zx96T+_H-L;gZK9m z&@OrK#`R;q?LO}A_49mBm*1Q0yLv7(iT(KS6Ko1NG4r{!`z-%lH_><XcaVGF``@1g zfQ$hjzj60)<QXgVyz|SxvNK;uJPJDxWY6CyxRT~&&g(P(Uy;4J#loIJHcXBKdd5Qa zao%*qnIYiwBaG{3`kwA)x{!GFA;TG~hDgS+8jJsp@N^ob4%$sVckazCzj7F?*3{|3 z6Z5~-a7DY)L1(jc%b!{Hbt)+N=<dF>hIxi)!qMC5h@6~Zm2xZo+v}XDHM|b(Q`POK zK;rcM+{q{rmhtpmPE?&bgKXH`kF#MB)&ve9P-H}jyS4i)UoC%j+0|vB%=K*^RLzq| za5cN`zL=2teAoT7%fI?So9!PShn}7B_B6a0lQxkxdYfONG+XRD>w?3lxa+4wof8W$ zcyEBxPsZoTH@DOnFvy0Leoup(k<<Pfo+q+n<z<cL-uu~}6J;ybz_(hzUJIK4c51@& z-@CIH6)&IrR(o^HzhF>me%22yF~1?soZ+3tH}hM$?PT9>D+bxHIQw(ZoW2cS#Dh!1 zsz2v%ZmH2^kPW;0CkIl(*I2;w#G2B2P~JLId~?gD{b!i0*6h<g@PFTT=>uzu4|e&> zfr~>>QMYEdwN=V3%O5pnYwuSn%oelfh9-~O)!^_+ImIe#28u5GPup{%<^-i*{`3!) zoVVM9`~^R{M)`lWneKhr2ENs0>=U4Mg*YO3_Rct(Q}w6&=9a2@2CFsqq#YpM^6!It z>sqa4cVzm(g*j36po+^z9I9k{zpYKQ7g9}H_5C;Z|Ea#+s-U{-C^V_=DSzY*KRy9m z7T)@QZrM|inpaPuPOhnVr81kTLjrt)%e72UWwFzJbLQ7pQ1W?m8hXaUWMi<`K?jt) zo(DSFN2gYG_O*CUhj!8YdQ(WG-iw<8PpY8WtN!SjKj#0m*ghnIN-3MSGj4M4{mqaj zd!XyP7$V*0SqW^usC9nX)3u-~b&Vx7@*i%3r`M>{pyQ8Bi#KO(1+^3OXF=2A{55AL zUjKjd`~Uv`Ov{bf3qi+t=l0A=6>XdUeU5sn?-eOlWs4cCLXrkGe-tb>p5_;Q?6hb> zOaB8F^@c)@x+@Z;6GVF#`5bWJR8n16>bOc+OSGkhaa&N*%H+$dE`%)1dE>OAhcPQ7 zO7>Q0%&#-0C+1qzn^nIpy?@?PedgNO-;c|eK0SYEX?)#Z_gzPVR`o_64qi2FrtaU8 zvx%G!_MT;(vp;8l9?O9f68EG2y?)5&Q2lfJ;fepcq3ejH_x$~KxcEa(R_z)FrK=m3 zf{w<%y8yDVJiqL#=<KNf!h4T@=wy;O!w5T#wimX(+t70L_ZhplFeruo<p!+`KeiUS zC*o!y%i*+N*<a<p-bGs8Z65Z=2fAm(cmAS@TYlVR>Iiw84O%cg*BZKnp8GLJ+gba% z_Ir!}1|hA@om+lqCFHQ)HNP2qmz-QL;;`gZDM;tvc<7q)?C-C%w|#jMTh)JWHONw^ zYv22?gPl75V})DL>)WgXE8Dh%)|9(_hPw8dTkX+hV)ZM(ORRfwQjc$e3A2VN!|9(d zMBbhNZ*cLASuxS%J7@>T*ZCmJq@tmY-3)T<o|^6V7S}zgLOKE*wx7Z`e%-_^7SW)c zF`yH?*L)6#ERg+u$f7CnGyD6C)m!>+FZj*?J4|*a^w{Qz@7+?Klgq^&mb}UZ-6sEa zK6DxJ^=~h<x0M{Jt?IwGl6B9=|H(WWXC**8WA@Z9EQ4%MU^ABTT+3d%A!|n{=*-<0 zq7W;qi|6l43U;?$@Lgh^mclzmxF_KoWA3b&XtF($ef2B<m4XdH*?X2j62W{~cY~Z~ zKiI#@eJw^_xx5DI)*D}Pr98X+UnGQ9gU{(bH~aUeQ2vI85<Tz0aXJ6nhI@-^1Cir0 zKLfgFq<XsBr6+l^YhJwtuM4;TCAgQJ;V@&*yRFGyEQhBpGkaxQ6)qxx2%!Ce){uqP z&A&}1Md`mxxcb2qv=V*s_kUrKb>Y>Yj|d&yu(H_vrES}4?hg1y9{IblQ@lIfO(#8z zyLxcduV}f+pw->I#*mfA@4(R+^T&Fx``=wi+fAfj!_xa+U**igqiK?BvgCsyYqaG- z(UWYjOTR~1!mR$OSmm|b=E%qN{)C;(zRN#b#aHfUwd9&tb0ffdf62ol_1xv~oR{A9 z6Vmr?U&b(x*CF%Rf-4L<&;P>D>HV?WE$H=*wMG%9HjBZFw0rG98TEKVNxs=v(b>PA znN?nEf6evaCc~689?+TPcDLZZ{VU~JygYCE!BxN7!N(FuJ=TJxh~IB4ni7rg|DSC0 z`s;7*2R9j9jKF(8^54KtzAyRu98@$!#e{-V@I3HlicqL#a0=dTzw&!VzE;CQ_|e$U zS)qBR^!_gA_lA{zj4rF<K$}gj{ReG+l4-uUw`}jeB=7w<R(^kx3p(Q;nh-1N_rntK z`+wc{7MBJxx~zH_3My4D@<X%R+1X!3XIDMY+<UwLd^#|6YlHjM%bQ}@zz2ChQt4f? zQ=3a6=<0q@^37fY+Etcpu<LxEvV_^^8^%@rzcwK48aZ}~buT;k)bY#dSt`2g^cp;_ z?u+YXkhm7P9+LXwCEN{i{`J>iuHM3roDf&Oy$0F&aqaI0w@Xh-Sp`;BnS;uPU$u|` zs(!0jdvw~o%CPqrH$P7iXAgkvVB1qa3Fgh`*(zJ}v>H52V^)I-U0djGp8ULv;W@A5 z&%d^9)BgSfaRm6>mC&tAou^GEJ-a62uw>PLFOXAjEQB}}l2POJU)a7n&i7Rge(ORy zY){RvtllL@K`y<;587E^)eSBFS_@eYKl|&t*Zubsrh7mB8?r$Y!uuL0Xl}dP=XUAI zU#p0#w-<pnXn}8g07d1t@c4~Ox4*qw{pB9=Y3~10VU<fwxbn)ap6sjJtgmo5tnB3j z6}yHKcW1YM5uIIiUc0LQ;Z3BXT0V9y%#YC~lb&sRoe=sr5|q7rJ8NNO3OJAM$=`o( z@xPPEdGtE8Ov$P8Q_d`$&KI`z`zpbPpw}{`4v>NYQs(y7zqY+KUk~YSiO;sM6#M?7 z$1Isw38C*NfcA_0`=|^njD$gjkzG;1`-{J0kjk;Y(Xeu%B0_m(sRR4!HsSV^+YD1~ zy)c0$g3n(-g%S6^#os03kaouW%<zMTtT1!$63gG~K?i?Ff=dOdbWq$FO59C9F32-G zbLryCpV%LKl?LT)3CZRl<_&Yq&EQ^L?sn<XDKECwx70<z8!*o8M2=GX_n+2muMc53 z&!#X5eBgNHb3vG2=U?`iWn*?aA@uzhCJ7yerPuaHKz5A8?RJUZxODyc3F&*w-5G79 z8!kb%ef(yIYUTa6a^e-MpB$2Fq~?W!o$MA4i`MxU!*f>sR;#?$4t6lSFajstU-k3< zGbW~LyaO))*#SPj``gY^qvtZOLP};QXSw}!0ZjpAOQwnaoN!~)i8ULic}?r&*NvJP zk@iG+n&h@=DQPp-K1`kwnX*i0^NDGXoZZqoBi*LW?5zVG;62~|9AtV(@7?e3w$ImJ zuB$obH&J|l7Pr{{_wFj|J(m2f2i<P=*md)n;>j8erOz`iuVm2KK4ZbZe=OQ5oKkwq z5ido+>k)UBFs+?AnSU8W)A@xjKvU7%g+%T<Y&e$8qI#Sq`>dJIYS5L&-;_0~H4auC z7o1|>nb6GTc(j|-Y}c#>pU$#$-|-IkD%f;%p6Uv_Y-67E&Q|D1d0N&hk1S2cx5=E- zB0U4jMGqE<3tfr#-f*awh4;N$M5e=qACgU>+iu8Q*0P$Pu(g(>OJ6bKu|(4(ZH{YJ zvlo=~Cd@U3t$DcaFZ=SYYrsFpCf<2!D|Y<!@yT9n;rMhfhuBGh5ZkVVjZaywo>z%@ z#MCq^Tu^6g--5E~2{U_HO6My@oZEJw;MIGF4Tny{j$LS8-Z$l*--RD8O`)e)T+gdV zyj{(5G+!a&@o^Sac?Iy5<>@YlYfGOAPVp65Q!e26bGu+p0h8m=e!-j)Imf5#1$5w( z_gCe8Wjnuet^!?HF3ypaXWjjH`8S0XwF(D~+y$=a3g~<fZ2I+FXw8Szrnc*XYrZ%) z9s4f4=97EVqVvLQ#BK{6*4$@(P-;E&$R&0D`Bs;z%pG3_b6&G-U-0HB%j;P0fPKyz z4%V`W{&(1Lv6yA*_IbUTx|_5euZ9cilu0;#Ef>@YR9f*<vuUZG@`_sCgGSroD=`g9 zRX}H5BsYm}yTx@mYez@I(r_UYyD14%%Y{U2XC`d*7ZTaulF+N>cru#f+OFvfzAR>W zZQ~tKcG^<nTopIxsr^j}%}b%tnN;`cM24#4Q-1-SB0<Ni`2sp+!j50(3+sF|a6G$T zP)GEx)a6yS^Al#)LN2+JW%(K|u;xQyQ=4hi)O~&zDr^sG*+UPUw)}PB%EVjooL%SV zbZ4&JDC~H0FXy#W0#oc}CCq&ax$2Fn=~KM&jRaZ8qw|GwwoF{`sUu<H*(3?StFoM8 zw<j<7^_pXvMG<6m?VXzcS0-MOWZ8ONJz|+-z<0K$r*%#N{~4P^|2bVKIA%8En%8Y% z6F;FfpQ4%;SvFn0=N|B<q{-CIJK&R7(^k;Lq5459$ikn8lQ#SN$-dm{5Ky-}*YaNi ze^b|b#Tzd;nohk}jd)_xv?{de=sE@POwv1r6+g9`md;aH@z>^{(e0e}hga^-P1tGT z`1depSjBmkCRNb#nu=FfCSFSCkc#))aB4ee*FC=txAZx?_&Klr5O+Me^RvO)t!1hj zwG0QX+6AZB&P&)U<oMHHIHy3;@o2taPKmVR(|7@$Pd}h5MXuKS$#$N-DR+6*JcWp) z`lea=N)cI-j&CP(PK)#n_%77+bf0Iyf3YUfDi&UO)rgn!EWO+BFdmLf6>nPfTW}5N z46NgVYd$W7&KGS0t@{;pe7TzQ+Rn}eZ){m!+xi6jd(R^6QhsEMmY%|mjQFNg`3ezF zBAP%`Njaj9U-gA_HcnfRHalVEZq6)wmkno(p*gfX{g~m}r)6p@_M0D+5s5qR#McHo z6=JCpx244VqoC`x4><8H%8r=PeCvDU3CBNrV$T+Qv<B@SnAK{Tk-JU!UboDW$2w;m z)BVMYCfK<E37y>BRR*J|U5hE#0T<fmjs7L*0^qKYy+`%l3d)Y5cJjd!}$HRgwMb z{Y?h!8$mag$67xQoz;8<G=cNeNbH$HsR6fT256DSnNH9ZsaBvXQf0U;U&Nn0BqOr# zv=d)j`6dJQrS~^XX#O}^=ZvGOQT^rF+<NPnIP}*wzt{twAJ{s5(}d<9jyh)?AFtJU zqEHDswaKctRb~nJEb;IS6Pk0nTP<JQJ?O;uX@6QG9~<b@rbqRl)r)4_AF{RBpHAOk zz&;Ul@7h;goimPW4mk0>0<C@!dhQ3lCJS`v__OKD<X$P1%5qy~faZQu-lZ$D-&F)T z*PPq(#rfEd@Jv3g-5Vz~KLnk9{t|S1d5sNsallW|d06v6M@YN^EeF*Ft+QnWoy&0i zkjxf2&?({|-=CWk`$OCud^)$@JkZ5y7eKeKJ=qL8>q3&-Qe)nsLoz*Kn<q4X0v!hR z1hnXK@6=Yyj@$%A_OHi5%WP+~%9y0<Khj^=d__sD=)yhFT1%NzPJFwLZ!}=vIXU8l z<Nia3WJL7li9C$I!_bti$$ohCa=BLvN{qQJCGLX`l8^%(9+D0^aBK}r+)|k%;-IN8 z5pGKlz0^d$sN<l6#=OL!_lfDJB=S{(4jljI20B69oZC`^4-}rppqZMZNqa1d1!mgA zZ!_C<{))5g5zt}bzYKNGI3}@jTV~8Vdq`$Umd=v}d)q*3JV2odT2FeXS?9@u|0dkU z0XJ&G_jp-K9E+UMd?^le>m3K^wDYc385httq^-uFB+4ZAY(e4GB^-L|SV8BtpDYdL zFTL;z<We`#8e0)=%M-WqDyBY5xNQH!xAwRGvbw9&z_tC4*Tu5OKhC|rd&`rwC6_gB zo-90L*=NjmuJ5tM;g?$`r>OYmMFpuXRW;PqwYu54Wy-lHd8(2xw^*H=<X603#W%?N zl*-KAK3?7`bAQ&Yf4%ej&&P9KSH+w^|J~~S_vfE~zrQ|v=ih4+r~f+=y!qdMe^6!r zebZDOp_v~iY1)?yu_iPxWfA39yYV8T=~QZy>p9gGRgwpjUURIv@3!GcEsN=Vm58ik zZ+Jhm{x99ST`5A%vhkXmzQ43X#gg4AuRPu<H2pd*u%>{^@$gj^?O3M^J6t!M(q(b| z&9d6oFW`@Blj*iQjgQrz3#{2XW5Jh3me(R2r{?)=xObD~slL*Ugtw4#Z)e5jD-$p7 zWtl3k8u5g+Y1Kx{8P}3FbFR`?ig?7(G|OFBr&P%CZ79p>7>|JOQcX{-SiZhjjCd&6 z)OKEQ&6b;e5`K>(SysO>gf2lZd3t5yt%)p0y;-v7xCRvQ9*hbXo)YK3;oM#D{Q~(u z0d<pAmTf&)#v&>%l(VI2!KZ2tG0TYyUZr!2?QU35H94UZbl4B*BFD4wzOtUSE&;zI zn^Mj0ab8}vTlZkmZlNpjejA+TEqKVuqFvhtUIAM(d%=sZEUW)cTJWQpCHqhFf|L@y zw#}f;oB9e7>HDD*_?z2(WnaqrgXjORaA?(aCoF!=q4mEhVIn)ns(*76HugSCjJmpA zEh5Rz@hs25pmw1vc6|vmFLS<{=f2^NIp-@-gXlc0W%7G@-xN2N-rRJb?5Wp<!OQdP zSdQ{5Mm%O_QT11jcpcMpiMOfrn&6bZa})Od<~a4gH=$Ybv*Dbs^~yIiJOe88n_P>a zC35(E`%;xMEyu6=0y>47j%VKs>y&CczO_4O<S%fgc4ETL>+cSI{9ViucB6a2!$ubE zz5NS5o@7}a!r2w)v*Ffvj;{MY8;<2eU3T*Lvc4^G3L1Nlg3fEa$&z}R<+ZFsz`wmL z(sNt_K7MAAj`axmxtpc?jz_>(bCzyi&Q<^06FScZOZc5N7uMOT?)Y{p%V`-?Xnbxr zDOLGy*#z3Q`-9K%@K+Y?zq1y6Jj}BC&+G*+mhDc8TCK{__1<s8v1m>&ImZpxI$4&U zSBZGe)U+vFa7{V0<4?JRZ2Z0o5k(viCw2dyWo2}$!rW)|r`a50H+vU=_A*+}S@5f! zbJ_}lD}T5S289b<**7m?=5CHxb{-q<*mJ&e7f-U#-ZOE*L#C#w-_$c|Bo1oH!xpks z9lJ8|)^yIUc*hNHvll#>%aU#D5b#T~snqP2>}9Rpya%g3bDnzdz2V?O7SV1N>A8LZ zKfPJHWBdZXy0diObqx61&*E)k3)%3Sw=ap`Cwrv@WVf>NifWF7Me-^u>ZJ~H#j9l8 zP>6Wh&eEH&9`Tf)#dW??gl~0{#nE)3HJ}F3QkLwe9A5I?8_unSMriu^nO2u}Pg(GX zpTlg&)CG^;bDC8(EhtJ6T(<Q@7)xlmN<<DP_(;@39>=r(0y<k~E%<hn<@6Q~@X=rI zIbPYjZ8%g9jnKoomt^*DVRn3Ma!@N@T_Z{%;_YLWqvzEk9=~Q$y)Lw-RN3)mHRm-+ zA@Fjlv*O7XPq(YzNbqm!%2&SeLZj)Fyz-3Z6RSK0b7C1=qRRu;f;L*d0aYFUCAo_y z>}Us_O?m2&%#wM@itKNFzaP4G)-g?t+w#S`bBAP}Yyz#aWC3l7)Zn(104=<nvhSD^ z-!afOhN<&6O=x~`7qlZ%U+0N}U0Nca)cVLx51&D|B{~-E23O2$??#+(tO9K<v7FN? zlVX49kjxh?&^pUdP^AId6Zx;D)l%Z_Q767r^=XNGO6NCCXnwW&`!oK9%{R8`JXtU= zDUnYIbRyDckY%q}#ELH1ftH`lu?MdmZAyQ+u=?l~ciAU(XPo$cfm#Z!jGzsipv|Un zTR|soJ_en*c}h>`iNY><?&5%Iac;{F(9PH;c1NA~CYgekBUpl#BOE^@Q}PaU<fHvY z1NObkL3d+J{r%wCnS%;;u+5f^h0j;^TS@39E3!WYSv3)~qTws3QS!zHw0{J&rF6%% zRvDAspqnS^#ELF}_C=hyVHC4erX+on0sBtSCW!r0Ksz|kIPtX|2Q_SrxGg2-gKA&B z*&+uIgBOkn#DdnSZoLgU#>o!U1_SMHId|fL)>+4FZLy*WexOS-R6$ETK#ORs+gfFw z_$Mavv4PGO`zR##Ea3`hze9AyjAoDdtui6<pk0yrn<g~>n)drso~+6<pDxh)iid|w zFT~h<4P;*lYWM6ua7ZS_FHMpC?q<+xV9+h>`=_;9YTP;E#McJ8k7TJkX!A&=*s}#f zxB0_0*)QJIIpdfs2nx*uhh$9p(iGWGPL7xXIk#&c=uE5_#D3V@Gk>jUzGn-Hc@FMk zgNnXZ84>f_^5L0$ZlHz1mHcAQ6gEw2l?mwwEn8I;D+;jhhHWqgEuFbiC02A{-|SY) z6E<g@_;w|OE+{`)bZ3stm+i@kd|ce11uD9rHL4QpX8d~57XM-Puh;d0`<0DBb$zu6 zq-S55H8C}5nbFxJM#^W8o$C4IW~LtZtl(VdbWJP$HK`LLlV^6Nb!NIv>r8cf#(KIZ zO6``>sho=AXH=rQx9S)L?{Q08>AC;DW&XUs8|QwudjJ0Y2b=rv=S!cPZLJO7#JT(b zU+^Z*fA_sWt3FSzDLDe##5tKW%(8pIi=!;7O*y;Pt3^DHYnmj@ajk0Ff-i2r_xyR? zEDzqqc}_7RU(fNU%|W))oLcidH(YdOnYvyr;>k14EopvXD;S#MSG~F$?4I<RbCtdC z2A2}KWue8{O-s{+uiTrRF!Lx&>2yJzax2HbQU|%hg-rHLOjv5oDYafX;;CoTBIEBz z3&Li*2GpcCnHoX6icc1;R9-uo!)w0VhI6efsp}OY-m^7*+Af^4VcLR69SO>(lcJ_> zSBXebZ(3C?q_b_pf?u30-fL7=R5Bh6;%&OMnqwOLz{T$iS1Rv3&hhH}4gSkfiN#HA zo=sEB)HC)pBurh(;u<cn=38acqv^tHJ~D%Mab8u|_^WZyN?%~g-JE*A+qWAR{Q1pk zHp3oL9P7zhm!_0xIX=}os5D(L<gXoM7pLw)p?-lYfA|ju&6aD(yn1h5!b}s#yUZNZ ztlJj+(`3=E>09t{DT{V(|ANBygsJyo>*HkoWLN&3z2Ju$ds0;Po|y}ttYgW3>mE?W zdC*F=Y14CoHRYU+Ki>=G6mUBpjTg@OV&M3+UQlP##~PmHSxpHWV>wp6_ug=1A~ejN zxi9Nma!zeUt>HnV>q1vzeK*APFZjpSB>K<uLc!u@gSAudxm>8=JE-+rK*V-V0%#lK zdVw_`Q=2AT7g$qj4B5R2@+X%<OwqTQE0y=YVDef0N179S$U-xVw65BUKZ=m;3aa44 zyYHz*Jko2LRj(59O0(&faWQBUryzK{0>8kOc$W=Mg^=#GjZN+=kC)vnz3UYso*rj$ zeXkJlmY?P5e1(X|qU_00s*hQ+-?{`;>4Wcbvz?f*cPh)%{SF%(n-@Il=P-+M4*2<< zrQ601TJ?u=8?HUNO<~2qvL@AU3M*zRN4%;4A5CgGf5E$_ET{iYSnw~GMf*?Rf`^M) zv|afQ7D(m0Z8&wCqwAg9hFgv-NBfl{9t%SQ?MY>@d(?EnDS3ixinSeYvU6UmZdvfB zp403`iQsYleNz(_n>apY<`mNu2>EMqFzLBa$bYGWLf3__{INV3#4m6~PDtnTA86<L zt}oxwEz_#G9skC1hTWLI;Gqy?FQZIT=qnc2^~w=%(^-zbSC4qC&!ReCIU@V<Q3=17 zZ#k~rY+aBuEn%-R=c)QB3C)|K_3P2~ezKCW-T^<Cvvl8a5BPeXrMs7N)&6G&KG~hQ zoLT$26INETY~@#vc$U(%OSI|fKBs{HQs6_oKgcyr{pSK68;hG;U%4XzeDG+=Y)`?4 zt3fPBp9`%ilyE%xlqGwvW56$^rqXpv8r8xFtI7qZ$P46r5OF*jE_-gZ3}_SQT$b)P zz5!nYnvPBr4Eb+zP-rVBi#MO*jg_<R&$F7eYvO`$vMi_9D6ja<a<FK<4b$aS^6C)} zWm$N?D@D8%Xga1YwC0m$(;{)9HQ#ibWR8Fq<w?bYw#S`@b}lomg`|zy=jy7t7EI+* z*yF{1Q+B!Cs|DNHK}S=bIV8i=m#)Zu)QG#-z-oT0%#{5nK}S=97U!=AEwgk6Ewcm_ z&W4XQKpP0|A2=klWD=;)CJovcc>vbC^xrh0`ClbyHK;UbgPj=YEN7l*@a7R!Zc7RK z6QD(*=bZQ!fffR8y{`W-)w<(7sM@%9+=*|}XVC3Wjjb|E{8JP8te$V0(ER2)=%@$K z#ajQlK|3-SxGgpQ^|o3{*q(RdJGF6fVevDCO@HBg`CnCo_F9xNfVL8x1#KlrRAfJU zev<+FN^j6%idLZO$`cjY@BRi=ZQ$$5EkPSyb|n`~Ei$;%*J`P;x4l)SXS2>3$EO-% zMFP4T4cNDy2W@ju1&t|K!~LX^530zn)#^M^_zSxITXOS+<~P~gJG_c7+@97d^W^^7 zLoz(iK+9|eK`Sj&#EJs!K!<L}oN?k?<tz3~VcV=$nJe#86ZwRsBThJejud;Qu=V1` z9hR0E`G*h5q=2ht@Men_=RikQ#LR1z`2y<gwi-vCIQT(H?Ad}6Q&4vubd}n>G)4A{ zUqNlKOtB(?emT&|lD%sqPdFBtaTi~>H5+z<0{A*DEAHY8t3g{brh>L)90P4r0UsdR zRqj`N<zR*^x21+XDDKnrj)tCcEENM?|30%-=87?>yDkXot{*uhv&0Y7Py{VaJtGPl ztYPLZp0ImvtIU_{n+(`n1-UIHZhhQgSsZX{Qmaf)Ip{o6#POjWdUJlQXuib>y7y~R zt7S&a0VlpmykgH5Z0%~5S#o`Y0sGwch!c+A3_(k5AG5?Pm3d;Gp2)}M2|DayZmXq) zZh|8FQ_$c?3M+SI#n1X5AJ>aBu9VF01g-OgpI#{);Vpf%Y1@uQ-G|9SS{a##6T~y8 zUU|6K$suc_aO8zk0vj(ayLwSCDtpmtjwB_G6b0=TgM>vbE9TmZ*$13qa$|B+NMoKI z@@R#rpijyX)|~3+mQQ+T`<hjLtGr)*?qu4(TL<OuZ?cj7bL;cgx9xRH&Q80Oa3U(y zi+%s(-aTPUy!rlp67aa3u4^@CRW)Pi+e1tSObHRS?VuCQlFuJx=iB>eZX(NJwftC} z^HsUaKy#}q$pN5yOn=our!!2&bS-Vz&oBG=8FUhr8E6XcR(u%bYH-j6)@H@uqrb|n zJ<f%6LGr)l&^f$i_kNn~J$_>;tH8=#pfv%Bd-GR9PFi%&zZ9PH@Ob|9>XP}Ii0Ssq z_oh&L&(7Nt^4_p8mC<F@KR(c5a}Ua3b4Gl%M~}(dg}uLcA9+&k;G*&qMUvp7C?|$W zd0xIQ>ab+h+dyT8wyW=hA?_`26Xcm)Q#144;%~@nC%&ehhTfiTdhV*ntp84oE~~Ej zf@W5~`9o*Eiw|?OowfO`x7YpkSEO0B+v@$VAa^Uz-sPd3`Q|mqqu@)rtM)_B<LkFu zwEo7S`Tf_cOZu%G%L-xV%~h|4O^#K!x?MU_&aDvi73@ylI_T7DzTL|8H-4Pf{v!7` zRs9uWqI1q_=p^98Y?DdL>H`=iuKE@XI&rhs4>Cn{PtwgG=Tq<GFLGOh{jR}h_}AxO zfgFDsk-XgP(w}}%e9D2sXX}6H?XsW?adXlNecxZS+T37nZ{OD70hwuk+YdW&^Od%g zXR@(X#MRm$(21Mx??WflE{1;*jgF|Bb#L+Rb)X4k=vBviv*Etn>~`r$vQ@;@`Jkl? zW*?@0S}qGdb944i7lWKXS1x~*``Zhe>vS<{0L|j@m%$EpT(Wqg$=@Enu&e);fhJe$ zzDPpvIkpDfbG)u@{k_H8mV)er9c2o;g4gujb&py1*Eff*h1_%8AHVcFLxM%a#o9cH zgBxakUHw&VZ5Yyg<=cGNOz^98DbHm6mkFWf>nDO%K<vE?O$Up$y;%;6+0{(Dw|Mtz zBs=5Wq4SlS_h0jvRT0a+`j`9luW}4txdG5c4Cd$c1bL+M_xZoSct09(9N*6eu#DDg zvvi_K?Ug9WHLK<ZfaeWgNkeS~=h5}H?(@Fh(q;XS!=NMyp6I;(9U3cZKJIb5^k>ue z(+Q#3sgScT<CnRC4-f=L>9gY3Pa^a0Mk390{x*kBLC^lR+wIZ~&DW_LR#lgQ^}hB6 z9XDqvao2r4D37j|pOU`!w*%5tXSF}n-kh=p6HN-ART@QHy$^9R+k8-hN;cSKzWt@P z*}u8GpVoZ;4qER4U4~QhVGp!uaO?YV;h|-PneTe-29MC+9a4-2YxYGWC*XCTzshZO z=SGw(arO(KDgW3d?k{qmrMVS?wyp!^v#raaAqCE-e?BPfb$|U5IiLQ&2ub=kuH10j zd;G>UrjC%ixu6_=54Ixf;_WY@(Jx}xe1EYo02DpYi`VZ7PKT8$H_NkCe7A5Z1br<B zm4a+w5;R%={e`yKzuVql<^D2*5-@aKmAuYgAIK@bH%>(LE_oTtDzGx^egLREf-Q;x zmq&jNKdtJ&1zwQ_P5RfvbD+m&UdzZ(Is0C>!Q<*KU(iy9I_M;{oq12fjWd7J_PW15 zp`9WQznR`H7Mcu$r)R75-VI`yxC*rB$Y9O)9iSq=`C{!C&f245|2De5zi7K`<#z_y zs*CMmP>q|zvsHZWL^4cV^?w2AFy0SqAR71noU<?K@&CU&?k(Q`$P00bZ*v<gKG$9M zm{qp`bl&iDP{96zt)4h%(3Ch?IsCP4R=UWCXU~rd2%Lnh$lB)#wX;{+WYV+mFB3vv zbDA;*WW9x5pAL>pncbo9FG_(H!YE7vpK-kBFDJB$@U0D2&U_OnyXKYMa!|?ey$#~l zT9aC@Yuj6eqnY+p*MagkbRA6fby)uXRxRZz?Eg9;^uH^}#^$5f<?gj!WKOVPTU=f2 z*_m+TN44GB?=SMT8iHV9Yq!G@>RjdTSt`E!7c_@%tp_axTP<G>yFMN4)#o{vtFPE0 zU%dX;4eDT1xl10i>=!hLuKf~u(~M#1HM>wqf``N>>+P>{Yu|#B5Huld-@hK3;8(_H zsPyh#)f{?P)f#+m=JqJ4&Rxqu_pUFt{wjA@A9NBlY^mJtaHw-PgAdLun$8pUwO<>2 z9B=biXrcX#{fnsd{a=-p*M6IW&eVlx(h0Cg{kLSINv%&b+v=>X;AIeDuw@Y7I;QBj z_|wSscjJ)CmA})W<x2J+SLMvQqj{2Rves&Xt$n);5<UF?*5CVI|Ce7ygijANAW{pN z{HWZ!cxiCD)zXQxWtS{X@rqIj&QqJK<2kv+O~$%!wq;-P@xmF86Et+qXZmGo&YgRw zcarAZF!jhu({8I~PSTlc;`vPPWDVr{u8-E&zwVef|NYl$&}kR-S3mdNQTg;~|E;vm z|NqB>CO>|ynyMod`95{?ji-|}7_P2Yk9c&AbBkHUR}Y4V6VvKnd1T2tzMal7ZKmn_ zsrPmNxRpmYJuOpQVc(w6dYD5>Pbp%h*M$nzgId=GMPj`-+^S_cDz6^#*tKbrv~26c zHCuTdUz!}W`VE;>7oRfMx^&6*2@C$*WRZ?>3-~D2)N92u^}S+5ibufL%BG`fVuov9 zMGNX|G<G~&%Q?+z%7U`agqfl&rTVH7@3g=p-aE3P{py;MR~|1Tn~oVjOO9Ht!qN54 zWy3A#aKA;<q|=<&cC{?{lGRk2r>JpTIpV!w)2H(SIU62cl<+&M%VBnF;(||cEZs7& z!;QYqSgE{`%kgVB$FvC7fY0ubBO4Q&Qs=3ykdAH0)ZH%W_*a%A?1zTq;bx97t6AU+ zPWCwkeDP|!dW+@gdxeO{{;+;^aIkxnv`lm6)O%AB=Dy`T_0Dm_y+)R&-7L~Nsw;k^ zHubJkSn<cX$u&=X#V_}!qw`c&{F{|*I7jsni|KvW4OiZ=Y~@#oNV^B?TB`=T7sV^B zxUUfLke!7$Upe9>KTGd?g$UQ<iBYcRY7uYevK%c}j!<?F_{7n))lW^M*6yIybm1v` z8x!_MbDsJ?FQK^<>a?UM6+YREBUz^2cieDd9ZRUa<Axg#S+4pkMLddWnia1evC1`| zTKAyQci}6wa}sv`7rJtP#gR<zeD#bOY7s9Vv-Ca}T2ll%^-{Z`;N->PWqnunxdr@* zf*kx1*R-{bWof)ZMEWz%8DTfqa$dVVbHShE9A*|%7Cho)kzT8?B1S#pNmkP;d)0_H zc}=hCRU;nl1ox_Aiazsl8?FTpnsp}3)N#D)%rVV+-hzKcO``j}E)<v^^s-mXxT75L zRG-E5ILqq2|6F*M|Cq*-y=VG@C-+#gWqksEMKqOa!3O*F=UH8fb_JjNaK|&?<9QZo zTi<}6rQHT=UCq>2%u<TT@o#!HUoj$y(ednMj%m9lEciB+<@6n&fbV=wPuW<$&R2?f zxK(|x5#(?be)G8^j1ezwS$e-KL_EFB;`&^8O_`SCN2!BS_ti8ig~59}>pBwVs&k%N zw|_?X&2Hf-_2viJb_<965jp7gnL}&7(}oj}BS@O&uKKlhrSe8;&Q;}{(<&w`cxKNz zZPR4KwYQ{&bvDa7-o48)?YD~KUuBLk4Pg_T`3Xz&g-rG}CQOYN5~*!S*jg_j62HFZ z;hK-npaExOS-NDaw&TmcoYySpEO?XD^!1#o#(&->-Y6E)ddCeH|FTT|@3-NEH%sXK zEiI3aZ&O|I&#_7MnZOkt0iCTK3%*&hoL(~rvO#)h_1h~G@0{m+b>Dl#q5B+C`y4g| zy%F(Q{qY*h>Y9cHFX~uU*Unq;!>P&ioRUVRBzPY2oxqx6bH|&<Ij&XrE%+lnJ;_4) z%sj{*Y4Q8^r72TXSNySTa`j>fJ+Bh+CaCGvedUNGUdOZd1<yFX3KT2406KbbrsVP@ z$D#{&C$(Ch*xTPK^W{6}HmmumitL9$w}XSXTh<tI7YAhebv>xnX!uZT(QyxSw!+r? zp!EgHps@gZohJ%A9~#Ag&bv70#CORWG!}0gdBV{QblwH%NQFNyK|O3I&}ABW+{FgF zX12;qsXu&3#zihok^O2t=mgCdnL8{kSM2X?we)yn0H0m>tQ;&~I>D-=Rc6cc%?9i< zQ+1v!h)xDwR-UNH{&#l7jOIh@L8AbQp#7HmVnqgV|4U+)TCM=yV!a4-?#5Og&}jS# z&}e*;BKt{DU->nYSkZ)iO`y{$;iqjV{9X+{of5R=Qd#U-LV{?_QW+7@u^T<0{j5(x z`&lP4gO0}X0F79*w8~uBf8vmg3TQ#c&swo(3Z>HAmKpY-34?i{%SpbTJ8*Vp^PT93 z6ON!E1Q9zEn3oO~{9oB`rBU11D$@fx%yH`Hh!c)qio~8RD6{3Z>;PG50y=hMXJ4yj z#@-`Ne3v#)`@BiEWLv5t`_0&h8O`@(K*wG5v|37lc6lDv;x0CbI_boB>iR|l_K90T z!!qFGwpM)y9k=zSP^>7ReqyVoM^1^_x*)z;w>KEDuQcJd%y<tv?&8^|3C-`E#GWaX zn}L>>96KZ<vMy1P{V*SQalkIn@Q*y`{_pdk<EGAtf<`fJgKnrV2Tg7LhF|3|!KUQZ zV!kL<(A^{l56P71fn2&8<WecIq6z!ETP-DQPC4;)fd-!zOQwS^;hK|>$fslt8d9^< zIpg?705rJ)y82xQbdee8tc@#KpvjHaR?8D#)W92Ue-y};$b$x&?toTP?0*Qp&gDi^ zs|*ilOvMYdjk|DOtK|xr!%lpQL_whmnub}Ql*kwNlX?G2`TxwarU%WCYWlg?rFAtY zXOx^;B4IUi$~DcKom+(5E_rS8TYh<h&d!-jrXA^fyrEd;+#T8CJ99iU=icdA;%a5B zx>lvw-gBDYt(PTPo<*DY-G5#6?(gsC<+1&*fBuZy54x%I^YtBH&*UoU|GScw_y5v* z5Aa2uVVgWsj&(14Vmn!bA=}n3po;mR)y2(m|MCi4Zq8(R{njm@PQHnkZ?;4B<dgRW zoqk+=bTQc7ZMk4boX>_6S6M=Dvvl9}4ft!=q<T&G%AF-$nYvqb9p6T>oIaztqFUo% zk!#bh<w9!;<Qxx+vS{CRxlqA-P^%v{;2&#Vx@6l$CZE+6a}s)EIlbQbZn&n%vh=y| znqn@;o31RcO*u~8ci3<+tEr1$Fy{-8W9r=AhdP@~9k0&i6uaHMpsFvSvl3d3Jv$lf z9>mR=rLP{5ChB;1H^;Q<h6Vqknnabj4cE3s3$58A?D+U5%W4^qfD*lfS?WR}@eUh~ zEoD)SX34(m8StyFsT6ciV+yN)p5^a9S0>&QdtUJ8Pc)~Qhj7Rrje~CPLLvXS4l1P! zhU}e@u<<qLs{d0GI)8Iy@o`S8>Ra&bS+~KQ)G~z?yVVbZZl$VcImi_cE%W%#&#($w zC2X><FJbC@0g=7a6Smq5iqua_=nbFWojLW+yo9yoLQ~EOttqx}y!n{pn)TcTe_C0j zW4r@C9%qsM%AxgtV#36a(3<~h_}unP-EFeqdFhSvj%WRabV_v`-*O!^^5%TCP9@@< zJ7nbtKMSw9z?v_oq}nc5s2$YOY+CeNXw5gyrbovG)_nX5ttg64UYU69D$CM*#fay5 zO`DjTzFrfaQhzkbC%ZY9Q)}PcgvFLDQ$dG@2eO3jciRxryWrPU7VkSg0iVTLyluS# zs$~xvN$Xd_#?;M0=cC-Y&GG8J?}kIiIi$|1N4#9k(km{wrij(?qBLjNYax;P8411n z1*dHJTsd{^T23#}x!rLrsr+gY`ErgwjSjMLgU9<5mTSd<jzsNR@aa6KSmlHTui`ny zW^WX^yy~A-lj<ZE)BD~Vt~j!6eJ-R^EaZ5XmF0A-TflzT4TsKiNZs?_a4DWc%HDs& zsciiR-h2u%MJ2~UgZ=lM1O6~HnR2nH`YS}d)@iylU0_YIt>aB=j%&O77yOyeX;#s< zAnA?MowdDX3M>BPHMxFMU-3(_>1dz&ihsFHs(P~=eoSKKC|mWce0kpzE0(SARU@8h zHtjkur1O1N>Wr|zZ#ly%#2pXo9Q2y6mQf>fQ0u;u#;%@(t?vay_Rme|y)Q7OW>Ugh zL&ukAUrC&^x(#UoPoHx)`ISe$ebcA;N;e)vG<Drq0iW)o20p+0uj#=ge}Rzy>Ia2p z$F*c$tx-N0v|ISfz3B-vZ5;0=b4>fq=J;2YMLPz1WUJggqtYc`w407GH--Ll3^1uO zT(<R=G)LEaw++YGIlScDHe3s2S?aGGvD_`-n^x1;JT;B~-c7u6sw;k!H}#tBV>!HX z5AQ*x&ER9?TfEn$E$chNr@G=FYm=&<>WWIegF)IHS@%6QoRMnU#V@R5uCyY5dQz13 zo_Px%>al=t={(4?x@O*j7n&@q=Q;=Maoli>9~`3vCr@4tb_d-8T-TN`S8{q{l;w%M zqlaX^Oae_b+kuuC2yk0!)b)Wb>pbGbcPf3e0sF+KI!_k-Vg}uUD9&xU;(O$b<|9#J zMHl|aa~DszRb=`8nq#%Q*fRy=>L8YWKgO2+bB^B|R`y$+sGSD7t1}^yk1G^(S7%GB zrAF=iR+*mnpaUBArz^5w1Rbe$t3L9CV@>zz!l};|d=wKan&7k9fPF3KbckP|qp*u@ zxGhi2J9$Xvi(Zl<`_K2Fqp{9`<}tu$MjgHHTYKf;3r(@2fPehl#R50?G=fgNm>oHz z`NllZR7@i1#0wT~%ZzCeGn%h}?&{pz(`tDl=C~8zuI-x**mr^&D*r$$5+2?MosV=A zT#<Q!)=q*BTYBm|S*c<NxXN7A?hiVl(i^lS5i~cjqoGwsWj$z}ALy{_lKxi97d8i- z_%{7dO604Wz3xEhDaU`lpv8#gpoWbCcd@}K&|*Z;{a+rftui6!L5mDPhgkg$1G(`$ z+)rnZgXU(oHnz%aSseaI>#Sp%8fb;du|qOXzJb~`he7R{i=Z<^q_~R%qRzlpn3!=} zcH9G<H71v=$o>&D&5=BLbwP2_gget(Ei=w0DYCz`)H&n$`~qky0~~rcA6;>m{o<FZ z$o}&)=%Q9Gv7&%IovoG<YZDdOpSnlPXg*;jRupjeMHcAn&!dqi9Bact$3&ZRTV}`| zIwZ3Mbhza=O`S82X@cCAFU&xPX!7bjS+E~;c$W>LQS)IYs8RD$DrTun&-SE5J}uBS zsxRVn&N%8Gcj9{lvisOcP&08tt7Qi0Xt0-|AcyLKj;L&CwS2J_<iZnPcjj11<eYTk z>$2Wxz`ppV&XWZ-3ZQe=t-&i>4z<5tPzCbP5m1}*7pN__=%^FlEYP}>mA@lT9Q6Kw z-~8`qCif6-KhOk7{fjxQvd8z=+O5vaeX@$TK1O)!r-i*&W|gd-ZLzvFdRsv%_xdXZ zAI}s$^eW%st?Pd7mdDyo>FLeAUsm#N_xiYI_L2!xo0_MHSY-qqN}e)dqFiOlx4$;) z&1+u#v#j`7x&OZYJo~=q{j*~%zb~2jzt*p^;9K_IvuAvyzTGxIcV^GS(yWHV`CJbg zc0V%{zsno=d5!sk&3}K~jBB_K+9%y*nm=!^h1qgVR)g0JVSDykf;Iz#H`Y2{Zk+M8 z{OsvvM>QB^!`97*?)-m$cLsdREO<Nh{pih^KX)^fL~MQ7lEam7jd9J70(bbn^|f<B z+jAFgPrdw#pYg?ps?WEf2T)AD3qSIp^gC#?xw}}}<zMGPo6VQGLU+`D+Y46%-cBuV zZ#Y}*KZis6RBQiJ%OLx5-@y+V$hlK;qU6gN&6`{P_%m3oxpf(;gdcGv#G0=ep#9X- zkKWu;b$aFI2ENtrc^AZMg3qW>_eX4Dww01Kdb_72%51H_jqPkPeirCX=(mL+kAb)T zgHH!ozgK&<*!#+IGhKOJ=#J}Zeef9!C#G;)fgNPB{=~AU?4aHF7h&6%e-wdC0q^`@ z8@_3VuYc4e-_@U)FC>1ofbGTqaS7=@`f|UL7c<Mw)x?{v)#q|(7p><#0NsqweeTpU z1|>Go7VsR<X&3w7%*lz0k!s-6j^~8Ar~CeVUq%<616@ibkp1sZH|0c4V|=k8>)cN0 zR_Vit>*%*m1MSA2|0lt0?K)nE_N&~tpmE`84Nn>GKE0Tbx%@Y1hqpF^Y}lM%4<Yeh zQ5_2RSo1RHWq*6MH)R$^Ggz&OsfvT_ZQrw94{l81WyQ-EOP{A-{?ra~&CgR1Q+|B- zgxE(N4mv!+yk2E?m_4UM`_<KUvml%L=Odk_QXULC3gq{yoG5#V20m@OzaJq6Ra9rA zI%l{3nPo@UGhax2D!Lk)3irN+r!eqlbG{m-+0*v(JG6(+)`KOV@~7}aHFg<WrC7<X zJG<=ae5MPDU$6N?57kir4c{UE`dvTY%rd#%7PGI_b33$)ZeMpAl2W(xBX*F3w&K^n zGQPRxM>&JlnmwN<L0$ab1McF-%bb@jPJX>P)AD;UD4~91zaY&8IlARId}DjIt)Q&Y z+<$*B<wWgWJlps6Va60l4t#<*O{H{xFW=0vxW7(jYyEfY%ogK^ZR>yg9BdBaY=C#& zH@8@TV$@C$x@Z4;9O_9RTMC@bbgda*Y<P7Oo|vQHPF_1xU)6B-yg0Q7S6dlhZ20vP zrp74$agL@Svw$Edp{}vExBB8^onCJ_``UDt1&6!pVP~$qoxFb%JgGvq<1fpJiji#K z(@x(vD^2#m8V2oq*2bs_=vDTn%t~Jdt2MLsTS7MV+r><Sl~56{@4T4s^2_G<^UJRC zf}Hdu8yfMGr@|w?RKJ67W|>*e$z@;5nJ*-|UW7&1b$EdQK0D!lO|6-38T*36r;4{j z500q53s0)xqd?5-lxI%^9rLk@JMRP}+WF1lrd->lWtC!ew=mdjZJk^L-|Fji#!wgU zMC6Kh(_T!-Oh142=9Yh<3|4D?{MiOg8`aV9fCgu->iu(lyVXIdIdnVph?DB=h$IF& zW@p#`YdKN>3>jp@a)0_kGGI+bIoz1FdqHKhvh}9SQ1%6fU*%fE!iE_UHvDewKGyF0 zr~AIHXI*f(%Q_DhIP!>;0V;Xy_bJa7`}a4}p?zvK^9x?cVJi2M;Rb<oSKN)MzNdxX zomqDEAS`M82mv_<T%tz#gAOLqzdzM?b@{t<%f33p6LSPy&93vV?LOAUY|vwWe)uxX zgdD(BX9!Og;8T&B_TJo*0g9{T%qK*^C%fERjp`V&_otUVJq{|Ei(wXh*ztGsQWqWv z7jOl5?IS37CdsE>e)Wi<B;s!psKQypplugf;cvz&U<fY2@4AAH0{Nsh``UC+_+-M| zWB2$t{1^*x-4!l-V%gEFprbB+G((Hsy_>-q5OhL?H0YS7wY&9aPrJ^(;P5KfcIf#g zhehFz-g>T^Z>FFA|4g&Bd7!c|{f`bb^+duCIEg6tEjh7e^UHrZQU4V{Me}C|NVM<S zYlj*>*Vs2_Zq#Rx4V!0v29i*}n}I8?4U0Hr!6%d4`q!NkCCBB^9-3V@6H@BR=OIoU z`MUMRgqJ1PC+0-;f{Mal?XbinUHs&0{kOmWpYPY+SpFD1*6{^$S4YgsA3ZZ$Pfyxv zV&q+uF)=l1*2K)DnMr9$GXt|b`6bh|bdAsGY+AY^GUe8c%bn-X{A{>v8J0b9+YuvW z`IBcfj5F6>6?*@Bj=A{%Kj$jve*bRweAmAF_VsVm#oh?q{LsBT4Z5G>FK9o<&5Y*A zw7)7`4tH~B>(AvnP-HE9#b0<$0f*z^#~fi+Qx|-!WLX{K7Eoe%FzdU32<Xb80;aXT zadLVM31X_(ePuIqHw#?Wvh7ZodlTGceIL>ENnJRnK+o~0)j_vz4z2sX8%{K{gw9ur z$kBEDx^~^cf@w261B&yTmTqF%+OHV#YzcIi!|u<^`kv^it=K;yq1BpG>KjK`Rnvlx z-7KqfHga6n+9iB2t6E6Jz9XS`F{jr#)ri$j0abPft++W(>8VEKn>zkH&S6%O2$}VW zx$`E<Cws9hr`B=~G0O=HUInsrpH*B@XLe9XT=2@CSqUpuS+<4?>KH4m_-%8rXm(ut z!z=S0HypanA@$CG!zFi4DRIuQpQoVX3m0!)nRv^eqwBughGXYByzIO-T)WTVmA^;g za+JDXK$&^d*Kf)i{{@?P{Zzoy7J4cx{v<cK<|(eYr5f=@5Ilf?XkC5g4)6u*dHbq@ zSQ5aOmw0bD^N^*~Um;?hPr!esCeb>V3m@E?roL0p_+xNTt6ouKS73K|WVNW{N0oz8 z@0BzvB@RZ-SJ9}oI%xGAa>shvsyCv+?p3-?pS}y`d=PLvYA+1FzeGF#!H<ct9IN(C zPT1(oxk{X4nnmw|XXYH!cFkGvEvYGWn&6dK=M8t}bG%xw9HCgI9ACuna8mnbHCKkP zDt5=m&75IBSsX70bA<i2a{TDcd2PqE1u5+bYuP!y?0q+!i)z{wegDYnH)mBe{+BiJ zZekI&_uFvMlV$3Dw+$y$g*oDj7!LY{?oE5;@kX!d)qbUjN1jcylAD%p+aq~-)n4I) zM%98>Y&#Nm_6uH_@4ewrI45XSWToeY3eAIB`&Bf4SscvzucEQ<r>?{~sr9oVqvYz} zXINdbny{c`Ucy{Umeli#5$~0nKH00@NZ@ol8ZVGzqOjr*f0OH??MYFg@!lJ5ykog~ zAGGq7#nj$=!<9&ut=TN6V_;iDzHeKpymP+rmHH_Ot^0*d?))(G$zCeUA@$yEgIC*v z7lJISYoYg)&tC8ZbkUSkK$R-^+*et_HR-uL%l~9^nB6FaCbpXMS0-M3$THR5cf$!u zmeBpK8zPz){PJb-UZb$$XLQrjdCDvHUKH}lp81yZl^W-?YIDcGhdIK2h&Ud;%o+Ae z&GE4|N7znK$_3rg@#51;<*jy(AJaLn{m^$j`8MzQkF~KJUhkbZoLk6}+OHn*-lXYM zXcMoV`icr$@ckYC+Y%<GbFBK;p0F`@dXmM}`yLxypx3M4`Sak)#4Cj?Tm2Oyp4l|* znl7YMF6;Q0?;zKHwTvGo2fhBQW$gJXJL8&GzJi9;?1ZiS0wVU)5_*LkPZo26X9ks; zO5edYt^7W4W#YZbEKmQtZa6rtJSj?4m__=nYrxOHEZs8B0bjXUy3Z=F_*dJcDkgMg zPjkXbImfrhIj2=lT=4E$d7{PXz4I3QlVj1|lMe|t84*RE&%yT#0)E-Rb`b14?!>o< z8?=4%EohY;Xw&AOiLEj!pqn$IF77VWFPd;~VyoqgH|L!AHnDFoVBf0@+U8IUny=6S zb?d?N6<w_|Q*P^=aZI@i>ccZ}++W%JN*;6$=r<c~%N6;T4uZCC@`x2(sL=+En9OgL z+0vho$Y<m#R&)V$x73N+_EwoMklUX&O=x}y+A{d1TCB)GZleMF)bKmavnMxa%xSe; zvA4BV=E(QtL_R6`d9XV=6e>Y|-Yw@66xm;b)*1RWx5}jCrzP@LX-AxJ{8tWgs-;*_ zz!lI8j6^PIH7H0{>G!4y%~^e|mK}3JTQ}oDyGFz}OlW=vx*zNc=vJ-0b3mI1Y~X$C z-)}&>MwGrk(t5gJKj<2d3Sn+biFbz&$xN|3;>36C_+|t4srsN@E<ZslEZKFQDBMd* z<dgcoX+rZ8XVAT0lAt+&^M_<gw(adNf32|Fn7jCb_vQ)Be-uHE+yNSvfUK}w?gtvw z0qqdk*8@6r6EqD}4O(3b+KD3%I&%|rt=7)7;A^!&0~>EaTPvO=fWmWHtL2Hgpsg*> zK`Sh+xGg30l0f&9Z=TTn5p=oN)0H55z{4LGw}RH&-hNdVvsC5?Xtzo4-pCV<Mxcej zeTj<fFF~6#&e@0+P1p;%*#mUv*iY-o8O@IUtui9>6B7B{K)(GXrd<G9TPw_Mx#At@ zE_Kk=8DAHJ2FdP#Yy$ge*3^~#Rvu^571`gif<{X;xh-F;1Ks-Gzi~qIKW?2fj)|M~ z)&=pkfhNb6if~&>$R9W)6VjKY$bJztX7e^jtVm#g2WSx}=&*Cp90>TfcRA1^(C47N z9HO8F#3|;novTgQ_e$!R{Yjty|1bY8-`Ja=njU^D*UFT%3ZChb+rm<o%~)EIrE~g3 zRFa(1X_w8eGd;J7ReBf&pP81DcEjjnK+>{_kxA1gRvx%~<fY7I%Zrw&a)!nyb21k{ z-?`5G`@bJ=cg0=*{X6IT-@pHUzCOF7^!16=^^aD*{r5c_w0hxty>_I^rhBWG{pp*m z!61D`aYco}K{wZ?Q|dxGLCPzt3=bw<7YNzko6tFx#dN-MMAqNi*UpOnxqh`zSZ6b{ z<K3Gqr)50?>g5h{9rs_b^<YY}V$1(G1rM)Gywu9lyIw6~sdqpL>%pw^LL%F58?H6o zrlhgc7IOV&L&Ds}9H*vnUbCLO;14T{bc}1j$F!#2X~H3Ovl1qLeHURKvjH@}uyfbZ zB^(EmJeyus3+ZgMcRZ^Mz8&y4PgCkS^%b|3BHp<*{W{GNRxxS8!>FdIX1j&USAW!L z3N2IDu$rE*mC5nrPnPUCp!NTXEY_h#7q3jbHkD;*zCuL0rsGXhme+fI0_?U)T)vf{ z=6LijhuN+E1)tJ6#Vq?4yej7uv+iH;Ydz<*7XnxIv?r`Q&Y88}Wy2Y@@{g+Q0(v`l z-(A9Spy<8wiutM$4~<!P`&A=eTC?<?SB-cY&f>aWJ>qR%)1%d#*Cd3e+}Uw_b<W<_ z1z+@7Ucd1U_!iLgwNFvwe{K`+xtY*m@}%NdCtg@Johld9+0?b*l|84}?H74ItAEYr zoOWa8f@kcU(@qIrv741Jb28_vdA=L&=tDMd&Sl|cXVL!Kyx?P-H|Vk{&|ROPJs2g+ zL6=Plh}gFz^y&*uvFS`$E9Lmoo8#KfwgqqAvb<iaqVd1HiTB!m_RFhwm>zVq76>^f zpi?B^cvW9Or;N$*tGs|t;YH|n+MmByDzA*@%v!Gyk>(O`{T*nhg0drc1mE8AFf&Kk zFB`|lMhCU-t83g+h<IDhax`Bt;&ENmr1Ju6w%!ZkS^i}j%WD;N0i8(37VmTKOiPz+ zw|D$`UNC1v!-7ZOIn1o)FZh(tDYmn7LDu|)jmtS!{hyxDdG`I|A7{4<>uhCre7lq7 zw2Wgwx!u8{(57GA9AQ7sLR?l^arnx_OSO<Y-Q=4V&DJ}-B@A@el*vIUc~y-{i-S?e z1*h2cCd~cJaVpMnLtOiUKYLlEZTtd0-e-}{y>z@lYyZ@QiLp?pt*c9U<?+U#>6I#Y z0RN|O(^5V46}7epjl4Ntty7D57tiw5JgzTu?F5C49oz@K<kd22G!AOTD{5469n7*< z)2Nd?C{;fT+AgUMc8^-k;kDmm!#TI_$x*4>RU`7#n?A`a-B9ok_;{K{dah5vPj{B? z7_We@{Vd(OYAgO392C+QxYA?S@K9$fujAXf9Mf*5Lyr-ErwCcaQZICn%U&g;g7ctP zZqu>t!fQSWI9`0t8Fo8?by;W)+d--KY8sa932U1<yu>-LSvD?s6Up*A)&+dW)*a9- zq>3%xdUh72DJDuQ{>)-GT<iLXCG@`Qh8sCeujVU6B*}sAFWEX_L0NOc%+;K)?m2C^ z!_4w^zG8%<w&5Jm+~60#reoEDYbL=?)2O;~W#TP!j;{Hh8;+gl^on!caLt!x>2%>W zpG6#Ro^YOVt!lRL6wobt)dC@ZEDpN43xxc$Iw<1;stT{p-)O+TQ4DnNCTPuo&TNr` zXCoONp0&)dGX!nm+X~u|@v`Ci0|n#mR+*B^pgSFsLEASiK-)K^xGg2-9Xlj5rS24H zaD9UT`_%oQiVbvbvssEF`^V{#Gn$V{%N;v=(y>Slv~^%=tIQHm#cb6Mzx?4uUFoaE zd{xUgO=zwGt;UZz=fu}lpP0z!<{fdu@uwl^_Dvyf%N6_n34%t~LH9DgdJo#?5DA)F zF#(+?J*`z{3uvFB5om|UyHt=P&+9x{a38dTuOB|cZctPBYB8VJ`smixQ<^_+18vCw z?Hk;s&s`kwTLiSg;qW0D6VN8oN<Qx53%jPY$}Cx*oXBU@9eKj>o1oaU1>c#tEl=$K z76=|-KkUTUr789-VGBRErAGavR?7|<TX-Yj*V>i+RvmNCI`O^QpODBW1iDi6nJnm% zi~~-5x6XqWVep1O)_S_Y8noy1?FlEoU&Wy5ii0{&5+Xo1l&No;(EKqH<i;eiA_34r z1}p9!bK-jhy3F%fF=(;{ycI^}dOc5gCSO#cSkZ)gjjfh1&ZH@_-(&{uaRF7x_VYjs z96%RpftoxQuY#`M1RZz|YVv#qZ4pW9fBfvpf_<Xg#S?DKYn3qpty>9V2VH6jy1ru> zqE%D<4AiR816^hcT9k0;ENBvFPOGJc?O7+jV-pqEU6KjemY~RfQH8rW;Pzxt`zt+> zPs$zCs9^$ag=OQm^q3E7)I@={mnw4?Ux+^D#P`V`bTT)1y#?4mBIePDPnQ|Y0&Uyf z4VqH}?Q4xnNaRcU9C^a=ZwY8t1$_BtN+Ms7Imn$spsUH+T4hS&LG6T%>IKC`7v`Tl zBqLImtjK=&Z^Vq|()|z2zdjb7@3Q<n=)B6>oV%%#ZTIhg-lOJfSfe6jz@FiB;jzg_ z=Edzx76~2Da%2(SeCE}!c6oLY;kkzxwR|GBt<rIpaNDrM>XF5XO)GP59+W-fz$7c! z_GxL6SU0n+^X7)aBB9q?zSv%Oes|>G<!`S_@4x?SlX-jgj$cc*{J0ps@zquT%$>S* zwkf>+E2C1b?fo{}Tj;>-Xs#be7X4i%$e^6OChpJ6Aoc}2f7}gP@^uAt#pbgAD<_)# zI+vZYVO1@3Id{w=$Wrk2v8&eKIK;d9X=MKWV21N-3rv#1TRqOnZ&hY~;lZF}7G9g3 z$v4k;ax$yH%3tE3L#x=PgO)lQO59ancOg9I&9>KH<^I~T8q8qUILiP&NAJgKsKsu5 zk&D02_?yA#vg#M;hV;Z<Q|KzwnTafim%WQw{e6Xf7-(g+3i$NM%Eud^I*(<){v!8z zzE*?B)jc7gRnEWWK^BVcHSbA?C_ldatK8Xiq>~EsZ<eR*<XNE1*m2{_ZIelE_Tiw@ z_gX;<$UiGX_lV?9-j~Gv{r-aQ8Q(!Vp{~7WnYQ;HGkA;0&hMEjzI9s}ltSx!LF=3g zwnOZ!O<KJ#DfzeA3)^4qNILCS++6`VUNh&3Oz)DLpljK$=7W}=o4$h`p~s^vVRm)5 z=lj6#vr`dEvLj#z4Swu4ne=Qw=&Z|~o*-XVdGs<!^c{S0Puk63P4x${z3!iHynk)W z09*Te54QIA<@qd?-g{9D6Ibm8Ef>C4=>*XjR~;0;v9<oM!~2VNKg0I2H$eAX%q@oP zx%jTyyX2%UC^El;BNKL>XXo=TqSB|0tNQ<KVv76y-;f1%5ZMk7=%Qie@GO<H_ZByY zer><X;jq$_54vq99kheSDsS?=#rHIj&WPI>1l9LA+jP>i?=KQUKYN-o1!S$QhbEuu z!yIj;{x{cr&zOg_`JwXlY3OR<+4~}uGrt(huF0}r4qD1>T-5$&CHsK_9=Gqe0#%z5 zx%2;b-dkK-2wKfOk!Jzu^vAi{uw-k#dZNkCHGE-T`9bTkrT1iZ-(zlg$l&(96Lc`$ zv2!`EZGUNlBC{ifVJTaJrTm(!eUS8X!mM}6&3$}fSH(fc@0t4T_J*E?cgCP8G5Go2 zwcl67Bd6Kq#jrG6o~?3L{@TG+&jO=UZ!=7ZdL0W*?e`3t67TxwU9L7+4?0E^wrKrp z@>}Rxspm6QdViHkuF0Ao0N&+cB@fyYkZiE)`ngNtIf<X1e_FHsI?|SjJ)3=@fm`gK zsp2d5n_F_ttNCGIy=?N(olX98)~~;DBfRXy=6m-ekn;AyJgBw3G8a5%*;XzG9i$fv z)+==ydTOLGXd6xJUhjEde?4QWFk_h5<G7MB;+%9fEPVe>0_`s8;|Vi8uLWMoz4knE zv@WmLJYQ8@4cZ_A-7d6tIZW$c`Q9ZLkAW6}&yNS&Y84N4@%6Bm+S>|F*M3^FeK%-N zi<dF@aLxBJ7oc%-=XL#76ZZ4VP8Nf<MuE#Aw(Fo|3@VMnUTd3`9hckdUZ2m%^NhjE z7_w(54t6r<iO%n@ZPWDi8azV(H-Soqji6I2`wqUaGww;aapip7+V3mukq#WJeB1$x zS^xTg_lEm7F(`!=PX*~Loee1&z@^dmeeGZ5&OS#<O!<2sCPwN(%Fn-Yy-O~h2JNc~ z2L+H-In=q?xwS{d<ZiD1p0Pg$R02ZxmYnPCe*xV+_IrWbr6-}FocbDcW^c1BG&Ob3 z{ngd`_n&!H|G$Z#{VXt#`qhWP_Li_pd2W8qr4V$reuWzYPqq#8Y~uN#O<=EDYpeQy zUF<)t#|KM@g>5j8hG(dp&DUx0xS9iUY}9Y)7Mi_@EQfdf|1tO8;>t_HRs0Ol?6#I4 zw&~|rx0L7N*`U2QVE<X!!phwJ`;xf-*LuCbSa<qz6+djFo3%LXIL3Fcr93C!2E`^g z$@9WayHt<65}xy>xBf!)m-8AAw*HrQhMn-*ED9|jq<8u$XKq{F9QyS*xIBu3ZZkR$ zDs;a-n|E*VzlWgkQId23r(IuIvd_QZG3);7=Fqc$vse~fiK^WHCks-nfb;40f1d9z z{;5DJRo24J-p#3UQ_lP%DZ3_X{|ZovRD5R-v{bqE5mX?(|GW9#;=jn7jI6K2Lg<}d z?~;?xOE;|g-vv4;^PndC7dZwVc7t91bE1?a%*wuTSM}FzZrH)z;K2s2f)BF6-23K| z$E;e=A;ar`cjrihjv01_l=hH>+giKV{qHAapKgckY5C*WyX2>`Rm4@Be+%w0JFMJ# z4tn(N_clSE*M5I|-(TFb56RAJ{_sp1-Mi%C;{SThp>Kn^6E-kRiF$4WjnlWvzq)i| ziah3h{nm?|OCd+#{@vgIpV7osQMrOK^+qk^#L7Q+&OJ0aeCEi{BSzghnrUJ)RqeK% z(AjuZ=`-VL5!1<2B~#Vx+-7=a>rRVI+4f=Oi8YC!v5;kp(vq$zW%HK$&9R!<|MJ84 z-RsQ1|9l>E{dHBn<@0^}?|(k8Df{!nHqd#Mx6fC;j0TN^d;w2+yl}l-@lb{9fYx)r z1zS&^c4cr}_~Oz^<*nMBUF96tcFbPzM5}4*JT;BIM-NNa{eEyfDxJ^q=3b6#r-i22 z&q-*W%&9fccf&=Yrc={}b&8lBuUd18MSBL+`fNDzY_&O$xQ=B@LX>IwED^?tEdQok zpE;&k_AGek$#QzGXF$E-K`!4W&>@W_?2eDwIl>~{14^zb?>TjgkLBohg^0%vO_M5{ zw)&}P)UqA4>ISbkW3dh`yLn~ey{#-y^ObKTI0Ss;Z0b#0cW{eVzWas~?^r^=t4HK8 zI(~i3In843g0$X*mEPbbpU=XYc4>1=i}ntvKekxn9M^3>$XQ11#moDq7_sy|7hY2& z<9P8hN7!#Q$B*6|*M5kCk7)eG?)Xyhpq1I7EvNFFH{4st^7Oth_#h&C*A0O)7ko13 z5X<y|T;CM)_vw|1H_ozL{qMKoh*Z-oY1_6;-L0mMZ&eN&{T8@l+mf);U+9Yc)P&ac z!X|rWBrJ^=Fxl6cFxAfS;#HQ_dmRF5R&SlN?IY-9j%^HwRMo%Fv%2()!SUr{&TE#f z3v#9=?Cs__^?y!6voxpHzutt!?3`Nm?i)@_>rajfjd$M=F>ArE-<;Ddx)wZ3=bUEM zzu;R?Q|dbCaP`jHGp#25)^_|G%A#%Ke4(IQ-C*t1IPVJ;`c0wt+ylOFG+p&#IeK0( z;_+@4)%6Myui2U|l?$%fJZZt3s-~}I^+Ja==PTSuI1gPG`f+ctyIZ?p$UmNgparjg z`3@#c7YzBYdr-)>Y1V(m2+;8so3ABCoqppHP|n}<^qzBoz5j+o%Q>ayIc~VLol{C* zIpV1~bmN74{(P&T-{y`V)j6+q*tJ3yyx!&Xl6Tl}j;U#ry1<%pE61Ptf;k16jz`T7 zx@{K<`6qr*sb4tcZc5KX9kbaBzyn6mk@P!%K3tg?GI7DTOvq7ZLQPNWe8ERFf{yiY z*>LIDYs0n2ZVRmWqy|~>s@U}CxX_x9u1%A~1=d_u(5U4-Xk`wWP?|nxZ~ZHed|t;& z+uqFF4W^Dq&4qHd@H;-0IjD48AmlI8!KCNHA^#Z<3WW<_`J;R==)2&RINuFEPb7Jk zzYAkIy|;0}zk4j&H3duuCpmLWx3Q^u<?-?}OYdry)iv`MWOOHNwHFZCKRuzhox{t{ zf5WwD=ZpS)(PMeNg!7b~&xU(}EKlv-Hyo^F5#8^;;bJJu)O!C7Cv2gi7TzB0o^)O) zr2c8~jB7&H!dGgf4+gOdUa@ORnAyrwdR{SNonOFzt|rks_X{8Nnx_79zW_Q9bbW=~ zVNI*{gst0!M9vGX`S=kUqo1CvR9?H8!%JT=;<-`Nrt89Mt}AQ&k8k3gr?g_nP3{@j z+^mH{>bMUoedbtI*ORbuGRLZLj%g7-0iTz%c*nX2{4Q%sm74+G67u`Wm5FzjO}wf9 zcecLHuiL_FCMac8FdX!fSIwwl0*@W->PXl+U*L&Coi6AAMQ(0O572po*B<LUQLrsm z&N(Afk_I}AArEw!l)YHdg#Vy}hBW-T9#}>&JWRFLsH=SCEZYORjO(d9sLKjo2AU4q z;Ly}6a|N{aU&y#+^_1qcIjxo+XHyl~-_}OXXg*T|8-=g!Y?b+<4_f|dpQOlsI6GoS zbI^3q^3U`{J}vO@1^C>Cj#;1^z2BOGCSbI=i!W4&gYL*Ybx39lco5zQbnDstR+*G~ z(3PX>(iGW$g3g<22Hh_9QBdqzg2+t?b9MHo;UFifa9gg}2fC<B_K*|bE6^Cd&~o@V z$%Q|+uC!Zu#GY~DyQK@d^-P%C^2NQ=hh(0B_L=+zUGsKmb=rqbvOML9iF{t~6BOAW zH%HEB_G)aEaRCj(WW}c{vVZK3n9+RfJm_XFH&FkdpWD&{bXrHryt%N`s3!F0Hi3rW z=Yr;n-h$>f6vT=GY$kz@WJpnDe_9+lqxnRTSW&=U(CA8O#0kg0d7uG+u2vZn(6w_x z(PxgFJ?U7@$6Y+(CTMsHbj-%P0??JCGg~b+=75G{vO#yi9V|F`rQJ#+_M8*nvFo7e z7wgCqjwPzx#R1ZrCp7<f78tYCGULq=C%#G5n+(|3R)TJklK_QhXRA!gdC+;3pdp}r zClAT+tV>p8KMJ}i?jkejJW9~S(3x8$htIO|hl6Z#ENwptx>szYEy%SFV$T#Nf;M9a zgDw#RFRJZmwLAg39qn#5=){m*ohJ+IK`{?HHuT|{$Un*@0k`@<M_hn*jQj@e7y%8Q zl-Y1wcFaF_NX8@!GV=35=cz*F%PZ|xkX4}3ku#dlafua8h&_Es=1W>m+v+LJf3|_v z)ar3tYJl!+zgQzy6krE(?VMvya&f=T-@kJGKV#OKlm8f?z`2eK${M9cLtr!n&_mz@ zJG#gye;`AE5o$ST+7`a;{O}WtV})}p`N|6BSo+N>$@26GD!x8*%Cr-Hi<YJ=xg2Cv zdZ}o)%CgBio|j*y<jr1k&2z42=F1~@mMl$Cx6)OeygQ{9b=&#-s{Qu!Ki^*&x8vuX z6W;Y%pP&7I58jOS^*?Ac+T!VFlEQr#F@)@&nb2v?nPum);Y!DXZD%WfnzPGqJu`=M zi`mRSQLF+Yuk>bFO}gE`;GZCi_8f-`8+<lg`pMF}UM1qGThpR!&amo+1wYuDOwDdF zUDmRkov>EL@ntRNwMegkZxu~nrwLE7pPtaH4ZR=t=<DTuJ?|7&+)<Bs!r8P+Ur5I+ zTkZJz_ih`G*s+-MtAh^(zsxyprO=gF&kc7<S-xHuSW}=6K4{g}|ANIf)wa#2)<K(Y z?w5nzv)YA3?E4aWFLQX!bKY?6Da+Du;Wd{PHFozU>^;tL%HDg!!7~!eLJQNIdZ!75 z*v&|on9R9q8i!c4W58ddCe<+LQLMA=XIV|!)xO}{VwTf3&H?4e!e(46;%xd=ExhJ~ zSyP+4@R~1yO~=xO*L)IfT6A4#%{S+!N48C-|6Bq-Wj1Y%+iBVsT;<+W`VHDmu&lmt zWn$dC1%KQ*%q+SWJetmFwySNyCw>mGowFCblIIkw?pjb)BDQSn5u2u2>(wG&IW*mJ z7X<IHGUlB2TgdV6YR<3>e`wdL+*elfZ{vcGlUY{hY~o!O3ffk;UP+^l;h@xe6^%%h zh}Xd^OZAl^o@+I2+AgrBT;1{Kec>Dfr4>KAZc3bUE9TI8@3`T_KWM0h-#08(DN}O% z>Mx{I$mn>M?O>3(;FUP<4QHBJO3ejymggF-{k54h?8e*$5BITXKjn~;_up_zoug}> z`-WTBIlDkNES!f%=gHs8`?kze(2$+koVnJxd%+v0rmx==!RxoC34$l=LYq#-D@QDG z1z%r%*FE6xdKT|J4gtlhEtdQ0Dy*2R7V&HYY^mOHUs>?&)s`&UHB%Qn%xaoi=X9Y$ z_Mnz?)1vc&YqmWtn-TUgmg8E5tm8>%j%!xa7JOOB@_LPeMznfFzNq6*dEuM_=;`%4 ze@tJgyf~dhE8kH3a#V_)<JIdzI@45F{EKT+^;2B&GqP!^p6ZHP-GfHkg|EC7)cKy? z^vhp(&4!=fB>WD`a)wp$IzDdZ2&)7c2i|T!d3oOzEtaF8dme7HsOBq2yv}R7)Gq*@ zr#$;CG3xbMWew1_GKZ$F_i8s@s5G6LuNd(pscF@HrHD6SO|SN=L?jtBLe}d2D}LpX zby?P6?X6<YX*VY?c*n?cdT;lFx`_#`{=z1EIw0H5TN9?n3y9RtNC0g+zhB|bliq9L zc=9Mq_FMmeUmi`Re$b8Mmet3uOuWa+@^ruBhJ(H=qPtn7Z9M{hzGvya;Ws1fYA&Z( zv`fHWUlwl}$AHhwEZ$Q&v*x>RI5U-{G+!a&-E)?&@6{t7=0i7kCaN@MuHC}x__&p2 z^`40fUIaB=z2_fLV|h?2UPYsl^<b24)1`8OHO2CdH;;2(t8QHI=h|n>w+W1nN6iIt zN+cYgG96S}4(;^C{5^DK;tem9UFTW0piR=CjmJsW$)H{5pbfrzLFc*gCnoZ7y$8*E z1%r+@e;#?_;Er>LWI{lP-7k_Ad$!<Pv{;cqeNU@p#-H>cmi#kJ9QS85KRFXyF!k92 z)A_A3OF*a9&(-0!JRx`ZkjxjqL`C+W=RrHkK&Rb(wAMLu@I@JD3mGqWu|VaNR+*6F zI%gbnj;#Z2I)8uUkc`T=G)4Ba+d=2!Ee4&BmjdcOe1oj^l3&;SP8GBdJsWhSALwe{ zhu3wUB>VuKz5dIb+fpKb8$);|pO*E83C-X=Pj7W~o+!)%%_7Q!j)2`9F{Am~QBc#I zm%I4F&Z(fT&e20MU)Vrf^7O@?C2V+^r++`@Ljm}>R*gJ+OCgbG30u^;Ej9Mf1kKJK zb>dqkpOna#l)h;~a}{X1JLaqt->m!TiF`rj8z(e>JFIiY@tG&+9_k9k|AJO0KvT`X zx+7;aJGHgS@PH1G^^#9fWPfZNF{AlZE2t&TrBE?VZc#XBkNDd((9)yHt(F-!ppJyH z*s}$xo-s>hmOKZoJ#y7~qOe;YbZv4|tIQLB(6t7spuW!hR!fOk(7to?O`v_}pbdl! zpab#_IPtxbe%m+On7#8R$RhCMsL5nd@ZFl;Dzjz!CIj}Fk0VbwmRoWcPq3a2S{DcE zM_k`Lq4^<bo_XbYC%$9-puUbcsIPNPDQ;WytvpcQk)ONxg2kLx8I|c94cOOSjy&PG z3v^*}7^wdT?r5HfGyfXMz8BQdtk>r*Hi$S6+IGI#fPL`|xgB1X8t+dXl5x4D^JGDl z26wT5bziH@70^A<LeD|V;+}%$xJ|h&Gs;1idRvJVU8n`^BeXs3#P`cs{PD9hj)~9V z%e~sXm)X5qP+|aD#|1j5*b8))a#O42idfK8H)x)D`v2zpSNi`mWi!540@d`HTcT4% z+dqD|&%>zgCMdn(nu3yG)U2R3gQ-)3I0969+*~*pNoYF@9A!ufcI{CTN-^m2SQF9Q z;j+fG$IZpV)g)|60_!0aM-k;N7FQ9@=WD*E+~@zk?rZe<>T7p@?=hdB_jsFSw0qpI zRcCKK`){vQ>8jKlz9wbj2{!$ilU}O@GF+>gv*62Tme*%y{Qgwjtb9t1<65*sz(0p3 z-age86<P<~v^lim-8P&s70$^0b4rJ?Wq-uiX)BdC$~k^@=bTp2x8PZtbz+qFS+y0m z^Al#OvXp*iIX%}Wpx*Kz*K`4s7}pJ#d|7(mD@Hs`Y+7_)XpPw1_J<<#T{j%t105SF z`gmpHHA9xA(*@TQ>p9-+=DfCh)`FVZ3C+7Xwd`CrTr_5xYVMa9)t%!TP^xz@sheY! zyz2&+i3^@tvUtb31XQaYG|C1KUbc9@TQ}Eg5@_FfM?x#_^W-SrbfGm{l)=T8jAOtT zm8Prblr-uT4@&ViO<K))ZCCe#FI7#Y^VBp}&o!L$bsJ=f@i*wcaq;3{cQ<vRkbf2j zmBa-?{#qSOG8YK>FL_YtyYQ7i#s`BYvd##5tIIL%=DY>(=CPdK+q~c(CyRDX&w|9M z2}{=tn(UjGFm=8kWb{&IZ~iNfxBe_g<5eOaZ{1z+=Sdk$_EJtSebtEP6-}Gc1=f_? zIsRNPlv4n@AVwgkMBnkL;z1>Sfsop>>m<%4-4+VD-<#0c4Bg9n_PdX)=Ul&l-!@ID zuUJagt46##&hquT;F=HaO>O6e*L-<(*I?~2&Zf{ZMU7di5pVCZ9Q9X@cpS{4s;?gL zTB_;NcA+(wVXJL^-?%dIUNOtl_^sB<wjRuB>arHj+0wG$({2ti%hm;1tqB{wIak%s zPUxJ?ne}f{!b*3JEPL+_XO?C1EPtod^fZX&tGMu*59c7!`KjRMm5G<sIi=!#Hk{hd z(RI&b!!3P|E`E+{Kdc>3`hGjG<=U-T3%>ZVyw*|Ds5UuR<=XUVzQT<MN|24$ypB)j z3+sG(z|y1&s$j3~_m%C;-J-rM)Yfmq5t*i0zlC&4RUF?==9p$Vd%?R_meaM(3;ty_ ziSA<Iy)L}wOL)_<Tik~upYpP}mMcW$$~Qe~7hd!6AH-=zn`}y#lrlQLT+MN9XXAo5 zb6H;B^$+-`&m!&e?bsHree)6)zva|=@4DecB1`Cf_YF5@vRt)y-*99qi)l2A_g%+; z-*!!@^Pp|HoxdMlnRw^*w}(IezU2tJ(Y)YcP}5W{mfrIU5l=U>xUN@-cw5bKG+#a9 z@pcx~`6>~wS(`3xY?U}?bz5l4-MI;Sn>kPIpPtZs85*HS&-=(qUggxPpPn$0&++Pg z0iACwj$i8qbvFLoHzVw9F2}T6Qx}v?OPKkX<JCO34R@AvzKZwTaHyO^>YmqzOY1qM z?A<n;s(>!+deOtPZ0oJroL%|~5sw*~CLI@AQ_AJ|Qk3O&j90)m&kgs^vOKMK-EdHu zMfAVthKtL(4d$H6SBe0&hyHSkofZ!HZ*WlPG}L8h^?hYM*D9^3RX%9+UEqqWfX;XR zreCw)v}dmU;MUY;Ex2ZjyyN4=oMAr&94{7ghOHJ9**`0xS6*<+p4pI9{LUNBxpl|O z=|yZ};+XzMVfWQ19s$tfO3rUEU~dL3tp3;xs)=(zHL((Ru|VWWC%#qop!3Z^*QWgC z*E!>ubi|2o);9b1E1R$QfUaz51Pwc-D6-!@3>tP~2VL2626PLKfo4;8HY3aRAilP6 zU)w8=FWEuYp{Ro{mjRulVh7qLZ5GiKuF3vUH*!X^+oV<*70?=?sP>H$n!j{{b|3qQ z6;1eS!(Dt~_oP;tC;QJIlHmcJc7N24yV#%#wB-B$=|eIu+bkO9?_g{ZzwY=oce1i$ zB41K9=-l{)I%gaofzIClqrqJ~Vb!Jy&EG^pwQ!YK(S^NpTP;ug?Q50!GCw7ekLy3^ zNR=CnKa5S;mu?5Gj(P`L9VH-EBw!0VvZS`9Rptn2ueenFOp%A`c?_VNb)G<OZP_rP z`O99ATRA~)HRmqAaNDLkT$BChc2J1MMxJo|s37(%p+tt;Qe!%3H+LndB2ESE<k#i4 zTyg){AsLlx8z(eB16@({s#2`z!n{I(dvh#LsHnLX6pA`j6v~!}``BJ_eCGffd<30t zKj)MaUz>KsjOI(JI!_YrfKII4zF|UhMt`g23fogoe2+lQs@}7F$5u~iev%`G-24J> z<Ueyr=F2qDnr~ImFy2-8Jv2vWFOz$fP{I#7Rrkyx8JBsWE0A6&R!n`SVAkC#bLII) z1NP3NI!_i<@^BYVh&txPcMG(@duDg!3CHhQV$T$=rzx`k-5oij`H(ZH^~J+o9B`{b z^WGfjj`L!5n2%h<K?&k5=+t6;&~-h<prKsQIl)^ewaP5H4r<kG)p?@un}NIdf;8y- z-?sKgp|hF~`hbqrod_GlRR#s8C#bO?#$7D1dqS(s6=_iV{0jHc+1;SqkG9ThmD%%$ zzb^RxKlZ-Y))t_h=lMN%LPgu&zn>$$%T&pfV@c}7rJ*tlGaYlS4mr5|(>2Z7>f3GQ z+um@p<-z=4j&3naI~%+YxNs;sh(#{C=INl^Rd`@(gJ6X7fhC_D-@3627aCk}+hDL| zb>VI6^NRU%ayFg3{Ji$PrTXsQEBD5~i=R2oZg>8z(kIco?gYK+jo9s#`BMAlpZKmV zl`rM{8?HoEKFC=0jy0rtD{BJFfejDEK=Z1{-YsSC+w<t`+FxC!>;6u+dEHzMx?U8v z2<Ok*vJ?hcPKP7M;;wnjvi%z>xn|W|$aSi(!l6fVrn?F9%-;3z{--t9<un@7nc-(D z!cP60oS&ug)xIKtZS}3`Vh0i!HMX7;hpzYFUd+*U_U^Yfo7ZpSkQQys-48o(_1BDt z7eOa;#(<8N-3?xI^m~^Sqk&BG#o8LL_>D*3%U-H3nXd!7))cyw;U0V;kNv+5_ZENc zWa<cM*1p2gka#!~<Yl(S)t}R9k4o|XbANAm1H1$Xs`KG&*c89@+x=DjH_wBP?X3l^ zkP6!mx)&9^W}_?N#ueqv(5PPwd#-_wf;?~ny!tFIK1^13DRlALPCw<9_dyqw&I2vW zD%}S?MK@l;)gb4c=Jo0=@tO^>h<jLLBcTf@zU-9p6pq(z@VL4+60|Ps_e!W&|0vcT z75iV%b8m6=3eddyCCIv?y_cuGgs$3{f5juKb~S_2)dzl{6N*1vhpyUqmi(*BG%jM@ zcL|;9*>4`fm#18ZEfC0=?RM!6=*Zuzbqm}WcvjmlhAh#WFX?KKllA}hSGldieOD1D z_nO02bX6{O3;KVLsUzfVJ1B7H+Cx{Eh=bCDb<Lc6i}zNw-eY!vuCaS=^cK46s6J9T z^HC${*wf=6jeGZl)_fRB+&vzDB|N9_O~qdK@><6HzkekdVGD6`FGCmN{4qC~6lE*B zM#^Tf8-rKwk5!QH+52<WzNB7Z|0~rzQlK*{VaJ}vfiJUU64<yg+GJAN{3{1n-I@<t zU3K-c=cmPxBY(lEH)?ax`@q{Fp!G0N*VaylCVaK`mpop*zj|=hw{Fm?4XfkpE>$xa z$Q*dF&!8*e#+}H=RsG+-AuWQiz7IXw_{JB0(@AQ-K*tmN_A*R~%D<`!JuCRQzn&mZ zw9WgFz3$&vA!)7N4qKh_FI~!WZFtFstO;u1J4!#)LSr*+t&72$j~lO6^&eb^q_e#o z7MpJhdzajFwu%U?J}$MFy&-6IegNdW>GM5G5@w}8tG~)^eT}qaX#IQGX|zu^OL_iH zU4A+t^!F3+`I^^$u7HGYZKBA*4Li?Ye-fF0KZ@Zz;)c@a(Cjk%ALu}3&iB&~uF6{r zzVrC2-AYI*lE1%Z{f$TA=cc6Ztu6=U@Jo;tUDf@twIcuIr99VO%}(8rwP7JxFYj!q zXP@!a9+ldC{p4o58^~)!A8v+PD{Z}EqRH;My*y!8^>o0C!M?7BmMg}KIof<{pXXFw z%jOq9u$i$V1$@<V-2LUyLrgt?9{`mrU!_?ER^FNoTIV!38>$ylCVf`i>;4;jlR9(} zWH}qu+Szt_&G(X%f*D;_y#t*F9`T+HQmp*`(fg}Q_g}{1dy64=&%(}nWrwY9v5&i6 zy=9xY!xE{OwV->1??F#S&cC;AeZ*t&=Pzv8euM7qhOQB{+ftkgU0r%ZQp$64IH)`- z1?8EquoY5ZkN$mTv)BFYQltd&TKn8}$eqV#I|G$h?qAKI6#Cl@bTIdGN2p^DX*4Ak z@BiO%Z}HzMq(w(_gY_;zb=FRFyL99$=os<spd4D&4=wPI%U=!8DSYU(*ZsQwq*xgT zXz6)R5*9%Jr?>^Zz6L6GLC#D(3_B6|?DDUo*?&F@?LD4wbSYw0R=YGTe6|HEXMTIf z)Df~(9%SPy*xEjDCarpGwAcOZ-PvE@*CoFehb5v<QoT$5%>$K1?%=o-hb|xk$K})h z*S5FP#XelS-!BNiUH3A~vHxbdT{?0Ylue_+*>pcN(f?`Km(>0K&YJHpu7UCkG)nH> zh7~D4XPZol@_&(V^+6?QdFkQ9uvEKfUs7-I_7}En;^G4EQ;lmXV3&&@dw0QOR;?fV z>a2Ru>EmJX`$Frkf{*+CeMX}xF*tksOWUkyP%3~f5nUe-OSC6{n@;-myJW+vS_hC* zpC5S(Em6Ql?(YxjU*z5*A0j>%eu(%lbtz9_{g(-$<$)lb`DfF=LRNwG?_cg>kn?fU zvU`hvw<0B=>T-Bl6fWht_Il}ttPM5oInoV5((_=~na_(=lDKwbSMYno9qT}q5_AFC z!@tm=mHs`~?b4d<DH~Rm1u^o7H3Y4m52*;hEd9Uw`~Ug>8GAx>Z9t<U)i<6LOCJCD zap&O^nHSPLq;ic^d@X$^xlPJUJ{#4(k<U6?w>j1Q(2^9HTg!AMRek#wFPXUIvRbdo z;~O4XlV<p4T{>p5#Pd{6bo30+{le$}{`m}Eu2p}%^#1+#pYLC;d$?e&^7=nVf^YBr zr9CNJ<<h_XQ+0$QpNnqpN!u^Ry5Jp8)6+5)(CwdGmQ8KkoMBe8!RO1aQ`Feil(6+T zN7s6lh{u&plO{GB&e^(6L1QoTL91%t1zYc>IC3e(1eATdGVvZ$)2DQyoB-7o6`}{- zPIGGAciwO!nI&|-DtLeT*&-SHjkb<wO%4XJ3tgG#zu}A|ODSmEEUV++*_>gBV|7zr zd1QKkkM5g&?%<ZLb1D(J{7sKGb6m4%Tkzy1OLnYFz%IWH=X_aG->XEtPi*?+FPO7o z)`CaJ+7m3KRXMcYyKV3&gZ2$y1qQn(d2_6aci3>`DT`^oO2jL^rdzg6sbPXwYG)?w z<b9qL^>w>y#6w#a-tX!WFFl%$b#sQT6cE|lldv^k5PXnYypKWz=%%{K{(iEVf14M4 zVQWu{dY$7OVCK5vULDKReuWzkQkuHvtKE1J)O6~;O2iYhrd9Up5jhS4f8Vosuc?=4 z3kKcCRHnFMul_-!cIZKDcXa(^Pu6xV_@~OEUDLea;aV2$zdZ{+3bU;K)4SlsuJ+`p z)kitI-ur-0Qah&_@tURS(sO||#fFYIUvpgB-MXM=dP4JYXt(v~em_~smmEH;KS^_n z-RxZO>Nls@Z0CT#6-}zASWNBxH(c4uvNc>lr`X={?o^i3e_I#Ssq{Zw^MS3Y&01j1 z7rUlon>oWQ^Pr*jA~M)LYre8Zo#{a--KI(3h1PtPaD4fk<65M5K-p(y%ewsPrcdux zZ#-~l>SAr`J*TkZPh^wpI+Yc_Vw;Y>Q(N&*x=D53Ovo-S^Z&M`Dx$O7Gq29`+;C<d zOKG~GPPvZb-^-j~KX@DuTOIVeub5Gzeo$+_n#M1agIWKTH1?eglsG4KTX4#qxe04^ zz-!hbjT#{r>3lo1QhD!b&QtZX6Pm3#wElG^EDmLvdYq*@r^IAg=vQ5q?zdh6e-E>G zpHW^>sdX^ux$u>JJqa__9Pi%doc3GQ@vk~(*pKJX&2Kvn8qT=pm9LgDM=9c|GmGnI zmeq5e0{+-EneKB5_{7$<HBVXNufRbo=B7>hf@`+_+bH4p(_AoT1GnQ*ej)Hk|6~p^ z%W`O_W#zu|cvIE%%3dYnkz>=W{pt~~T$^saK6iY}w3}TE-koDPoyzg*ylTWlm!`Jo z0&BjwHXXYzxaJdc(;|L>HQ%h89w|4OuK94Q0KB|w&YhwlmV~u)A^X#1nl^QFT+<ew z@}KD-+jXIkKXwP*z6*!^(*=*2#ilc^H!^EpP&Fx`b1!F>oX>_UPEEJc1$8#(fStA> zxODwIt4Y7*9RF5xhP@Crsp(5t`d`T8-XDv;_)2TXi+ef3tUDI`U}`e8^9$JIwE?uj zbGk72SY3C{Yt?NF{?v1rb?83v2AyvBF?X^OgY;X^fS-$5y3eSu_+{91bQ<JlCUfB{ zZv=F{ayY(S&N(g8IiP&<<I1T!w+mglKR2PZU*JhX1?citt&J0!KemGU{;MY|{mJG~ z*dcD&anIyyAp6$&po?`tqxg@{gHA~UZBx(P!tq^+{Ur-`@r9dHTV+!C6B7BVK!?Tt zGXb6AX9qgPueH@u!Zh-P<4@3R#**Vse5>j~(**jPCp7<h?GHMF_Q*EGApCt>(8f5> zxqH^<56Ps6ZJyBl4s=1$yVIb3am=87aiIHZ?2a6gney+16W=lYjRx#fUv%#9vh2tM zUDkW=s1x6#-y03sdk;sRaQu`4S{<bax+oAd48LwZe05YV=p4QGj-VB1%%H37Cbr6m zT-Sc2b@pI}wpdX>ojT|ozv-<qA^fR{d`YfiMFIa+K$kDJw91(5KX*uGNnWZV`&)L< zIJ~gVlLgjEiF`%ttvSur+5dvZat>L8&V&U|mPoua{u;=>)H&kB!3sgp*bfW0Wk=kZ zLo!#SK&SX|gVx1qa$9=XojWA6B`!gcJ#%i)Y7_RklAu`)&{nS7vs-1JfOh*QD1vSj zK6^-}XWGUI&7Vwl&N!wVh0p)IstuMe6^K6R#P<rc1+Mdi<_<5*jJ$(~WR{dAD6+rZ z3vz3YSkZ;p1BYauoJ&z;|EtAa9I&ISRfY$2SJBeyh!Y2Y1d2Ud@JWZ;vSVFpB45^Q z-Dh{LI`YpSk}<gik0cd-(3L>fYQ%~rfG%}>p#wTh7c?4k@2t)fg?e#NaJIC{h_vgR zIheuAZK;u8rM2#oj7u8ml1MqwoEB(Hr2JvfjZdKUTcEXUA#+=0w&W)z@)?!Gr%GPf zfv!2+xjf>8W4$TpV&NYqF-v85gmun1W}1r?8Q3+nTCR{e>cqDQv=#jpD=0KAxr;CC z03Dw2J|U4W$`-WO|L7r^lDITQ_M5!ZA3Qtbm>(@x^x@V2@4o;4@PC}#<qaAODLer> zg)hE-|4XBol^0V!7d`ZuU$8{mefiu;QGHv2Zg{Mf-kJ6IqSwVxxylC$tn9i$8B^jo zC4V}&2~Y9c*&DO<=^C}Hl_iTab8VuxK3r3_<MgHP-)r`LSp55WEC2rY-+w=pi@W#z zf!g-`ScA{cUSFAi{@;#cX=!S_zt3(oHa@<`k$1z-9SnRw-rae&Xt!Nf+y}N2xgSS! z^bfoS9pQBJmU^20w=<bujAyJGMDz2{2r@$s%gVV>qLB9Y?EW*$j(%o*v7zYhdg#GG zd#h2;4$8eb&-e6xwgrb*eYJ)vIm`}Mk{t><%IKW>=FG2N3|4FI?SLITRjC3$7U<n# z&`Czz`_C@>`Wkc!-@f~)!Qg}OZr_F<ZYFIaYxMU0-KoCa!t>^_mPACdUdR^#A4;}2 z)-s7fiD`|7Q#<&mCqDbhzNcBwr(J#pKlaW7e0b0VP4SY5>~hdCeE02BF26cspLV$_ zngMc*likhvprgAKg7sc(c-Q!1LT38C?wecwIWSnQsjz~cjhBx&&JS|tUBMjR?rzXI zSnr^RO6@UE|DFRsZ!8CNEMUpC`I|F;o@6MAC{+M?Dy%_Ne%==K*-RZ0kh7jnf&!>g zKmGD6W6(Ka9xw-4zSW1H<5VgRI(%ySo3qPGL1&Wn-JK3SlPqo$$kpHz_CUv${Xb<j zTP&X2p?#|Q`}5GlmCm6aQ+VZXw3+UH!3Mt7Vt;LCgO9MX+oJ=Ii))`i$CmwSzPaUB z8H3fDxFQXxn#hSTn<C0nLC4;`*1oyrUmb(hnjH@cU`IV!!Oa05g(ds{%(A2YOcxTL zeyWE?X*J?dGVobXyMB9^>4Hz;d#VDpX^$@4lrVd%6sx}nvu|$sRSI%VtqD}kPHniF zcWo~wWIkW`KjreTnG7Wng_mF7gdDr|Z5jNCH1Ls${bzM*J}avn=(c5iv0+pFnK!Nf z+!$w+GHBncR)CvUc)4*#@3v|)-T$B<GCOk;5{mrh&*4Y$Rasc2Sk->pkrTC7tbuQ} z`Ps7&HU8V+$5>sv3pvKI#%%5LeWhX-5|8#Xy?DtCwyaJIZW%a`4hnC|{CKYN=9U`R z8I14uP5^~9I4y#YD!jNo{qm<T!kaU<nnIJJ{JXQDj0#E8@t`C<tvu!Ot2v<4!b0FF zXb#92P~wRAepM%J?$6JgGw*Ubw2SJq!A_N&8Jy<bDbdgYK2fZBne+S1`j1UHQ8982 zeA?ex6Rt6y;o9(MZzcC;&4vy}_;F!#Z=YKB6m+84tE<q2U$f&wEIhSt_3H(l7Zx+c zceOstg2S(7mM@QFH_$%N<$n%-blaL}(8-(ObLRQJp3QtA@#t-_bZDMGjhg4<KhMjF zx&v~Lzb&-vxVIZoc7VfYmeTBL_jw%JLyuN()&v&{hqVzUP^_q|(cF7QWoB#li8t`A zo~{dxQ~zD?f}=DXl)qlzpYHowo_)dLF7NYDHQVz~fWjGcdLSgr7iOC2%5gfhPYvH| z4@ryncALT@6zrP4&r>gd>I5B2niUDj7&R5{pmXHFfxOmwvGcOa?DjK!SKnt}aQKz< zEogE*iF7RK`%chFj(ZhmU#kZlySbMintN`4hbKeG!GL9nX1d=%hs{~*Ll2GoE(Z@| zaQU<4_2HXa{**IVt=aYb5#&I>A0IZtb4FA@=;*u0-kUR@%FkpiiKwk-_}k6|K1(&F z8t&-3vY-;W@${z5+DD&qqW+jblVQI7bWjolr&Mrap4gvy`O%isn=^k_KvUtpYE4k^ zI4p~~koXvMvZUqw6U(07WPGt9%N?r3&IW$4YsBjSvCS_%!&5J>l5OByJ)awTmZ-Wr zJU(ChZJtpow)VubukN6Pa~gVtUd8VpQ<09)bG*E8`Th7$D|4dOa5%J2ZD%rg&5*_# z@$udt&;gvtX}LbvOm{uELwo3Dcv}8(8IhLHb%4_H>$J<SPJ@c)AED4A^d=W0T%-p& z=Q6C$Z1%Nyeus9^<uTKJA=PR86j1Pha^MY6@m&A)`KHXma0aV2Hjh6;qx~M@oIP;$ zl@~MF_w;*~1&3EHg`F6AI2)cNvSXz|i8(Co@+(lG`D@W@Xo8<?ib%}YK_@3l@0;QK zdOql6!2WtoXiAL(#}>jlmwRt+xgpfRr@ekJOi47j8U!l=ow56(arWlSoyH8ZVQcrQ zLQJu{gA`lQpvvpn`jpGBelnCq?0vTy>Z_A`Ek7MI|NZa(SNlY>CCkA>9bayh${sJQ z*}FGk+QiC(TX}Savvn`|eCk@OI#V)xW=7hK=_hn9zC2=SzGrc9-%`m)wP(FX!e_;{ z`WQu5s2eBGbWQ8LDU{zCxy<wadrSX&*6F|JezrJ&{`;SM@6SI!|FqmH&+W-n`>iu? z|9kJQvOfGD_|D}u-@9%myi>`_wP1Bz_~;<02P5kpu+wS7iK8r`>s2FiOdY>gb55&h zS@5iw#XC2qs&wB2@!)E;gGQQ7yNWrcS$8b>XUL+hqnNQ{Qo_>JoKo94!**7KdsjbJ zyR!$oXPp-ix&NZVC%adYMKxV`%~pQLm&}~kG=-+b`fRwz)$}P`C}%_0f=6>%q-}iy zerh(knprR&UMZy>kt62#buZ_%8TQbHadNh$Dxhmoikec_DXgeAK3Ejn^ow6`%?A}n zXWau^!fs7k@Uf3&^&8KC61js}?m{B^!fQ76EO_#iCHt;RKo##nt8D0LEa%qEwz||k zrz3Of{W%HEvK(6P{5D)<WSMI3z2SsY)2io!I%SfMU&RgzZ5O`sNB3ZmzrdBc&V-p~ z{T^+(`<8QBwY}rtznozj(BY4E?qz*b&Z%b9XdcwMudGoeeK2dkqQ*aqgHr$1G$f-t zGS_|$Yr3>sXw7DM$D4;au367p0J>%A3a3`x^n}IHoLc{9B}_aHUAnUFU-m1HoXe99 z*S@+fq_dIR@vP3lpmw1vc6|vmjX7V%Ic>O8&hhHL(}qLqIi&2oHe8yq;G<e9Px{pD z0wQ;3CT!&w6agR11KoHxdAYxAW~@)ZF3%0;vYIx17hLn5!|`XmP|k*rnlr+VnhWPl zQCjiGvB~ulOXz-w4L5?CUd5|LJd$dfWv>+RO0MY^b5rWPxzG(qyDuvFWbZT=yfWW+ z!y$GKDLIb~m!5M<>8nL7bqn~S)pWJaBjAr-lj%PP@VZws3(>=xdrc2oZRR+Y#&K=7 zf55+D7HJ*mAvWUrbF7SZH9?lWHZOSfo>NR(Fl77D8P|lO1+Ubw9}KD%yfV*y!<j&q z($g%bV;uti7d46Q^S@BQd(dmYa>ky%gsETss!L(_2zP_77MKLt(U{Dl+OH6it?2mj zGv~FPJqzCSvb?_Q7qHKN!$Dyd(f{5XE~a@GY}eX9H(}ykj#YJ25;h*@Ts7ZggA3$% zy|Pu$t}pLflBckuR{Ee3zt9!%g-Zfg`gM<P39FEHJRHjz_KU;u@nMcI%f<ySELm39 z_AdDGl_k4`!^_TL!?k3VrSU2e>6f{{M;vax?c2q$W;?gzPk!N?0u9HbLI>T_g+l&m z9aOq581h&1VA6NtkpJA^^Lc9CzT9&vq-nvob1bLdcn5qJXnMNOCE$NaljuI9#t*9O z0&hYNKf5yVl0S#kefJHg=5u!0`ER(DeeS@Pu6*YW$ErC%r&MbD2mFd?DxD@YWp78q z-fWIj|7RpLS956n>r7aj&Z*^o{z!q?OiSpn(bv1d?n%WQtLi5ubS86T&2!su#jEL7 zxS-BuwH4Lk2aD=eR{S?P$R$0mHPiIYtc0cIf+pt#)_me@TIAYv)lXGpUsFPFK6IJ% z<kidiw!Bl&_-k;`O1Wv%>-oKzYqyI!{wx>D*&yzCv|l)<M8xrFFvl~6O><ghLO`?S zZ_+@WdsXh@2^FBjPyT~C%q4tfTI_FiK|SWa$x1tFSq1LxYknseEMGd|H0ZE2LC~Ga zDPlziHuFLE2~2L4>B$GJLf8*Fq-87U<hl1cPZZ=V#ltiCr1Db~*-y%X7FdFo2-bq8 z^eyMK%B1*%&gc&XjX<z*7aQzI3}WHG&cq?VuKCgV<#w+Uz9?{8YW(kQwd~k)#EEZJ z{)$hVWRGl1S7iSRI+o|g{8kwg`@@H1mVgeL{{}jr?OBjm(S^P9K<hesT4lb-gO)5h zixnBvOl-B(*!$1s4rmzyXzSDa*|1>{fxG#y7V~AzPg7+7_&9P#^RY;sCkm12iF{Gl zH%@5&vKF+gqYkvJ1GFcuy0KN}Nqo88x=S)VLOM?t{D=b`(4)_7sZrkrS^^3h+ezPG zz`hZ5w9wz%pv5QCJOyoHAcxQG1sy&ITATqnpvQL_=#rh?yxheTZiBYyf!0y9HiPa- ziV%CYAS6+d{V`}<;1p;T#I9MbmMiX_cH(=~zrldL_j$w#$D+sF1;x)6m`-k$SrV*s z#xWgy8v{7>-Z%!!mtL@LYn6EdT5#JuRp-fq3RCW4gDlX^2X{e(CI_7OR)N<0Z0wx| zIwlA-is5kvw79l5Vn*|oMx7@MVp9_Nj6lme%2~OKCx8~;d<oxZz}{*NI_3^CtOFjM zSh^fEtn-%}bZ>+Z=rV~&oimQP`C>%^pyPN<`ao-IU3JblW?O>Bpg>m-<$;DG^tg*J zRClz>JOM4fVT)><J-PYOG|+H~yI4`cJ<#64Gw?VHuq}GEm@f&mqBS10Rz?prW&*la z>WY+D(S^9vhh$Pf%V+YIw5>K_-+4CjgkwFs*s}#2thp^E<PIE?+4D>O&$54&l2=(S zp9EL(KOhJ0-dT1dYhvo7nVH@?#+R-|%nY2Dp}Y8uim~%qrT!U_Dc3eET@-0#uI~4I zhWniM#F>F<i)IF<9X3u=o2h$7$0*olTJOB)yYAijoxFS9^{@Zz_TR6q-(SD3BqI0p z3GMo<=<~ns+fUr1H1X2^wV)NA>t`HO_gloUrijh)qA1Jit&nqNnT0cQO@3-Bve>(x zRA1J&B~DFa@5@JD_tzF2vD(ae>YQ>!KBMDLrh{zi0wFeY6Ba*ZnYvyz;z?N3s_%k2 z-z*%zigQlunA`mD%ALl9l{;CsJ{Q(0J^@~^myrBQ&c0NoT+8vV)j_UsVUs;G6P8wU zNUc|ncv{)C$as1})atWJ8g}goy*iF3n>nvp^)2|K)Kof6a7wK2hI_s&Pv0xuc(4(= zT(8ikG{q!Yb6IGmb(3qE@`_#j2b0(ZL+slUI)gc~^i(5Oc?SG;Yf8N)bftDm!p?M# zSNTPHZJQ67vG4}7Xuoy6@WY`gv=6#o&vIA6E04D_O^>DvulbnVG^t!@jj4jhUz3AY z()x$DoXT_Ea8HlrslCUBgN!Vq`#m;XJjpUO8*-AGJ4^Rn$AG{5EZ#PtLtPbHyz}<G zvhm4Ysmqb|-e-eP+k$uhSWeeAF8JrkqFvL!;GtI2)H;s~JG?iXde7O_#}T&Ksx7#t zxXJXMS3nVe(^fmTfL|O<rKg}fFVfG?vbq%Q8SsytMf#3kz{heHX<N^L%I438YhBHh zSIkn0$SH4nC9fLssGw<9ykf*FpQc;d9Mg98EqIs9a{4RhD|^Qchw7nQFAn=oFaC2W znnUWma>P?*7FT}Nh_|O%j;?0Oj&TSm;yoC(U1-X_`3ZB6bDrAgvLWsb6VLKLvpLOX zcn5qu%pxu86!6oVrF)JUtdjV%Qh8%G$EtFUX*;Gbcoxqwt*UXsw=>*^Yg4zWudr@U z*vT(=#ePOYtB~ViR~GHL?iVUd4{F&fYgCyZ%&J$@_$PBvYJKF!I>>3nerjs24A*Y; zF8H#K<+Y4Uz_*B|uYQUe^?C={e4D!LRc~bIIzF`*)cK_2cr`r!$m*V4?|{E^S-ju) z1{nKqxN?zYtGJNP<`i%nb3<^c{(P%Rzd0TMT62c|P<A}LoHMLz-H|O)`K}vIS#xx~ z^W1PtowG~cbHg!n4lg~mh}S_)mvWm*-zjMP<~Ug8FF57?ipGaI8;(L|K6d{2wo-X9 zkK<E+0i7U~6~9WGj-FFnQD=Bi$XwvcAJKzB{K8k{1a&q))bd&V?itJJy}jTAW^3jw zcqquCUE90hV<gLJ6KGqmFV4Pn$v5w&NBn|oK9)C4+9h#0D%-f}lC|KP&DM@LWe!%g z3s3p4b&xGxC}dAZ!s6E)TK}68CbDy``q!JV@r0^`-&b=1osEy7PWw4;rSi(Z99i;S z8_smHl&)qu9qSQL&wG%|UOl72{-9UAYQ`U-gIeqNPkHQ~F1%)%n#R6{gx>Q)Q*0U& z)?ViD(pQgoJ`1{=X7hF**_U^{1L{0B9OPyZjW3s6w)NsSmZ_kVvum1G%~y<gQ_=M5 zzFNd0zkttLO-u9CR@9mwG<wbP%FcbmooxN%1+UaO!YZyqoL0Hx{gsKAmUBwUdv5?O z_SI94c<a?9a|AS|ECm_|t^sY#0Iih2WW4SG*ICE(XtAORcc->mzIc1qiSN_=q(nY8 z_stWUlRy&|x}d9PKttLmdM7JY1aT?U1o5rfKlj&)<{OJa7y9Ibc1n8+hikH*T^l*0 zIi#yqX3KX_mB9|Wt!^UdjJ(-8PZsQ-(Q2s?bIggaEf;k8pR`z!L0wC$<%&Putuij= z`-IHX`Ld!xcNFUBoN;{2j5NYN<JXGju!*fQOZ?Lk`K;16PiTIls&mHiJsYUG0IJL? zX0*zP{6Bd}rpGL%clDI!qB*UW9dFJ!@vVBFp2(L3+THx?Iq3XX(DJ~av0~2@wt^hF zK0T4o=&q-rO$_+(*}Lta6N10<ixpkC9=gM;H~?~-E@*b;-f<_sW0s)V086nV0o~08 z>|5W1W`IEF0)MO(E1IxpZmVU6?FlEoOQ2)s=AO8^!_xA^KF~qMpcD5#y-!W#WBUy{ zh%k!b;o;H%o19mR`P|euO=$ik395rxxh+?010AOe+NS-F0o43zYLzho9W)m-JMQr5 zvJ3Oh9+F9UwsAu9J0`Jb3gMvB@q|Ig_`QUkju(5{iSHO_mFd*qpxGAi>9a2K^M9>q zzSRwCe(e?mHNQYxUY^9j7J>37Ch}Pw2ZiTKoimQ>&pGjZ0&UuFo()>3DhF!N^tH-N znV+7>r(}Hn!Lug|th!q*JI*F5vVYa#E}mdf0dJ)}D+DzMqmDT7-8v0wD1xp7+}qh| zdE)L-C%#|(pw@yRx243n4A98}nbu-O2KT16TCR8ly8VxRqXGNY<cJfFe?-KdE!a4v zRYqkt=x|~;(1gY5Loy|Db74)i3AJgU6C7v9w1f7Yo9Uc6sBqMYud8~a0sCTR(7c+4 z*fWJq6IyN7{Fkr$^LoGBF7@l6Y96|6bME!qXH~W?=~3=Ibf+ZBYuOT4qo-+;bUY{f zrK|YnMNLv$xm4IWbLYvDS6il>>nl!vzQfX5=D0<lv3lmt*hy+9Cz*Io`dPRB_0I1< zAJ2JR6?6Xl_wV4_g8jcPY6b1(2)>=R`~QF8liETn_a&)zyQJ+&5u0t_$rMm4c~B^u zGmDR7T2<SEZ^y3h`!l(@KPpYl@opr`>9^hi|2djO`{p}jFAlH!%Fr}_(ZiX+?p~%% z$3Am}?d)6dLaOPioRY>rlY>&ydWW`n<+*OSmdCQxnB}#MUqBh(!K!qDDfaUdnpbmZ z=_%e=;T`akvB}j9T91Y28ZO&<V;alVa>a-w`KDRzf;y(N7nIFRn7NasbiGPMo}lC3 zt1Q}g+%J6aY?_*;bFe@v-*JQ2qy;bTv8<N$3ixBuWa>8`GDog{ezw)6T}=zVI5m}q z2~K${yyiQL<4<u;vl}&<$MxTNY`7T5GL>H~;z>l)s^vmDVX7<YBo7L4b7bA~*>Ghq z%T{^yh-a<PB5(J`*9L2!-t!8m_iqxlbGq<BqG{?rmkU2)nnLSb0=`H!UA1C4`d%^O z@p%^2`RWm`O>=pcfBDAp`i*12H;1OLeQFx<N;e+Nfi_A$wg<brg$sx5>r9yVl_m7Q z%Z3}pELY?A@?4Hmat!!9m&IGwA>g-0Q>vfRifXfiMfM6S?yE#RWNT`B%@OuX+3|5Y zXISOcPt)7Er>$W)r0TwXt_WkqTUnN)-_;|O{R2L^G;Q7I7w{{osq~rflvuwF_oA9U z)hpb1AlcM)@pa|Y#WPu^-uK&ZqK+lh-fzPVPL`|t-8LL)1@F__5Nuj+RjP7TZN=WU zgqiJ}uk3s`+;KBci2Ax+DdJ%+3$HPYc5Tmsk1|c6`@90aFg0DRa|)=@JSeqYcuLKL zgtdZ>FM0Eh6kNMm#B^|ysKbsYZ`K97SN#^4@?Ya1+h$I!y4HlnJ6WbmvvljIt*DZQ z^k1b93hm;&yy_=g)6z1v6?@GO8d)~&IxeX5J+<lAbHOzq9z(+I6Ziz@wJg2ASyum< zx*#Kkb=lTiuQ|KkyMTMIa!wnrZG_y5=N0g+sOhViz?A*13C-1<TK`%T7K?Le?O%5| zQ`s7J7Lc#MY^SVCz~9R(-gBG+K1Z{7$9e_)mTF236S}guJz=M!<KO3;VHIz^d{#gF z#-hD<_JWU%EURm}7Q8sgvbuKif{M8bz45T2676MuTdoODsq0CY`<&xcUYYT-t#M5Y z{_N&3vuIfGNS)KnsuO$?(ax3yuk<;@c28VT)t}Jm&YAVEJ7J}7{=tH*eD4is-a)fL z`FBvegvIf%FlX2b0Ta+kMDvAB;`}z8ie+(?SB!W&pXI1|WNYTyjl7O0MGi*&7Mx-? zDPgWK$EkU)8}7BTJgs-z;0PK>bLN;Hvj=p9b7Iq}{|XT)kE0FOu8J1cG3#FNi=AVd zMbm<`i3ux>IkVy&Hk`3(+U3nTZFlp6f6Xk~f95WD$hO*W&eTUNz2&MAPya%l=FSf~ z3P{HBqcO*|A99W-S94s8@(I}Gz2V$nmel!55&qi~qn_UP*l_S1i|Bp#4S{{&gR3=# zL;mU=ObQnY**`6z^C~#0?RfI+{qjBw58IPYe77d*eM{ssirz4x`JErA65b11H2~TJ z`GW^`n(n^CPJG8e^W9U$K(pLQiF{f6L08qOgHBWd-2zzj`F7=Yz9>+&@db1{-17yV zf_s8l1@;BA-#i4qst&YqGk>!Idoy_B=5<iNO%>EvpVn&GVRO!jZ`J?AM82er)&*0G z0_wRzr@u}Hb=J=ul34;eP3G-n&<Qo5vsa_j6ZwkvCn>W31?|gtXbx`x@W{{pwW9gb z{>T#tD>j>gD(0Jgtuij(6B7BdBE^aX>_Mw9YC!Yc=Mxk8qNaoPU|iKX<M<p@f$W{# zYWV`x)BcnU-ncn;hoz;)zL~9-5^v8r@tu-SO5{^=2UX1A4T&r25<%TdP!r=9=vGA+ zkXu2`grL_ECmeqZiak^Ktix^jB5xC@HZ95rxmE<U4nqOdzdQ*#cptPIG99!IqdVe+ zV~HJiaRBJ_*+-y5SdJyY>&qv`pnmzSA9|pDo9UqYmqCLKyY*rFHv7{P`Pe|aN0WM6 zEhWw-E3!X*9WkT%1n9W^+KyJs4$xt^ucX%<K0CAdNSMx(1v{m=izl1{9aH-dbeuh6 z1mK+{sAjgF58Ahxl*q^B9dY8|hSP^+rpSR#(^(!dqq*yA!PFuF(2de7-W~!i7y*qd z90rXmm~j_h(Aqqq`3tDUP^`*rc>;8Df7NQxDLQsy&k{a70WG)yH=d44mOloq7vUBw z3h)E%Fa;gl|1|)#u|<a4as}u}8I^O%itJ}W4aqA`Vnr9?jvkUp`K9w@!EYAs;tACg zTV=kO$DiL_8gOG`s|?R~&=mpfn<q3EHnv)>m}8~c)V`YGQ2T3zC{V-mR;tbug?$aJ zmK8tkfB63WYA@qb{HTI4cS?27omA1b{r4^96L}MO9Fq$dYsbxS3FMs7lahPj$cBzE zVf~nx$xClM3)j!}()CHm=~69pTp6-dbjG1&u0}ygYrA@OtrZstb69(&z;l|xF)y{O z-lopQc?T}#&r7~{<Zt@s^LFol&n@1xdG1r=<;xbwcTdl&cp1IxM$oICh|9sN`i!#U zw|$zy^5Iwxqr6qt{uGu2CnRR~|N9ofzQFF+<&7T2>!Hilm&slCc(wmgk>r|H_anfk zTt<n**5$hk^30Ck?S*!>X7p$1a?RO)o82xQ$qqlA5c>TLlY|b#(rddTpa=fdb?!^@ zzJBG?n(}hw<3PWAL)Y}{{_$1Le5RY7vLS239>^;0aOg3u%fnx5n^pc@{xnkljc!9a zbHFS^4O52GKR*;g_4e*vFwx}tpB|nt(|eGEIcG^jm+#IEWI256_VrVn@7;(;T6q3> z1I)L65#>32^L+n)7jsy$N<UDUp>3<#YC(p>h7xzhLEgQ;H|~9)eGEghCG2>^YxiM` zjeoz={vszV589>?3tEl+`Y!0u%Hs(o^7mJ*zj3MDZu$2Yc7dQh0k8$m+|ZDUuDI;B z_xKqfMwe9wL2JKnd<cUss{WGutIJnzhu3>U3*^%^A9Cu#&gCqd=62~$I=4cQ={nG1 zy{5aN%ZATsG$p=uUw5tAWS%zCX|_AxfR^$1u_z=3KhIM6x*l|jXg)~eR@hm;`h7|g zX8)f&|0?&j8?^Vq#Rz=<==OViJfXWv-msfYQcL4j2rA7FR%U4XTDK0mHh+J7{KlpB zdDp5-{P%>F6~a!*tWJmRCU_XsyJRNlcun42S)eUL`OtW|%>Gq$c1>OPz2psVtPrRD z9xjH3ZCJL-*|(rmlz%jW&Mp191+u7muW?sGgmrD;`->KP8-DCzfUa=PUk5vA^@>~X zl7DfsYgWAvS7umx?bl?8zS=^OgByNM&bv^3B^|V=THqvj&&|DGJD>?6ZU2IaCL80~ zSMOp6oyqz2A8h-9{44EkC9is``rlQ80tdP=<o#7xO#bL{yL9HTRfK5;X!Z9kgZ!!e zRSZ1G6H4aWt#dKR*;F|BUh;-T$g7*(V9ECF6^~ifPVB3t>cc>8wW*wa4|3EmI4aBQ zeBWQ3p@$rmuGU5k;Fv7WR{6^RIw90H1f;R*?se#5Y)Dkjdt23C<%_f=f9-78mYeGN zZkLV}TSZ*8%>%E+ehof_8MG~_&aE@y#wGUri`6CTb&xFG9t^dVn?FP4?4A|Pq0N)? zuU0c?Y<;*J7MahdaJ2c>En56tB1aRUwWeY_G;oi}UG|t|UAUYl%v6mFd?x4T8c2Gt zP8T`2;oI_e6Vmr?T+1+z*FgnxjCYzp)Yjnh87g0=pFNonTI&X00-jy#0x44VS#%}b z_!GMON#y!`eWYDuU%8>-d-k8Ja^|Bica0*h+AaqdDPQ$K$v4?xSO5Ae;W;mN<)7Mo z?=JGrkbBASXr1nM>CDaYlL=QJ`~eqbW-+TFiGF_E^7S{Kgzx^ehQA8w6j6D*#n7E0 z8z1j41(hkq&qW=UWYvIA0SBK-YbbHodND`a*7r9Sf6uUqKq^z72}0fMJGZF+-s1g> z7?eWy27xwk?SdZl3-PV({cF`%+L4y_=l_j{2Gr*D_g8<PF#}u}Eq7z!d7T>$*|*dG z{z7=p%l6-2<nGpi(!^58sj}6_>%*s=X0Q@!=+NEctDKqU%jmMoF}jyQ!t4&HFaxFF zeZlb?Pqyz{|6M``6t4?Rz=xgx{4k~Zs~lKouWy#h+1N;iiK_~mLD_VtKO}DMNxB-W z`Mdk~7rEa5A_CtTVA;)P?egyo7bY^e<h)|)UGi@lQ%A^GKG3F;*A2+|^!|U3_ksO? zBgzWl2lm2GhW(Z-<tcodOChNAeF&&5k^`05peVHmmAUcXU)%0lZ?gctZDNo4N@%pS zo;ID7mZ#s~VR{1;KGLuaM)T{u;y0dLI{%d|+w#9Y?-}8~WP-*?@7n7gvu+17OkDL* z806N^k;rNHwA_VilY4;&{{O4*ft@xzTO3yYWleSq+P}Ox^y^~K-mfUwb`)^cQh(LC zs{hwrP^khda_wM_y{Vt6;%l>_IrQ#w&~~%0mzw@$LXP_VxXQ&K=Or)yYujD#k<0n* zanOXoegA^TEXyU$q0Q-_l8o2C$_083_?Oe(EQi(3|CxF(xgZdf6edD80Uq{+rh4h! z-pZNl);EXt)~^t32+H2~>^gLR2sqtNm%mbN5+;fipMN$%bNI4-%O_s3U(_60`ZfBd z8N<?RS<tOMV4v>)FyY?feaMC2HC>REOa6cV8ZS2CK@-wgNafz8J99lH7fm$MZ1YPG zit<WVzvLzHa?7MOmpz>~JxQAs6{I$|XG*8vYZtYprA5Y*#O9tWka=uz?v8A6@f=IP zTb^BO-hbaUuX?_H-Sb^?*FS&WyYBn%&pX%Mx8HwEw>($6@@Krw*2n+<bw3g9H2IgW z+U;UiadS$HStrwmYr-s`8CmtR@7niy`!seNAFMLwJSFe3;h;=Ym%2bsiJ9ZmZVs`X zT??|>6E-eoxhk(7@u-z^i`lF<$}I&uo{4!Iu3cKDw8FMIVdhPi(tf3gbuIz_b(%!= zR5Er<NLVV(DRtj-!>MEz*XzP-w%xRq@cYPeP^wyZ${g1X*Cw(o?N^R?eg--WvHA1z zzAv*_o~~EF0lG%cox`kZ-hxkRrw!J+mMN^*rG7ApT_EJVu#RE>f@hK}-mwk=)r<#? zx;b9ysYSd~Z2I+@Bdp`z?^BS)@HThyf>;uk+Hy#}_up_Tk;V17(3&zS$B&;mukC1B zkTN@Atu=?&dX<QDUB{cfzxJHEXU6jMzT*bRwgr#WIn1o4E%<buQ_M0S+|S<-99nNy zs!}HF_;tUK&c>z%&pHxT^1eU3B`e=|!<jsm((lR<?;f*!?N^L=n9ag_UNvH+<Aonl zO`-ps0!l0og4TIl{|mZ34>opFKG*6}6~E(4nS)mD!c%N#ChRTdIQ4%@LUT5U*1wqv zi|rhrF6Y$$e=D{xGqhIrVA5`Zkb3ijLhQm<{_q?OQWw0kPDrQtBzQup;N;!a%ln@E za|x)IJjmrQWKuIRVd-_d10RoD3$K}^rcotuFl)Y=MxD|@sryPAm4*kS!Ud=7o0%|o zDNAZQ%j>-k0sl<34d(Ec!4@CfH!V#unZ4i>=&r_j3tpLXimet7`7e4<$XxKsp6-N| zp)6ak3+rtD**qicF3Z89_bMywyAxXdg-mSv5|-u*n9TFva7rJ#nxyZZLFtlf${PPP z4odx3(b)OY%O`uSEQi;7*A3?wSyKBIBJ$-Ne{vmU6Bh{i!+H>OLFNCs2@~5nSN&^F z*x1Vs8s-<$nFw{7xxGQDN~s0-W}-QM0pDwyp4RyV?DySp=st(kI+ci*mQBZ`ZCf(e zZqjwUc$YJ*+TQV_Gv_r8p(!>~6V`s_@QU}_aIO^E(@)QzZ*?i!G2mZh6R%l~#N|~I z>NhgXn@+t~j(8%_v}(S3#2XRt2~3ZSnr7`+jacO!P;Gh8D4XNeD?y(0o#p~p?oUl< z{SF-=Y2Ue0dFgpU6T6uSQ{M}T?46RZ^}c|}{@#S%-yB}+)FQM$X)Ft^(rzj(Q`Fe4 zf3S*Oc*=jBgKX-8A%FM|x=j}d$rIEmdJT=xtJTZ<j?7b9@lU=<bz4o(WApn?8?M-~ zY~@#qSmqG$-KFX2KHq@<Nll`4z85~EHBEh|m|>$5@$^26Yw~J?Ialxb1k|V<l#+)Y z(xU#{M>bQ|Kj2qRQ|T*~)b%P6@70<<l?#KH7VYOSyY;8gXZ5E(mhLkOD`u%iywQNH zbI}2>bIDS4d@Ic{ZD%n!AMAMYZgH@CQF7C-*?fj;Men&>D9~@3YUgs{2S-!rK9_(m zKH!BedptKBljro(Q;c|>*mUW<@S4pZg(duMzU6$jV0w}w`%iw*^)H9jT?_QZ94hqr zy5d3W_AkEFd9vUSGicDbp;ad2|A9j?M?l*wel@gOdaMC;SHtZ)XB)Gxd>e7XvAP^| z0gOGj<qNq}hh(1YJK)6kYdPpjJJ97sapypn6P<VBJGLEk6cRgVY8)~Kf8DS4isRc* z|A$&n750G+l&Y}iw)6lUm3mDPbjFkp==j&xR+$vgffir%k`>v1-Ul6o1v*gG?!Y0L zo@*N>G;gx)oo&oMac$%Y$FI3MXB>0vLF+UmK!fmU@Ii&N%2$i|f<QMDeOn4T+9C?H z1fsXq^2FWqPJF*sgHB>#TU|J{$ly*_tEGl2XgnnzG^Y^=I@toWte|#ktIQG5Ac~ZI zq9XgrY|t`|wK`7}?xrX5S^2|9NlyGL2aUo<+Z{e#HlbddyV&4Hd#j9y{h>oLJzSsw z6%~7?5Cl3d0(468M$mA<Uop@mQBSLk3FrvmAkZ~^WjVZg=VVgK(iGY6&IFB5IfxZq zn1A??jELV1*j0)N72mG7%TCctQe=M&x{t`Ky;a6#`X&SRt;ZuzIR0S;%@-Wy2-jpk zITz$s9<ibcpox+a&^3KGg+PNT-K{cD^ivY~*tkJ+8g8JoH`GBVS{yqh<8sZv>%l|F zxKG|UopqOFj(}Ej>@(*so-pI66W=U%(CK22K?6TNV$T$e=eNp~fF>s1fsPD`KXgb& zL=JRN2xwyIl3I3Qago72(D5K|PCD@|V&7oEz7=$q?s?GNkm){M4<3SNekSZmc(s@> ziaX+jW0fFx@rB4UPJEmAHyW_-E!BCVAYaQDp2^2{d*g)WM{=N%w0h84x;?Fy9cMtH zc^NcA^i$`_f;!MTjEv0_njsfGsd8IptWQnki~GsEf2F)WV~Y6U2^EZ~H|uY_E|xw1 zaqjis7SEpFEIgN3cXf{6&6h6Tr~Y_&pLB^*H&Rubdv3~>NoV?Jw5ZNiTUlylxMbQ3 zA208deup$>P7*sgWy_x!D~aQUhibrwRsMUvZ{6{)f8JQV|NgxA{`J~eb>r-_X5i!X z-+s8X-6L+I$1~CFvt2G}dos8dq^x%+e|>T#gU)tg$G<!Wx!8qGV%#=d(q!qKF1)5l z)A3?9XV~tk3x3qHWcP4*ovV5El(%K`mTgKJduJrf-OG7OPcb52)A8qI4l@f-jp)qr zPgcupSzpgO#T7N&2bI1Hh1AYTFtqK>44v=4;fPYxtZG4>smd#AO%58V3th3Dp0Kl< z<5j%xhC{wAyuVqrZN0!pffvuOth@!;qE~Vpv_|clf<~RdK`D1Z@Vu;+<IAZmuh%GP zR4X5>Di@woKO>>JltuJ;PvLg6D31V3-wh`kSwj0&!1J-`!a5t1z^9y-t$G$3>>jk5 zGwZ(VhBFfuyo+jjnr7dfc{Sc)!=Y$S$d$qzQqwuYehN8Wv^tn&ucT4Od{C-hMdPQy z!Km{>Q|`U7<Vl}<1X>#3j9uRM1$3owViRwl@`@j>O}(Hig`JyR*Qu|#r5y3bvFX)$ z0iBH}qkL9BTg@@;R_lUq`&drPxCMNVXnLx}@>O3U;vqY9O!#4Gu)9~iLdGA4gIfNA zB6nX@`ebi?%h9!*<64Ef<4I@EYrj|=UtZ?8W;uVso2M+Vw{o8PKQE#AH>cLW{)EMm zuMOs$x-Foy=`+-ASId25J7t{$_IhkMvXaGgzuSf@sVrO9t42ICYufc)Q0IGm(=X%r z16#s6giT_6He9;RDW$I%@$@l^tG`;r+trX`8J9!dmQw!8<8@rqC4Yf6i@(kYyQ#~0 zE!rjEUoMOE6ArDqo`l81oLcp*2@|_HSN&^A*f^VW)&HppoyR${+>~zb`@&wZLBad1 z@`}C62aT%zz(;!7Ip1ww*7wBDE8ss%ljuI53k9kNy>y$7nG3D?B;K^BUtrBQCdZGu zX$60dWpjGH^V@K(k!2}2%WE0`fNwHQU&92Z{5L$vwi>ow)!tuLl9yA<-hYFK&y29E z*9CO8NjrZ1E}&D$;&}GFfKI8H<6EnPM&UwN_BJN$v=_Wm-;vPzoI@%vP2!xFwSdXJ zsR>ixKm+dL;pKf-?0f_M_%xaB^9=aJ)wFe;x<;+~K`VZtDYC+Awx9IzS^Z}<r`e6x z1&?-fnpyQg8br+tUYT=<WebJ;S3M|H4qfFs`~M89Nma8Jd~=gdh&r9)A7Jjf;m&T3 zSMpvP4ykiW&2!su={l!Wyx)dX`W#*N{5ITbSnxxt$<%C4>%%E`rX{SM%jpHWP*@Sx zGU=abb;)|_f|}lh=F=Qn|K=ntzRjTpY8R<<uFBh>xNPf<Yb;mqJ8W=iTkwpXV_KA3 z!0(i%)M>(3_O>MKoG*Cgz0jHu+o6+6A39emt9B<v^=?;*c>0ya^}AZc+rKPFrwgqK zRMV)GI~XM{JY`>7!ra-Mr|vm#xR=cGG(D%~VU9unf}}|ai)}fz_V*`DTnuf_t*fhh z<?$w_>D7JJh(}qFW|4ouZ^5S2Yr<FVCMEaHua<TEdzUk;Lf?_E4OAt3u>@_1Yj3q& zQ3I+kKx@^u-rq2x`3Gpd+hhC5N;`76753z?pDYcQf3-mLHVbIqCTO2$y17`<1lxvI z%NMc-o%lY@2hG~2Z=BHlXnW+0=8K@Ub9WLG`IJCMIKJ8+IivYTlvq)~{hHuAb1Xe{ z5<ursZZKe93EHsvyFl!jLhvluy!8d!;#bbHPxc*k;`<f8!GOIre)ELphjVq#IKGV6 zd6Hn0mdK|yI}dc2dIsoF!o4#=x7vYf4*4WS_LGl6bsK00WUV51@rBGy6Pn+wkC@SX zPZo3@r9WsK{tIFpzSsPC=&a_8-$3=S8mM8T&uzKl8OX64K{baJXy0Z7Xy4}fLo!R& zB`dPOy$zayVb*!FV0T-q<q6kx&^>+ob-9ZJDwMe`q1Oq6c9$Lp-MXj`s>;B1X2(4H zuM7FI?t|uwKobQ&WVtOp?wvm*vt?e2BKylo!<eO(FLKU0@olmO)o(o9mM7%T9FpMy zZP$FH398*Jxh*x~PaTqRSqG}!Ku62psMUG0zz%ftvGMagHN^)HZv~C{FW3n=n(Rsv z=zy`=paaGd71{5C+Bol2K*wP9w_0kLM4UMIK}zh|f)Z|SONslSLmuVQ71;xG56U~S zZ~Y0HF96-v`{t+<-z3mVj#3lQHGwA%$&{RfEH5~?`OAd88LymWzksha04*wXoZl)V zBA=Sb=k_}Cgk$Bqn7D1tC;D`rEZD`)T`XYT(JFJLALLl|4HKHvrnXvotW8m5e;W#F zd%1zyULdF1f^I$r&D|WDQ~9S?8gwZzkGNkSORJ^E(#R7Be=vwWTTrCJZP_7r{*cU- zbD+hip!u)IT4F^LV$L3t+43$)k^Lno;v-Kx@on1t{B!#S$9zU^%Ziu#&6n^0$FDpi zo*%Stv;GBW-{$_`HQTOSb&K-7vraARYlpB=(78fQO_e26CQLjeshQ%>#dqn}#PHk| zQzj{IP0J0J&b_LfwX>k|=FXtSyy-g&u8En?THWie>wfvx#OwTj>*igTwk!EBv**X2 z`|rie|JxLpM}FUV)Oh{=X*M>$%XXeU<0G{#`QDi`bFQyol&f)OV6S;S;~8k%=C=xF ztJ`7czA^3j=gnZXCgSewy*KQ)YqA==W(eC8`xLf0%`C%8VDrCo`YD$mc`#V5i75_- z>_FPH9c`1+JpHuGpZ0@x;ryMxNfWYX>NtEyOSY|`tkGP#n5n+2&DVmqTgI|p*v=2$ z74+mKeDBb%-HV);&7GcqZrNAQ?J1WZEr)Hzsg4Kj9BS~{x!^E(=bdr<$z?}(Ghax2 zDhyNdz7=lFG2eC{ckaCN%bxybx{!Ev9c&v;g$Zcm5M-02O83o@kFqzn`~q#ryeICk zj3G_-K$risbu)EUVY@#|pS!l(UH1F<H7Baxf<ZQHk3<7x+u3%1(5_SHeoOE+rJLpH zmlsJl@M){V+>`&(d=ttZx7ZD4v!~7HbZ8I#{LXVTUjk^~rrv4TX0SD-X`oGa6Z6wA zzXENK{nb(m+xfB+u@Pswd%KTyINzqs+F}N)H5Naup=!Rl!qxE3;+y$x{oM(^-PWM+ znJK*)5*d4uw!xXp8p*Ex6KAHoU%G*B^|bs`5a08!hwt=SQ(9VbqNM8d_syB5@eEdL z_Pt<-T6OX(+^W)W(3ZRM(3@N2*cKe_>c2Z1x=ZOhJl?^(Z1Z>M&lcOy=g>Yi{Qi81 zlJ|F~!8bC2w*~!^PrdvJwB2&+qvKGU9^Qh-Xw-L?cAw?;w<r0o{>%7c!><36p&QRq zf<Xxbybtc~S<sfUZ|$2h|88d}i75Pi4Wg!^+8eH>d71OFzjyi5FF(4<P!dsj-T<-# z>E3NL*X(rPlv(-ad^)3SST5fJbrH}$+oSE)aBpSXg0=we`;uX{_TDz#+1H|(PC&MZ zo}34E^UNa0_R{a5T~n9i(=Pv-a(q+f$8Kmc+*7LoN`~P5Yhd?0uAA!H{hejO;Zw_D z#@ss%4`lEjF0outVrIUOc=aCClp2f8$=jyDa_O~M!m>tl=lx1CTWcrMz_*&86}r=M zGOIOwr{!zC9=@4n=YIK`t+fYje~jaV?uEPUT{qj8(S--RNei^C?EjwFn_GT_Fj%db z^Le)kWS?6ce7o)qaD+Wd-<0|DCTLS)K{=#IsHrG}2lU$FMb67EpRS+pyILQ#DN(il z1SF05>z#ln`Rc@y6I+VU-ph%q*JY3moAd8DG{$~k0*7Ll$U;bVH}Bb;xlxZnHf-Kz zeQ1pBHUb4AI4^_uNUpL^z5EHZgYDI!zp(VP6&{FN*LCyF^m}hH!FP2#(}l#ZOY6@; zofGy99)@qhTQ>RAF8|udP!jR6(-~^cx3zF{z?o~c)od|;&=$$p^I%(|-@!9Z&YhAI zC0o88&57z`zK|IDem&HXhiz~#MM*DqUUvC-{HbME!8!ffVW^suf8iFD%6IV1EGzqK zJNw#y9*1_(a(USPQS>e2@2BQOo%wrQXTjl9y^LR4z&kA~@)0{NAA`0?iua{m{`AAs zY^@vzG|t<1gA;SgDOTBQRc2NxR<^&^=S1y&d^IP^UI<ni&I1=u;61_L9ZF7YF@A4< zbBmoagKXFvm^ruG!RCN>@|wsRy`686e)6@wB=a5%a|YQkJ87s<-<QF?);pW;NY0j$ zJTqN;i3Yyad@Rtd!-pR~J3CFtkU@|U6z@@=L4lNdJmvDM#h`7H1)%bI4TH8_WJ!)0 ztAHUS%L^}dUN-mX=gpaaLHpr9zK3RxJ+-$$#a;(wgE7ci@mmyTi(Lmr+E4DwYnW$< zCLFC!M^tioRw-7y-kiO;WsfF<Y}nk28d!>sL!@Z1gI3?0<hy!3+k(TdF5jC4Daz)@ zAnl5t^kPD0`q4!>QT3oLj(ffzfu-m!c=UrSu)mWxWo`u7HE+KKEJdrKrs&+YIZ<|? z5YnrxhB?O>;T&)x+UmVI^J@mEe17x<V$+Y5P`H}AS3xD>v*1mce^VH&*8KRg5}MGy z%|dle^u3wB;7#nGj+H|<23NmE#4D(}$kpDIS!n>;wrL03<i7^7iS(|ttkK+eHp;WZ z+(FrERw~q@lh<S_-_Ec7|Nob~@6xyapxKS;3A0m0kAM7Ny_GFnRcZPKF^&L*0Ir21 z9E$|<%sP_RTw~iQ5vY=IR6|KnP+7dQV?xx#j*f#}E!{#c21>USx;7SZE|9qB6|g4I zC1@wBpkU{F>(6Iz&b&MK=b7)7<>xBr-`#Jv|NOIJW&PSK&vL)-&!4y_?$;mC8C5r5 zsBS*^aIyx2Xus->6)pikW13uF31^(0V-v#I!oN1oyi{dd+k#(zS-jV%ulOl*Flh7k zipqT-mTwVeIjy6%Vs}fz&c&Rs<~waTbd!ZwUoqmPRMWBTf@>}*Yy8qUm~~!AWd2sw zw#~=Pz#V6cx}u9$CSFTqS=z4@k<RLPlj~sBYK~L!z8em%Wf6U^c;kg&(<y&polS)@ zmseT!Cu|gP{OZgxEy6G0b4t_Fb4n}rPD+@$8oDn2-L~a@Pu?l5_-_Cn%b&ATblKKR zGEK+0Im0YF7Q8TOy4uBZ^t?*M<0Q!O+^q|~L^YLO6P$9_VZ*&1O9{W976;k5)dX}b zTMAAdWnSLbGfgPuAHzYVbjWRS;X)z*<qitPHqF|v9Px^?>DH|7Ox?|_j(1}@rd2CD z{*^h%^<F)r!s?*ceD#bP)`MF2p*tKctDaw(cq^Z?%ieE;TZ-JW&?4ietz~K&d+iTe zxeHCPotLopGRLX<zJ%t}oLbvB%yu;|_>|8fR@t-QRXvB;?UHQ2==&2BI{!kQc6Pgu ztfweP)_kuGXMVDj>MKXQvu634uO9L6Ity<*i}v5y3qGpdPKa9l#v!02z6ms@4O;wa z=M?bCrfKUdmZj^}Bht@8JM%Z$m-T(QCOqZ8_(8VK+mCM1%5&dvF{$ZPWRq*3;)-91 zO-IiuuK1VQq`FRZ#ZTp?rSFti{M9&Ul-#syvyjBOqT51O;$1cznhkZ@;l<1Prr5b$ z*x|I{)O3z6GtRKzijE(vIj{Xtc08HRaqU)xwa@A=*H~UJ;W#Ddwc%b-(<gbA8xM4v zy5d!CywGYoWv>wN<Q;TMYjyKV<&DcZR_!mU_Q~$t$zocr9`P!n>DFnEX_lP}-pyn= zeU;<YdbNm$zgc*%3$6LW-gHcwBdqc$pM>AVwH#r$dlyu+CG^4u8YZjz$!5-V4EUwg zRJu+@quTgjRczCz{pvR!a5i<B$zQ&e!QFIfw~$T|gX7ih!a8M4j$e%q3W*C{`C|pX zt7PBggqiKIlxAmFs<QoJ-;A)oXF0+=giP+tPguHL(8O+D!qk3Yk-ZHGTh|MU?4Ov> zJ6~wZ8)5KiUgDhBZkDL~&A!{2u=g*=sr^$Enh&uwskRH~?fkKErSjs>oLco$6DCS? zfCq@!Ap=C>99hT8j%}GX%RitxzA3d&VMVpf!6JFp74>olx#ATwDr^sW$u=G17g+OY zIyBT4pZAwtxmT?-Q}q74gx<ZJUUGgLK<D3kv%H?;9Pllu>FYXGjsM9_yzi7({77%= zJ;pLMelK_1W{;)?ui{v`bro0q%WG2gn+aJdZEkN|s#417_*U(p(RRTrd#6JNhuRWa z!{2s6wr<*TO38a|@S3;a1y|EmJKumBQOInW_Q9xf;VE@333Hc2gYBG~ctX_cv#J{V z*$=X@3y0K*A9Pa}4EZN=P{t(;bdZpF<c#Ks*{w2H>Q5e$Q2||^ceWlhcs+A>;_{*k z_qsq`X3&}3JfM|!>fDwu=ASquBVuQ%*(9C9*kXR-;D<#k+pRQen?ZXwL4y(Cy_=<= z?R!U@_#PRHfzDe#HVt&tRYR*~hU@_+zDwSqtKc|wo+$k06nnOyT#?)Ign7h_=7YXE zPZs<r7JHWPMF6x8w7J!?Bjfw@`!Uett3%A^%W!fP3*2sPmAP{Nz#$oxBAq7-e%gsW zQz*6Kw#<k>a7ZR)U4kO}U1`t(;^#pJh@U?sBl7Q@6JHzXc<80q-!{evTS|a#0SSpa z@5HwVG)}+uJ80`jrp_71#a5cIlg1}a_qV;`_!_iNWM6Zu<qMk=PJEl{lN0%>^fyds z{*xVCG4)x30jQII8Fb7!hgeZS9Y1%mfaTOynGpNahh&a`renT>mbZ4^jy&P`Ib7$A zW7Y{LKIpd1Z%^XyFdXWBy<qoW@Y(5i>_AIwEx3yVET*-}@OXp9cVfhf4E9ZFwOkQ% z+=*`yXiDYQU(jI0Jkb3e$DQ~lZ5IDH{eq+RK_|XT-Jl>90*yh<YPEbZ_mC6cr*!br zS~b@n;^qwxqE0z}oVBvuO5*M@C%#j*V$T#lX>ePvumia??QLtgCi~aih#AdCl*EcI z*z~koddMDi;=2V}ap}|ADpLX)2-^vojrkuY_H01`KewfX-JwG=Q|6^9vOj*2w8yeI zV5YfdQ}=3+pA=@zs#?)}3p7QwZ%(Ua#+)-we3L*6Th~qn&02|qMxsDFM`A%caT3Lf zCe%-AwUjt>M|yn_UsrL&jOL3@pveo+T2-B7MfR(p<KwL-x5`}6PfO$zS`9k<TesoE zBdd&iMqdNiS8mmLvS4pttL2FtP}EEQeh@mVIc83)%olOca2a#tiGu~2+{GV0+5hmZ z{i&ZR$$9`Z=8@lX2Xb!r?Hyv7Q312IMelN4)2ym><Iw``sY!*4S)>gF53PF4UMO-@ zV}<aI7NMpdPNBuuSRx*4xUnfSDo@~y?3v2H&XmjT-zkynUMHtW&0aL6bIpTo<@1v7 zS=>ySoId~i-sf{tzF*#!_xJLxEnjZ_{HibecbeYDDN)MT)&xcU^1AjfbYhv#-wzrd z!s|r>zB8?Q*LA$_D(KwqdysRx&n;!|+xO^k`c#fK-MCLjHik3Y+q;fo9&f`$@bb#a z_v)Y}8Y&Eev;XgP3rc?DDY-^!jdsI9rhu%&xzII-FF$_~oxSHzX5}?@bI>Wd8fPV@ zNHsjI`2kyl)oZ(W;+4wF^HVphDvJWI-&*}X0J1LWJZRZracKO!ueWkR>!_3@n}e7) z%(-3;JCZeP!Ne^Er{W~nWX%g@Xl7k-B}yK;r0Ki6AkXaEKgBDr%`O*19L{_1S92NU zu<=`<<uiMV9obgzdM<hZbYS?s=g`G!kHcSRZ~Jk!_S2g3_u7cnq?PX_q04>F-oD>= z_Tc7~ANPUIpOpnKTf7d{d|9{ls9OI0_1`7l=ph{#{?Gxs_9j_-{`Kk-yAXzntG;o9 z)(ZV~gRB+${YIlH(fDrTy~W==kXGJ&RfdIeRK3IdjUP5Lb%dM+pESNUAG!+lH~4__ z`hfQr--sivy!o{MbdjWkJCnf1Z?{b*soBLcOk8yvbXNFR@FCowMX2vV9(`T^!gd!s zXvLVuS;&&d=t>Xhvd%xdO(#jk>os_o?g#{}`}#8zx(Mr6UhPpedD}H;>%I#9c31I3 z4s+j@tx~#9yTK#$cOPio*S`f&on;~iH_Y7o|5EjpPu`olnW4)w*XP5ovcKXg<;iU> z?yw}Q><ULi;@bPrWkO(I?)|Xo-r}8ED-i3&RzcIkn!ldCOFAK|zQVw(zF-Ua?ANWo z@#yq_&<fN#SNP(s%KY8Xl<>@c{lqIfHiM2e2c27d<HLGb(yjf~<*Qd6`rhzH$btX= z>UtQKLJ}aqKFqN<Or<>Mf=<gdTkpo;wYJU!vbfF8x+~$v8P2P_wtQi%c>4x)=4}sT zA=TG5sIANLE_p=V0L97A9iZ^p3SAidzF$egY`fxX+gZ<%BlA}iRNt-pS3PD`xUjF@ z^&7nGD{lXr8+tu*;N{52r%&f-)1CLvYOnkEM@$-}u%&T(vtf%n|2y|Caf@eP&DIXm z%<C_88FJ9L-QHa;205Q>Pk)tbc0)>ihvCaY%lh4do;UD?UHu0-6@6{pt3v3yuXIpO z`}yEwRsXH2tVe7apbOQmm%|sh`6*{U?BEMCoxXlTE^|QET4QKd`5VY`_?g|Vp!XN| zY(rZ8daWPo+H3#Tx?OUKQ*92tdzIB7g28KTIVdTCkL6#zFR6RJRp7j@dAmVtLNkvo zxWb_Gd~Y>WtJ(h+x1i*!(y1G=3bMe3igX=poq28JzNE?VHlFjo9$d#%VFr)X=P<qB z=C}nte{$6*BGh^_cv0w8eb8#?WP@Gc0?Bx@+q|#0WRVM`@34c6Uu|%^bZFUDqll|_ zq96s*bmUB0n;bLm>$AN`TDQ-G9_1eKJG6I6=jQ9D6GFc?ftQnB+wBESO?6xMC3WAw zt#H2T`$8laf1d|Gvc6FG__GH$uUPB{rQ#q{ri2>>%c1%0S5@s%wfdT_d&wJ?Gq4rI z7GSrxZ-rhYu<hB|s{V6FSp`;R%?GWNyqXUpVZQzRdHW07EPtdWeR1`^(6TI8+WeKR zm=vqP%30wcZ$@ngk%kg?!Fl!Xre)tV-hr+!P?*FBD)aYzZM&SZlV^c4V@JgASSe58 za50A^ui`-GHNP%}9*q9D{H6A`AG>|4`rm<9n!^@TueFSY6-mEMCrMowb66sEXOSBN zkMuo|e$Z0XI*0g;Czsz}_g!L77}ARRhsVlaK<+P)-W{O4@-k?3`)p8rUX=%x6L5e2 zk*w;!^)daF9v{rP`yyffoSvzo`v$bse2+J1#cdU6!EWEd7x&~rcP-4H{Z;Pl)@a0$ z;nB~bH%qL!d&Ohcj>Qa0q2C!nMScEyXq^0stUW3g9Q*!aZ8qb_-%QZ;q?PZTU=>Wg ztMbai^?YHxa-enJW;Kq`lu#QGzwxB#`>WMFW}wm`U=}!FYbs_!ONV257d&3sENKqi zYQIXbA!s!}G*~x-s)#k#?(YL{gTn@T1HoU|G5527O>?_+NKST5mfdPlLAEt#^`BLc z)ujJGXSi=I{wjC2A9<bbuT@YhZ{5G{F{{E0zAWDF#<K4W2^I|(YyTV(I=JDeuKb1S zlI5V|&7np8`@0LE>urC|&r&h{_B!Ee!U{JAuiPDpyCJLF<A25P{r~@uxX#jc(EQLX z=pNY0y-TO2%w58HvvBw1E0;QDk0<suTec@#%y~Iw+8v)x#haQNC!M>qZIaGh6Hncj zCgod~oby|xnrfBkp|*5s$+9I+&E}$Qf&BwI&+1RP*YWq;QlC7H-?}#K-~WElT9B8b z+L0=o4xV22@sp=WL#UpbMwRHnEOSAT_d;tv3OJrDWy#L`{?$JE!*#8_mItjit6jMD zV(NU8h7TTP_pVHg>s#<=Ba8GL&w!6kO}*<>R@5*bR4Nw;sclHusLr{{{nCtULbC<0 z-01`#b|EgTQ_SOd*O+6P_520@yqZL#ggK_kIPG}yFgMuU>$|W?T~oqTan7!DGY)^u zwiXbX@4VrdBa13GOZHs9fGXC5R^b9uVqG`flWO|(T`0#uWyKGhgKo3mH9icv*OxHy zE^IDZZ;q9a^{fTIR<d}{aSzz+x8X`D%hqsVoz2r0ynD=Y+SWUue)7&4*SL&1rOv5E zXnJ3$kUXfhTtLKjdcszHVUhjQ5_;!DC-5hK_mR!~+qvM&jD)$p-;ZoLmFK+S-a3}2 z-_>tC&}izCSG}<Ua_oZ%=c<1*5;pGUT=joqLT5Th*1j~kw#`?rv1|=yIjsY`eSUYz zE01@|EML#7MLg_g;a#s7@zR~8_q|d?szbn+%%-bxd&QT9)_6CW-g68nvO5^%E-=Mz zV#3_b9H*{vfNpmC!_R591GM4}w7>Ds;VTm_2D40!-)g>W>j^QI(EENHBH9-GddT8E z$06XeFpGDrQ^0Snrqn#O6}MF*-hBsOa+V;;v;5&R7VW*g3qIO3h4R5iaqe817&UXj z4_B7#nz;*}^s;2%bqx6B*i<@CMPv6tyBXK2W(!X77s@f1zTlA}i?pnBz|Y4l-7yXU zU$a@dWBmjEK4<a%0$q@CcE7Kz=U$h9>SHy1^KVXE@J^=bDWBSkc!h|E$t=9#0&Bj6 zHXU2d5%yEk@#1feu;1E_AH_MYb-e9<IOWc~gtd1$y+Bv5zJT`mH!t^<eL2@X;GZ^& zbc}nzM&}I|qnb|DD@HuAY+AKGz9Cb0n=WLvhl1nT?}9p^N-Jvh4jN4tzOr{(!p`|Z zSN69jwA#aNeto=BdFl1H?U|<c+7hOg3yat`By9aIBw{}^q1Rt{%ATHtwQ7zpqdBkb zoW0;pGRtdQ=YW4(e<xc==lBMEjAfD5Rah}cJ>tm{sM}UQ_mS<4^$PeK&EkE>Dd6*U z7H?axfZv%-sf*YXqDr@`M7*2J^7Xm!ngSKa!@`_lzeF4#OC8j@ucC2FE#hrB%Tam7 zh{yAxx!{Rgq)+x*T@J7JP8<B%7Q7K^`s$~k@!zwFS5I-p58kHUJhc^nyqjF*)K*w2 zMdTc2Hk|V+Uo9dj9lWZlRKoG?V~%N&cF^-jzAsv-ypzrGuQX@a4_3#+?3`i0*c~79 ziX}y@-qX3@g-+AeZz>w|lp`KHv#6d|iFnP_bSYeDO|h-x&D)&UUJFn8Z+(zWA9_et zcYe%~kM7n&A@`aSCLU!8wfER?Lz3m{Y8LM`Dl2~0HZ9FlUQsK5(8yolN^N7pPIH-- zhga^;OK3F#A8k_Gu;61R%jz2Vf$*Zi?pgPhPdL_qR$79WB=AMfXuejg^F(3q%YzXM zEnnn-rqOqUmLz~q4EXm~=gh$cN1XV&K&w66rh|?}DvzAed?HJ%DB!Oycd<bAoK~4D zpqs^1%p&*vd)Abm$=GuKlB2O9=+;jK)7h;uTR=yB8=a0g;rKm8?3u!MNwJ~}`+Hg~ zH9*Txc=A&d`MhjFr(N`bPP+iDNHMo-`OhJH<=!bLzDK)3H(vb(-FT$|I-XmI+tMRE zVn*}1rIVE^c7SjF+;o55uNBSrbU~{<q(Q4aG`KA_{>^T+lyEHu-IAGq^pK285NK6` zK6kM|^eHF4SMs1fb~<Q*pg8CdCsUm#3+|?Y&XWcmAfXIeY#9$ak>ldR9hSub6{q2= zM-In=uF2d28X3?}OytvY1+5J2Zna!dJFivd$ojNIJ}LVIMfQ`{pfEkG^F-mV2<W); z4+b$yWl}&_mgT356-~Gga+}OCC%&%Zn+@0(Kh=4%pvI89SYT&X5DR}eV+;Rv$FIv) z_FHw7MxJo|s|Z>d+Se*$Qfjg8lFSmbbVc^Jk)S=Sa@>|Lo<+=PzOxZDs-gxuo_j*8 z4A1(ML_RO`4HKF_zSTM7_>@tsNT7C7tK|yUX%C(~QP?NRU3}q2CVWlolRGQ>tul1e z6xm-UgMt;bjHcR-yZ8d=Z17LIpp)69xh*w7>sET^B`C5#6$eFrk8;ILzE##64A?hX zf>zB4a2HR|09`uz5;OqB3L2(5ct|EC48A1x#k!flRy5xMZLtPjkH>W$w7zGV`y;Kh zj*!zXdRr}5=%gsJUtAnHqxsfK(DB@I+{G7GY@X2k1T@Z;ZOU!w0b0aq)ejm~*{pNM zF@N$>&?zG4L916cgVqy+kJ{2m1D%JqrKeS9ia%&E((_Fd+Q0rk+`s=X|AdpFhrx3o zutTln42(CPS&`~&eAsxVWSZF8r86Qcvd$bnveWX}oJTeb*LqIt+$vV7Vtn+>lGL`f zs&a>Yls6yIQ8qs6>^AM>r5+vQ$@7-KKD*bx4sxp1ZP1}s|5k~gpD9@R)8A(6*ZTim zPeeOI{->*UyIiZjsk5z0h;_lQwJhFu+yg#yHZ48Iveo?L{!jYs@>|dNH0|0gsI#5l z@$XI+Z5`E&2*rq(PEE(I3#=*n%n~K-cPyOY;Qx?|)yw;?$SG_5vpFawef}u;sEe?! z1z&QSN}mZ#iFMj=kE`j^bK#r<Q^%vyoMyY)7kp|+nE0GyRbGii+vXcQO|Nzf=on^$ z*JMt=V^i|VBg@e7?NgT1S2$k9xo)__%kuTTTExRd@UaiK9u)YjE}WAvbuLF&8b_G* zj0HcGnoQ5BXzc7tSgXzHwO%!1xiz%N`?heU^4@fgQ?~_YTw{wC3c1smuy`-0mb?e} zgv!*WqhUfJ`<oIvO<7FeD@D9=Y`Wzyth4#vL<zsUx*XHIg|6K1PH63imU-=;m-kKa zQ_iT7JE#?}s!?SNUJrUtJ>s!Hi)y@5#Otk}E$XVQn@Yc_XjC&CtYU5Yv|J#kK+o~$ zdV!o0S;wdHLOP!gKqn%u+WX0N?)3<;EfZO`^~g3B)BC;~uKZ)!`dm<FbI*czmMo`h zXD;}+l|{RRL+YOYhD-7sQu`e?oXX~s@Vl7H5mqhk`0+2tH4Df(*6DL}d}T9hXD#@m z%JO=Rnntzs!K&|qQ~ui?WJ_-9T5l(H`BsLS<I{2>olW|VR}XWDSvM~DwVY$xj*bOs zlM_}(b7bB3-f-rzFbDV)jq>^PttM4pt?^m?FN{TdPxFF@IZabPvGh(CSW_hCc#)eU z>^Ga^$Jrd$en>i=bmzQQ)wiJJiv&;lTuGMHa@B}@F~^_%!Z{m2_h*7zB~PwQ4D1E( zk;xPe0iD#?FA(xy`=F4t-hnMy`z9u=oXeS&&N0ohWx+e8rl)p}0rjE>x#E>Gc1%lH zI$yw~t|MXUMQEs9{3@OlwR&&kf**Y>*?amIJaJ^n)>YA{Wjko4&3Vd<<65+Hz`x@x z(l#CeAN5(J*Ou$F1^@iY(w*ZU@O3Xs_fw8l^3EHMyo6S-&z>*qTcW4FqSp4HQMu5S zx5D5n%Jl`;eAwkGQ@=y@px1lFj2ec6TJFLkwo?<fYB_#9&2jAqpW{huj%!s73%&%i zyxwC0O=@MIm6mP2w~giLWfti-{sA8~ntG=RhWyhxs5D(D<geMmr0v4sm7vZ|v;M0@ zWNkhw;rBL|W7^H;1@G!uPRn`*?04C4C|wOQ9e3D!S>Kd>t`~loHHFqW27K{sy86#C zpyu*pgSDnYEUM+|5!vxgm)eEb6f-&A+{}4xcgKQ1=A33bS{EesCoDeB30?x~J8h3q z%!U+J0ll5Srg;iB94%8`@lUZy^_kEWn|TQ<xg6heb4=UWw&0yF%jv&!7W`YxqW!0L zLE@K+xYU<tA!l7Qv$#GNSW_nB_)(N4yCxHyLCaP>xf<*qmD_abyTF>y9F8~BIj`L= z&|DV!&zD8|jZeTwW){%VXFEMMoG5Bq6|WNUMyu)7ef5Y(dElAEELlgsTd(IgWS(_Y zv(s$K4q$j_YVqQo#n(Xgo!>W4X#VG@bH?#u1n6MOX3)Wujjb|0pffI?R_i=bxCOe= zVSlP3`^WT%8O?2eM?z0I7I}+3Td>uZ+tTCx*+ViVMW8z;K#M`=f(}Lkor1(R9kgQr zypTWO&$}z`vOVuo6xpBN-(bK#ae3qkN7JA~p|hHAi0M38@UIM1t%-A6X4Fk-we&a( zs?~x)3oStxepX9z7f;9rot-HQaw7P0a?mjnzs$KUCGzWp-d}TknhUA_Yj*H0s`dlz zAW_zNq7avm$S0)^sxx+iPDlz8E1IycuhsI!opVlnoA!f_&~yf!i3PfK;zo|-x*)zT zQ0v33dc%a~Pwk+J%|@&!z_zp1vSaRHC%#vuV$T!`-@<GEv+_Q*R~%o}i4|R_o78G~ zV$T65zFo8DfiB?K3EFv5UoQ4+!Gu&r_QQukm%ev`E^syDE)Li^qgBQQba2$xXwa?< zJF#aAKC*CIdh~%>32Mth)w1p6R?8P}%;2Xu>^=`VJmlG?3C(|k#GWMtBr38W<pwRX z1vOP_j6sbB(0wEF$%%YPpt|(evE`t;G)Y^m=z`Bi1NN0Sb)GD+Z3oS3ftG@TR!qLD z0X4g3wOVT2vCwR4&jz(K4t|)ovfoOB7j&6Nq}a0sn=WSV@UrYU2Rb@rH)ut$j@YvW zg&N$J9(rksd{L((PdM&6c1WgVU6LaEO=-{?+q*hX6#g54?j}F(#MdP~2Xs;TVhe6d z4bb$HixE7MR<VQbP{|SGwp=kEGzS+3y2N!VsI{OAx}qEu^Uk2NE<iJIn~l~5@%@Sh zZ5@)0IB~EbAGB&!f!k6-KOvD%D^;vWAok=TnJb`4u#cd7O&+fUol(a9;6MNOsrNrT z?*Gs5QejaDsHV@{0=}gD%YH#+BbGH1uHc0Y6AeWtc66-VDkP}dbF53qC3_7|qtO!G zrJBhLrYtj(a8*%C5}4Srwe4aDhog(~A{If8$t)M80$o(*Y`!+R*8a}!Yx%bCBeUOs z|63uwefsvUJzs?VbASH_A7FV*J8Y9j$}#U{PySBUV92)h4X8TSsb8<AV`a>FN{#c{ z?a2%N{9}<mqrRfT2698W*M<{YSwizwBi8r_{Pk>7jZ;~%^Qh+>v#qv{Z*Q`kJ~P`P z`*3)fl*0zYQtn{)qT@nWKo=+<h4f(4nvQ)JT=U7o@uE0KShwz>EnVl8B9i%=CKYpD zvw|E$HBE3ztn-F@S6QA~vq;|oRo99w-g<Usr72%*9H097AKM~!vv0wxJeKaWiYw|Q z4hpF@&GP1)7Uc`>zg`mrpG)M<@v5IQ?8Xlfp5+hCShU|dU8t~c3gw&W050?_cO|^? z$Tf8Q7|N1u!s)e6HR5$%(<Of4HJj%xc;mJ_De85uZ@@oW7U?(M0fzn?E*i2-^;eEa zQFXkUFRW9h?D#caQ0L<X=$!S>?<<v8zQ~*r_I54Dw40p^-r2F723@Xokwtrs(}fLg z8!m0<kh<@%;gmjSmz~FkTlYD-@*@rwT&qxaJSoe0?UcY2y9o(%7sF<T^=4UJvYrkp zv*#^%q|aei)v(}GN5Vv2vE-=G`wkm!@UdLI@4w*)Ba5lM`-Ur>EL+d3MJ#g+_-+N> z$oRjmN%Wr;<V0_|a}iCMYrj-A9rJ7oz2_ca;=bWlI%n5>hYiPOvZ(4SN4$<|y0l$z z&1H3s-y#RA^o6F>-wpD!zA<mXqiRmGS?&Qpjaj;7%%RoTR;yB#GET>@?}c>=xgF2m z7t;C4;`mmaW7^F>B|fV`H<Vvu`TAUF&4*0LJ#NhlK1wx(?sE_LqSkb^&N-mQW5coi z&|d$^v(plzvUA-7s>;C)LEAYAdxac-Ugk85@D2F5n?-u9@`^v%O|EjPD}L!W9W}FL zKD=_CLPSz{(=2(lh*tqkx41c`!LQ~jzj|dN=+y4@su2s_FMLRBn)=W4LdD{zGp=dn zD{AaAKA0u1q)}&ZP%2(UBT_LUTh;NUHs`gS6BoRRWqEDu67Wx+McQSxKEF6*!Hc<n z7eme#VaKQZLOMkPj#u>sbjq|GzkcSNwxel5+U$gt)|^@P9vjXSvy__099(^7Z|8!4 zeJt8F4GSJNvS^EPO6e;_JlzBC^&j*T-COg@<E=Z((f0}wkNa6v=c`6!HyazSy;Rs# zTBe|}+x%b^yTFwHHV4_%g+grF6Be_9TZ5NWSNvjcIyz5r#k$P~b5ys@aoF%g;E<~M zeZx|ft>TVvWeys-3th2oOxU@Z<5j%dhC}=uQuo|8TzbzbW$(Jd>q(B!>K8suSMT`+ z)c7}<+PQ&;h>i<RiF4d=P8HgkOOFnAui7p!<-hDfHh+PTKl%sVX3szT@pQg&M2eZ? z)qWwJFohNWLYq{l319gmbuj3<z?FTS2{V6lyyD}W_M6}F@7Z%l3&JWu8&2B=^eT7! zzB2KWJBO6K--c7+99??K5o`Sd{$w?o*0}|Iifh^`r>gPS=AhN=IqjKK?@mkLtJ=M3 zLUYZuR!a$)Q%-zcptBL(K!+>;R1$lpP;_+($Gb4bmh#sNeq9E2E$<$7;(Jw}n8+uT zELL=(CYRSdoiFG%=zOGepuVNOSkVRB8LgHlYCBtHzG#DLVJER7gFTa4Ej9kOw953n zPfFy|s!vm7zxWk&g0<=M$Dq!oDR=P&4^XEM+y<C%2ec0|iXC)1v?1ugsSvTE341{; zhFH+{5&N`6KDP2r6Ph12v|38o9(Uq9wSFH%cqX4x^u`IzuXcm>WPlb7{PP4=!zSF8 z8S_A=VrA((Sx{@qT|8kY=n!m3clmqY%6_X8e?e{q4O4KfkLp}Kr8#j9=q&5*R+*mf zpfwxwLFa8Rj+oJW%M;YS1RbmVgN@tLBM!7DV;88i4mxeSvaMAn<*n15IhHSSPdf2^ zdJpPeR>S=i@aG4pUDF3@*BF78Ld<TJ3E6+{kj#;F$%^b>Z%58(KJr)R$%3DtbGK)0 z<O<hhe+$~ZsdmzdZ&&pu1NNPu?JxCqpfwQlT4i{`HyE%l^^QDoaL3U@G9h`8triat zmkPWE)t7HQ#fk*#C$?H<<P-|(-*zmj1|3Z~yH#ch=yvhBOx%_y<W9rxCqJrl=HLU+ z0a$xL$9LTYg=ha}1NMpH5hol?w@I0&^CfMLIN?~!FZN8qupB-D@JtYN09F)e)y8Si z(UhQrqW8{fwLDQ8al-L`oY=DkA0$94A<iF?nPRr~vDVXsJ)q4mH+x%UT%Lorae|J* z+H>TPjLEc36Pll7fktUWL7nyFL_VwU@a-@s?2Nt!vhOwIwmgx)hYh@qbHjw@M|z-* zE}+f2b}g-z9iUA@SC4}B?}~C;t^lptIRe_GyJvQ*r3YxE$gS6rGn%LWKbZgO`hNy# z@h@DUGp%xa=A??Y&Hp~fUDo%{0e+v9tDG||SfmXMQ?9JFcS%@Uw<Rb?F?ddh*Rn7d zFP>(d03Yt`r7b~QGh<J?wDv8`STl`h!^8&0Z|sc8Pus1Iu?kC?HUu^aM=V}?KBoOn z=kN5**W<qbom0HYdhM^9>PwU34|lJtc^Vy+uenui%}dSD<1>8cm%c0He6aW|>l(YX z{YjuRt#0@I{Z_%gp!m)5#}hxUh0d<8<~5mA_5aGjRmZZ^`}|LV)&o4_f=<l;QE5ut zy!!m*YLj(Z4e7ED(8-g}4bT~oG`VXYQNPyng_Yjh=JlR&!IfR|&>1v-bwQr!8y_<F zx~Eqo&8ptr4V!BGF5SE2m$bcdbLihH$TZ~~*cmdjE{5m)^j`fm^8I@~#3F>B39!kN zqxP99TkmgmV_WT}4L&jFR>fM#^wQqa#(hbb?|yz7DKD#mr1eK4bb9IPysI9s_SUcE z2{W~e0#8%Ul7>zceV)wGcJ|$mJvOg<omoHRFhG}FnD;}cv~r%Q_AXh<zjpG$Rp)%b zE`A-i4sz;^yq}W9wu0NOpVpMW10BKzyQ6*g66kc#&g-!yHGK1YzxIneEO`~b05p4d z26}MV@^x3kbAEoCc5m^24@RD63|_|I6Q=m*U4`Dt{phy&3)@@sbsIcPZ-J)DrR$-$ zrQf@?a{Y}jcjGTq_w<P&U55K-5;S_U)VF_?+Z)E{vg%kk=;Ge9d!h6DKRsCvr@g<u z;(LZoC}_eE8n2tbxkl<SzwlsCGArKYcInbHrj8Ks#m$L#<)P<|wNK_~)3w><`Tk<% zI;5HFch-7Wq4ye_ubmjOe-(pLXgz2iHs^yeG%a08tUWrd{`SS{FY%yro1im)KOa0f z3tcxMX1{Xcm3wgv6SKC2gH~MpTMCWTuMPW>F8k+StnSH*pB4+h5&7dwSPEWy+2hq) z(BVEioI#V6H9oxz5};$7>^l=~y!jk|vD(CMPt1Ep*zD%cAn5F-@$?K8U0IC=kE@nz zK&Jxz^Mh)f<6@AL_>*g|`|~MltN3Ay3GRM|CEoH@x1hfPj4rGGF@u)C9E^v~>j$5I zp}p<Tuk|l&f2E6jc=h}^3+z0$gt^c-5nF%RBkTU^=Fr8*K?@Oj|HJP4-Me7@jW5=_ ztNJgV0<D^WCWC%`=ndi#Z_|30+*7uSxcXzS6r;x0w9>7xQ*XerdB42(-r|j`kmBT7 z7&OtJeRJ6(%4$_}=-hOWm8JJhRzv40Ejkl!9AaO8xw_;UXi^n+6474RaXH21St?iO zUplzzA9ohZf-7w5vFo8avsSqn<orxFe_{J;HfRA2bcR#@&KhWvKRQ2KW$XOQ2Ui7t z<pmvjW0nV9%@VzQ8b_ONeb(-K$y>G}IrpO-)VWvXu6VrKyQVp`*Zlnj&{<l~W+EqB z{XL%VFWx|&%l*6n8n$V2*FB<EfzHE9Uj<&iP<kG;>L=M?7q~duQL^0T_1svb%w1l; z5bE5W_8BTy{r^nk3H$1=3tq?7d=+ZzO4DCmy74=`=Y9S6j|pkjgdBAJ!i`5B$}4M6 z=^90tT0vG#^qRx`D*jsAtoHEQPiy-5Kx?sJOThlXPFBm(&r<n%?uKr2Xs^ErcrDg5 z@B%cp#lOL2@Afwn)AtrG0WI`UNe;+jnD+Tu04(wTd;7TfLrzo_=!B`wZlHw!7Fwi$ zGilBH-BtbPoLLQKz*ZW){}&3?TbzG$_4gI)LG$|iL5oAoZY+e9Wb=0g#&3N3&u*{# z|4yV-$R7vy>+bKbZEwBiRtW0d2J+;w?a+cDy84Ug?0xBR?+vAp%c3{;r$2?9%eM8h z$)u{;T%e=*K&uZ-tD(UQPQCS|yYDUjxE*Ar666T3+3H`uK+e%RYhpTS+BVRFi94X; z@AW<C30&Y(_rq)X7q(XaK_v<-d;gv%{|d6~VYYR&^2&W{L1j_D6r({-!F))GQv0f| z_UN?#747#H&woD!QKB5ogqA4Lf9ALaRfjXWtO^7j+GSP;TlNrjB|PWX``W$7U$n@- zwq<~>(U3QXt|$r1&Q|&Q4YY#m59lDaYc-%_%HSo@552wakN37CmP^cj4qH9*%&2$C z(q>kHl~&(D3rTkQgGfV(yWp7I^K5xl|2cN9im#uOnL1K<ym${3-Ls8{#bx|;k5%#) z53c&R2vjZ{{0t4(mGz*q=-T0`{(tSDH3-lpZgv^aWn(!X)q0nFbGC{wtqSU8m~yKk z5>oix`_;cM>GD_Qs{V_XpjAyUKgKVEW|dpzCX=fCUnGP+J`XAsX65g2{|CKd9h_#h z*{b@F-9nDa>tJvGyMO&ZgUYY@A1WAAZ&X93JHGAAo|u|6@57WeIf2={XL~-moaQl} ze1^xk+s5RKj*<7Zpp!-)CrnITbgnb~S)$Q%89(DQJ~nMLT~CV`cb^gAmz-&8{<3o2 z>$87<r(gfN>)!q6w?Ok96K_7)vsU@_zpUG5qW=4<toKm)T(2FeVw600<?gpCTnCD* z1+LtmoY4A*CCXU+K8NCuwl;TPS<Sf~7k=n8h3ctm?3$IZwVJcb-fP3L&n&8opYPw) z_`p7G?OIN+^U4wFc8)ihIj>nyTJYy1i}V!^tvIg@7qyyBl?&^9g5KRB7CL{fmC)>+ z5|>x)Q#~kD%$b$OG0m!B!8fL+R58ITwv!Wfc5}X3uM+Xluc?h+aE*ylMvi!b#p)c# zfD*ogS-XWr?$1x?RfdiSpKJ|wk4hJqk|(fcbJK!1vMjIHDr)=}Iml)_Eg?$OT=|BE zXFz3mlWP%6D8F*V8;hn_%LR2dwk&v7)U-5DeZ^h%h-W9kUDbz^c4sf|dt$b8!sGAh z!fQU%HMRW~TJxo{>DY0hH9@KxyJjS8&F1X7@3!GsKBt$R<A!VXoL>2N4isFwId4JE ztc1O_kewUJP=_6T?<*@g*E`^+RFi9->WW{|O-I{UuKsu4aKueKG0OD5&xR}KShjvw zi+Co`v`e+==|1m(|1wRY>y$DoxDR^mSIhWgaZv03JlKfM!=p2<WpOq=vKCzPF|lb9 zyYQN?sZE#E1=kdtIo@2#^7^h%z&`g42a{Pu|GRFu$TmH}V(NXD4JZ0oLhW5P+;C*M zy5D7kODcGnditF|7q3jbA_`vUQ7rFx*PV0PZ*|ANFP6@@#&ui3WRClWOYEFd@*W#b ziF0<%bJ%c;pR+68ZG+qF1y5{QvTdCLepxn^rp0{&9TEmUzdLNQ5(8*C|9{3NUOt5t z5h^z_1RbBs3+NPaI$o9L5Zm3o;Fmn-w2HO`&sOU_4_)?%W$SYRoz3iycmHxu`_1I| zx0OY^rXK9LvQ>%6uRLB>H61G#So4X!X_3G1nq}GsYah)PUh}c6Y0_@NHB*%}YAp_0 z9T%KpJ2zpkD$CRL$~PXAHFfP*zwyGe>C{BEw#_G&LHqdO_svRGwsAXt?HAN3)Nnkz zURbA8%kk||meX4}UfpxsaOXV-_+FF$98!6EraYd0&+CGP?}k(EoLyoZVZWsuKL&GL zvw)n|GJQ^rS?Q8eZO51FoYy3Urqp&O?Co85WXmbg0pfExwdz_D7CUo*_ijw)Ty>38 zZ1=1Mzs_?^t7w4SXfkoZx3=&^i_>SIH^uDSefi47m}v|C9c0n2X<hKplSTV)%Yu(V zO`&yO0blBxuKx20sPWlw?De{1TfFkzH(cvuS^8Zm;<-Z8CU@aA;fmnB8|!9321dm5 zXIUBT>R<3_K8ILk<APV&>kn=byFG0|RaZi%EoW9;SHjB099i=nHk^rsY!q^9`t@C4 zje$bO51E5r>m%D9n%wJ3m|E@&K67Nc%v{jTBA!i;+=bSB)NPt%+O$<qQDbjc!ra{) zr|f(-+%sl*8eglsY^&p(1&^#b&2BX>_@vGu7U>Z1bv8@)T+e{NN=>R^&||%3-(9J^ zvYjK#-gm>9UGXQb{ST3-TfE!yV9|Sp75k+Ra>=WoIQXFebTJfY-Tvjs8O^6!b)G2f zYHGDy@pn?I%#rJ$1DxwOOlW@6^Yq3p%Z%JpPJEX<#hxwL44UchVdBug?O3*dWxv%6 z*;7t@pYA6l^06%kjZ?7doH@ASs1x6*{RxSDO0}RB5Z0h21*e_(UghuUoBme8aCWPV z$^GMpWR`pbUHQ$#T|B{ZTB}S6XezqsIcU<w2-Kne3?8snsM*2S#t)jjc$u&BB;k(` zcX2@H+5@4d97{m`{BLn$MFRKRTP-tcrnSnb^n(uX2F-1J0nKeZ&k`$|@OFa%`(E~l z6ORAZgZloUi^ppYf8AkOY%mMt%J-o8?`qJL4ER8b6?LG?A(B)<Cu8w}PR43)l`#RW z76{r78u$4NI+meOtmuO5W&`$}*Vlt)eCn-1uFVrGGPu(Xnj)IjD$~;s8cVqk8u{5A zIiopha;wade9*#&{h-r4z~@mY{Je3+T^4*j`Agos1EI5;&us(E6)|%cU${NFRp!ZO zkZU!>iUMpVwpvQe1-TV;$oj;qI!_i<ffi|0Pid980-8}0x){2{(lR6O>>-&YpxZsZ z)rdV)D1HE%k^$e}^==|)@WOgttIU^d&>&TiSdl@@(L*v*-htvCG^KNDv(6I*tIfTu zP1v{UMxJo20gd~d07X8d&KbvSMs7<F{iH-bE6`!$Z$Lv2`Ji*W@1Hy*!y^ax(E^KE zzg9F)yxXyQO7kZ%oimOpeB72R-hqaFzJV@TQwHrS0Ug?H)7)z5VG2474Ri$6&gNE` z5>RT}xg2ya`LV1!b1XG-Kv%qgQri}7ZcB;x#}CPb@XdxDgd$*@_i8a;*7A)Lntup^ zPVbu2Dx;DQx?`<YtY`vg*6GEXR7LiizIl&QtzVo?Q)GW#zh(BnYRO4&?b1Lq9`IF+ zueZ3UEj@bBBg!lN)e_fDcl@j(FJ)St^q6&LOUbDv5zB=qUs9Us;r;T>lRQ<)zGNBm zVwvOT9$FMnUN#wYvgMgr=uI9w*A>@Y|GHz|{P$lWS9#Q3f4#kD&zI$9#kc?e?|Aa{ z(*5u?pi8^-XYxMtS;P=xGbds3PnM~xS-N990={}R9i1i^vcEr}^SIiDSszd7i#h!0 zHjSTQHR)E#$<v=(U8}2`QZKQT@+(BVGidsyF0iIR%JJ}L&aho`78JH8Os(eZ;^Pdf z?pyFf%{;*(JI6hsNW5w5H+79#je}N8AvG=6X71&EU(P9O)N3AO^XAlAr*`8-VAHAd z0y>*M2KlVcYD(C6ljZ7n<%lFX$Fq+)r$spg{Elf#6%)P^>$D-Jf5AViCeeA&gZcQ) z_Xu8&%FJ#$W-YvC6Tjm{XO1xIX$vY^6MBm|y!4bJURyO?(&oHY*|OkGRnyl+vOLTG z_%!jR35MM1246F=-($lGO;~MFZvh$OH#;b#&5`wQV#3Ph99idga9)l|<8InzEvWN7 zvFR7P@R|UXj0&TJUiJzZHHHVZ_N!~`nv<~AUO=RNQbKR|-9Mior)SRT@$X_-^VP5E zQnkREVgbjSi#e`YcP{wzozpBsAmoqiLAUL~A$1cHCaQC+%931fw9V4-Yq@~VMr+5j zG6#d)g|665Oqgj5UaHAr9r_)#NbSDRmHkr_T7Pp&+4*e<dLrht`ePc)>OFH8yvSo& zUE8zZhagLK&C~@?Bw4a!Jpy*QZa8Puv?-hOT6NEYKlPkuH@<}Jd%|!?Rs6o0Cewz% zSqnb7bBbvSg#6_?n6z9t<Ui*@p>TmKdpZ+VnzC$dXE|--A5cE|cER>()!L4KV>!Zp za5x@z<_!B~<oLLiW%U(xfqhRHn&xU%-MljKRxjjs6Me`S|Kr<+YcJgvT4OwWLC&;< zy_GCa_q%L3$kfzjuXZED(DA7>hghU*z}NFE-MyTv_OI)HsIw8Y6BV=z@+asXs#?x0 zd8Z9$3Rz0ESx*1$SnyA&Npzh$_!3xqL6bQD4X3i-AK22B=ei-PYr&6uEZH?(3!WIV zWY2X1pV6oXo9e25du1YMjSX`X?>wayKW06ham_7SAf(Rxpi;F^$X}I%N$$cS|Je=- znKsSZuMqJ{s_E8g&S{l17QCCxa(eGCKc3}va}ruX^<ojn!%6McKC+s%O$$B-vaGI| zyWmA6%j&;#7W}x%l3l{-W#<Au2sW2>+17K<SW?SXBJ#_dKFO=!c;M00mD<#MPG!X( z<0jX2u=>C1_LYe@m|3p=cieD<tsK-Q^w<zGX~DNVmeVpG0pB^Ap6+uC_|MZMTIY3P zgX@M%x-7ldh1Ps3Z(5`;wB}pe)H!DRm=8+HLt6mqpc5)ZIlRuRM?5cS+9WQprkvIB z=WI^12<L#0`7F}5{sER{pw+M6SVHgnZ@9t8a@F2@!x2sv)BVmHuKZ-#s;?Ze%osY? z^?lw-<(>P5uGCLUXuba3U~SuNp*3IZnvO*_h1xj>Y;oCeYddGxeD@8<)^mF4sYYar zI=*!0ye26;<!**(+h*`7u-+RE?t`u-d9-|aUr(R%ia)wdmK}3WJMpdZ6?>+zt)*4w z%6rhlKG0nhpY1_&%ZIN<Y?9fsJt>jT$a?dH=6CmW&N%9U4toTh)zlgcx<N7rblH|R zXcOYJR?8K4Of{RrS1=q3f1&W}#1(hhEC0?q@jbd&T`=|8f{m)&mLBJl68WO4H^J_F zFyXd5Q3sm4zY3~_r-SM>@Cf{5(2T|zC%#kvK^JHkzdL&Nq~ljzoimO(>S9F!pz9<% zo7G)E6zDd5cxaUYzEvX#RJVTvt?YXSI>%`*XbAr9K_|XnpyLl)1-UIHZb`)~wba-< zvsI?29CU#w=<utH&7cX5=b&{3Dq_zTe6$rSnvk=>fPL-ih!c*#%EX>6_<R6fTfVVh zZue@z_Jg%Myev=b?`*Y{PywC#*bLg-=^$1V@J|LbqcIUQqXF772|8BtD`@dXCurTm z=JSVSmdK?mvcCl_0X&nIUAdiam-Pk%_MNejCmiefKr<RO@L7e2e?SWhLO~}uF@a8S zQsuVn&`VC_%lZzg+LCq7I6h_-E1Ga;OaE#U_O)*#PdHkE)|BuiD6-!?3|d$K+BsV< z#a(P*0os46pPtC)CM#AHuy1;+Wd{!^=)k9khRg@ueR4zXJLqc8eX^kIQ(9YPOunZl z@&&bTn$TPZx?>BpuJG>Xh#AdymV#DwPHDB&Sd*s6e%KwfO2b&^Ny46s%%Fvyo*O1K zzW}9&ZSvff9pIBGkNd+<ri?N88pyu3IP!#Jl_7WWg~&rre4A{=o-Nqk*DCX5K4>mW z+78q{cytXkrI9XH6mYK{bkpe}C%#q3HyN;R<N~dIIDAM(MGUm|0ko(w3v@E2{h>oL zDTt#sU%1JG7RlW45i7bd|L7qZk#9+g?1y*ioH=;<|H1rM^ZzpzZR*VfZSE|5F^5(1 zczv~8x@yLf2^aZfmVDt_cPn7$m!c)pCPnpaD|(!^wP4@2f>by4c~c+hh@UHY=(S#E z<=4I~B^ye2B`c@rK3UZn<(HQ>`B`_fh=|pTC2zj(nV)Y{@?WN=V&DDu?ce|H`2ASz zw%=^?&-btIi9f$DHqF?0a@*Uv*2c!qb+a1U`}rO;$nRUb^F?0&tmk_e=Q7LBc*|Px z_dP>NgyD||Z;p1mn=S<%9MZMD9QmGmfzAIOw%*)gQFak@Y>F=Y$fkPu(HY=VQ?C1; zU-q>6{E1~(zd;Wcsi`mk9nt|lyJxL9=!lz{WoB#d$v5z=E@y|HV=_4$elEsqxsyrP zEZ@D!iJA{OaAzKuLNNFwq1%&@&)=ArS%1&+<`(dQDf<Lq2c|sJo2Lsui3)rOP*;E2 z<xjzkFE+gL0QqSRgSMT`zdJcx3P}v$6I0YdXC$T1neV$gkHKoqJlMG^lPB9vgPraZ zQC<f+a_1iF%`N-17-Yj_Y7=LbGHhmAQ&H^*_dEDdB5(VNzTNqtLy3g-pvOafKZkb8 z&8JB@QTJpT_*OrwH=4~fqm)70ZjS;ee89)@Tx-3kc=_dYy|c@%$}+y#P<3z;?1Yj` zxK(#kFDhR4dw==nmVch01FfnvAt#;e*{cON2YkNPuJb9E9~}gp+4L|ScAypFcpq@^ zyo;IVd)ggzM$#rx=<y?o_Ha|K@q%2l_ij$qU(i89>!W7+GM=$&5S@Q#7VIFZi1ON! z6I(uCc)ls~ZwctUlvB^4R=xFwpI!q#0Z2Z2s&Dsx)&+-8N$;BhG3WhV)O+qT&WqmM z^2eRQYE9IBD`?=<s34qk?Zt$bUuGK34*SpL(0+CHI%pXCmm$t0x+^Mc^j0QnvhVBd z^_5~55|1)7e&J?4u!cF}<F|dt3CQR7`nb8i-R0}gE_-?nno{?agJS}mQo)J&W%%aI zpZl^mWp34O@Bw>WUhW*|C?@dnKChpG+#?%*e%V#<0ZIFy#?*YOLPVDNrWvJvZ&EM+ zQf7Ry;n7d1l0CN1cijw9VpC9po*#9=`0f0fS~FceE{FE1`s~n?sP;bobyLLQ2*Vl; zr*?3BiPag;o;ICz!QoZruw$<t?#WLLW)NiFu!uwUT9#|O&+_MYX85kw2AwH0XZ9t? zxjpKx2p0u|&j0BKofl^ca?;$_(39uh8W$g7aAAR;N~Hha&rElpYy+Qm^!>Atlb^ny z10Qab;3{YZIkTy%)=W2_+o3&lc^&kSDZ4#L2gXeT1yJ_()XT5lF_c8qK5K)e=2V1p zPJ^5yy??&%>-p>p4tITi4?Xm1`}HRsj2#>xZ<%FSrQEu|!Em<NZKex}PbbNzK#GLw zz3><XA7A(V&Q#yi$3dz2>1?Q{4wu4H;nuuPzL|cj<x?-e@(1Ouvv;8>{^VS^no@Jn z`D3@)Z*GwTIp%fU97syd&j&|4*y9&UPHfr#`(94e9-#(4?fjciCGTygz%m8+u&<2& z{+ly@o(CQF^>H86-yb%@9UNs1DhZqUQ!c++G5;)+)tY-U4*LTbz}f4~Nl+RCS+-`k zxmC(7%b?WDzha{|Wqv#jOFw^eKq1%wKiqF}e9GlVOV~GO?o@`R)c3OBk_VJhA?|s% zep6;;6ob{8Td)JB_~XyQE&3WIw)y49=*^j5A2O6g{LNvQ2`QXrBJx(Pad%|;zil~D z^){e`{Y0Rp?)LthcF|r)N$1y>-`s6Cw?s%a@M*_$AAqF5YIVC=uo4yQo)>FmZ*KYH z#9+0i${6Zo`FS>{mN6)?fqh=;RdS-F>fpJYs94zszSaFVr>4ms0F~d7GhpEyQ65-w zV$0??<~O(OvjaKl`A0}VS5y~*f(Lxw6}V&$^-sC{=o+XfJe3bEpZ0bmk~`?IrnPq` z`<~tnI{R?ZUTDI2_z4kR+MwwA_a1t*U)-M*NTFM^$qHURy_*U;I_j5w%H?06Ff2SN z54GxTHo_{<!CLvZ=KFTfWqh%r=x8w1s(ZcgGziY<@9M2)PxEJ6aCnvWeCR1={O0fs zac!5aRf<*Zlk+#XRDnw7_}|kZ9{=$Ka+V&bZrjxiI#=s@V@}k6TL#&%J71s&bnV%z z3U@NNp4eAtrW?oa&^~p${26FI--f99LC1VqeYl<zb#9LZ_lpf#0uSo%|K?4&wlm=< z^gJ|BiIELCtI}?Y@9O0EQ_H?igC^9PP4@2hex=|4zyBZe%YE0wK%*V-sg0{AbRt)~ z&gz`mbxY`UkICezl21FY8J)^WNm~*5L@-+0$oou)iMP#`jIBqE7C&V?-D4y@OEOh$ z-ptPp#eUD`Jo@Q!zBo4j-F<t=PLBO|zklETKg{aUxwFdpe~$!5@BQPhvfg9K7x2`^ zW7W$Q7ge|pXr&8^#CmOrn!VshFH80v=YS&FgHh6Vzn;3U`(vwDzT1X#GEJL`Ij_z3 z57_6i;ou?A1sy)pPCv4{)BR*6bro0CupU%07YNzgov?8$%T@Co(wC!@`~r&Io0fi4 zSz+6sF!M0St9c$9?!09AD$SxD<94CI@}O6_fJvP5hOT#&sXL&@bbnjIaUkoqu*m)9 zgkBl&dDT{p3%+Esyw*|E*xi$`_ch0<`)(T!W;Jz9WL#fl(!JnQ8B6yYzkt&ErlWj{ zE9ztp3M~hZtseBdx~Jfk$12x=-%(Aed8#XRcO~q6&iU$m%)yV`w*^dmgx74*cYMss zvRcMD;EPDp)pP0^b(#mI>J>FAxerD;H(fd}v}W_my)`EpTfEQZ*=jO@cWm0bZ8&(4 zMRdO#_;&KwEZs3q;GX(jpMbxNO{(+MR{Xp*b<e3QVJutA6(iKV1HLOXJ>BOO@L#1# zw9cmSgDShgn~=lZ%loGMbGfj?eZ#3*7T4n}tM@*$^I8348cX(`?gdZov1EgGWdyRk z4&gX8&w0Z=SC*&$JvJQlWf6U^dLzTu@oD(|{)al7j<PhVYBv;|yn5xz#2axeSM6Ol z9GS>sy5Dodm76SErwi#6i#pzw=A0%ia3$V-!=cr32ewG%xox;)%_((WHR9=H7FT}t zh_})#N3&V7|I~n|7^ctJQ}oK?HCxlAa^W?br!9EX#-9}R`Y7kA{mlu@wwzjZO$mz+ zb7<AiO_*rRvFcw>!p75_tI9d2?U=ma*<u#&n*xVd+RjdxDGOb)`tF@!smk}lreEzs zYXa0VDx?m2*{f#k=}DNnUsz=C+=Q*}99`!tI4(y$=4DZx%#!`qFW?tLQz@UKMzzhs zs^vmc{&OB=TMId9y69tmu)EuKp^&;62@}ORR_&`3J>Czxp1hXD`;B|RW`_+|HnME> zSB!YZ)U<25kk0q;reE6y)_f3fJe<xM)@9rC(B$5%gsGqlH8wGEOqaQv{>tNRG0V|@ zwTQ>NSyay}N4yqlx+L3Fny04mTj5}pzwng$yIDTj&1*Td_O&N0)^U7#UPvcMX~i$u zrlaRnSNzj%Qe6i-0qVbPsY<E6<6FanMzd|2GOym9l(4g0_{#m+39aV^Ol-OmmgWna z*tI82Rdc+!nltRTxZ}sEEZH`W0Y#5ZCC)`f3rx8;GhyytXt14ow!H64pNhtR-6r01 zYAb$tH}$SlTJguf$u&$Mq;^ii#utlcgnd2BIqgR0f@kcU)2t>e`1X+Hw2sn>Xyu4^ z;w)c5GlcTc5r&6%gWbLQ1x@Z1v}CURl-9JUm?P}8fXICR4acT)c<HG`yyj`T<jrwy z=bQy^zOuZ&>lskzvY~P9(E_b~oe7I&Ikf5<5++u{MgjMOMgf%^zsd{fTvS-`v$|>N zG~p}z<|fQEWhqU!Y0K38?$-3nvq|)x|Ah|>O;h(dT=-GZ6uQqppv3TC)_!G;I@yCV zCch>t-Ppw{kayRy=qr45J@WMqC-%9yktZC>%t2jId2Y)S_47bWKu<gIb%la%b6^A= zG6lM9H0CtuvQf~60npIEUrW#`z|Vzu=E#`bKX*uG$tBPML;T#u6Cw>@yAT#sf4Sl= z`()p7C%#|LL043R4hc_e2Q2}e-YU~04;q6w59%{7dtEs7*@ACop!tfap!td^tuiY7 zpdACMVnq||X0}?s01eY@+MkxlS9Kk9v{Ze>jOK%XLAwLQKqo$n%m<CHUsUsdp!IaY zoP<O^CD87JSMHz-9UMWsML;)Z6bf-$X8h}Dwe*NR<HUChw4q`qXb<GNltjKF|4kE` z{~hyx^z4k|!?mD0zm2(z1AZBBTS`0!O#n@Yj~KXU2g{cR{8r?)?1%>~TKspwiEonm zMg#V>qM$vn^I9!mxEu$KkL+s&UH5*(iSHBW5>z(OW$ljyL0x-6Zc7bN&)fyH-Z5)- zt7XUC6Ha`uzHcyK?<|FT$;7;hD?F1gX!gbl&EI4|_hU?Nl__}+np;ugwtNA)bAt;s z=kO3T%wNLFZ7C6d{*X+_yCg;Si(5eli#0DVEG`lNt>EmHjXdF4<PTc<+21O&gg-fv z&r017b~nd~d3K<Mm2BLWC*qHR&JW)_q4|+4$f3O4mKv`mW0qQWoJmt;zxp<EM)M6h zohJ+CB`5L;fksE3aq66Ld}RW<Z1l__nH12;<L^M@67S5!iY~;TJtQNdbbenE?3nQc zi|is5jWb9aHs73g;#<@XS_2BY1>{c%XtRhSx24B6(1Gk*LC0WegGNe@I`M5fzuAC& z@67WL=dYA`vOO`8k1bQIDB#ZYR!a$8(5}+th#Ac%G(mv}-qO43xqt1Kr~mJp|NX2~ z+Iiz2N?l)?Jg==?^W4h_ZDnupPRuOHOtoq3XMBu|&-j=apK(q(ZLs;ov_;b<wx_HW zi&T5YsVkhTn>8~f?a9P5JeyT#o;&=Z5@{Vn`u^Yl>b~D!HQjE1?31PQE3zJ*u6Oy= z<+5sh#7U)zlKL}`hWRaG2-)A8&{@rq#m70Vs&B!!Ii;`vKYOrvTBJ)rxqj2rZ|W=R zMGkVQ3!B7vZ3vpX;3HR4Xr8LZuC|1&?wnor-W!fB(_bUM&h+zCQ8ode)lUw23N~#0 zrlK)dHR8EP(<XJnHRXJcKf5{1BK!hArZx3eu}qa$i%9VaD1Ch5&vbcz3-268$FFxe zr`_mZ@Jx`!d#*>oZl?`rHi0KV4!&Rb&NSG)$Xw`3yzhoX&EQiDO{&?JU9GS?sHNGo z$eSa~dd`9$oGjUMd;>l?HEms|rcuj$&}ucuDL#&C)w34-(MhzBJ~JCS_gg;G$|%Yw z;AcRSYoGdxDz$@2rcJNHg>(vS9nbFOoL1Ga;9D@u>70xiVRtPK7Ue6f*sl*cp;G3c z*L>BC8b;(3D(_yI7&U#tkH0M0f4Ube$!*A7yVcb3<y?+yH`^DyImq%_);nOI&xV6P zSw#0cZ@9RXWoo_ah7+|cq5nNM-00yoobxJLP-h~1xxP`UN~wV3+hmSumc0w!RkEC} zoxPy0JE1jR$Yjs-gr)TYCUNc?PKg;?)|I$7fe)y3XfowuQT11ic<s%yR9`tF{V5Bi z1#t8B^1d(c6gB>rH}TF>ULi5NH8Xch$AV9@Im9d*7QAZb5VLMw@JpO?+K!e5&-gi} zy%M+*=e*%eGE3=v)rh>!8g0S#iU+y!p-$u99PI8TuaZ$CbWkf^L8Hp>VAg$gjXLgw zQu~!PesUa)N^ZI|`^>Q|*CHJP%J`eUepA)}t#0sBS@EM1yniuINT=vB)M;1m`^k3N zx&-{)&*Gi4MQ~YYadp$uGUXL}TM}m4INlZJnD$%7@h>-L*bh0!!_pjKzjz%VGal6X zuc#q)SK@GFu65I+-9l?VeuFjwK5ba3y!J7t*L>Fve!UCcgtEMj^$+-WokiNlA>iYE z7U|p_oXbKhqnljI)K<(=jCdo^^h#bi;t@~Nta#OkS6ofEt_$iEUx&Kw?(gM&Puy6( z<_7y@x8`z4y>r=c={ARyybpMl!#uwYx57EP%sH<8;B-7`&3SEC|AH@*Sze#H!E;#C zdV0cM6~~|3g>njDyM2C4T&cYHGKW_E%!G-jA?J8lbFBK#Ijy2;!82|a?_A6F$J@(Q zBGU4kcCibAr^}WLtqD-gsE|D9Wv`S`V|`Goehzd|%da0-Cf@qYa@4#(F)Dk{tOY5v z64o+vc**;2IH$>ydR{f+y-?F9dzBjtoC7}cvq;;z1^oQa(tYNp%;A;4w3?27Qv#n* z*$2DW%sbdU$eS}O-e<!ZuBKhv1$4ewH~s1tSo6WYscm*nW9Hf^3K@IY4{E(v(x_57 zm^EKTqmJ*O)O{6=opTb_&gSs?&T*|W1A0Z*8{=U2D(T$L%&GSq6PoXGXziPvu-L}& zslR|ukm8D8yiG^fDX#ce+@u;NeC3by!Jur;q6>X_t(j*X)9kn{U%WeYNao2s&}2Y6 zs2=Y3?|Pu6+weh4mghXE;=FVnG|sLi_H4l=(7b%8&KbwI>vf(e{4?P$zA(d59CQIx zC1|!AboxNtnL{!qe2I$eH<dsaKsC3@JlPMbH$dCzj?Uj`z`l5Q#0kfr`Z{MEpR5F} zQvlyzeU*3HvCvt~5un2!Koyk=Xnn)k@1U)QptApW320uPk=ycx8EBl{Kk|g*e?QRL zfpoDVgBsATkxc13b7Xp+Z!%z?dVS-B<`;H4XB>5pJMldNjg9x72kjGpY#Ont2i*V# zI%whxs2+UICss7!uP}G<h3ckOnJ3DHF-t8aa!xw&b*%?oJW&lgkfIv2b<<Gi$%0>* zpbNF-xGh)Iceh%4Y>7DG_*ooO$Hjs6Y|d%5Jh28o)qde_O?2G0<~!LDCmiiTb=|$g zPJC_dn+@2P`fr@j{1LRu;%N|UvteGcBKyZ`(6#RqT4hw`Cnxen6+e6U?1{qO4$#g` zP!;!kvjO|w#h`hh6wp=bCGfeBq}o@D`P{TYp&ACdaipzPCIobAM$-9B6PkZ5yZun> ztYeZQw`In=lZRxMYy$0XQ2{L+>1>rL0o^uMBo5jNTLe0j(wN&);#<Uw=1Yflo+SL? z;VuriS@G}JY;L)A;N=i6nodq!V8FihE@+{HJ*YYc?K7Qs;*iW1El}&Gr`7UB%wZ?K zP3D^n*!Nxq?cG%1E;fh&wH7yTe{S!@z8G|W)*R5J6=?6!SI{*rWlW%ni?fGhRP^SE zfUX>AO4npRyL!3ZD}_>KZp)1Ipy@Scv7!sLped`fw;01U+5du)TcWsFkwMIvLo!qN zQWe=BD{&VGSWa%0aRIH=c<UurB(Q&8t7XQWvrc?*|Ma6S+v_u(5&Mz_n%aP$Tglxw zRmhWd$(qC^P0fKKOld8yOlnq&Sw9uJcFbT6Ve?_&ZS>sbawSX5WRXPU5#F31lX)1E zpEmoI=KKwQvutVGvW(2lk2=KMT^+itrXIcZ?OgE*Y4zDTpT1PyuReG3U0qhO@B1Gm z4~jpB+n0X;T~nUb7rEQ(<$>@`*Y>*Z`f$KrjwN$Lgu`X-6}R+3YjCZOue1LmAj@bV z^Y|Gvzf8?h@#BI#ujhZA>@3TWA0NiBl+nP5Z7ExV<@+<Ry$s)eOL>0X|0*H$yQnEs zK-S*vU!a#H`_BnclGyg8k+-V<ohfMfC-hLe@B0=*mw&eIbG!6qf9Zy-9XX40q#J^y z=PrY;9k$vFI!SNQ{MWW^*~n|c@2-Ywy(QMW<mVr5$u(JfHiFk@o1O<9M+Q2GtXEmW ztoWx|<u&Q;B1nf;#w>?wz2?`u<X~X`sf5tqOPIj7r~iZ<t5_&=aKpc?v!6!h-w$Ls z&!#X5azy9z<<P~vv;R(Z3;Nr_D!Jy>yg2Zlk1BcSS(*MZi`L(`bVjW5+V1bjH?MDp zT_(-_|ANP?y5C`vYoz8ygY~+BPl`)6*ySI)a{Y}bYi~b^lwXH*R_4!)<<QmWS-(vu zJ-h!oTXIcS%?gNj*F)_+5BBc!$Dh`in<0Dm4lIzb3Et*EdvJ5+hkr~RA#c4w$3f23 zhpz4h$L{_8&hIbYi$c04`CK>5zqao<_qzX8W))buD;%V!>OS;TQU5#3*5A0qU$^@E z3%f{%JIoH5YyqG(<@f5KOYe2(><NBvSR2Xcvg+Yi(9VX7<<Q+R!NtG2eDm%s{Vwqi z`L^R19d|dyuz@ZuUQ^cQcIl5PtH4UBc+jGIQ+d!0#IUISd}GnQ#T&OHE%$$R>i$c} zD(&pK%O{#dfUXd(oh`*^u;#NfbjQdei>AcCvHP!AZ@KJ%xb1lEc6cH_Eamw%8g$z+ z=={>ez40K=8cN(%H+!kQ?aP_VRsHWi*}sCX(tbY|w%EVbKSRa$ejvldtRJ96l=B62 z4khSt#jM@?k|yiFy;#i?ZnqeIO>#}iJXn;5XR4gN9}c?hSQ&Jz-DgdxQ}0+bB^qCi z|037x#<>39UkPT|?xFB(cS!J*HoIL)n9CQo)qJI3L(uC#zL3?{`z-nrBL1I9|0?&^ z7_>^eBZUE+Q+;4(NPasl<@uG@D&p$hb)e(v%t2ubN_}rGhv&Tf@%*dY-OnI>(AC}d zc6&jWg>&D(;xX&qs^-wc;7gKw`Klf3psTd6JXCE;oV<L`itiHZ^pLJ3FM*v9n^m5z za@Kxjb7(L4mSofLH&>yDWt#UT+&HuQ|H|(fF;Sod1l>4PycQZjQT&-Iyx(3VgjUZ7 zEk^hI8xK7z6P#%G=P&u55g&`B@p&{X(Z0=8x%wScAbqIal*{0?_B-h6YYVo;zmKd` zZA$bO-?!%bi+d4BTHoiv60Oaui6(QWrEJLBu?U>ade6ZEY3|zfH=d~f*FOKNAeYfb zy5Ulf<4VSebME}m&|S05Svj-rXC0_S+6mTc8Vy}Ozq63#aGG7T?-FMD2J<}qhIHlx z=*s(;^{_I@K{<27rIZb;Y9R-0zK&S}DGB3c+zr;;Kly3R_V=1dm#=@;hPwFdzgXp! z_bhzaR_{s|KLFZscke0G+D`2+qS3!jWj~30Zx_RGo^62%WZTC*KB!*ZKkmwzzs}6! z3FD0h9}SvY0^R&p`^u{JsM`L$KJ&gl{DrhprJoHN#;dQ!m1pqH^Zoo>9Deuu9#2R* zv;)WNpQ63)$;FI3&lq64FwVn5s`Sgms{VtoK*bUG4BolX(2}A1F-P0i{okwhy8i}m z8BvmS0EMx&J~ZX$d^@uEi`-XlZiS%I^`Mh!zrKT(4E}ePuD@}qKm3L5u6hlHcZ|?2 zVU_E@u|c<?ZTsNYyX56+ZiOJ;d{CVBzJnH^{<aI&-*|G!u&V!IF{qY;ZBme}U2~5a zeDd!tODWIK=3*d^g0kAR8qkIe&{hnvNAvqGSDQ>js-*JQMXiL~zP_fk(d|+~E~Cq; zZ?T{v@Eq)vVsLS^T>XpO+w1#0k;)_Zaj&4;*T3Ed`BNTL;C__@`4*H{b3l3Zr|=iK zyUDMr_+jUeR>OCHJPeldy!>6nVTqI-_+ZbsAish%zP%Qn^J%K^SGmKXtG~#>wg&d= zUFd_HCHnTWl;>xDP;CAI?RmTQXEStzOP<WZ4Kq{KU)xG87ya<+e!n5CR{Wd<O-Ebz zEtqH$vA#L<Z7ygRhm}5b9}hUA%2#*YTm1JP(jE@$`PU$J-gtA}bkeKYr5jf5j_zfc z61Bc+_MZ&)0|h*8_8;oM{`>#gK6}<B&=!wd^*>%0OM-4Py?b$ziG8${r8VDi8TQ8y z&OEf3e95ZR%6ekhA&=#tb1fAkKP4}}yizsw(n*h*9^NTIQJ<2%qDqWZ*Q$K3o}@E( z%9``fcg@><UjBY@Z2#+@|6;D!?!SNk|Gty#+vbZ_evHq(Hs}9e@srv@p8xK9iFKZ- zxVYx)7ZomtmoqoVTZuN5J`&EzHL_g9(ByQJHQ2q%oAZ>s*M@^yO<l`{a<=p>_@v6x z9pfIb)qBH@zbsehD@7a<nh|z3mvb8EA_C5XM%tXO<lHyJ%v|s<s!3FD9%Mj*fBj6W zpk2KSKGw3Vws8z7IVR(iy>%^TmpbRQ8}k=D;cD92r>aqFbkHiB<J3C!i1n@k|HPVj z->I(nA#u=6Um)aO5xbxCOxU>#rTbSZZ+yyfm7B$Tj!VGjn5LzAN-Jtj4;q;ZU%BhB z;f^xPS975?0<*g_P3L%TxTMr{>@-K1W!HiiSxr~ZscF<H9+V1)o>Mh>?n>pg)f`^- zJ{!(`W=S=_FL*gBpU?4UEr;0&p^zH=gKp|VA^&&|Dv1k#4<<4f4Eb+*P>5gfij1(% z)|-A3exM^hbfEp$oz*9<OuSRg@oK)qhC|CarRMo>2%5a$V=v3<KV1u6G_$P!+q~e% zvd;!<P2>Cniu{|l-t!2s^51aoBTMReg^2eyO`q;7-FVOj?eu?~9_;SM+;pm5Jwj!d z@v_jbr7YcV9RvRIvUtn*1$=I1@t*4!u-k3JnN*h2_3GdeaCwD@hxshL>1u{^rp9?+ zs9-#(H4{9*^l;MRc3;_*wG9h?WU^$}%v<neDNA;&d%!QgrqXxn8rDh?=gSUmIkmqn zq4_6^D8I^$3{}Uc--UIGxE!yZ7u30?u;O2BlWN|4NOYRlo0qD5RdIYP`Pp!7>Ndp{ zvsEJAF*N<s<_N2hcRW0qGwhd+<KwR^t84lfyjaV!`YR{sev@PM9A0@_wU_6fRn`Dq zfcq3Wx}FZcn6q<1&D4bEZVs)w=?RNxb87vcmN3zsbJf4u2^)3A5~8k_t3)XI27F%2 z;w|eNP;Gk9=()g^y%Q34z8ARiUSQ3K*^of{^x@%3<)!t4CiiO0eX^&@a&*1(-f%0L zqf6d%gIni<Cy^}Ku?_*hyqZe$lr*Z%4_5IDPWi8XkZtzczK0<`0y$eIEci5=Q_K={ zRv2g_%PaR~eMiozK(0Aa0AF)b#j;giCE}T7)2`X?8Z&jb+dBTW<qWG3c06oz&`Vw| zqlWFER=ko%mF2-Kdlij3@q<$J(D4ZM`E#u<buBYkducPrwVTb5!85;rf6gq@bNm86 zcC$#wx&`d?-Ed+qOXz=(4L6KguA1KzJ{*~3-85^rpblu*>@dePO+Uzvj61fuuRPvy zvwYQ8jd;k;!uwt!;w3*zuRF`?JvsV5t25>#Y>nmY(pQamEX$%AF1V(Y%kgC?%WD&k zQ*quK?!9GsTJOK%;AH4@$s)0&DCu11fJ*Tu*J%PFX9ac2WE{Wx3+QZ|w&2-y&S|^a z7JOUFa{7*Y!1uzYr%Khy7GJk3MI@#-wRLlbRarYePUZ;v`2#w@bkQ`}Ju6;W<DOE) z<8&6)_o@-E1Dh`C3#{3E)K12Jx9~xkFPA_aS2NJrgeKgU8g)IOO$3LX_)f*ACh{qT zZ=TTn${#e=%_de9Aiv3gy|Z_+QiZ&j!w)+dllZ;*dFN!7fKFEWwhwgDg(T>{@{@;T zp4gpo;`;@<z@gQO+fpL#B<Q~KLr#3h$~POZPu;(1Li3BypmCMZ?t<bXf%%6I$(Vdg zQ)E90x}Myssa0l)e+p<90b*FbF7?%7zN&E0Y)60OjAqCFRvD51pew-VB`dN&4PRC` z^_hYZ==6y1Nr`+(?HeXE{{kI(afDOn$%4qlM7|)<c9CySLAx^CK$m59w_2X~JF`{h z3*>~08}FBby8Yn6nZuEjl^qlLyfi`gy3S~|T(PISRmP=1J&`YKev%^lN6^~GW9E@3 z96zyvPG?Z(w)Ef!9rkDpx}D_H(Lbss7jA=AF_xz%^0BdRoY4FTbbw{x#8#Op_9qX? zxMU#)=I_t=wW9gPSJ0eHvDh<(j||+F8FrxE8ENnOS5Ij!1C6ohB*1PT4FJs}fEF?O zflkE&O)b0>f-SWzi9F%>rB3YGf^Q<+mL0blcUTr*xKRclG<gDAK$@YOsL1{jbWGH9 z3$daJx|<Ey_k!jX>J7Pz4R*A(%82AAC-S+0)|7q{);Z&ta!e>@sZ7ZBv_!rnPte`7 z^FjC2gKnNpj-1ha<s@j13v`L=n)$E|7Z-AUYp*!I69b)%HNDkR!{(S1Uz<MYP!3)G zC#lwuTU5R!E3#h%#l+eJPJEBlHyW__g4Th4QUZmhDYvD^ebAVi*@g+tZ$O8K<|}hs zo;W`3(X%rL6W)Oq--09QsB5r%sX-LzW)k;}2JDc{E@s@t0=p-)%3J{rq6o=~6<yfV z4m<1O{AL4o{lAU(uk`<CO43#I0!@NIEBea2iF22D8p*s-+3L6ajY?Ed*__8S4kyle zJmay<vLh-pCxuzfl+P5JRx)eJG(Vn|s#cRP1#Oya;I*tIN+tNxr((|~#`DjY+Lq6^ z-}ii%-1X0YckcWCx9<PXvpMr-N>;v%&waM$|9?;|e{xTbYPXA7)yXS!O*@%3+{t74 zx|v0LjY39+&_S<sL6bP&4X3UOXXJkR^j^^6L$yZL=PMI$-DNo{uNIN)6Hv6dQrb+Q z=1P>c(3E>q6Xv$Eq)r!JQ?BIr)0o3-$BYF@lM@!t=FqzDy5WQ~OXz&Xh@4~hB>cX< z<(xJHd{7n3?||ZiS0;wcTkwsO<@6lCfbU98PuD4|s8>G7RW4v6Be3R+h~s15=gCp4 zbKC<;#G9^uQ`6YjlhFH^(`%hl#A>&ID&B)u+29=yE#Bwu*_AHYK5xMvVHW9}Jq(vu z?chD=R?MN5&LI}*74X%f>8PLbiaN%FLeqt>>}gC`S<13iULhjQCE)tEg9X!W&sy*= zr%Ci4s2QQy;w>j<Q@Z4fW7DzJrqF#30b3k5+~Vf|@8*2Z>1F4);hGz_;o3{lf@>zL zXzW%$Sj8?l<v+tgw&g-0e{2rAO&1LLXLnF(JG3y4dFwCRY3mm7*R@G?+nwo;&F{Nx zxFW~06?AB~iR0a1j%llfuI!(Z(E47`WKV0t())rYbyE_i{udIttJM8)%{RBEN108g z-=OuYdjBk|OTRQ6Ulwy*+u5?<%~qDzr5vaJPfKWa=g|7sp0N1r_rqJX_BSR>yvw=j zo%@CxUQMr5n^e!Kt@tV0v~-=~idy4?M&Es)^+avOE01^gS-zS_w`8uJppdac`=Hlv zA(J@24X4~Wy5@OqxHX-#E8ca(v3^dkZyeXEIu?A{3>xp3KdiZ%`CwHs=c)J38yxE( zD<xw7e7G|4;!BpP`&~Ain934b@3P^>T$Zc<eKs7q%woEjJt4~btjdbL+y{+5bH373 zj(F$H@-<v=%?H<}wrq~DEMb#<a}%c8!!{Mx7QOPwoqTjg*vDMXYZcOtCo5U9byYQD zRU)37G;I<WT2pT6_)}geXG7nDNAjFzQi36MM;j&1DHS%Y%2$oZ(QkUyE}&ER26ph+ z$(710KXYc8b4=SgZ^64<meYS57yNt7qWwa_XZ6D{7VW*Q3qH<cS^b2gOU`-2Ep-m? zhK1{#UUBXluB~NR`d&Ta`9^4SY4b#xWn1q}V|jYtXTw1`aFggoM$;*I^@t}iO{?OS zBi6WrPwl?r9`HGz#e1(qKy`PsWo}w})2_^>r+f<F$wYbRd>sGznN~r+v>YF2bApfU zuI3Dr780qSm(Y7%rYUpkole-X-RY{1Hz#vmv!1=6W^O`rHiy=~DG7_KIkf&yO_=x` zx=do-zADaTTW{zzy?U<_q2wL#nWJf`pVEq2wSz{hIl)K3`g6Sc&Jp&58@vRh>S_?r z@{fEhtM@c7c;VD^l}}xxPU)c3R7iWN=+mQ>%Ak{A@4IX`H<u+<UM1pvX49wjHX@gA zC8#+b6*=hknNv&NZNmvpmQZ`=4L4j_uI~5UaHN;TwBC2am4*f1CbOK**_Cs+;NT=s zd+FV_$w~~T_s(7L&!$O~PxZvX4JQuCOtCxb#CJ>_G;xp*nmC98RT<i1MFOB{2$O%H z`>LhokC{JPAeyeo{?bzCjAOdKSkZ*oLx*I(^rb4Y|McHzz~1~>-Sxu@orVX!Cmlb| z1{K7+=C#U9*$tZHU<B>sROc=h*le>di0{?<^h7?P&7j+?_JU>(62yuw*n#SdDUl}} zzuSP$ujU0+7JaRj8h<9W%J95TPvrCZpP<P8_{2ldE`rLtpdueU9G_7H+RXPpQIY*4 zXq(2d-=HcOG)rEp$8G5WnyoL10#(7>pbNr4Yd8MSZMBpD9V^+jKK9({GJ{()TV<x) zKYK{VMQrng=B!50!IX!b_+EkLAB3iZ+8W?FlMCR(HkafjDzd+=1|6li7<81P4R`T` zZrR4wQ=0$zf%Znmf|f;ev|4J&o^;|nrVeV>fF>YbsDhd`);doV?j<JjN$pQmWIqX7 zcmX*R{3jRaB&;)_osgjn4-c14xSI=V)<lXGO^82uNQTERNs;~N=7<^17eNPt@0-<X z*>UHL6W^-x%?9in+apgn)}A>eqhb~fI*;pFpUxS_tZ>kBgA<_T2A~}|ojPY6-|>I~ zbOz{>a?mmj@SYfndnR84*_ZAHolNN{_H4l?9&XEya~4A3nS5EdH&1B(5d+#C+0ZJZ z0&11LW&y1;ncHglBIbw_-zLzZT6?7<PdNVL6ML3mkf6wZbUDb0%iJG6d$M4UEO)WM z%~beV+okV82cqeMj_-O5I=)Lwtmwj;jRx#1L1%65oz`l30(5-nF3{0acZ7AGEZASj z7@o<;1v)J4p^VtG1yd3g*&l-f@u>yqIB?KO*0G>=0%%KXue2I$mygFf&{mae%sNjL zY?BiCtjbK*1@Uc)1~qAJ>O4s}EdRsz?+<zDH90|`ioWu~>{QXVj|K9L9UTXwIwK6% zxVi9L%W`Q^;7Z)=D3ZL@ELAg+f9aGB?NQk&6FWFocDT6kT=R;UqR_N)5$__2iK-1r zE*l*;x(RY_itK!EUHr7h_<qSV^Xs3_m7n|k=jNYz-07}ne~tv-{`Y>qN1Sxs|9ntI ze=EVvB1MRG!J|MH>8YGr@g5sInistCW$9k4y5gU~L7~^2S$QQtPS@9dXphWNb9}3E z(5TvH!Pb*07OVm_&UbePyBE0&T#5JEaOfus?|PMpmuyYP%7xZkQrGyUaxhC@P-K1~ z%klg-E&)a5O<VcYH1<wNn5)W?x|-#6tYg5xswUoRJ_-?_opIg#KC+UwjsZVen_Rcq z2wh&ai}_$uX45NnA)Sp43!Wu4Ee#X666d+$Ojgq_*`}xOlvnKUOK5%0A(dAs(zf|h z8B6bTfi;`{!}=6IgWa=Kn;xC!ytZS`f+t!{Ti+>Y)aoC!>KC3;J1t@FS@FcEr@}1K zXVg~gkU!}5nL}&7$A%L|O{?P7BHmavy|Pz{SOl&3^ZpgS@^~fLbZfS3Z>H{MUdOw4 zIi~#<aQtg?kn6axiOuwcrTT&<`=%vKl@}1%+YZ^rIV+(zL-4SsWp~2ba)BxL+7sp; zhL*?Y<g81Vl$$yJ)ECMr;B`D|cF?U{IOL!0K_!2|kh@PLe6lyL<y^IYZbIi?&aApA z2`iNx-x_mH+c{&wyQ?gxYv(Wc7t5mk2Xwr@Gskor8DCk=y^kV%R(}j*S-q!s!HYPS z)wM0)W4ry-H7W%UM)5XXGKZ{ERAza-R$XKLV}m)oX+j}2+6UdL1wzgV>J&YJ2HMrj z%leM!DXjQs+N7GNvf^iO(^5I56@OI@8clp`xOSH@$Fyp0$G>+u!+sbz9&TmPp6hjC zhu4Nv;hbIDIKqB&I(}s5y!PWXq;|FZ`RK~TYtz&V{(R|UdA)@5l$^_kdraVCyEil~ zcofcI7Udi8^EgZQ9sht*j}13Ivs{fYR%+XP<QwF?Zbp`^&xLi0KS7;#_i3<uQEAh! z)f{0z1RW1obB6sgc6^-98CLn#b4J+3Tu$(@-8u)Q=BsE_3LT6(&EXaAw&7eUOKQG) z#CrFDfBr1eHlWRkiY?xH`&PAN=5FDIpW5v&paZ(3lk1?+bfGJM#0~~+7re5sJz?f? zj#qZR8}4ZPA1iouUMWJ+^+JJs(^NaZ3qK;7LeI^FE<&g}d1c})bIz{&UK@_-b9nJ_ zT&wC@@MT%I;o8z|Y8tz>4^~wRPx&u#kj=HJD_t<B#L)3+zJN{<x8v3M!aCnH9KY5J z=xqF`sSiCp^X!i$90!7S3tYL^oiNjx^Oc@b#5<m*U*dvmK9n}KnG3JEqMGrC<Dgc( zx<;0F#ni3Wg+=bqOz8bCJjJFZVXZQ!*L2QnI}?~d_3MV<)b+EhF72MU;Lmvuvx<%d zkM47rb<OF@46TzrsN~tS>b-JAj-KP!!<^G1yaGOdX7P^o4A||u;mll?QhAk#ck5Zc z#;Zg;-0J;v5;Mnin>&R;ED1}$3z^t;Bur&;yr{~uy0&k@4^fuvn&}IkNHuMJr>^mr z<)GDh;VHdy+jeig_l@Q0edi4a8CgW_eZeb%?Y%dgIK|nbZ`qKryeQ!Bxhv)}N31|S zOKH&A(4Z?1{vSRhvt*k_GU$>{P(geqQIY+w4tMc{-y)z(I*))Z=>*N%Pv2<3zBGR` z?9PMhI!_dSfv%a92GtbLmOp-W#_{o4P$w3=+E69kzxIk_cDz{8gn7pg$&~nkHXVZc z$oH1&JW;q0I`DBjs1v*%RA(gXJX!E3A2e-$Q*wuw<%)fst(F~ck2&$Z0<C`(suU}_ zVAI!X=`r`T6W^`r8w}WIW`im*@b1Kt{JEf85A;F%BM*S?=sfDg*EV}z=V}x7rRJL^ zG=E&DbH?#0s79!g;4TiZZflh}avpS+f~i>1ggtGomKk>sIq_Wr)unUYBTqPfn-9A7 z;PK{y;-U%l%-qEWH$b)6b#PlF33RxF8)#MEr;DIgjSr|*!vyMH&T5spvj6xY85Pjl z!n5~5_nr!JTV~w8xx=e?!p*K$nUeCfM7|=>rq+LopoW5mSdoFwW&`%6+^}UOMVH}i zniscL_FJt09me<wR3jd9d;UP{se<L?R?7@k(B4RXv1bd6r?<+a^d}_pRe6Id;Tq6L zjVkCCi35jZAV-4RaTg0zPHL42i3bgS7@vE3*J=gm#AuZ=P|qBEio}A-4_Dk}mxyhe z(ELqE?3qGvsv`Sc&|RH(K%)=)+d!T515SKx{-Dmfc*Kc=7GVcNXEmSV(|MvWD=m>P z3$$YM2Wa!@#u=?LDxfw2_-anj#@ZJpplTT$M=9??)$%<n&<&k^t(Fowg>s;C!Eb{W z!hr6!*kjLKEHLxD6W=OT&?aNh`WMh<R3XqJ+RuETTUqtFEi>%T9g<0z2Xf)r=?|Zs zanu8?)!7_5qxn!BJlXL)2Msm6bP<#Omp=dhPyJ&~yB~lSJHYpGnrB~HBX;gitG036 z(MaDbr)9Ht6)e$KHJy6-RAcq!R^_dEC9Bo4OaoVUN|#P-Y7X~Y>G<xH@?tjKpn?gL z9!EVrvDftE#LamnQNOD1oe!7WRnKQ<bN~JK!-aS5%9~eK-G4OGT&}zPj9qLZcpK*| z&^FG}tOoh>+z%Sox|@mLy&L$MJ>TK^h4}Pp#yI)!hjbPk?viEvSK|`Qm2i!5O+|G+ z(q0&5!`J73Mw{t&F_c8?gzdX|FM9&E4+yfY>%q~SsCjY?e5=b~8#E7l!VOt_IP%Q0 z?)X#7u2zD!QRTyT3RM?un*!Uib#1P=Y?ZII`d{PO*XHv$w2SJqLHD%1ZPibQ?N<YD z?6QA6H76<tw9kwmX4_uX|L~1KU>BAAQ<*&tbQS%o%68~g^zGj8O*PqbrDTof#{HR} z6IE-;ARDGXCk?VeOdWAu{k2#*S);cxpQFsyf;Oy)zW<vK*}=1CuMz5Y7~bNj{F<o@ zdw%3GSgo<Snx=oRX1-ZN<nt!c_p#GpTaRw+c<FG)ZLjjC%%6urTfA!WAg0w+<RStJ zbd~(ex9(||U%7)q>S;7|Q`%%{xYJ*+>*kwTW_JGkvajcvE+ig(>JGh2{<buHN31kx z&(Qt<+j64*s4>Wft&5xB%Lv*h_C6Q9!z%%{8}G}B+nY0g{suYcoh)=;(Zgvnh75v? zVIm6;M@27oUUqrD{+VT0<3XDP+hEt$tMeoFc-<9~HG2ETN^SPF{~QkOqUErCtlPIE zb_8WurQE82cK7C%8Egv<pIUny>f&mD`2Hj_(59iPeN%l;Z{L?Lb|LZVHilnM*bQDY zgw^~=L4?opMb67EPwzXw?5d&nrp&#z&~0GzZKi{QSs_^O#fEqDUQEb*e)W6m<zFqr z$Q$7Hg14!Hw`w*ob6)oMr~HX!N86b%Bt8v>ZB2e30JjRfo6}W2_421Tpx{x5+9ZF@ zvJxrHZUSvr{JT8m@~cA(B@uh=LHmxw8bs&oM8LPMMSOn&+EsOveRF25D}&XV3RS34 z`P=QL!1uQ;csU{S|D4pDTXukUXWh!oyvBHjYr~_xlM!(W+Hw|KQe~!_&*jh_>OOxW zBu?e$O$7NJv@0~?^%;<RPL-Lh-3Q8x(eluZVE*UM!?Np|-AYy|w<0&&%)VC6y5Mlv zWNT==e^Z6;Cj;-k&9}3jEp{KY$t!){WQbMoV<*F`0&mF6c<jD8GZM77RP;GCO52gP zoJC2?8qK}8S7~;bJ+DLi)#)`l&>gxjpTaYJth}tzTOPd=%f7A$CFb-nXg<7Mjz}8d z)brx;%`FvHpv1h_0AkF&+jCG{^I~J@%`JbDL3@G!cjkagvL7G*Ardn`Xyf+&eHOFB z>iHeoua?J5g7|8_%_NvP5#KL@;_CbIO_|``?S=1`!b0aUYUq5Ao9ElTbN)Qml8B!U z41b*%K}mJbc5QgUP<UDK^2J8&&6z*Xt=^RRH3L@W)u@4#fK%bxbWry4G@l)IJbte4 z>*LHPM8MmQPksj5W%RU(@AW%SW`9;|Hv8IgmIa5q?z2I+zrSq;s{w8E1;>{7x^v5p zMl!zG@aZOWAKjksS*VFw^?K^%Pfei2tPf3r^5^1>qv887vt>nPjppk8tub4x2Z}Ft zW`oxZX{-?+PcC}BS+k*o5wvUXwVbr9(OaGP*}kvOgObm6*rwudo$)7NJ27*>8(u#h z%Za)p(ZHwOA2l7a5%XR&a%ni><%>=FX_q&Fw)OYz)r3T<osBvud>~ufcY-#PZ{419 z`BgOIiw(by=tC2kx;Rn-0&U-3^E&nNuYC+95rwyYL1Mn*_bPbIv-!6B{FdG~$+w#w zl-qayg(}JSMGYX|__NEN`h)g!u38VZsofl2h+W&IYL#Mjca!1lu>Bkk?N`I^&Vr_& zoHOvuRh<pmUix-hPSk%;KAy5Y9a62_{*IcQ@Biz~iLwy~?FRmt2B`=3n4?yyUydxx ziP|U7z_&VYuQ4=mYBUgma~Kpjlgm>tzj_MVDSaNkH#r%eGD^!`+I_66_s#WvJ@fq; zCaX0+92?B{f0sV6rubmj_HuX`4z5)1@3)*S#{KT>vZse(#bJIqD1g9QhL8ES`?$Bi zJH71bBi)-@ZV5tn^74NN8**X_x7C`j%U?`*S#s|Crp!>51&3eFg_`o?i9_F>f9Lo8 zum8ip#;k7w%2D3zo4YPGbPCNmpynm9(M4B`?MA=>FY{?!zN@FDU0JF2cEM30uahRR zOLIloeH@vmaCmRhXlry~YU}rmFbS($lO!T^w4Y~(*968M#UB@cZmgSPS1enkes2ER zxazy#i_dwMWtCOw%KqdrdtdX|{Z@+R)njWOYKFSc(A}H=Gm!Ja-mR=LWk>1`b2Lme z{3iGF^+i61-zW0jmH)1Wo<6$mgKY1TYwJrlWc`=~T77k~FkR+u>qX`S3%148#gUx} zH?DN?zp`zc&9&ePBXnJ7|GLZ2bsb0cN_iI7Uplzzm;F@^hn1#T@|U2CzN|ruzE+7= z_5Zqtv?4^B9d;V>-wke;9^Eh5uqrqG)HX(qt>=D2mj*Q#vK)S<AGz{-#(N#aI<q~+ z?PZXg$amRa^q3WM>ENn+n>K@%x2&z723fzdx2&u);l`z>ynEgA^O24Z|62|Bs(0^_ zYtiQ?9$b~U7QAq)*KQSL@m2o1YvDOrw<|xb;pZ1Qu$d8d=I)mbP+Qg5q&$n?&zf{_ z)vuS}6;x|C#z7ZBfqiQF{PgCy{hN`_+&!iUT{Ppnf6c@#KkkJZMO?Mp4YsxvbZaaR z=tl7#WeKzW@0}{I-QEjYd^NGhaV2BKIqUt<3$r7>$4hxmmfz>Wwz_IHcftmSDN+Bu zp^Lt5mDL`dmKUXazUuu%kY4Cz+uzxt3%8;_^vlj|P7i+lhp8jvFnD2RpgY9L_wKA+ zf8)v<slCTP1c26U!H$iNgDuxN^6_$2|IV$f0xMt54_0PqGhGK=LUq0mwB+{w@vm}U zk?*L@mx1a0ax(j?TsP>%-mT!<jjgtW78@H%++}~v(RS8;m*4w~b?cB;bo%{k(V5Oz zqQW5fy3Rp4vnZR<W!1er&@#z-=(*Z)yQAYbE}b8@?E8zoL7=64(DkSPKED16IqO%~ z#AH&GHE6vTc&ThJ?7sGVy9MiSTrrmZB6s*O@_Mhidjg@CBOf^`<yl<Ktq^oIAGD^} zR1VpjpZ_fXBKI}fPItFOg9jUU&FiruSe)ix@QAVrV3@e7b~|YK>E;EHbR-Xo(EqQV zR`q}5oc&df0k*CUwrXq5?MoiB_H1TQ3Vjd0;`qNgEH*d)>eB6<Rn>n_bN<C@2H48X z{SmO0nc-O~TdP<FR<`wnPWg4K2OWo;Y_Q8b{z7=pD_z~a#|tVM^Xuw+7@_H)-wb?y zE`v)>Qoq}!JIYoOq5o%sGWfA<sFgPhSq`V&`^mc3{qG&*EE)~VqIa))M1d|xehXgE zS^94+k{|7NhQ7ZT7YvFI=yJ~Ir=bb&^}S^iO@40S3;P-upv<uJTK#RapW*xs4<&lu zZB6!OIXvy$4yX4QZzC_utnY_rGqHWECtj)YV_)629<<_BdhhRU=vvU(>Yx+A=WdUE zZ+His3?SD2T(KIuu(Ffgbkei9iw9Tzs=op%iv0Ely=Od7z_9rD8_+u2%hq4yzRH4< z0kjY^=YuT?{>9n5<mh3mh^uzrv_PkhUyEFb<kSBrSAUgzI}<5{tnWjMzliV8r93Ae ze>3Ias(YP~Qei*z67sm+4)Gh8o_{|neQ&ul(kjy5{4iS|ZkO_0E4*&%!Br1eg7xN> zbV3WVoqq8fSFW}K6-LMhfPZO$hLT#`6^~igsoQzNuAbKcpF7@r7CBP)ZE~OY^_MNu zndRp5pe4$UFR!IMyHBU5Y*<z6$jBqs5cE0+l*2*CogWkAnZ5tx>Q8I7%WEO8K(&S@ z`qKZaCz@2gD>aI^8XXQ^*Z%7|G<Rq3{vsN^t9HK4>%H>GYvTVef;w63-oF1YWNc<f zWpgP6@tT9v@Neh=_-FtA>hi7qpIz1eFqqX~1~WAA+f~Iv6Th%}ZR~r)?Hd`CLid6a zVfG!+$@`#7%w^pTa-Ox{zfgUpJ{~D8Dwn20E>6GkB~!|?JDN)&XlprWt)kUtXgD3T zXiEHSzc29p#ed#Nb;aEBnqWxT5b<4E%5$<cmqO6jZy?wH`VP`>C~-IY`)loOU%HvA z`tRLGUPNvGQf)7LLm!Jm61RAk%GNZHKl4Gkv{w#Pwt(`g{N?bRSN!)cRr6flo+{1` zyN<kM7BrC5^sai$+P#oLDfF)%s0e)QY03nuhao9g|L&siE9A>p-(!Ydsgb-Cs&UzD zDbH?pZiS$&??EM4={(ql8lWisf824e`)}LruWcEiNxxhVni?X$bM-DcSq<_ec-`sO z`5^7d2D`5RTe1E|P-*+U#o_u7&c5$Age@ulw-lPoqj!WUulzcJFN}A7h%&=cv#L;N z-STJkzNFyO?=DsIgd?Bneg%9O{NMfO|1-Qkni>I`8G@d9@#l`*g)<t_lXMTCIbxh9 zHd8ZAY^JJQRLZm&sVQ<Bd;*PUx|f{WxYO4r*W!B8OxJB<^SaJRoi+g-gmJ~^jM1iP z5oxzRRIUSEU%KseRqXrspMT%|{{8oJFZH?B#-BdTugJRn|G)4_Z6VFQNvhp0W)(M0 z=Gk^KZ8)XWv`CvXY<KU1ivEP&<D6c0?i;S9Jzx9(Zj=1#FJ>&S-#7+*b7=bdiRG!k z!i@(qO<mK4bG9@s_@v9yeaE=*+OhRHIt?Gf*V|c@s%-09Q1zw8C%bbdi)p!f#4CoT zTbntiS<YMV?k3CWv+67M&q-)Cb3DA8Bdn@r!N<)kt8;1?+k#87o356rYV5N=DCG{F zEt-6ErSjU%9N-hfB^_^GWqG|;RpY<FK{n;4F6p?A%-k)aj!)-uirwsA@G6m|Th=|` zFAw;7#vB2itz8SgNj0U)!B%1ZK67Q_9KAzZUY%EnNOW&%`z^3$igHGc&Oxo^!Xk5> zH{23xdSouR=A&`bBz}Q4U&Wg)P1I`Jd~O;`YPmv0ety#@dF2}qW<V#%KdugTcMEMg zbzMN`lG2J_!A(cssjv8#4!-8?=dEtbx~%G^TbWI%d}=GIO%4{tE3N=-Dh(Gj*)uT# zbS3A${)DLyp_3jL#h3M6i7Vz@7FuK7WO~mv;FChrRy*H-Um{JV=hQW#)g#`sHGQ&I zyYV2dsjFV;#*50PQ|sq7Kh)Wz>v*+XNN1Yrihr6-s(#Q_wdUvNT1|@b38+>*XtZ7U z%3DF5@9s^%&I_*ju*%zTZQE^uHDCCej<E}^`Q+KONL^@6n4-qM2?@RVLR0okO;}sa z;brf(;oL6Rf`{4Xj&8X&+cUt<6*9u_xZ&bQmZ|&wHk@E;T6JF`;!Rr9tNkhwNe%&@ z<ypLQizM5EtFxO@%am8_wm(=Tuf75_wU8~$F%5ht{hpP|OJ8$Jtyhb9D$e36uNLw4 zJ<Cz^S?!r?1=TfnavzNPEjYz)PQu*B9H-`aZnziI^y#`_&W5f9Nz)S+vvX?w?@bU~ zy;fc?0(59aOzq(%90!uD1w-oP4+^ylUHKz(FetU@Rx!u4NUwnJYE4h;`~v<nHi`c8 zx?o^5KRmL^*730{M_8pk`0&@?I*uPNb6nd2xn#9$)swBk?oql;m&%3K6x%!AT+Vr| zdg6jVuf+=f9Q?*2df$1&#e*zUxmmjBcm;e_YC5`3ZN)#?Ce?QeD}F{dEuE*d;xE%d zqm8G*Qz&=t<^{1NfX=+I?|__n(VDQ7n?ve4XV_0O$BTRiv-T@${8Kq7^<Pb6=TWD) z)a>Y{OVxsFCaY>xiyW*{7oK9@pU`|%m?OT3;h<mWpKDhpUVO_kwcdBb31ODd<1F2| z`#3JI`X|<;`b}lUPsgUEeJU$zl@1zh=6t2660y!R;D1<?Xr1eY4~9)s|G8eMxO`ON zoK`;ki1_1ICf;J_=z7k1?T3)#$zqOcQ4RrBtOu>kg{SPDov@eD@n^kI&W4ksGs2FV z3+8N*1~2(F>R#|loKwtt_JXS3gig>RT~LHR<6qvl<ek!rzXk`5&I?_+yP^+r=*4b< zH4_vwDl86q#Vcggs2$XrE+`V~wgI%1YQ5@==40{^Cmf589Fp1c#_NqU-=*k{2JCa^ zs<{>vb1CexWWQMpI?l3O19s>IXobedAhBl&Tc)(iOxb__kc`W<4HKGQy^oyHe8X7h z$%1_cLqT&MGY&fO&GHm`rm&Tt+cHD`&>@)=&_a>BmqA-sRY8|&>v9(d{J002^8hd1 zJ8TWI=t{aG`{U)H(<J^!oNz2Ty}I%yUsis)BKya^ku#c)fhK4^Nq|-aYJu)3kDSqb z?yAlch2OED#U1S2mM8v$rWb6EI`MV=2kl(lm|aj@6i_G4T`b@UI%N`iMt91q#e7Nb zn<q5?nhsiw(5mxfL8U5p@q}FyTV=LbgI0sufwo$L?jQZz-YWBD`wlVCYJ~h$MfSsc zK|4d+L6bHbV$T)?fsT^+47yHR6m;JiGk5WY9~a?%I#~_!(^t^`Q2X<TWJ=89n^sS0 z&S?T2dI7rkOg<@*kIjA4gyu({ptB^*xGgn6=VU8sN1kx}Y9{tf;TmYc#Bq=ti*=qX z*m*PP&KygR+`~?Mx2i$2V9bbFu+PErr4y_hTV=k0cC&JUw)Q^c5_`6wgqzz^0<^j% zL@rg4{UT_2sO~M$@#i&api9c<f({W$NaTwG-CFjmLhRXsVl!^b6X((s`KmxSk?uQt zNQUPfXk`jyzUT+&z}6nnB+S#^^@l@eHJ`W!y7XO}yIA1%{8pJOpb04<SI~SNXm9Y@ zWJUJ3sh}0Wrree<)}<!$74d_%*@70x<m})vS7$%G78IQ4K<9(%gSM{bz*mfX*#VjZ zn|siS?@@8&jAplH(EJkU2oY1ACklTRxQj1<Z;>mtU3W?5OB!eeaG%bZg9-9tMFD3( z^GeB)Cmes4h&@v<YHyVZ0Zkgd{@;B6O1wVfwHEeA;7b0+ty0P3g@5j>oS}ZkXHDvj zC}rcr#=6F5bfUZsH-DHiBQ<5#nxzv{7tNfQnzYtcZc>TWvpI(=ot}&ICoa1f#k;xZ zj0nGEq}noF-(P>hmHfQe>#wWo>(7_ozyE%|f6mjT#!CACSD(G{u>Qa6r!JSI|Jx_I zDoO9jS#{sElWD^dX5oxn6HO-$F4Ot5tR{8o)IAk%bp@5!X~I|LI&Zkc$nv#cE#jd{ zQ(I`$R6Ui9JxvKytvR~ZDM!5JY<l#X^V*G9Mt-yBxNZoWwcv|QQz>XyeG03<I)mK_ zuRPxCfG;WEFlWJ|To&oIsw-?%BA&Q5t%{E8%hWZSyx`Y77Vk5vD=JkE27Tttn(w*6 zXYPV`MomxiR9Eb8OK5e6-g<fX`SQLgX7Np#rhWozHd#Af)H#^dE-Yd{F`?HOd{%e1 zrsK=2EU&+CoU(J;aIcu<sd;Xag>;U8Kw)@O?>F@oH5vz%)S(L<W7hh}cIv9E*w>QK z8Omb%UOnPfV$-ejLOPpI2KlUh$J6vQh~?{d<%oyEEWG{75ieh}^qyCacxu$N$Xsa6 zH}0lK%uS~M3>y<pDz<q0<<+n*+j{Lar`LO*4d?c;r1C39toI1`m(3zQ$2;I-P*d+Z z^%Z}Ln_T6TR{Sb&I%*cv_AsPhSZAZK<Js>*I;94VZ<9HvS%R+D056NP40bQFS6xxB zdyp%(sf}M~%@^~gW4nYdM?EcNaV=Mgcx%gYlwT?0@nsg((=6Gw?F+sfWqG|u1+qm! zV9I~(gKQI@uIGW?$9dhaiy_Bk_JU8lImIj|EO@n?L(F>Sf?wM?r-5!M?*`w;xn4Qq znP=0k*PPR;&xY=Z{+ceh=7U~S+h>k2Edi4_hYhE;gV(RHSciUTTB*EsHAmNdzYWLo zIlxO_yIGbdYa6UBO%s}Ow>x3)PL`+ko*NF<G<Drqxv|18;HOuUs})OVz3+w_$t+j@ z`))WQrVBnr+`N2-2xCMRf77kVrqn)#71c@yi|#9|*x!-Rs?8y_PA%ePI7_d*VnnJ> zK*{FSl~cEx3yR$DP3Ywpm}1kDuvVDEYrfxxbBCZS3Qn0V@B0!aIOV_UK{kHjkU!!F z-Da;jvPEnE#Ds~l9IN)tPuOVV`1QQ7PN9_J+4sUarIwCwr8%eV>|gM%Az^2|(3Sf? zq<GR>&7n=qc58oG&At5#J}R=TuIXFwVkOJ!s~lbWY7vjwnkIc0TJu%F@nt&4wVNNJ zd{)0HV|o47CBV)ZeD0aO_lAp_EK~QpZ#V(FDl~lkY%8JN?F)Xr=bR=XcxB6LgSAVy zsjk?oe9-8(z!lq$gq`OFuILM|`4HRGCN8|DgxB%$ZqBfuvW^#*bB5i1P{p(ShZ#$@ z3N#;_+`GJQ3!jQcEzdzKb>S(tvlI4qbDWCz-EeR&i|B6_X<N^LpZi(5b84B7uirN{ zVWTC>RePrmM=r9M?swS`GIhZ>R+iIiW<b`j?5zHMW#XND&R6z+8xGZTNFBR1<C<5# zV#XfvgIe-x8dV|(v*J}W>ckF8*{gvMy5Mtsxs~O$ja$Gs)~2u9b_gEU{GZmu`we=E zxVXJZX-Wx;<5Qu7O6fu&e+3UFo#t3|-)X}Usis-=iV?3Wn`E|_@8*0wyV<7+v=SjX zk*`QTMUnmQ;fNW{hd|T%A4)(cbUTX`8RUW1fX-HT{U9Ua@Iyw%r5b#alQFkthyC$G zGA40*LAPz51RZm9O%HV1fjxKeg_D~nG{5<%bH?$#iCEEu|BRr019L$829jX=2Ihl~ z9@?z)WP#LPN%M5RB;$w^j(_LsoN-Lr?<r^#!wtHnW0w5<Un`og6oQUs&;lLJ(BCRk zA`Uvs$&B0b#r@NVWJLa*aN=tN9qhfdHw=79rYv`HKqWi3WyibIhh(nU9dqJ)<SO=T z!N*Xsq6s$BTP-tUL2Em%Z#H0`Ta8$a@E>%_^j<-3%M<%wiGh#00G-VMx&gxNH|Umf z(3#aIHiC}203C@{2D*mA9CZ1_R?wZJ#$wMDzAAv0Bb+@XlTxCc=f}6}Ht2+I&~lc4 zpu^7<%@J3B&j6j!T|2W?rssTGBA=Fhq9Xf6&{m7LdZ0^38(J+hK#i426ZzI%lG(B? zO_BZOP0-?6KG3D32M)=6=}S{&|2a8gMziD8Rv8h{fmV<+E<lSSHs!%9%defF<&|qe z*JP~Cdz@<RaVANT{Ve!;)Z<Qkw?KO#X0qx$Sx_y;T|B{heyhwE(89^q+n}4jLG3Up z&_Wq*oimQ9r*DH!#F`7b`}<ppBKt?s2_275z$0nWXHbi#RE^ux<2tDIWdyoyc518T zi?xZ0>_0&Pckr{$lLb3|%I@$gHt+(4<~yA;jw+yY(b_@FRfQu@IR51Utv%{!l`)wP zS||g$k>qZ2BA-#e5A3|H7k1`f1KD@_z6W2B0=lSW1Lz`;ccA-G!RK6n7Lj_jw#vAG z)+}#T)p??@uM2d}#d#;bxS!1XSIX-%7EK8Mgi_7F+;HZE%>v!SXI!GYjV9Y9omSc0 zdUMhlq5g@HNz*QVYKUyRC8ceA>RN={qBAO+TW5NjsTa$AI5Q`B&a4?LE4<Da`ToIH z%@^JMG;`wg|5wxUKL7u(ROza8GJI{y#1mEev$xKh>%yq9_u<y{bGQzGE+=2cac#D9 zz(0j1-oBX*+0EhgxeN#M13yk#sl3>gWvaeP#1pQjRptUZW(q6*nH&@{4oiqK-L4do zW#;&HFXyzG?g869Hr(-K`6@27rohhea4L&-tp9}y-Gf^G&`l09vHz`nvbS0|evD+v ze&ZVO$)Ra$pPGj4{DirzEUD8WtM&B`vSo8<**R{QxJ$zC=~+&(n-dqjl4I$XbqM(D z(WH6`+ChAF6LdBYM^?NW_-vlloYSg%7SyGvF57x&84K@r^@vRKrenpNVU}|iyy#?E zJ=Zg!#_*t&ZquaGoY!`BFZi;U<@FwmM#%A*Ws_f9*5z9_eUewWkx<{%#oE;Cr?BEr zY?JFb<rTl8n?NV?)Uh8F>KC~3hyP&E#!v~rx4E3tZniFXSI2U?wr|0|k1X0X>5vT$ zHf#K4HUG9P_;{6N^&Pi>FNsZ8|M>>gJeD?CYr0KQW2f=KsK};E?E-6xWgKr_=DY^F zntVN{*^aISk91k2Z9M`ieKwqMGv8+v1HM^(=dWv?f(=K@lveypY*OV@Tk%u1X{n#` zidw#dM!rqE!i99ojUE4%vS|P5UhuHZ{G*n4zGB84rHH4_EUuqfR@Y8k@M9%Q_8-vt zKWC1zRZm=l-J`+<rtF)TFqhHsW;(~U*@ec-LjRowuYCRC*wp(>FytT8K_zwJkhOw3 zWsHtr?S*tUb}e|em&M!GHK6*hT-)X|)1W&q%hP>jPo{FblK0qf=sBm<IrWH_zgc>x z3#|cNvjrL=YG3f9BY}4}>t(H-tOvn+fF>u*W#%{~=ei+o=7K-pIm{xAAPpwHe|4`; zWUx9u-OVXhIcLGEs_jWp-MMZ7ww@b~ykjx7_uFtqkY%gCO2jjrrd{2f(|*f3{(Z`# z{e@G?&TGS^{~S{JMbgXH?nwr(bKLRd#kyekEO}*(I*Ef)@v0h;su8bknl4QjT2svH zc+;BmT6GKf_L7dfeVL&()(72o3xxdBIH=Sv1irW=T>yM>$#iJNKYQ*<<(0QNv+R8~ zoZ)6EHQ&d4IqF?5%hz(Xh=<B7y!?t0D_t)9C}|3<^9lHp)O7WqQ^23FCQ~^jjh{B4 z<6t`<PVp01vl(`_*Uj4HeP8(0H2$YH@%kyR_@Ug?JBwxNf1eE={R>_(vvk|K2mIYy zo*3nw;~VhVmc{$6bAYw~hBF&kO8r$M-nFxQ)mMsm=nu^Y4|{{%y}k>Z)U_o{&5*yW zWi>frYq_w<eCG|vmUDQ?Id8ajl4Ys5;F@Aa$D3vct5$QIy6?W>U@(iQc|`BSoGq%3 zPs;^$HhqT<FkSWclkF7cTvb0gp|h36wBB*U6{DtG+MLrOy#vZ!Hr&a6cWle6e8&xk ztU0CLd2YC*&LJi5y5W>LN0**L#9GIIKSfQZ>!6!f)UW%<X4?7%L}eSSEj3ft*v))U z=1W<+BKuDn(47uVtui8@TeEx4B`LB$oxj<Ded6he6OLb_Kx^zk3l{&MJS3x{^!-8H zlLbH3KwWiPZp)1Krw+-aJoD>%(5u<-q1W<-oEfMjUcYfdbKO+Xc8s1@86N%AL_V+j zWJUJJpgoMI>?2M%egW0H-;QnFlf(Y;ZN!Xbw^^++DxfPOqCm?fzkm)we9i+pWlEE~ z_`>d%R+%UNPaTrs$wMqfw3zd2Me{{&&{EL0YS6~bO}gBcEB4KAwd{C%9JC)JHIYx~ z_~r@C&$dU-Xbzd%DzimDEs@U%v={Z=TF@4U_c~7&{I}sQ4ygEByTj5_LJicn{2g)P zU`0G=cf(9jEe0NIxcGRP-Kz!Pbj6AU>_M%BKV7XdDxlqgQJ||m>L#>WzOXs&#J6d^ z^aHK4j``VQMHA}vK}$i~TV+H*=UDZC#xkCAfo}2u^)BmAg6<|yQDpx*8`MtN1+oaz zra2F~c`WPj@4~4?7v`NjB$E=P^JIZ_d#mLM*&|MTzm9J(U~dfu)xv_@#R0n}w953{ z1}#@*6Dtys-C)4Jbvfvesbf}m=2&LrfOg@4mOEa%2io4y-)i|n1m6Eo@duqzcF#$y zXoCIxR!a$;WJUI)ptYkHqr{2=>L#^XcC7i&ur7#iRiW531+(r}nJb_zu|lBro6kUN zS+nf8Ei?2J68Vfk%Spc%i9J)eo~p?H*Hq_><HJt4huW$H!ZZ22W`mXi^MTq6{Gja^ z4Xu_dKpS2jrHVaUP-w<&>7fU@NNqakQhLxJ#O7J8GAZ)OiF{QP+Y5?|CfuI_S_FFD ziLYxnsH?tH=gEQ^3s7JdB3d+OKrI^GG)4BWpf*dyIVZkZ<)95Cpdp~&u42y~Ott?o z``1Sy+k~aRD;QI6W^ag26>b0cA%3Z^t6|tg1=gSqLL!D;QyV%0^EQU@C<$pN30yqk z+9cZBA>^X4$YV!DvM6Vl#7;I>o@-t%M-;*iT~$~lIB}VS;*_96vA1@7KQp;>-<+BE zZQjp|d|kVLj^#{C{&T&1z68&<&Of}~BW|L{v;Se6JW?i4_qqFXvIfKHw|)Wjf(N-+ zo7%iN!mOGXd^BnbU8kZ^#e6WUTu>z5cf+w_;f%9$&ImafbWhqCDs3*%u(eD<W3Ti< zD{jtHa&8;$ePns+uY6;HU%<yAaPPH-{h-onj#YNf8*V&v=2`yBrb$&y;K~^xol*_Q zw~sldS(bv!;tj#2)BR*m#(D+RvmWHyE@)EIkg(Ly@$uO(=$_5+rmNqSHTKO&=uPJI zn&-6P+E13Hvsqs2sB2VfAFTQ=JSE<L!@)Q0W_-}jYp$9rgPE6L$i4Q2i8hW`7juI9 zt~*)0W1Ip$TQw~W6S^WNptHGe!Mio>l~Z@F<$U$tX~UsvPANH`4VTh6rRKYA@XCcc z%~gM%RnTu!$B)q**M6uwp4`fko%@SpS!k7ZQ)!u+#%#ri_X<s)<}2QK;L_A}U-8BZ zrKVH+l_H*`HLd!u5Ru~&aQ9k*g?El6qz=p5SNO^!OTXzByO2(?isN0QgGKk%z{C0b zRWd4s4|>(BXY6T9nCdHcbW2yB<A$h73x3RF$*!5O;E5nhcJ0IkUuLqrjxm6?O1`~X zsk~R3^VD}vvx*rD9(A)wpRMe9JpI1Ih7)ouq4o|NZaieUy5C`g%T(~;l)JhXeB)&~ zeaAPT-1K14dEqPly#{kc<Ln_$lY3`Yy5vh;)3J8JHJ>V*7A+TE^R2e&QMkaGi;5bR z>Ib7%b9mW%Z#eg?KRGIOyLv=EqvOx-f;j~mjz@(Kx-Az9`6qW!X*zV<Wz65ZS0>(g z%X0O<H+a`({64YEQCf}x)!|L4-_%#^o{_Ngyx<l4)`ZsgLMAyvYraG`9osIn=97HW zB7ea(-!`cm%z1QMXwAoK&?%Cl`zw{#+Bm*U=D21#bHSTRme;XP0sqWdq}Qmd_>te# zdrdH;Z{6W7Vl%x1zUs1czx56HtIXmp;~MaJHH-IL=YZcyO{uqFO_KbXR+D~<JO16u zqJ5@D=yKK$(Su(3Y8i7BBc2{+aqVVVUE8|g$4!>(KQk6Q*~*fA*C*hYT~nzWOKSR> z;|14lPg?M2Hiy}bY)E4+=Ff#I6E99=nY!O+!-+_iP-&L#Sm%Jh{w&^iyaPT5HZA=k zvMjWkyD7CyX~k~!gGH82zuX1Zd{Av_OBY)6MY-u%xbT`!$DuPMi@*EGuC#RuurWHe z<ywWQ<4IZ0Yqy#gd|_mHE#nvPjiu@9Dwe199vcpZvWWh7*l_VK%T#%#h$pN~tJYV| zx_s=JaEQOK&PLFAlFl5{@BBG(W#Sc~rd!K}bvE}bcqhto`tQ62|K_r2^KeSpd2hI+ zJ^$bqseJzpr>r@;^i(3=K4v-EuO9JOnnm?BOLnYVz^|&NQqXxEDXao|mcJidnRxFq z%hULeI?J{?HZ6E`o73#p`~{zmbBI~?EqHaEQ!LsGyj^vT!it;95w94VZutx9Y`$46 z;deKeb6T~u<KJ4&upcj=TYWT~B;<_0^0F`G;<l8S54zv5?wAwbqSK&}=y=dYRiI7( zA62+5J<iq1T~FYP0_~-@I(kT^1azRnO=r;UPl2El9!x>|2KcxwHU5Fh<gK7VQ_!iD zDbNF|%U><{b>xb>?2)v+4XdX#|9TCo-}FHJU^&pTd;@OF4Dd}=o}kX98EDf8C%5H` zcyMR^j1ylQ=<v#=$0JW1tT@)a!?HM_bMu7e7w15mA@735%LPE=<zEov<-*|Jr5I@A z=8;1(CF?*ZXoBvN+&#Tj<_V|*Ve87-{7^pbp`DBf$daBiP}2rfFHB?xjhP4QoN-)p z#)<FM{iH-bA@NNUnxE-M%xJ#i3mR152OYd^tl8AQn&D7)(S_cerqw3wJ3&Wf{{wBB zd^lI<NkRn!cX7Zk6;PlaI3yFY@1zsoB5_bfEXHlwasR|28Iym<ocJd3Z#H0GD;{ye zv8u0PJD=6($P<ob<)FjCJ6dI)aD&F7Pr^NQ)OeZQtAs5*tuj+U7lA67gQiPjb<Q~E zm~vaL0FA1sB(<%c()<impJtiEc5d<|E3)4Ob#w1z>O5HxpPtAE8Pxx(bH?!{pIDIr zsF||D2I`;o*9yCS^298)TyYk3h$gGf8As*QPJENvHyN<6eH?MZ@fT=I?B*%0GAW?O zTNUV_%zvQMJw7mSTWa)0&S<`vHv7@DC(w<XQ(I+1zJrc2?*?_(BgCF56rO^6=qzXq zK;deNBKup=c@59PKqqI+Z?!xD8h`kE(-?G4`37EYONnKm_LrT`lY|-$(AfU0Rv8z6 z(58{+n<g~>0Bz=c4B8PAbMlbP7B<jWQeUg(i!-yfetZUwqW0GcyT3m12$<1)PfzDb z!r}SWm+k*E#{?T525snopI+JRW4K7Et1~#3NsU>N#b=7*y06SDx|4zoCMAhQI3Ms- zbz9Tf9Jx_KLz+|KUyZ_sTe&V0Q<@ew1zRz$@o@KKU6R$Jt9Ru<!&IS-M!v`GbKlz> z-&45hq}qAA_tobuZ@yVB>sP;j9$(G1b-VvO*uLddkW^pfYOj|E!Z+Qz>Kaw~pq<?@ zs$xgOGVuV@y5(*RJlXHSi&oayemHP2yrxd(;D(#O4lPV%yl1hPfsMOiBIGp3;;m(n zHG|9Yu6RUMIkB&f0<D``dadqsoAYjiDhALRJn*?|<%jsc%B`Ks8t@9XWb5{Q=y_Q; z?(myTQv0{0Idtw_(4kkm-tTgTEG(-2`$Fj8hKug+u2h%kX&|jttcKb93$#|T(vy8P z+i%dCBwqD*u;r1pIh_eNp3E$NZOgVAw2B0JgrEGq70~5Ox6*o-w3?S}SXKC=KlL`l zl&H@s(8VBsESeHGKfkm1d&U+do%!poL)T<pc`W7Wow=ANY-^t$cyZ9%e&`v8;A6UC zE9Tq0UYpJJ;3k6$<b==s`>+!vccz<6QtSJ(k|(URPZzBBt|r9R>c2X*N8RH0#n1bC z&KI<>$jcaVI%pnr<zGa-r*h`A+Sw@^vI>g83yY-dU@IhR=j}_H9B!j<{#QaR(psqY z{%q(uhu>zoU2=NtD7hxfM+|(l-Ls?6bvi#mi`1@{K8?)J(Lq|1w9^^7G${DD>7;3P zdm`CZN2QB`wSEhSE+hq?F_(8w>3r4eg`fqJ(8ZOv^<fL+)?KqUVL!jD(-?Fb<T+4+ zD&4;nvhr(v{L1w=G9D)Gbx)5*S|M2;4_o>5|8D;a+q5t-hb2-mpv6eib>WcpFLt$a z_a#mK{?F;X;f+8C#BrGqVaH`&?Yq6|d&G_f3`(Joi%gjkB0h&fg7`N$Vx#3QSDVBk zuYBG4CLDTPX3i^--X%+~vI?w>0-w=&%N}~>n|`0N#I@J?m#epY6A}2%AaIhwi}yg$ zy*mGR$YQ1&caED(QoF?}u+r)}Xc^P4@1P}3h7xyQ&;BAR{r8`FRsXpapjDZdcotk? z(0P9M@w^Mw3@<zwl&<~X;&$l_=!8zT?VwcD*1tlK;jp2^-R1IE!*hzRm+d{Ca5Eoi zF;hhsG|y$NHkl-rr`6zbbw_Y7gT%Ev>mWfpU*6py=iAriU*vj|=UuC2fTsNXb+G() zMODhv`!#4WlNrdZx6Xs?HI%puPQ8C$OYU`l{X@2jpJ5`zt#8l6;`3d$l&7#X=qNoo z(2A?lc+l#rWP@GT*?)EQ_Wf9VZ*g%J<Nx2k4cVro@qhy;cB!l+_~5^P+f65>$z3|Q z>R=h@Xxqc}FkgOurET`}Uiw$LW>L`ELD-Rjaf_fDZ+(^W<i1_9Vb#Yupv9HTY}NPQ zVl<FxzE}$`l=$CWsWv%>bYAA3oo=v{yFF7y_w9v)tFAeKj>P-@_WWyG1_{1|5^#a^ zeWB`J_t$@rRvq5XhAv|^E1&Lm=}0#yGOMq0G$h{r#SL8}`TDds%V9V9`%AySh(SJS z^LrI^1>e{DWfM&{yR)xm`z8)r>1bx-2urm|pz<g^{!%s1HKZhDx5pRi+^uV+Jh_!+ z*JSN^oVu62At-wu>;zwMd~&aUVJj6bij?@?z@p`qXYZ1wsq0TAgl1QQ3x@3ZD<Me% z;?t#fKdsrGr-78a|29C=&@(a9NosvRXY+)W`au>t-YteM8vI)PRaCmRw%_LU+}qp_ zZZg24^zJHX%>2`q@-#laU#~f|`7#%1>GZ7+PSA|@#r0R0Z{73vpVshOA(dtFb}ONJ zZ_SqS<X*l{u{pHYUj$sLJWE9`koIp6pZE1xGe|G&%+_+)x=yYCpD)PR%$^n|;;<xZ z?rKnF6~7S4zvlK=s<+fdA|1l}Z8daF-R$b;c6*N>xe1C|aZuXd^%~~e?60EIclXA> zzgW2nIiH$C)5Nv<{~qrRi|ZI&RviSnbi+f~g3y=IUqomB`MLkAT=QJy6#VS}i6Tha zzvU_A$vqu>=p`hhLf0}wGU~>xN~;fy6_3}0G)qW=kLjH4esf`vl@WNcWAO5972UO( z4IWo_g@Lm9K4>`zKBM;i%QfFKc)1hA*<nS}zXOR?{0y?34o9BZt(<657|Q6f>YOg< z$jo<Qkl3l-yL|nP7e5bwm79AwYg0FDHRwHCPiRW~dp=X;?41CHiCG&!sn_fcv@Ba* z4?4K@o$?pC=Ee6`eP@6zudLVwO-)(xS3IJ2>;)CPcHn}SA309H@AZ9uafU_Edq#yx zj8mi<p6>an3C%XXwXVvUD?x`1Uj@|?kfo%C5`Pc;fA#nO^Zl6{<?mN8rrxUla;sGK zcwx=ny$@ucmz=Ro4OX8e8EK|te97li*ILz?l4)wQB;%AfAJI{c?uvA~CFO0rDMu%N z%FK+kDKk^Heeg?bTPv#Tea7edhu^l(PgXzAv3_1SPoD4n_vfE)$Dfwpaq`ZIUGX2U z?D_v+^knwk_mJDlU!P&?Ru5#*n&-6P;!l>T*(}{L-T`03nvT9xUGdN2pinkvR-Q=g z|F_NhX)ABCZ2hhjq2?S=E_kp=UHFQ9e?qIb`h{CRrn0gM)bR68_m|bY>vLg;_l8r8 zSzO&&R^MDUBMdyt;TiCW0X)l5D|FB*wP}+#$F*p;fPZdHyzdlO{4hA^md&A+zd^EX z^NBKO4>o*quzS*P;Sl?-gic}3ta;uWuBd|VrP@4c!MnRGr@wN(TCW!IP_?Pe*zEa_ zrK~Ky-<2btax^Vc7g$qf=J-+Tpj5a&<Oq$)+ROU3yi?NH+m$dko#WI#j}37-+&-)S ztYeYZQCU$TanS9!aERUHgo)jptLl0aHcE4>D(9G1F=4^8`JB_L8W(&Ei%zmQeP%v% zVtDuaD--WnbG(vw-{91_;GrvvcJ0&!AHTA!{?oGH1vAU)znu$yd}hhc*(ka!)W~te zwbvY8@=hDhZDdLHSC4q_)buG{@x}s6$bf|2zlv8UUeq<6s#l44V%fB6c5ZK`?lxh^ zuh)fi3Jo03+8hj8E_h{ML&8jLj#qK+8}9UTyt?nc;gCEBsCOG=()Q3~p7VxN+2BP8 zE#7@{CZ$Wh<uyH8F0|&OXVawV!fQ%(9bcLqwE8YIrM4+y?^c$l=J(hx-%2oZJQ^;X zGevR5AH62mE|ySx#|<}9S+4H)+;Bt}I;Q?ie_7uWIpr0$N)c&?C1-@)jbu5!w`;*a zMi%WolNUVnWYMmjv*4ppQ>YY+Yray%+vhAt<rO0y=d-A8)ot5+t&C;qcZG=b|Ilv# z=AA2*_X=~Ks_#i?_U6#4Yfe}^m1SzZ8~DVt|6Us+Qq-1()<!p}mZ`7!=>}P%$8->M zJmp#;o$sYhpi?n_yoB_uD|ckQ@_4Dw(i^W5kvjXWMO}$`)748XNBPww9{*)gJ+Bn; zI;rVWxZs*%X2>+hgav;lBs7b2Xyxs-ZQFdYrs-6^Ld25`P^YbaKif*kx_QB`bdG5& zgs=S3I~Y_haHXyxVdiqqS9bmz?o8Wku=W>clW3g(g%1KvQ}20$r#b9=1HO1QUESv# z@TaWFv<ez(PlAKpqs|LXxo6XtxpuR#<IP%*Yt}Os{Hf+Jv*=xr)S9qZn?tL9cEZHl z9IO7#O4z8*x$1v+LZ@$fvW026N`#hcz;A`7)IR7b8au0BU72`iImfGbzYT}Bb4YFD z4ErVO_*k7I?5DcpMc=XmTf%NPg0E@cGj+j}q^7N1EK5Nbl^Zo}x-PJ$oZIndyim@E z8<1ez`J;cO@?zfS2~krYvvj|41rH<XDy{gZ*ra++dBsmx$bDR$2{ZK^@49nL`)%!5 z`?llZnhmy&hiy5+s*D{U%N*2_hprE{i~<cBGC6)sWy${2u;7U;OSY{`Ko##ntBE^j zgx#FU^7^e`z&~FWX&KLekIXF6bDaZzerD;`Q9a?f_2?m)Bkw@N#`iZHuy<aath7Ue zTVam|``Q1#wJVyh^vvCn!v6Md#Ej-Mb)Yc|3-00x+8ZV`{{vkL{;(J{1Rx3;0<Z%u zn>%?(CZz7T6W=1xnuD$XH%(}+$Vmr{#lJb^#5ajMaz^vDg*s0ZYHhfSFIXnS$F9F^ z4wiqlARIIi^B#0AmUYAl$B)}V7l4B<WctSsIvPm}bY|2m8?k2!+w{3DSEPg1_<@!_ z{0sz*tANgqhzCs<tV>s9zk54!M)RHgh!c+gHN~DSFqkI-x*+0E`|E@qKW#v_mA^gX z#CPoX1_Sn~+d;!C8$rV>%AnyDMbPky5x1p>8OW)*Ag6-XYk&@gNcn&Ekjxjex&5;z zH~)zddzLT(bjZ|p&~SV*=n{>?pz|%7K;!<b0(LR%SM@=mccT<^a)>i%I6$7;GJ_8^ zv;tbyvG=6j4zJ<~GY>oQ?WzW?*Z>X2fX<H$uxM?S;W-aFy~|Xr$Y5U^==%3lPJD~L zZ!%!tdK@&lp$l4um~s89xj1wk$fV8c?oEeewt(*Be#ry6+X{5t6zC|ey`MoBe}ERB zZU8SYNlWB&iv>+@Olh_3=!rPtc(v>?|H9@QI-pZ2C%0O9$Q*Fun+3XUWaZ?D6OOyj zfDXihtTA{HS@lA1_OAf;ozkFdROCR{s2FftN~}vv<nxl&IS_j4V1*iYaeyc2Owxa# z0M!6Z7ENrm%mCf8HVL$za4qO0lf9E#Enk4H*Vt4G8vmKqD)R(%+y<L*+4KE77+d(S zI~E=U4`N&dCAS(D&@4w|t4s)JIY?5dSW&?KS+L_XcY}fxbTC#O=qR*3C!F|pZB(iA zIqR4QI?gNp#330G(4f#^(4f$znL1Ar{?>n({p)e+I=`3T<E%2bKxRPhM;yv<nG(Xr z+_l8VH=0W`S;8yWwa3VHjf88?kuFCU<z%fzf`LKW0s^W$*CJe-l-yD{x+Gk49FAxx zCGsf=ZA{8?J$kJ8TGgia?bX+Rt$l9$`d#h4JO1T0jz#S!PRDP3TmI{Qzen7}6F<N+ zAd9D;)tc_V=voh_M#F-DFTXqm8?IWh9F<pyc%0cZ={4uITUpoI?(0^pxMVH7#(4gM zH%U!jrwL5a7tATJb3A$)ax76<lj}S66~9ytCcWlZmA_HCZL<sLgkNWl<N4=iTTO~` z3HZ&@l<KFtV)vYco!%U;%sIn$OkMC$s%fg6dd456gIcrS_GOCRotUuIlI5tl(3*+r z8asOu)_&&jTCWn3eib_VdNX=i-<Nl)8viv8vSo8>xqUyd#q3t!f=@Y3u6)WXs$>o( zEf)x}Z%^nHWief^9I?tb;I~{;s+{7A-%JOKjK3Wzcy(SSBJn(ARQ;2Iap{s09>>Q^ zSys<+1<y;bQ`D$4J}70cuJP00V3cyxCF%FAnQJ%eI^Mj?dCj_G!5?c5GmDuE9;tJh z?dn)i)SNJJHne(O_b=y_#~a0_SM`b!PMZza&RVY+ktOE%mYHMPNx>_&oe4Yr1+MI$ zkkGnb5VCJU$mE^ynosFXizbS+ZN9adv+KRrhGTWGnj$dRJ?g!xMlIVxtI(!R;X-T5 znH_)57s&ab;CR$tFz1Vq<J0i74}X9b=`G_Fv!1lzS2f2pi@6J)rE^T%)wJN-SC-Rv zK<x-Fg_xr6jVqORDmwoC%A&oeNN?HIg7l`T-xM?U%t)A8E-Yf(o3PbiSY*BMnvc3o zlgb6wl-fJKT+VSV(mkN;bfv_(D$b@))xtR&prh(BHP^39yttBOs=e!m6H8e__j_)* zk;`(mn#J435wdMzpZT)TYWJqpXF^wEJvQ92=6t2E9I?>pLV@Z*ulouaHQWca_RoT} zmn?tXxH9q9ea^0YEBVV&$<<AhG@G{aDQnc)9JE?4FvWIy!d_99r~CajIL==1NT1WJ zs%62a`y667Q{;J;zk1CfcDsAQFGUt_8Sp`<E#7%z%lnp`Q(IAMc+lv&(3QP26L!W6 zT&bUt(7Ip9<W5DrPxev^$H#X$!z^bkcyW<sHR!DFnJn2gjSHS!Wy!wl60pmA!#QVY zBQt&ee5*^rQkS*<M>X-L35M8ANLVZbUcMisw&GW1)6sQGEB?hcslHQN@zc6#={)5X zvXKoBbwG!m#d1!&J%7Q!Ko;#i`H)!>8=K@;9xsbode^H&EOia|qSth_&O6{wWRvMX z-+-dme=X{=#hWg1b6m5Wv>>N3Vee(mQ}xplnzcE!>e>?)TXSmtZ%de%4o_;?uRL_N z*)IzP9aR3tJK*zK7SLsPzfGD_^%Pb_t4F-M&GPlVLd3)CEWGoTB3{mC>D_L_a5yqm zy=l>B&al%$BJoZej=8G|=vcNCoILq?dEXX2RgJx~66W6KICal`!#!b^r^i{ObLte9 zg?{|XB7IhMMGebAC3S(2zXk`BPIIoRpPA69=6F_KP-kn~f^W$zr{N2Q$}cw?tbJOh zuwuSa#KVH7w&TKUz9=>wdoHx*lWNnV)f{2J6&*iTb3R*On4rjh5_ES}_T=V`{FWa1 zphKoWRmM%{$QjM|HiG6h96@s%-<ELP+s7)fua^Djtt-y5J?l~x*`La9Fkqip4O$G* zuXD!n4XapDK)oy5`b#n@X`nd+Ih`|(TA)?-pt@t`QcycV4K%j_x>PbAbdA-%^G<wi zpiKu~*g+%l^I9!e+)$Lh7gJCu>QGTA>#}{W3@2A{!0p~vnIrs3iF{I^Eet0oN6u&t zo7pO}1hmNCDtzOF<~QF#6EUEvkpI<U&k{b!a9e8Rm1x+v@VSAGJ}jElYS{s*#8!ch zU`|rsIHCF1aZpR4b+Xcq9bygzx=$DUyt=a8$^&#e<}J`X$V|{xW_G6!$vpXY*op5~ zwEVH@Zx?(}6Du-^IdDj3%Dq!ge8*Tpt04@<iUihzYT@-8CN%%x)j8w%__)p!g`W!C z#TTmDT4k1isxhmLhkuHjH+*<xbz)y3_+rX@(1~94+@SR(6G4k1P92iz(F5Hs37UF3 z0XjA6R$?Mw5<lpu?aQDAo}e`)d0QvQJF%~v8+pR9+72`ebH<5pmv_XB=9o^<h6V84 zhHuvg{XB+)Tc<QXe6_OOO5^T1C%$8#>7%LYktZBWKr20OKjPTqW!a$~F{AmIjaboy z7|^a2(7|FagF$N%i$H4;TUsq&n1T+(3KM&lumN;>wS0OapPT-s3C*9<x<5QS<Ct>X zQ!plmRUj^g{i<a!f2lw;=w{JM(2~TSRvDA?$%%YHpbZ$+qTIz3cDA<4l)MLRT<8Z~ zehNAlRp9KS&{GEsti_%!Fqz#d)5D*d$ftE2bZV+5sGT4KIyLp+AsLf(vqcUb-O6yN z`?bQ&c~vW#ui5H6QTWRN+PKizDwC3~b8NK<``(#4PZaj6a~B(&*f62_ks)Xsh$igr zQ$5g)qS+BMntA^}F#q~?|EARzH$ZbA^)K$QN*;gydB<9rou?XC-%V1Eo$tABmc{zA z6;F?NYUV!B*efFSq+Q6!%i^(!5$ND5r^R_sPRPr<|I1J=-@171EQ{5x#+zp?-z@T7 zQ#@<uj;on3zyI8G@8gBP#UCD3?!T}9?%$5bJ5F}r&pcc8d1J=&Gnbd=TwCLL_}kfe zrmuIHuV9p$BYc2y_H#4wyUO6>tkVBYn;F+|J)LdA;jZJ%Hq|xI!>oQsfDW;MUhK|n z_<H-h6U&Z*&Y}7gz%UbhWBt9^n@g8XfgMR>20Do->fhg-s5&DC*)Um7=ymk%>UU?t zj_ZlYb}Ttjvg_5moTysR0Za1S3XpR?)V<%Ggq?!2X159Gq@Ch?v$gi}4Sd?q^1L_m zC4@DI=C4Pb^Z`C8<sJLYEiYIX96rVR9eVCa^=kNePtxFXfBrd`>FyV7;9EV-ZU*Go z5&3mz;m3}YCYGEi`PKUN=9XV!3|4F6HY(2s`^;{qiaU>k3-~mZcWt0^k#5PSUj9|h z_+rDN&jHYLNA?=R)qpQ|?>(Fobw|8`Ph0;_Ayi3uDLf3p=OW!MOTGL_o$<wntiu{m zoA&6zO}X|JbTo;q{n=$#l|iRiJ${!1IgRJZGa1;?QoCj^a$Yv~cl|Wq*Xp2yf2P;X zgLo@H{~XL)kYi-B^UZYs$u#h3udla&*mZ9=+A%x(+&5+Z3}Ucab8Cw}M2X#<dGIrR zvfV*}vpYWRa+XvB-)i&!T2M7N=fc&L`<I;9^7+ocoT&X@&5axQwBPY9$k$*<lReP2 z{X6^!81OkM^*=Y}M9ryDm_5xJcJ|G^gJ6HnEOKn`oy|A1FYb4)nXZ4O((JHmn39JN z;Yzl?0v*!SazFj@D`!yf)I*b@U8N~J2;W`QNt@dWIx?z^!D>x~7WBZL{M*6COI=_w z>UeqK^)2<UF5lep!-c_W%^KKwJ@@{kZ_<RG*8@&HYirDAPn*x@&>rdzJ7XyEwf{{K zha(JYG@RPEin+J@EPrk@-FG$UOrNZ3yR%jek&IzAn`{sc%Dkv}`JAhM%H?0D8DDI8 z^tOGoW<w<VhDUEVBTlFSojMl(YkE#pjV^<1nBKo^X!6+(KJy5i3c<%BO$Ei*S%#8` z(p&eT2b>))g`Zlp^%}@I@s*mh!|wAsv|ml%I|q_9=Eoo+^?Mg6HMi|gzr2gfp<VR( ze`t!ow@(Z1W^iiOb>E!%F@V8pP0auEkYrf#{uVecKqs6X^KJKWZ}v3Ptq0|;br#bg zHp$<c0Z$sQmw}?|UVZxIR~tbG?OZj1CZC<UaGTz}1%=MJ>zgzGmM~bY`SGeA>aDkH z;obrt)AU_#`;W8p`xxW)NPy1%*))^8b{06N-rEg6oeUaAjWc@rWaj&x_GGYHv#YiY z5{xxF5Xt_UhE<AHZCak$+O++tY!?#0USp_&IDP(`)3E%r=66QPi7mxXT5_WH7sr|D z%5gwTsoVbWQ+vR9to}{z%`Fi{NoKn4&_jDF-tPp55j;sh+oL>t+H&><hgZRq(8JSk zzio{>nPg_0>1ejrPN;!z^?V+vMe6Gj2Q}5&c1NbaJb81=J_QEZFdKR32}0YS>(0?s zO=3`D0wqP>S$unc{}p|IZrM>)&|y|n8P0%{;-2jVfo7}%hTvixTm)V1-kkaKCPPWY z*D6^4vH5C?NYm3?+kKX2Pfxl0svDHa?p-p09%gk4>@iT}?@9+9k0gC>w(sjYP%gW! zc@uH~*SDQ;pMhh|T<`R<qh}dkY$&?<8yc~DyAjDATtM%&nLX`3D2t`ffhD52S%^dg zJ}7S2_w>uJ&VdrqyO&U_PELheReBn9_Sj|iO__f|2Lu*=WQS$3Y=m8)ES4WP)3^IB zD0ns*!<>V3_8-VOcT3aEbn9gs_*S0^h1&E`7jDzmyk5SUe%rTi&ir~D<eIav%skl< zUe4du1tsZuS8r~qQ)G}0tJw=Z11>)wp1#0oXaD~<IZ=D0K&jbQ9g;Kd-JS{aIQW>j z7Z2PwXI9=hp2i>>mdp8J|M|ac2Cri_JUYA=;Uc*{zL|df>rXDb+W9>Fa#b`ePAw;Z zjDj5O_ZZ}&i`<(s_h#gp>9)a=>hA!Mqrs<CvH7<9{QmYaCrSr&ZXM!qNM*Q1;PUxd z{2brYp^Ps!y!r?|EUl(O2cDU)?cX%RH~RIa%+fdpt2K5a(1hy$Y|VU4)lbLlfB*ac zG2VUlHB0cU#*bO0;0>Io4U9idnX#^5ZOYmn9Z}Gp%*&P+D;@4OT0XyUX2Vt&<HI&C zX>BuI)4C$v<R)!3+T?RaI7;o7(J3Dz<4+!GZMw$7^Plg!cjtHV?seC{{<GWt?)UG% zZ`U7PH{aa&)1~#d%5MMv4;t|Bcq6JEsj}&y_p)DeCu=Zx+js;NyKK19^Ed8aUV+Qo zwVcytS~p&6TmMIwvBiB?wXf_+Ss(DC%;f?mHvI`p*&H8lWm$d4G2jbh)75!O8teWV zt~K4Jq+!{fu-2B->p91@NWXw@DNSF`scO_~9%KWJy@R?~M{h6d>-ohpb-u!$FIvx% zqe8bUMC7nHz1l4dKB(KMX=#}7m32Zo#hi|JUvo^`-MZl4Ulwf}w+kOwo2HssH(c&Z z7hW?7w&~oe{*_0rrsKy@mh3ss0iTkZwysmvs5L%l^_t@pALq4b|A4y7tTV2$ZRXH= z=d|IXP1C7vPBF{Q1+OAmy03Dsiuc`c#1}fw@T@l2J*Z#kO5MDKnZA5Sw!Bi~m{!dX zUaDr%wcueVi*{|>f{(5&t81n&c+tzU`YT75z0-zc`#HSw<{U1#R;3KNr5v=e1GEYA zjbN~QmAb%`^#VBsijGI$3*?kAJ3fsU)cIuKc(q<gXWK=`8DU@Fa!m6Oyz+<PU{Jg8 z6}x!}GcR+#igVa-XFKPs?VMphKzEO~3+Pq;xNv3SC3_C3{0f6*TTe-IbiMP~aO*c` zm%P^ow}}g$WJ1=1in6?p@elZ>*Ys6R1$<3;n$D2|tz(>Kv%CX-*0OZ#K!>DvR=v40 z@y1G)tNR@`9C^xOTJNwSWcq?{%q*vEd;`MU6QWM<owwkhOq1w6?+XU*8!j2L^!lqs zJpIh#s;?aJ_BYGXeD#RO<<MYzGC9~iYN9jf@)Dsno0%PN+Hzcrb_n>F(Zm}j9P&rz zpxbnTkUT-1B5lX3_l0!6SvY?EFQl{aXX2b~KgGbel-tfvn0W{~sC73q*u7}J>WX^9 zgIxEOGb)S^dhJ)tuu+M4YR}@D+@2h@dhg5yKi08izi|&J;yf6oE;z+*cEVgM$D7$4 z*LHU<__LnVtfB-m&=B+I`<00o)hc~ffBMGK{l+K2)O*7XrKVT%su7QTnr6i-M!eE$ zx@9h;Q>+gj$Cnnqa{mVhXbY#H$(_!GrTl^>c1;OW`=J|tWo(mQdAvQ%a&$IJ_MaBW zK)z4FFUzJ<Gb^FXTGo>j_KG<E<QK{*uy8!eFPu|i<@i)zP^U=M@v6MAPMNvmSH^=v z=lvjaZL`<gab1qeif+1PEu^#A-0`k6=QQiS1^+Txw6AbT#W`-c)XyPx-*v+&dCo37 z=MA@3izQkd-L4q%_$`a7F-x|tipJha33G#CH`UCuFI@t?yySxFiXZGvz4O#o<a8UZ zb={`6;+GltuJSs8gF@OIS<^VDS@kdYmdbK^jp~YO>w`u6)mQviImjigQ(3tq0kj)g zF3(bvX+zMY1s~;DR?Bz<lvp0jny;Wyr*}~5zJkWiwuH6OoL=8KuT{=j@TQyPb?!cq z^3{K$In8eLE_lSqA}z|HRX-<T;wx~BCM;i7SNqBXd?vnn#G|^VS@p^huUMO8wj{Im z<ntMU?#(C<7kj3#-JaX>g??fpAD4WxBKu*`-hfNjBTgLrAqBdnJQ2Fx|1Id2@_qAK zEi?AyN!I5vbIhO8{AA5Z&>hxmK|S&+Iqu>Mk)SblRnRF4YM_%IrnXv2#DGpwum@lL z1nNA;N6ctG0ovR5>(=6*&My?EB`C6g1)ZARSqr+y!vi#Jp~P*OG5_EpnH0TQA`kbs zGP0Zx;@fq0Wxv&lzf)RezPtxHwLVRe{qS?p%E0Vtpgo*6lVNvheNRl}%c>MB60mP< zwalmiZ6E<{c#I0)G@<zmXn^8*9%usz_?q%*tujv*AAbP4{pmJnk^;QjqU$|)3&}mu zb^-~|K>NH_nGk!>gb-+i;%oj!1NKfcZp(~+O`z+ajyUn%IuANUfj9ht*3$*MdAW-x zRI_tizDSRl(R@e|bdd+>PW9SJpv{}<iF{i3K{s=QFX#~1TlZ=)UsgG29b>c38OP)! zPJEM$`;LarYQA<&=ZS*tbl836?3)eP_kxawsOJI=nSkymlLqzib-67y?jJlP<06-! z$bJ=camI|WN6(%t*asSPm;qYUnGK#iC_cZk-^$}@#0kf0OVFO!nV{>+LBkQ#LBkQC zcrK6zT~{7Cqxq6B=(=(x&~@dDPMj_ixZBujx#I0PC%#AApaB!mPR*FJhh(;_0!;&P zau;9NnTMFMnzpjv>c!pjPJEyGK{HmMrLBdV9~Vr0mH-;#m;xHfNwMR$T%nhm$d?2< zmiw;^Xcowj+cG2W^dXrgd1;F5Z$XDkq=BX_UPsPozO(H3<7ZD6*mt*DYP>Om?}#n9 zcg0<H3h1Vl!p>I96?2X{@hvJ9d$yp=6tuwh$RQb%cgc$E`v2MYue|?{-F{>H9nhJT zwLN!2McdxLpQEiKly!w|f?k?d#IhqnOEP6&E=f9A!YSCj@WK}F*bL=l$7q$Qiajeb z&T^?OlCYbky5^y>aMQ7@Mat`(7QGNUpy9}r#@n4S_Xxj(p=6<=UiEX!XTEdl%f8=y zUi;os{pQ^2#G9R&AC0#^er3LI$=hu|{!TEpS(-KR<{82K*hvicqJj@xbN$V$%;0wH z$Dc>})2$fR9-Ti;=`A;OwToHhT(_XN>{bz1YePV5r{1eVmX7>>ar#%6?w;D6_ZC;D zF%}{&O`Q%~oKqI0ocSo-D&lH=R4>DnTMta38h^b0)nytkxBUByeB_&|9|S{J=1A`h zRnGi%9dwA^V$eFTV;7I>zdiH<veGL{;^2mJnd&cWU)@GJB-7jqrgwL=a^}D9RuNaL zB0;YG{X?{hpP`Le;_mY{L7v$+D;C{bTp9^-E^I~Hdf3vbPrIc&x9)$D5c<6ov@C6J z`Tk(Y($n8BRtX*4(7JKfy~VrNgBAoq4=B917HaA2znyNEjySNd{^h=YN-lFiR<kj5 zDbeHCUMz=aiO*f~{Y5!f2YmgV{GGM3ko7<lkDE+dcE4m3PuSJ_f#74_q|!l)kwA<7 z+5~xCzll>lU-kDG(vnK)cG!`D-+J6G{kiQo@!+a!s~|V7|JwjL)UGy9;^2mve^)<^ z%)c9kbmRK(<uDijnd}y{wrmwoSm|}hO3r(*+nitc)gBeI`z;5$aUJP&&OP5}Kv(vp z{a-lIWMf({PuSP<S`7!80<xM<Lsx-5)~!7{%Y5zB^u6^fK&NwN9$Nrf;n<uGbMhp= z_*u>Am%q$p6<GP|`D#Ii!&2<fpb9?B(N>yg@BBWnegy+tF#|MydJjXLENz`O_g-?H zH>1m{YksCo2@${9py}z3K~tjf_jT8+x5()uEe!ta4_i?*Z-e9ez}sOA6Ia~^t>OH- z54xI8es5&_#@6?yU*ztlgI4!K&%(Rs{eJpW$bzfQ%QIAbV?a9V9eNog%w~Y}CmZbQ zzrS*Q#OH^-RsH`Sf|d<J5B22l%X|g7GF>`y{X~<!>p`c>b%PdM9s3Ji{<JcY<*=21 z&ZX)r@u0*HTmH215i@kD)-khem9yobL-g`MODeb4uYjy)n=kEVkn^lu{z~<hRcAMK zGsBj0UWet=pMt$hZuPPXtlU)&TEtmp4?SM4-)_<R8;9nfzgS%|z1HJB{1WH<y|4&9 zZaPUVPrJe6>i&3;Cx7%pQ_&yK+M~1n-&*wj#d?X$@N+WlZmfYN-n~+gTaj0NzrxXw z*bBPk9dz;}I4a-R>~*g#W&Hp9zaa~3?Q_mm=(6b>rc$1t+e<dA`q}}?;ost+38?rm zN88ywPiywNXFDTjQg2uS`l;Hx<d!q2FbV=?@Avni!Fn<Lt7x>uUWfM=ZFhsR=q1Q{ z)xCS6sb}`zU2d0-JO&j;e&E8WqyN-=$eMI{`%NweYbsy0R`vfwK0cTII;>=PqSw3R zWqRp`RsYOEB}4Di+h61uc-Reg`SU7ET&ui)w5tEtI#4ozo<J(i4vUj-4Q`kIB+9PI z+PeyLH~ikB-S?Oq9x}Ln-<imAIPKich2Jw`!3&pFm^DlpPFMbxhPn68M7N-~qOxmV z$?Xi@#_O>1s~>1J94P6n(-q{2-tj<aulsi7i`w7oL6iI0e@@Doi*BhlhyHyEIqPym zAavpBy({5ses1Kfy!M+NX&I+og#&c8pR#|Zim(3e5VqB?-b1o>Z!t7xz;U|nj_&!Y zztfOQBYs$E|E98c$xqShlntx4Zew8Mc38PpADUUt^D0Z26~8_HG&0{;{J>_$jugm( z;D1ZYAUg)s{;!)D5?#BKC#-Zf*u`tNM?+n_%RPQ$tA4%Hysz)JBRTnAwHMUMoBv<* zm~~@AINR!9&mqg%57t4;g&(26x=i<1F13067uCCQP`%3TwMChH^L#I-i#se?6%Sfk zo*lCun%$(_4Ay*JTU*utD+jbF9Tv6vaR1);Th;$_EogmsH7NDJoeeF>z-hSV`Q@tq zYx$s31(s3uVae&!lGR`3w#I^TYB;E@uL2+5YbbHo{r!dToQKERzsTLS2dxW+E~?y9 zekDBxdc@|Nmr|a>;UW%8Ud4kPDt!mE<`s0f@qYjKjn5Y6?sflv6qFL-1=8Ly=&JM+ zYQ0NdmNB}l`UP6EpV<2zRQkfI8JpU<_ZI()LdtTzldWL|(z(kXv+N@nCax-Nmtr(n z^B1L(5eJpK_x@PkJj&=|)DXnHVb1pZtD)t?$+uFTTS4c6mc9oi-mmjOm#%}7@ISBk zjjhx7E%^RIuDtmkvjg;$;d^SJBz)=r??2-DZ!`{p21IIqye^hK{;_he|MIy@rY+f- zyTnI5DoE|<gmX)__{}}%w`8h;%<(%i&n)_O+8#dWr@nQG&+^F=jV4~}^znNAB+V;I zC0Ko}O0m7?iC=Z=U+?_>^KtpQ*M+wB_g{antGBEFH;G?<XXuls^;>nf|GZy6agWl( zC;vfbU9f@9x|j_*>*8V{_^b=grcJvA)|j_1_|wQDt)sM}g6*K2xNyk6NuUJ}7iL-h zyejJOVfLz9v#o@p{R93+HmRD$bYxz=Gb>@`T+Xcb-W$#^HSOZ&oVHrvO1$faL!vCa z*M-)Us5?Gx=LoBuwcy2?#fEdPZd1{irxcMqpOeL#Pcf#b=--uz*Cw(ooi4a$^P~lD zq?*3oVtJace&c~-Q<uL`j!CiLve3%nCf72h6}!X_CVl2uB@VfSNeMiBvac~=W+`;; zzFdBW)uie<3;y*a8>|(5#KM~{yk?8K<Kvwyt7Y5*N;nT@i3^DApOeu0n$xR{^V+WY z3%(??yk61raLU~&343KZPSsCHXubnm8FzH0^5V%HTJ!xkoJeH}t#<$~c=+$I;mBeZ zQ|04HQQo;u0oBz_sb%Ubsu>Oz#Vf4XKO>>Fm{TgwYeP`?f{$xiR{sIr?Fkwod7&Ka zp7mc<W8cR@nf)KlnkMZQT=O-s=@M&GX`i~r?l}p2y*W<R&rfKM=Fs}rm#|pT@#%j7 z9U*JE!z+J<H61NeUGYz_NtF+FJZ1k}t4UU~7nIFSn7Nws)jgjL;8TfwHXPc|DV0~k zv~24oGnU?R#RygJfG-M7SNjw->eLQO#Vcx5iXMzI7o4(hcEa4R&_GK+9_(Hvt#@q8 zsr{`9&2u@m>SiP?W@VY$uNLv71boZe8qa{g>MY)OTmwFzXYsam4yeA?DRIsyTJVam zpw4&2reB{q!z{8O0}(bcpzXA(O~<l1!hRY$UbN;2lNJ!E?@H(mpWl)>^-fd5+PNHF z?|nC%vuWDoF0f|%lm#`t3C&koMC)BPT(oLBRj(BBq!YFZbG=qF_^gY+b6LDoII`*{ zC9FKmnWe87@yw}d*K}c>@6JuXVw*(&d4SJpe3Qbn{NpmneVlSEt81GU{8-46Jq30W zlxVPf)O5iq`}*MP9Tu}ZHIHb@%-z80cvR(}+iu~Ie-;Oo+66*vCnjwC%(1GzIiWL~ zGwa`+gq3!VZ{0bjRThG`NAGy@?%48zKX-0(zIyMr;m~moDKU;PtHuQ%i<(0B`2~ED zYPwqI7Vsyo$@HIJz$ezGtx4X7b1vN$T(eo*@#bGn@Z!%x7U?;*&=tRRxvx&V$Z9$z z+vNIAam6q5rla%JSNz)*Y`9i+o9c?6aZO9VDX*v%IB2BWv@2am=X+?=FVM}e!A))D z!fU>SHyulE3XR+SX6nNxRqcj?lNXOH;W&`>UQxrYJ)!r!z?2x54cA_>EY(+wSne6{ z&8_L{J0*?(;!V8s6j%J1b-7~d;<X%F`)4OitmRzwj8iPyBjB$|lWLeRX!Pcw-_<|o zu1vfV)pV;|K<Bf7<K5*P)4Ib9*8YkX0H1nRExhJSX45fufi*>1ju)r0tgfBCpkj7H zZ@lo7nh6PO)j7P*+sGb{Oy3NR(#_U>vV|8;gU<Z}^_~wt)OoU?0@TL_9ilL0|ItG- zE_tA?b^JyH_KoZjCmd^!9FkE<o731msrlLL$QjL7a>R-*+-q*NJaGnerIa(M%L=-? zdVf=^rG|-`>jyvGh7WVCB;r6P5iN}e9gtM3bH?#$yv`GaRp332-_*p41nz^!DnNH+ z7VDgGd@UeWG{LU3)$+w0(D@OdYtE{!Z<^5j2eb>-aX#pF@{@;Tdg4+P*`J!vJG#46 z;AS1X6aMvYuzab2bwjJn75nptWK=+%<g}@+mL9REocL~C2Mtw3gYHL-1Kp3xFIIG+ zzOmI(W6#5!n58m2+mjRdyfVd#4DQWuwOmot2fLg6`3Bft%j(HW6+6TneiX>6<j?#C zU5{W3x={T*==`qN=Rr4n-P@+~MB%?3XzheNx1~niv{p-rsi5_sI%3Zhg3=Y)ufE@8 zz`k)dXj`bc&Kbu?iDE?;YCw0h<!+HNS7(3A!d*N8>E6%sb64DDpYVYW8*>GnB*7zA zWUvQx3u)|0C%$9mn+({e%5htEtV>Vi%K}}L`lBs0W~pUH&RHkEN!FVU*w=yvm#P>+ zBUC4x_%?}x)>wA6$~;-0kjTddz61_@lc~l%%ddg#i`zji%><qK_&NrBM|nyjUy>$h zH7Mx9?=$I&>}QohQEvp=WD8nedu_vn=DgXhmM1`~O@8rjGGK32k34a(;8`VTv+}Ju ztuj63DT#bq)xNNksXESqt}=_7*eY`bba+*7H|X?KQ|{smyBb?%mRtuNo(f8B-wMT^ zEiivv6SGw2$z#xdU^8w@jd!59e+C*%OVl~zn8L|zxgzesA(<n3>5A-ML1QK^<v}d` zkgMjNffB=ns-9MvEnAtwGvTLN9lUh)DX6N4Us8VQRmmn5U#puRi`7@EmX-<WcK)0= zZBpkJmt{vvtmbNFs!H}HA1|Eo*y7oD8FuHaPM=p>ruZDvm}!-H@#K^(e|*yI=D)6b zXIEcyzSMTz^WVj_?|=XO_j&fsoQrEtoc{l4<<0;9^(Td^OsYKUCDxhqVbe<MbRpJ+ zow1y+o^ym*G%a}O)HL;+LdKp+2~(vxy4ER1ymf4P^qS*Z$DEyY`yPac7X>$M-KMUw zm;0dAZ~p~bFKYkhQ23Ma?ZZmty{zE#E)rNBkBS|1D;Ef<Ye<-=&arBr$A%kf-IjHr z75mQwuiWWRSjpu0_9x5fIbH$fmIsTj3tibiH=*@6bp6@khgT+E`pnX6ZpVE&DmA-l zQ88!O?e+yf5?Qik`~yCTG;MvwvNT+HO|i7&&1%kT)jbRTY-W)@vnJ6(G+j7ni?QQV zor6m4&;zt$Z1Y}uyh&+#^;`&iCevCL@4L<c)w&0blACrJPfLh8o$DD;uihm3O(mnk z;GkE$T1Jdw#8a83MZQf}>wE(K<TaW8Gl3Mxes;#COTNz9Y`FH)W{zu~f>Z7`C+uzJ zI91=7&^(z#Yo70hi%(gm*1K#t5z7+F&eCn`8}Rq4x8WSsZ3-)X`ZX;rQ(sZ5aL~xz zA9_o;ZQ(1Ab#4LwgPKI^JT83jYnuAc<H8TkrqH-jzGa~$#Z6c5IR@A`Z#bsT;U(v~ z;o5ahFMYL$=SfYQ%mvq6SJn8>-o!g^j>Cp00*6$^b0wE;y_m)_^}gSR6L~D5_I?{~ zOk}xwn#Eg3X+@>r!60*?D{;;n&N#D_UKiBaesHIR-(O$OFb^S<JFN*z`vpzx<|IsA z4?V3y#<upA$6KeSN7seHM|RgXZJno}@mJ`e)$BRPKW@G)xW>GH!5?={GYx@|KU(0! zF7`DfOnl9;>fe-vjlVfp{qIfa6o*FV*$COoQCZSWw~9HZ-JHJQT_Vfr+SUdCG+DIg z_+Kb6J?JIdbnLs(nor?Pi_QzK`S$Cx;haa&f@?m;HBG7(UQ?>!_)_Sgl{z%c=Gf-H z^2iqjFMTcGcRadZAjd>)#h+bIXIxX_Y+ChR5qv}We6@&25lyr1t3_l<I=)pqXtZ76 z%HG)tJMD$9)VC$HUXR;Q2OZ@<H%o*u;^keI-tP(#s{R3AB$}@7a}B7`JSY_|FlEoo zgtfalyzIR;oV(1Dx>&nn>Rw%rQ~SFUn(uOI)paE-Ud*XgKRIEdD!9SaG<Q|i%_|cl zW-s`)o?}`?%YtY2oYQW-aPnFG?HSAIHy#1z&KvHibH0*y-Ehd9LrPCA;-yg2F>}E+ zpS+tE@e8jpQ_|S?(2FO%*BrJL$=XLY^Q~LJFNUVlJ{65>rh`@89H-1V%yvv%@JOG- ztg2(dCwmUDi>@=mu9^$#n6)qX#m+J9gy5AwHV1>aIkV>bZ8&oZTD$JP?k{`t@0<m7 z^AlS43!Bt*CM-2Kv-nqG-4uGyKcJ+(>8hQ3Kn>$TsnDiL+8oz*^)2`!%kuh;f55lG zrmt}d8von64d?J~n+Z*9mxJBiehY>C(>bX0nR8WLf5OJ6ELYbnMm#EOnzdg!BFiJ- zw`EhR**=!bt9FYXEPAiJV!!!8uK9`?5lS<fFO`E1TecB<wxH<i5{`GSj4j_EDOBCQ z;x2oo?z9u%BhY|#@Bd8`nxCBU-dI|E!E3{W<}aWlCcsye+kzGZ%AR)O`*c4sk&g{@ z0M<t>v1bXObCESbt1nMPi4_I>1?|b0T_~C7$M*`f)KbVARNzDJ{XPM@B9jj^z?~d1 zquB?vA`n#c?*uIp{GSHeIUp@oWKc7u)l%axXhGoO>5sL}IzC+sx+60wkuOU=O%ZxG zxj5)<auu;>3%0g^R)MA`@>#tF&0c`FSlo;U-I)4b5Ojp5IOwpc7hEw*Wkl{DIwaFm z25K<yZ!};BA9Jzw#37j@exT!~K$n6a0j*E{$qbr*Q3oAM3EJf_FG-R8F7NsyXJ<CY z%xRVRQl0>sBm&J}Y-Kpq{yL%J0B96`-vQ7a<)D2d#*rr+za)V!eXkWO5&%{4z4tdy zXnrzT=ZvGbZ_HAeCFaSAd{)H~CmhSd#hxvgo~+3JQ#oQr^Fb%Eq5zxbR!fPsASX(L zCW&Ujy>yj*x!o&;ZGEjWSCqpaYMpgV3KlE6aA#htrN`StPJFk1Z#H0`c{uWfV>u(} zT*}^7nJ=I#L|etUEhX+9JR~y(G&}MbwBq$tx6TuVT_?5ft!=&qYNFWnw_0YrF^5en zIBo(R>AChNXlg?X)L1y@#JB1CCIj}pw?S(`LG2lX6h-!<&p`)W91DM}^>o1=Q_!8G zpmQmIZ!lorco=jdX$5FbgNxfT;~J=ykR?`hVP7xkYI1AHp&{+B7yP~fYR`bqzy3RO zHRzxVgW0VzJfOQ>y&}bm4D4E3Emz2Zb_{{`t84`wx>6&`U3_83)K(dl_n<SevLjD8 zR-HK{Q<4_bJbQBUn`xliX4S=tCfuLgY8mmLy)M}PAA8Fgr6r(Bz8Z2u^|zg+NokXG z4?hz+%hNw`X5zL*shyEs%a7<RzAAOvIb~%=c2L@+nG@SpjE`QMbcWe#c}1F^`ni_| zr!y)Ybd^sZF<N})%#!=>K?@un-z?p`?)&$0+wXt>{`<+pw?E16$<z9+YqvrB^9TR0 zH8mER`EgSugX-*tuH!y_tO<*`9G@0*ie(Cg?Cnh0Sjuu$UOnPbWz#I__@Afp<^Rd8 zv}D;D%yRmTZ@_nhrl);MEB3b}v~K2<(o+UsO`gpeCJC7iP7D09bEWdu-gSqzbe&g= zNDgnB^qJ$D)szKal$uJ#gr}?(1a;&7d}WbdqqyP+$3eIALLv7yv_90?r0RHeE~nUO zfe`x{37xIbS@dTogWZGD1+VODNth|hQo5Vvw5?0Pf5|4%H(5TbABwSPzxB9Kp?6S= zT}UL>VMEl+1wUr8WXJdf6j>gOTFvRTUOnRZOK5qw*?8T-E!Sqd2H1ISI2gww%CB%E z!_M)kFo&3B^MY6X9Adj?E%+tN;{Am)>tAQW%IO?g`TOQvPTQuwVz>IiB6-yn@d^<S zPea}H@MW;Om%U0xjp{+I?ZP6p6B4%C3yIWEOz6F_bw=39w;b21#2sJ$<p3?#e^bcv zdahf*KXw-B7{7px&KoW=vrPT(u;BzZxRN-e8Xmuy>2g#~bknQ%DiKNXO|#}JM67ZN z_#M)edQN>swdBE~?Lt@f&rfK*FKi+syynZR*9LQrMGLR_#MiXwx4@cjg-wr+3$6LM z6*@@rY0paKwQ7zpXLEu!Xnf;r`Z`Zt<NvDHhHH7B353*GA9Sl04ml^RQ)J?JHJC%p zx_!Yff6i$;CPGf9bOV>ui{6H}aZg*ra7eX0-M@=L=et?cug@G|KO`IvyMi~Y7WO7g zoi8AgE4-$R-|?e6=d~Z&jwffDf6$7K7MgOeHDNA~<4tFdYtbG7|Fl`8=a_<;ODxu* zACCvSyJ>T1-S^#a;xJ37J4<(NfiiTHf=j^Xy)53cE&<hy2aVJPuh>pb*vSSypYo3D zg#z1yUiFF@HjmYK(x+aBR{b(}6JL3N4(%>x$*yTz@T8L^d#+=^FQul^b!r;b>IbW8 zn?BX6-FTqd)U|&1%*XxP)K~n;YjXXjzT#JA)6qWl6>+K&j}AeD?enUY$}2-zw#utS zJkxC2r7xuO{Z_QW+F!Q?*Gy2$*dc$=YraxOjm$x<`zjh$j0dyA1w`UKHyqRF^y1^Z zR@J!ROWO7%i`O}zeI}qCHD&!PmG{<io~oae&@AM5G+!X6#Ln^Qd|{m;X~(Pa0y^JR z9KY5J>1=$NJ0t8Y%fX=C!dLFKC(KmlcqQk(;m&o=SM%LB96AqeFdd$~yl+YsOYeMz zh^O)_uI4WjqE_$iT<{|ga_-qfmTXzyfL%@-&RMdg>Z?Y)cWe6eT`=c^gyYfu!Z{+b zoex9qbtO!^!UE~@U*-3a?UZ#3`1_f~TSsxlPur%Y(*&>N3F~a0w&2}jmeYTG7yLVO z+i<PuJ&y|o;!RWU`Cs@U&=lIm;wmn@=38vjBX7=YJMti7ICEk^4PXPum+73>ZYGE> z3pMlGaL<qB>3#PN2OU{N?cFzA^kkX3-+99cRTj$?pn2pYyFeQZqs5*neB|M_%y`9@ zb4F&#wgg4?x3Up4n$O(v6pY!%#G!xN(Hzt(-wEn5{_6zwD{q4~Zi3HHY-^S2c@OHd z?FTJ@09_>!^~5h`smzh|jRx$!+d=JwIGr<&+4Z2C$<H5>Dd|gBWWNbo8?cu<@`U5Q zqlaX8!r--kz@M*I++}+}_36|9piLuZ!#{754FOfkM?mKzf9>C3z~0HkZJANm3A&*C zoD<(I(0NfaL5+iQRnVppQEtl@{E;)75A6r_EI02iD1Nr!OB$%B-VExgSHS!KUrwy- zw^~sP>RS3IC-O;^f-WeZ1G=F6m=oV6{tX7~b3t1nzQu#8jLUlKg7`jx4xVnl8+pRf z@bn>>o<7h%41JI*gF%O3{RVAqF#>HdY;CplxML5mEI*fnE{l-bJfZoUS~;k#l9nx2 zbm1<js;lj2mHEOC+K_R4!-VF<)>cam(536gKn<R$vm>AzF{<aZ${d-Wp2#O9z5d|Y zla57v+{G8XHcn{%0y_Wt`386c;O1h`L7UrKT4kPqHatR_Uu>Y;L#DOLOkvkK<M_l< ztSI2_3%Qu3mK|q7+je6kXEYzN0o@=mrPb0yH%XEG?NOaGj?0dLwv2!VX+V2SAUBgE zRuz1>xw79%BX@^bxF-8!3(yjXnXNJ|#-RNe384KLpo6>o(iGWGf*MTMK*vSz1zo~X z0=n;AQS8}*?>yX=CvNZEVOeZ&19WUEsMGHTs=a?YfNrHvfXC5Ro3DZF8$r#bze%7S zBXe41Ou{!Cu&)HU>aPiR@r0R$^6P^5c7ZI4nba!t1$4|N7yE_@%@1qDo-HV0;<l`K P%Kv9s-5>Gdp8N&?q>R|< literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..f516430 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,8 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj new file mode 100644 index 0000000..234b2fb --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../../src/hdl/processingUnitIP.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..cb7576b685017f526edfb6860bde4bc45d696a9e GIT binary patch literal 5865 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJMR(`7f(=WUS4Kix)sdR#>U3Tj-AWIHH%5Vw75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGG%vF_Gq*UtEVT&A%}q=$%7^ibQ<F=JQZoxcauo%+3c9+*d5HzZ8Tlm& zB}wsVnMI*_nI-W_sTql7Xol+{<k4l)bCPltbaV346+o`fFG?&))dTS$v2J2wi5#p> zT;id{nR)37s>KS$If-Sd3MCmusfj6&RAp>#jvT<uT#Ob_Q!I^9O_2#MNh~S>8B|=7 zSdy6xw>vXG51c@aO^lF?f|_D#fozI9mtsI-aj`;3Mp1rgdWM2ueqL~97068gf|AVK z%&OEPuu*0frszhQm>Q!b<`;}yE{Vw{rHMHTNtq=IIjMQ+B^e+;revn2r4|({q!s1o zDx~EX<zlEv%P&$W$S*2UP**Kh7hscOF*36-)Z(rv&de=KEy_&GOg3WXVl)O3CPpk= zOwRsB(Olu+Bv-7TV`Q9?nv|HVZ{(=&o0{UBpQrDZnNw1fs$Wu|n+9g-rk0eZWG3d7 z=)x5j7bWXwq~z!q6y+zU7K5S#6z`q^dSwEPMjTu$hQ>xl0!$W+4vbOkh6cLE29|~y z3<YczY>=G$oYtN-f_Rphi;*sVHO1psnnx_uuXKx8OFW*XdBj3fF`XjT7+)f$dBj5f zO0S5uFvAnEH1{lhQ?ZGGF&@tjuT*SeFmfW+*wD;yq(rQ-p_vIDzdCctI_KvW<fN9Q zrYPWO;uu*Vx4|Jz9Air}<R*?UmpY=M1ZkOP=I1G-B$gy9q~+w7D<tM6=2RAELYrSE zhUg6)V-r)9hK?VX29mo7nrLKhiD9C#r75x#gSb>fGILWwZTbA1(h`vKKuscO-vY$V zg!ej(O-zuCGh*dpHY_NHc-+Ly0NGS;E?$s3LH!0$TNB)lGqyl>jFA|ZNI_z9c4B&} zLUBooUU5lcUP@w73dA^50~AMk5;jhRO9<JxlGKWl%zUhd1rat(mP;CDSY~Q!s$OwP zN_<X!dS-IGp`n=xR#W{6n<~X6fnsW2X>Mv!W^#OS33gw55jIkkOBiNkS&m*=PJBsa zK`Jy#(84f~OBfL)x%nxjIjIV&#o*4Q0dfNy6fvkh8$D1LgA3woOH-7dz$8Y(Zj9xM zPAp2!$Sg@sE-5WaRRDA1^9xdo5=%16QcLqPOBC|c6jJj_GD|AadpF7Xc_l^pIiLoz zLNt^E(gEvu#X_8g=2u^0{VK#IfYfKxbN6$>?oU5r4HV`QL^jYV)Xkl`CVD!Bx>46e zf1D<U66<RzE(v5`SH$O(mKew9mR49$*Qq|CA;#EEq{yj8Ag7vOH<;X*_X!O#qPmIH zccUrQ-Drm0#4uvhk~Ehja$0h8adw4ecI+kx5Non1moT!)&W=GrkvKxspI9?RxP*|+ z^bL)0!;$7GG145n&nZguhM+``BZ)>4>wS4HS!D0~goYSuc=~yU*cz!P85n9}cQ(1D zbC|O;b^}RqE?U(FuZBQ140h97h^XWIxqQLZ8fqPvmRSU<t8mwD&>=sCXsAk9LdRaK zRS>Rgow*#bxC1hHh~Fs)HCSuZa4uP=(#)I^1*C={eDD%Hjx5D&WNv}nJQU&*fEWr2 fTF9s-#Astn6Xdoc9~YNvUW!6cYI1&2iU1=38<etT literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..2965ab3 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..aa81763fad689b1d497ed3a4dd379b28a72de795 GIT binary patch literal 278632 zcmb<-^>JfjWMqH=Mg}_u1P><4z_7xW1<r8*vm6+>85kKH!yUsMLmfkcJvuKRbBbVT zt_ol*we#t&cIdA5=q~o@4i0Jl^}q86zss@aUyP2O-(2`zzFU5G;rILC()sZP$Rro- zVh<Po^~E8+jQ?KtGcYjt^cF{W^g4TZbaQxgJ8*b(dM9{vI%jk{Cv-Z;bbIIUuRqAY z-aEzeM16{9=YP+}<173{7$!gf|2F3cpI(&%KHb>}7j%2afNkmqX;0}qq#f+Rzdks` zk$+o=D1$HKA1D58B1#>>5tb)Pgt~)s7%y}>$MCN|#J~QO<%znrj$Lfgjxmn0j&Y9h zhmScqGVpJ6a_DNY_~|dg(Bb5G7-BJ$Jm%!c2$g3Fz#<<C3b0_0#y2w<7#KV{FMD?W z^XaW}FnnwHZ5JrqeLDYxood5a8tl;-qY~iJ?HthU9nr<Y(b1wZfq{X+qc=n)z^C&& zL>Y6b7DyR~;WwDhE`|;l6%UXq4!9~N2mUEXUo#oL?R??Ucm!m1G{`rxhbMrXGvM*Z z9YDAE^EloXz{LPg;vT*G92glGJQ|N#a4|3#UNSuS5|kXF5ecP&Jvu)(?7z;+z`#%< z=&|2|fq{XSfq}vB8#wrVx|=UBF)%oGGjujHaDx~QES=3P+@M&h*6?UN`~qsSN9Vn0 zu<2;#Sz?&S!3;8np|g1d+`KIi^AbE756|F+xD=OpRv6|vz|2eF0T~Q(UkVS%eY-D! z5>w-04<0llp+1LDXzsPfFuww3z5p-Gd=Xx-`5fTDJ^TZ&`QY>y5**;s`PsAc|2|L_ z@aVh`3EbYzJJ=Z*JeqeuU}s=paO`RaMMFtZ%K^_lc2IYe@Obvts4zpL!=rci2_}%; zrl5#4yaYDJ5f*)Xp3O&CK>6f3SlpxWumv-cVz{-P-#wa-aDcLWoMSvV(ok&VLNWrc zePE|C!kmV~HWb$}A&maSF91q&3_iWAJpcbg0tQ+@eD~@6i0(p2WKEa=$<K)JWCF!8 zB0McPz~Py|ffSxDEeA?OJ@&gnL)4@BI1|Fn3?zgQ%!Cr;5NbS()d;w^c|HFhLHOFI zmo@MI|Ns2q2f!(T2oIyBA7)hl?g06>yZHf#YCTZm^Zx<dVo{I%J_s+fAiP{aq?bMS z!1Tk-MD;jUgWzgWJ&qLJpeTDCkHvIwdP0gD{N{sFJ2N6=ku3Q6kA(Dumj6YdK?V&j zpYCQ4Mo_kA=xja!&;Lgt`M&~^|5xzhD_=nI2eJcHk?+%kn!XRz)_~<lc!+^R7gFtc zbT{8%Wnk#`2xzWh<X|YV^61|EfEARPMZr1$+fGnH0?y{G2TB}0x_5(=d-Ouf1%&Kt zc8^|gKI`Uae#q$2JsD(5Cs(%vOY;LpkM3?T*O3M825!&ZI(U}uZWdrebA$vNK1YC* z6XOVwDM*e0bI}|DPY2!29_Wq;!0QN*a$+0-G6l&IU@n>?;N@<2a|5~~I`BFIq?{N> zfJ{Mh1elBF2v%rX^yqHhf$oR{cpU*!PK+Z!rXV>2%tdnq8^RGE&>isuuOmRpiE#wT z6eLH0xoD1HM>s-*9W7xRfNIiST)6|JoES%dOhIx4n2Y2HKF{W3;Fc&nmnNXQBLlBH zK+1`62gnp8cYwK$ET9^l6V$4{4{qIpt8Q?68{EJRaP2(q*m=&Q^Rq|iU&qd~zP(Fq z3xpXMd^(@IbRL4175pttpc<ri?~COe3}7*j-n|(c5bO&=APa0%JUZ{WbbfN_{0ULi zdDydek8TIpxMx0{zrdnC-8w2ho$pbV`gA_`=)4D4(%WkBjGX~Pl}F=Ih)oFHuqq$i z4~YePZo-5K5dVb)BYUp%gir4h_5~tnek(~r_Dl2L4WPojM8czYFM|lkQ)nJSbtp_# z<57v{><n-(d3L^lHk2SPf^p!TBHZp<A&Tz4u;#rxKq(aLCJs?z+yqwDc$DD<v2Mcc zo*m*?+;c)4)bIy|k%Txg?g6W6JSy;>a`)VjMt2V={scBc(_-`97t%1dSx6J(Hn6J3 zqYCecbsMNri95U=$e_C~ta<MT8JL?KWQcJSSXJZE2ULi^6S7#`b3+#99t~My+yhqC zc=W(aV#5e`_-v5F;+_L?F!u<^5#t`Ps>Y)WUQzCz8S+@%vqB!`o*zWH2dt{`Xv1sD z-P53e#XS=gVD5P!PfYlLRW%+>ctg2+G8D16r$Q0to)ZehxCgAN@u<UFQr+XydDyY@ zoM-2EkIuh7y~|HLV`pgIf8!ZD14D_tNAF%xB>`@=x@dl6yy?^V&!zJdxQ_AcJno|T z`Tt>XE%wc)^H=9huz2$i#u7QGM#Gb?h9_M*L9&jWH^9>1R&np%2W*^>TF&q!+yIyo zkH(`QTU`wgcyzvp=<d7;E8oG@4~zpZ?2+mtH2<u44)V{A=P>_(DiN~$1Ja1wKM|^U z{R7fWmVZD4oB=MKM>|h@c76vB*)5;&0_39wFF-z$_3YgTs)E2i(!9y|(x>xZ=PhtR zdx9DrZyh!7I{rWE)A<}+^SgAu1j~WqND-<P>?g3SYv&8FZ0iC3maB{m46V0IRb34a zxPqeyY%o-N>jBW{$36yrsGpmEGnVVOzAe#q+yUzSID%c{)A<~W9u7gc9;R}G=D$ou z+KxLw9Z$!dAQHPK0b#f%W{@UkkS0)v+i@p|#I8v~6t0N{q=^Nj3DmuF+zBGFYf=!0 zYhndyVg+deb<-Sof=KL|G$i4g*g%@tK$_S<106d-Bz8>((r``eAWiHbP2ka{ogfms zCJR}pCUBIXM4D&gF;GHqH9X+c`5i3d()kig%MDcsDFeak6SVwO@Dh~T8eW1@8>l=0 zRhZ!TCAB;NX#}S=NREbOc4&FvAdl=bR3#peYMQM46B3N(pMX~&|0KME`3F?tlI0(e zM%@1Sz=hX8AkEbAkHKq@e;i)J`~#}6$?^|KBX0k^;K1u2kY?)mN8k;}KMHSP{sC3^ zWcdfA5x0MS@Zj|iNHcZ(^8xH1hPN>PfEo;B`3IyCw|_ho@%jg(nL7Tt@D>z558(a* zH8{xf4@e_!{{$%G^$$ohb^Np89mqci-ofGr)JY-BKOl|R{bLF)tue|pkOmU`fTMg~ z@E+v;4ew#@2Xz3+az98TN$v+}Ai@2PVUW3&eP#>{3|!!uEZ8ieN4IlEw|7B<4F_|n znCJgvpuuCvK+dt|1)v)KwUcLW9em)iw_3yS8)()H+*)ZlP~zy>d<;C|4AJBPnH%fw zzQM%60Ghr88{*Nsdje>RrtxqGXq>(C9;7CW12-t4Y^3UN0^Gg!Slrt@gNcEmyL$l> z0|Wmy77mZ*A1wUs>%apzph-Fgk7Slc55^P!A9(iGA&pfoU;yb_!N9-(@+*JqbOr_n z&*o#`xgm(#k2QO+FfcH_JOGmEt>Z-utla?XeE`*4TISh&j1M`WK>C<pc7XIX9}{_9 z=-FE*j?j%_GgGO*XY(<M*Ycjt$7I1~K>ZI63(w|bT(6})n~$-9rNDs<aTddC9na=t z0_eg_uSGqZk4d2mv%n_B8V?(=fV(irwoCwxy?g9WfCnzv9*=IMkZrKxU@YZ4*8G8m zfr0roJA}dH(YqTuzjp&-Dr9;SYF;7{=FMP*ng?Ql%!5qE9&P}Q<HM#)q2?vwH;)PA zJ_k0ac_0SJJm~y`259&d&Aep%=7IhD0%jhF0Wxm`WIp2p7V}c@n+JB^1a_$VKn#$1 zFCgYsfJQ{o+?R^qJZ6x8L34TF_y93L<|#n(l>%t83vM2yyaM-MTsx0BcAf=yF@O8? zE>}=tU})ZNpaSaPDtPqnZct`mfEHOVT^K)t`<So5$pO^I?ELDY`4-%Tap`;q>Sn^b zFs0!hy|$oPmwjRk3@E)xkUB?bZ^onXFvtQQ$ZU(_PHs>x?Ys}M#RV~;i8Q(iNvF_- z7qQSn0k?l{fc^6V?jH*kGX3KQttil(4>Aw#d{8gRgAC_G$7^BnffOAFbU@K@K?f8a zavr_A6?CA^*M!DKCp<D-JHI+=zJ+!uL9RsVQ1Z8~WME)ueaqjnfq{Xc`7a}Xdln-D zgKO(I{+2}0R2^pD@D*$!4yeazOF&IW^S@k9h7|=RJRZHf3v!{&gNEHJ3OE@U`CC?l z3dY?9rC`o>FejiI%sC9^JP70lDLc);!0_@CC<#Dk+9A<?7^R<!;x42A|Np~eRaBt; zGPnxI&Lb`zi;Lnp863YIDd2Abbx1vWcfW{(y3eI!@&8;-24p9{oCB(VdUtCSK-Dxr zo!#pB|NnoF-rXNc;1UoowAw->E>yuKz}_hZ1w}$2Hze&h|79vqa%}#`R1|}yOAU8% z>wyv*c&OzvGBCibZ>a=xAXc`tf;m{NVJ;7KZ2rew<nOoxR5_z`!jY}fhnpM*HVkTV z5}1S4WR~&($L4=5MP80OKz($So;tG0S`E9whJgcsP^hr-x9ft^R`Wkr{w8G*YX_(= zkJ6(@GMvBVKd9@Dq6SyIuX@Y{jdxJ;1Ld8^T#(3c=~!(3i3=3(D?UPnUiN{K9kzIH z|A!;qt05BTKICu7{`dd?%OZ#@W^$Pak-*f}2huk6-~azm=lOK2s5I<;@P&(k5ge2W zU!ZPn{>xUL>)8B{ttj0QG+D{uh&+^m6j~+j_~bj9%|3C#@+>?caM;LRZtd9okG;s$ z5w!S*!4Y{J1ldMWtop%4C%A%u4P$vU9tIU<SW3=Lka4JmJh<fp&8*Pe0ScTFMQ9o> z(S;_t63d3&;Izx%D)IaO|JTkQm@!&<8A~oMJ?_!F8zV|uFaH9^JyI@a<ZnCv>;M0k zI)DEE2Tg=PF>3t-F26m&Beonypz>S72wZ-9_U_Fv#94m3bbi)+4IZ)uhZJJOmcO+f zTzGfJsIc(2&Szj?fE9V*K|D}+fh%NCLEVTX`xr}k=-RRL^Iu4LSmF$F&tGV%<=U~d zpjUvwvv==<9sx);aqU>DT_Ok)T2L$q5qd3)8Z(EX+F(jM?{vqguz>5AUXV*b^-Bvl z$6|~<B00Mx1<TkZT)y+LW5-<Uubd3u4j1sZTnDwGd-rbm0(G}z$6RGgup?(!KppAW zG55hNuu#A(s1U?eO#JP~L5^wu$Hd>X7sSFG-Go~U3eA}W)PSAV{08i_l335)y+2+f zoaHPIcEb)as2dzR<_7eDg${H>g&@viE3<uB30f}*@e0)W5IH9PHsAmM|GyS;?3fEO z5NZfSl8wLJ5u~sA9}9nzIfw-<nUO{|QT!v!!~lxU3GzIU=xqMW!rwjz>`A;udJVoJ z9~=nM3m}2u;Mu!(!hA#^JpKjtal|jEj~zSaDwqm^9bqB_aRkIKtYr!>L2VSrj=3N` zP<2o_{&rCD><F$TAjt_|B>_rKxaGmlO`Z;RE`Q58NOHO{4dG<%Phcl6_=s@w1U0ae z8Pt%R3@U*6n_Sr#81NOcpj0#$9KfJB!Cp{<U9`Fn?4puH&)&TXeF)bSzXZGH#S4UM z7$m^1DG*0;P4i#&GRK#XKsI&E1^K|SV=l<mpa2BBv^nhm|Nk$iu`)0?cFYAC>ew+C zWDrO}^IvxU_GKWw&Hvc>n;;1SG|-K+n6JTC4S@agxfS9c6Hp=3itx|w2VnmgJV5xT zfD`PW2OLoUyykQ4m<v+p*fAF*2XZ1PSs_v_7k|4cD7iQP<KS=72C+a(`WPH{f`%^8 zOFd8&utO5Q0xvw_bHEZlc+uld5Q(X=q{b0yFgAIJKW}k?3Z@cJ!q2z`t)U$|<}ycs zJyj77^^{}BT!%?up$!wELLh%N|K%)GczFmE_7FW#bx=9}_T?a{=6{_0O^{^73F7Yr zkyxBt>$n3X<A_xr>|E<h5a&92_U_$q5#d~Kf3VvQ_(9#~*fDoQGgwHW87c&Fl4J8f zu42WP{-D*p9dkjNpbBA<{7uFnxgDU0z!e>!P{l0|c4qTQh%-T<`r`z`nUmeXZsKr* zx(QTFl!ApOlt6_*E@}SDU8eBzASkFh=7RJ<)j{RpiJcpk*g^eHNbeI%sMa{{z%37U zZueG*b3uunVJpJ9w{5|03$TT{4U{R<z(NdZP@&hL#12x2kb|dEh;w=1r5_J}6QuOx z0r_kvh{WRD6vrJP8Aq)0VCO2I;RdBycx5`{G_;rE*fBSF4LE<ESdGY^3^%|+1=pcM z5NGkiD^p%rWy%Y77Kp@j7Jmz*G6l&x?gWwOYQRp*J`8qR38*rCa0ub7*^9w$5LgU# z1E^>?2^M;A0xAS?7GIg=%MBoRK)eDf<!%TvK;(FlDpQbwP(vV+eC0Kc&Hwm{${cs_ zf%NYLk?3x0Jx~&cPaf=tqDc@xD0=qpeK8T?hX=dB4i4A_b?|F;P*uMR;yOeV88-Rm z*?1JxT7)-|K~<Vh=X=n+EvQmQZHR%J$k4_pe7+qvo`5{QBVht+kZPEK8l<4{oeE=U zgVY5!qTqrUQgFdDzT*IPF=W^WKAh9L8)TkG<6#Fz4hHxrj%Vi$EUrZrf)3<By@1-D zMt1)Nu=^jt-EUw*UH5~`qow;hOhMs41GMD01Qh-+sO)}_d9-xDgBi&E0ibyTu=^L7 zQaAiT=F!sqKfvziFo&i82s7%sA7q{fXi%L->3@ScDEtq=-7jEHUH5~`qow;ZEI{tB z0PUCpr=Js4c0b5GTDo7s66AgZ&<-uI`x`8%8~z~kXzBhNVE4a(yWhf+y6y*=2ilRw zN~82Y!3q@q3qU*Cz~TRa%I*i5M@#p6ScBXjVGS#PR#;It{6Xf?()|oJAomN{z}%l; zO<nhc%%i3IcYxi00`7hZ8|u0rWS&Rk;R&2HO8*76pzv?7g@ykGD!U(K9xdIkVFz-* z1!$)xIRAFoQaAiT=F!sq55VsK0C&HG9d+FgGLM$-pJ5LQ{}rHh6X5XwL1p)Y%mdY5 zUqIVe{<(lh^QqbX4{!jvKLK>u0NDK-?5P|6AoFPHehx>F`z0J<`8UIXy6y*=M@#n~ z0K5MJ-2DoUWV%1JVfTb&*xZ&tGIY=cd#4g)iAUpMfnVe%D%}0a3MWu}bU4A{;|96@ z!O<TEnMaY+!98N!?l*7-x!=JV=KcvzWX1;$_k+x%rTbri-Twpbeh+8rx*ud7E#1Gs z1r+`pTwvkP;6h#ZgUs`2Jk0Qy+@wz+{Y1Ee+@IkJbN>!1yB}m8E!{8R26Ddw=#&9) zeNf;^-S7vQM@#pg0K5MN-2EDE)OA0|JX*S6ApjKq1_7}2)8J2C_k+v>Ef)Arqx63R z?EV*U_ge%|*Zm;#XzBh5fuQhT5C{wZ4^(zP$UIuQ-y;a*{)ix$`&R@~H~c~7(bD}4 z!65ew1jF2)5JX+~gUkc9=cH)VpWFd<{|UJJC4#Bzevo;f{=77e++Pp^3jc->SomL{ zvim{i(bD}Ip&<8Lgu>k45klSY2bl+2Wy?dO@P7by{|C7H9YU$=evo;zbpMPnQ24J1 zgN6SOD!U(K9xdG;5Ds#GLO9I*8^Wj?{vh*c>3)s~kozSfVD8Tdr>^@!=6N(8F5st8 z`ab}6{{^`F6(XqXevo;zbbm!8DEvDjVc~y+%I*i5M@#n`M1kDz5CwDpgh=X!Kgc{< zy8i{({XgLD_lTmd`$6V;G#<VoK%?}(AQ}|@8=_(1&k#*r_k+x%rTZgdK<>|ofw_MN zmE8|A4>X@GM5FK*hy}S{Ar|KTf*9(CKgc{<x}PBn<bHuHnEMklsq21_c^-|2e~8j3 z{C9xee**4)i7e{6A7maa-CvLm3jc;|SomL{vim{i(bD}IIUx62<iOnDkxkw32bt&5 zc=&__jndBpu=_v2-S3b?ru%(7dUt22L)W)rA4Uh6?a_Ex;{(ZKDY(a<U*v+q|3@w? z{5^8XbU%*qXOMa1xfwk02_<m5|3e<g{S5gq_Xp%r*Zm;#XzBhR`5^am6u{gckxyOs zgUq9)`xy#B?iVP8xj&(RO!tG*|AGj-=^tdaN8{m+A5={L97Q1aOBBJ}pHWDr`*Eay zka^^}8JhIL6z=pdPz-XvLNUz!1x3_#Kgc{<x?iFM<bI73nENY=sq21_dDL{jNAnvA zm(HU|OIo)pl!ClqPzv&bJZQ^x3Bn8DL!*#Z<aXw$@Ibd*e}}BSg&zCl*m(q0=$EJn z_;f;Lz?;GxoBuGD>N$2E>u7e~1>T}-;L*E#$4=;u44010zYamRz#f7My%zImJ|Y1+ z#S6Oq7OJLU_knYq42(YAB`N~o4T%otpgJ0M2VCZa?ozyP2`UJ34b&k>%Yz$AKu4<| zuJ{ehg55qUJdpJPNLHe)W`><-30}_(2|Vz@;QxGj_iL1a0?(oh9C)6+`#MUI0}p&6 zC1i)RN9W<r92FL5;Qe>$eCN@53pMbdf{1V{_wD@S*su@cJ0Z{BeIVaCb{+?-aOwQv z*wI$U1KC6A*}LxpH`*k&N9Qe=*P$-+Y&-_?I>cGsJ}NA}ogYxwL4&=HDs&jl|A&$G z7aEj<yzfvB^1du+lS~=Z`<gGo2f`uNa)WjcV%cBl*m(>TQ_sPB4#EC%Z2resn(W*8 z%%wX;g~hR>)k+lR#l0Iuki7UEtOsei`h+sjEe)V`>I|?G!(hwRAEJ5*yDz~-6_`LO zuUtBj{cBMH@~=k)%)c|rsqf#<vT*-q$l~)a$a47de9+#1#PWRd{fl-UgF_|A!vU2b z4};c=FQ|ZenAG+9p!)GfBHsEDWHxB5`ztJSx^#Yo<W2Co_mJWMYt$jd7n=J$szC0K zsDinFMJ1W;$5B6m%p=du;DiPxaJxUC8sz?jYMA>sR8iOcAoFPH{)ifo`!i}_?%z>O zUH5~`qow;3YC-NVsD-)zKn<Dh2c`c5+<4PJ$ZU_s!x{gmnEo^BK<=-ogSr1iEt&4e zk^VvEk>_S;(g#zx(|<ud$o&oVF!x`mqptfw=F!sq6%8QwcQnA<f1{qd?gyDiP4{DI zA2u|Cyf6W@wI6iq>+S~)2rp2xeOMgN2|5k})ILNyB4sl}9;AH;JJkhS`w*lCelkOe zia<#Y>}ZAx=+O-PEubiX9?t-7KEh8`0JT3bTZ$lGdNdyX@E?{Lakmt)o$}Cu?UaWG zY^OZ56o7U{m;XmT&;fUT=x72(#thJT@Zj}EFB%b%LDKn1;PR*$Z+QeV+oSRD3MP`r zo^ZQ=LNmzy3z}j1;X@Od?#EFcfy^V%&ESFvO5k??j24jlSAh1xg2VquGj-h$GLM$- zU(gD2|Atmr_%pOp*Zm;#XzBhHZ6NpWXoI<*qm4}WgVMi&9NzQ~G8?pZjh%|=e?vRS z{RcoNs({mvKs%Z4$C3U)=8@-SXwnB$xYPfR4v_m#fQ}LbyI-P%y6y*=M@#n~=mfd{ zLMJT!D0EWS{UGyb>HZU4Aot(sg1KL#i%j=}(*KKzc+)?~Y|z{Z7Zub0g>I1hA9Taq zZ_rJq`*Eayka^^}8JzH;1n%^IqX*>v7d<fdTl7%Z{UGyb>HY`3AoqU&osI;~zYe|B zbw9{Fs=6O-yzNCF$o)S+=kb)td-m@0=tH=l@g-zn3p|WO!Fbz(qoC15(0H4|QBDTb z@wWB1xIv9n9?#x=3b&wVD}Wl1&mjYPNaJlFmw7fGd%?>AxtQh^dE;%aoku#)f`=Rb z`t<Jq&=2xH1L(%h5;@P_y#f7D?}JWHc<9l24?5uH(RtXV6Eu9L`Nr}85ueWg;NeD> z&WGTzafA%IK?ETp&HotrTS29|Z|6V9j@HX7IHBI$>#+hlqUzYN7aTI4o%c(5K*t0f zMMxN&0tFdJbi+xgC@54QLv*g455Sf{-Rs$S6ci}ngCrnf@`AL{Ih658)bRN+0TezQ z6G7P%6h09XXcIo&8{y%@uo3EE$A-P&V1R`WJR}?&_JW75!J!5pwZ<AgAoo%=e6aNA z7$$+jNMI5uj6mc42@|1V<f8eKn*BK^?Bo5vi5Tw(siDevKge&O3r~4rRT%DG9JJ^M zQ@G3j4GTblcK~!`GPwK{SbzvTlKNk6p!f%s8a|!BV5J6VqtF7hF>ETF=ZZAhvpi!V z$o&-yL6Hv{&pkn|`*GC2AoHlYUI9}6BhCk21aiN@B9Qw*iML@PBK)x=Uf6sKG@#-0 zEs#kE$P5;^Yy!_Z#KC4AI-1u(%X!eboyg@p=0E|+1s;uu6U1Rj%%$@oaiiAYqy{E% zr?&-nK>pou2jpK+d@<ZX_?M*gh9kZ}=7Hi%2jO}m$K1gVhZ4BmA8{At{*1dY_wS&x z`$6V`=11jd<bHvBAonZWgSo%pE_K5nWF9Tue**0O8*uk)+@r4hLFUoY{SEg);XmO% zEc_o(+5I5%JQ@#IDAFkXSUdo^-ve|sKe)b_ai6;34>FII?*9OGKf^;<_y;_ouKPje z(bD}Z9)iMu2i*M}52@>Zka@Ipzr<sZ`!ycJ!oT7Xb=?m#4>W(ELZkG50qp(<aQ7QL zCe!_)4Z9Pr2tZePJh%)!BN$sFs&_ZY5{f*9yFTl90*a3rPhj!!f?WULsE<MBQRH-R zjf~s<4o^Yu4|oc5|AHrE#s?1fgUkapxYcNso_>Jc&+!cA{)ng4bw9{FTDpJ3Gf?;+ zfV*Gd8Fk$cGLM$-&v*`Uf5mfH_@AJ%`$6W>()|ptLGBlL4Re3OE9!<n$UM+~5ltGU z|A6-(_b0rExqrhu>bf6f9xdH(@g3xTkMA(|&-g}N_k+x%rTbU>0J(q1519Koeo)u_ zAoFPH{)C?(_ZR$xx&Hu_-48O4mi<YIUm*8u{DQf^;wN>(A7maa-G2e>{s(aP8~mcK z`$6W>()}I3LE%5+H!S>LP}%(;^FZef=+UVBboc{uf50D@`xpGCZuo=Dqow<QfZfmW z7v}zmKh$+U$UM+`I(-_2|AxPy@IL@|zrbJWx*ud7E#05-59I!ef3WaBL1p)Y%%i3I z75;<VZveW+2s|Fq@Q=FT4>Aul9%MwL^m7C3{uglfTl}Z4`$6W>()|+{7#W)PFJNF~ zU;u~z2P(TCWF9Tu@4*Oie*`1U{VNz4$-AEqNB<FI9xdI^zyxx?029pp35?WrKgc}L zc#tWL(*F*y`%l2#FTq4z_k+x%rTYt*LE+!P3=97YRCYheJX*S6g9YS%3l^CBJD8~( z{vh*c>HY^`_kV!9-+_g??gyC%8o#ojQTm_33JU)ftg!I^L1p)Y%%i3I1K2?BPhf+& ze*-IZ!yjZGE#1$-4syQ)JIwtVY}9o>$UIuQ{{Yzi7vSz!V5hG8LFRch9*(f4QTngo z0EK@C=$2z}`{4$a-48O4mhLy;1i9aV6PA7^a8Nh=LFUoY{V%}o{{eTu2Pbvi4>FI+ z?mq(EuldiXcl`n`Q1EZy0tLUEXYW1+E~xXt>&L)LvcStBz?UmO)O`8>pik#}@IK2< z9~Bm`RPztU(u~%(rM0kIAmMu~!P2b<N|IqRuaPz+f+Zb0kGgiO`vkrL@@PScfoJc& z48#qP>+--iK<<Oz014jefwcGUuxkfIP3PU_zl`NYuB}f>a#8PY#Bhu+K6%i6N%O2= zcRMZxU&gl&>2Ak)_rMo9?t@?C*!-7?zkM3$ex~L>O#DrtWuU0{>0;QQgHIl8e-rcq zM{CdCeMlEL&hrD`*0>LTTVwNI<}&4%pp~1B9bkun9Me_|x}K5Uu>-8U`7d*MzGL$r z=Aum0`*$&%<%v(;v7_zSW7q<>eHM@53*7eIs1^iG!Fl%Xi>QWPBh~zurM%d&`43A` zF6uRn7<T&NlLrTd6yzF5{uXFdAYJ7+PY!%<<Gu;7dmEeovcjW+6&4ks&A+hAdok?K zaYVVe7gZi?e-h+g#u89eAl=D0uMd0y<39KWjLm=9%9LM%Rv9{WfE@;M3?eE(%0ai} zf`Ww&6fDS>FQQu8dZ5G?^#Vs!d9brqK`v*62Mf{#jr0DAgD!O22fvLGe3>FNg|fqf z1^F^XRP$R8l;ogZridyJw*M3KGDT3ZWFRh6oR<f_IdLES=EUZ|9Ee~6ISk|&M6iIA zH~-}Trxp%Suz+qgbcEi0h-xh)Sa8cjoCUf25FRW@_aDx)17CKy4}RHU^IuMQYT<+h z3-V=!820C&US^0Y54PV5dYK_8SdcC=oVN~qbKySt&4tZ>xe&nuau~=lh+qLJZ~n^# z4i+v@upnPfh-xh)Sa8dOoz(=nybvBNNEaB+lLOyYxDS3?Ve?;Zc(8E8f(7}uK@9tI zP;Vndl?U731-*?B6f8)$5zgxaUp=@Fe)VAUUmirTfE)&L3?f)S%A5c4fP;kx6fDTM z3ZhyI2^QS)U}q`8ZXJY{El9Tx&ii*AbT!^S_!WcAe|h1-!V3!)UQn?Qy*d!X{v5|0 zxaGn2XF;zP1O*Gy)q?Z#!1oC5gWn_A{Fkpx`6W09cYqxRattC^K+2o{@|9OOHvi!( zDnh*t5W`tP_~gOPDn{HExDV;Jz<Kw;w*~G)xh)VZ2wHLhX%e_}yFl9n(3XT}<FO77 zaKjgL@5V#yhf9DKDBxb7z##x?phyUS8YrOkjv4&W1`0Xn4P^M^-QNN-8?;wP7VKnF z?h3)}egQ#{`xOLX?k^A^)BQNc$3W(h=Vnm2!!U05O9+A7uOS3;e}y1*-48O4mhM*& z2D#rr80P*4A?msxWFA%B4_V&~-rxZ~PeugfehU$h`$79#I)oAKXQa~p7JTQ))DwT6 zj4&gEXXCLC@)YfFLF`We2f=@z-t7jWpdfG%g$BX?2_i%V0cd45#*sSk^N2h;V^lai zI&VR`A)rHv^c_2oId-%+Ks;>V*}ETpfR1a&c56{C2G8F8@B?SST@j=sf(}E~7@qX# zu2B&H?f&V8*Z^D1@7Z`9<Z`qFTK+?}D1r99pdNDsKGy_G{)D6t`1*GXF;L)mh=Bvg zqj&cVQRKjZTzLxiqi5%Fr1OSIUjP0HdI$~ZR6mqMXdr9mVV;D#0JKqF9#M)?u>Kv9 z9$;SH?jR2Ga)3C@%L~NtdYO##sT{%QQ=Ncxd7M3Zcf-3pE*+a!sc|uYb^)kCkK%FZ z*xd0&03`I|GjtW=YgyE|JPg$aRobu{+-*XfTm|ntf$CCFx<%dt(z_evL6i_BxM>A< zdF~+r3cLsjP~d^;(-q=~z$0n@0BFDH4-vfeDadS(#={Sk5GjGky#%=3A0P>Ge}W{; z{Tn36bU%*z6l5NGZieMm5RKdY5mF%cXGp=^zeAF`?gyDiOZO*8gWO*r4RikiDeAf( zWF9r$kEQ&`kO6t2LI&go(3YVS(g-hL*)l|S`EeY3`LP<a{Aeel`~Ycl>DUZXN?Q5R zco^hClxhdQhYVDZpcYk-Y6o}vEszBTUV|(s@Id9q1sO!(kz9UsC<rrvD<@F72d$Ej zY7&sy9*u_sG^kj9RLFtc-ysKc{|#9(-H)UE0GUUgn?dCU4C4;}26>SCC&<Ix|3Hqq z?gyDiOZRsufZRVr0p|V}^3-)d$UIuQe}W>&{R<Rf?*E`bUH5~`1D$WKO>&xtr5zBB zJN?X10=a*M63qQS6shZeka@Ip{{m%@`!^`V+|Qs)UH5~`qow;-sDRwRLj~r34i)OU zA7maa-Or&9a=(N=%>5a9WV#>Jer#~VTmFO02KB8CNzMzn)4zZL$o&ciF!vYelj(jO z<v++g^4tu{w=j&`{St;C_iGr!++SfpUH5~`qow;5j6m);FoL<i!H~M{2bo7p_iGq~ z+;3qFbAN{sb=?m#57gH)COOT+(hi8moqh~VK<;-ifw_NzF?HP!GLM$-w=e~{-@_E< z{uw6Jbw9{FTDsrC4CMX*Gno4qm{QmMAoFPHeh+hy`y<R@?q6X>ru#wVzrh<JeC>ab z*`U6l8OeD8clr;o0J%TG0_Oe==484bNBIvjk32Vn@+}PGc7KE=$o(0XF!%4UpsxEt z=F!sq305HY7g)jEf54Ku?gyDiOZR73gWO+X4RikqE9$x*WS&Rk;R}`|r+HY~0nxbA zPk{}{{S7uS_g}E4uKPje(bD}DwjlR+*uva@!-l%<2bo7p_cz#q+&{q%=Kcq^)OA0| zJX*Tn!2#s{00)@+7uZwR{UGx^8V^f+h8?p@^qKFVbPvP0)4zu!$o&zHF!!%;psxEt z=7H*8_%Xp$cK-r5koz~d!Q9W_MqT%V%mbC5A{3QB0o{HjKHY8^ou>}|l0W!Ln(<WU z^@IOe4?bu1IL@NNz`(%Z+RbCp_~-!x1B2#8m(Ej{Uv-M8ICj@quyp>o{KAFveDfp5 zP7#&M@0%YmcDksrbhf!LGB7khXYAYuN_rl>bs8Rx$21rj7(6>47+x|w2_8m_I1Ehy zG_d~<%>EyY3=B~FAK<b-*rW6FKG51TkIwsGmwEK=zQMr25Dgh#o#1i2Edq2w0|UsY zeKSB*<1q&&1_qE<plYCA0aL*q&2I!eIzM;&m3W{A>$QXbSPwpf2Wz*D0W4H6zwfkB z>2@(-x%>hYZZ;~=p!DdT3_7jMqqhb$0s0K;yv+j4Alr8`g4_bVQKZ{Ng`=|>B+>1n z!qeF-!VGe5wFc-sN2pspI`2UYXg(qk4GaAV6TtolEpkKhKPcW{{`cu_0|kd;H$!LJ z0cHjU$8HCf&bA|9^I^fU0_-5elURad0wO$Ye7fBXkdhfRJRG}O3>>>l3|L^H;c@we z$K`j;4;Y)DF?t+)rr^PO%%ihJg~OwFvj^DP=?82$7{Gx7_E5`#5<`&xL4np>!OXw_ z3IZ^v2CN($3JFjrVuS+N|DZ*7Nd5;c(e~&y1tosNOJF-ZdUt~Y#iMug4;FCXgLJ@T zI9L(MWkPq~5m2h@Zrj1gz<}giXr_XBvRlNW+r@(A@~g`)njbNOTsuvJje()FO@|E> zbNeblhBO`%U<28P9J1YgCQJ+r9^GvkOeC6r18n{si1{xd<{!Xf{t}4!Ge|YRgB|4l z9(J(%L3zfb@mK*nru)HZv%8IhnMC(naDdFW;Q*U|0_4cXV+tIY=C^=iy}J#R5=aXF z7hv<>K+LxQ8Pa&{0v7YXfTX(HUXbek8Jr;Z&*22SACzT08jm$_V!A(t1suN-EF^}H z2N%eEA1<)@5+Fkwj~Q@bntuf()!lZ2RP%p;&Hn>2zXN1Q<FN-A=2JDl8-QZDce;ZE z&ioEaXYl+U16B^s@30aYdwvJ4PDRS^7eFN`dVYr#0-G7Y1;<Wi1_ns~*Q0kgsF;Nn zj}yB4dO%sJyRCuL{B8h>fHo6QK=wka%En`$XhW@7y8A%YnMZfq2U5*{05<;##QY5) zM>ZY<MLN3qIiOJMZc89FzfWKVrMD@p;Pj>dGNkcX1uJHHy91KyZo5FL`3~Un$^}wh zO#m6vcnnlVqPic^e)MR3lL4;fzj<{2-UnJB?9=%jTt<5~?*qAyfxkr>R62FeQE31z z2tC$T0jk+uyZuT$yUQ|MI!_$@A%F0Nv<u^j&f~B)kc)~3s2=DRv1@+tz)|y{OXtbU zuR23iJV13)fk$VJN`y<diye#O<rke7K;^iLiib<*0SL>-vAb^#Xlp|EK2Svtvw-o0 zW9K2qgTGiE4?be{IPRjN0J6fV+eEzi!2`{Mm)~`ks3?GpPH^gW5ohW2QOUUcqVr?( zL&i=Y6@|`&5Qd6t_d3vFR-WDKKsB^W=gWgX<qy7;c42(!*m=hB;2&1UgHOQ5OF)dT zQDta;_`p%~tV`$1%kMjDR3tioHa}$a=v<=$IzHH`J4Y2{mjp=qZRbmfEi$g%^FZ_O zE}b`F#@}@8JP$VLwBdEfga26_4?bu1?4Ac&_zCii0K_&CYnRTO;GhyPyx#nX(W7&Y z3h3+=r|uYQmd+3r0T<4rAQi7U?>0YX?2J(nG5pSX6x9ByQQ>HQ$_Tozu7r&f<W3Hd zv5;tRQQ>HQz{vTcl;gOIiU+6(<kPuD1=KhNsR4)MH;@EqX;(xEND*lMlEI_boyDWG zMFo@`JbRtM><^%b>CI7L@$8Lf0WFACaOo~lQE_cOP$KTy`mI#RvH1a`OQ(wphhy_I z#+L^{Iq0~H3OF!5x?NNXx=q+YsR0yQ5+2<>V8gpv7+pGhRL(FkFo5LXu_ghFH5rgQ z!9nEF9io!p(>X;2w8F=++eWAx6we_43V^~26sI2Db5uai?3NL}{2Cltojxi8oi`y- zBLXrCRFpCJboYRx(4+H}bGHr;Bm#3ljm9Y|plEUG7U8=53Kpdtofjbn@PG`^07aKi z_Y`pCxOel2yL8@ky!_Io(?><a@GHn)AeT6Gn+UoXo^@<~$Ox9<JOqlncbuP^pD}ip zsOT8}<-7%nGy_n7AVkHagpKoRXO4;i$PRFrwO%R}>~>KxXnw#5ju?=)89=h&(D>$} zBEjg=4GB7M?19__QUeMEkP|$**ML0()(r9$L${BLK(~vENar~h&SRh^V&@?j&QF~o zDm*39oYz1Cj^8i1avpP3y!QPf=Ltu}V=kQ~Dm<Y2;`@bG7Zncv7EoUW<c0uHD1c(5 zpqoV;5_2vp0U+Oll1#UVAS6QvfTUhQd>8`K2f9v<0i-X%v6}@FgD*N=R4P2Ww?JY} zL=2*{;xbfQ4M>{<#JVZqSaa-V5dlRRNTWk{h$sU@hXYK93rL3rOa~|rIf5N|^D;<> zMYo7BM1uuPgN;Wo$nl*lDxg-ffk&^8iiJ<Fi;9C!ua8Q_J{ATBhFu`S@Bqkj49ztv zCJa8^kZe@S3yz~7{QPa8l?xuAW-RE)0|t*y7ZnXqT!6|>&)$9D8l|^HMdRguXwCrV zKA1V4-P1rdVmDW}6HDi@@7K~=KbG)=+@%0B<F#b#0T{bP7$l_vDhfe)`Z(CeZXXo| zP>$^U0P&yC_lup!zh758&j~FGJwO2iPFoJ&jx(09gUpb4E!cVhVw?;px1ty)(e0ul z(|WQ*xb;9OKO_%mG(TW`c^uRLIPRhXPKn(`EZtU|-wiJ{{{R1<fuYo=^&3bEWKfCC zaTgWP0$+w*Ant3!&O_gCwI1Miy#R6vI45~_Zv*)o6!040E*dz9UmpJV|9^Lg3L?vP zUi*GcxkN?4;oC8=%R^K+UJJHf0=Yayh3B}73aI_Ta2#}607G|<3P*Q{3Qy-d&U2k5 zDjJ>FIgfYNsOXf4asC7GL5W-O$M>t8uM{tIx~S-MyQt`Z6Szi6o+qSb(#-+!u0gj0 z3nVm6kmAq)*3Id5*6?UOP$J;b?ce}nupf7j0M(I>kh+r5qnCB>kN^KYJKI1Nsz>7y zP>qXp;iqr!I#8$Er!z+-!MArGs13W{A((?<*9ry(2A|G<;J^SC#GncXMaHMIMx_8O z?b&$*6q?}F1b02i*$?@fAMk@~Ko=DiM{uo;GHwCwP=Yi=A`+#CT>~mBU|sAQ6#+;n zh`?*;HgFA%7zIHx4P3^e_Sb7vI1n9nP=y5^{NVBE%~6p6<u?vceiPUS3cFn(!tj7c z=X;3vdiR044xs8i!=txEMZxeAqIC(nn*h{c12roQFM-pKN9Q}RKImwSN4F@bBmfz& z;R;%e_1>rRnNR0Ouo{rp4M5VK-P3k}x+%RiDh7t%3{OIKQi9d^bUp{uD4`D)@#s7X zG5}l@LX)viZ;DC)xUAR-DllMrn^RN*_?uHyLiq7V1Gtz&4>$+pfOCLEg9|ay04@U2 zO|w8Y%>rVYjZbflN(CqyAjKYXH28G>2YVJ8>OS2$DjDFtu8`psSfF>;s6@chD>USN zdRtTy7#SEqq28SWs=A+hbiRYd2RsH^AUPM*xPV2%9<UHvJiyWeA|5=tOW;}HxX1Ap z6;Qtf5}V-OMYjiIw*zZ4BpdXAWjk9`u7H}dcrrta$`Ozm3=9mYLAM7ijtD&n9~6Ks zDks2ZLYuBQGe(Qb9vmiv#F0$~@gXK30F|`8Yg8gYbw+Ow*aT3(g4~E4O|a1M=-mSL zC@i|5nFtz9pxBG>>3oltM?eM!Kx3-&xliXmk8aQiAA?8dAw*7TQ2|#nsPP06LW?I@ zg259{TcE)J%`_gpdsIL|yFlC8!1)H8;+mI$MfsbTsO(^1V8EMoT2w&Y29UAnkp>b+ z4q6Z&60{qLjI<>nW3eO$kT|l*AU?!oP~q*-y9V6e_UP?V0m*>E7-ToLNP|UIcM2$P zJqN`hC_KQS0E;=Skp>!L181)sQ1$|CiNhXqpwVQIFW|-88WoTbBIaPp2NrptmVtps zCyNTGWbi<40fGDhiglmP{~nz$eR_Mqc@^wb$L>Bz{|Y<;iZqr4uNqoZ=75}mB``ta z$bkvsLjn_2%{X@Vf%;UQ-EE+DEOwKp;4m2^j%+fB4>1`$INiHO1vFz0iYAZ@$a^5W z@kJ9ji-3I$jT~_E8%v%7EyV&wPv?73TO3q{V=n-~T`ok%^6A~90un+*4?J3+*%{gt zF}&o{`R`@XcjWsN!Q-=_ZL>(@HK1gIF+K|(G40(98rU?vw38ocyrzK(X?zxCya40{ z&(3cy-F={87@zJo4Hj^}4c5~=>DYPN@!)S($AgcV!9CuS&fPW=&fOsrETE3S`^&E! zn}5pHnL745b2xUJFzf_1T3j?wUVg^;&#~8;$FW<6;qqh7%bhoxA24^`ya?)3w;tek zInnv`wRq>X=4Z^E7a@Y*_+8F*{_DKl`4_CV`7t9%-3`vurJ@(#8Gdbk%E<Y;gbUUz ze4P)rR{>%#3&P$9oW~*dvM^kJz<CHXA_2DoZ12B|@4$l1kH8jOF#HGUz;SS%0{5HP zApIujcsl2W<`1Ar9S+alXqMwHDxd<5!LfVVAJEw6MMx+yUhF)7@IR|dugYIgifyh@ z;b8FXUiX2Sfq}mTba?@&O#yC?xpY2o>@HztIQX1Z^H=A^%b<?4fJgHQ7RT-!R)))O zJ9AV-nt#dEX*u?SI^EqatPqcBUcCH(^FybLihyJD5gzAm7gdnwKz$#P<`fkHP(wt7 zA7l%-0q)#w!VHQWkm(vu-6G78Na_qx(W%qG5?~;MH5{7{^Eh|2NL+rv32Q-XfTGMr zMWZ=IMTeQcg&8yeh0+<acqs}J>x@w;@aZg3aR7TShZQu&qIs(G;^nuX9#aL#f1n00 zsMqA$9m2}sc==WHFBzB4%boA)RG>aBLHP6;=R1%fk7IWXE5qf-E}WNrI*&I$=I^}m z{et2LaEs9J+X{ZieW1WD<#9Fq)_U8Kf9f%p&X3I>8C^R+IDWt2xSi3Z^<ZhPYwI^h z{;9`7VG6SeB}|V(ZE|5{xctD8^N<VY)#j)C&42m%ryOwnc8H&U>OqBrjvU83PrGn@ zE|D|**8G>>fq%*|{%wc(x1Dt5`0mR2;rlhtbBf1Y6pw-SNI7_R{_yDzQ7Lfb-*)`_ zwbl>(EuNr?9@KvVxfe8SQsLBXq5+Tn?iTP^oKrW8#^o2yzhpXHR75}tz(<9{vAc#B zo&cV6e($V-C4dlBhRcsQ4|Rs9h;+NC2z2|Xh;$xme#8$NRRR@-r<xxz8h&ej2<j|| zfCg~*T|a?3{+``!8$k0z9s$iYj2sO7ElDg444&QlAWh{E6%NC1-~a}fg{=qpTTXF; z<w2T2O?8gf$tWE@aDx=o)3X4{3Lx~NSyW<+WQhg3C8?;ESU?M5up?e-fu{>xR4iU9 za56ABck6)D_FLy}8>Y+eL4`o)zs}cn(1Jh)5?r7H=rQMONI_u204j_?z06YX=EsbV zpw2o&skvkGPx%_CHk9(?C`22${5a0}zWF&Lf2%7q14Hw7M#s+opj-y-4MAg42NaW_ zjLYExk4Y1a%kMxjS)#%Nib>3@49dA2$XOYb1$mlNR5(CcnTH>qgPprYm>{_q=0g;x z9z)8tkfN>`JQ$?`E8IYN-lG?^xgR`T2<{AMAlHQ8FmA3<v0(rYdssC8VCHYr2aOS< z<a7bY?mkeF=h;0CRIDL}aXXK}=5(QBxZO5JkRe>qFrGqpju8vESW{{KDO0D~>&DS7 z!?XibM`)htJb3vr=kLxK6@}(wJl!HjmmhJ0hLu&CQ&bc{!JxwL2&t7kyZ3==B_vCa z9{kIC@F_FcqHYuY?ht*J%kM6~0@Xyay{;VHGVI89+-p9{(`}=F`8nq=NXZWlqXeWd zN<j*v3{b~10b3a5K*A^k97blKQF)Xw^62(a@i6vL5imUP?I0sKIDL#=R7Adm2E|=k zfAF_}4*n!2Ai)DVC;=$}4@en20V#nJkTT6FDiWZ8l%Z`v1|S7w2vR^sfC4h$C1^qi z)cE9hnZOE~JO|JB_;&Y!qx2xO20e({%+NgH+$|&N+#Mpx(s}Um>&vg2f5>%S>%3bh z@7U|j;n=Oi32tX-9=!aN^R8oWF^^-niQ(mEoYx$_9b+oxZhp$-xDPZuRch1xL%s&8 z$po(H8RustO`pK!Hs`I2py5gWR?yHy^Cw2f&TGEC&McsTO^5C-P}=wDo&-+&hyjwT zkYori5*)itw3;71(7Xt)*f|`#W3*T<zwV4t;Q>XOdT%HPXpnmsIQfI>M@W&*(R>u- zeyz*TIPZ0qsGt-BGTb2lJ9mq4fWrXhe^jqPYHmq*bLZk~$aI1R*hipN)CEvE0Nqsw zEv<c22$us7K?5i#X<PtQ>N#|GfWpJ0djdE-pmRoyCumw0KrIHfQa!qNfD;eGV#>;c zi|-(%W&%ohr67e@252OOaG3y}=R*lE0%gJnaMI&%DPaWV48kSsP$?K-AsT=Zq9I5j z8UYH?0Bogf45XBe0GG0$quNmi;0@4Q7KTVI3j_3)1vh9qw0j?{`C{;L;rIXlL8Xzw z%S+(y8Cr8_8(0w990CtBqMNuAq5@(fc!A7I-|zqbqqK^^ZGBKa69Bb}I1sI(ms@|J zDFx5zfs}fHlqw)8UH21BDR@{Dq|^YUR02uq%YPtGfd_cNqukIzfle;anDGNf&+a}@ z`>xZG#qfYf;}KAo4{5-fNS8DJL30jnmvj6@?!Hby>2HE^hiB&(&(6R5Kqoc9hEqM8 z_o#ptGBEJB<a2<=$=9fW`tpv)T2wZG29F)PeN;+3yGvA%SLt0o_?z|MWAOZL0;p~6 z(#<0WE`FhtcL^SyTU0<JH!j^Sa-ezL0>{fQTslue<^d91U=xxlj@>=r(I(ICJu0BV z38di&q#_>N*6lXY2U`Iy;VnEm_dte(I@f?3@h;sl`YfG2U_%@)zlKd%Te!d`ENwtm zL55V;sDP&QkgU3J@CWO`2Vn1jquRIgkqfA^2)57h@;lJXoq<c|_vYu|32X2W0BEAE zJA{>`vqr_BvquGVq~GONu*ppm*X}(kpivf=&YzHa)`jt>W9J!gKmNR{;W^k0xo7t- z&?pS39s_qEow`}nT{?e)C)YF_4UaWHU<COTH2C4voukeIng??M&42#9{Fd_-WYSZ| zwR=?vBWUd41#At$3&+lb;3We`9S{Cwbv*cx*{AcmZ+8=D(i&tJxHNO_X5oNM{YgOV za_-LI0NEjdFkQyc@S6+gEzmSHC>RtV^N%Ve9FCmVzycuiz=@#SMMVKLKYjr`KMoqL zW&pVzG{6N?1CGRRE-DF(paC3EmlV`ns{qfaf<}!PKoid(h6kwf?`#1N+j#VrvUv7J zv3T~@fab~_SwPd|7B1Z}DmJdI-#~p^(AXO&wSgvsKy&A}L38KN7(q^xaBP0e_)-|u zQ9kaX0*+ZwPXUxJL6fUsyE<Qabl&ZjVeIx%DYy)3Fc^T`44L|cj2v~_uwQ=Pxd%K& z4H_Q-jY$}E{s8s$TvSXzJ_E-b$YfCPc8f4|Ly{qQ{eece4cq1SpvEsqp9V~y4oDw3 z7C@7=pakXE&0^FIO-G<kiiB_HA<*gwP&m4DyO=V77I{EdUr4|+5O_u()T&_s1u7_+ zICh)pfKm`>2tvW|2FRlz-*n4p8h(IOwhAEW*Dl;GU@tjx-hi}OR6tGw7cI`9`S#A2 z;Ed)0S|k9P_5~RP3Tvls89|sy9-!p&8g7D*;RQ!dXuvqY7BIM!u)A;`=yXwW0GSVt z1lQIB;MsW4{0lhxLGA|6#)Cuhn~RD8BRFmNbVJ<e(Y*(}PysY!3knaAUqJ~7tQq7e z&}_U0Xyy?#8~?4dL`4EL8-J@aL`4QV8!zGb{hBN1XGg`$pb1My#ltS0F)A{kDOvDj zr38P=Qs`g-c;3jPdkVPx0>vsQopiH+din+6eCYs+GSHY}H*9_#b+$YMR3d^504E0* zQ3hCg07YvCNHZv{!Zl~WX3ld!(=MPZx?%dE$wCN`ELv1R^3X|l2nV8jiVA4J6%@h| zFx{X)h0N|lij#<L5phVOjezJ0QHcR*08hC&gV&C{1p5!X@&FX7PTeBl)uApb0icKm zHPSmnR6;<NxC3Z>i37yS0F7*e>P8Q6y8_hni16v{0Xr1Dws{w*c0|nf`#>5Q9?d`G z`P)GIvr!uv3O?OSz>_0B-H?jNvv-dQXj~Ok^D4YN3XLRiVFFX?**!%CRJ(y@`wxD< z*vbW&?6-g!0h;WGu|ad(E-Ij@bWpT1z$g1Hx=U1SV3YkR=#%{kpnw9WUBqO+0b;V> z1XR3$dOdLC47y!ZOi(BLL1p91v!E%c<1Q-TgbttVZ~p(kG!#734+?gM64&D{DmozZ zAT#||C=CU0Zbx{13)t(R2v9(3m+XT~`6G%M=#;+(XfOt}SRq6Ov{(T;<&Rjb0GaZS zQIY5lQIYAq2cGg*fKK_VK&Si_KsiY9$M?&ex4={WD%~zBD&SnCP*UXC-2!$HxHZ)2 zqv8Q+2z9!s_#nrm2XxZkv)dKdq<;&z{Q;Ww&-f0S^=|<;Q#=}vfT9|y73JHzMFq50 z6SNi!R491%Zc&-Q!oaY<!x1|74<2a&)fJ$UA0(XtS~u8PqEfNHAO=+pcoG_%xL_XP z-^Rhe%>mSgvFLPBv4J*+Tu_=r@HrV+#G+09Yar%KYE(drK0pg4K<yFGBo@dbw5AV| zdEhz%bsnxpMFQD82}q`v0htGB-?X5&Z;;Fbryg|k6p+mWrPEFq6%~)(7!~mH9R*OH zmH;i^(bxxCin<F#fYua1_AL1J?ok0POb2C9(D)%}b-GXIf1gfBiw+$4pr|(h2YQW4 zf#Ic{poS%Ebu4s-8#b5S>!RWTp6B`Q0XjhXAy_{s`+>`4P*w-6BL$^*2gIBXL=kjC z2N9{DK3;`S=QpS{IC8<IGbqeK$%ucO2P6MB2UgH3$4(cO973@RE?m(=F9JFAA|SC5 z1Bwm8u?sGH(aj4$HZK5TUI@rMLb2NdUiAek|51(H0~SY^3gLqcZc+IHDv)~TfQxI; zyd7x8A1J;9K=B=cExtkVoMCurCp4bHVGE5?pY9sae8hjWs0Gaff>vy~f=<2L18%M( z&)vbIxJ3mtF%NP+B9`}n#Rx|<avZmSSL#8`ffPpk+dP=~w>hwN_JE~2Tfpn6@JBXe zy(Y*M^uPr97&$OOd`Muv0ndWNdNeKI&InRB1D*|Az>7w)nGIS@iflHB4>21Q`NSmx zQ0E4l*&uObvq5}_*`RCUJ$mP;tN_)ny*=RK0~Bc>+d*|X$cNaI!WM9V!cs%`7O<CL zOP^t>0yMh~+5ZF0>maiiK-WlgLlT4!NYOjw8Fxgo04=8gc?daKfW!zU3(%sL3XtO& zz{`tTz|-pxli&%1nSYxDdnaV(y|YDy2Rt4G?(*U&;}J_^&=Uq|$qaG;gZPjDhOCnz zCSib9zkpnbZZ=39*=!IWVm4^GFL4P2wEhK~*&uObvq5}_*`Sp%poB34nlL~zpvVK+ z4oVmxAL2?Fpf!ZBgaKU(4^0rDDgFX1WyAzXlJM>P2TKj$aUh>gL{b1vw1d2WND6aQ zKw^lb0H3Od)&`(9sspHv3R-=UfWG<yl#IZZ-2{0DRQ`aI3n)B6-FHwu0qUP)&%~gW zG$6;I#}!B%IXpppNO*$QB7w{Xb=N^=gL(+q%?2%~!Dco{9NBCTA7VCWl?y1YI-qd{ zk^#jP$adt>K3D*O>SP7ThB8ov4qI>r5{`ffgIb_y(FCuhTU0<RO`#Qa_Z&!Psq-Fk zTor)ygU9TqsDOs6eR{X3fW#1S1uH0!odjy@gVthvdwKgS%3#(6=zc-aIsljkkKWy& z*vD9p0jh00dN+gCi5gzoDUY-sV+RrIF>+WK7<{_h5=Pf!fY-4TSdRgk7wE*l9s@LI zFuEQ?Wpq6TsAw8I>oGv{jos+$F+e4iM=$R67$8lcCNL@MF+h4?7J=7ez%0SF9s{HS zWC^H01u8|smD1>XjKQ)V161UBc25HpYe-8YXuKW+R4aLQ?*r9JNS0DI{|Xrp9=P=w z1OpN}{DHKvh4S?nv<pa5*JFTO;@jN^j?$sB9s?9*KHZbRX@7J*Mt27&JUqH5fWrf^ zEP|G00dzeEDDil7?*JzrgvFGV2e8!x1HK*u6smYj*r8G|z(SNp>oGtL1$fH>v>pT2 zvcR<-1Ec}evcR$)10)4Ai@ycB9s^<?xF3#VJqAb{v;#IM*JFTufV3V1%pDBtF+hEP z@cRFGF07#b<~|En&>a2^*c|>1$Ib(e2Y;|S9(({=Zt19b*u7hX-MKr2odvv0`W2`( z^jo&h-m%vKv;lw}yfDv2^XBDeE}YjKdmTVK0N7zW0N6Wk9(>6JT7Pl>B4}YPXl>dF zewQDw6+v^;?41{(ve!{$T{^FIUiR%xU;#~hvm-VJaQ-fp@BDD^1(V^2i|-7NH9uqI z{9M8d+w%I-A2f*8{9Cq85#lx$gxf$%y&-O6VTUc7V?X$c3AU0EcJPyPw+(3R2KaO$ z=WY|5%kLbUf6I23sIb(jV;ai&qmxAi$ym^$UKYoLub8@JK(kXUphY0;ojfWRUw0k@ z=SWw>lg$s<K}SY8^1FNlO)r3F<ea-%z_x;BYBij@ZEQg6oPW!L_Pc`C?|Xn2K=Q-G z`6=ho&KwmDBzu2=CU&}AR5ZGMRCGFzH$P|ZtWnW$F}!Se7&J(8zWF(0^F#Jdkfe)> zgd@Mp&(0bZ8OZ#50OvLEj@pnCHqOtTF)9JvB`N{muR)q<631OsWI*FVj@{FEKxbcE zfFu*f3!MiK{$%ad0ZlA|Hd9N0_CGMNg7!Z=0uAjScToXPGIYLxY=3yj3f><8S{)Do z+8*H8UBk)%K9C`#`IkhUdao;oBj)CZ0PsRd7hQ(S4>&=74B_AAq7u;Qq7ndNgmk*7 zfH$OrS7#%KA%}Cfhz%s2f_8Yo!q5Z}hR-0I=N+35fc8Luk_2cE1ZbN)2PA?xnp0GG z*!f%dLCZKmb2gy$B;XC<9xoL^$9lYT?2a*I04+#9)OqppYtUK{(A2I+=LeAgK+}Gp zd34uqAJF!Pm(9N<TsqHn-mg;t`?Q7?vTR-R;^pU@_j_G=z@Y@nLFYU=b3ofLm#Bay z(m|UnG937~9RqDA2hXz_zIAB%?zjsSC!n1Wms$@v@=rbF(s>cQ6XJ^F_bZOu7+qQ) zmX^4-UIJ~B00pnAM|X)zM6Vlq7$5F+;{gXdXe-1)7tYiC+fFzB1T9+TpK{po+rj_* zQx7QIaOAkxdDw;Ha*3khTZpU!|CFQr+fMUuJK)N3&6V@Y_p6*o70<dTKJ@`@e{<yD zcI^Ar)))LOpaln@L=LVsVQU(Y_CiR2#6ZCW+Y2Gl>7pXz(Ve3bQK#PPi)}lE1UN~A z=)!hGfYwq-bo;2t@NWaHc?Hi(LiR#5J_LEB(?><7lSKux8-fKi_<4YZfdNt9fUar* ztpWqj?sYywuWa7&fp$aeQ2}Z4=zR8a6CVQu%CtCm<qBw85WJi(031i)ZnI~18%Pt( zrV=a9?tNfOdP7t^(5-0)TeA<O0aQzRAn%8G%?cVz_5japdv;F)nSoTVfVeMR`4|}7 zyG=l4h~wpVpg;vB9;a>@i_5P;<yV~@r0g<56o}7UIKMf93u_y8P#OfS5MuBA3E7zi z-Zu61wW3GogO_J`85qFDF!Ek5m(FjU_gy-_f^{`N2k)!;!Fj$^61H*ceF@)j7SJ*B z4B*&&T?%#v)^hn6#2Mgn`7kz{F1`bc!m0rm!*8I%$wY<obZ3kT3)uV;R?d5!CMw-5 zD$So64NtCM<aasU$pboD0knl1yv7Hd2D@2QR)CKla8Z$XExduT<v=O;6b8gf1aLO@ z>}^p2)sCQC3>wD<&Gmz-Nsu_G7zC|Ci2!jxB_4>A(OsefPKBK(I6v^WsDYMI!<Jis zq61ViICa~Ac8-8{Noat?An6{mb3_7ko(Q&55LD`EfRj9=6a>{UI-tT&1GFR$#Lxki z{h&;SSqg&IPC*iah$ZOgl2@QeAiY2YUBd#}YsB6eqQcR6s`(L!!PESJx%nY;CuA>E zXOBt?sMzm?tcpZh_UnO|rvXpDJA!ivC{P))m_orh#iRL%Ky!_X4+Cgxa|U=Dg-7!b zcK$XU9tH-~WjX;q-Fv`0i9wx_h;9zg?obA#Q3<5(4`gW|^463D_>PK{=ARO{wx%SY z?5Icqg>XWri%J5Bk<#g+lH%CS@7XPe-BR$$C@9-FD&UsZH2;*vWoZS9r8V6yDiz&6 zDmAb`uYd)54Ls06vn-uG;LX*bUCJOXQlL8!9q2BQWl;{`@O}<D=M1T?gUlp@(pCYe zzUhYWja^g%Rxp-|cej8yMH{=Qgsfl$ue}7#vM_+Q!ZkkvEo_ufya+nEqPs*zrn5vv zrS)5hKBy#9;QXiv8sJw^y!cuMB%lJy&Lt`e%?}x2(F;xqog9c2KKw19HAw_x9lV4F zB?2tq5nzKS)-6yXzy=iApk+4}AcjpRc>N9TSO+iuMzK@?Zm9^KSQkLCR0MfjA1H?j zz+znl8tX192Aw`CCZO{+4B(Ny2Yhe=k@39;d`J^RsX#a6yaRBoPX(R+hZ5`H^-A3> z;7SixfAP0~cEH1H%3jDiMf7@XKRZ%&*$Y{V{j!c7wAX>%x0?;rXapVC#dy%M^Azkb zu;zykG*7s9^9VS1hX}BA9(26?614S2w$9nH*9+A0<p8&QL0f-ZIL|uv7J>H87=ZTv zfL2DccYcI)AzJ_QyPWTQ|61Lnw*@>c_)-XTIODbEXYgJ|D`>~DOXu0nYc8GVJ3lu+ zW^aDR*m|4a<um8GQo)07nG8W)6wZI(BC0f}`G+iM4<5)3CU7@AbL9Mp<c3e69nI(# zoICiAsq=dCb9PYg;y=I3br-{Ppfqlx0^V`VQo_o4t<yxM`4gkz$!{zwjQlRAI<Zt% z-wq-j8r2;Ftzgb^UgK{uWMN?N?e$^-?KX+%=Jf53MLu^EzTgA8F3ho8#~8e(9K114 z!?C-@m<8PS)&XS+_1-WJ$8H&6#4e@BoS+rS8qLQ*A#DuWO9`r;__z6}Xn;=00x@(z zoe9vwQP9#iP~qU*ZNk(EI>v1ac%hARw+JIR7CWbaYlb>qNMzc;0}`|q3bF<RDLAin zZUHOr?g5+94dHcy>zpZIAy8Kmv>@K_5-4J!@;)jY{4T#bYgBkZP6DlJgE+|s<fQk| z6=BZZCXAQgHUE(9+yXARU{2D3JLxIs-_AAQr6i8chd})T0q`blNI3^B{knU=nmdm{ ztOwb3@C6g7mj#OT=4arJ7E}$W+He8w!bRR$1YWP209xk6fylMk)~T}dw|(Zrr~nBT zf#CIOD5a4DyfktF#W#*UnGPtWkqfBga{w)$1~EV<!nrthvx6!=_<(WeImkv(aQ^L< zF#_2F+Pmh{>&9~VEhzIy_xf>k+i=0M?{m)Iy>&d@HddFPbN&S#%m(V&gSL`4KV@t^ z$?x*AGe@NaRNFvGaUHSFgWv>f;n-~>aQQtb=4w=I>XbpI%D_#9Bvx<%&SC`ZC)#wo zs91FSsMx@2Pzz`roq}}vK^vt(-C<DCuE6hdtusVL1*t>@)uy0T#R{NUD!_~-$WbSd zVicqnT#Od+qQ_MQC^3S{Qcz+9)#>n>(?z8QT5WP4hZI(z**1`J&<Yoj@^4V#5|9Fr zAV@(sXbEPCicI$!aG?)9IIi=R;xEuH@XpiV{<}zt2B=aM;Jn58kMpJCM@3L8Sw!*T zYw$^AB9MI)0-$UJs$NCF3up!STO>jK+-?^Yyp1aGQe>30WdMqGa4ebNNm~XeY0CtZ zqzpP;R1822lTH^E6B?y0$Vr0m<h2I8?64b>u;7WR4xCJp6VzRhbHRz}IJkpz@GTQ) z%gY+@X@>{jGr>AMoqJS3OYe|U4tU2xFXUK6(8`_<3=9m|($61I9D}Q2kPdMAiRDI3 zKP@VtRZo~5)?)Amw>>JLj-N;G6tF7PYPiG(R82$rl3O71kYlyLt!-#Oathc0L`Sj* z!iSDff(8kZ);ha(%lme-p&o~cTz@-io_6k*fmGn2j>c=q*b9H(WzfpRUMEm34jJ$O zjT3@*@H&C&7SKNZYoOKdh*})n^?d(w69Y;=8<HD9fd)Eg2$ZKl-DC@JMGf}VOCR(t zdEntEP{%v~q!jsFx*eZUHnu@pwJ@_m1v*GW0+QLkzJPYyK_cYko`3)UV^;c|cRR0j z-UoXHJkSRk=lsBVu2lHoTc(TeA?=FqU?WTOLA@|g!vYj6@Xh@<FF)Ws?AQwm7VtR) z;LZJrh6OlSZXA5U1h)F(YsfasZ~QLT3=f0G@njH9i_4udWHv2Ma9;53{L0@F$P8;; zfXf3=m6PGoZGhD0IgHqd2Ohxe){z5^gh9${jqVybr1phsuQNwC3mc+&@qiON&e?pJ zr<+9%T4Lkx)0!}WQWa?M5HzyK2uWSAjx0tng8IlDNHsWkWDj)I0y}t24^+&;S}mZp zVIVdr#yLPe3<hu~6}CXEc?r19!Q8wA+$v%A=+%X!lNuGwel2KmIk;cz+xa1jF%+CE z;ayv#UhPthvlaq;J70hTIii~bL}hq%3m}Cx-8!{6ETvMXwmC(m0u%u??4Sq$Rhyuo z1VsRd4T^vYtPuc`1w{a8ogs1rVD@qGL;y-R*B|X52S@<`YItCFbA3DCk=4^x0@cCD zJza3^s{*NgK|Nj6&aE$Kg%YIp#h(HoO(W!CjiJ-Q1sz-jN&zD5ps)tFC472IK$|^E zR0OcZeTs?!b90J{2_n{UbaqiA{w4Rv|NkAk*?qhDko%j+hgxAdZ9=w_$kQemOIe$r zGM4H$|Bxei&IISJ=0}YDt^DBL8t7;VAC-u1PS6Px89v=YNGovRn~ovZk02i_VWNUK zRe}Z7#!|z2s)UUSc$CltcBljk|27_#P97CdXAQKAhy@hp;K6F=ZWGWM6QI)619r}Y zY-fmy4`_x9bk>Fq{MZaoAFW2k1A68R=)4I~S?bg6q5|r8`oLP(9-!_IWY8Tl&Ex}~ zq5-$7k<SOw0JWzBklRC^ohQKMWOI#*4rC-s1Kb$O!|3=(fbs>w0unq1iqb&kfHzQi zK!Jy&^TPpZ@xc$I;Q_TvIlzY~Z3A5`;-bQXZ`6-Kj|=ChA6k#A+eO8p+egI(7OJ3a zs-T`P_*f@UZ^fk(Qc{6BEK|U}Q0Un!KE0s5jtrp2P6DXa32MV2hcYaPQy@W{01jdk zwEhZYAQzNMAO${zZ|tHX0UhiE4e%PfsK`JE`#=kz8IX(eZ!RhojNtwXsK^HQS6Wm+ z%x=gyCCFclogpd(ojxihtta_g*cllZI8QW#Pk1i@B_S7;f|s9A8-CDfM3C1o+HoI0 zptR#$RKN}gDXjoiCl27|7TE7Ei$0+!g*4?r^$(~y32JA9G#DUhX!(Mo0iQGMQBQb) z#1YJFP*i}-Ms7|{`uYEV^Cxgn9CuME0V(ZvQ7P#>`2C`?k4gb(*oWH@e434`;s4h> zu7>}?lYGZrJO8<KesJOZ!Qa9SnqVNNzJTt#Lt4nD&>dq0Iip4eo*{IQPG|xRg5)5b z&;;7etOCjq3Y{)03Lu6Gc$*$*!zJhtNIYZk*ak*nmLmp6F)c?7jDjr392f<q73AVZ zq!Tin3CS3sjVaKK0g7EvX91KcK%HphOaU)?_JB`<fb`};iov<V9c?JwMa6=s0tj5I zp%g#{@bE_TkTJcC=plo=jHQPRnlS>kE<tl1wa9ZG(55)J`Q2?I-yI^)0y!B=woVq* z^438*8S5$MJ5a0JMjm!D7H9ypa|?K%9%!uc0KdzH&MDwtGBgC?MGNF00#HDb(5KY_ zo#cixv<%K`$B{O<L9(K;i;Bex#!{(n$jQCNE-E%F7)wE8AE5jTElWU5N5x~Vt^Z57 zT{-_bDjsuGJpP*5)$qSd=a26fTv|VrAmtlor0fE^(1eKSYXKiY0?v<!7S<lHAT$!^ zfDb<f<r{6#$!6&B2-!LguS;%qP64au-v%+c6GDNwpz}#PAxEjwG-g5P1t7&NXhs$q zv!J}8!N|Y>ic*j&9C-u09~?YV0a6Uk8|`Qv7|6ClqQVz+i~vUXf&}5=3p!5#Pxyk= zqJ%F<Jt#my5}lBv2|!$saAynTbONN3it0HBv`Y&qd_m{rBZV*M1PoC4f>hxMU(j|h zNZA8Y3=ZFDjQ$B|%ValXd$lnnv{x{K3Im2x;qDd{&^Air78TG=P4LNcD0$?#qvA1e z9&!AB-L>@}e+%etVxr;-bZQVrT!93kEvhx(!)Ka*N`Q{b0J)|PoF~vv`<ny4?*SfX zuR5oI^?@P@WLRelSRTX$on_P60+w~eDCTf3Fa;HJgbo0N7IUDveWb`@0d=}yM<wn7 zZ_)%s7DyFZWWB7D0xgw;Og)3T7M<^WyL}mG(Y;^-buXAo4T<YsfQNzjTVscN2jk)k zaGekKE^P1~cPGO|1+9|-xqlJ8lW}aclcC~(EoAX@G~fq|CV=*Mf?Bu8Sp`%>gH{8g zbTm4s-O+G0{08o8fXW<(5~1UeUIw(002(Ih?g95Zz?~;>hr>ms1a!15XjGf?ASfld zsFXnZ9R-e^$6kWg$e=9h0<RSUbtEJ_I-eo+3MxLL^a>zdBv2U%s!ZYIh)5dNqV_vn zRKVjmAd|tz=OQ1SGWRQ**`THp%xn-F)Qv#yqHO(!rUA5V9;N}r2Ax3<I#UhFJ>lqm z6;RCr(*t6I^nj{TBt6ZT-4$2EZ!Vo5nm;hMx~LSCa6=B*bv69<n%TAUyG!Q}7tkUo zVM_WaNT<l+T&jb1iY%yWLY+Pe%yL8@1-(*>Q9<-kKu6eO_EE6qD<b+Skd6#;p7QL3 zmR?x<DKS*+r@*|8=%-+M8_`bzd7G4e3S|{Icv1!0Qvufkpa~X?z6!K-g7sA(2a_NN zCZvGcqk?7r&6WmzmE*3h-#~qp?~aPFb{Ht*fch#waAh0N9ic?E&p=rlly#AMo6x=r zBqG;<`yTMNG<sal0rzWA+GU_l38*0kGMU7_3Uy)^)DJ<4U9A0;7Vr^$$kB?FN3ir) zrqH6l0t;hMM*~k7gUV8rFb1gyg)vBi#Qq9(!Wh&SK?!55eHO@JHaNl<OP?i$;yw#f zUV-)Nzkv?VYyHmOVhL)g6CUn@bR{tI1gM)a1p6$oA`jFbL5VP|{gxK+>2+uk_VO4n zz4|RIpneNWsS$Dg7RWLj{?^3d-f_Xc?g+W-0v}<kQPBV`Izs8X_;wzIR9R^ABR4=7 zrXdZixu{4GQD?ZQ46FGO>|u-9d4Yzo53H+SqmlqRa*U|X%M4H>7Ac@1O@0byNd~U- zqCjfrC6f5gO9!c)mnCF$UcAWYyi}3dc{v1%cfvIe!7DI^X6FTa&cf`zKywzT_Y0ai z0p%+6X%=`12J61?bVC+%HUD5Q%R}AWVet~whbF2g&i5Ow^9#}ms`)`~0kJ{7W#n_% zJ<(4y$JrAnsvyNS&jV=^=BNzD{?oyCOrRC$kf|O>?Tg%lf<`2~h=lZ@K=Fxxwg(iM z&=rhjBFK>mnbvu&gA|z%Ay^z?8PNbuCWC7`(6k~%H3{=VNbN_W`&wzl<#Nch4anug zpsxi_382mvYDxh0wxB5il#M_WKA<E3Qi$BJM9R{T-WDhofE0tWG+HVEjYGZGM@a=B zAy8O?tN^h=Lr$O`Ct}Enh#Ux+=>Zvo5+$Hs6}+hr>S_&%t{FT^Kz%dRC;@fOkfH=M zM+AxzkU|_$0_mKAq6DNEOO$|y`Ou;SBm@deP?Uh!peO+aB_c{lnSDaai5NY(cU14m zQE}D@=S(bRJ#TpQf_ieO(F^LzAw@6f>`GAdf)wJ2UeH0I45gsx1t|taZx(8~3pyJU zebso0C1^!BY{fW81?q}%{uZ`N|Nn#3z*dce)Vw@;5wh+X%sFxqt;Pk3!V(RL4N5ei zt~Mgkye#<-G8J_rS&2Ew9*A48p6^k85u^#a<+>Mg;fgibC9ELpUV_*YPyot(BR4=B z89G0EcK+E18V-aX+1R{$256lie@g`?=(>?5;4Ku#nm>R9yS+<1x`Q(ii*6k|k2@ax z&+2&aIWwqM0_6pe-EQ47R^Wvej+bA%bV5%r?u2ZGbL)1o0^I}xTJZ$Fb>t>!hM@<1 z!ZGyTgdXsGbayxCfM$>G&7cklwl#n*D&WnlF5Mz}&7ixb9526e=?qZ;Uv6>(bm2y~ zj~>V-P@sb^KDh|8$wwste$z=xcQ<G@*rU4{l$(+4xPI_IE7(ua1x?*17GNvDcP<2U zerkTi2-@>}0+dUk+ooK)b1YaoLsSAfAvYI0UViHW+PuTq>7x?T-3=;k5aHH&92`6@ zhWDTrbvJ{GDo}q3y!NnL!~%Rhg@@rauvL%&OOTZZ7#SG4Yrr;nxN;ut>;Z4{z5L#h z^KbKW#?BfQAD8aUpz}*zIzK?ppm1UQ;MjQpeBH<~*nuS;-IGC+JRtkP?K`J#7ABX@ zkKp?SETGq#Oi=+H)9KV5!UVc<#NzTRN6xE|b-6Y!-Lrc@0o;jv8p;u{6^CJ0r+9Qv z1|2~PvI4yD	Y53A8#Lw5HtwZUv~z=+qse1hT>b$qE-2!)vabXF+YT5|s$Y=BJD< zojEEopvx*i3o;{+QyZxJ(fokXh4Te?B@8GLf+o2<Izb1dABU!rZ;+ah(Fc0l1L&>_ zP;CmnqXKkk1q0|r^ar3#Bfah{ppXWiw%oZ!<pL;@duv%ddka}Sdb3%4djnW}dvjD+ zT)Jyi61rVfQe0dAdvtD5@c`$jJt_`N3=Acrpi49yo1Zhfbk?YV?jC=c4U&eP_W`<p z0^Ako?ok0*3cAJvbmTJVN)ga$zTG;4(AhcgrlA0z&NV6)OrSxkHQ-~Q9lJwB87_ks z34j6_md*lT7u<l4`v9jTkVT-(0NUdY@`($?WJsQP3kp<^ZV_>?NiE<g1>Hjnx)=av zln*Ed!HEQ96ez_zcH4j)2RbYlygSmOTSV;gtInU0++_jNXamv+j(X3|TcB$-KuOo7 zTg12<l4haV)xi*aV=&m$C8i86hSwdNA2EV5vcu(f+*82k*m8b?Bm@_bk>Eq#L061` zlBZ+0i3TX)LJ|ciZbA7&M-wFW*6=$-TLkPX9`J=4;Kl18{h+i5xsK%~IA0fl!Uz<| zo}H(<bu?kR3qYwBew$B;i{X3jDJr0_g5<XhNS??kVdK2sS)-Bxaw2$7P3xsn!EPUw zjOK@s?WqCaM0wmr1spHmTvP%u5~FYDRd9L)<xPg}66osTPcEFGjcXR2=Q)pe)~ML{ zbWc$Mrxnl@9E=PMB@z&M$M4r&IDaVq_<oJ^qv8qBZtQLs6&vuzH4EqgEyrC{px%cR z#-N%Ul>9*Vr$8oy!9_p;D7rxDAASo;0qjl^@Ww;%A^o7_07+Rb;5-5`1C+49W`J)} z0c8ca8K^g@fI2pyx&mYjBvrA%Q`L)3NVf*$3{b9u8UYc6xMT|Wih58n0IEx227!`T zH;XVdg<S@j1Cj=17SMDyWZ)ZS0B9Wz$N*3`7-j$@O|b}o(ho>CNY1faL<piA#DnPu zE%OD%HDoa@^okS6g)TS20Z;+XqackgDisi2Au2VX{SF17qc8+OtPBu~1GEzx6da&q zut9U);49!NKnn*z7J}B=fIP8}je&t-7l=UYf<;^jXV2fp3o58Ui5k=_0w2NS;L#nU zVgR~~1T@^>(YqUT;xeem@9=UmNHIv(qkHlP5WBZVB>}vcb|)y$g8P@<J}L>_Au1`L zBd8dmtHi!v1Rb%Xc#acXnL?^<P%wa#yu-I+jF8J+0$`TDmTWx$V?!=?2?3RXpuBJ# z?9Of<m4MchCF0#KDj}^0O8FtB2xw9FOVEvSNY3*>a~{ZAaKY5=qT&Nx73=|86>JF# zT8r1tNZlzH6_BqOz~SN1y&2|X<h9RN|NZ~pdH~`^^b2T8R06s|)p(AI1t?E-zT*7U z8KUCQd7SfnXN`(Wi5NHsI)HQD&+k_`Ux4$UOSg-P3poEdl;nAIH-l^iZ!7Es?Qd{k zfuxNXq<D#d-@D?Xg8kwZNJ|WK?MlM8|NlKYn?bELkH#aQ<{Z*aM33It1)%z-+Xb|5 zWW@#0g)16)(92X{*SCXeIZ%Gxk1XTa`3@ZU;3N5=l_#j9Y2eY_0P+Ch!WGbYA1Pp| zZjTZ$?a}Rkd<`Aa{(5kkhk6iujfw~2r1TmU@a6~?6(6uc-5rp&AISCH4QLHOBx51b zk9M7l1+uZAsuxrgLXCwq_aMfiwfT^Y1*a8s*E%2@3re4zE-EfQy*VlYpy>z)(5)O6 zpp6?yJKhWr_`r_22StQWFZeh}PzFqZAH9!y6ARdx9=$tefF>?FA<aJMvHU*Jh=E?x z06*&8MJ2-3@T6zwUC;$>pjNd<=Y8<~9X=`skeEc2`e2VwFaR0e3%cs%o8d`EaESp? z2z4wXJ@|Bf2Ho2OYN^2PY4Pkl2FiHQDgbOCG+m(H)dKbjp@ab`kU@nnYH05PFLp!( zID`+%FOYSQ5W|T|B#@a1Y*v5@S7a+de25j`vK<s--5taw7;q(k>V+DW3WVV`Dit7; zT|njk8t_(QP@2Ik;z1|<!|(5T4~dlC5*3{Hxj+(#5BPEpuxe=H0M#8Am>3wq7XZBX z>HLPcxdXH#36?HeR6sW~fx-qHpdQ`Oq_GDqj<^T_Y&2}MCn9ygE*}6Vi53;m{SZiI z!BPe6Y8Q|+s1gBHHsG)&P-wJ(Z;k*Nh#Ihaz~YFoh44Ya+oDnfH=M|{0X~WcG>(c= zhU@`fgn(=XNFBtA5`-1Rq>UC8(BW>_d;t<iwgSY5Sdjy=qIZqT2~Y{%+XFTM6r&(V zfLa5fEk($~9v;wq3mRDfg)+2k0d;XeMJKFl4=+dl`*!|?)d5He<^VLobngK#3j|*h z^$l9gpr#rP&|m=Q94k;fBBdITIN?;&4LR=yoT#8l2XrY0D2$-V5SDacm%o6dK`Wj? z;R3RfaIpgJ(P4>KkT`OngZPj@Pk|dwWYPiMMgnp(dg=s;BU=IDL#&8FSV3IU0e2a& z_yQ!3Yz2r9u>#cd2OV?;x<>;vLI#onB^{6>KuHJWUtCEC6w0uq(+Rme44!1(V@WTd z`)9!g%ztnJBMJ%()N}%BZbC%C^#r_h0f`e#C!i|<Ar%j#LE_nY94&c(ZhqtG>`{>b zoio{@A_K}U#3c`K2MbHUg2a&n7Q}}HtPdjYh-sR%sDO^G1Nj|2d4R-`tpM>MR=6On zATD`;PRqk)1xOs(3J@P+g$>Ax-Zd&KKta{pqXLovB@d7zK*<B-UtGxp6w26>2dJO{ zjio>u9^ib9RIDt36)Rhy#maY|&VRV-BLN&G3rHLz#ry|bg{Y5UEhNv*qi89GlYg57 zFX$F2NJ`-Wd7rqH0$THoEsR0p$YBiPL&Deu6vkk~i7ZG!Q$pCR0Er`80pdff08J-> zeMnsU1hNnin-!obD`YD`e25jGIWAB^0`6Y)_JEfXf>H{|5ulU;@-MEG!okGA08J^N zgX%zakWVKhvY-VD_LMRKno>Hy`*gm;TJbR8NFE?@jN}1nKOrR#XvG6-$$E4i1_vU% zW8=Zazs-TKvq$9zBLhQci^?B(OcHFWfaZ{}1u#e)Ie<ZYNC1Ndgu&Jm*VkzQ-{gkP z3ednYvK1gc#0t=)7}N^l3KY;(2{tQ0;>cEj_z){V(=4E5(gDg*y*<#)K@i7+k_pJa zxRMDdlwrvPHF<zi4X8lD-mq<eCX?<p&;sSXN9R4P$pzG31^EXY2uSS|kT_a$fi;ql zk_)KS90Tn`f!ZmM4m|%h4{rW#4*Z=x;CpX7TU6eFh8#gNBhFYmzmOG&AZMUg1)%W{ z<X{EyA;Aio?}E*LG=uUF(%b~Rp9;Br8JoeNr3T0bgZL1GK?}NZO?n_13|h^A&0vr? zvcVue#9+upm1|Tgpa}sa11c{-R)Z1($Zxn30_09H@QszAtE55x1()p5!UB6L01a<| zTOW|_4Y>8;*?HZg^Cnm?Xkae_URq3sba~f+@2Ew@Jgngi>)L?EjlhF*3ZNl5P~QkN zJ|_X<fSR@-jsS=QYQKOu;E_8})dU*310B%<ntRv>T0FQ5M1Z>g-#t2Cg2Dlm<iO#; z#J~V{AY@9&12XZ0J$k|Fu(VP@;>fW8;zMEqbj((FH@Fel-3+SCu^S9pPJk_XLE^{; zgZL1GLFGqxH)ztwqq`YA<$$<c1S#EshE%W_3=&5+7{rGd4BC_lDnK%z=>{YN3NMh= zpzs3u4O_Z_796nj0xQ#@Nd_bgDnPKbS`(n9M<;mN0W|ytzR30$a>5BfO*o*K1qmS% z4m`oYdtTt7RmjD7R&Sse;{|(ke%{9i>L)>)(;mIMK?BUt>lmP8eIC8LK^efKcQYux z8eZCIk8~g251jYmfnpbOKfxOCeR%s8fbYXYo{<~9pJ4QU0>}&)^8ExQEDQ{tc<(33 zU>UuiVDx^1j6r@s0q9C6#Hq`YpqcybJu2Xe9{2qOAWbCSPXN*cvk81Z0oW2e_Y;6L zfJXW8-A@2A197ZmABa18KLHKyCjixspouQfE@;sG1fXgXbR_|(7zDN9!1oh?N<0u} z^nL>H0gj*s8E7^HzT^(uIgX$yTu`8n-cQhZ@bY8M-<>fkqxTbZj^0l&`0ghFRY$P; z3voXIsKx;uj0_rMM_=X&yPp806Ev#?QZsr#!RY-246w@pM(-yWy`Nz8euB~a2~<Y! zCxDzv2O8%FpGt@OegaTsil~NR_Y=UXVbB6l)M^-VKLJP=s0s$lUqWme0WT!<?4APd z@gh2sJrMrr{RE(4*WMBp<O5|#?<Y_hy`O-AfdO$)I<|f-XzU-{uN}Rg09&Va^nL;t zmC^eNM(-yWy`KPSu`>LSaJ2JxM(-yGQ2}oiKt6^Y^W+`qQOHQct)ur7fHp#n-cP`K z@EJ2`yT$1J1fU^E(1H-qPB!EPA@HIHc5n{p(pHdSaBpn%egep8Uhtv?a$*fAAVDj% zkOLC5(uScK>zSXU_Y;862nC%-30hS|;+db+xOoD+F!vGo{&>)7u8`HapmQ4-KxdJ_ z7UhCeAx9}v-T*BbhKy8z6od1|==}s2njb)qyaz9uMT9SS<t!xUfWjBFiWQV)K&o(r zFK9V0Bz!@N!Qnf4KLNw&{RG6F^9eq;bo71#v`f%N?<Y6`Us^!u5;Sb*sN?BqK&y@# zmC^eNKr6*Ti(NqLmO+I*hz(l2g?uXF==}sPpc}72`zUbVx{9rj0z2ywafcfE`RXx9 zcc_8R%BSKTYB0-3?<YXIw+^yWY4m;q1{$B4IeI?<(iKuz`zw%biKy*q_#GZt`YWUN z6M*_Fu;cDQeGzc85q3T;);<elCmxP4#?ogQy`O;UeHK`Rfp);5L>Oq3A9Umw)b0Rn zss!zP0;xlbu+jSoIKOue=*`X8Px>Ccp8(dxa%uia_WjM+!xppi0v*GIAHF?$KY{B7 zVmdFQ_Y;iN&I|UOHF`h6!GExMwbA<tK*Jv3bF!f;7)S3XV8C-2K4|&}<({$uy9*9} zS~_Ub2lcdcP;U!bS%Mmtpa~z)Sx_K_$PLTU`w6IgdonyqKz%dRC;@fOkfH=MM+Axz zkU|_$0_mKA4zLF)1|49Jc4Y+Uq=eD?3BU(Mf+l7`r}=`;hy$(r#dVYUP`sZ2wEi2m zY8-Mu0c^!M=<EvA72}Be2|&6)tHwcUsCPdB$W*vn!1ohC+yXv)1>GsI`w2jrpeJ5{ z?<DZ(C44_YfJ^6L$IkPfo!>k=|9bSUSKwo1@aX*Bd9Cx5OXoq)&KHoy3O^W2%6xm5 z9^hkTaBSWyz|YFSP$CUpBJZO4lkuiUC+KV#m(E{c)t;TlTr_|GKkU=_+@<rMPv<A- zNioeo7)uR28;@>yz|8<VuGR1)T$N+z4Ugs{9Eh`ZUxI2(RH4I=YZg3?w+Vp##=zjw zyAOP*N8_;{ETF@%3{Qf!LDa#>V2|cE0^NO}vsFC0+d%ml>9`i?6$FisKqsMSUUcbZ zv2f{*v0!n${KE0_Yscotj2_+7K!<>IOSu>x?hayc;k?y({rh!C{;3B!FDw3Te$2=} z<$&S;&YPSU9r&jncTqUu!oTf=Yv(CPj$b8~j?E7k9h*Nhx^f<NQM})Jsk26f!==+l zg{MTl^>(SUBmcHTE}Z9G6>owCT@-I9{^)d3;dspnSJ>^M!qM4wfR%xv+eL+^v+W2g z*xTT<aT<@UU}azc9f`|fc*zhlsOQmqL?9X(Qjiev=xzg@#?sBv*;l~Ez|if$(%Du5 zx*)T+PQ#<|SOgm+<Q#XTFfcG+2|veh$1ul`-~bQMH5UK&@q@BH?4*_E-2$NXiTo{{ z3=9m%nlnHg*b&SgEZ;A-a+E~*^zH^7xdJ<z%%j_Z!=v>;3Hxye@FD5YRCC1RI4H&$ zJbEXC4&+1}0^`wn9IV9f8_177o&SA1AAkj3OL%lQgANS(#=uzW<^iz<EaTbjhU-{o zkPAV_ItTm*AL|TqJuH#MImRFU=D@;OYDKDx!5Q<V=l}ozLHhYy3jY89Po5d9|Ns97 z-yZ@>v=Yz|7eEbh&?SHj{4KYcKq3AC65`#UaOieq={)rPlCmH;Z#wS!4|32UM*ddN zrWRMj+pPy2`KKO$oJ-}?y&IepP8|FpfAEDg<B85g2Y<01e8lY7Z6mG<&I|_~!RG7* z?Lfc$)bJbs;`5-R!WqD;_KPhYm2<>d5CSC{9^K90bSBX4!P5MY@%yFD6W=c=URc3c z!U;b7*zn|Qrp^<bCtN!Ycb@uwsr67PYv(z~&XX>kCtWyic7AZ-yip>_dC;Zv*7r*; zoVOGYIex$B!g)^d+V^XYou6D<FP2C_Bl$QB`07Ylakn3IG8pt46CB5Q!SZ*vh(ULa z0n6oAm*02(10C7|x;A_xqpRVi)(fRt-wrYIPdV87jeqI^g@YUiTfdcvJMd3E_U#a( zBma~G90wg0J~$d2aOK~2fq&aUm(FY7uYp6zaR(@*TaWR#?qy(LQ2ga;c=FpJMsU#V z2c_wf5?rTHp_M+}Iu_j_7A%+Fg3qz{=xzpuo3RFW01M|;Xy}4@pt}$*e7~Uh!tiAC z14e$=i=9`SzcPY?fx+@cDNE<Y&g-1#L7~436nCvZN?9E{Pj;T_yy(Jt(WUc*3+IIr zG0uaIoSz&O4}HJn$a&FK@uK7Ri>{p~Tv`wEw={wd!vbHW;?X@B)OJF;QRNt<QwL2U z-7H4kAx12hUtE6G{D2X3hstpm6;N_z@aXOaJD#!AMMZ!+5OigWNC_L~q0SH$0rXfb zQ4s)TZO0v;qQw<jj^W9}pfnH4!~gz(^Drnscr+i8h<1!~jE_A$!Kb?obey7NH$!Ke z0y_hPBe=v<0bRQcuP!*)A%z~6;%<Ut7`Uw4Cy1QwdUrz(?*v}}1U`TYT+(>-?gkwb zj3NL!dkRJ12k2fmaOD<<%|38`cMJs;v1s+NgCM^8_<<m}J~j}ds6Kx1oYMLjxBC-B z@VTEs6zu*0QHtCz@Sb+=ZxF}legz4z`wJu}a=*hj+PQy$6h8MmNQ2!!L7F1>7yPBv z{T|2LR)A_TP<HFx2g*(!jmIW1<IJD|-F=X=f!jbw7~*crb&FVZyI8PvUcdbQ@(WNo zx%gBOU+aMqE)VFjxvzCQ4>o^fZ2bul0+p4orCJa0Pd(VlqSEQ2!cxM+agcx8Nf5J> zN2QxZrL!%91>6v0>1>MuAMd{pl-oTTk2$a~FnD%80F`6lf*}IY1PdUt?MV~&azGl6 zES+sUkcK0)z5D^UdqM3zQ1e5<v-7)0cN?e}@AhB;RoTh{-wrXBaD!ILgQn3KUPl@p zXg$e4^?+x0Kj`Ge?m!k$rKoHOzM+H@T>BWFe9dWivh@-uR8MtY2j8v3(Rq&ZSZ9d} zPl*KQcTh{W^Z57coW~Wvf4{_eQSm3JeeBrnZ{yqTZh%zvATRKB>^6~b><*D&x%}?( ztLC3Fb@IK=9FE;K3_C!}V>Cevx}J0XYd*~5*li<m`8nsW=4b59kJvj)R0KLhR75;_ zV^lbNdP`I!K#gaCeJl(N47)(YPSCbQ$DN?$2yW*1bbilb3<k$2sA1jhq9Wng*)G8j zP6RTJo$WI03=E#V`$1>wdNv+s09Pwu?LM9Vd^<nDbSi)=CrFxrw#PlX`#{xHw_vv? zi?IN=2Mgz^&S@vu85lbI&VcKxx&)8LV;c}A!s?D-pYCa(h8ENS$8IY}P`{*`(^2tf zw<C+I;Q`0a!;YMnOGI2bZ#s5MabD(TRJ`G+c-T?#;A>`A!`t7lJ9ay<a2{`d&folq zA3U+`(cK1aL2@Ym>~>(`yZ~y5abEZ6o(2|;V&Rrj{H=IH@up+vfzDGdoTpqm4}h9$ zqMV>cnk(mNN5#Y6FFJCba8<kkvf@DJv<eOe2A9sZ8V*o*1>Dg{-~eT5aNtD4`~vEs z_;j~{E>Qs2*BYF#`Wn0#9$p*>aDwz=?cPnmUH=@A#aI3%$brkh4RREfe;=%QDD2PT zcK-tfeC}^h1iSx&B1P_3aHgI68C3AOe}M|v{U1~)a({pqrS5kO1C@5L&Xy3U8bWk$ zLDxkv@VD?Yf;wBEd#@NE{U^-J4B_Xq!tb>=fb}}S_qTB%PG+r9;Q$@W>Y~E){X*;U zl4ycw5JMZ)s3#lOs0bh%DF882#G`jNsBi|YtrU1248BVe`-#b<xD&}x4(NfZcpB)S zZ~`^ZPyGWo&_Q7cZJ>inK-BOS9u0371D4A#AlKZ%(giic8+Z9~!wz5mtgr{?&lC0( z<<E@cl;%&|?*HM4&;2u;!0vzHM3MVvoTHulC0y~je}^mB{Tyx-x&Opf+PUAt1E2eE zc!1rn;YpGEf83#+`y;&Zx&MbZ*!><p6uDpH3GLip;fK%t68>QKXZTa({)pGKbN`G$ zeD1dh0=vH>h$8oQe4?HEcZA?`e?%zQ{VPH#a{rE>v~&NBaD48shyc6)L<B|df5E^@ zv-a_iD17dp5e;_#i)f16FTp`O_e;d#bN`Mwu=_dUDRRFDKkeLak%-UzHxj|_*GQts z{S{)gbALn%KKK7f0lVKLl_K}AkfojbE7I|~Um^qS{)`NY+<!xbcJ7~%h0pyK*<kl~ zWK-mR4jtOLe@8Ap_ebP`-M=D_BKKPu)6V@j3h=qVq7dx<6NMDHKf{W4?*CDY&;2t> z!0vxhLXrDtIMUAj66N^ZzoQ)NevS%?+<(G@cJ8;R!sq@QRbcmPR8!>sAO5s+e?%=l z_y4E`yWgXZBKK>A)6V@B4fxzI(Fk^bMk7V;kBFn4`)4%cbH7Ck*!>+X6uG}6m3Hpm z(T30c5$$02uV|;p{X24K=l&a=_}pL71$O_5E{fd$qL_B>|Ivfb{WE&O?tcMV2v<V( zdNqk^+PPn10zUWem;iP^$3%+4-=m3k?zfnX&;2(hgWaz&g(CM?bkffK5!3Lw|Hm}2 z`#q*p<o*>CXy^WlnfTl<F$?Vej9C=9|HgFMxqrqSeD1fH3wD3UT#DS!F`sts-!UJb z`y&>B-M?Z1Mees)MmzW4ScK306^p^{Ke3o1_h+o7o%??*#pnJR%fRk`v5X@3&)7mc z_e-qA=l&fl!S3f+MUneY?53UjE!N<3|BW?Z_iL=B$o)SK(a!x5>+!k&$9k~)JvLC} zevMPKbAQDqeD0Un40eCUW{TV&aglcJpRpC6`z^MC-QTf|BKLRPpq=}7?7-*#h@D{f zuh>bE`*+-@o%?U>#^?TuJz)2r*h7)~Up%9o`+w}i=l&V{!R~*tpCb25yrZ4_B@W?p z|Bgdo_j4Sk$o(E)Y3F{6qxjr^<0#nu8pkMdf5ji#xj*6rKKK7P0d~K~Ns8RRf|-wI z^IsLG@ws2(4A}h{XDD+24KCWbf5tg{?zcD(c7Mltirmj3L_7EIxQNgF5tqR3UvY^d z_ghHP&iyy8;B$Y)Rj~U{T&2kU849#>|BvhV+&|+6*!?eVP~`p@>a=se#BF@;-*Fr4 zevUg7x&MS7?c8s151;#Q+ylE`<32_1|6xiy_eVU$=l&lL!S45XM3MV7Y-#8IiYNHo zFYy%Y{*0#-xj({%cJ80?9H09wUVz=-@q!}vcX-pz{X1UabAQBZu=`iMrpWy}f@tUd z8*lNszv3O({U_d0<o*|tv~&ND5BS_a<0IJpFFsP_eu+fdxnJT7KKJkV0(L*gSBl*4 zkwH86TYSgo{u|%H?$`K1k^3w1Y3KfkU-;br;}_Wd9=|Da|B5o&xxeBsKKD!f1G_)t zA4TrJQA<1b&tPD~bKZmnBOB<vi4I0KO3s_$Xr-O|cQE5~e*_EI{VP}~a=%3n?c9HZ z4WIif*um~U!A_C;GbYo{{XaPIxqk*1*!?fKD02UdS+sM%1TQ}K@8AWypM#Gg_n%lu zJNH`%;B)^C0kHcu1SxX=j}^3Ye}phT_x}(EyWc~EBKK=-pq=|G#PGRaLLBV=3~`Fw zAF-Ww?w=uv&;1rsVE1=OQRM!PeYA7`4jFvzkB|kse}ybX?%#2gcJ99+kI(%T3Sjr2 zP@u^DFV4`;{XdlOxqpT-*!?e*DRRHW723I9LJgn$cc_8g&!J9{`#o;c&ixje_}qU( z6YPEsEsES<@rZWrkI=#A{vSGE_j~A4<o*>eY3Ke5eSGehFaWzh!+;|9-}pc~_s=lG z=Y9)gu=_iVDRMu@58AnZhbcbyN0@=#zru_n_gnm@o%?TC;B$Y4CD{EZEGcq-1{*)k z=D&Ve<8%KE8?gId*ihvD8N9S}zl1$L_wTR=yPv~>BKMyVp`H6Jobb8-h7;KR8qO5C z|A#c~+#lhJ&;37K!S45PqsaXl%CvKTg$F+OOL&6apW#W7`y;ey=l&Vq_}p*d19pFh z4@K_pFr=OPclhCRe}q5S{VV(_a{mqs+PVKmAU^k31cBXuB8VdQzp$sB`+tPsbN`G` zu=`(xQsjOKciOpMA_AZLcSL~Q&k;$H`#t<<=YET5eD1#y4R*gq3`Op*2&J9-BjWJ6 z|3@6y{T}fYxqn3r?c86Hh|m2JNnrP9BvIu48_BeD|BMuT?zcz<yT2oqBKLD-)6V@n z(($=JA_MIH6&Vz{-=c_i?!S?R&;1qIVE3QMrpWynm9%sJk6e82pOFW4|BF0|+&`m% zcJ7xb#OMAUg<$t{6j9{<6YaEfzeNc?_unW1yI-S}BKQC3qn-OB%JI4XM>*L29u*Y1 zUt=2W++R_J&;1hBVE1QKQ{?`LxwLctj9Pr|x2OZVzoU*K_jfFzo%?q*;B$XOBiQ{b z8Yy!Bj@7ht|BYsR?yqP8yZ=NBMecvGiFWS)(T30cGupxKf6-2n`z3bK&ixWy_}ssv z3+#T5Zi?LRagcWIx9G*^{u{ku_iOY~<o=2iv~z#N1bpuQF#+s;kBJnyf5my)xxZpE zKKDyZ0lPnA3PtX}agBEFpD_)e`z@w}-QO{tBKLFLqn-PA%*5yZh*@Cwub4%V`z@Z* z&iyy$;B$Y)T(J93%%#Zv8E<Ik{vY%4xqrq2u=`&upve6*KGV+q5{vP<f5&35`#F|S z<o*-CXy<;5W%%5GV;R`}8p|ni{|`n1n#~_Yti<R3A1lG`_gF=d`!zUe=l+T{_}nkC z7VQ3vwG_EOLV$MepRpdF`z<zr-QTf+BKLQQ)6V@nHsN!B#AdMjS8S%p{X67n=l&a8 z@wvZZ8`%9Pwo&B%7pk;#|BoH`+&^O{*!?edQsjOKUD~-{Vh=v|@7M!&KgV8*-0xvR zJNH}c$LIbV`@!zlI6#s6E39ef{)j{P-2dYc*!>=dDRTb`C)&Bc;wV1%OB@5cKjRoh z?!VzlJNM5xfzSOGC&BLTI7yNFIRa_t{vD_Bxj*6z*!?TcP~?7#2->;-#yNcMuQ(5O z|B3Syxj!SGcJBXi5uf{KTmrlQ#U+Z|KO>EH?w7cV&;2{Dg5A$?jUxA-$fce8EpFg* z|BV}9_iNmw$o)S`D0M&Rb{7GU&d=R`Ha^{M21pl%L9c9a>}D}=>@G22f!){QaruSE z<#(VP4WBW39DJtW!FkN1vqXi%qjz%$Bj`S(=@yP03?98TDjeVoo>~r+7=rF;1>L~X z47v~ubX6;uvjwcYTEnC9@C-%<2GI3j9-a5Vnn3qSfiG$e_UQb)PXzfIl-}K-3(%q0 zTSBi}@#x(Rx@8zH2)=m|Vo>kyAB+qP@H>^d`$9mrcDI49twr)J`el=l>(MU1y8NQ~ z5hKX8(<GP~7&_ZzK-U%a?gL*U(|C*l<07{1z9SIxK{tbuWc~@T`DY;JLoa&UfMGuF z`#x@LV8^pxtYRZOXusHrjqH@{7ju{)M6>?pj6L|=Z?PBb{*JvAxqrtE+PQznethna zH~@D4iUSn6|HXaUx&Ou?eD1F}40ivC!xXt+;yLZy|Klh=_s=*6cK?fG6uH0QJMG*r zaT1^Vcbo*fpW_ro?%(i_cJ8-0gU|gp&Vb#oah4+YKVTK6S@{!j9-sSvoCmw#;{rwQ z7vQCx`ztQtbHBu8u=_JEQ{;XJ5!$(b##Maox3~s&f5$b7++QF~JNNInfzSOBH^J^- zag!qV-_WL=`)}OF=l+U2VE3Q6Ly`MAjA`foANTONf5v^V`(NCr$o&>pv~$12BYf`P z@d)gGj>i<aKf{rB?zeb~&;2)^g59t2j3W162&B~gp#DU|{_Cs^3=AcL9{aUe85npN z7#Iw{fqM%+-OT~6pi5R6Ku!MU0yhxbfd$MdaRYU3c0Z5@QJW*&ARQJAZA_ib9$;-A zEEiuu*cV?mKV<Ce_JJ4>;0!jvz>R?cdIvCu87!U63Q#i+zF>lI4!&dpo1y|SWd+C? zjfXj)&hY5G2kGLV`A-+ae-cRk^KeJ<pN~7pUAqGmK-6XfcU1quwSk-i)&}vPf;$5P z)PE`v10+BOG#=)F8US}0=r-F46VTjeh~d5mE+98S!e7Ay#D<2yiU-JHyAObj@7>Jd zf$BcEHm1(zA4u*4v7zq!194vm$biPf4~TMK7KZx@klp8r>^@Jh`!tk6)MgG(RQJKP zf!qi779{+Bc)-K&55$8Xl)wf&K=UBn0G7_?3s3{Vi37xeC5|f)BX)p{Xgs_FY6Nl= zpoKr^`VrLhZ-L~$AISdu1M%Msi2oj-`46s*sk8Y4SR2HDAT~7ouRsh)02$DDcn8z~ zWXDawNFSi<MNr+xfb2dmr11aa1q!#_4k{pO^8+u`@P}&yxeu%j>OL=c_+Nn-zyUE} z2QC9xI-6HO4FHEfhyx4%H4q~%K)g5sY6P+u(ZWBH2fh3`;SBN<B>i9T2C<>(|B5%r zW4k@<K-A_P-l+bAYh&tcUIEqy@gIl{4gWO|0|Y<@G#;J+H2~RhnBgCT;l2sT?(;!* zpAX1kyFY-8@7=t^2i1LWZ6No7wL#tI0}uZ-5Ce8V448n+0G7_?2B-nx@CR{V;okx= zq5)(?<KYab5y)PI+^_55(fQf4^Pk6lV+IBW(5>ds^53J|*`V9oqKk#2!$rlxqw|qZ z=XY@F+|djgMe^w_1`Sp{01sAy$Cw;<bO<vr_;h}U4P5O4@ppm<h@eOF5szrcIQW&` zj^Q8|bbj{OZ-Q#|vE~I#3=B*@y~PPWy}LV@K*0yL!qxDAPv>`^&VOKsK$I~XUINQG z?y&FzTaPNu1eacM4<-%1V;$}cNMSr-!UT|On%}^~!yMI79^K6+7#JA3yFsIC*h0mF znSsHlck=<z0A1r@1MqMa)MX3W7*PTS#NP=bum=p(MWFC;3<(Z^MUWM$gFL(2Vgf`M zJUW{{fNchk1^V<Zz5%hBgMono9A5u@I-h~V%d@*J1);(4(oT>P#~m4RYz#h~$f|O% zs9K@TiKeOqiz*E%2Q*bRSX5=GJEN&;!J=w~i5r@#9xSR}IC`R~nu0}@hQAM*sySFx zWyJWSsak?X)r!m@G*xS`sCrQr3RUINe1s#~F~%{@F&>=e7{DOwhXa`II1Hh|987`{ zQ-}d3*1-g-4j33Lm?3ll4}@MJ3ZWm!L1+mL2))1nLI)T^Xo&y_9RMnM85kHkk|6wo z76?6K5`>PJ3%cu^fq`Qlgr2YrLOU#n&?nYI=!y*x`oS9rZJ{U(cD;c+guWpFN^lGe z4nh!mg)oHvAqt@r#38hS6oj534WT>aAasTbgf`HF&@=QQG|W7h`Varv!S+57fyje7 z3=9kspCRG~Um$b`2Sgs`jti;~{)Ueb`HJ5V8s@GO5~%VY<Wc!B{h;^(VbD-L$Zp1} zAO^+?0Y+&ac8&>*3=9?w3=A?L2?hp+4<Oo!Pr!*!!ii78iBH3k&!CyDhqafbkGY?T z=^md3SXctAgae{C0;Gq5fuSEnJHqrj@@cs99bjx`?PKX@ZewDq2AQP*Hdnxr59Csi zy;DGX$go#{fq?;3@PV`|fe27|GB7YafwBWYv?HHDGZT{+$TA5K9~1}-3=G)hWT0}v zAaxLZzA$|jIOIGS7#Kh^79cI|AT{7X0fn0*$gc*?tUZuWn+W1Uz3#-v;mT*gG!0dV z0c6$!kQodN4B)~Mms!cE8bM~Iq6&e{dIL2p97N+XD-Bg6$gGQ~LJXjAvjEk?3=9nD zVWR=^KU6M(g@J(qVvi%ALNnOk%t0)mR3zZU#{p{MF*HEc-US61Je@d!l9YiXpM@iz zLo<61TQ4lZ90my)fTf{f=g0@@S}>e|ngg0^Vn8+rnpP1B4xUyW!J+O5vI^BK5oVBM z7#Mm$6mGNfQFXfV8DKFhfr@6Wftp1PKmLH4MGZf?fZ~~fI^i{eie}xRqFEZC5eO>z z5p;(qC}~k6><>WQbrfVE?zFmsn6%2n1~P<!fl{*)7#J8Lpk}3k@+GpnoIu$GJzq21 zGGWWuu6zzI*u+7tR|W=#Jy1I+buXx#<$zS96#E@yRtOc%T0lj!-cZpj3s7avKzUjL zg;xvItev3bhn!YW%kDYEq~QxxGRpwe{^0~Uh=E}#h;rf+aO9H!)nm+kOiU9&Oi(4} z%BSE0V}p8#0Z={h&^i`L&s&%}S3U)%I2Z@oM(Kd+^9R`lX=`}G+8Rsn$Q{5VcL$H$ z4?J=rTp&j<Frd37hJk^>04jG1qy#yxu;y!~h1jw$u51l5e*)BejI@B={0XF(p8{%A zLe1X_DmMwbpP7RZn+1-14$R+}vB|jdIWRDQ%Rg>V05LGMf$Sq_57T38hJmc9<HRPz zzyJ!XJy1I~gRCNKM;A7e@Wq7;q-(`MEAwk;Z2lb@n{UGl3U~&Zh0h!soBxN#=Ev~S zHhlKb*nAm&+Pc4n#^&FlvH3Qj4mSf0(?5;P|3hQ*V+3iNe)iDVd>P0P8O_SK8XB8_ z2WmcONE~HM0DD_vKB@Ji4P?lWX5ll3#^(Q_vH3C3!9`lNANJ7Ld>K*N=HD6`n}3JK z=G%zTHhkvL*!({<Ha|w3w&Amf#^%d_26|~&zt_;%{5v!@-$s(Q@jHjc=KrCw`7u(o z4WB(UHeW`Xw(hT?vH5psY`zV2f{<4A`y3jZ|A)rr$H>w){p_K!`7&~}b$<=i{QV$n z2#;S)Bei{g2Wmd8(x;6)$l)~X|I*m}KTz{&75*^_v<;s<G&WyGk#_C}jS<&C%{Kwf z6=0@+7rp?Lx$h;|(!V2L08<7w2?od*@dKzG|3F^Bv;%#9{VF!2@Qo2WKo?qo*H{oX ze>bV-FQBpc49cK@VPK$D9ssSL1=&EWGUx!*{j@S)K?US`+Ldt)Q1fXO{tuw$(<*!% zRB0PN3utIQs0?CIgSfwq!ZN4`d&Uj`%~Pd7?Vwd0AAy=rt2kCshlCNW%x|Hw`A?wc z(<=O3K#RU;I8LyH#^$qV(l&lmpytym{EtA*r&an?(V}hmw9wf6Cs6Zg)dq6WhJ=q0 z_A(T`jr9_HQHg!26R7-LLPPUGbpVSF#Qn5vhh{+SpvAflP#B$nnop}dprH#1qo*K> za65Mosd3x^HJ?`Qe?epOJ@h~UL%Vk33L2Zwp-)@)XVBRE6Erqo1GFHHhIM=gjm>`n zHJ?^<!5)URO+PDWY(9q(ZQY+iWAjhY*nADp&O=(Je;S+rg2v{1fEK^fFnm_f*nAFC z8mE66n}34F=4+VIHhem0Z2k)xo9_YIiA&4$Ph;~rKpXF9=>7~En}34F=4)8eIQ`Su z{1-Gf-vhMqn}+FU1&z(;u%>PNX3*ID6Erqo!-lru(?MhNU(ncm4_n%W4`_^L1=RdG zp#4pR#~%)18-D=pC)vu5O$IVo$YTfb1Fgm>bD-waYK-Fyjm_7whlCHU+}{H=pBCek zpfvObYQ6;aaZ2?0=2h5I3TVFB4VwgXzBvGTQUoo=$v}SC05#u|I6rL1=2Va$qOeIo z{UG89iDz2ngA%Cuv`R-;Xl%ZT6C`|S;eJpYPl1|mPhlJ<;ECfOP&;T9#}Ur73nS2Y z_YNAHFX2L4_gB!^{2Mej-@=u);WLBA=Kp}2PqXyzM%(b&L1XhJ+-d9n3L2Y#gU04t zfHv;au)jBh#^!_0aRkLM&C<Uo$aLDxhk=e`1ld4~Iv7;HNO*xvr=9yNXl(us8k=w7 zP22F9L1XiO(AfM4AKHe`4jP&d+Eysx3vquXh;rl;U@GV10Eru55eIEE@_>qimz;yo z?}z{$-@yRdVw?h%gDzzSpQ}~@6<ZE9--S=1nTcru=#UW(ka;tp^4QGV0+oYK34+Z# z0Tr8zV%{{kc`u;yU<&L$CU=knLGEMm18HJlh=x#LH%nj<)4?L<fJH0>i&zF0u^KF5 z6VSv!TQrtH#c=uU2vi=M-yT5akp1=rix>y^uoKiUkU<jzB{>7A*fr4JddzVccfJM8 z$cwg_i?EKjIPxuEW&od~)&MmR-R&T|=0N3ALFXJ`v+DpOid~<1v2}PH`3^8LFo4W^ z12yjuXkRoo^FE-u{Uir=^FT*=g3_oB_|QBC25fGRfXY$B?Q<9y7*;^dy9DaDVRL%} zs@pp__&S&!`6e)X@-;9sXEP%6o}uvcaQL!;nc0{bSz9@Zw)Y^OD_;ZXSX0n>RDqDp zlMULFgUx*t*iho?Jl1nv9Qh`&LDIzxsCnpN3kr)ZP`SYv7NE96NDw3}c7Z6&us~lA zHxXMZ!?ouCR9<a?nvYzbo`8ydf!YZvPv3&eKaidmP<iMw0r2^jf1qLopm7GsIfYJq z3TWpv-a$S5(2>sotk(j3@F4?(J?I=gxE~$)G+g)`V8>fB>oFr~hMaE+a!UzRFRc6q zEm7})iY0>Fk9yWrDD0>xP}pvOs-s2Ng3K2P0XcwyfmY@h(AfM7G&CO+cLt%5@PVa0 zP@BL7Dh8{|nU+G@3Ncv3LDQ5aQ1NI)x^n~_Bjx}-B9nuW&%==~z?09R3X~k-=c<D2 z-vHGMoelukAxEHMCqYhw)a{31b^8OT94xJY;`j?xj8<_R5(aVz?b6T|8k!GUYAzBE zaepo}ejNEET=)!{nfsWSlNe!{k%55$q}G8*wV-g%fvTldxSyf1`8v=;eQD+X9vYkf zhQ{XmL_*RHt-@ywjm_tYqOJRLXl(u&8k?^J?L^QjetT$a{u>&b?*l627-(1Suc5K| zJh3!R|1>uL42{j#iKA`!^w8M+H#9ciC!V(9vxdgz^MEE_X_$X=Xl(u&8k?^J?wrxC zeCwgH`EO`!zE2X3(?5;P=Silm`*Ub){uvsZuLC+jnTF*<4~@-#Lu2!OQfZrh*3j5| zo;2FJKZnNVpP{k&I_b0xpB@^U|Axlq`()5IeAdv|e4b3&x<7};=AWUl`8rv&4WAww zoBxK!=KEyRHhk95*nFNG+PXi7#^#@)vH3c=v<;sg8k_%y#^(Fv(KdY6(Aa#QeA>D{ zhsNfgp|SZo1+)#H9vYkfhQ{Xm6w)?)*3j5|o+8@1KZnNVpP{k&I>odNpB@^U|Axlq z`;^c&eAdv|e4bL;x<7};=AWUl`8s8^4WAwwoBxK!=KGY>Hhk95*nFM}+PXi7#^#@) zvH3dS#lSQi|EIC}Z)j}3PZe#`&l(z=&r?lX_vg^q{4+E*U#Et);nPE7^WV_ee4kp{ zhR+%ro6l26TleSC*!(jzHeaWnw&Bx5WAoq8*nFP`+J?^>8k^74NL%;k(AfMlG&WzS ziMHX>Lu2#b(Aa#RX4;0&8XB9=(?VPK=g`>vGc-0|r<Jzh(?etP-_Y26pElZt&l(z= z&(ls@_vg^q{4+E*U#Ek%;nPDy^FjMa-$2dBwU3mi6XHMgJ$ImeHyThm=n`u19$6bS zG0^hl0H_$P)`)MQvH1dBkT9T?`wM7n{skJFZ_rKK@R>kk^FPqo{D2<XhR+5Xn=jBy zTlW{x*!&ALHs7F+w&62@hUQB!Ffe?8n*RXg4ai=}TcEv?AUU3XkRSuYED!~en*x*5 zz$51ZmAi}3cO9lL0V;>h%o?a1HhnXoa@h23fy$xl1MMTe0F?s|bwTzKyYMA|_AWBz z!nQ7g_AUN^ssnc^AbX!3`4k`<P?<{k;CoI%W~xj8d5nPp+<ZhevkYb?=y**ZsJiRW zeUnZgW6<_fGaqI|+7s;r3MPo*ILN<D3=Av`AOE9E^Men@U_lpVV1<f<j>$xqWM*JO z7XtH{8CbzIG9jkOz#zoH#P9&Lzng)90lMv);V@L(;0#1H_#6lZ28MiDh<brL5OHuD zf`Ng-SP&w9;T1$2eD(qZ1H(Fgi1>oP5OJ9LGZGN-0(FR6VdBc{5OD{8P~b8!fX^9V zU|>*(+WUYVVh+rmDNuKA$bqPbne&7dVot$fh<fOh0E3qtMEnDq_(Z5XCmeyOhwXcI z;DM+=02K%CeP&=_IL8GMpKuhS9=7keKnx;&0V)n|gE25L2#Y|(4;+K2kA_eTcLX5f z3dbP=F!6dmh<F2<_&IKf_yaWY1Dp_X2k^xYi1R&Gvq8icpowcKK*Slqo2e1%m&-uJ z6VSvvBq8DlpyDupX+!<H;S{R-X9`2q3!Fw3UjX%Q0h+i1)V~+d#KoZLYQY9b{KDd; z7#d#}pyJ^35J2e=8ebPSLe#^~LD&F|uLIj4;;{I733Jabh&asrbx`*#fQrN7{Tnp= z19n5y!^B%);kgGQ4&L+5z`$@H7M^<{;;{YfkD%eX04fd*R)%C~coyt~sE4_eRU8tY z4$z{&9U{#Dy4x3&e-Btf@)5W^WME)0l7gzYf{265KL!SdUa0vOpyJ@Nj)8%}5t=>| ztRd>bWgEyGXui9k1`&tF`zEOQ2cY>EmTq@I%~#NXsE3KahKAb)(77QD3=B&l$$~+L z7vjzX#t`-3`ig;pAqc8|feAz$Tt6@{FsN}r)H|4>iYq|V`2(mpxXxf;V3-cg?+46K z)$_vQ#T+6IQ-2Q@&K3}Hn7tjM5PKg$#bN6ILGxV#xIAWHh=<0@4XAq#K<}P~9!bL> z0ySp>v?zW74TlV9`VoNM^$Jse1?EmP@ng{RvjI&!0vi4d(ECtf<~)Pu#{e{OduaY@ zV1qa$9P0kR@(}+PK+8R-_ZYIF_6lr*C<LD=!oa{F54E>pGejJGUI-|?LG5MO4iSfL z&0?4e4Tle)Lwgt)7{F%`FfcG&l7`rua0sFvCVm>4-yNV8UIH{9#6t7?21s-<!1C{F zXnubH6^9<H!(aoeccA4n%wHbRddC1-55m;1fz~6S^KU>Q1gm$BL)}>bEze=~&Ln7f zCP2$WnD~2WJ_4Bm!XH2c0|Ub%s5vmcFjSl$nhpgZ!N33$mxG3z0aP5?ykWS)%mD7I zL$$*xR~+IRIK*w(v75unh+Q1y4A8g%+(IaWi50v0$1K>zui`L&2@ZGq;ZP4suGrj@ zheQ2rChYD>$KifwY3%AjTb8id3(Ef3#6kHIoA`Mg;iHGcokBRoLFE%R^Fh@LHt`-D z_Lkugzlp=%Jvid)Ed%y&lfn@%fjGk74~M-?IK=PDVz+k}4)vNi?469moz*zZPr?xn zp!x(`_`Bi=XI>oQ>v7o2io;$|I|7@%e{uNhI}USdaD<Ny4s+JwaQ`<P>Ose$VsmE= zw46+U_B+7mtuZh##6rtkSOo$;D~y4GK^0nlet`BnVCqev<!1s9sN`Z`fT`aM?MGZd zK2Mo}fgv7RZcl)oBMd%=6x7ayx+ehnd|n0yhOf|iPyqQ{S_TG&P0;%N!Fq_j;BiL= z28JGJJF@^<Kf=VfLhD(B9jNMaq3w+iXyV?`dUnH3RP}+-dUFFP<HPo}GB7YqfVSTX z_M@uzf!3P_(0Uo>9!ID-7ts8r46T1BfFcfdPA&rjLqF7<0Oa#w85kItq4lf)^0}o9 z3=C|r@JACDhlM}#Ii3s*3=L5C2Y?RzL$dcSG(0aHM)lVUSiC?hWLP{3Ld}_Q1XcZ8 zXgCKPMHSD3wv#WQnR5&p{sPBP)qjKbFJR|A!tA{ZZC_nLQ-2QH9-eR<)tq-we+7Ul z4kZ7shPGz{PN1rv4s9n3oJ198hQ^lww1ES2Pl^zv-E{#?y$v)TFQBQv25nbQIE8Bd zFR1wer%}ZpL)*g>plABQ?41kErvhhC)h~gjlM86#i=g=^0D7h!%p7KDzMgOv)toob z_Ok%A;RaJ53{7tV=TOxfK-0Ovc~o&FXgaxoW==j#98JA8G~6a!KsBcpnhqyG&u@dd zM**6y0xqJeH-w2p&oYClcZY_9z$H}mw$OBP0Zm*ATAoa}jH>=6G@S%oK^0#PO%DQ~ zh8a@1Aqh*jXyRV5bbAfeoIlX`4S;qiVdcp)X#5J?KvlmVTAp7(6Q2Z)uL(C%)i*%n zE8rHY_<m@-2;4>$&x6Lx1vK$kXgE)}gQ|WaG@JwOqKfB1!&%@Ss(3jxoG+k>+d#{c z3HMReOF_$xfCs4J+0bwnc!(-q0}ba3XyOsja$v$ERP`>f_<f8j&H#(wC#d3<(0qLX zO}rhNuO~c3RWAgq2cMye?}di5z;jgberPyfKohryhMNHN%sW^*e+mu%2`^C1IRg#< zfS0J^4AA^_0nHo+SbBJcs(w8*UM`@CTSMbz0`#mon0snq;;&K7X@r*Z0noG8VCvUE z<1ye3s`|aqcocYxDt;d(4m|@6d~Q1f149=yelMV@Z-d6~gm<Xs%!7`fT!5Mbb5Afd z-UHsFs^@}+!vyHrVleevq3J>31FHI)(DZNtO*{u$&IdpTeqiR*K+Ay%A5qO|hL!^X zpHRhXpy4I}nrvoZV1R|gBWU^&_>8JP5?an*fU1YtYXmJfE}*IBhn5=?zMz`32O7>3 zpl3|M%<+S!pMbBZ>ZM@m9MqsiDkm>O>!SeZSxhi<n4s>zfTsQgG+qRtXCHy@C}&_` zkc6fG@2K|j!_xl`RPi8KI)t7P1ioX5fq~&C)L#NWQPtmork@LF;=Ryx7yuoxc>?X% zPlLL1!Y@>F>Y?e)0eW@~Og#rQ9s_=(s<(uiFYpIdd<E1!2GBEJVDbJMntm>zslN(M zKNCP58Km&{g{H#~P;+46zYbcz1pGs_R}1RS4bZbwVCoB?=||u{s`?~o`niB6ZUs$; z1)u=|q;}duX!@A|otuHxgR#)`6Tk==sDg=SLgUK-de#Rl9DJbZM}P@c{XuAY`v6rB zbAK^3{aip(9}7)C6PQuWDTT)G2Iv_YFms}y<#PZFs(LACxgo%cD((bLhXv5HEMVq5 zhnjx@O?@;pJs3dGc4&hHH-jfMUM8@inx73-AHa?(o)1lj2cTylz{1TP8lD0isOpWO z>FolVcq&x90eThz%>D17>1P5bsyVjM^yUEFn-5d30WCiRxKP!XLCa48ZdCE-Q1uMZ zJ?t=Z7@_s-1vK^Zq3LG=52`s@&~$hJY7Q(M>Y(W-fEQK0EHr!?pnK0@_8x|&9|1m8 z^>d-==K`AeMrb;8fbP+TnR5}EekSmvn$rtSKLG-$;)>98!T{aN3^OMiTK@_NqN*2$ zrndu7^)Ua=hNhnjXzJHM)6WFZ02)%c!3`B}fbKzt*;@$>p8#Q0drP6|L_h>p+!&e; z9iV%4VdfY@{dECNJv-DK2I$^ZnEEzodYB-JYW{X;`Uwz26=#H|!vj!rVC8=lwEPqh zM^&E)Ek7@yiKj#DJpkQ{Dg@1s2cYR^f&{8L@1W`P0d#LBOuZts{0xvpRsRo~egvdY z#n(aI$pGC02&)Heq2=cVH1(XY^e>HS&PQlE36Mb*=YXYWSyb_V(0IRqCjJ5%?-S%u z)gOn(SAaaKcq=r11r$)lzeB_G0-Crq)ZPh-sOrx`!y!NkRs0Avz66v}#pgoHr3+}{ z=b_<0K?PO)E@=G~po%K~0UFK%(D^=Cee?h-?f~6;xD(nQxC(7=Ge9TUVd-`QG@TrP zii7V-WME*p3(fBvpnKe4>Q6w^Z2@#|7fd_?8eay`iA|XJ5or1L0Zn`<w4B)h-9rRZ z?*VmZ0d(&SOne(Oofts(jKIYALd%m6XyW^z`FaC%j|NPAEYx2G(7g%J>2`+MP=7f< z*W|<0pM{o74A6;Ln0O;Jz8awOr?Bwvfcp0UntE-he;c4{!eQoofR)4030|1EHq@OC znyB&42@MAZEmZL+s5=j!i912ld4o2p`d`p|!2n&u46}C$H2pj1psGIsEyoyiQN^>N z>Hh$lco8)HH-IKYkjm|g(Dde@k7~{pX!>C=Ko#E&Eq@N6iNA-1ry;8Pd9ZLZLKWWu z4Tl40{`~<JXMoOY!{X5hnywn4YnWl-6Aw*S4$!r`F!4}WctY31!o+o<>FNNQ_%UcW zH$c~i!qiJa(~kpmT_jAL7h1kCK-U|>#1}%-&jB=XL8!kPpzH5o>Y1Vba)7QogNeU_ z#V>TC5+<$;_3r^R@$azsg|4xJss9L_hjo|;DR^Mw;ZXlFOhOgE2d$3|po#ZF(^bP{ zRP~uq|2j-T6~6#2R~e?Fia&vtp9j#yXF&biFb!2b3)H_3(^18zK>f=w16BMe)V~MN z#23TLhncAA{h{OJ4xkApq<)7oG#nUaL)3%sRc2sdcnU2K7c7Q|!`e%qq4_9b2}B$g z&dShmP=GGnfQiq8hQk9iabIXSELa9H2i6X`4Xv*iKo`z{@8|`skAjB7ffW$-u=>Io z>fa43A>!csa2XgF?4kbsunHm$bN>ZsJ+)yqL>%VMqfq}AtU(oD0QIlIT2yg1sDD2| z#ld&9GB7YGK>cg59-<y*uQ1fVAE4qed+VY8-LL_o9wz=7ntuy6qKbcmmRAOwP{r9{ z{zVgyhxr$3FqC4L0rT$`RC8`a)1kpuh&assXQAcW2dFsAoE=biZrBD<4->x*tuGev zK*T}w%^;RJ)cpn9K|JWadJGH<TcG8S!46dMsnGKF1Dd!6)cqTv4GoyR%b@laKnqlu z_*!WBW3U@yKFnXb&~p9*R2-&$9W)#^?189<iTgssp<pkncsDd04ECXlpM~1nzzY$F zW><#2(0cO&n)(W8IBbAA5N0nIG#m;JK+J)OYeW5Oa1d3z5NhuSG;u$ue?jZ%Ksuns zH^XdbIlti$NE8t-bx{9q0NuR>nvaA?GrWVk-vM<07Xt&Vcw(3Vb^imXILv%+nERoV zw=i)5Xgo3qLJWq9$3Xox0Zn`&)L#mqyStFw^B&qx-hd`v1C194=mHt&vL1#WsQU## z7uPT_Fu=q!VeW^Dr$MT7hMUmv2@r?46Q=$lv|dmEEu2O&=LWPM{D3B&1a<!bNmO&@ zLfsFVcLmA7%<qS$!vtxND8ijPp!L21XhRPJ0|PW0FvLRr`vFbd3hLhl(Dhx=<wp!& zQ2!P{7e>L%(S`cgKpA51R_J;(WvKf<fEG_PFfg=nLFApG?rboI@L}mw9O_O76I5|Q zs5==<QN_!l_4NTX@qf^Is=*9Z{XS@Y?O={7UI~pC@Fi79_1yz#xqSe10TGh;7ihWN zV2NtZ3TV0QV1+6!0`)J0HLCblSUrU%eid3@H`t)6mxTJ)0lM%L7H&JB{$;R3RqqY; z?*TOND5!rMpm*ED%xQ-D*8zH8JWTv5)V~bKcce2gFr-8MdjKj9>!*B$)>9Ke_s$`O zTM*R00m%1oGcYi0gZfthZM}pi)IHGU0}QZrZBS=3fR^onhLr#OhX81`jv*cb9d7`s z2MyuE)K?>kgQjL+;(bWsprKco_(CLcWcAQtTMT<Mq2&R{USxNkLlOsd{b1(*LJ|iJ zxx>VDpz8=h=773>FmW#=ab)#1NaD!qXCa9rt3Qt<j;#I{k~p$@eUL*KAmNOxJ{(CL z)P;n(vlB@i*_`Q6aget`MFzC^W0(gO2T`CdHq4xDAOWbo$mSe@ilduz4k`|!kj;4r z5`dZm8e)Q({}V|Z*?e}8;}{sgqwOFjv|3`|1970{Ae*m(B#vy35mX%JPMA41P;rp; z$mWC~i6fg60~H6E1Hv%(H$%li=773vF!AL`;>huG14$g&{AW;ckl7$6EM7i<I9UA4 z3|;RFvKKi#rIEyu&DVsA!_0?;gE3SbWDasTcp-@+n^S@$j_lv*NaD!;J&h!eY|c%n zILtjT|AN+;fJ#(!_k4q@2Z<y5R|LAB1SF1Zz7kX%-FzLWI7mIRf1Q!Uk<Iajii6An zWldQ8mO#Zp<{+zYf{KIGgQ5-=&OK0Zka}c$LF;5-{zW!t4OBhI9AtYhL&ZVnAcy}i zBynVO^r7pmLF$pqjW{H6<oGH=5=S;?B2*mY9+1Ca@iiAJ4l*A!BnS(i^-yti^}C_s zAoZZ3X_)#WP;qqi-=X3l^~mlK;{=5oqI`h4M+qtpQjhE&6R0@4`3_KVka}eIctXX| z)z?79LF$p+GaD+7u6{XG9HbuEJv*V|=<1I`#X;(k-E$5qj;@{&+P(v+M|O__R2*Hs z4pbbZ9@#y1P;qqiUQlt6dSv$mL&ee6!`ho5^~mm7il!dA?SWw<R2-xp**%A$;xO}J z>d!;PLF$p+a|0@luAZA4l1@PCk=>&O6-QTZ1{DXXM|O_~R2*G>2vi)T9@#yyP;qqi z6QJTC^~mm74;4pOzZ)tJQjhGOvrut#^*5p7Aoa-Zc?1<lS1$qW|AW*cyT=?Vj;`Jr zDh^VQ?4D4lIJ){os5nSHvU{?i;^^w<K*d4ok<0m$NaCO&P*}Nf7fBqn<O3%D5{Ebw zw4V<$AGFi~rd|R`9Ms2!iR&VXgO=97#I2FUk<|wyiG!x{Vd^W9#F6b?iX@KgudPVp z$o{&FB#vy(6C`nD_i#hU1wj5qc8@EPII_LzNaD!mS0ITan?D~(9NGM<NaD!me@7BW zHlG7J9szPEvibT*;>hNwB8elLUy3A-9Bz}5#F6b?f+UV?FKE9KsN?{-2iaa$XoU_E zN48fTNgUaH3nX!5^W%}kk<FiqB#vzUb|i6R^G_g&Bb)yoNgUaHRp@vPy8GRc#F5R9 zKoUnbzaB{(+5D|Y;>hm5jwFt3{tF~=Wb^r<<3u2LBAf4uB#vx;I+8fD`4vdw$mY*S z5=S=wDv~&|`QMSmk<I6Tj$47;iEO?;k~p&Yp!J8abcI|VPC-(ST&`|L5(iCD!OD}v zNaCO+YB2F@NaCO+G%)cWNaCO=MVL5fJrXweq(H~lK>k8@PcxD@vU?^Yi6gsb8Im}% zdrlyUBfCdN6yzF6KNmTCERe*J-4li+j_jUtByrG^7?^+Ck;IYRxf)3vS^aJ#ab)!m zki?PIgXV2PJ^+OqvU*W5kSN4o$m&&*#F5o|A&Dcak3tehR$q@Kj;y{PNgP@I79??G z^+%Azk=4IM5=U156G<FdJvVe578DN1@otPHjvVhjNaD!xz641eIo=N=i6h7RWh8NA z_dG)qM|KYfwBrDBC$f8Dki?PQQ-UOp?4HR;;>hk<j3kcio^43t$nLp;B#!JJ9q4#8 z$eqaUaX}JCc26>rII??+k;IYR(}pCD?4A`!;>hm#gCvgZ9uX--I!AVoF_Jj4dz_KP zk=+x9B#!K!3M6r4_nbizM|RH>BynW-Fhj@dLE(w)9$_SLWcR2ci6gtm14$g&J#&!6 zk=?TeNgUZdmyyJg-SZep9N9hJki?PQBLSUP0Qn2qJvm6?$nI%D5=VB=VkB{7_v}Iv zN4ED4k~p%xI?(e1K<+`d*9A!&+1_L%ab$a|ki?Pgor5HfZ0~U-apZo@Lmc8?afq`( zH<*Lm4_Z<St4G9;#F5SSL=p!rorS3f&6|L72FM&_^)sRBVf`SO`n6DTboG~Th`+=k z&IQ`Q3>`m2wpSg8cqk6>OdR43IK-#p5Z{d?jvPK0k;IYR$qnuJgTet>y&{r0vifKw zab)#{NaD!qS0jldt3QY&j;#JSk~p$@LFl|4$eqaQy^+L`)h8l}Bdec{B#x~90g^a! zKKhL$j+~F!q4R~Xbfp7Id<+Z>f>3dgJ3(6&VChW-NgO$U89~Ki=0LaaGK53LLFRy# z@WIU4fFzFW&eKTZ$nJcMB#vzFN2oZ=Uf4QPG3b0Jy1ltb;>h-PB8em0djd%uxjpj+ zNgTBF8s;x1&;e7>e1zPuOh6JxHm3<m9NC;jNaD!mY{emd42SrA9O6H4i1VsK5&$UN zknJ@=5=VA_36eOn`q@b0$m-7_i6g83iX@J#o=*+Cdz5jA2O)_gn^T1(jvUUjki?PQ zvlfT=J{;oLafpAwA<n0c-CycB#3ONtHz0{4`*#77II@4YA&DdV_cRXiPe|g(=5s^W z4WOqJStN1fe5wr<hvicj=zOg|R2*aua``X;NgUaoOL2(r!XbVchq$gLBK(od0XHOZ z<Z_@8NgO#GCLxI<hx0llab))$nKhq#6o!kx(WdLW4-+na_Yj%;rw4)Gow;`@=r zk?nnqB#vzFUnFs4dj+%+?nf3^#v$I1B#vzFVkB|o_U#EIab)!`ki?PQ$po$7K<N$H zJ)$_oHE@WRBZ(v1I~_?J+1{;4;>h-%z#)Dchd8S)B0Q1p)kG3Uw$~X+9NFG59O9Wc z#BU;rBis8ENgUZ;VLgO9k?mE(A#RC7JQ7J9Io>;v#F5=I2T2@R{XrygWc3e`#F5?q z8%Z45{e1e^{iTRQyZ}iY+1?3A;>h-{LJ~)|cP|d{3pm8Z4G```w$~g<9NFGLBynVW zQ*elv;}AcFB#vzFGbC|jdl^6n)?jHb3F8n~!y)d3B#s>KrAXq)?wN`tj_jVzNaD!h za14j|O&sDp&;toU<qWdD21w$__Ie?SBikE`L%aZo_!T5^WP86Li6h&~2VLg}awoFA zia5j_ki?PecR#2&tbUJy*6&47agh1Q_4@%Napd~<Hj+4U{ree79NAt4=z2tu`JgRD zuy%L@k~p&YvysG+&EJe9j%@w|BynW(9iZzPLG~h>AB`lAY<@A4II{T*ki?PAXMnDc zL^od=NgUaHV<d58^AnK7k<CAVB#!L<+eqTb=6^;KM>bypx?U6HPGs{Nki?PApN%Ar zZ2o2>ab)u!Ac-TJ?*Lu*39=X2{AeU`Wb=!W#F5QkfFzD=J_B_9D7yL5NaD!m8zYG$ zo1cIrj%@w`BynW--$oKgHvcn{II{T)(Dke!cOskLfFzD={%j<1Wb-#8i6fi;07)F# zd<W>dSdhKQ=0_umBb#50B#vzU0wi%{^BJJ)YthY@MiNIh-xx_8+57|~ab)ukAc-Tp z|2C31viYBp#F5QcfUfrixf9v^1|)H0^JgQ8Bb&b&NgUby2T0<`<~u;w4TJ1OHa{9k z9NGM0BynW(7a)lvo6i7U$ct{iG?F;7`Nl}%$mS;?i6fhT07)F#{kM_Ck<I^%B#vyp z0(3nz$eqaMHz0{4n?D;#9NGNMNaD!mKR^;kHs1ldt{P-7viZ?S;>hL~BZ(uMzW_-b z*?b1*`fPObrIEyu%{N67N3O>`ki?PgEkzPXw)X;(II_Q9BZ(v1%M4vF4ss{5`36Yh z$mUN#5=S<FHIg{8`G=9jk<I^rB#vx;0Cb%?$UVsBXCsLto8OEij%@x0BynW(1)%2x zqMNUcB#vypGm<#6`2|Sg$mU-_5=VCbYb0@G^O>RN5`x@=Y`y`KII{T@ki?PAUyUS= zZ2n;+ab)v9Ac-TJ9{@c!5ab?Y^Rtn}k<D*L5=S<F1Clth`2x`M2hq*fMiNIh-x*09 z+57?|ab)u^Ac-Tp|22|0viZ!=a}Po8K{nq2NgUby2}t6|=C4K)M>hX3k~p&YACSb6 z%@2T{s|a!rviaFa;>hMVBZ(uMzX3@c*?a-$`Hbl1Ya@vxo9~Pyj%<Dbk~p&Y7m&n} z-TxX%9NBzk=(&&}_aK{ZfFzD={sbg(Wb;=ei6fhT7)c!2{0~Ut$mRz?&x-`P2ig2= zBynW(n~}tk&EJ3|j%>bwAEIB6Y`!*<II{W9NaD!m7a)lvn|}dG9NGNWNaD!mGegg* z1o;=)d;=tLWb-E=i6fi88c7`4{KH7%$mV}Q5=S;a0D68U$UVsBXCsLto8OEij%@x0 zBynW(1)%3zqMNUcB#vypGm<#6`2|Sg$mU-_5=VCbYb0@G^O>RNV}jg+Y`y`KII{T@ zki?PAUyUS=Z2n;+ab)v9Ac-TJ9{@c!6XYIb^Rtn}k<D*L5=S<F1Clth`2x`MG||o1 zMiNIh-x*09+57?|ab)u^Ac-Tp|22|0viZ!=^EyH9K{nq2NgUby2}t6|=C4K)M>hX3 zk~p&YACSb6%@2T{hX`^HviaFa;>hMVBZ(uMzX3@c*?a-e<~rzj9kTh_NaD!mJ0poB zn_qw=j%@w~BynW(Un7Ylo6ihAhY{poWb+M>#F5RPfFzD={%Ry~Wb+Rri6fi;0ZAO$ z`~c`Vj3D<Qo1cv&j%<Dnk~s3XWj~TQvb}qd#F6b404+Ml7B5KR$o4uTi6fg|fFzD= z{skm)WPiOz5=S<l8G0@xC>)T@H$W0cHh%(=II{Vxk;IYBKa3=fZ2ku%ab)uYpyx$` z+=Fa>Hj+59`87!5DDi?Mj%@E9BynVW1wfrKZ1I95j%=?pk~p&Y1xVt^=3hV(NA}li zBynW(nL(a`x(C^O10-=|^CuvQBb&b(NgUby!${)D=6^sEM>anQw73N79%S?Lki?PA zZ$lCX9UTT6?_G){4)Quod<PEkS2)D~;}91DExv)e6WL!5NaD!;>PHes_Sb48ab$n( zLlQ^!*8?PRWPkm_A<hfh%#6jI)=1*W?(9JlM|S5jBynVS?m!YpcIRCrab$PCM-oR4 zx4%$v*nB|?biP0lv}hjce&qRr8YFRKcTPhRM|S55BynVSo<$NzcISO0ab$PCg^HuQ zQxLRS9g8~)ki?PQ*^MNQ?9Mqz;-D-7OSkKh#F5=|2T2?`9u1)9nWC3(-bmue_9i2V zBbz?~NgUby4@lz3{^AC0!iR<fviZtL;>hL)Ac-TJzX3@c+5FQ;;>hMdMiNIhUjVd; z0_q-Q^9zu~k<IT$5=S<F4w5)Zyda4q+j|E|9NAt2&|*-idywt*MiNK1HyKGB+58De z;>hNIKoUnbpBuC&5Na>7`N~M*$mRzii6fi80ZAO${L@I{$mTyr5=S;)0D9glD19QE zUxXx%Y<?e-II{W6ki?Pm^-&~o<a~VthqxxlanSHVR&RqO4r0RcV-|=5RgdicG9+<i zbGmVeFTf$r06l*e6h6q|AdMuB91c22;>hXK9!VTz70mrPNaD!uKY%2TZ0~I(ab$Zx zBZ(uMuK+y{7vz3q^Ba)Fk<FitB#vzUW+ZWB^B*9IBb)C4n#_jA3$ppqNaD!m7bA%y zo4)`_9NByZ&?Gt*^QDo*k<HgZ5=V&_BynVWbCAT5?LB}bj_j}7NaD!$ent{UHeUgH zJ}*YRAc-TJKO0FL+5F8&;>hMdKoUoGzXSAqUXZ=W=0_umBb#50B#vzU0wi%{^BJJ$ z_M)3FjU<k2z7CQ&O1vP6BioyUB#vzF0VHu`f89nBN4EDfk~p&Y3efX{LGDL3zX3@c z+5Fi^;>hN2MiNIh{{fOXviT0s^MXP4BAXwLB#vx;F_Jj4`3sQ5k<I^)B#vypG>8Mu zN66;uA&DcG=K)CK$mMDl4)Izfab$O{MiNJM=U*go5EE8TN`p3&V{xZ0k~p$E1Chj0 z+=)ZH0f+b`BynW-??)0xcE4;H_HkfqByr?$_C*p$c7Gv~II{b@aELF!A-)Mo9NGQ% zk;IYR?*wuLG@OycKMqM8+5Kfm;vgn0zNUgWP;-#ozXFH&Ega(SafmZP&p`&|7i51K zAc-UUs{u(I*<Z7f#F72A8A%-3{DV+&SifTmw14yrDh_fFa{tHydj2v<9NFGzBynVW zi;={U?OlK*j_j}hNaD!mOM?uBhChf2ix)i*2PzH<T9|kck~ngFr6P$VyJr%TII?>_ zAc-Tpha04b0kVG<qy%QKGLks5`2k4cASO)x1`r2o4zl^Dk;IYBe~cuKY`y>}lAz{* zl)%g{KoUnbzZ*#$+5E*w;>hM-KoUnb-vD|pHhOySMiNIhKN(3J+58De;>hNIKoUoG zKR4*mBB+0n%~wVeM>anINgUby4M^h1=AT9qM>hX4k~p&Y0?>24LE(UGegTp=viaRe z;>hMNMiNIh{{oUYviSy}!{eagfNZ`uk~p&Y$w=bJ=1)KpM>hWhk~p&Y+|YB$LH<QH zUl~ap+57+`ab)v1Ac-TJe;P>~+5D$S;>h{>2a-6ly{e!?rl8@2Y;OaSII_L7k;IYh z-HarTZ2kizab)uypy#TC!Ux&>Xe4oD^NW$hk<DL#B#vx81L%+}sK1cSmqrptHs2UY z9NGK?BynW(4<Lynn|~Wg9NGNONaD!mD}Xk^LfwgMegl#?viY--#F5S4j3kb1{sSa& zWb++Bn{}b~BAXwLB#vx;F_Jj4`3sQ5k<Dj-p0|!3ztTwJ$mSa(i6fhzfFzD={sAO$ zWcS}j5=S=wGm<#6`3lhU-$Cv~HopN$9NGNYNaD!mZ$=VFHva*VII{T;&~xNL_9B}f zjU<k2ele0bviS>;#F5Qs039}mC4Qxm#F5Q6MiNIhKLJS`+57`Y;>hm5jU<k2{%0g{ zWb+k3hqgf7iEMrYk~p&YvysG+&EJe9j%@w|BynW(9YBY_K<z~~KN?9K+5BQ8ab)us zAc-TJ&j3BIA3c7hk;IYBH%1aiHa`JL9NGK>NaD!uzl|i0Z2o5?ab)uqp!WxW+=*;{ z1Clth`LmJ4k<H(XB#vzU10-=|^Bth~5P<AOHa{9k9NGM0BynW(7a)lvo6i8f&j8(g zX(VxE^No?jk<Cv)5=S=w0FpSe`)?zOBb)yjNgUaH1?c?>Aa^30-+&~JZ2oK{ab)v1 zBZ(uM{{TrG*?b4+Jq;jxk<E`r5=S<_7)c!2`~^tj$mTOZ?|VQuUm8gq*?eOpab)uo zki?PAKY%2T?Ec$G;>hNIMiNIhUjcgm1jwDp<~JaTBbz@PNgUby%}C<N=089ZM>gL9 zdXELjUS#v5k;IYBFGdnaHh%$<II{T+(EBjZ&6h?JM>gLWNgUby1SD}}^A8}2BfI}L zk~p&YpOM6o%~ycl&jE5LviS{2;>hODMiNIhe>0LeviT2?#F5Q+fL<H`vKQI>Xe4oD z^NW$hk<DL#B#vx81N6QSbn~T=#F5Q6MiNIhKLJS`+57`Y;>hm5jU<k2{%0g{Wb+lE z_m_a&iEMrYk~p&YvysG+&EJe9j%@w|BynW(ZK3z0fb2y!KLSY{+5B=OanKMutp7d* zNgOnF02AMUB#zt<I*db{We#E-57~SbBynW(osh(l%@4&P-hw2KZ2l4?ab)xNA&DcK ze-Vec^jz%jw?-02Ha`eS9NGK~9OC^*;>hk_k0g$4{#hh(Wb+^35a*wV-TnGV;>hNE zB8elLAA>`D8Im}%`;Q@sBb)yONgUby?>NNO=3{ri8<IG(`3Xqk$mSR05Z{X=j_m%s zNaD!m|3(r=HlJ?+!kx(Cwn*a0=Eow5Bb#52B#vxO7Y^|&NaD!me?byQHeYBVc6X}c z5D!5TM>f9%NgUbyJ|uBu_b<dD{u)Uf*?jIr2zMfzuZbj%Y`zT+@oXe<Wb?a`#F5Qk ziX@I~{tg`COwfC$K;<p6`ASIQ$mZK1i6fiuk3&2MNgTQSpMfNfT>d{m5=Sooe<O(_ zm;XG_`>{aoN1iv7M-oT2*9S=)+1>?6;>h;yMiNK1_cD?=viS_q`?o;uK{h`DNgUby zY9w)F^Cu&TBb$E!NgUaH1?c@=AbXL`w?-02Ha{3i9NGK^BynW(?<0vLoBtb09NBz6 z=)Gbf_aM(N7$AuwrynmI;;~5L$nNY$5=VCDBP4NTcm6{XM|P()^qw<xcUmKfBfHZN zhj;^$II=tYpyIH3q9xFIqAgHykiDQO0$BUxKax1I`=y~5w1CtjyI&7U966kWki?PQ zpNb@o?9NF@;>h9o2}vB;J$%sn-q78nh9r*c9#<rBWcNhi5YNUTz66K(b|i6Re?3JK zNA{Ni^j<oU`;q<SjU<j7&M`>h$mL8vk~p%xbCAT5?frlxj%+VC^gcY0dywr_MiNIh zKLAM_+58Pi;>hNoMiNIh|1pv{viSng`}RQYK{metNgUbyZX|JJ^A{tDBb$E#NgUaH zqjiXS6WM$}BynW((~!iG(_1T&IC6TMfkS*X4)G^A#J?knBm0XLdhZ}Czi)x&cWtOR zC_It#djXO-vVXgg#F70w2T2?`9j-?bM|RI0Byr?$Hh|uP2yzdyz1~RT$o3{9i6fgo z0ZAO${0~Ut$mVlH?^y)di)_9!k~p&Y0Z8J==5Ig}M>hX7k~p&YkCDWY%@=^);|OvO zviSu_;>hNABZ(uMzZgjz+58Jg;>hM3KraXb*^6wxH<CEA`N>G)$mUN#5=S=w1Clth z`P|TZC_(ljo3D%{j%<Dak~p&Y8<512%|DGKj%@y8BynW(1)%p_g4}~_egTp=viaRe z;>hODK@vyF7f9mB_TE7fN4D1hdcP*fJ;?TYBZ(v1n~WrmZ2kl!ab)v9Ac-TJ&ken& z6J#&4`N~M*$mRzii6fi80ZAO${L@I{$mTyr5=S;)0D2E7$UVsB7a)lvo8OHjj%@y7 zBynW(FCd8{n{NQU7!hPIviaUf;>hMFBZ(uMKLJS`+58Vk;>hN6L+>#K*^6wxGLks5 z`2k4c$mVZA5=S=wG?F;7`Hzvrk<Ax?-jfP)53>0MNaD!mcO!`-n?DCh93@{Mi6h&4 z2T2^+UIXa;tRVLw+v|-aj%;r-k~p&Y6OhD_&HsQTj%+?R^!`_ny~yS(BZ(uMAAlr| zZ2kr$ab)vPBZ(uM{}@Re*?a-$J+dJ8Ae&!+B#vx;H<CEA`HPXnk<Gt=B#vyp0rZ|) zkiE#}dn1V>o1cs%j%@w}BynW(KOl)Co6ils2Nz^7viZtL;>hL)Ac-TJzX3@c+5FQ; z;>hMdMiNIhUjTZ~F33H|<`*D|Bb(oiB#vzUVkB{7^DiKYBb#pky{{K!FS7aGNaD!m zCnJd?n?C_b9NGL2NaD!mb00>uhmp-!MiNIhKLAM_+5E>y;-IBBu>NN95rp~3;<ZTP zpd~6W^<7Bfprx-c@pVYzprubRaT(|ZyP$9bZ4rQp8zG5<w#>rBW0Ax`OOs&YwMgP9 z?m-eqcF$fUab$n#9YgpRwDbdJeln6cviob1#F6dohKj@5`Oxbg7$!l*LH-3Td4rk1 z21y*WG!G`e6G<G|{o=<F?nHJ^IS%pbIK<7N7t4a&gKW-p9OB<`h=-rV?w;*9#O0tD zAA{^g4(DJbab)-8B8el1b2U^PJ)E1M;vn;p!+8#pIC40zL=s01XZF+B{gsYG{5TGA z^)uMb2|yA@_E-KzgnG~x3Rrr$bO|92+R6hHue^*9NA~Z1ByrHzYMA<eIK=N>L70PV z?=K{A<Zu(cicpU%t_&3iU1tbdLIShb5-JXg7toSqn0PFbIC6QFiX;x&ngCN@jwFuk zuZ2kB$l;T64dG5?e^n!iBfGyJhxlfwIJ&=fL&eek^%O}Q*<Wvw#F72Qcpc$RWPfqr zK!_u|UkOPZ+5MI{#3P{M=<ZL3ile)~7fBr1{Zo;|k=?%%NgUbz*O0`K<K@6j?Ebog zB#!K_cR0j_Zb8gJ_m?bG9Nk}DNaD!;3PKV`_E$TSICA)>--g%=G9OvI5=k7{{k=HE zw?M_w-M<Ga4l*A(zq~{eM|S^5BynW-%R(=70GWea&S*o$(aTRGs5nSHa(Uu|B#!K_ zNF;IO^0V~;B7BhBCkK(lk<-H~BynW*Tn`cEAh&;lA3?;?-Jb^)2e}_PUdkV%s)t^m z$1n*hj;{W|6IAuEdOZ3WcJVT(IJ!CA&r#LG>|F*GM^}IM1*&?Oy+5Gh=;~cwLezuA zk;APEDh>;uBhc~2X;5)=^=qKw=<2US#X;(k<BRDP!d_%?T^!<;P;qqgCql*1&EJDV z`~#9Wa(a&ah;R>bydV995Jz@r-e-h3ayq|(B#vB;{lOt__!VIevb~{5;>h`>5Qlg@ zR2)<efR_Hj>bt2>aZvammjk<y#F5K^LrCJt<-koOab$lfe#h>9QzUU@_xs=wFMx`p zyT1Y|j_&@&NaD!uUyUS=?Ebw-;>hmT`H9{A-bmue?vKSG-U1azcYi-r9Nqo9ki?PQ ze+Wq&+5Oj$#F5?a`Ww6ZW01s=-Cux1d=gX~-Tkwm;^^)_fh3OX{tHOr$nIzTgWa7k zafmbgMW{!1zc>zYRj4?~{h%efu=MW+6-Re}36eOn`)iQIk=?%*NgTP{*bNnjl}oVl z_7GGYWG{00a0f{o*<UY_#F6VkQ6@;i3o-|}d=5ksM=qDDki?PI&q5MMt_L48L(E5a z|39cW$X?`fiJcWyJ*+-bf{LT74`4%84-22y?AXQGI3VKa=16lw#9{7)*=q(BM^~TA zg{mHAZwFKyUHug#apZ85;zl(GRu8H{#X;sEtG9uQ!`ul|pAHoVsYi~lNjSv!;t)Rx z6-PH;kq6>Vbn|_1h))(os7H4HMI>=#cm6^WM^-N-gfIs=U0o4@h{N0o3;*9xagaMf zM+m_3sizpKdRY7xK*iD3n@OOmhnb%M6-QTp2uU2-{ZFN_o5Lyt5eJ!roc=pxA>uH9 z!Q8nTDvqxHkUXk-m^)uX#nIJ!DnQhO#F5>Zi6o93&i0B3^~mv+g+qKc4)LkV*v(l3 z6^Hp3=KgC?agaNa<55f%yE(d0ahN%<a0`cuqnjh7j@=w(s5s0Vn0p>*K-8m)|AUHy z%tww#8!hbWozcW$?o5P=qnl%-4KW8KjvW56IK=yKi0{N9{tAb9s4m1_bpKXD#X;^t z_V08(RP``_%|jE1h39^#IJ!B72B_x1)K7(qqpQDYh^iiD?^mcey81d}RP`|PT}`oz zCqu>2%~@)OUHuv~ahQ8fLB-L{Sz-<`2PBRhzgKaHGgx3(Z-_%Y6^Hmt9O8d1A?BmU zyP_3D9OO>qcz3pjh{M#w{Og4#4h!dOs5rVgingfcz|^}!#nIKb+M%k4*}D=dj;`L! z0aZQBd<G}%;&M=NbaRrNv8&HS6NkB{9V(7)P9pT8I*>SWyidX*z88o1I~?Llt`K*k zn;(Wle2*JMJuDt!;qw404l)Nh-u2ue>Otbj?vKYI-i1TF)dOM<%wAZy^`MEv+_M%c z4zd^7J<OgEb3o$A?&<e}h{Mc>nX?%x4pNV74v#lPJxCnc93>x!ILsWFJKdn-Aoa-R z%tsPOHiyv<)f||6RH5SN=2RnzBiGkgki?PA{{<C?xf9ktWb=pE3o;+s99gJ1x_V6< z;t5c3kU7Zx6z*_@`N-jrgCq{x+6!xsaYrE3gSHgI#3PZ!k^S`yNgTPq;}eN62f5#x zi9>vQ6hb|+JMSZjBlnw_q7mwm#RZ_^p#C6eODN3!#!zuk_#lsm#2|?y_Xksu#F6`h zjY#6i{`v^L01IS3vin(MvAa_qhqxV79NqoiP;rp?$nLK~5=VA_6OuTx`==m@BfDQF z9^p=8_gf>0BZqSU4)JoRIJ)~Aq2lQ7-+&~J?EW1{;>hklk0g%l{_sTX?$1LKM|OV$ z4)OU=adh{ugo>lP{|1scvilz(i6guJ7m_%#`^%ECyT2Dn9NGQLafqLTile*#HdGwl z{ah&sdy(BQgd~paeibBfWcM#fMVNz}e)c1YBfI}14)G6Aadh|pf{LTNUo#D1FS7d$ zk;IYR?~Npm?Ed5F2y>9#e-B9<+5JCoh|6a{%t3d*CR7~V{Sipw$nH--5=VA_Ig&WC z`!8fd>;;*R?EW`M;>hm*heKR83t|qs`!%5AAoG#SpHL)mWcSA+i6guJB$7C?J2`S7 z_JYhu7MDj7M|Qs%4)H`Jab$P)A&Dcqa~hI3vOD)8i6hsir=jAodKuPExC9jkxgWV6 ze1jy8?Earf;>hEE#zly5KyD8dB8el{zq63Uk=5@)5=S04C@F=QkM922P;rp^k^A*8 z%TU$B+E)VQ5OH+%*D4_5=;kw2Ld4P4Cn1R=yT7#tq8{CxrBHE@ImqcmqYhO)%$?p) zadh=b4XEm2@z@O&M_2z6NgUaooQ)83K<bgh`96|3a(r<$A=D#_TjLNng&vd*G9OvJ z4^$jIe9EBWAoa-cxUChty=S4~Fmqtx_8lsYZqBB5?B*PXio?u-g=a%2L_NCrJg7Lx zeB^k%(}i9AV>EG?I~ltn>e0=)gd~m}Zhvuz>-8YaK~^7$L%a)z_}5;DIq3eC?1PA- zyVJZMA`Vjz^Oqf(I4nHlq2lP~2u?&b2d3T>Dvqwca1yF|n7vb>;^^w-pa&Ad+zB)P z=~Ps6VB*ZvAmZrexK4+NqnqP{CJu8?7E~PF9G4job3o$A@l}dLd;t#ei#WttXJR+s z5{LNQSrGN;{yGR12e}hDoj;z9svhRwS7_p}@Z_EYQIBrU!MPA|bbFsd#nIKP&PP=b zv)2<Uj;{XN0#x-d_bgb1U3?!@9Nioy=tf0!cXFbM!`!0|6-PIRaVf-JkT`O@8{rU- z#Ub8@L;Mg9@ozZ9W0phQgYK^es5r=-$nky-NgUbz|8a<Gt$>&hG6z|`>Pm<>%wAZy z>7a?j;>#B*4l)PXJ&TdVk<Hg%jcPv3oM5Opx;bl*#F5Q8v<B52m^+_A#nH{NUkgzW z5=S;?;W~&o%)c=A9EFO5)Fb;#dObuvNF2GoE<qAUHh&gW9A-Xjd}bL`9NnC~P;qqi zCvk}LY(TgNbfhb6T*3-T9J&1vgd~pa{xl?U<oy@zNaD!t+kHsl$m?TwZA7>Sc|G$# zByrG@hcI`lZ$hX?ZVyKziGz;*g{dz<5(izC0~4Q&B#!LPB}n3+BeY@a_aTXcj<|-2 z^KM4C2iaaFBynVWjiKVO_=2UMK&Ut<ev!>dLlQ?eryME{GY6JVW<te5<{*d98t8^i zn0lDKP1_Le2OTL2^VeG>apZUr*p5(-Y`z>+9Nl~ks5r<y$o&yZ9O7O$#AA`fk^3og zki?PG^C={8<n;UqNgO#ne?t;SPS4^y5dK9@CoxFk$o6(2i6h&)2uU2--fc+Y$o5`G z5=XXIW+%cu$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV`-Fdywr_LJ~)|*9=J< z+1_v@ab$b@ki?P84aeOGdy&)UM;zk9dlBl9`y;+c;>hOAL=s2duaUYBVGgqRTqJR1 zbM7FCqlDXjggGeTh9r&>Zb;%N;f5rR9By-v#F6big(Qw_?;|8}WP86Mi6h%9egNTq zWP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3FWW(cdyvZ|<wFQ@<npHsNgP@I<ipt2 z|3MN*_Lmm4;02X$$o_Id5=Zt|7?L=$zsixsk^Qv?NgUbUS4iT>_A(tsxF6YGF(h$h zd(Dx=k?pNP5=XXo6_Plzy@!y*k?p;PB#vzFcO-FSdu@&(+>dN;7Lqu!y-i5s$o5V{ z5=XXoJCZoEy?>Czk?qwwj&KjMy-rBt$o7UIi6h%vjwFt3?;a#^WP4vBi6h&~bOPZX zWP8Ps#F6baM-oT2w+2ZZ+1^!1;>h+MLJ~)|_ZpHovc2Dt#F6c_If-yTvb|YI;>h+k zA&Dc~I}J%3+1~9);>h;?K@vx{SL+nQJ;?StA&Dc~8-^r~Y;QS|II_Kaki?PgeT5{B zY%kMkgnN+f6+;q7w$~g<9NFF)BynVWS0RZb+j|H}9NFG$NaD!$en%2Vw%6ti!u`nh zW+90q+uMXBj%@EVBynVWw<C!o+xrJe9NAv2vk3Pf+v|iRj%;rjk~p%x<w)Yl_U=Iv zN4EDBk~p%xOy>~pLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@phBis8ONgUZ; zoAU_wBioyWB#vxv6OuTxz0;7yk?q}%B#vzFA0%;Pd$leg+=Fbd6OuTxy<te=$o7^a zi6h&)2T2^+-d9NC$o4W_M7RgpUNIzbWP8n##F6c-K@vx{cNLO2vb~3p#F6d2h9r(` z?{_3|WP5EcA>5B_Zx)g`vb{}6;>h++LlQ@}cRP|evb}$h#F6dQx{PoSvb|17;>h-f zA&Dc~TaF}-Z0{Z<ab$a6A&Dc~%X9_d9%OsPki?PgHAfOhwzmdJ9NFGgNaD!$9zqgF zw)Yy6II_Lpk;IYhwYiFLKeD}9NaD!$HX(^4+dB<O9NFIONaD!${y`E)wpZ&K!ad0L zIw6T8+Z%=?j%;r^k~p%xdyvGD?R|wLj%+W}b%cA6?G-~3N4D1-NgUbU8YFRKdsiWe zBinljNgUbUYe?eA_I^haN4D4I2EzTw_GTf8Biq}AB#vzFG$e6kd$%KrBis82NgUZ; zt(yq<AlvJNB#vxv7?L=$z2!*a$oB3*5=XZ86_Plzy-c?d?m@O!3`rc>UUMXIWP59n z#F6b?g(Qw_?;#{{WP7h6i6h(l9Z4M7UYpwp_aobzg(Qw_ZxfO@vc1!g#F6dYjwFt3 z?;j*_WP7#lAl!p&uM?6uvb|wQ;>h-vBZ(v1y9Y@e+1^)3;>h+g-9@+u*<LXuab$bV zk;IYhtw9n;ws#ehII_Kmki?Pgy@n)?Z0~m@ab$aK?jhWdY;P8lII_J>NaD!$PD2t$ zws$*{II_Keki?Pg)w+*x53;>ZNaD!$h9QX~+gpw#j%@E9BynVWUm=Mj+spI-;T~jr z#gN32?KMXdN4B>HNgUbURY>B<_8vkKN4EDGk~p%x-;u<T?X`J`a6huWSxDl@_BJ7j zBilO-NgUbU?MULt_WnT<N48h%5yCyl_BtVnBikE>B#vxvIg&WCy?c<vk?nnjB#vw^ z(_@5tknI&i5=XYz97!D6-WnuvWP4X3i6h&42uU2--fKwW$o76m5=XYz<_W_6$o6I- zi6h(Fgd~n^?=&QFWP7(Gi6h(l2T2^+UahAH_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{ z_Z5;jvb{{t5bi;?R}4uU*<N!bab$aIki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf*<PFH z2=^n~n}sBfY;O~iII_Ldki?Pg-Hs%VZ0{c=ab$b7ULf3qY_AiNII_KANaD!$mLrKH z+q(xz9NFGiNaD!$GQC8&2iaaRBynVW&5^{B?X5u)N49qrk~p%xhmgdP?Y)L1j%@FD zBynVWZC)YVk8E!ik~p%xO-SO%_D(|*N49r6k~p%xe~`qH?bUjXa1XM*PDtX&_J$#e zBimb!B#vzF9wc#OdtV`mBiqaL2H_rLd&Q8%k?l1{5=XYT21y**-c?BA$o3vW5=XZ8 z8j?7&z2A|<k?pm4i*P@(y;(@&$o4iNi6h%P4M`l?-t9=@$oBq05=XXI>m9;9$o4uR zi6h$^h9r(`Z#j}Uvb}qd#F6cNg(Qw_FVlO3dywrFLlQ@}*BnV4+1?r?ab$Z}A&Dc~ zdk9G!+1_hN;>h-XM-oT2*X9Gl{mAxaA&Dc~+k_;JZ0|HAab$b9BZ(v1`v*xJ*<P)W z2=^e{>x3kZY;PEnII_LvNaD!$?m-eqw)Yj1II_J=pAharwpR>E9NAuTBynVWYmmf| z?OlZ=j%@ECBynVWuOW#e+xs0!9NAu*&j|M;+na?Xj%;rek~p%x(~!iG?cI(fj%@EA zBynVWwZ0(SgKV!8k~p%xVMyZ0_Ld`wBip+NNgUbUS4iT>_A-4%xChx@F(h$hd(Dx= zk?pNP5=XXo6_Plzy@!y*k?p;PB#vzFcO-FSdu_fU+>dN;7Lqu!y-i5s$o5V{5=XXo zJCZoEy?>Czk?qy`j&KjMy-rBt$o7UIi6h%vjwFt3?;a#^WP4vBi6h&~^aJ4@WP8Ps z#F6baM-oT2w+2ZZ+1^!1;>h+MLJ~)|_ZpHovc2Dt#F6c_`H65pvb|YI;>h+kA&Dc~ zI}J%3+1~9);>h;?K@vx{SL+wTJ;?StA&Dc~8-^r~Y;QS|II_Kaki?PgeT5{BY%kMq zgnN+f6+;q7w$~g<9NFF)BynVWS0RZb+j|H}9NFG$NaD!$en%2Vw%6tl!u`nhW+90q z+uMXBj%@EVBynVWw<C!o+xrJe9NAv2zX<mr+v|iRj%;rjk~p%x<w)Yl_U=IvN4EDB zk~p%xO#cw>LAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@phBis8ONgUZ;oBs&+ zBioyWB#vxv6OuTxz0;7yk?q}%B#vzFA0%;Pd$kxqD^3|0FxFooi6h$^h9r(`Z#j}U zvb}qd#F6cNg(QybUnWL`dywrFLlQ@}*BnV4+1?r?ab$Z}A&Dc~dk9G!+1_hN;>h-X zM-oT2*M<q<eq?*Iki?PgZ9)=9ws#tmII_Llk;IYh{evWqY_AqG!ad0LIw6T8+Z%=? zj%;r^k~p%xdyvGD?R|wLj%+Uz3&K6f_KG2iBin0^B#vxv4U#yry{nMKk?lQ%B#vzF zH6(Fld%q)zBin1kif})&y;(@&$o4iNi6h%P4M`l?-t9=@$oBq05=XXIiw)r(WP6>E z#F6a{LlQ@}w;V|v+1@=!;>h;CLJ~)|mx&$W9%OsPki?PgHAfOhwzmdJ9NFGgNaD!$ z9zqgFw)Yy6II_Lpk;IYhwc$XxAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY+pEQi za1XM*PDtX&_J$#eBimb!B#vzF9wc#OdtV`mBiqZwg>VnDy<$k>$o85ei6h%vgCve@ z?<yp5WP1-Gi6h&44M`l?-tS1_$oATBBixT{Zx)g`vb{}6;>h++LlQ@}cRP|evb}$h z#F6dQ;z76v*<L3kab$bLki?PgEk_bZws#MbII_L3ki?PgW#UD+2iaaRBynVW&5^{B z?X5u)N49qrk~p%xhmgdP?Y)L1j%@FDBynVWZTJxGN47T$NgUbUCM0oWd#53ZBip+j zNgUbUKS<)p_G<AX+=Fbd6OuTxy<te=$o7^ai6h&)2T2^+-d9NC$o4V`Al!p&uNaaz zvc2X=;>h;aAc-T}y9!Ah+1^7);>h-1LlQ@}_dAj}vb{Ef2=^n~n}sBfY;O~iII_Ld zki?Pg-Hs%VZ0{c=ab$b7gb?mQw$}+s9NFG5BynVW%aO#9?cIYUj%@EMBynVWnS>GU zLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@phBis8ONgUZ;8xe&2k?qYw5=XYT z2}vB;-f2kU$o6hW5=XZ850W^ty;`CO_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{_Z5;j zvb{`V2=^e{D~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg{f;D#Y_E+t!u`nh zW+90q+uMXBj%@EVBynVWw<C!o+xrJe9NAti350u)?R7#DN47T%NgUbUawKtNd-ouT zBis85NgUZ;CP{>QknI&i5=XYz97!D6-WnuvWP4X3i6h&42uU2--fKwW$o76m5=XYz zMhfA6WP7ua#F6c7LJ~)|cN&s7vc21p#F6d&gCve@ua-2zJ;?StA&Dc~8-^r~Y;QS| zII_Kaki?PgeT5{BY%h}x!ad0LiXn+3+iQ*_j%;rYk~p%xtB}Nz?LCAfj%@EWBynVW zzaxnw+iN3>a6huWSxDl@_BJ7jBilO-NgUbU?MULt_WnT<N48f>4&feTd!3NPk?jpb z5=XYT97!D6-aSa-$o9TM5=XX|Ngm-IWP8Ps#F6baM-oT2w+2ZZ+1^!1;>h+MLJ~)| z_ZpHovc2Dt#F6c_Q9!sK+1@N9ab$a&ki?PgorWZiZ0~j?ab$b{Ac-T}tEGr=53;>Z zNaD!$h9QX~+gpw#j%@E9BynVWUm=Mj+smYca1XM*Vo2i1_L?J!BimbpB#vzFDkO1a zdk-OrBinloNgUbU??~dv_Sz^T+>dN;7Lqu!y-i5s$o5V{5=XXoJCZoEy?>Czk?qw| zLAVFmUMD1RWP8Jq#F6bSM-oT2cMp;{vc0d6#F6b~Qbo82*<LXuab$bVk;IYhtw9n; zws#ehII_Kmki?Pgy@n)?Z0~m@ab$aK)DZ4Rwl@n&9NFF`BynVWry+?W+q)e}9NAty zb%edh_xdFxi6fssP>UpvynnnKDh@J@m4Sf)cFx8ms5oez0(l?$0;o7lJ?vbXHBfPo zdgSx^b|Q%*pMxQ;0WtwH4}$E@avb7oki?P0`4*BmayWlN5=RbaHcf=R$l<JxB#s=; zIY{Ej_Rc~QN49qpk~p%x$B@L4?R}0Uj%=@v7Q&s#_C_IzBimbqB#vxv8<IG(y~~lr zk?nnhB#vyals3XW$o3i`i6h(Vh9r(`Z#t4Vvb}4N#F6d2g(Qw_?<XX2WP90k5bi{_ zR~<<l+1?x^ab$aEA&Dc~y9r4g+1_JF;>h+sM-oT2S4S7&PGozdki?PgEkY7UwzmyQ z9NFIGNaD!$zCjX4wpU6I;T~jrjgZ8V?R7&EN47T|NgUbUHAv#f_TEAgN4ED9k~p%x zZ2AayBHOEuB#vxv4w5*sy|a+Sk?q}tB#vzFF(h$hd!HkTBipNEfN&?Wy-`Tw$o3W? zi6h(Fh9r(`?{Xw@WP9Hri6h%9Wr%POvb{z~;>h;8A&Dc~n~o%oZ0{N*ab$aMA&Dc~ z`w2-L*<LmyggcS#RYwv>wl@b!9NFGkNaD!$ZbA}Aw)Ys4II_LZk;IYh)iFl66WQJ< zBynVWi;%>T?QKI6N49r4k~p%xw~)jW7#J8}=U)k$Al!p|o=+$a@i{oecOi)*oBt6> z9NBy`Q|$IeA&DcKGZ9G~*_>-Q#D5`)Bb#GrhTYyG9O651h~GjIM>b#39J~2pIK-=v z#F5R}h$N2e-%mKiEiJIy8-*l}Z2m+fab)wa;Sm3YB#vy3p(S>Ei*ShV#36nQNgUby z&q(57Na<F_3Sn<1lDGwucnXquD3Um``87!5$mYz(A-*4n_$wrFWb-+#5$;5GzbTS9 zvb}*w;>hl=MiNIhe+`m2vN@M<i2uMLE@y*qC$c#%IK;DXh)=*Fz72=?9US6Jw%FZc zj6)o9iy;GewGyZ=u}lsk0%C($Ak#qXSa}eSfq?@;!PUQls^>uySA(jD^;cl#7(m4p z(9}b@44^X%L2S5s$Z|4<86ZIhggc?fd@(?;?}oc)14ttS0|WFrS-AKEs5lGMJP;S; zF9T+X!O-i=;OZNo;x=gNA3(*S*NMQ@JAe#hU|@hA2MZUU0P5s1Ffc%u|HH)_*dX>o zqY*CtfE^+p0dgRce;uF!--0H-02=UT(8L>fA?8Rx-3yWiIZ=TRBA$XKz5yz}0ZrV2 zAEN#bn)m~#xCh8kBzG1-BYX;)ID;U>oCj#)6QJTc&~SpgQwXBI0!@4aRQw2<xPvf6 zy#O>^Vde;kK*VFv#2-M#51@$$h(px#K;r>sjsmo!9)TwQ0V=)(P5gi)#GDUk;uEAG z;x^Fugqfcp4H54^6E~27h+jby{{R(N09}B96#g4zA?icW#1o+Tv<FT605m_YKob{$ zX3!&O;t5dk2Wa9OpyGef#2FMJ?vdbv&@lf7K*dea#1}xtr=W=!C_(gpK@&GnhKR>- zLui=!A2cBR18Cw6#t?A@Xug4|cQAp7H=v0#m_o!Kpot%ViaS8_8O)ppGl=>HXyOj$ z5OD@*K7*-euz-jspot%ViXT7|Z?J@@SAga}m^lts5b*{yaRzIMI4r-y)E|I~J3#X* zOuWGcqJ9CIxPvW39F~t^>KW`H;t9}v3==;96+eI`-e3<=uK>-*F!c@&5b*{yaRx_- z_yaWY15j~TzK5AJ!3m;%0kk}Ti3d1C#2KLZ9wsi}0ufI@6TbizKY%7a!4;xjK@>v6 z%n5LV@Eg#?1>7Ox575LfK*b%zAo4JCCU`*j3(&*^JR#x?(DDhUUcd_?o`5EP0V;j~ zO?-kkL_MrLgP9ZH0}*e4mS-?=0bhvt12pjqP;m!nIR{fe!4IN-0h)M#KSZ1XTK>V* z3j{#K6VSvjK*bNBiBAZGs8@iNmoRezf*|4zXyO9F5b+0S;uoOe4$yKGX3m5Vi24O+ z;sK!$aRzAl3R5otYMe4KFeIRfUx11qKog%34lzdoS{}p935bA*H=v0NL_)+Lpow3A ziaS8dZ<sj~q9E!Qpos^7F5v-5LCa~FdI4yI3s$bf#4kX_51^@^5DPMdfk6RUPQ%m( zfI8C*3=9ou;sWsy^-s{m13-;&1_lNfXt@qIClR8437WV=5=5K@THeFd8ze)-Q_#de zK*f)siEjXP4j331R8%1PVCEF0LijCc;s$9D@h52FAE4qcst|dYIUCX;{3U4O1sM== z7BvVBQ*Qw3G%zqQWT1&301ZMgFfg1z6K?<=2G792prMXxjzbQF-+?C1kP8uifhK+c zD((TTCt>bs$b+a~fhO(%Iux0Kfq_F4A`er~06NSb<bE{q15oi3XyOf^L(@U-*FrVN z0d#0O0|P?`nm7aKvLjG?9!>lJRNO-w)tm#MK_CVOh81Yy4?vS4AouH_s%I#N@N>|_ z9YBLj3=9ls(8L))mo+gkFzDz)^ufa6093pOO?(4r@QHze;SHL2K{Z6bj~;}EnPX4` z;jcjx{{R)|(TB*x)NcSy{xC2w<e-TcfF_YZ?ne_h03G5Ea=!tpIUk_nJ!s+^K$Arv z_oImyfDX52U|{euL^a0&G$_Krz_12QoB?!5KLY~;j}fZ+15oiCH1P(|q#4NlXyOj7 z5dAvPb{s4m7}_A>J!s+wpyF@P#2Y}9cp&#f+kG%|96*;zg4~ZL&H%c666AhpyAP)R z08~5&O}wEC;+`{T;tt&qaUEzo5oQiU4@A5NP5b~<{0*9TLoY<V542qgGsgjRnIy>l zXyOe05cNFJb|p;x0jPKmns~zmi25^V;tmrb;yTcFD9jv&Nf7ZKH1Pva@i%DV4U-}2 zeW2}Dm^ltpAmVG##2KbS#Cf3YR+#z&Q1Kiz@rG#-^=Ht;9i~IXb)fBBm^rWkh8{HW z1JHqoH)!GwGa=^qK-<MIa~x(t#MhvSGt7pF3qae&F!c)-L&OWv#1oc4#4n(UD=dYG z8$jF9FmoP2#V4SNFIWaq{{dZmIYc}J+U|y#b6^ETd<&ZRhLsR;323_;rvAe!h<F8> z_=eRG@f&F31#2MU7SMJ&%p8NY5b+sk;vb;mf6&AY)<e|CK-={&b3Q=D_n?Vy*Z@&4 z18vvC)E8`oh}WQr8*GAz-$4`q02Q}^_5)z%Y}gD@KL<^`U<*Y251P2aR)}~EwBG<T z=L1xH51ROfZ4mV`(0&6<eZh8!cnzAk!48P{9W?O|P;nb*KLcjYhMf@gbI`;Kc0t7d zpotsohKR>N`z0`QK0w9ypowqT15qyn?U%sR7wm<I*Pw|T?1PBkK@<M~6}N%*V_@cN z*bh-Z2Ti=-07U!`nz+G1h<FUN-vcw}15|tun)rr85cM*?5E`bw;4p-5f+qd}D(-_O zUT_4WJ_AjB0#v*PP5b~<d=8p;!BL1gJJ7@@K*i6XiC=(<zd;i(I0i9?1KMwc`Rf2w zTn0^C;W$LS0h)LNRNMtk`~g%v0!`fE1jL*iH1P#c@dh+;hLaHWQ_#c{pyDgg#1BBl zPoRk>oPwD11WkMcRQv~;xWH+MdLC&16&5}PP;mt`@e5FK6EtyyGZ1rH(8LAqK*SfI zi6=nCKcI<Ucm+}K0PP>c+_T^>L_7vf`~y^c4VriY4`g852il*8nd1PxpL-6P_y*`b zpgYjS8FC@!L_quBFmoP2@0Z$vCcXfA&(j$+@e5G#H|XNfd!87e{dJi60?_-GY|z9T zp!XY9pot%V-dEIvCe8r8*JlfwcmY&g1Ueo7v)2H6?@kGt_y?%?6*Tb;(0g%ApyLNH za|)pM)_9<aFMx_Cpos@S@2#mo6KB{13I8o<;tA0EX-=StFMx_aK@%^4-b3>PO?(4X zJOVnN0e3(2-j^L{;s(%(842h(2Tc73sJIK7cmnjEl>#*J3()&iE})4|fZl6j039!Z znI8bXFJuCmxB&Ftjt^+!7og$+&~X%)ITN7wYHUCg4}jiRApjj;fvFdO-WySXCVl}b zegRE<0`y)7gJ?*8g_#ooy)R(`nz#V;-hvNk;uoOe0nl+8m^l-m_X=!46Ayr%*DnAa zzk#V2fS#LQfF^zcDt-Y?d;;`bdjsfr56qkZ=y~xI(8L9x=eB=96Tbiz4}gvj!OWQe zJy(4Lns@;8ymA5PxDiaf0QB7O0yOapQ1J_B;uE0fdK*B;nPBDwK+nsbfF>>gJ-7M; zn)n5%cmQ-<3TDm(=(*Ax(8L3v=QRsJ$E9HE1)%397odq>fQny06Q2M**Vq6$js-I( z0D4~V1T=90=()Wg(8Mo5#RH(@UNCbeK+o0PfF>RQJ+D>(I_?EiF91C^wg6510#y70 zn)n3hxvmD#aWa@W0nqbOC!mQ7K+i4xfF^zcDjonGSA&@|0eY_J1~l;i=y{z2(D62y zdI9LUnFVO#7og%7(8MP|&$Tpwj?cl&34op#IRQ;v0D5lY2Q={uQ1Jlhcpl803D9#D zH=v0JK+h`_fR6LQ)C)k*4J<$tzW^1#fF?cxdaj=Vbo>uyP5|`0ya{OH0?>);4`|{S zpyC10@j{q66QJkHZ9o$bfS%VT03An!sTY8rn^u4(egP_e0Zn`Y^jtFo==dVcoB-%~ zVH42A1)%44eLxex02L2_jz_}GnE*XkYXh2i0Q9^n0q8g-OuYc~+^7OH@e5G#3uxjK zpyxUnK*uj(<^({`OPYWtE&x5Z=mVPg1*mucbi5O0&IIVWLL1P;1EA;i2tda{Vd@2- z=jIfkiC=(<UqBO|0A8uUz+eEngc51qIRJWI%mg%X0qD6cAJD`vK*a-~<F7FHOn{!N zvH?vz0D4}D0CZdyrd|MgZb$)|_ywr=1vK#q&~rTupyRbLa{{2}WlTU57l58y@c~Wz z0#rNzI=%}tX9DzG3D~$VOgsR3UV{L1+!rP;zzt$CFu=xxK|IhBi3?Ei3ux*mK+iRR zjT6Jv2SCpYm;fCohKUP6_wB>Rk742$pyC10@ne|y1n9nc*tjxGJOH|1T>v_+3=<cC z?i+`VH^am)K*cYhsh<Gd*9{wohN%yL?w6hb9fyXA3qbcR!^Wp!;uoOe0nqVjnD_+f zzGB$8HB3AJx?fiSI&KXU7l7`Yg^g#!#4kX_FQBQP0NvMW03GLssSkke7oC76E&$!P z`2kJ*0#rNzI{pnaX99Fz<pwnI0O)>20qD3mOuYbf-(Ufn_ywr=1vK#q(0zRd&~bE_ zIRVi9aud+R1)%%ZKA?$TfQkn|$Jb%zOn~ky+khq>0Nt-803COSsTY9mn<_vPzW^1# zfF?cxy06IqI!+HWCjh!%XabtJ0CYdk2Q={uP;mk1_&v;=0_gr39W?O+P;mz|aRunU zmk>1Z2B`QJG;s&${u2@C`~b{e2IxMJ5;XAxQ1L5h;tkOK7$(s91eiGv(ESfn(8L*_ z`w+gMi64N9hd}2UVCFPH_XBJ}6L)~FzZZedKfu&8K-a;Spot%VieEt!Z-A~xH-XMq zz|3)guK%8bCe8p|hy4Xj`~Xy306LEWGiL#G{j?66xC3;3vj>{^1E_ckn)m_edgTT* z@dW64;yGyI4$$?!JJ7@*K*g`1i64Nj)BS@c?f_jEs{x&df%)qKR6GDpJOR2sl_4`b zGrl-EBQ>QoCpEsHC_g#1xH!I`C^Nq(v!oI%Tb7fb9-ow15}%x3fFx2}Qk0sPUXlS3 z&P~ltMwW%Ck2i>~fS8hzl7q0Qq$n}3I5D{-Ge0lBI5RyjF(<w_C%>FQuP8MqQLiMm zq6DG|WHsD%STv<27DH8njL*zVEh@=O%!w~b%}Py%N`Q<=&d*CuMB=Aq=4BRVz`P5# z3T_KD48Ve5ucxP$fK|pP=jWBg7nc;3CYQt)loTOUl;$NCRmSH-ML;e|ElUNdh4`!> zu_!S&wImfuJ}0rbB)%*$r!*DjeKbWNSHqoz?D3?W{N(J+y!808M5uWnr4SFs7bhp? zz=T20NX|%2&W<li%u7#=&rgfb%u7kFh|e!z0L4~r0m1{YxJ*VCOwKI;IWgWZILN;& zwJ0YMNdrhO)FmJ_B|R0Zd`4nkN=|BgT7FS-YJ5>@PHJLtYJ6f|N_<LDW?5=cd{Js~ zeoiSU`Vo%E%qz>!PK{41%>$<khy=(N1x5J<iRp<Ysqshw0g(pzoq%*oYEEhi!q|9_ zHOYx!t4s0=a&pRY5k?iKmc*B26s0Dnc&30HA77eRl$e*24^fhtmkCN;nN>)pB`4<O zfc2+T<|XE4CL=pBzAPgJA_-1MSfev9KQA60rI4J!pqH0llB(zK7phxal9-$gHXdYD zJS0_ttW1K7gF*m{cyduHD8Q0H2`v>K?67!-7zxr^no<y-n^=;Zk(rl{5XI_Li0k4( zSuQ>=KfWk69YiIjldcb8QA%oJG00qyX$VoM<DpVeswfr7qVmMd5^#C~#aLcy1t?!8 zmZTz#NGeV9OfztYi4~XRmc-}h<z(iiLd%%cjQBKAwghW|`xlz|;RRrPZfQ<QW<d^; z$yk#c7ImOv0~ETTJOZ`^9EC-h#h}cK5Clg8xco@WOJUF}E-5NWOk&V0uFM6~;PS92 zKP9mwks-ArHMz7THQtf|DIdgJqH>MU_!gFMeTK#sXll{;hG=|qgkB?ab2PnZd_#1; z8LE0yRIVW!-vm|K7>#daf^dqVi7}dDG`^8BLa`C5QbRPpu_2l~8sFFeVU(ePC4(L$ zD)f?zODw>VnwyxDlb>t>4`U0|xIyI_T3DhA7@4987@`Xpp({Waus}7@&=Ot13|+t& zO~43^Z-~Y>NBGXj+#Jn13;`1~6-H=$Lv+4{8Jdx%=mJLQ0%&|wGy^Qr1<cR|jL`&) z(D;Vvd~*|2JB&=x1Po2k1dP%6MreFP12h3+R2vK}&;*Rk&;$%kjS(?pXo4ndjK()Y z;~RnmU?qwXn%$P@0%qs}#^?g*d_!~rb3-&sOwa_3(fEewd<z34|C$@18E1+tYl0?g zj3jA}nnh8$MwY023skNV8s89&Z*C4R35?9m(e$G64bk~#sOn8oxrS(bV-r+)BNK$( zh9<_SlE%geNh1W)(A)@Bogo_E*bq(L5LvSU634^<Ri!bKq#0_6qjC++Eztx_&;*Ro z_!emDEzksvOwj}k(FKgq6<`RUE3h;-LylQA7nqr&2^gabVDiy)n3$mnpz%%7q%F_| zOwk35&;`)=CTJ#Fq6?UrApC4-f+lN>#y3P4Fh(=p99_V~7}<DqSp#$d3nL`u=0>Qd z8=0XA7@DFB7-0yY8ewQ@h$di$CSZ)lH^dM?S73-PU~Yiy2?I0>O^{_l9OQPMF|s6@ zP9p;(NmJC^hRQXvMCDtca*fdVhG=|qH05Y~Lv+3ws(MpYt}z<l5RGqQ3eR(fCMIZl z(fCGad}Cz2$Q*McRD%rB_{N4vI?WAG6&o8MNg}aL7|L?u3*s%!4b038jg#YxOOxVF z7>Y}i3X1a6<8$N9Ez(R=jgt}+lg%v*43iV%jZBjgEe%Z!;!RLv%LMLYOH>&{OLPG< zbOB>D0V6cN1)7QG=mI8a0!C<jLkzw-s*y&fXaa^90!HX6(D{~TsAd|Pp$izJ2^gXA z4bk|fXa<_22^g873z(t_7@D977^CqG(fI~w0w!o~us|0uH9<tZ2@=~7#6c+s&~zH1 z@eR=hj1dMKqq)KoUBC=Uv7rgNtTBcF!e}Ejqs`F;Owa|;`4)y~Mwp@r7^Crx(D;Uy z2B;<&nV|_7q6-+KD*y?=TE)f;nW?F%plqFJo|<NuoCMC+#z@&ZG1(w3(ZDFp#L_a! z(l|9WJ}EiL7$g>NjGC=cxki?#d<$cEt~WAA;+R;Vsxw048=~{gQPmroq4G^pxkhMw zLo~h#!dWIrY(o$SHASMCi=@~XVTPeOGRMRiRg)o-q!Fr=F&f{{2w|v^i6NR|G`<lU z-`D_Ey`ce;VOS&Fh^PoRLXB`#uAzk`s(_Ixnt&m?fDyU^3;{F+7N|BDT3Q$(rz$jA zGj!#~XaYuPd_y$8Il^>vG_%do1x(Nd(D@c-XhxW#2^gdC4UNzR%n<G|G(eLyMKj$J zUBC=Yz!;5hh%R7+t^kd1f@Y(+3Bq|M=#n4-Seau8;-F?ORHKa0bsHcVZH%hc(83sD zt)V%ZtdSX-fT1b6fDyU?l6gjGc3Gken4t+6qwx(f1ke>2q6?TCBK%`yVu<DfbOB>D z1xDz612h3c3j;JGP0<95(fEc2M&MyU@YpVV6d5`GK%?7<IgnBF;?xq*z`a3yab{Jj zAyTnomTGBkl5A*TkZJ^~Qqtm+lafr*49t?_4Y3wUhNy*&Av~j7BA6zYsH%+6_=aeF z3xrl9a|<-RXnaF-zB#ITGgPi28s8LE+8B**gvK{PxXjSR1Whj*-v~*uF_J!WV^n>H zNRmdVQpRX}Ln9<}5KJQzLo|Kpd;?T@Lj#0n5F0e}ihE)RJ}Fd|SQHOSIFPgknliwb z)<83<@hK?=2-T4JzIX$yiOGOL&&N5y)6gg$#xjUEKuut%Ttjn9GyxM!19%cNG)9v( zLgO2v@h#8{v#>xIW@L^gYh;QhV2CbYgsuQX09}ElIhqU1&;^Xq1<?3rXa<<02^g88 z2^gB73!w2$(M+^J7cfN=Fh=7Wq45pT1xyg3Y=UN)CAxqal43&>G+ARbz9G7R5t43W zG^@;w5za6KagfVSLlbo6=zIfo0ShBk3yjRr1Po2l1dP%6hDJy(LN(0L(hyC+3{Aio zjc*7NfY<HllI8}e#u=HS2^gB72^gdC4GoYTi)NaE0dx~KWa|J~WIrnd1N0~-6#XZp zL8}fK7#={+X@E&Wc9+0-3=E*{xgas<u}N?V=yp*CbLcr^FbU`}GB7T98#2TuxDc4} z;2&s(3j>41XE2umbj%M(1bXZd{G4Qcb_NEJ{m|oJAc`3n7%HIlqT2`452Kf`LiB^o zhHGYEV3+{a58d7XmqIshJ}cx5Ww-(;<Htuxs6v;Ug53`~q6%g&^!OBpDa;W2q1xb7 zf<3y6AW|T|LRb*;2Mbt#0lI3K{toE6Ac$(jd2KNMXBO=4FZcv;e+2Zr22l8e+z!GZ hy)gGrXJvq{00xO7@Qd>h7yW>qTL5x5D2<@&2LND@H5dQ@ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..f0349f0 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,571 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_2(char*, char *); +IKI_DLLESPEC extern void execute_3(char*, char *); +IKI_DLLESPEC extern void execute_4(char*, char *); +IKI_DLLESPEC extern void execute_5(char*, char *); +IKI_DLLESPEC extern void execute_6(char*, char *); +IKI_DLLESPEC extern void execute_7(char*, char *); +IKI_DLLESPEC extern void execute_8(char*, char *); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_31(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_2776(char*, char *); +IKI_DLLESPEC extern void execute_2777(char*, char *); +IKI_DLLESPEC extern void execute_2778(char*, char *); +IKI_DLLESPEC extern void execute_2779(char*, char *); +IKI_DLLESPEC extern void execute_2780(char*, char *); +IKI_DLLESPEC extern void execute_2781(char*, char *); +IKI_DLLESPEC extern void execute_2782(char*, char *); +IKI_DLLESPEC extern void execute_2783(char*, char *); +IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_1389(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_1390(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_1406(char*, char *); +IKI_DLLESPEC extern void execute_1407(char*, char *); +IKI_DLLESPEC extern void execute_1408(char*, char *); +IKI_DLLESPEC extern void execute_91(char*, char *); +IKI_DLLESPEC extern void execute_1434(char*, char *); +IKI_DLLESPEC extern void execute_1435(char*, char *); +IKI_DLLESPEC extern void execute_1436(char*, char *); +IKI_DLLESPEC extern void execute_1437(char*, char *); +IKI_DLLESPEC extern void execute_1438(char*, char *); +IKI_DLLESPEC extern void execute_1439(char*, char *); +IKI_DLLESPEC extern void execute_1440(char*, char *); +IKI_DLLESPEC extern void execute_1441(char*, char *); +IKI_DLLESPEC extern void execute_1433(char*, char *); +IKI_DLLESPEC extern void execute_94(char*, char *); +IKI_DLLESPEC extern void execute_1443(char*, char *); +IKI_DLLESPEC extern void execute_1444(char*, char *); +IKI_DLLESPEC extern void execute_1445(char*, char *); +IKI_DLLESPEC extern void execute_1446(char*, char *); +IKI_DLLESPEC extern void execute_1442(char*, char *); +IKI_DLLESPEC extern void execute_100(char*, char *); +IKI_DLLESPEC extern void execute_101(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_105(char*, char *); +IKI_DLLESPEC extern void execute_106(char*, char *); +IKI_DLLESPEC extern void execute_109(char*, char *); +IKI_DLLESPEC extern void execute_110(char*, char *); +IKI_DLLESPEC extern void execute_442(char*, char *); +IKI_DLLESPEC extern void execute_443(char*, char *); +IKI_DLLESPEC extern void execute_444(char*, char *); +IKI_DLLESPEC extern void execute_1705(char*, char *); +IKI_DLLESPEC extern void execute_1706(char*, char *); +IKI_DLLESPEC extern void execute_1707(char*, char *); +IKI_DLLESPEC extern void execute_1708(char*, char *); +IKI_DLLESPEC extern void execute_1725(char*, char *); +IKI_DLLESPEC extern void execute_1726(char*, char *); +IKI_DLLESPEC extern void execute_1727(char*, char *); +IKI_DLLESPEC extern void execute_1730(char*, char *); +IKI_DLLESPEC extern void execute_1731(char*, char *); +IKI_DLLESPEC extern void execute_1732(char*, char *); +IKI_DLLESPEC extern void execute_1733(char*, char *); +IKI_DLLESPEC extern void execute_483(char*, char *); +IKI_DLLESPEC extern void execute_491(char*, char *); +IKI_DLLESPEC extern void execute_1062(char*, char *); +IKI_DLLESPEC extern void execute_2412(char*, char *); +IKI_DLLESPEC extern void execute_2413(char*, char *); +IKI_DLLESPEC extern void execute_2411(char*, char *); +IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_80(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_81(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_82(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_89(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_90(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_91(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_92(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_93(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_94(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_95(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_96(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_97(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_98(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_99(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_100(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_101(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_102(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_117(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_118(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_119(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_120(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_121(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_122(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_123(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_124(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_125(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_126(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_127(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_128(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_129(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_130(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_131(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_132(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_133(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_134(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_152(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_153(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_154(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_155(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_156(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_157(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_158(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_159(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_160(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_161(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_162(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_163(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_164(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_165(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_166(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_167(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_168(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_169(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_170(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_171(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_172(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_173(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_174(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_175(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_176(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_178(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_179(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_180(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_181(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_182(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_184(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_185(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_186(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_187(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_188(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_190(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_191(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_213(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_214(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_238(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_239(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_240(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_241(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_242(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_243(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_244(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_245(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_247(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_248(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_249(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_250(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_251(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_252(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_253(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_258(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_286(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_287(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_292(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_293(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_297(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_299(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_300(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_301(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_304(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_305(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_307(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_308(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_310(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_312(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_314(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_316(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_317(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_318(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_319(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_322(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_337(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_338(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_339(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_340(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_341(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_342(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_343(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_344(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_345(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_346(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_347(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_348(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_349(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_351(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_352(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_354(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_355(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_362(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1030(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1036(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1042(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1122(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1128(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1226(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1232(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1238(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1244(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1250(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1256(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1262(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1268(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1274(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1280(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1286(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1292(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1298(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1304(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1310(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1316(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1322(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1328(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1334(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1340(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1346(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1352(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1358(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1364(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1370(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1376(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1382(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1388(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1394(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1406(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1412(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1418(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1424(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1430(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1436(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1442(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1448(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1454(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1460(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1466(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1472(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1478(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1484(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1490(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1496(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1502(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1508(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1514(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1520(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1526(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1532(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1538(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1544(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1550(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1556(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1562(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1568(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1580(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1586(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1592(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1598(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1604(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1610(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1616(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1622(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1628(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1634(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1640(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1646(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1652(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1658(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1664(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1670(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1676(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1682(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1688(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1694(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1700(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1706(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1712(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1718(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1724(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1730(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1736(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1742(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1748(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1754(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1760(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1766(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1772(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1778(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1784(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1790(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1796(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1826(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1832(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1838(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1844(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1850(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1856(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1862(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1868(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1874(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1880(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1886(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1904(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1910(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1916(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1922(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1928(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1934(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1940(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1946(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1952(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1958(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1964(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1970(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1976(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1982(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1988(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1994(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2000(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2006(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2012(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2500(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2548(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2554(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2560(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2574(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2580(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2586(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2592(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2598(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2604(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2620(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2626(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2632(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2638(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2654(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[438] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_2776, (funcp)execute_2777, (funcp)execute_2778, (funcp)execute_2779, (funcp)execute_2780, (funcp)execute_2781, (funcp)execute_2782, (funcp)execute_2783, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1389, (funcp)execute_40, (funcp)execute_1390, (funcp)execute_72, (funcp)execute_1406, (funcp)execute_1407, (funcp)execute_1408, (funcp)execute_91, (funcp)execute_1434, (funcp)execute_1435, (funcp)execute_1436, (funcp)execute_1437, (funcp)execute_1438, (funcp)execute_1439, (funcp)execute_1440, (funcp)execute_1441, (funcp)execute_1433, (funcp)execute_94, (funcp)execute_1443, (funcp)execute_1444, (funcp)execute_1445, (funcp)execute_1446, (funcp)execute_1442, (funcp)execute_100, (funcp)execute_101, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_105, (funcp)execute_106, (funcp)execute_109, (funcp)execute_110, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_1705, (funcp)execute_1706, (funcp)execute_1707, (funcp)execute_1708, (funcp)execute_1725, (funcp)execute_1726, (funcp)execute_1727, (funcp)execute_1730, (funcp)execute_1731, (funcp)execute_1732, (funcp)execute_1733, (funcp)execute_483, (funcp)execute_491, (funcp)execute_1062, (funcp)execute_2412, (funcp)execute_2413, (funcp)execute_2411, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_72, (funcp)transaction_75, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_80, (funcp)transaction_81, (funcp)transaction_82, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_89, (funcp)transaction_90, (funcp)transaction_91, (funcp)transaction_92, (funcp)transaction_93, (funcp)transaction_94, (funcp)transaction_95, (funcp)transaction_96, (funcp)transaction_97, (funcp)transaction_98, (funcp)transaction_99, (funcp)transaction_100, (funcp)transaction_101, (funcp)transaction_102, (funcp)transaction_103, (funcp)transaction_116, (funcp)transaction_117, (funcp)transaction_118, (funcp)transaction_119, (funcp)transaction_120, (funcp)transaction_121, (funcp)transaction_122, (funcp)transaction_123, (funcp)transaction_124, (funcp)transaction_125, (funcp)transaction_126, (funcp)transaction_127, (funcp)transaction_128, (funcp)transaction_129, (funcp)transaction_130, (funcp)transaction_131, (funcp)transaction_132, (funcp)transaction_133, (funcp)transaction_134, (funcp)transaction_152, (funcp)transaction_153, (funcp)transaction_154, (funcp)transaction_155, (funcp)transaction_156, (funcp)transaction_157, (funcp)transaction_158, (funcp)transaction_159, (funcp)transaction_160, (funcp)transaction_161, (funcp)transaction_162, (funcp)transaction_163, (funcp)transaction_164, (funcp)transaction_165, (funcp)transaction_166, (funcp)transaction_167, (funcp)transaction_168, (funcp)transaction_169, (funcp)transaction_170, (funcp)transaction_171, (funcp)transaction_172, (funcp)transaction_173, (funcp)transaction_174, (funcp)transaction_175, (funcp)transaction_176, (funcp)transaction_177, (funcp)transaction_178, (funcp)transaction_179, (funcp)transaction_180, (funcp)transaction_181, (funcp)transaction_182, (funcp)transaction_183, (funcp)transaction_184, (funcp)transaction_185, (funcp)transaction_186, (funcp)transaction_187, (funcp)transaction_188, (funcp)transaction_189, (funcp)transaction_190, (funcp)transaction_191, (funcp)transaction_192, (funcp)transaction_212, (funcp)transaction_213, (funcp)transaction_214, (funcp)transaction_238, (funcp)transaction_239, (funcp)transaction_240, (funcp)transaction_241, (funcp)transaction_242, (funcp)transaction_243, (funcp)transaction_244, (funcp)transaction_245, (funcp)transaction_247, (funcp)transaction_248, (funcp)transaction_249, (funcp)transaction_250, (funcp)transaction_251, (funcp)transaction_252, (funcp)transaction_253, (funcp)transaction_258, (funcp)transaction_264, (funcp)transaction_275, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_286, (funcp)transaction_287, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_292, (funcp)transaction_293, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_296, (funcp)transaction_297, (funcp)transaction_298, (funcp)transaction_299, (funcp)transaction_300, (funcp)transaction_301, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_304, (funcp)transaction_305, (funcp)transaction_306, (funcp)transaction_307, (funcp)transaction_308, (funcp)transaction_309, (funcp)transaction_310, (funcp)transaction_311, (funcp)transaction_312, (funcp)transaction_313, (funcp)transaction_314, (funcp)transaction_315, (funcp)transaction_316, (funcp)transaction_317, (funcp)transaction_318, (funcp)transaction_319, (funcp)transaction_320, (funcp)transaction_321, (funcp)transaction_322, (funcp)transaction_323, (funcp)transaction_337, (funcp)transaction_338, (funcp)transaction_339, (funcp)transaction_340, (funcp)transaction_341, (funcp)transaction_342, (funcp)transaction_343, (funcp)transaction_344, (funcp)transaction_345, (funcp)transaction_346, (funcp)transaction_347, (funcp)transaction_348, (funcp)transaction_349, (funcp)transaction_350, (funcp)transaction_351, (funcp)transaction_352, (funcp)transaction_354, (funcp)transaction_355, (funcp)transaction_362, (funcp)transaction_1030, (funcp)transaction_1036, (funcp)transaction_1042, (funcp)transaction_1048, (funcp)transaction_1054, (funcp)transaction_1116, (funcp)transaction_1122, (funcp)transaction_1128, (funcp)transaction_1226, (funcp)transaction_1232, (funcp)transaction_1238, (funcp)transaction_1244, (funcp)transaction_1250, (funcp)transaction_1256, (funcp)transaction_1262, (funcp)transaction_1268, (funcp)transaction_1274, (funcp)transaction_1280, (funcp)transaction_1286, (funcp)transaction_1292, (funcp)transaction_1298, (funcp)transaction_1304, (funcp)transaction_1310, (funcp)transaction_1316, (funcp)transaction_1322, (funcp)transaction_1328, (funcp)transaction_1334, (funcp)transaction_1340, (funcp)transaction_1346, (funcp)transaction_1352, (funcp)transaction_1358, (funcp)transaction_1364, (funcp)transaction_1370, (funcp)transaction_1376, (funcp)transaction_1382, (funcp)transaction_1388, (funcp)transaction_1394, (funcp)transaction_1400, (funcp)transaction_1406, (funcp)transaction_1412, (funcp)transaction_1418, (funcp)transaction_1424, (funcp)transaction_1430, (funcp)transaction_1436, (funcp)transaction_1442, (funcp)transaction_1448, (funcp)transaction_1454, (funcp)transaction_1460, (funcp)transaction_1466, (funcp)transaction_1472, (funcp)transaction_1478, (funcp)transaction_1484, (funcp)transaction_1490, (funcp)transaction_1496, (funcp)transaction_1502, (funcp)transaction_1508, (funcp)transaction_1514, (funcp)transaction_1520, (funcp)transaction_1526, (funcp)transaction_1532, (funcp)transaction_1538, (funcp)transaction_1544, (funcp)transaction_1550, (funcp)transaction_1556, (funcp)transaction_1562, (funcp)transaction_1568, (funcp)transaction_1574, (funcp)transaction_1580, (funcp)transaction_1586, (funcp)transaction_1592, (funcp)transaction_1598, (funcp)transaction_1604, (funcp)transaction_1610, (funcp)transaction_1616, (funcp)transaction_1622, (funcp)transaction_1628, (funcp)transaction_1634, (funcp)transaction_1640, (funcp)transaction_1646, (funcp)transaction_1652, (funcp)transaction_1658, (funcp)transaction_1664, (funcp)transaction_1670, (funcp)transaction_1676, (funcp)transaction_1682, (funcp)transaction_1688, (funcp)transaction_1694, (funcp)transaction_1700, (funcp)transaction_1706, (funcp)transaction_1712, (funcp)transaction_1718, (funcp)transaction_1724, (funcp)transaction_1730, (funcp)transaction_1736, (funcp)transaction_1742, (funcp)transaction_1748, (funcp)transaction_1754, (funcp)transaction_1760, (funcp)transaction_1766, (funcp)transaction_1772, (funcp)transaction_1778, (funcp)transaction_1784, (funcp)transaction_1790, (funcp)transaction_1796, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1826, (funcp)transaction_1832, (funcp)transaction_1838, (funcp)transaction_1844, (funcp)transaction_1850, (funcp)transaction_1856, (funcp)transaction_1862, (funcp)transaction_1868, (funcp)transaction_1874, (funcp)transaction_1880, (funcp)transaction_1886, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1904, (funcp)transaction_1910, (funcp)transaction_1916, (funcp)transaction_1922, (funcp)transaction_1928, (funcp)transaction_1934, (funcp)transaction_1940, (funcp)transaction_1946, (funcp)transaction_1952, (funcp)transaction_1958, (funcp)transaction_1964, (funcp)transaction_1970, (funcp)transaction_1976, (funcp)transaction_1982, (funcp)transaction_1988, (funcp)transaction_1994, (funcp)transaction_2000, (funcp)transaction_2006, (funcp)transaction_2012, (funcp)transaction_2500, (funcp)transaction_2548, (funcp)transaction_2554, (funcp)transaction_2560, (funcp)transaction_2574, (funcp)transaction_2580, (funcp)transaction_2586, (funcp)transaction_2592, (funcp)transaction_2598, (funcp)transaction_2604, (funcp)transaction_2620, (funcp)transaction_2626, (funcp)transaction_2632, (funcp)transaction_2638, (funcp)transaction_2654}; +const int NumRelocateId= 438; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 438); + iki_vhdl_file_variable_register(dp + 561640); + iki_vhdl_file_variable_register(dp + 561696); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 566968, dp + 571104, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567024, dp + 572056, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567080, dp + 571608, 0, 7, 0, 7, 8, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568344, dp + 571720, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568400, dp + 571384, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568456, dp + 571272, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568512, dp + 571496, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568568, dp + 571832, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568624, dp + 571944, 0, 0, 0, 0, 1, 1); + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..0596e4302e52bf05b0363c76641172351ad03f06 GIT binary patch literal 36304 zcmb<-^>JfjWMqH=Mg}_u1P><4z!1=hU^{@B4h%vJf()Pe<$eWwbpGDCjgf)Dqgxat z<<V;jqF#UqkKWxcCUP*q*b0-N?C8TFogU3^I8anKAK?H?fQ<C$-Tq)32ZKlN{vFFW z7_u0%7#(+ljC9-qBEigEAQsf@%`<j^6z~2(gyQBS3Ld?iZ|neT&{z&Oox^b_I|Bm) z)N~LN#dMFIV8s$^z>4uY1!DS-?O+W7L}=*U+yPPCL4;z6=@}5WA0R?Q@8%s4xBnnQ zF~oEYNKhKACC0M?khp|I8B)x5-Ur7FIJUqnh`P{VP^@?U_UQZyio*tQC_@v0N3Sg+ zMmyg@O+XPvQh_izG&qYP06BGdbn}7?f+fgqu(MFiX+EN`KZSt-k}?q{c{Cs4h>ktX zP*I$jtCx~lq+gO0pO#q^nwMD;pOl)BSf&q_DN4=BPX^fl=HXUXTvk$9kjlV7$h_pD zvf`A|+yX-Ca#M2|GK({Fb8{JTi$V5-vK@#X#iJoG8UmvsFd71*Aut*OqaiRF0;3@? z8UmvsFd70wECk%0ovjo!LX%4KN=g+BjrEN640O#(!Ca#{BNIIX6HTZv*kddV3=9Gc z3=FIc3=Hmmp$tq63<p4B%wSmt1`#ODSQW&;SRueD&BM+yfsuhhf`NfS1}bL-qFwm} znwd)YI2a&$EI?um3=EPW+JjFZfRBSAhJk@00K{itVAu>ABm(OR=96&ZQ^@AiaN;wF z2Pq_(8_y@;2(lRDrVUW@H9$1j{3MVRg9!rz!x@km0|UcK5beq*(8lD*C(+F8!l%IG z&!^$YXW+zV;mGGu$fpp&C*cS+6r>gl205RF;p2ZSQVh&kM!>kh3PGU^X21!4FpCXL zA`_5sXJY`3BO!}}!;^uP0qj2{2{|yE6&}7|E&~IDGMK^2Pywb9gckz?gAkHC!EwmI z05%tt9uO)aEIS4U20;c;>_Ye(z~dtf3~tc$0}(}#!8pXrq4vV;gZPJm0W=ta&E7_+ zdXRY_)4}P6fq`KH4)Z~A4DuHn6G%10KOojs9O{4K5a(xvgpVH79B`TerENw?egT<@ zj5TnG`{EGK!6Dv@LwqR?@$ERo&*2b%#0ZK<M5+Sib&x+k;86byhd2up_Hf|CAufSK zTnUG`4i0fM9O4c*#JzEdhv5)U#37!8L%bY^coPosUL4{xaELF)A-)cW_)Z++M{tOr z$02?Thxl_G;$LuxGcw~yCpg5VafoZ+5I4mk?u0|U42O6#4)H!5;<IsxFT)|e8He}* z9O7qjh~K~={uGD!CmiDcaftJ<V2>|J9O7y?#Eo%?JKzxa#UUPnLp&9Sco7cqdK}_C zIK*e-5MPQzd?OC=M>xda;Sm3YL!6Bjd%OtY5SPOtu7yL~42QTg4)FjS;;}fyvv7!) z;}CDbAwCg@_&glqt8s|$z#)DVhxkPt;&*X~zrrE@9fvpz8}|4W#38PSL);dJxDO8T zXdL2MIK<m=h)=^Iz7&V}798S-afn~SA^s4D_y-)~|8a=(v11Q^SsdazIK-`Sh<o7> zkHjIKfkV6;hj<$f@u@h(m*5cJj6?ho4)KdP#2?@ge~&}_9}aO|4(#zFgF{>!hqx6E zaZeoL5je!tafp}U5O2jHJ_U#PVjSX|aEKqoA$|de_<bDW?{J9!#Uakai9KGVafoZ- z5Vyi1?uA1<3Ws<W4)H1+;$1kzXW<ZEg+qK74)Ies#Bbpce}zN*7Y=bQF6`kig+p8m zhqx6EaW5R=Q8>i2aEMpo5bwevJ`0EVDjedwaEPD6A$|*o_$wUZzi^0iapMSo9O7Cy z#I10Md*Kj|!Xci8L%a%ycoz=wSvbU3;Sk@2L;MsD@mn~=U*Qn{g+rW+2S@nh5ZA&X zZiPeK3x{|V4)H7;;#D}ryKsol!Xds2hxjfW;-_$k-@+mO3WxYF9O7KOIKm%?xE2m^ zD;(lpIK-oHh-cvtufieTg+qK64)Iku#CPEkKZQg577p=OIK+S95a;5<5&k&DwQz`A z;Sl%2As&T8JPU_-6%O$(9OAQZh_AvSz6*!=DIDUraEQOcA^r=8I2S*T@W&ypg+ts5 zhqxCG@hBYPSvbV2aEN!|5TAuZd=(DyT{y%~;Sj%tL;MvE@n1N^xdd>8KMrv%9O70u z#JzBcN8u39!XaLTL%a)z_$(aat8j?#!XbVNhxjcV;;(Rs|H2{8C5R*ZafoZ-5Vyi1 z?uA1<3Ws<W4)H1+;$1kzXW<ZEg+qK74)Ies#Bbpce}zN*7Y=bQAspe4LtG1oxD^g@ zFC5}gIK;DXh*#kd@4_KI3y1h>9O8R$h@ZtFeh-KEdmQ2n!q~%E5Qn%D4sl}~;x0JE zLve_w;Sev!A>M&Qd?pU@RXD_V;}AcCL;Nld@pm}H|KkuB5Wyb)ia5lLaELqO5D&p2 zo{B@f42O6-4)GZ{#8=`F--Sc`G!F4QIK<!L5dVimoL>}sI4j@~H^d?CghM<Shj<DO z@lqV(Z8*fI;}BngLwqL=@l!a&Z{rYugG2l;4skv)?BOhrL)-v|xFZhnAROY!IK)eE zh_~VppN2zxIS%n1IK)rl5Wj^({51~oKRCpB#j%I891d}P9O4c*!~=1NC*cq;#v$H< zLwqU@@ntx~x8o2$fkXTz4)Iqw#DC)u=aIl3&aybf^>B#W;}8$PA)bgsya<PQGY;`7 zIK-FY5Z{JF{5THr8#u&Y;t>CZL!4U@dpOJB5ZA>aZihqMABT7X4)H=9;!QZjC*u%b zf<t^O4)J3+#INHJe}O~%Ck}BgDeU1ajYC`qhqx^caX%d5@i@c_aELeJ5TArYd@&C3 zEjYxF;t;=vL;N`o@gF$EIi<0OvlI?-Z5-k@IK+K%h{xd&&&MI&fJ1yD4)H}e#5dy* zKY~O2Dh}~yIK;o>5a*D=9?p_D#I<mUTjLP-!66=tLp%?Mcs&mB2{^<T;t=12L;Nrf z@hdpQ!5eAg4fT>4^n9ERK!hQPFai<AAi@Mhm@>q>`}jLK`o#OYxdpq1#D_RK`MAb2 zq?P6+ha@I3WM*f^gSYx7mZX9?Wf>_s@oAYksqtlrMVX07IjQkQsp*-;C8<SV`Qp^P z;>?oFs#J#ZqQruN)S`HhQSk;~$+DdM^!VcBjMS9UoYeS|qQt!7#N?99{Ji+$%=Em( zocOfF;*xl<6=jJzrK#~HnYpR)1`NfSxurQU7r^xw6y+zU78j=$$0wFRR92-H<%2Cs ztw>ESElG`sD`Ch@%*+EzWuzt+#AoJZmSiU8fSd{PVR33nJZK+tN@h`fPJVJC*h!gb z@kOb*AU~vlHG&ism&Jp&GeZKPAh9GPJ})sB5-=cX&?e@1&@N^S1;v@UPDPn1>8bJQ zsU_hlN#RAAun<cwN=+<DjZaAhg#p~AqU89JqRhmc_~iW3yb_2oG!$S;{YrC#K)bLL zOHw^k7+_vDg0qa_EE71(6wWe(v&`Wv3pmRX&N4JWFb&~MBP1rmMk9okMhH8N5Ed9A zY%oGtVT`cC7-6n4!dzp7xyH!mnj<`6WNwaRjk!6JMds!RtBlMo5SAI4TOh16GPgij zXk>0-%m7ZU$@zK3CGkZW#jvy#4-04mNNUZ?2W5cxy!`mY^mKUm7#dq3EHyz`X=rST z;Fu#UG&C_l_{Gq~0O1!y69a@_ED`QCG(idqLla{}%o>^)Bb#N6Y?d*?EJG7xgcA)- zkR54af?^LM^eqvgZ)jqI5H~b2L6Jdrj0v)1Opv^6fCvdg14Bq~7iZ=c<iPVSkzs9s zWF2xa8X)-(DH)p}IRe=eD1I<UHq;#1RCDCWF-M9VLvte}hnO27`P&>R4;Y#wrFTPf zq!e#xj+EvN&5=^Qi3K98O^_3Tff*t|jZ6$tI0!99CWg@P1(&(t5;?UjHLoN&F()S} zF*%z7EDkM;jZILwrl?#qRIUXBxV#1%K)_%V0~D<$hNxU4RIV{97u8WFsE#s0b(D!E zie6JxdreX8HAS`86xCi+RC`TP?KMTU*VG)<UJFz%s;kUUU1f&qDsxm<nWNfkj%txP zszv6g7FnQLWPxgt1*%0BsNT0g^}YqFGb~WOZ-MG63shHGpt{Nu)m4_LuChdRl_jdH zEKyx$iRvm#R99J|y2=vORhFo(GBiMS7N}qXCv9lnFf>4Qn4tlx%M1-sEjKhowcXGV z)p|oiH2aOv>^DLU7ega7`;E}-H$n{>LnG9%F*HJRpAnk-jL_U?gyueDH1`>!xz8BQ zea2|+Ln~Yi(Q=<5TJ|$U%YTMw8PE_d2O6SfK|@nC|Cplr2Q3#GqGdxvGc^C0q4~!Q zExgUp!rKfjyv@+U+YHTpW@zp+M+<LrwD3kNCk)Ne+-HvFKD2_w&>YQuXeEmwTG3*N zR<;<T6)uKorHdh2@nVQpz8InvFotL)j3HVPV~AG97@`$2hG?aXAzCqGh*r)Rq7^ho zhN$svWQZD`Muw>2X=IEVe@4cr@n?iq=NX|@dPXK__L-pBXM$!QTE%B%f|{O;Owine zRuvkd)rCfAm7x(@el$YMk49+u$p|g|8=<8yBee8ngqEI+(9)9;T6!`<OHW2<>B$H! zJsF{;CnL1<WQ3NUjL_1P5n6gOLQ79ZXz9raEj<~brzf<U%LuLNGD54njL<4CBedGf z2(9`uLaV=w(dsW_1Jv@u7_I&?MytP!4N%jYu>op%W^903UKksoraxl?)bwX;fSMnS z4N=Q8V?#9eq2)hgwESm`mj8?m(cFht{~Dv!zs6|wuQ6KvYm8R^8l%;}#%T4gF<Sj= zY>XBjXyt=3TKQm%Rz4V`l@G>f<%2O=`CyDzJ{Y5w55{OMV`H@P!WgZ*Fh(mcjM2&q zW3=+Z7_Gc8Mys!l(duhs^!n5Qy+L7MjGDp>4bXzX&;ZTNh6ZSs8e+D>(4x)I5Tl)g z(J(@9$)FeYhDK;%Z-`#F8XBRuPK?mKZ-id|8=+U&=p~{ddJ$%bUgj907ZQf(x!Mpt z8yXs;<r_m|^bj*f4>4o(rW|@&HbhT+hUlrq&={>cF*HW2PYjLG8$ibBEf!<6D#6eg ztqeCbMk{m;P0&h7@aPLlGauBIMiW3S*bGh3TiPb*DH${jgK7qPN;W}H$tLJ2*#y0P zYJ%RNG(m3xnxIv&h9+orqM->|C1z-XR_hp=qE!=yrfB85p($EHY-oyBavGYV=O|P3 z9A%1DI2xLw=O|OO;>XYwJx7_M=O|P39A%20qfF7-7>1^3jRHecv|8NI6s_7dG()Q= z4b9LhHbXP?9A$={qs-8Alo@)CGDE8!49(Drb3-$<^4HJ|Jx7_L=O{Du9A$={qs-8A zlo@)CGDFW%=IA*JG-QU7_|4I3c0+Tts@TvRJx75C%23TfuN%zKbCfxHjxtBjQRe75 z${amMnWN_@&}cHMJJ55KIeLyVN6%3f=sC&)Jx5uf=O_#G9A$x?qb$&Klm&W@vOv#K z7U((30zF4rpywzH^c-b@o}(<#bCd;oj<P_{Q5NVq$`U<CS)%7COY|INiJqe@(Q}j~ zdXBP0&rz1>Im!|}M_HohC`<GlWr?1nEYWk6C3=psM9)!{XgSKr04+xu8K5=2jSSFo zl#waA7E`p`U}TDx8;nfRa)S|iX=#LBS{k93mPY8Mr4f2*X@p){8kwObZ6h<Zq-|t| zmb8t`(2};1nJMT<B=Ff!pz~j}7#JA185kJ;{D%N>kRSsC=sYL}&{<G0aoCwppmSq* zkkrG@BLazojs}9M2V26xz<@69io<-+xkMl-kb2PBNHB9+K!Q+n1d;6Bh(r7l4sp=A zJ|HQO`N-xtfDUxRVty_T@p(AJPva2(gF{>pdcF{dLJns!kO0&@!btv=LlOs_mjnwR z4J2{U*-<cYFQ_<(0{Iyx9taYE#xLkpDwsIvTpU>Vh#}dV096k%1C%yk>eHd(APRJf z6-+z_Bmi|k=v*$CcrjEQM1f8rf{9mv1fc3cXF|cmLFeee+#`kLo>@@!pmZyQB)$+y zoDWHS1(G-?lK6V4IEVtBZUwV<3rGO!9%&@?hmgcUc>$*W43fAkl6sKaK^PSM@*oBj zgX{t^LE;J^1{8zh6vPCHD}oqM3<^UK6C|z#Vn8uWFGw76))zzqmLEalDi9$sX#ge} zz$bsHLfIe+c3vAuy&6aWiXmt9L)5E7#Xyt?h+u$-!|VbH!OnLBnWG63fZ_-!4H5^% z8I;SA0A+y0wV`Yfl>s84=IcP&AgTaFK*e>TY!C%I-wb4q9!LO+8=y2uTp!8?Q5_%x zY7Q(+KtiB%#XvMjy&;GJ#WSEZNZbg@22l$@1k@a3C>unr01;4eSQ!Wsf}KwXG6#I9 zJV=m%fdPDiJXF0IR18EN01;4eb0`}`od6L~aai1egf4&xsJJDR4We#<2&g#h>@|?k z0}uffw}!Go6!^e>sJIPC0E$0AX^{KDXYE7zKcF;79CTJ3lnY59P;>0zf(#5CAcatI zSQ-OK3m}O*f&`#g0!iEnDh8qyki?xq0#FP(j}62GNxOg;P;3AafZ7YIgFsRiNaCO~ z?LcB6467GF((WJuDE0seK+S>W1&~w#lDH>G0E#1!#9?(DNGbtI+#4hS#TiKAK2R|b zRe&V!3lf0h3M6qqs2GT9Koa){2|#fNk~l2Sf}}uaJA!DCbRdWU#WO$xQ2z!&#X!^o zByrdoeIW4_Na7(N0Vv*pBpwPC15rDW#KS-WP<#MMJRB+pqD~-*!^#$r_yr{KNRR*& z-#`+Nf{KBt2T0=4AOR?Tfg}z(w-PGM06HfR#0E*nf*4Tz10(<qpE#%(n1a>|AnACp z0EFN`5{H$6AQ=H9@kEdS6obys12IA7B!L)Etbn8*e4zwX9M&EHsRvzC0TpI2KvEC7 zR{|z(fh3*=6J%gu0G+D`;)2Xc2Qi@714%ustOiL1Ac<#!1fVzqNjwWG2BKi?3Xu8P zAOR@OKvJIr6$4QPNaDF50VsyGA3)~hLB&8+1CsiDkN^~SAc@236p+*eB=JI!02G7H z=L0c8<`;n&P`m(1J?IQes4xSpy#Z2R0uy9lVAz19z7$D(2a<RhlK256anRYBFsTzr z;;_08BzFNxyb>e;#W#?|tDs^a>H(5?HAn!8Um%IsK*d1R2PE-YkN_0_KoYNmih(Im zqZXPk>cIjKf&)n$R!4(m1dzl*XMcjkKv)7vya^-##R^E`%}_BA1v)PfM1#T~)^`B$ z4Up8gf&`%00!h3LDh8q)ki^?T0#NLMBo1p!fTRMD#F4H%Vu(Nz?*b`=;shk|Zm1ZD z%0LqD0SQ2H0g`wxR18E_Ac^;Z1faM9NxUB_2BJEU#9?h7koW{7@rfV-D4u~NJ_#xY zq81>DPX-A<@d_mIDNr#GwE;<dDo6l|cOZ#RgNlJD(D{fU8WfMyK@2E9futVRHUmjr zKoXw`5`bdRxrrbq$edXq1{6O)Qa>9i2BKadiO&HEK=B78@wrej5cLB|d>%*uhCv-B zX!@TI6Juat;6M_GwIM;$0!ZQuK>|=Lfh4{NDh8qyki-{*1fW<0Nqh-Z3`7|qi7y2S zK(Pgq_%f&%hytD02%<sZwj9KOVh<$su(mEpDga3wc4jU}9CVH&hz6On3dDfo1SIvV zp<*B^14(=hNC1ipki^$Q#XwXAlK48102DVMiLZx>fv657aafxiBt8L295%K95}$!2 zz6m4%#S4(cH$%lh)Cwf=Eg%6X-hd>&6)Fazb|8sw0|`Je_%Lp0`q>T@15qcC)WiA? zAn^-G;-KrXKw=<#14$fwV-`q|fq~%xlK5_@7>Ig-B)$hE0L34W#P>qQK-3Q;@qHix z7zPb4K;6F|CI(9XNaC<Q4oF%6N&Fy40E#7$#1BEmK$HTK_+gL$6l)-fAAyR2C<7$% zqaXn&wm=d;1{DKQ4oKq1K>|?hfg}#=i-Dv-=VF3rP&z*eVnA^OlKN9nF%Xr2Bz_ts z0L2+d;%A^@AgTaK{47WSiYt)B&q2jNR0ERud5{1UcOZ$w`ivl{2}t4>K>|=b14;Z6 zR18EdKoY+U5`f|rNa9zZVjyY*k~rv0ZjcxVgU;gw(V%d<24X<*0VMU;p<*EF1d=$c zZwnH?fFynsBml)Xki>66#X!^pB=OrI0VsZfBz^}f2BJP7iQfeYK=BVG@q17)Fa;VE zgQoxcU;zlhfg}#=gM(xQki;K?1fW;~NgOt|36fGk5`PR5fMN|K@h4C*5M_WQ{uCqt z#TH27&!A!;$^l9IIY<DCJ&?p<V+A0o03`93AOR?jKoWli6$4QTNaC+S0#KZRB>n~} z2BHd(#NUDhptu4_{2f#bL^U9ZzXu6GaR-t(Y)k_rH33QdBS-*>XCR4xf{KBt1xVtb zK>|>`0!jP}R18FIKob875`f|zNaEk1Vj$`OlK6L!02H4<5{HewfTS)UiG$9z2Z@33 z4J7ejAOR?TfF%AKDh8rnAc_A02|zLETvZSglu!SH7*PBJN&P>l7?=VL@<P-9f3N_A z;6M@w4@N?S83d5Tk**bGkU$b=0$C1KuYe@Zj3lmsB+h~)Zh$1tiX?7<B+iB;?tmoD zjwJ4ZBn}(P0y!xFNt_c&eFTy?7m|1ak~lY#IOzOWka-{>9uNWIFfcF_AgSj?60blK z=R*>2KoW<|eSnPWKoW<Ixq-wdAc+einKJ`PTnI^g0g|{dlK2WFaS<f(4M^gmNa8z? z#Kn-r4<Lz)BZ;3t5{Hcqg4}-rNn8?1{S72>DI{^wIkg~tAR%cG0pftJ^+ZxHgCza| zNn935{0EY_9FjQf$_$W@Jcxjr&jFnP013gyFhNoRNaBhhNsu4|1A_#TxDt}M0+P5g zlDGzvxC)ZE0g|{XlDGwuxEhkU1CqEplDG$wIBcvH<kSEpaZM!kp!0M=@*p8C5CP&a zFfb$_sn<pl&p;B_K@u-O64yl%uRs#lLlSR564yr(??4iVjR}LCGyzH65J~+EByl4o z@dZfY#z^8Tki<=p#5W*`n<9ztKoU1Y5<h?>ZjK~=0!bV;b`5er=-gir4N__eB0wAl z28J6*>aCE(A0UZaBZ<F261PDT|9~WJizNO7N!$)eoB=f11}*>Xk;FNW#9?FXAg2l- zi8~^xmp~GCLK0U%5_d)t*FX|?K@vAW5_d%sw?GniLlSpD5_d-u_dpVd%>{tmAAlt8 ziKIRPN!$xbJON4E8%aC^N!$lXyZ}iYbhix1P7tm@68A$=-+(0Uk0joKBo3Ri0GTrZ zNjwlq{R|}WASCexNaDdr;wzBELy*KbAc=<}iSIxX4?_|^fFvG{Bz^)(95%NCa{mP+ z@kk`~H;}}mki;J#iAN)ezd#a?K@$IfBp!<-{sT!o4oRE=a`6+mi4%_`&VeKjn*##5 zUjRuw5lOuSl6Vr5xB`-RGLpCkl6VS|xB-%QDw4Pbl6V@DxC4@SI+C~tk~nOx3grF( zB=Jln^$|$oSxDjuNaEQ@;u%Qdpu3VlW`l46k~nN_21vXDNjwk9oCYNEd?fJ>ByrfB z8pxapNaBS^>SrK{7a@r+KoT!T5?_HNUV<dP0ZF_RNqh&Aco~xT0VMHqB=HkS;;^|t zkozwniB}@2zkwuPg(Us}NxT|K`~{MD4U+f=B=K4#@gGRybx7jiMMco^zaB}P1GLx( zDh`{Y1i4=TNxTtBy#$hY6Oy<Bl6W(cxCWAV3zE12l6WhUxCN4U8<MyKl6X6kxCfFr zY%Ue#{s1KLP9*gaNa9^c;t5FN-ALjYNa8(6;sr?Jpu56Ac7kvPl6W7I`UWKNekAb@ zByrfBFUXt;Na7Qb)XzW?pM)g707-l@lK2WF@hM2+8<51OB8l%n5}$@7egH{)I+FMa zByrf>G|2rIki=&qslS0FJ_||w0h0J^B=HwW;&YJ1KOl+EMH2skBo12}267JrXptN= zozF*7&w(Tkn?nbw7eEqUh@@TuNqiBKxB`;+VkB`5B=IFk;s!|KpgZM2c7m`4lK3(t z^$tkl%aO!Aki=ng{UCD!ki=IasgFPsUxg%|fF!;eNjw8dd<~L#0h0JyB=HI)@pVYz z4M^hak;FTY#9?a^K<=M_B)$<z{R|}WO-SMkki=nk6N1cHfh4{KN&N;S@vTVWJCMY; zA&DPA5(nM&2QnLkPauiI)=q%LFCdBUL^9_FlK3tp@drrayOG3SAc^lm690fCz86XS z2a@<cByk4NVo+%M-;X5Dfg}!F;{kHN0FwAYB=r(V;)jsL6_CUaBZ+Gui621{H$W0U ziX?7<Bz_D@+yP1aIFh&rk~nNF3dsEdNa81v)JGtRpF$E(KoW<o9R-<_fh2wgNqqs5 z_*o?J3M6sR-H#wMK)3-({5+ES4kU5dni-J#2}t4>k<`yX62F8bz5q%5GLrZTB=IXq z;;{9KpydJ}46+l1VQb7lVjv7#qXt_$1QG*b*cv0)oEk_Bgkkr6!saqSVjv8=zmq|) zxH7jSF^NI1xTFX|XTVrRsX2*yC8-r940<VvC5a4rNyWtsdPVsl4oJD7o&_q`5XNQD z1M3AVEK1E`&`ZwG%}vcKVbIIVFG<yN_Y2i6E&&}70^z6UmFg7~<rkzDl~h8tre?&a z6@d;x0tEsUFerRM!3`abg_{UlV|N`CC~#5u@;tZ*Xss(~Di5X}CUF3|I10920kk$7 zWDm64555l=q!zSx6eJFv4hIQ=u{gQ_SQOKK&{|KBndr)i)el;;39=t~jV8z{*!mIV zIew5h$Sx2K(gUJF{sytp^@Hwj1j$32xgaJOgVcf;FdDSR4#Y+aW(L?g22j|7)Wa}3 zAGFpCq#xb?uyqQsdv{^_LGcY^gJ{qiIgptke}MQftN>knCIDTO3yMFG-$59p2DZK! zwDt>RKk}Mbko*MDVtEDz22lKf_%IAo3u41)&>AZk8zc_Hd!YJZd>D<c7PLkPG?a=F zeo+1B{)M?8W*%sb2*^xy{STn}!5wj!V$eJ^5*x$>t!=>;eg@D*KCrb`pzs3~aWMN~ z;fKv`khu>)i_{qy7=Az(IfK@DgN6*y^@GIF;~u2{26R#FQ_!MwkN^~e?1M7F6v&&{ Q^sj)rA9+m)NCUcl0C8HCMF0Q* literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..78d1c504d140bfac69d0820e84d9aec21d5628e7 GIT binary patch literal 51496 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk@K!dSCjN|NsB*U|?YAU}0dWC}UuV;9y_~@MK^R z@WsRv{1_M_vKbg=6hm-B2?Ik$DFZ`783ao+Fff2{6(a)!2x~B4f*M8!hT}2}3^p7P zIavk<1`xJ|a2c2w7#J8CA((-I5iI)u|Ns9W23U@PfdR$`iGeUHh=5`cn;FUmsh0tn z$H2g#2o(cSoD2*MAnVx}7#MgN7#Kh_NUa)FEl3TBFUY{apu@lbc9S0i0|UrhHzYM6 zbs)EZFi5>I0|NsK0|SFU9yKNm3=AMQM&MCn4s}x^9yL}B3=HfH3=CON_kr93!XUSR zFvwq^Fa`Ou438RD1_lODcr@Wr<ITXpz{SA8(1%Bj9s>gdHv<F1EIeu~7#J9M7#J8< z<56P?jgvii)PVfX$H2gF7LOWh1_lOx1_p+Ec+`k6Ffa%(FfhD_#w{rPK;b3Kz`y`< z2Pj+u85kHq=|Gkd68|7IAPfp)P+9<~iDzJ75Q4@#NG(Vngh6UR7!-D(cn6tl%?L3M zBoD$Mc@PGv0mT={O)hxUBrq^Ah%zuR_~KCmN;hH*3=Cm-)Fd%5Fo-iSFvR0glgz-t zAi==Ekby@{3IhX!Bm)CO5gs+E3=9lXAkRYcF37*2umA}ih&v$%qLDEue}Liz6t<we z35rKh{*s5rB`8mW@-xUBkUmhp4TwD<2TJoG^)T9ifq?;(=0N6v#6HBHkOQ&Rp=loE zCXg8*43Y<#1+xp3mqFqnF%S*HFf|TwC*(lx0mUgu9G@CcUM8dll%CPmfburTT##KL zdqHV~kQz{4CZq<GmkFr><z+%@K;cSA4JZ#0QbUa2L3x>wIiP$4Q}Y)Tzl@M_4HR|^ zNhjn$`AZvGj)CG`ml08qm@qOhB=oAYfyyROx`WXm^FSDE1~l!0%pnx-AT`+H3uX=| zPC;rwc^PCrD4szy2&1b3<z<jKJ~g1cOh^qVFB4J&%FBe*fbud-4aiL}49X8$(E18g z2ZF>w>Okc*C_gitDPafYJ&-s^EhsKPwL31gpz;)^7E}j=%4JZV0O<jV!_9SWWCN82 zATf}+x&+){3Q`CyM?mQu*<6s>aC2=M+1!!T>Ju<G4yqOue;_kJW<iC(?G;el0UQpC zjXXf{1(E})1(i4W)TV(HLhD3O+Qg~$=UX;VTL7dSWG<*4!l`z#kw-pAA=F$@SmRS$ zfut5xZlkLOrDd?+F281LL{baNljv$e<uh2VV>nwkl3GxGg{~GJW>&Y@rXi^X)mu2# z&b!675J@el{=%vDM<m-CB(<P=43r*0=?J6;M8n<S8pE~)NiC=hK~@V=2f|>#xvyXV zwO>HWL2&`9uR!L3_#h0*7a$s}cCnGi5fBF&7ofH<KDB3%)PgEbd}^;EsRh-Y_|!f^ zQmX__>rf%o^c#Ib?gLZ;<Tqt}Y8_+Q{voMV!Ke0MBpVOZfgp2L@u_vX!zP8K7F7R3 zxnSEs;R}z8*LT=7p^_kTL46V^7p@k>0LKOAT{ckr48#Yi)x_ckkQ`WT^a(j{s6imL zu(A&%55gcffM}T7Xe70;vJWH=!su#qkko?8J}4KY6dV^I2F%<#s3gb@pz;jL1t~#Q zyV%I17b*!-3oFmS3ZZoyhz&M(v606dB(<P+6qE~6f?@7zs3gc-LwsSj*vMlSl3Gw3 z3(Cc6?m4I=$XrmJ3*}-}`v@utQVS~Mp<JwLzd<EIYRw3^mx~F~3k9hK)fEcRx&vec zDF1_KaG0$%@(@8%3##jo)q>O@s|7V6L41(8pz;%0EvSqH$$|7RtTXb^L^2oD$3j*M zs}sR$*BW`4fC?>8`v=;u2AKnLCnz95=?q>sohf0rK~f7VOK_=mK~f7UOF&@(vm3;Q zo9o%g7KEf0<W_ucNJUZ$s>eZQ!OR7PA;?^?63<4qGB5+uu7j0P=<Wq8Ia9*k17<+f zg6eT>ZUBebnG*JSNNPQx=>gqbnA+7yYCQ?4-G!vqi-6jbNNPcCbbRi;f~3}mfVuaP z)cO)o3rjno^a!hm(8B@bE>K$zmUcjD38fuadH|^fr5%tNxXgV4buUOQp|tZ8Ni8Vt zU^5r2<V*=WE2u(%w*LvG9bqK3u=)+%y)bhXk<`NKH(YA9k<`NKH(Y8>k<`NKH(Y9M zk<^0vw)ny!7)dRx4glpj<njTQ){~Lc!s-BAY73!iL47A|^(IIfZ0^7R|7$=T=vWZ8 zdJ`lKR(qa{4VETB=EBk>$gLm@D$hV_LH=b(=3;9HaiHd6t2aT?U~?H57^Z+YP_?i= z1ISDehM5ai8^Xo53`s34?SRyQFib7j4gOqgTaeVk!WX0tgkfsI<}xra97j?MOTQp> zAPiFrHrIoT?JAO5Y;`P18fNYb5C`fvSo#H-3BoAmI&!gnLQ;#ZJ_bp{%!Snfptu0# zV^CTE<pYo#LHQM=7Q|*)Y~;ZRO{^fbp!yhDEvOCysRf(6*vLZ!Ni8hTgWL+jDDGwC zVpBj;3mRJi+XqqzZNtFLWnf@1L{baOn^2|THVjBDSpRQMHftocpm7VZI8-sr4Pf^& zFfe!_sfFcZs8UpOKXI}JA*lt8W8gCvR;Gf&3|6LMHTMlCTRf7vps@yg=H?)&wS$g* z!{QBO7bqOSep_thk%y!fRF)ve8>p=UQVY@xisK?AwGL?Jg6eIMT9~=jNNQo_8M@!V z=3eDw>p@cM1g*2s)q>4sU|?8;q}CZa<_j_h6ds_yHL@EvAgOhMj>E&$g8J6TY7Zc( z1&ynL%){!2E)BNJNNPc2!Z_6)2w;1Lq!!jL!D_BD3)@d5wXk*xR<(1O*?6D<0*X&q zy9BG+7#22pB(<=130AcqnAwbw)WX^&Sk?YuW^+YS3u~8PRXdTHEdog`tX+at?PO-Q zY$Uayc^I7G`<$7r4oNMxc^G&ccW|*yL{b}oFP*(+W?O=!7BnY-(+$U&*|sC84Z>&c zWoEWhNNR)esXfBXb{9!)2tKuYnAtucsSU-a_8>DGGpNG>tuMmxsa?&?CWfRo9G}_^ z%xs!SYO&3C!Q)~pGn);P+DLrn&Sz%xM^YPwPwf(Bwj?CA(fHKv<6<jCQX7L$?dmwT z4kWd)_|)$D%r+ZIEoht&XWH5MiESN{TF|@_PPH39upLBF3mQwtsdnl+wkt?#L1W4| z)waE5dyb?QG**dIt>06&Ur1^}W6U_!GCpGC1$FG8=?pa1j8m=q3I+uvwV*L)oN8}h zXER1p3mPxQsrJBiHa8@->G<O9t1(9;lG+S>YHfnqa*)(!;#2$FpRFEAEojUZr+er4 zu}wl!n~l%hWASWDk<{kkQ#&=DZ99_MTzqOJ<JnFksm;Tu_H7*7Z6vjzF=m{83yfoX zi=?&ypSgT-Y~TrdXxb^nr}lI#n=q)+0##dtPi<W+n;MeZVti`jV%aQ_)Ry2=TNK0Q zgQT_;pIU<$ws<79pt*XSVRk>7tq4hNIX-izMYFXcsRfPU<23hT6x$3WwUzkH{SwKx z8cA&xKD7*yZ2OSZR^wBfAHjAJNo@^2wT2OFPmt8s;#2$dH`{k4wRQN^9{J721sZgM zrk#3xYB&F8lR;A3fKTneUu^nFYC&@(IOAg0FE%G6wV-twIMr7FVhcr5+l<c*nLpVw zkkq!|Q|s`Ptr|&fD?YVdKiK+^)VASMYxaX}A(Gm5d}`Hyux&w7+ksCl#}BsSNNPLr zsdf3zb_+>u7e2MT-`U<GsRhkf;fxEW?`+^jGSIZsgU{T}-`Ipf9cHN7UVLg7e`8Za zQVUvxgVPOt-`FgX)b`^uxB45K50csm_|!&yV~aylI}x8+k8f-RNNOkHQ>*)ptqDo( zWPEDnzOhX~Qac5o+8bZlRwJpMicjsCuWW~q)Pm+2afa`*uWUDw)K14|Zp~M=4@hcf z;8UCMm5m)VC<09nGx4c){>mnYq!zT^3a5J&zOtDjshy3_T=~arK1gck;8V-+g)JFL z?Oc3n`#-Z)A*r2*Pp$Q5wuwk;=i^hW^_gu2lG+9M)E@rCwhu`yXnq)Hm~H*Ub_Gf8 zB7EjHePVlsq;@erweLQ%ffv6)<9G=^wUHm$#6TT+sM@9Y)LMLG(?L?Z44>NHAK09b z)Go)Tw&nv{1d`en_|$TJV9P^NyAq$;XYbiskkqcir?%`p+bkrtpml>d<1OMn+Xf`H zpm}|qY8l?M9Ya#P7M~kp-m%?5Qo9bHTF!TDpODn9$EWuATQ&~RfEqN8H{eq{_bnTE zaT`?aMto|YzF{*(GItX`wQ+CQe2~;`#;2C^4O=pjTF_buoZ)-yHCq*u+O7D^^?uDZ z5lQVfd}>u+v#mf<yB(j}->=yABdG<gamMN1y|37=A*tPo&)oE9Y;Td&?!u>5?im{k zXdo0CZ@clSWqrmbjieT|egvm`k3MBHLQ=aIpSdwl**uWc?!%|{=M%O#B(?kTseSo` ztrSV^0eosbp0M>GsXd5Kt?d)G#Yk!o;ZytnG21RAwTJPk{qdOXB9dCrnj4(qd;c-p z3naBi@tMo|nC(B3+GF_C8a-qa0}V<;)5CFmYS%wt(?L>u0-xF~_t~6~)Skqr_Ub*h z2qd+q@TpyOmn{!T?P+{!FWzQrK~f7^r-U<nJ#MqjLQ)G_$Bk31?QOOVNNUgFb3@Gy zwqr<Y&*M|Oaxv>8B()dtscnj7`-i0VB0jabv20SH$pmN|U&5!>HI~f`N$q8PYRzKV zf{@f+f$lYc&0h#Y*RX?!eHR;fWFV;ptpfvffnjPv>;2$kuZxX5>X6i4Lz~9|t@lG# zI~z&ubu_i0^?t}|cOt31fu<I;h8<b$btJVn(bR(01|qBdilp`ynp)7>KxDPTpn!qK z#cedTptXU>Y7LRp-a%6fS{sP0)*ngjT{N|zwSmZLbCA@6_9Gz21!%tvvf550wfE7? z1+61QR=W&I?E^Hmpt*8nwTF?^K15RsnrlQ>`v6HTXk8$(dqMNQ$ZG#0seO!QE@&<n zS*;W(;X&i#37T5a{5P^%b0oD-(bR(G;E~maAgO(ZFAwdDX3IoU`y8Lz&CzUiNNQi; zQ#&)7Z8DPDm-y5+MYF9yQu_*@+Tv)oy+~?b<5L?Q&2|Y%?Hhb*y`tHkBdL9hPpwrn z+aDyg@9?SBh-MQ26&TPs2JNfCS*}Y)v#BDf1+6K?sg@y{%?e5FM|^Jh7{%s~r1ld& zwfCdgQjpYs#;5j76k8>d+AsLj?ulaSLsI({pW69RY>Sc9e#57>C5mkalG^Y1)RsiC zokddn1E1Q|D7HsPYJcKW8ydy-9ZBskd}>{y*tkKJ0yI7R#-~;{icJAY?H_z<MWWbD zk<^0rd*DnvEKzJ;NNPdrk#VYh8p#%qq!zU45U1L+k!&SMYC&5SajM-G$<~3S7QDX^ zHrb87Ze?O5+gv2IOpK8Ar!eIxYf<VV*)}1mWyYsAGm`B%l3EsgY6BzL?jWgU#i!OT zlI<gsS~h%YH6z*BKovhUJ+R|bD-+2kjii<XpIV+sHUlKJocPp!k6?2}Qp<%;?TZMu zC?vJq_|zVXV9P^N3tG#LGd*mGU~5KF%Ztz4xe;vBk<{|xQ`;NCwi-z-KR&gU5p4UB z)C%BJ>lDFu8A+`mKD8zhY%h@13gJ^L62bNtNv$wGwX6|rLZF5qH0_AsQ~M>HO&v+C zC_c5f!r5$))QaI#`};Rr0Fqj9d}`nQW=la*D}hh#mEUX?NNOeVson6Ktp`ahXdeX5 zbT;ib+X5uD()i5n`pvclNv#Y%wWYt=P9UiT?Ty9hhRok=caYS|;WIbzH`@m!wetAX zYX4?q0X6oa=|KUXTCv}35=d$l@u}td&8CB-RtcZl7r)pXkkl&UQ+xdvTL_X`6?|&< z{bI{NQmcwj?b=^#HArgJ7$N(fVSPQ&`cLGxayyb*b$sTQ{bE~yq*eo;+SFfcJCW3a z_S@i04}QPcE+MJa!e_3<FSgf6YPIpHRsO}s0_xB~(}NB^wLgEd$s(x*?FGf@-d8`_ z%#hUT;WPKbPd0xfwfgwf?)b@;fuz;|pW0<V*&3158sbws?I+tTB(+BP)OP)3+l-{v z7@yjTpKNE4)PnZR;taFgpKMQ%)SBWmH}WUjA0)MA_|*FRWD@~(#G!F)j!&)3Pc|JS zwHEl)vixLoK~igpPwo33Y%xe`t?;S6{e!IpNiAq^8O|^}_k*nmNv#b&b2t59TZ*LC z7N6SbKiKvmskOtWw&n-hbtJX+_|zu-VEcfi)&ZYdyB}<vpg|F6ygA}itMG$O2}!LJ zKDGb9vsojlb;hUm^LMroB(<P37I4PJi|=f?NNQd2nS1X$TN{#EH+*U@e`lMIq}Cmu z+TGvTb|9(sz^8Wgceaa2YCZ9(o&BBdC6Zb%d}_PDvoV4OsG#u%+V6-n%o@J4Ng}EB z!DnvVcQ!*LwV<;saGLA)oy`+Ttsg#fb-uGDBB}Mqr&jbkTP2d(0DNkHePf%5q&5(r z+K1oRRwAhl!l(AaH@1UFYJ>5qJ@Ad~CX(6^d}`N!WBZ7tHWZ)QxNmHnpn*kb9D~jb z!5J5d-`Et9)Q00Tm*pFqC6d|*d}`l*WeY@78_5Vcp9j{40qxyDZo@<%sf}WUoVf#2 z3)+tXS3B`5TP>1W&>mdSl6sh0&^`pX+Qmj53z5{upqUHW3jtSa|CJ58bP?7q2k-j; zjg#UM2kC_?hW7bEZowrE8fQgU4;oLUmN+hVQcD~<B?dmD2;>jYxCOrO!DTPZ9FQ3x z3^E5a6$1)85Fds?;-I+?T;Yk!{891$|H+9LYK0rF_{C*DuJ|QYJia8qfT1KQJ}t8- zG%vG+A+abqBQqXJAU!83hXE=ZZwO;S)j`D>ax(K$<Ks;YVGJV}!xYA_VDQY#EXhpF zF*A%efU_;*4Pk6^(|98o+r%v1n87c#Bq%jKvA8%hJ<rrE-V{~9Jl+gdz#`rpRlqXd z0!6^cAl{N8IX|zYC_e|})p$c>9@Inm1*t`eC7ETZAQcQKym&)YKGbJsFi%^WK}9Uh zVGIix!xG9cG%$d(K)V+i7=oSSlXLQuvq6la)Z)|<5F<0MptK}7F}ENm6^$E~n3I_T z5=+a>DM>9#O+lza6@e&p4-R5*4+&;)4|in<2=Z}Za1U|~4)G6iWhjU*Er>74Eno=n z@rn2GclLH?2nh0bcZzrSaq?kscJ}f1hcMlogPovE7Z~jck#Y|XiqFg|0oeeiK{kLH zFk8SJuMkJ~_z;+02+!FYVgiKc0yPi9^9@Fp3knWF;yOD9goFmUB8ddK2D>7w2=?%F zL*|DDxHyKOXbANSaYZpS*wx1n$;4n+A0t$*F)G&ti5nX18U*qjvH>tLWK&>b$VS1$ zpymbnJI9B6x`cQzguq##fP@NynZY5BK_LvD&?Ms-4@ohe@yH1Vg$GFn{_&`Zg8^Lt zqAb`szMv>SIkmVrGcVmGKM$Pva`F>Xf-^GHpb0ax#4#nMD7Cm4ln68Pl8aEdnI*xc zxgZ&^E(9}@!4utR|9G$(u*v@MnRy6<K|F-HARfe6sE*QH1_&#X!9U&&B^yA!5fonl z3Yff-;F83WREFT7__WfJ(jo{i#wIyGueij(PJsc+G_+HI1!=seQ>YsXC*CR4&7A=h zrU)mY@L*byeE?Db_YblHQ0PD;gG+M}5(Ti_4iiEIjVB_QP$Wxp8K6=KgFw1KAq}Qs zszD)90^#^D_{W0+Ei&F8<V}CfC_#;Wh_Ybkc;C{TlAzS$(j0Ii$Sut&@duTYc_~H= zF~LFck<lix@tN_4hVgmv1_~%bMwmjzm_jC)LZ+BPW|%_em_inqLY5dpMh2Kdn65X% zbiEO#>y0p7Z-nW3BTUyDVLH_a)2YUoPBq4KmocWhj4|D1jOi|8Om`V$hN>~9Q;jj5 zYJ%xh6U<OG!F0U|rt3{GU2lTvdJ|07n_#-$1k?2<n65X)biFC2>oMJc=>$v{pg6!B zGqXU1Pyz-bgc2|iAru!tgixFS5kheTL<ox`P(lH$3N>dygit~OB82Hw)HDxKh3PIM zOn0GX1F%L+U!x`(up-n%0}(<=G!P-o;6hC_5LKAYLQOOfRhYqrnrOhPP!kPA2s5}$ zG5u(Y>3UO4*PCJnswt-HG4)|;!_<ZBzxblmbZEJboH5XZ4WY$7ny?XeVPgzol=Ofw z1T2hcHdq+bY_Kp6vrVvDX^LIg47;#7rZ7_df#mG?ym&0Gj?at7;^_FicuY4VrwW9* zAW=+nL86%Ef<&>Ki|J?N1c$H<B#LPpNEFjHkSL~Y*wYb66w_RgD5kj}Q518*`N$9> zB_f1TOhyQ!7>y9dVKz!?L8wLvIfO7uYC#C2q!x%UD44J~5G0JnB_LreW`l$=%?1@M zn4ScQVw#N7N&rVV*m)=|1tekQLIg<|xg<dnMy_6vgh4S0YZM}cQJM-!!pKz(k}!I{ z0y`TN9+*A{iDLR3<Ty;VAW`gUF=HI07Bf;nqR==gN=;0Gc7~!2z*Rh=j6jw%#3N^f zN6wg#94O8W6hPe_B<nzd7$0u{Zj={;I$J@h>6yhPsYTHSv5-;?MHHkQ<a$^;9#hiL zAT}P4tRY@mBfPT4cx6rS%9`Sp#V3i^O?aJz*F|_8WQNZ{=J+Hn@JU)CNtWh<;xRKG zxy&qv3xaA1Xs$#Eg0c>VAW|WKP>ECoAOw-x69_?22Ei~BsZ2nqMC2B@7;<A2A&68) zAOxX_AJiR12x9Xka(4}(5}PlPyKD%R*nDY>%}L0;G`M!;5*Q(f#p}qO9k@c|mMcOK zn~RWJuLzac!W~<rBe!bdx{=$p2tjP&j@-6IsKja!ws1#o;UctS^Q9@a)Mbjzm)Ptv zLuyUH?ZK9^v007HW;BZp!KFD`06_%N5++0tEr1|`Xby)6qB#;g`hsQ;SPab?uo#*x zU@<gHz+%|!Knn=4I<$ZQi=hRC1*qmib01g?&3#}oH1~nU(A)<WLvtTk49$IDF*Nsq z#h~sB4vH@>%>`9?km?b_h8CNsSsJ7WNdnrIgX%_-fVMF(C7{g=ObKX{6H@}(1i_Sm zwn8u^pbZgJ3Dj^$@&`x)t3N;zSp5N#!0Hc>1U7$w#1Vx*!fuc_HmgD6*lY%gW3w0} zj>}$b!3R>0E%ZR**a8oEBn%}~k;lVOC7?+QS`Q(-jNITw(SbZdhAIJa6}Her9{51j zftq)~mO}~*P+~?4ScC*x$RZ@rf)F8r7KR83v_J$G1|Yl9ya5+SO9XInG`GUV(VPkw zM@s~7aWo&m#nC(f7e{kHxUj(Dez-WA`{Ckf?uUz`xgRc$=6<+1n)~76XzquLn=3FB z#2dtC<}t+h`Gm)V#^%AP0OCP|c>nlNKWBeGKUe1vR~H3rij48A0e8F%P2!!=Ok&W_ z$j?nJ*3U6APDxElOx8DY)b~wIan8@v2TjowrRtXy=z=Dpic)n`OG;BR6Z1-R;fjlk zlJzrEa`X|?&U$4TDGd4rMfq9!6-lL;IVr{ZmX?;5dIs^Hej)J&CI+VQ1{Nj;`k8sf zC5bsX#rlakIq?NKi6v?IMY+ZLVVPx#Dfv(>`YDMeiTY(A3qTeXm!!lS85mgT7ndaF zr6d-m(8I2h)QXbKd>Y!6nVOmk^HxfHPJVi3a=f9TnF+|s92$irE<1IT@>445V{u+- zZfa3xa(r<KI2vj1QzQ$Ky-AJK4_!<F3OMRyC#1y=5JMsPDLFqQrMM(9EzMBBB(Wer zrLt5%qBt`*A~`=eF)t-4zd|3BO;RgL^ec)pbIVeTGSf1X!TBe?ATc>RF+H_dKR2@? zH6=bLF)uy7ET^QhAQfT(HG%;#2MJn(;TfP;Mp<ZpJ?&VUl9?Y+l%K3uoSCa%oS7SM zsGpRYkyr-yqdsVn3&<X@+M<+9NRi>}Q><@lU~Xb+W&jZYg+)$&Iyihv^D>JwbBp!e z{anb@37T0ZQ!8kinoO;rsb4a+`h<oUldsi?e61$rYc(Zbs~MSE-CUeq$<*rX7!(vq zu5W!qBit;=v>2q<oJ_qwp&^E3Y7KLC)&rgN2)Tm{w004OVe1(|=lg;%=v);L2JMpp z;dzV<3?MAd#J~W;9ZU=iApDPsfdPd5nHd;BcnLED0|>LSFff2{EDHkz2p?r(U;yF& zEDQ`FY{kmJ0K%oL3=AN=ft7&)gkQ5VFo3Wc8v_FfC$cdxfbbkP1_ltm&c?t1!b0o} z3?S^!&cFb|-RukuAbgyifdPb>I2af}800^Y-(WO|4O+tq<C98*^n$hq!SsQaJA>9S zg7!Osmbb&i=}m*q&<EXB13H@?bhjPoei;xObYC9mTzPv21_scX=Ag66LFbBt&gur8 zl?^%r8+2YZ=nQDk8O)%wl|knqgU%rao$U)cvlnz0FX)V2(Al}5GjX+885nd~85j&% z85puy85pWr85lt4Uq|vVFvRdMFo3q@g6;$Y-9rGnzX7!J4(2}4nfxHP!Q2IME9iWB z(E0EnH-gS{cZ9kXbf!7zta8v9<S@5_&fx~R7j$kmC@zsP@><LS=w9&(D2=?f99a*D z-3L0@6~tg*U|0ZhJOcy63Mh@P51EgwZv}Ke=>{l`tPW%}Xze$M24Q4<8=(6RcR*=$ zeaL=7*0%$c2pJd{4nS#ieV}v-vI~Tf^?d*(QU(TwA5a=yA80KzNDl}j>l1)3jFo`W z$o>JTg&xNYVxr&+Q1v&UG`c>hFp3@!rvsF!7#J8PKxuS+P+^c#6zl;#H!}cAqw9kT zqv!!~IG|@H3P5ReeNbVLQWSgwDscfyqw9kn(}$u5#OZ)aOn}np`k=?Tfs~?P2dIPx zlt$Obi=rCA`2kfAzIYgVmlQ&lfdPFzG)!&-)W9838r?q7ns}I6WI6+CU;&gy*9SdH z2U!c4tpGJp14^UogC6?=R*Ot5SPRK1E1)#GKIl;*$XdYch;<Ns2~ZkcAGG)Yt3@UR z)<g73KxuS+(BcDG3z&TZs{R6$M%M=|KEP^`i5t-HcmSo*^+AgdWG!I!1ZY6dfYRvt zpv4DREi&N&4d?(Ujjj*6brM+%gbfX74k(ST54v>^q85qV0abqhN~7z87FS3*Ak2mh zka*~T(&+j?^)o~zDrvD1qR#<Jqw9li$wAe`z`*bUs{RL*M%M>A{|G}9s6N>Qv2O#E zM%M>A3kjRPgv}6r8BiKsANsx=m>Ck#3xO1%G`c>}o*tN5WcmVB{S7FMt`Brx60&+2 zyJIWFofDumx<1g^Niem@w8J)tJ`X63t`F3%LRJrB|A4Az*bdQ;t`D?#3#JyC-T+m< z14^Uo1MTBNRu5xm?10!)0Hx9Of%bI4)FRUgJ0bcspftKZ(EcuD^)PnC0@NA~Ss#cE zx=#|O7MV^UpbvCM7P5L6JA;5e(0y4jwa9b<0ezr5Cy~{|*y!yuNGQQ%85ltKXd!qA z7JB;(T_5O9Ere<W3%z}Yt`F2+MW{xw(A#I|`ao@5glYr}y?utR57aJ3s7A2R+h^$d zKy7J+Y6R;Bw4i$crP1{nA(W!99zYAa7f>2qAE@1qq5;Y|0WIh*KxuS+puPxHDGId# zTF~u)(&+j?{R0#YP|gf!LAL-(qw53pDWFPGs0L_3*8!!`^?~|GC>o%g3}``D0Hx9O zf%-2{r6?47x`tMta5bR57@UK|Ku_1``au08B;{}>diq4y2kKkF6(cdw(+j#j8zi;J zO!V}Et`Br47_xd88$G?C>jU-wU}}+R^z?$R57cKwRu5yNrx$d6pnfJyEi#RsUeNV{ z`liV0VQlpDg02tL_lBuOrqS~|x;{{U9a%k$9RRIRBcL?8KF~d5Fty0E1++qSfYRvt zKw|^Q>S1gJXoac)rJ>C<m^1^ZuMX!RF>XNBKY-Hc`au1AB;|1CiD!_W;RPs-tPj*@ z1&s;76(cbwKr8SWP#ReuNEv9{14N@^4`>A*0Hx9Of$l3q*8}1kyoR{b0!kz61Gy7) zcNs_>a=#kHXMk4x98elrA4ncF-T|V~alk8xeGyO^Ss%zg(0C3=4|4w>#NPq6=Kz#O z)(4UYjjMoYbS&@&VxI(*M%D+i4>T47(u3arFMu{sDxfs7K9G8B<2NAj2`?b_&4AL# z`at%9?mz>n1GRxbGz@D%8#V?|8d)Dm4d^~J5RHxtUPA1vfYQkNK=y&g#Xx${%l`*Z zdtN|kWPKp@pfOYsjgCJ+!|?}{M%D+i4|Hc5NDstSAQlAAfHuGuKxt%sAQhmoI}nYI zFF-Flx&ft;^?~dIjrW1{ptd#{7y_UTw+JYWtPi9fbgvqSM#n3jLtMTAN+at7*#{b1 z1nB{>K{O0=KpT7lP#ReuNDb(|H4u%C4?xwQfYRvtKzFa9>jCi>yob1R1(ZhC2XY%| z{1c=OI;;a?g0Td&p{M|*k@bNTfbL`i(dc-?JBWQdpfs{RkbR*0*+6>G$EO0I4Za8{ zjjRu(9&}e5h(^a8&<39Xlt$MF8rwzJ1L7w@8+;j18eJb~JQ$=79ZNtPd<sw+T_0!+ z8C?&Ee*vog29!qE2O4(<sYAyd(1zj!D2=WUG**qS2gG-PHWWReG`c>}_%=u#I{pDw z&j4*OqU!_Q|Awvy#NPl_zXM96>jT{d2U3TQGoTI30w|5H4|Fdax*iZ;0ouUSfYRvt zKzGD})S=@WQ1uU>G`c>}eR1e|K>P{NhUp9_jjj)LcN|C^I`)7zOaq`ax<1f7a_D-% zd}zaz14^Uo1KlYHR)<FHfT}+LrP1|)?w3Q;0_GM#8?+Tr8eJdgt~szmG(rQ~pf!Nf z==wnS&Y@`mb00v}zkt%{`jDn7!0KVd3}{1m0hC792fB|ArWTnFfHs69pftKZ(A{*% z>S1gSXhT>4N~7xo-BSlsi%cJYsy_jx(e;7utV320V^=^M&<#);T_0pt6Q&wL8$cV- z7El^pALuSSglYuq1yub9D2=WUbgvykHG;JO+VEZhrP1|)?zls!MzA8F4etafjjj)L z-yK3Vf+YZLcuPQObbX+^?+~gHtP@c67oaq{KF~dQ2-OHy1GK^30j1IPf$qdZs7A0X zpbd5hD2=WUbUz+KHG=g4s{RL*M%M?rD-WR>!CC=r=x>11==wnS<{?xgSP9UEeg>3A z*9W>o51|^tl7Ke!6`(Y_KG1!72-OJI1*rNPP#Rqy=x#lPY6PnT+Ci8ArP1|)?%6}A zMz9>99Rv?3jjj)L=N>{eg7pKco&nlXK-UMle-EJ=!P)>-zXM96>jT}zhfs}RWk5R? z1yCAYALw2_glYs!0ot+9fYRvtKzH;ZR3lh7pz0q$X>@&{`}z>75v&Q&4#^BCjjj)L zcOODEg5?42kOV+!bbX+E{1B><SkMj$2b4zF2fEV_Nj03g1FHT2lt$MFy5A437>Q8; z?Z{L>X>@&{yZ(@r!<ib;j*J16M%M?r_YbZZiSYoc{sojq*9W=-5J@?lIRn}OS^%Zd z^?~jKgeyj31VB4L5l|XkALwpCB;{}>2ebnu0Hx9Of$j-}D@I}*fT}+MrP1|)?hHgy z4rf+CJ5CKy8eJdg{y?~5B!&UB<75G)(e;7u5=2rCXTE@{{{W@Y^?~jcgeyj3EP!^n zRzPWVeV{uAk(9%k5zr1-0+dGA2fA+%t{90S0PS!|KxuS+pt}c=l*5@Ppz1F`X>@&{ zdkEo*kr)loj#>wlM%M?rlMqQcoM{2=s5wAsbbX-v3E_&77$2bOe?Vz;eW1Gvk(9%k zE1(^`4Nw|gAL!mfxMCzm0<?pd0j1IPf$lIwQVwTIKs$H}P#Rqy=srWZVkE`|sQMdF z8eJdgZbKyHaApUzV>kgyqw53Ra|l<A#BhLi3_YMUx<1gIhe*od%pXwo4A2fDx<1hT zhj7J6j15rrJD@bWKG0o=NXp^N3}}b407|3l1Ko=VSB%6^fOaS~pftKZ&>e|L%Hhl# zQ1uU>G`c>}eTi_zNQ?>4j_3?1jjj)LcOsH<IMV~#5e<OS==wnSD8dyZGoT$&4k(ST z4|Jy@vT_)E2UPt5D2=WUbiX1@EizpI?Z8$*X>@&{yB3kv!`K?o4y*x`M%M?rcM+x* znSKCO{{l**>jT}vh^!vQegW<Het^>G`at(F!qg(uAD|uIA5a=yALwpIWc4ui4_L<+ z+L1-q2fC*brWS>Uc3?T6G`c>}osB5!p&Slq2UY+|qw53R-w0KTLJ2@Suo6%jT_5N! zM-&ZEjs&y=s{p0Z^?~kngepa$6rdeg4JeJS4|K;PiUuf01KNQ#fYRvtK=(aDm7-7v z&<?Bxlt$MFy897D1C(O{?Z7%fX>@&{dmy1oQ78v!2i5~hqw53R35lWs%JG19U<05u zx<1hTkWi&4Q~<OC8v&)!^?~k+M9~1{L_j;R2~ZkcA82heR4EFT0PVnLKxuS+pgSZ{ zG(b5S&<<<?lt$MFx=#|S6oo2)c3>-@G`c>}-I6F8pqvV52etu9qw53RGYM6SLN!1; zupLkuT_5PqNfZrGP6xCDI{`|g>jT|C2~~<hO@MY_XFzFmeW1H2Q8Yj~GoT&V1yCAY zALw36s8SSa0ki|V0!pLn1Km-Hq5;ZT0qwwUfYRvtK=)Nbm7-7^pdHv9P#Rqy=<Z4s z4N%SwXb1KHlt$MFy2lc#6oonf?ZBRZ(&+j?cUq!ofO1YiJFpj^G`c>}{gzOrDAWaL z2lfV(M%M?r>k>r+lyd{xfqej_(e;7uy@V=7p&mdxurHuAx<1eym?#>coEOjz><1`~ zt`Bq{CR8a3^#R&}{Q;%X^?~ljM9~1{{D5^}p&e3meV}_Xp-NFHXh)O-N~7xo-I<B1 z0m9>ec0>iBG`c>}{h1JzsN?~t`V&wZT_5N!O;jBaUInxR+W@7}^?~lygs4O%4WJ!Z z3n-1Q4|K;SstyS61yub9D2=WUbl)aKB`Uc9+VNchrP1|)?%qVz0pUeJJH81}8eJdg z9!`i#R8j!i@s)tm==wl+a-!;h@J>M0Ux3o+`at({LR6xX4bTpA2b4zF2fC{hRR@G; z0qrn5KxuS+pnE$ZDpAP~Q1w5cG`c>}9iFH<AiNdOj`jv9jjj)LpC?2mDwzQ7XlFoa zbbX+^JyCT)coNW#wgQw!*9W@i6QUB8yZ}{y14^Uo1Ks(FssqC7fOgO)KxuS+p!+`| zDp5%XXb0T`N~7xo-35xO1H$_ORnGwJsH5ux-3tm)iArvOs^0;n(e;7u2u0Na;blNO z_61NHT_5PaP>4!YQUThr*MQRK`apMwqUwO~Za~#PfYRvtK=+73RHBj-paTLkpftKZ z(4C^FIv_j`=zu@~lt$MFx?dEc5{-lo2yj4YbbX+^M$vSDxjUfh4?t;jeV}_s!3xoc z0_Z?O1(ZhD2fBk4O$(Tt0UZ!1fYRvtK=+Y?6`~Oe&;bDrD2=WUbT=uQ7BDvg+Cfi% z(&+j?_mqMaq7f6I9p)KO8eJdg&QdfjVD1TM2lfJ#M%M?rzZ9$xoq%=>IiNJUKG0pJ z=vqL03us5p0ZOCm1Kn#1QiqNUpdF_QD2=WUbjK;W9uR*8v?H?tN~7xo-FFI7hmIdW zI~FgXG`c>}-KXe!Kzs>kgIxhiqw53Rg9=iIjsu_#=m;o{t`Br4D!LvJzXRH!odBiL z^?~k31*t>F2cQki6HppmALy=BbUh&c4_Jc_+JHmX2f8;Eqz)Y$KpRpPP#Rqy=nhqM zJs^Gtv|&>KrP1|)?o$P+L&poC4UH908eJdgZdG(WAbte2p^*Tk(e;7uSp}&>#{$rX zh6I#G*9W?D6<rUAe*&uh0+dGA2fBY1qz)Z7KpQ9>P#Rqy=q^@tJs`dXw1MIPrP1|) z?qvn3L&qPW>VH6KbbX*ZTG91@_$#0dn+;GJT_5PaR**V$oB(auWI$<jeW1Hr(e;4% z63~W?0+dGA2fD`<qz)ZlfU3U%rP1|)?sP@h1LAi;8$=VJG`c>}{jMN&=-2_;Ao76H z==wl+y`t*@@qa+oGe8?g==wnSzJk=D;|);tJD@bWKF}So=z2i>3}{2D07|3l1KkG; zQiqNepbaSvD2=WUbT=%z9uWTqRQ&@ejjj)LPb^3sI-US+fX#r?==wl+#-i&1@jajo zumC8Ht`Bs7EJz&&hBm-BpftKZ&|R_^dKefOc0ko1fYRvtK=;aG(^mj(xK%)DbbX*Z zX0hqhfHvF=pftKZ(0#Mm^gV#8e*vY@^?~l5#inltw86IkN~7xo-9w8_UjVeh7XhWw z^?~lB#iow~+Tas_(&+j?_tRq2cL1vX1e8YC2fC{ko4yKYL$Lu$qw53RTZ>Jf0kolL z0j1IPf$p%yrtbw*{Rb$Gt`BsdEjE1%pbg9wP#Rqy=x$qV`XZnW%mgTnt`Bt2EjE1u z&<3Uilt$MFy7LyBz7tUO7oaq{KG6NQ*z`3(8>Ssl8eJdgE?jK-ET9cj2PloM4|Fds zHhmwU>VH6KbbX*Za<S=K0d3H3fYRvtKxcqp)0Y5k&}KksbbX+^bFt}@fHr6qpftKZ z&^@}?^j(0ezX7Gu^?~lx#ip+V+7O-qrP1|)?$^bp&jH#H_JGpp`apN>V$=5ns-6Mb zAV${*x_1|wz70_IJD@bWKF}S!*z{#U8_)$%8eJdgK3;746rc@g4JeJS4|F##Hhnjs z>K{O9bbX+Eda>!70Bv~BfYRvtKzH_H)8_$gcn3gfbbX-vd$H+bfHu52pftKZ&|SXR z^zDGEKLDlC^?~m7#ip+S+F-AM(&+j?cl=`0rvYuS8$fAveW3e(vFUpNRsRA?qw53R z{fkZC3}{1t0hC792f7Cso4x>OLq7saqw53R35-o22ehFt0Hx9Of$j&!rtbh${Rt?I zt`BrqFgAS^&<;Walt$MFx;Ge`J_Be6!2(L7>jT{(j7{GQsQM338eJdgK4EP77C<`| zE1)#GKG5C5*z|Eg8_)t!8eJdgo?&eI1fUIQ2`G)O4|L}+HhmJ%2DAc{M%M?re;AuS z1!x0W14^Uo1KmZ8O`is|0c`-K(e;7uCB~-D0NQ}IfYRvtKz9^l(`Nx~Ks!KbbbX-v zim~Z)fHt5#pftKZ(A~w@^m#xV&;d{yT_5NkV{G~Ypbh8<D2=WUbf+;keG$+GbOMw{ z*9W@a7@NKXXahO}N~7xo-F1vjUk0=RT>z!g^?~j^#-^_T+JLTr(&+j?cOYZaR{?E6 zH$Z80eW3f0vFU4oHlRD8G`c>}-N@MVbwC@?6QDG@KF~eM*z`?+HlSxfX>@&{JCm{L zn*nV=FM!hM`at(5W7D?)+JIgGrP1|)?o!64Zw0ggy#Y$2>jT}Zj7{GLXajl<$MF zx?>rez6@vsx&TU}>jT}lj7^^cv;nOFrP1|)?q0^G?*>%;11OEI4|ESRHhmMI4euFH z8eLy3Xfq!cjULd3cL0<|*B6IF9|N@E%>kv+^~K}Rw*#vF0F*}8mw-cG0kpwh0j1IP zCF0Ph0d24wKxuS+NjUU9fU181rP1{z<Ipz)+R$GBrP1}J;LsNUZRkfpX>@(5IP`Hq z8~OrJ8eLx+4t)a9hQ0)pM%R~)L!Sh+p|1d?(e-8E(5C=x=xab}bbXmP^l3mF`UX%M zU0)UseFo5mz6F#<*O!e$p9Qp`?*OII_2uBu=KyW!dq8P)eYrUFc|aTb0Z<xUUmgy9 z0nmni1e8YCmybhV1hk=_0Hx9O72wd90Bz`JKxuS+g*fzOKpXl6P#Rrd5e|I?(1v~m zlt$NAj6+`qw4vVsrP1}3;Lz6qZRmGEX>@(1IP`Tu8~PKVG`hYr9Qquf4Sf$Njjpd8 ehdvKzLq7mYqwA}{p)Ua1(2s!9==v&g=mP+5{@9lQ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..3566057bc2769670b9fdb6be482eded97c3d341a GIT binary patch literal 68473 zcmZQT11yRnV38RL5PF3_ga!+a5~Cq78UmvsFd70>4}m%V|NjSJn8DI829y?1XJBAw zfZ#9x|Nn;y4|-}x0Hprd%L#F61>@Vf{wqR5MUGuvv!-WB6Hkkbg#j377#I{NJY3M& z=-BAk*u0?LiEjyyjEiKXML|N!#RZL1o7uwnWGo6UEU*vKFL-dkF`!vs;koVSfA8IW zzV7?J;%l|u_igu;KG?VQx>a_4`rG{a?EBsC`@{1hlXiU*`T0LJoX@4AQZ&Zu@1?&> zy{F&Nzx|a-{rHLJahk7AzUP%*zkjPFYrNTh=RZ-`=i3*rGyFQCS>M}dnnh{x`bYjE zW%~|XY)KSeyGK6yVvWg<O+RHHT{*v5`|h3T&$sp-yjWxK$@1E-^83@y%YC>r{nzz- zcJF_^&r;&~z9oOxo}cq))&E`hYi0P&f1+|Hj^tnF{W-O){p9r9n{|HdN}Ls;@YG^g zt9+?>XOp<=dh`2VZhhJ8+kgJ`yDK-&rk<~`>}&e5$=EY8#`OEvcXJ+O&Ds4ksJ-u@ ztGTZ*_ZAuV?H^J<hdg>@RUda{?&d3YZSF=NQ#(%z@$Z{mb=p!YooD@rxzWA;cVlhx zBc0#PmEW1;qE=|Tde=RrwPoyf9}Cx3g{*&+yh$=|*SZvwNai)i;%a7czqVkM>*~KP zo6dh}OPHRk>9MRm-{v*FHY_!+SoGe*>cZ>3%0pReW122Wto|rq+v93mYjnOS-PfJ} z=<)xNmAQ;-r+V*{N;aKWVte55<XrBz74m!3t)6XP+W0*pI_dcD2e!8i_v`ZRala*G zeUJV9!@i%nnGbBQOuO;Xu7bbpjQrGP_Z|fG9gFB~pCe!OrF8eR-*uh~qqwIhNhO=c zy(kTOu5r4~-c)O0a!mHqOCr4+rSwhsyHock&y{7ho~xEyv@m?lqlMAZ^B<?R=jEPR z7#aDcD>P@-ukhE8cuUuuf4*%M+s*6Q_U&snM|@kbEZb13eC{pf*efB`U&E6&9r^iP zQ7k;Nt@76iLyK7{vmz9loF(F?&CvNMz2e;?>jOWt()%O-vwYZFR93oJSvX|-%B^ZE z@-ACSmtN;t;PGREs+xDq^Ujt=i&g)O{;IHBFy-4U_@patapvH3RW<KFY*y?G?c$%E zFnm3~q4>g=ZRU=p_bn&gQ8X9Zl*jpa*~?j4n~p!K-dAhcGD#%JaMk<Z;LybvUX^ma z&sUcF&1|$!$1w8I<f3~|w?0j-sJ(W=xo=|s!Rm98?^)CLh|m2PS9Z+$bx-*@$@hB% z`|BLEe{4FE(#c=B|Lxi5<$q(&p1s_?D#OU|=&!G`Y5U(B`HKfj&V6t7J<c@LbVhvN zf$CYT(>axEuAR)SiA>sbWGTCC)A5j}{a<%}Y~*rYU#R~o(GG0Cb4gB;oQ$uL@0sqC zuM~OKf!q{W{$cKG#ga+oCl~lH($9{wFn3a4IC&0Zi6f7F36t{H*69}hvuaxWoYXCu zzi=A<xxm?TMJ(=-P39*-E6%x3crK_P`QWT>5ENa}`FY7n_ZKNir9$_Q=jWZBBlp)= zXrJT#gXT|4H+=Bcs{6nTGL5t1K4-1@_B6l#T4hfAFJQ3?<&*ne7YOH8KF@9{5h^Xe zxAoxn&KZa7q`t`3ILEPb?_ctW{k}m^bj8eu?{hDd7iT~Fa>IPm2WPMtXRY~9j=3#< zMQksGlYT4|mRPB~=SbzHC&_{@maPB(?(*#U)6Z3}l5)R$c5%92e{rWujdMKP{R!6( z9@1a=<DfanH=pk1rIma>peSwsqiy1?L*5_mK2a_avN|cbz`x^RyI;bRZ6Ac5drszW z_H&7Sp564LZ<qD^sG9oa+M#j3<i6T;hV8$;>->^?|K7z*^XIeid-CVA@OQRfZ*D(w zSpA^$qlb?lD1IzZsqnC=5wTH`v*VEy;%^5@vV$c5K2ZGlA@9S43JVDv6}fwyazgz1 z?EIbW`c3Ue4nGH}aQQHy;)hO!hm8!soDlzgHvZ1`e30aIkYox-r?8ERTph?*dyvKN zL1xcC==>;AtHQ(Pk03}Aq*ENEDIcWiI>^q`U!N~rzu!JJ>D24zw+}pgzWW2H_>uoq z{_FRt^7z;9R{Q;mD*v-Ds!m`_kEOcDVNf=xIZ-K8^W0@?&%=o(;I!8Kp7noynA828 z2GPe}OoNEEzu3M0y>(#uzJt2S-{wQaoYlGO_}carCvNMxsGA8+-tGIG@9&gzQm>rO z^UI}u!b^p$LubB7C;ocx_9QyJD{hz8xnsZn8+@&w`s!(ICjZypGo+{eRA}CQQ8ItB z@x3%<xnC`+e|CP+iu-!W^xeMKzN<dEO}((IexYC51OKYKSE8f7Y(2W8UX{<TqTl*) zZt1bx&w94smCSE9zUP;|C%vcQ3#Zk=_tp2`7=1eM%iH_@o3dlo_ayWCjqiD%tEis) zF|P1f_1O;h`3uDV?E8M!PMLqr{w-h5)}`-ZK3Bm$_amR><Gs&&%5O-%?-1;_bJYJ) z`+oLfH@S%V%$Kv1&s7|s`_a$haqY{V?^BKMd8Y3PcC-1#viHIFz4`l$A@<EFJ7)d3 zr~I1a`#Hw<D%1DOpYyTK?9oN_7p(i=*A^c<!TefZx7PS+Px(d3_mhn8rKa!MKj-7W z!ehU$!yOe0b=0KUr>r0Ue4tx?Ec#*3_DhoaQ;qNKJy)^1x8e(@)`9oc_tzML?Cd^w z-Rkk$;$zY8d$u2x%wKGLPc41VS-8WtNmM5MUAi=1uKd{TS3TR$O6Ko2zQ>ooXYRR* z^AaCl2!Cn1|9#)h)F+K!r%&5=^KH-fJ;wLs()UcC^YNS2<Gqi1zR!XOL@hKR{+_E~ zpYxH=>alFmvFh`Z?>89V6I7O~ZHoKw`<}Ubug!*kmoAwfpYyTL`tjU?W4|v+zSmCQ zGx=P_a!~A4fnuj79b%v5+n(}clJD0T-?L2L^Z8tbz2)P-IY$=zU*N90|NB|fMD}a( zQNPkoNxolXd`~rf&*^g&^_GwSKJNLx9`2~sP)E&-kI)eAv8y=!la=G~A0yd4qUS2K z=Y9;ce0=wH&-Pm#?(;XugJRPZtp4KDsPsLh=PF*${b*+KIJe~3?I%6kZ$hGA=P$RY zOWxtBlGhrit$*-bLN~bW9$WkDAA#UPrRlwz{KCl>JkK%IIuR;L6EAqi|G$@d<!(-^ zrR}d4l|QZvgk^c>>Q~Hc_^k3zF5!8s^q;$O%Qp10)w08iuI`5S7XCNB@QKAv=-SUw zBJ`Yd_Pv9PxPv}IOXKtEzIIOPf3KYU-|Jnfn+R%wGB7YyFut90x?3hxq<!`0885WF z({EZkI=VVGHzg@`cKQpLDrl%p`oU1Dy2GQ%I<VlOlZ&Ivbh{554IUh7jh=7#=*6*C z>HiGkMv*UUzh9efx!p7T{;X9m*PZ*rbu0M!m$jF_*L<~JUS0JlB(&~Nxcp*)ZO7C2 zKNZ;Si%d%Ee9!g#pXuSs)zj7c&p(V`{$-BMn&al)XLLS#zp3tzH-A0HhWW<#O`opq zOYb~m+kcPa;cV5kX+KoI=-!jN(o<})iRZqK;pQVpcRzjbT|n0TP=RQEhYGj&-uF*z zmI%K*A`B|4z10Og#q?&WTk^kjvHHjYst_)5x=d>Ae&%`3*=nMn4dbsCiMq*t-`b9T z+o1eq`qqe#g@*rUelX6i_)>c#Z5>B{?e5<vefCYSK3Bf#dCmGSbKdvP`@HvN@xJX< z=f3-$|9S7V<$ZSB=f77yuZjOY=l$$?pKEUy@7p@<=ZtC})j!KDvp2Utl+szgBxB2y z@0L>f89$di-D+7KA*0m3`>0}(g$m!q!;Xa=GVg@iPdsd}aN+xXRIzABu#8gs;zt6O zHd=fW4=aNhI(!okJA)X?d=n2}ek5QyN2LA4!xjq{KL1YVCj~JwO6|!YY0G-P)4$$t z-}+wce&grAR?{DB|6v!ur!hR?;pw27k8K{C3SR!Imj5(CwqE)}+==%$AKY%-{*8AJ zllDE<35SF%coXL7xZJ<2aWn1B_xthZ{(pX|U|FNc7sx-q+xf|dnHDa5^Shj%eCV`r z;gbi6Otf&}dwx{0=!dI}QhV_u0n0xihxUUQ3Vag}e}5!kS!2%5_wDmu+czBcCF_@L z-t_;|-p;=h``+-EPKm866s_cV0E*QefB*9TW9vA2c%S*-TE_qV)1SV4z2U6H<>^sx zvliQZbKDz!HZi^8;MxZp7C-y&a8kjBzhw^({C!pMKZpA$`}*|ixDWfxP0iUh<bTu) zi`M^~nOTyg+`Um$XSwT^7vA$1zc_Trb=viN@&7Wnu6|qkU(HT_#rfvAYtg0gp?3=` z#3go_y<X}i^X!0JubXSW_qU&iqk3#E|4yrY>h!}W=V$n1)6mk=4cD(ce|&4(+TyP# zKD^#zcBL%#xYg?Fw)p2?7ao(gzV`FG(3Q&I6(67VmHsPv|Ka^}-G#@Zt*=#n+xPH# zW%P=V-}*}T)&KwSdXMsz9s85#uD3JzW5wSh#b37O^HKKp_~#1)9#8DF$}V5_@b9eF zA8DO4RnI+VUcquY+`sPUmEun~zCM|;YsW**Pa9akseWtz$8S{={A#A>eChmURG66O zp?;}Ty+N<zAyG+wUkTI1l!uEdCw-paw?x>#!A`MFf3AZ{<c^Gaq~@McqlhnQ1}Lm9 zKRfx$YhT^8@^5oeZ&{qM@BZEQYtqGxE#;42y-c@$9K5*e&*g9Np|7>Y%fhEVjbD4& zR!q!3F26YDxEJsG<5hnmzdEYk(B8T2$fW!0)Z6#3d;8b2%I>_=zsTS7pZ~2?%J~?v z_v}XfZ4c&DMReJ(wp+on`@-+f@7KRk2@Tlwzw+Mv$BEtQuX6hsV>4E^KmBpKsQ%u+ z+#lbcr`&k7`SzE8Z+_SQ`2Kw7hNI87zx*5dd-3PLJG*XPOxayySD~2m@yerj=jSck zr8Ymg?p9gxrpel~Yd>w^+J7`AZdUF4qBTs$_pCJcUOaTvZi!fJz}!i_Ha5NYk5nh! ze<mjXWdEIScYl3;KfiMNfu)_hV;emVomY>xtM_XcuUUKV*^VE4%UiD;PW`d@RkPOy z@5{%xJx{&!-9=tmH!pJW)4I64+B*?jswe&8d}#i%x~Y79@#eRGB7buqUB>10Ek9gg zQstTX*AH{=i_S0RdEw-3t8+Ymm;JY(ucv2T{lgg7*sAaSpM9qD<$ZgQMoYL)h=ht9 z|LdcxoZWRVA=k}+lbWZq=V6b-9=G3Q@}HEO)Mg~*CFvy@C3#CDQ!`7%cEhDG&(m^k z(<V*ZB<01)CFORjIn*Oa^S6BYzsmC8W$D|F*FFDyruDsb@%ei@Kkt8De*W&vo2lO( z96Y?VHTXp?>-;~aF6$3&E17jPZ_|9?3qk+>WhUC+nP0l`OXt%4f_EQz#oRbQaanc! z>?4X6S3dN~d+ggG|5)kT+s?XkqJERw+S)GPDVw^j-|CCg;rKsKRxEXYHt+XsnT*&u zEz8~4-OTt=cE#dw8mDgQX3N(}FNMABkGtn?aNqs*(YBlYZ_e92`j+{o^xNU<5#rwS zBYw@^oR>BIqe9x8sGwe5ng0`~9pA{@FIDg-=lrgSUq>$KZ`AAF9wIFMEm-s3)^+yx z|Afp^eG|V%yQ?nixys7pzdrM+)CrtCvtyA^PO$mxO?uPpHhw9t>EX)R^>0UG*UdDU zn>}D}#XmYbqg(#tvn!LbauV&Qep1}lyn^v}V)eD7m(-{Ib1UiAE=;sv`7Y^%1pmf7 zftUFz_k04kS02rU*gN~)yi12S*Kb_M@yqhK<<8d|^YmJeOr3b9M_cQlS?Oj?s5g(V zII!&XGU*jFa*s_s3-O-xNp?S7s6p~t?;^f2{a!d5>>@-!@4og`{myA0?Y6!`!Pjh) z>z=8rb6%6@Ow9Rde!X|KLSd<jv2gX0OKews^$`|rE4_U*ceDJ>`L#QKUpaOB|Jp6$ z-}JA&onH59z50C92|LUt%`9|Py|FCMEHzfAHtFT_9}=QB)Sgbh@2={)^@`;3{qt(B zIX?R3k+w|3_+x!vyY&V+b2~TIZJs|_*jFsEXj<}1*6#oB+Ks#ucGymunYchWs;~WQ z<oZVcs=A{OR5$eK&)yWLdoN~lihRPeD@o#^y1(r&oeN&YcdK&Z<K^97r5@=%^pCkw zzqI1|uYa)?E9(FL<P)m*xh}uRzv|w_oNH%G{(8>&SD$^t^PqSHI3cKBOZ}L4>8pB1 z=&v&8xmUlO4mz;+RbjoSoz;WX47TIzmKNCDY5%(8qwDFpd*sb$+lZY#8(1D`SCAzb z8R|W6>b?He@80Y=QTHNg?=2h6%YWxTGb}w4`6|Ww+H9}#v(N31PkH3GDE^tn_f^M# zU2bUJ+RHZs?x|zTcRnjDz8X92_?#%0u&a~Ocl{GNe54{|_Wjh4|NO6?jk-R6L9FIG zm0z#-{*z(vRA2u3e0;{8mL-#my>56k=9)dvy(0HgZ|;P4x8R@h$LFuJKPq7;mvnc< zMR@AHEb>vWy53f8V}{9+yzTu_-_oPM1;>fo*CyTU+gSF==;~WGt+d&NDaMfWXmYQ8 zedFC9DtFUlZq5Uz7W>|Esr+)gC7+L+opiWz(!Td1j}Mh9&sBeEQ>c8P(oz2POPfTO zu4#oUx6Hdz^Y{L~FGbydj~|^|ef9D0T=B;VvWuD1ybcRSKd!hj;p>i%md{`N{`xEP zKF4hrD5iIY+y02@YL3mD;;(nu<Mq?aoI=*pPba@!FyCL?<4x8S|A#SuYj!y%l`pP2 z^!dx@myDgZy`MhnUpW6<%HvJy6#sute`~6oljIlIFzwt?&~@zd>(`1K*9Y3&Q~mYx zOY@Q0J)cf~JY;i9vG?a?`J6n{Q|i@`CIv@p@|uq@y8RTX`CId@<w$kUC(ftOI)hEt z<ufZ5P6)L7C;IE>9rjLssi%{l_qrc_Z{K_{ts~Ix;M9u;L_bS%bsp~d^syp2!f^h> z1mi;*^XJ@=DeF4+xq0FQi&Gl&--Q19+0%C9c+V%z56z-SU*DG4AelYIzoHam?=i<m zlihy)`18QqaQ?>x<AWOW_tgA&D$@I$U0CsB<l>s9?603^vUE=F{q*t4VbA3mXXa~8 zu(+x*|4!+zpFYh;Ub_8E`FXHzinG+`bhaC3OinFVkbh_Gsysh(g2i!-`5)N+);x21 zr0w?e$ET;<Al>1LA4?b4d@_Ia{4<-%Ipb6655%W0lDRa)KELs7&ElFpvllmNKikY8 zBrf%I@@`lA`K}Xo+|Zc6re^0EO{weKRVVDYqA~x_+h0FxI6CJ`JQe1<a6Vm9MbG@y z@%`TR^F=4@xTG=v&)r`?*EAiu>h_c6)6>Z188-G2%$?c2pFY03dOlrNWu5scb#o8< z`MeW$T-2EV=I^hcIZa3Mdp~K~ESP^j&Erne6n~2d`}u1p>^Q3-|6lQM%{J#pe2Z&7 zIe+>5Q%<N{>Z!2Jn)&DTJpSC&kk6k{XSd(sQQqR3qQhT5-(>FGFZFaX@0ZUvxjTRN zeA4W>a6a8$<zL<u{~sN7cJ&TP&L7#YM5oND-sx#pxqo_YBsjB6SKh0gY$tzBe(8LF z?+ux`Q~c|;)Y;`8ShhCM?%?8IKV`Vh-u8U@c<jaVVw)RtGN$;yEBssI$22>*=hMl) ztLLAGY_L46G5?Uo-<oqQv%k6hZ1I2byjbkUoa8C}_Hp*}`4Wof1={^w{^hf!e2$*c zDfI`<mWyV~?l~`5{=Yfo^~c{v;?2J9i);2Qmwc(D&|9O&I{S3*r<1me=liQ~c$qWB zzid*SUF?Bns{`#?pMUxMlFjUH?<Y?6*Uv9A=Tu2OeQdve{&~}c%cnKw*Q}WDpTFT{ z@)Ums{`Mkp(m%Kil=QiO{rti_8<g~Yub*FTf8$Qp6#s9oe{1rXXM+-b+@<r+{Wk18 zt}(x0TAdy9!L$j1cK>qz*1Th$&EEUz<oS!|(+zI$7@ktEh_s)-Fv0kYhI~K&x1M!@ zrg8K6*k=p6{e1G|;XJ>KC(>1J^cbB||2?bDZt=lqhKp;sY7Evr`kKpgqsR1=dQEWs zzaHk<>b;*n{(O*h^rx|0j+(^N$<y1#tz94gJm|8a@|wo{2eN-_)EdvGEUvMs+_5Ft z<k@+V4KuT*_`i$&TeGb3Y{}x9O*ID9$myLwy}ZlKZu5b(zCgQ&)xUn8VVxb`^XcQ$ zhd=!;&ahwKboRB|&nNe<pMTD~VP^Ui{{!;#I*L4!|LtKl``r8Kqur(X_S^^376#hw z?Em^XlEtjM_tQze%jeTMZ|InwTK-{Wot=EsS#`IcEq@Yak3MHVeIRXTpxwXpU!T)i zZ>%vnrT%|so!#mKX<GyB8vp#57;F;ve+}d8t!_Un;$J-9%$IXb`sw7y{Oy`{_BR;J zq<cS|tXpYcZ`OEryW3BZeV5Ls8{fz=Jf%KGzPuPzV608pd{#pq6r*JuZYECgpJ(5* z;>Vu{)f;YRPVxV+_1~YHtY-GoPal75*A{(#UO!>;?#`coI791%N}G`eOME+ILPc74 ze>PU@Qsc@JnGzTf5U?mgVO`qV**T?Cx2}HU!pwH1HKXaE2B%wr!K-7wVq9EY$}jAs zn;oYt&{)*r>~N6t-<{d!@kRHSWUty~oxJy?HS5dY@7}Ju9(v#M{jycoDF!dL{oTEX zH@E!%=A!6Xk&%(M_LWu6+n7IB_v8oMPjUSp(<jB(e*00K@8e$adlGyzmcRVN-n?|? z=h>J4SWi>8PG|o=QBC5ES*?Tf-1Cofi~6tF1U>(ikX@L4XMOG&8{g~Z>+GucwUzuz zdG=l6e5=*-^MU`{?doTl)rYP+|47nf`s(wOGC!9u{U!5nSxxOf!`!xg1-Y~Lez^6w zwe-B;6S<X@OZ@6JmhON1XIo9JnZ%Z}Q(qOo+WqP4OvBu5VXMyX+x+x(@!HJd+NHm8 z{_m@)%`?c&TN5&Wvi+3x=Y5x*Jv;T)=I6&hecgF<%g(hywPN*J@$+x{&bHRN`uxNB zr>~2bXBLMq{q^S0(wf?LX1VWHhRhG%KW+W_z01zlPJOlc{q|2^ci!Jpxge-^+yA(I zcKox<_(NBnKY8@i*UB4PDwhP+ew(8mKR<Ta*>|g5=Kq-cGb?$Yf4V$449aajnmbD8 zcmCemJ5&AK#j-OizRzs`e!@2Bvq$W6+h671PGoI0l)2e{N7=Oh%F*aOi{+n8-r=RU zR`~0|Bdz-s=buoY$gppLo8Ei}71tdr=0CA{BK%WDC}D1Z@^pWNNlGzS{EOs1b=C-R zZtz<%@wB>tr=Z>|bxZ!AE_R(P5$ab?JQeO(!Xf^3@|^Y>rTH#QYlO3&eByKoYU%#v zdCu8xqQ4U3HBQr_pDaq6hg|=vJcn5Lx<zVd4bw!Chl}baeV*X|WU@y?sZ(!^U86@= zL7@G~$`k6JJXH=@EgW?gD0&7uv{oKg_cs1nx-Iqd`J6LliGR2JOg;Q5@bJTeXfsFW zNp`6g3ICpb-Dy%>_c`Ig=l2owvZp`Y{kA`Uvv}O@{U0i29PgFY*46Ecyfe$~ZrsfM zFMl1onx6On%C9@Oo}O8L@p<6oXB+>0*}3fW*Ny(O?cQ7$o7w&soP291_byh?*^_r} zcJcSu8$YMLtiH9Y@b5hpE7Ptv$(iljPlD3;-Sd@4E30o+CeK^_cF*y*_T22J-rM&_ zzpr%q%YNEy9sAe$=T86MB-?me8l1(~{WIQmzyEgqvpAjo|7|k&KG*yzzvli9xhwTa z%O&!8V)~T6dz@7+{W@R!a=lpGtX=<0cD<eZ?C0yu*S6=sU9YdIwY&Gb=;yCD=DG7$ zhs-~C@Y7ezgj+W8EA2kFFT0k0c7J@;!7Y_b?Q5@{{cf^re^<HF<KCV5k2JtJ_4?nd zQ+Mv4Rh#?j-{xoE!`at<-*YSe|I4rcvL1T;{3T<S+ZVFx{5|ti*PoYOewMNH7f;`` z_2&&QKdV^!>x{g1{QT`cv$tzsO@DXx)7P2ixqcz5&fk0-{Ce}V^ZoB<naO`!-ak+N zF>}c28PB!LltI4uDtJEe*LP0Akkb-<Z`|HZoaO#XxuSaI=VLa$|JNnmxzGOnod4bW zYkz)zRDNwM{~hGJI+;De`|Re=Fq<E?>inP1PhWqY*%G-TWd6?Yr>;LQzWl7)>#ODO ze^-93`T6(#^krwm|Gr%O`~JCd_v!C8TeSRE1(nJ2h4#yS-{)AB%Hs}m#-87M|Nl(@ z`+EQKwcqEwT>n4j;_v$}It!2eNT0I4M$UZV|GLv@-8-i5JNaC=^xlLM$}x}f-~E)E zoO&j@(SOn1=){9d8SYuV58FDc+~K(4AKiOrEu!xWzQ5S<U{T$q9|xRY8-3@F0To>3 zZu3N+On&{zINJ90=RMn7f;T^(yYb(1rgOLDFKuT3YVcg;aQEk13UcpD&%4_!Un_m@ zV|SNlrTO1H@yK4|eX}Z0-+ye8__cQTkCf^eNkR3$wa$mv%_wO~oc_6w-{SR;>iHFt zYnME@biDRzmz~AM%I_E1&q|A%OZ%7H`<GkzulM6oO?9aSi;r6{{;c}<yT~l&!jr!$ zf?UDAraqfv?VfAxx&He53E`j7e^qX-?)LY3r~aSu$)3VOAr8dilk7>4&3IZquTly9 zIZ@`I`^Uffri#}tm(5o5-LNszHTdl+UdFD575xGVr|z9Se*E}x|1X)Z%>Qn`_TBjF z|4(Z!P24C^_ej|8#`%hpeczvb{T9EiYu<(Ad-azXOYc2=!^!hA<j9#l^{U5T?fIW! z_vrlhUn$SdzP|nJ@AY##AO7#K*m=q2_MUq6Qq81$wYyDx_!9Q#2>o5i|L*+S)b{_% zJIX&E(u#i-U{`eSoc3YWiB=o?E^cCe(fq^CH?DK%<HgUW?w{TK<MUp-XSQmbde3Sf zGt2GZcjT=)vhA^S$g8!L{gO?m1<$MZz5h5%V{e4}6aF6!?`l3h{(ihK-z9NxX$@HZ z=WqF0lYae?-972~Tuw_-mk$p2nz>&T`hRlUarXA_N(Z4^Jn!}Rjs88AeE7ek*15a) z_wtjM_B@VN-k-n6T7>n3gUw;yFOD_y)9l~ZG+kY@-D!@;M_IS%wr=m!XKw#YVJv)H z&}P0q|8(;?b?KVl3z_om=YJ6}|H701d@}EX?uC-~&Z%>ENVl`zgUEyUwx+S?KiFm4 zz1;WwTAldC3wE^|S1<mT_H$}w`S+zqes_EqNbk-6taq;`W!_Hxv$GpSkMiU{f3K8c zbpAtGjqrv=8TXPK9tfFEfBmkaWS@B5;#BPyg17tf)q*D*@0q(lyXWSuJu{CvvrSk2 z)cN@LM!rza*AlwFo8)%h-ZRt3_uPkX-;bIe%bEM|?0M%`?-lz_G~X>2jb~GqIQ5|D zNVWX`e<?dug4C}WMwKzxCOz?Dm#TjGS7AQ;zLRTpHpQ1U6(9Lyx#9Mozqb6%x272H z*<E%1$Mp2wzw?#nGZkh2tUGWwU$`RWOS@d*q5baJg-U0wo3gbJJbIFEH?#7y%k4e> zpRK28$8P`3bx@<jX-~lqo%1~Z6St)9_`tLO;-`QYh4ohJ4Q9@o^YHBJ*L#8<^JX{x z4zq0hul(-3UR=b{r~bE<pTFH>6g%xLbHwqCn-aesRQ@qJuPwf7!K=?)JGDBQ@}Ey; z`pEOXqp&7wjz{H%_n~<##(N|;oU*RjAirk*t+Z!vcP+kDyY=*kXJ2hEe}2=dcfGVd zfn$+?x{TRr<3s-ie;z4K-)Zxb5o9{YuLqXjObQ?EI;<Tvxz*xh-SUQ*2XFtLt3PwQ zbUDKgW6?*qOP43_Se#bzyZWH)qW8zsD&kMHez#_E7BJpp**NFr-_F;40s_L5*UPBi zd+#F_`$Fu|k>9e7#y%|f?!9N2cOk7}_dAXRbv4O*>9ux+cW3JCx}bZc^L5_=Nj0YY z>#_%gZ}H?`mt}l&MCI`9(sU;O7w0~Ft2P7ke>-ifJMU(|VtDxXnx98X-8nisPlopi zzb{wo)+^yIeDoJ$$op~z{|w_jvE?iqgeUX7-)uL3$2+J)O5Gpu2sdtj&G&#aw{83D zK8CWSNe|!dGHz5aNw4^AI~&ZeRgB)Bt}M|s<6-T!nn$~oS&kfeqJ6ycyY*yO@m;MJ zmS^jhpH{!L|907t`_At_eb20j*Z3FnoU=`V>$__E*-t$m<lUm%xX*u(*5z-N`<_xB zpEp<TU;hsMXrKG`zSEw`R`cJhza!hlRMDV+$ASNvO<?K0hs}Q<*ksL~x&8GXukTm& z4$r>6{b=XwbK1vB`VZWGZ+f{To*fi!dy0aqjrQ!WIrQq&78F|yAMHAvl2z1ZzW)8p zSANs|_w3hc@lx|UvzuXuvFUrh6U({u+^@(U|6bucC2mdfmK^@tfagp9$ex?;cR*eE z$(cRpqxAp&e=5k7l%#Zov;FLm*Ndh9{b#QXn6>>r|GjHMuO;3lmqwrabw!!q=z+0q zef-O&TYI+tkyUu%tmmz?^GwnA-}9M1^5nmN&D~&qndklHXH&UjvrX4eSUpMOLz$hX z!1)jFzGch2J8gV;|NP**6$g}~+SqG9%u)Ktw^-MC-Wi8;XKt6KGx)tZ_2Jpqub<a& z>@pVKvHM>MPx%#|{O404$;^7ajmF;PGwpwUn6d8nIqk|l`pU+8=7z^@I4LW6@0>Qj zm(h!JA0A$;Y`xgveMIKCY=2<NkKSo-7xM4RzisvRZhi5;5+=Ku3ito+n`!<(Zu?vH zC6(?MZ)wGJXP-PXTm4n-1*VKo8xGWF-+X9)t?#<N<=&SDKYFLJJAVHy`h02!x1yil zXX}sJtaV@a+F49IJ&B`!hx|jHUl0D3#4)NYJp19Bod<)p;mHrrs>+u=pUNFujN<)1 znLht+VZQPb|L7mzzqgvZJP_1%Znj%7UFf~REu$wVd7hlv6I;&q;K(|j{J8k*vVvch z&pdFuba{i#VMx9^`{CUu&ee0bhl~C@oN@ZY)vLk$f80*9FL3YK@eWpY?D~ATa`!ut z3F)9b%CN&2m!944epDaq==PZtqtWnZUT*?NQk(g6#;>(kK_vl3u6p0gdTwv$fxExw z$vtYD_wenWO^hXmCWm)d`&s(Ny?o^I`}gbK`<IVp9V{;Wq5XN^rK92B`5(CGc`N;K zJ>9q9xN)xd^K*}RvVzZjc=yi#*nXSack88pZdu@c`aAQxy4eSm7u$d75dI%G^W>E$ zJnyyV9;$3j5IMqAzEAP|m%2lhO9dq6t`tyTUTL@I(pIbW0zFL{N4S^Id^+=?ea|)? z@p%u;qvW{m-e3HqdJ4!#Kkg?!v0kB;UQsOfVt(*H);2~Fk11@3mO<jW+ipB9lg%=? zzql8*$Q}K@KV;JyTeH14)eF<VD6BDHk}K2fzVb`CeNxu(zn@a8fB(F(Z`<KV?^o~^ zCZ91(tk1T7%zs;c@^be-?{yvi$Zl2CyVkj5L-N_&wdZ?dzt7io`XjqF^xE9dx3B$u ze`crP{~oKK6UA;_`x|alzC5Bl<YBeyyIpy5AG&_anSN_ezT$cO_xrOAce^|4+1}^f zz4<2W=kCg9yYBkeooC<8UfE-Kyuo%w{`bi|Sw(&y%Is&R%;8`Eq0Ii|ku8<2<@+wI zDXA~=&iL_rvd@dX&zF6;d|AfS`uM*M?6r4lRhwtc39tA+IcZzf$<+CAlK%5Q-aef# z{_Nw!r+d%u=-<_l{HDM1if3J1;&s`hrlARipL*ABddG94HkJ9=5pS07E4L`uJ<)rf zpVWESS<w7g4Z|Bp`#Dy&kLGX8`#yC}U~ZqyB0T}#ZwvgURsP%g@a&e0-?cg03{+no zt$jMV@^_sp!=0UBuYOOfxf3qLlNj}Io-}85{JY;%t0!sgxf|Zn^e#qwPyWf{wW<dN zmWjT5r_J=>+}1<4YnL{w%@Dn}UF1HsBtAxpnQdD?=cDZ#zv=(}@Op|I^V(Z-=kLyc z>Yu+o|Hbs++|(8M->3E|CJWuU8!mBye?C|KcTvWI=TQ&u#+u&^w`%M0EGUmpbg-`h zxtry{-0arvzfW}swfCFF3!c6&To%7D^Z5R=wYio)Z+NZ$Nw@y?Qd@jBe#_~vZ-0yO z{``NBrTn{SV|DBH-(3tlir0Sl_7|@C@15G#Wp95^?Z2>)Z}E<0TyGXP^#ARUPPP-- z_TM&4?aMih&$H$}Uvaa%`FF@ILHl)_KX*+yfAox?_-hxQ8_iWSA(3%^y~6BY9iYe% z{I<aFj|_{)A&-Lc_@62Fz=1k-#rxD>USC{t{_PX+C`exFcV>37^`})I-u+VeUOoBk zlYD-y#YcVKtX^Y%|A1NSx~jb~%lOOogvXZGN$(czw6giNGyVG!Yxaa#!)MNk{O=yG z?FT2tZFXvs@|6}7^<O)CFdV%7OQ2%)I+uNG_!+Gz;)Gpozpg9N{W^t*Kc?^B9k#;c zGwE%$3(v={IX&mW$(^rLcz9ygoVJ$#;P9Wp^oIB=_0xC!fBkk};Z(ck%6Hd&^;>*u zfB)BCbKO)a<dt>(!xgpuy0bYxKkWFC9;3h8&SUNGp8F@Wd*(}@wyv9O_+Rg_v4W+e zT=E&k1palOPk))b&Tqy0{&|7wI~PRH)j9loe~3fwU6K4N$y}<wg8W~55;m}Z-TUfu zs-E<ov;6J_h5z>{Gx1+xJ#etkYNyBlxMhC3e&=W1Eex#Qy+Lu~lZcg*YiiThf4<nV zOzPsf-z(QxGv~dY2a2?d{<})`i}&t1vFr2g8!Hc8e{U)itG-+Po+ZOqQ0#weeJ8x8 zf6jxGmVxTm+#3yd$lVne5VtxOl)zt-TgxD1D70s7KT~?aR=al_4lrA>Io%MywDoJ_ zlf0f4?5_|1x}h=o`TN^)vFg#!*X(Kg<@&#g|Ba*l=g*c3g31T0ul{z5x99rWSY@$G zUqP>=XXydC7gblSqD${yWA7?Tc9D&iFZX!BD9bGShWq8MUk*j)ZdaPWCf4Rm>6~vb zHD_hpCf3b++3qfU|ES=G_^ZSF_B$2%OLSU&{=9R7deio=iXXyaJ8L54nMy`Ec*EfP zrC&c-HwVe(OS8c1rt258gt;YL9|p4M*ZhBeb=odP@ymq~+D#KfC(Owx_uX&5x}xv# zz2oQa%g5id`}_C${!bgPfBpC4$E<IEZr{$g+jnpM@sEE$e*X96{_kJs|Lyx;`rYcg z)we%SO8?(@ey8%z_4lRq8E2FC8Q=LV{Qmg%vvz+kAFutJUS0o7{NA7Dz3Xb{&wl&! z<o8&4XQuuGze9Jg>z97tzgK?V-{Qpha@qGw-~9BvzW$e8_0{XK^3}m{Ht%X<<mEj+ zM;u>#`}6-5uYcVr)R+5Sx1#^oj*8hb^{;D-zLwwnxq0upzkhC}o%gSs_w_&6<lXi0 zjL*}35A1#A{DArWFM)eyT<=2VnPe-jw}03cT(JI^z>5RB?OL|aZK}T7?!bQUtKy!w zB6n8Xu~>ar$Nw;Q`GdHxiWeHoYdP|*S--F1Z{*wa+PUJk=8o`Mj*<tl@`rBw9o+lM zdBLdBqX9C+Q;7cWAFK-=99SEQG{^L?57d0s&z;D2qW_!VAC0R2)3c`Ul&*F;EjE4e zk&B5uGN9(`tLUPzNpGvGqxb*&T~RAJ`|<k~;*Z=;8z%lYHT(4OU&QP;n{K-QS$uqN z<%Z5)3Gs8n>o%C5`<?$LI8*Tdi_dN`J;KS2dkx>czp?z1(!S$={kUxoi1Q@oe|T1O z@Ew2j5&K!+4>OD1Kd_@<UP1HT!h7rZ9kRCY-b-JbecfHregePyYo<qw`V2*GNSD@L zv$1}?BUawyl)CPx8(%;2aoPkNcVPc5e0A$<=E=X8xZ3Toi;?%g^yF>&)03N%!|om4 zu^|3K<KBho`~EX5al8HC?d#QS&lkr?eSZ2&;DLF?ZabEHtFn{##mF;#VavbXejvIu z_ssOy&JFh-yal&HdVdzxa$Jz!6D!Y@e|4*2{5pQds^;ylogb{-W%lg!S4D^X4`sC+ zdqS@ttJ!JC@`Cr?I{wD(uWrrIzuwNU_uy@C4JHk;Yyr(I`!(~qcHQo#>p$wH;xDe> z^5E0?8<zk2suc6K$OwNs_CD7ySpNQtmR)<8PjT@aeN$p@{QlsNU#~v>D|YGFzc_CG z%<ruVrIpS6t&?_ji0iU9?OmvzzIWeG-MapmhjXvZy|yEM<2x1G%--MT7baiZaX9nT z#O~b1@h2y5iSv{FCsi*~9r{B$dFIWMUTdp2;<pd?-Ffyk>4{JF9Yv>LClU7gPpf)n zvs)djo%MPZ_uTE*Wt%R(F#FVUIqiE#hrC~KpY=pR^Qe2GWmlG7msMN*ReMim-EONN zT{a(gEq=;YZT{(r$?J1Y>Z{gSKX6f8s`tqCbYnuh*s@=9cHMFK6MiLK%6xXss@Z?T z`)=>rWU0EgcZT~D<E8`mPUOGlQsB&O-d*}s{qweU91WARmG`gJ?o2$MQ?Pwqi9`L5 zkc#MVmIEiZ9-6J6^7oG};~W#|J!{n`_f&r8%D1miPKw%p$oeJc?A`3{e+p(5-RbPv zaq-i-4a)9&-Wf=)jemIdbM>-P^{1Y%e|t}CS?zMAApU>9;U~$53BPx;q(6ybKCtR? zQOLLYLw8@>HqUw!Ua|aF%FLU#w@m-t_#A$Hx&8k8bLV~HyIv_HTh{e9v0W~1(dUmr z_U?`{Ti5o?7Ov{KU8Ur({=>Jw%?uVh!zz~lNi%lcZ7yg(XPL}<=e64u^0xe8%eimw z!zJi^=S6tM=Rfma{!3i=IrO@0!J-L4;vXkm=U}UTY<K82zk=y4uKf7(W(VvFR({yF z?v94-0gJsq#Xf%gHFHOF_%hA=GFp4qYMaMptv_V_bi4ij@P+*U*~(vc9oT#5_AVs` zzjdPb(yvbCp1ZnA-euvEyyop+T@>OTzI~q0^x#R<!?|Bt&WgOf=K>0%J^Wj5HSM_g zNqWQIKWES1SJKz2+!VmH@-<(dJ1F85*9-D&o4-FYTuEK4^3nOpfwooA59hwC{<Bws zvAXqp>!a0HehZi6rQWHDH97Y7H+y46>-P8Z;25%gYCc=@*51w^4^5s{$Y*V3EnNK6 zJmL4h#Gnp|M{fHM&3<0~;z8!T_V^byd*2E4xNV=PKF4ggyUU;O7oFGV-hHY0zM-;b z+T4WvJL2=z{4doyXWwB=Wo=V5{Uv&D-~OD2+6O@oYZc?yTeB>_xc0*{Cz(Ar@7O9m zYQH??v0uuO-f88nvQ{rj+fQcv<;tIT-}q_gby;2W8@nf}FW-6p=XAd<``;Zg&gN1n zliu@pj&DNT!?&gG40B?%_gvnUZ0pIBeugdo^+}!wTk>1Ce^qf5KEw5X^DEC&ldnBr zxBkcef9X&62FQI|&u*#QzuV}^3X?PP_os7M7pWF*?=xO;vaWUedt>#5b~pDPvVQtK za~-$1RsL(Pg95vHYaV{NX={Y)$h_D@qbP8ATv=Y~>iqBU?t^!)YcqZ4%75JjPDs(= zc~gY;ZL945QSsO3&RXr<TU*~hhU9~Z_xHtl@>r)e?=D@+{Oj;?c=Tu9wdq~=!?JQ# z&cQWLPC9-3Ytx;;ahL0R$o!mla*`hpXPzolH+tlDdiSAkpLPEKQ~9)Lk~+8Qgwj@! zs`6{i2j`x>tE{}Q^r@_9h0Ey=3GH&fzO(wcy$-L?FW~_DMf9DH$~95OZv|^VY+IMn zb948hYDIRa%r#NQf+CQ(N=8%U!@U7DgG&7EdvorF$=R*no$TCS|M>sTrz<Uz58nQs zo86HTE_nR?tg}+Wi#InmD9mD$S7<U}6X(<rHj!tU`g6mJHLsJWbV!IqalF{F*jUl& zQG13ki}2ZYF=e|81_C#JIBZY+*z9uiS_5ZJ(_hCkuYSEaVsoae^LhRIzxUU@Tl;EX z?RnX%>LBwkSJqmU%6(mJwLIUys(iA_yDG<+B9$2n<$2aKFM6oz`6+1Oxjj!mNI3Ty zZ+^_f-gmn6(%fB}-tAoW{6}}h_f#IW69;x*+BI>HNn_HQ8PT5<;`>}>_vkM)JhAGv zTjANzge1SW+s^D#eX!x3_Lf2&>ErP(bJujZwwB5Zy*d-UPI|Y^?U%;8rxZ!_TH9&8 zk#M*<y?E*D$NEj*UFLd}s?By?Cw-<e^zQWaJj@S5ue-`kDDrs~Q5=z77#eGSPNh;$ zlsRmV=H|ReiNw3!#q)gjybrPVXS%+zCG5$WymPZvj-?({oiW$^-eI@tDy-TibJT^O zCoKIHcf@kXn&+LLr%jb8w_la_`|qXm?=6mP+WvW(iQD%lO~#({yp{X2%4NiZ`W$4_ zJFjn75iXB!+dlt(VNYuQbKT6IcPCQ?pLbsWuED>t{EYGvnQp5H>wHhOcl&tq>-YC0 z>r`9kdnT9o>vdb~-@DJfvSV+uoZ{1nD}`mms5*G7(%Y!;duqk+->EXmH*Z&ZOD(Q0 ziahf3?N{4V&TnKtb7&r4)VH~M{)f10?|<u@{ccep_91H7`}sa=qF3=8pK`~(bbj-* z7FFpTdhe3U7WdWaGV}h7y7u?s>$D<mkM+W`Qai8gU2m!PT`_(6yWd5BVwC2ux>Wi4 z$%&({tsgtf-DzLqcK7?z_uf}rTCF}lFV9=XwTUVJXM}aJTVMQlON-)1l6*1ZOG=jR z>p!P_U*70o@xAaB!uRD>B_?Ozea>|Jsok@n723aVeP>q;ogiBA`=7?anK8BXDH~2S z|DM6I^8Mo4x4)ZD&Q*%!-)CP_@1r^S(dU%ArAE2ujB?k+&x?QK9BX-g+Sl(N{?#0P z5;j5f)sg%5UIl8`cb#YLJ6(HbX+Ze5t?&7rRw-zI`1Uuj$$00le<u<qvVS)e47%?Q zvURex>n6FH`XB#h1gZV|seEAOYloR^##;*Sf4sBQ`fF_opZ@FOhcPeH>|WcsM~a84 zUO&3)k;Rp%R-ey5ZwYY>d+_%6Vy5T2c7>dn{=T2%2gm~*4`gp|b=p@`@8Yoj!0qqu zEbniu6aDn`w=z=|>%D#UN)_R6Z~Zx4G1LFF^er{}b{U%jhrfSZm5*<>%Qw~gU-9ev z@=Nmz&s=(G?!C@t-;Ez(aT~XPH2jy?YVmYFv+iv7n#$5Q+-rU^wY49AtWtW;aAon` z)ThenmQL52OIbCKFWCFeO135|+5h#I<@K>SHGj{)&#fu{o4fJj*Sy=ef9xyB+xSt{ z{?6?m*ZzYHm%DNM$Gw03M~_Xnv5T*H{`^<;@ySm@|HtIiG(Y})^tkcgKMOzp&zP_I z-?V;D&H6((HokAa`}*6rzXfT3+L-Ul-}I54Wae?}qg|Ky`sS03-j({QwKvZH`u(>2 z-=Dy(MQfk$Js5d={`o0WBK#k7-nRcU^T(I_OpQ-!vi)!W`(tje@KQc6d*_MH=D_wP zypzgQ`8ggpt<I4v%dg8@XJhhiyX8cCRWVbW#NXMK9`iO_7oN=iNm=97ge{Jj))j;W z`~P^8e)ac*Z_A%Qt}(JU-=MaJb*^G$a}Znc)9%;L7_KO0HZO^N=)`hquZ8xF^N%hG z6#AXke`r4c%su;KYTR7eeqKLH=5PPI^;dM|*N)wDm>>H^&;N4u-O0vWs8*TMd*60_ zvzzjH^5<K7x9v~y`Ty{HZhi0P4|cch+cx*O?pBZA26K7f*Lg9?*B8&3A2U0D+uyA= zCihZ*udcMn`hEOK;~xp(Qjc2-nc)`hSF8VTiH$F+D|%<2@;iIZZvNZ<q@Mqi#Ae>U zvRmu)E$u&Fs5tTM`X>X6<lotq8GlcH>p#i-(@+f>3TjL*<Nno_oGP9vGhwn%wccfm z?{#_C*B`UAO#OYlVA{rv?@vyfLDW7`Tz9WN@%r>R^DA#yWP%KvyXo&%n=f)({w9BN zsO#ypQpugLMSITTbKjrv?cVp~&yzbZZ~T*b{v_{q{9~IP$G`PI)JeYh?&Nf6@SJ28 z^!)zl{*Dy;*FX1eHv6};t+`Ox_f_xuTXRg--Mw7>*~U(6O@M^HFElhyURYZFvbg4Z znRT3{!cMjF+y6dH)c=xSbNt)&AK&YeejmSLittyTmA%ybt*-;l`JX#HJGcJijDNkp zx8on*xxeXevc>6$E!Ce=r6FPZNg(unv-I0vlRk^b*r)%_u8i1y6ck=Ry57o9X8)Az z1Gau|-nrG;Widtnnmz~Kj(<F74Jh3272d8tIA`&>sRw_*sa;bTXPNdpdrrB1&hP9w z*5<eV8O}-7xn=u$2E@O6bM}7TkZAvUr^~-M!Ot7yla86LTz}W<mwo2s7`3lCEk*V_ z{i=dN>G<0wnFsCNe|7i{U%&NRM_Tds$=dr>i{Gxln*2QKcKqt&z29&B<9;Ty^?mue z&EIDp+gZN%?fSxZcN2bRXD5$eBd%C}cIUt;sDzWeoF&5z{bzxC6e1#jCwt$nL_ zPQ5PwNmcQ;&-3#tXHL5`KR7CX!)tH86P{)FKxs-kf8$@%b7!~T`uBV1J!|`IuLDmz zzfK2feW#yWugkx?e#777PX|6X83uw~yX*Yay6b)3=gwEX|9{}yb&Kum{<T!*WzJdt zQ{VXc!&o!(-p?Q8Kw)pYXTPQL_Z>U<*F1OnS@Y-9gZk78$3@o)HukObU%g#*wSU_* z)>;2k=4{?^^1l0{M#1}f%_`-6&U-)K*nhTGcC-KIRPm<Q%NoJS?0T);JDE-27tWdg z!CY_q->tQ`cV7Ayzv)+Ur-#nc`May)e|JRs7daHo+m>&7t~dYo<NY%%*4yRV`>&d_ zKeKps^XDylj?7WE;fLm|^}p}DklSW2fAZ(*YoE9LsyDn^{P;r6oZtGJ|8A|i=YBpk za6fxiob@l;MPd85h<>eUe!IT#o<-X4?5}g~r2IZUN4n?mBpdx3e&EvVwO#nKx7DDW z_y1Gj=i1QI&Y%5m$Dek7U%jo~NA5&FB;3kcjRifvUplXR_Wq6g*QYTTO}gt2Djc@F zZ_b|c`~T+S7}@2}&Ie8MdfztNonI@v@wK+J;_t{O534{XKmH4fo~gXI?bZ079GC%) z_}zyrU)8+7mjueNbK1*q{L^}#fAgQz^SQrs|4W=R5_>zZ=B69iy;E&muKwQh{P%-5 z?a!?4o6iH~>XLOkGG5PJs&P5!&%E}Z8_$XUtXASVuw>!30^e7c|Jel2{d%G_eYM0@ z$v0aZFRg#~>-GJ#>+N&qf0%uL>))-#3D2jzU4Qldxy9S+dCFl~DE6UD={>XXH=lja z`JY?8e*0h3bJE${_8+VK;fYy%-C1>M@0a4Z*V3<_{nTVnk+a$la+S$@>$JZ0PZPi9 zis$YXZafk+>+^%_{|zlqFj}5G_Z}2_w=6-0O~tWq_s@UbSAD3?{`#j4ANxv;^P}X# z>=S-xSKj!$2$Zkqi9^e)>$U4j^fo`9^m+3=_UoYHD)W4&)bq@Lr_b#CdYpgO*Oi5F zw&}mK=a|pi{C9d!@s-bBoBq9tnPWYF+h5ajC)a}9|NQl~dLP@<vo5}?jN8x)O`hwv zy!-n;rqZJhRHVGHNdlFZcG=+4{KOP1m0V*B<vrYbPngAz8{5m<{X7#h$6Rmg>%gaf zq(E7va`{{N!<LH^|Es811%Pwet=E57fC_%K>K~GzDF5sYit=l7QIk~hm7n)^XP&F7 z2W7<a`fN~nB)|D@vW54wTed$pK^&)D>0luqlX`ycy`7&w&$SM}`E|w9#OI|T^ZxD5 z{XfHIg1jR*YnQbeZ{Jg*|F^Q_`I8^>-#<~9+#Gb@_f6Fmi}Lkg?+f0xZ!><pN#*CJ zxnOT=S9YxWey#u6>hH%mZ^xfK+zc}FnB?vIr<i9vux@kz6fSUd>)hD4YuB!QTeglx z@XFe^Yn}4houjw3Z(AV#cH!f&=r8=d7jE_yZojqo-v;ONw=ZWNX0oWuYMZw;eRY=j z=jUPP=9}hkx3p(J`~3WD=W4V1dvjjx;a|;pUG2JXn7A9a)$g{YEnoX?9S&GIZ|h>y zFB-oVn_e!Qyfx{_n)++AUPjhiux|G~)_qQ5-GjzwZ`Yn}5}y@p`*wbL_U8IN$ttUQ zxlrr(^Ud>}&p$u@>u|}@KL+jZ=Gf=nyQlJgOStvb&p$W*d+Ynm`t978JI+=)pT9QW ze0kL^c{|Ozlja}ppZT#^b4Ls7#Q1aO`R@69%#QzHzg78fQ`njF{}SfEeECQ7j==sW z;ujp;tMBdEtNEi*=F1k_*qRyfYxJ)~y_mmNUYhr}(EaeeTJ@}fF&w<vKG!ELIxuGk z)B1!nZxTfxsxde6?UXgzlh7{zsL7}FSM$3xL$y;TpB?YtnfLAV+l9tn{lyD=J{fLj zay}>e=y{C9J_+{1^nV-z&&AKl*%<iPnIt@n(7rT%oq5KE#MVC7<=-}V+&C;yXUVAk zfMrgDZ~>Fw0Zt35aKxM*{67-X5H=Ih!HnhZ3B4*>n}4rH;$qWMt!qJTUb5Ux9NUb$ zL`B>DfA*Z{QSe%5C9?5PSJbizFHACJE-%r2$)9E7^Oim5>1BPBEsaN(-CDMCnVRh7 zDA(+%QLBzcERmo4uJr!R@^6-Rj>o*Oem{@>rnP19`Q5+gY`z{@{<hfub5Xq7;$=J< z%%>WR77#~$|Niys+K9^=7Iv*T5h&@~TK1Lo%$n>M9NWIIzYSX#S$SMCWc@OuKCc^j zPhU;_a&go5i|Sh@Pg}~*eQTNST+{crzL~ug_$nAO{qKeL-&?nBm%To*(056hX^7rZ zp<eB5oyluYg-uK~zL~@4Hg#1@sc&v<z)D4Kt*xe8e|p9G^2Xem%OtUSx$cIIU+dXs zYlvudH&rw$iq!HXJ&WCVtDwbanY~-x{2x7s-CNUKm7k}Jws5I++8+{K?7L>hvWXAX z9-Q^jcfY*s()s8)W`;>g7ZM&kzRfm~xoC0iRo0rn>`#Bxz3o<u*;Mgx&ddwevmeYU z=iMXg(se+vYlGFT@Z0<6ho~DbxF6WLHDTcb6$iO1`-P=L;^U`wzYB2Roqcoa%JnC& zg@0b&b??y+54)-jhb69QhAa;__;H?>YRvKvm2Y>QUl+gg(KTx+xvUu$iB83b*G!u> z?IUma`lzb{Z}#m?{QK$l^!5MGeCqqics+R~Ki7KuJx>kqp1-nXzhTuv#?<9EXFvUR zP;QIs(cHtDGG=xUt3-MZeRey?v+R;rt05cjA$uDOF_$${BdnU1YDa{6?Y3)oJp5Ve zedH0*oR@pHm1?W%-IQjLVy)~dejub3@sQd2?Ut)CSJxt<eoBAiyy_#a9IcYKX7nGu z-TZ9NSq?id(K*}mmo*vhx;wjU%CSS<Oy+gmz5PG3SE%f&?`U72@aLx5Ket=++*IHG z>^J}TexdF<=F5HdXO8^m$}RjGx$MWo4WTn<&H9iKaAuckzEVyBzk~eYZ1-^Wvrn4+ z<Q5cJO)YR(HT}Y&#K4z}f~KCldg}J&R`Z(`H=jS++w+U*z20VC_GRZ)w-iKlhTl`o z-+%GgX*==vPg%Gs_ya1%rxqlD^|IcRndiT8@6H=w*_2ldd;9|=om6FJyaMTL+#Y5# z`NWxm51Y;({Vm7Dy61eLq!(23M%|vRmUqH8=k7fCOx*5?^8NE4Yc=NuC;fjNa>#LI zoaV9OLzdp_<d+@_U-i+vUcY|+nH_3@tb6vkvhIvf%X=#19r#IYV$4^~Xm&k68~tMn zTUi7wXQ=tbuy6Rv)3CWyis`ukQ^y@`bHASZuB>gZS+_Z^_CKS3E&PFuAG1UB(_<%h zY&crVdV3L5P0_vci`x!Zb}@X~+50=}(6*4u$PB%wa{B{f?#9cpz582NceQ!n$NzhN zim<&t9dYUVOqrlR_Wk8A!z3+4C3ci9w|V{iKY!0>v2(9?eD{8B(^Aa!?)D8U``r2Z zv2!Z)80SnEbcnH@F0Fp-{UWA0JN(jbn=_nCy&_<-ej($zMY*#q3g;i&_I{i2=bxNe z9CJ2vKDfEXS6Kbs`5S$_4WHApIOgQCe2}@dtW)@VYR=hnrIS{lk6xizpr_byICHkz zxet3>3$57?+)ON}o5V10w$ZurV4H2V%nxGxcn?0eI`lK{*0Pi8*BrMcGe5{#(sp3y zM21KEHv3pe`fZR){F`ijCGojs{QVFA6Q>6`$K0=(*wFe%e<sg~EaJ;0Dyp0l#B=7) z%)fPO4Zm#i+4KBW<EMS{YBg_pv|>Y68ExHK|7`lxOV96G{<bxI<Gg#h>+ZbevcE69 z(_eHqXu0g~J3sr4KmXs@{?lIh!@G5xo}afn^E&e4y4#t@?aJe(%+?C>5w&OLi0 ztK;4r-ZM}5ZJG7k8_&<ZHZxwIZhPi+W#e}J<n!INGp@(G?v4W+%z80z`{lmccYn-h z{``OX@Sp!`AHIE+J^Fgdq3;Ve^M9@i-155g*2eR5ug9jvHNR{vlbF6NoR7QRb!j)( zhkYs^%&aPIwwJZL?%uck?a$5pZ~y7t`f3HT@;_Tt%SOKbPX_;|v)*%K*Z*y>zP_WZ zHvi`5^!e87XP?<K%jV4Mjsv$}JxG;*_SOI5x{H~|?Y30AZh8HCzHR-F5C4qj+3&yq z=CO*2%=MjV|3c2DSig&D%@x{hpnl(M?#Vg+%@6i=eP-Ri@Wf=6f?qQ>_DKuXJoq~? zXZ^qH&zS9=Y&?D3=&*bHlf#GK=bfE#ua0+vdHC%bzXi1w{~s?i`q()mMRER$l0e4! z73WoNZ~K3v=8*W&=elj@^(&uke!6S*a;D#xwpISf+;PU_k0SH@4b#58zO^AYH+#R- z?QNDn-+q}^bGkbF&0~X!w;ueRX8Y8Z&!p<!ogd<t^N!q)y!T@vA5V`1dquy-?P<x| z_#Sj$V!SuKv-H`5D~$Y;9&L+|%~|((HM99Xf7u7NYjfi5vR*Un(~w<bVpZ`<<@&*E zRr{?99{;|=c(3{NwZCm^nSU%Q*>LK`gRPTe*lnBtzB<tRKA64Yyut0e>u*~%=zFne z_wucJdED@Ud;P7cyF{zwZWOk~%kKDC`|5#B;TN+#X%N%Rtt3R9**(7sE|1@6b;J0` zdcHe9>`EK%?@5aO-37L!VEM&^Qw>WTmiM`cffbc6gP4=hV&SFTcLQwMTsL;}V;2}r zJyQyQiLP#LKKuvbp!eeLnJ-U+J$q1Xaq~F|s}*98_fO86Q+M>$gN>V^s+5l&jI6kE zjj<-sYRBmp4_+IVD9AN;c`%1R{-Pbm?my>oID1~re5(wB_9b6kquD>WzI;&Z#%|xW zkon)+0711dQ@{O5r5~>BtSfzR?EA{*z(*_2R2&2w_hZk+gO3eM4(xZ-ICNyyv6ta% z`1s~NhZy&x!9pu|-u6<4_$hq5dinZ}TxgWo_vC6)X+cE`#D@=R3QK13-30qE-oR?b zqHfC{hAeNr?si>0n5_&A^TkIm?*1VOb``_^%dP*7=hjYo{osZMB)Sf=xAIBN-v+kC zOj<UkV$y2`<6DqWE@-@P@Ps|ocvGt#cQ*5}d<j|Add@jQI`Q3iX|ZkRA{+iT>uvbj z_PF={@j2N)4qJ*YJtAf|sq}IGQiqQHJNA4$tQne`mGxovl$`47+_@XiAGPeWVtC#) zrGTkGTy&dEt^ISSe-5_~@2``7DG<Y+X#P9q&YAbJnvait?>xq_XKnqK$~Jwi`THG( z+uL^Y#_<Ts+0+PxW$e!oiuApuU$jB!t<S9U{<SCa?RI~R%+GvgoV020mnCbBzwNZp zR-CV&bYV+w#gTLA-*)bp8883SCho!B-~49hKe#^qZ0>7!UtD?q;mIu>!pmoEiJ!A^ z@;1*|=dF+ZJezKA_geRC%`sEX+m`+}tdi$<Zx;GAbALA1n{K`vKhM~Sn}2?-JpZF? z__n+Iw}ajH-u&ktV>i3Y%DY{rZHZr$U}&v+_W3#QKhHKx&;L3t&CXv)=KPPjaA%3` zFn_=O^UPYkyl2<l?dn|~)PCCzah2KR^X$n_j7>dLJb$ZliER$PR5R~q;{Km+j-~Hi zI`h15(_`NLn@|_+jC@d+vwrURH^qg<`|UpcENU!2u^3`Zv)cUmJrmCt?&DWfXt}!N z?;*xh0iLtYAJ+do`+Q!_wdh$tZ6am*-|pX3ddyBdUG>RlQKs@|>ScDD&mTSe=hunn z+N}39`)}AB7N2_lzUj|DNj)`vpZAI}Dy~s`E6x|t=5?vYuBP>VP1)nk_b#1zuKB3q zi`*8NQK3xbpXIFQ-+cZkt*-XTXH%y4x0oTW;+uT_e;>$I^Hq>t^?ThXxT`{C`gg_O zf_kuW)`7k65)np~G?w44o1Ok+(Wjs6YV-Y<cPD{TyVX<OOuPHmXJJX;Gta`lW%F;t z%vg5d?#{dL6!6Ee@%zWU5a;MkKHr;q=J}G>&X=A)n)>6vVPQtsx@EO{Cx81JbnATd zi%TY<-m6|OQMi1reaX*xC3S_rN@u!FzIE&Qf?XNQYU7T5=l@{0@@1Or5t&m4{T~8$ zd@S7C`h)wF^n9f!yv45<HNDqmZFy3jzU|KiyZjC71@5KFeK=<tv9U6<zju0V>xXsU z8p`J#xc%foYP{keY1;?6q7}Q#Z~xh}Uf^CHf5m#yit6&46*t2<-e0$QFjpU3V}*0P z-){3@uit~a8!kTsnepyZgZYm~>ks~Uao8;GM}h2_il2KMfBZMQcK<J%{;u@vYcdXm z`ARY{KRo$c_R_>JRr=??9^b_Jt@Mn}AvW2^wdXEW?p&X|OCwA#Sih%i!oyujW&5Q5 z%>6WBZu<wu`M>v_nlJR=^2zq^yASoBE39y^-ug(wW7Xv)fs>yFU#gh8LV4fzc^U7# z`Qr1R8_!ecU0h_cw5IIw<DI6Do^xn?+UWC|)AfY(vG<FbzAm=iul?ipciHN{3Gs@1 zoNXWMjeC$=`T^uuLAeilA{E<fZ-YJ5_pzaT;(_0<n$6;VEbu>e`g-Z)4Ery)Q$8eh z<Q%?by)pFjd56zJ)q4;BXD-+yHZ45<;mYORNujOR^?#PCR}>n(Z7=<P!>XCzs(5{L zog=sSx@fB<=L{TLLLXIXFg??(zq|DO#l?M9pK5=}*jknc+G-chePQD8)3WM(Q1#z^ z9e;NG_jzr(OS*nn=ljQ&zmg8~nyvkQ^EvM~(L=8vTmI^8`TW0{o9%5bqJuh@e?n-e z?D6@3q8%r96%=cg>t<`tj^xP6HQIRc#?j1}wLON$9vfl<?>z0-ow!3^GrZfuhea#; zMSsG|hW};3*?}8$gT)M^dt^4$pRtfKp4edM`uzL7pL>7)J-6rnhkJLwpL?$}*ZOnC z^Skf&ey@4={?6y!_lqrm$7xNCwYn~2!`1zF#;!YJ*Zkx=R~x+#KC?gHbiL)_V9gu< zSc2QacZyk*-P+T(#I5HC)5?_pS?9WE9og;C_?_#J_05N_zK`ApJou~hFm_+padFem z?|iKHUbd8<pF2m~w6lCJ(|evn(XT%&Qu}ys{ej=Ahi>nibX?rz#s3q(^@H24t8AA0 zbU1mMIA5Vt>FKW@-swF(Bb^ufvDiY8W8+=(Gta*7T&WgQAp2e~f4_9o+DT@u3s+7| zIjL1}e>ac0(fjtW4|N<;Uk<mq`<=;Y{aY}b^V7++vwH+1WnDDiS$3QeJoMN7k-gZ* z1k3%&bJ<_K{PrenvR(Dd<qJ&yS=HT*OS!i9?4C>8?kc8vK29r}DkgSm%gs|ySD3zT zNM5PVxBXxLtzXxttgMs19Fwtdf|>q{_2IM1V_r@F_|MDqj@py*9_?pS`z0eXu2<*A zD84?hGv7czLP7lC?%DnGOr;&|UTyk)&}`>}gY27lRG%hwUHaH%+18&M`CN0+p(TZy zi@54%FY8Y;x_Q&AKX>Nw&o`KyjjrvPrxpBvtNN)~O1WXXWFDWjdT`@q=i#;gW1|1h zGrF4p+h^Tt2gTgD3CGiBmB-x5e_Gj-wZr$sdx`Kf$<HMtGQu_cTWj>DoV8u=Rvq_$ z%XROCKX=|Yv3c_R|Bb&3`tG<g%AYXmnbNJf>0H>EzIofHp8Fjk;rY4vQiWW%yQjbN z-eYVjpMJOJ*3I8I;raK-wAsODUoYuelin~xy?6J`(5M@#)6JrH$_SsadQh=joo{>H zhv0z!)u%)D_h#yC3GkRL{-ivEImT-Ghd+L>@cDj{P3%!rj$F-67LHF3EVtP{m{WYP znO`;H%tB#Z&+pzLGY|QBeohYxnI<zyZb`>quUc8rz^yx0?!22mjsK?2-90m&zueqo zb!-n`RTbZ}YxRw5>oaEl$$$3bq`irK-OM%l`zJnkzoK%_T>FEW;JtlEK}qg=EZ3g1 zE!$6l66W6qruSD{wm)T)i@)&TCi|yHwHb>d`Ts6I_vw(L(;4OiqOT@>*%yAI?yh&m zzSZWA4c}&k-FvNF{@Qc)uC^!hey7*o3{8{O6Yc&{$|e<){_gYE+GmTH?g!=jNtN8# zf93j2PR~WEFY7wBf^TU468rCXJe#Z5`|H^~^1r|7=KY<u@2S)!nZ4IP|7o0cWc9=7 zb*g)&^66&@t#8Yp>0B{e?8A>mY9F(HOnc3df4$}$x6~c|3Fg1Vgw`;M8M=#B&v5#d z9ee6?EXTf7Gs}y|cK)$q=3QreefyrY+SAWZy@)<@!Sv#!lKn1IHQ$KTcK=_HeBbT< zrfkXg$M@BCNAF*jpQ(D*u!`@z{0h%KQu|W%9?q@PeeUY}=;{aE&m8ZMWm`ZU;$P8! z?%AFN2mi8EF3wjxD3xINvUdH1zsJ@-`KiZp|B}<At*d6nr!RQ=S(klH{?1iB`%)zy zYAu^lvOnq7g*#@y#Qt9_uRWc+y2Ae3+vq#LOl!ISK5on0T=CBVY*oDC^z*-Ff?_57 z@b)c&_ngCv;;yK~@!sDw;lnj+Ol!a0I|}kK>$4M&Hl<s=7YEs!A8~)vf}VY>Gap&^ zJABc#k+ZWq_$NH#L#6lOsC)gjzs0uK`QG28AwBEN{<+g(VZG0-;(PtP&yWC?=6Ijd zE^gWxet5f%+Md(;k3X{N7Ta(9s}2h7hVIqxpMm`PDO70vtjs@F%)0aQ8}(;3{M7Yc zl&^U4b8yeTqyBR;b=T#4-aY(#WB&a|*Vey`HmZ+EYTo+(vQ7OH6ZN<gs~<*RT9Wu$ z=H48&4_QB^trx!6=Uq`#JnO*fhtYXTd;W?S$6a_(*K|Gqi>^upqqzRP6Ci&+brV`Y z4V1W|?Cu-gIrD|}eo^%oU6rc3u8Z4$bQ-UHD)O6g{`QjmXMb;O&o6xKF2CU8cbEGL zjjQ%fc+L+F$4|b8Zre?T`g1mCempZYl4U_TfR*>1`U&Y;ZqBd+M}>D+?&ChVT}Scb zt6wURkX4Voze(?xn2@dg(HGHwj?BE)v{$Uw`}N`-YP+XGy_u)lnDyHNnu?xAw`~7j z11kJiKaAezTcIrrjm#+@%zU4HM7B*gx&ma|9j8a7Ut;R!HcmUgeb3Qf(|j*(pYVeB z-=jl)Z!8~tpVxQ$)cWwX?`=yhYwF*xjNbq3`@FjLn6zH`hkvJLrEE^<mI&Wdulo1i z<;yvCuR4Ef+}P&F^z7N<clQiFO+5Y3T7Ku(>}QKpmn2rJeXMaY-4waOwdb|N=ZlhN z^11yG)n&g<-`sma-qc3e&92C@=DG6ry0bM~=9uKXt(e!p!p)3(TSuX6hF#VEv+nvw zYeaIQ)fuCUOUv}nxbH4&nb;r0cmL;!weiOCcip76ebzzNGArTp#ns0H?LPhe@3~K3 z=yFJ$_@C&E_^D!__Hr#vkqkT4ELxy*|N8QmkK)8XFXuj}TOPHj^T*!v`X7FOX7A<O zUvG9arsl&O*>ekdo=?o~N?ZO<bKAf3Q?}OydzUp9d(RfH(f{}MX6Vd%>vK=OyIxVb zC&{(vzUrR8?;q{~W$FEotJoi|EvyKfTwz*wyj1mJZnb$oC{G`Iza!~B+namF;2eJJ z{f>1?AJ>+^)R{iaUG?lEs2unqd)>5U`|7UGO>949D=*sn8@*QizxjTl>haE_5{&ov zt)0-Z{oPZ$v{g4b*F6@fJ6_6a*?6n`6R5s*{{B1g{Km%@l~ZN<pZQ2U)Lt^9WWQ6N z>>;y#>+|n_)M=hCU$FV%4XNjea{svBpWpX9ao5-EbBF)fb-w%OHP1d^a)s%$#D5JR z{K~-2dX{+4wPO1GQ;)l69a(M2|GB-T{CotcJoKL+UVGPNb6rp6(ff-P4{C3kVd5{o zDgLmw&Hbylzg_xcJL~<#A6t4KUYE@HS#!GbS<1uraqctqjTmJ40$=_1z4Tc1F8{ei z1D%W8f8?uP-=0%7^?UODeMi^EU*5h(^=zQ|ENCX$)c2um&Y?<BP}ZF8=g9v*8yt3R z`9BRoLG0N4oAs01eWxbV14);D$2tC%eE=#iE_pt>YTWgm`@#dO;`!TS5^7Iprhd_7 z(H8S$S(LAM@Iy#Rt@r2Gh`M0;65d<xAE0%?JGDKvhb=+z)s`RWU$I&Snv)toY~y*1 zl9S#k+uUz`5MAf=DAce8QWA?t-8TZ|+r1mQMdy9|5chs{l(Fck*G6Zub619ZSv`+A zZ`I+S-WK^Af9<!a|2=Q}i);2r4Gz^X_f~e>E1$hs{-kt=Z_2#ghqJDz#DT=r_k@D$ zrDJ=JR&(Z`-d|Y*3W{gC_uMP0?>zt~x6fkl)%Pq0=l9;qZfVZ<Uk=;s1Es^yV&;PP zdfUMPc5Khlvn}6WIG*E{dSd?M=*O@*+dZ1Zx|`LQ9=r7=tqDH6Jm8t`J?9g*_`uCJ z=kJ!kRLg9l#E&lq6?ug*kJQg?5tC_su;-|+`saUdep~K}-v6xl3%lonSKlB7`$w6- ziid80<Oi2QA7%a~9=iQs3S8n<^!?+2Mi%F%MeDmii+Nsf(&>BnQ?KRu3;qcfU-umP z%?e5;Ca<HHx!iYJ^nAHQZTEbO%TXWxvs~D2(*G1v#$NxTdsijkZT<6~%-{;+Pt%8Q ze|w<?tUG7^)We`u`Y>8fd5>=WQ`DF$|4<{|l>buc<EkIV`_DO?ejdGU!@j4Nm}<J; z|JJdb`*_m}>v_vo?$u*G`~R!g6tA88mi{V%*I=K#FNy8d{|HSVb*}Kz^^$YN?0-kV znd4#fHi3KV?#>Z6*)d-sU+DfL>;Drk|J<*;ZQe<6by9dqs#pKhYo0AN`%;&E)!oeX zLHEgrjVmM?E%G;RIoSVM%v<`rz~8K;wytxw?|5AcO&sej^FMlC`ZM+X=ih8uLhFz1 zIl8uGd!{-#SZ8eC(fgsy_Bc3mJkzyvt<VN1)!xeP{c3wImqFSvXQJPz?s@$imI&?( z+;hGSPTa@#9KA1euc}?#<io)e-H+E=<WFSL6=U~h`R4b)E^U<}f9kzQ!YW<1{-5_S zM(z9fBF9>8t~@9?B#J+-*s|rV@bSe<d!Hvr^qb8;`+3Lvk1L}0KdbmszT~I%wvQ0U zR(z2>bbCLf2JCU4ue!$+l9Ve-tRLo9PXcvF!jo-oxmQ%rhot1k7iC+vUwQ~C0wwzG z+$&~pgZQDxy<F(tI=OS)Qa=)&^ga$0S`#5tBA8)2|M!du#}@lf&bVfG?zdvb&tr>= z-&TBCW+A6~k$bnq@x@DX=Ud3>=Gw3yuK4mny+ZE0tz7Br>oWcS=9&Co*#5H?l#G&X zela~<TMjPmjxDZN*t1&h5x5YR=>H~sua+O&DC+(ALhn%Yb5KtytH(WFWsfXB%w_*Q zDt?<6gNlgWk1z5LML+(~#AYW^`M6>Vi?$ehJd4!Me$GsrUpAd-&ueY+8>Z#k?7GnB z9)9GbMrYiOqaf$~mhpdl@jv6)WFEQWNS)659?@{o<M%fm*p#C^CEhzbdc)KpE-y`y zEgju~<trUCbUYRt?sntYvTUK2pz5UBe~HsvguE^}Wc4;|ZMEN^YRKUg6qcQ9YL<Iz ziOIqp3E7SpgEn$^tbJ{p|Gsig@viB$@AvGrFZ-l6v-a?uoyGgUpV>Lz()jbe38(kg zOMUtm8h8Cl#ogU`&wIDse3N=w{P3e?`aLPuvl9QA9y@dPaM4aVV@G+LFN-TQmi$k9 z{XcL2zuxKhIDbtu|2*N&hlj_j!bShByLXrO?)!tG8O1MiyBB;vuW_zMu42w>*V*3h z{|bI76Wm+sq<<@>%G~8b^_&;6A0_%hJZq&FwvJ!k{gb@jZ8rU0yV8rl+!w3=1+Ej% z)!VyU=|%0_7rBB}7oT1F?ZUD*UtsU;`csd&%^pa<sy(iA*yi{e_J8u5suIQJe(>*J z>vxrdCxS)p57+wScP7<sHg>Pd?})yhvFBsx?A7X%BNNqDXve*d)%hd4wYlGU!$FIf zFQ)}rt@{p_ZGNtOX4c#^(;EjPWZPQ>cwgDye)o2&{qbw}h4-KOT-IsWZ(LkgG2@r? zl_zI)O3#?Qb~ygb!D`9>pV$5$p7-bW#t@mH4HL|bd#>-F<v%A%{^8@Pw+d!Yu{T_I zVk)bfj?DGFX6qbeU;LIcKHRcqqRp!fHdUKWExzXv`hS&D!K#fPMND#RN>>$VC#`$> zU|#5)X6>_P!e{OTh)-({I<B)iIY~kGl6_Bj$6*owfBMG{n)k-f3Cnpbv&8I<+Ef1? z?V=4QJ6d<l)1BNLt;;@tRguBd!*lnTiL-ydJDrVvY2O8zDgTm-KB$~rwlvz3ePwUX zv^Pq}wg&OpC0YKkdAeY)pYOKMp@}D54;($%oPGJhf-8cvnlIJ+@QHDi&vw+EZOOh; zcc;p0X92LDG|L}SPZQ+-X+@fb+}sg%b6rKS%gudv($rdJ&WyT!|8?8BJ9+D~t*;mM ztu@~EF|F=cT)D#<+at4EP4a6zWVg>MUGR7*DB%1f{>RSy6aQ}4xBO$~es5*xBwuN} zz2r}4p=)35rH<CeuXgR9UKGE=?B@EV+v1<bt+&toS#wKy{#oT^3not!=iy4#xHixI zRDod5<)_l-dhF>Zo^RvL{1Ewy<81m%d$sxh{WdLp{d>;&D{f}qWrB}qncAJM%l!FA z`}Ff}xt}>+JXe=I&B=(%+PRLa>$#h)w7J;6*9|r2o?d>i`HJAI^Ox#<?B=UkUie~r zE<H<m_R`%MpS81Vj@29lnX%<*aqZuw@7XeTzVKqw)!+J-Eo%Sj_#JlMHPgPEm;F=V zweyx;_*3Wa@h6|{4L+WE-j`o&7c6~K=ca3qc9D+uck`6}TWad=eX3mKZkPSuK6|p) z>2%%nt<ME>|JQ7r{M}sap8>KFo=jhC4*c}VP1&3xZn!S;@#Z(vr=54#nZLa1$>wkW zHc#8UuyW<os;*|Yd-pB=B}mmwvvT?z`K$lr^X(pww$#o2JoDCxw3B*CF`JL2-_+Ng z?;l}z{_BZ!-ThnUTrG;7edN}^%)dW#)Zgbn*}n8(`buS!`Ah%LsIhYvdhkID?0MDQ z9ffD5=BMu8Qe&6<^G{@d&9$Pi*&$JO*_P6~>Tm6sa>?)B{TY8AoBYrzZF#o&i~QvC z`IDI~SN5XYyjyqv^hyjjzqm7%ZT`}}3pLaJC4c%EuTpqx{}FWG+D<zk|M^7v+wZTp zcrJaqYue_8=h?v)Upt?>8#&5U**9fNJ~!Sv@$977BRkT1&u@y4vb!$5<3RPkeJ>}T z=RNi2!nWXD-1l@$u5W!VpPR7dx#!ybLAMgu7R>%zoAU1YpFhTT-=wUWd46X5tq*!y zFPhuyVyr|C{ZC)>Kgxf<x5@5w;V-)RX%+8pSRW}W;(T6r@!17ytEPK-i^}I+h~8rP zB~IM&z2&0qk3ZY&bDiz{URUtTJoT!6oo7`C!z#jOU+sQ={brhN&-}_T{WpgW|7g6M zR(5ILcdyLy>-rY&qkKdjR;>LnJKpu))86%pN54<`R`mRSnyg>8{qfH{ITO-9)ix~7 zxjX55#P*E+*O%C?Z<kX)+T3(d{>c1KJAWShYjZw%?{|k+9JR5Ue~<53x5wer>cunr zi?ftxgQD>0=eV#safRPY_paM>>r-X7yWQ;YpjB$R>04vMJ572w9ZCNg^K70oau#wH z`ufBPJq_%;`01y*+|M)a6)#sV$_?Muz9TyAugxOc{l9Fqo_*S8@UV72_gtIPPWQi0 z{+E33`)_^DKQpZ@zF&NHN&4}=`@3E8Ctm=S@)F<w-czpHUNh%7sFXK+_xo@Ei?_cd zu5+91kZ-Q~_{Zw@n)crwSAW;3RHW(^DNVh%V@g@~yr<Di3+}&Hdim(rPMh-wu3fL) zpSdmX=If1lhP%UjZ<T4K3rdB)p7z0Udg<wX_8$smcI8d{mwZk4%fU-~Zd=%2cy>v8 zao$SB*23dD;L64D)igzy_Y<Rc#%<a8<=Beotj>EYo%C-!sA;OwkKR7x?V<iJ2VVzP z-_u@sw|h>x<`rENOTPM+e(nulL${yVQhIv5@A89u?z5M?Zjh<@%;kM`N$&C@v4w$K z^El;8BDb%p?CgJhp|typ{N_AKH@3RpCg=De_OuD_)xUG*>!Pzu&E4(>xqtB!0#$F) zi}NHMzpR@Js@*K&7u-92P4{8@&jsIB*uI~7>D`0XU>7)h&b#XSu#(N}gz-<?)wgr+ zev08N?f&*V=#~oCTmRL;x7S5&&rn{Tul{=4N%x-?e6ia-{u{oYHnBziyx+4w4zs=U zdv+~te32^xwQ*<Di&)!ENF=<Prrmju`Fio*gyzqjudZIvjeNd)T9Do2PX{>9?G|}8 zt!h%b_xh)mY}@v|n)Y*BUS;bw-L%8|lKRfPKm9Cu?zbPU*Iq7uKmB(4-KRZrISU`J zw0(DL9sgCckZr54tv+=i^uinKZEtgaS04+HfBt7);+y0%cXB52TX1TqT5Mgb{ZFGV zH1b!z*>1M~<-fnizhMAnv>&#QH7;E|#`$MYquKO(+c>J+h4-#LUc5J>_~l;vrhBV9 z?lC{!0gAl5js@jB7o*j`EIPY%yK>XLjV|xIHrnjVD1KS1(R8oS<^4Ou7*JEA-1UO> zycf3hqTr^6y3&ie4qvu$>`h?aw>WOky;;c-9Di(6zRo%tb9U7=>xaR93tua~-2dyn zh2RCb^2tH>mOak@XvHQZ_UXrp`-S0al0O{_&;3|_>T8;j_8FGaqwFUvY-&`Wv)xFL zIraO+{B744=T9lHUA33*Q>9H+_4)r<pWSZTzq9SFe|o#R^vuSu-)C*M$)7Iq*~0j_ z?*;{Zg;I}SU(DA1$v4bT46iiymNh<Wbz!6B1iqK``@a6)p#I-%+0hG!obH`5h?DNl zF5Vfa{Ig=I+2+bm!pB6<zvu2rvRJq7f4*!HpOtFmmK&d5=sXq7v1piFHm7}Gzr&@) zYwm4!40rR^H9rliHNURCd;CD8e|EXz`DamlaTdodcU;ObK6r*#P1C6;;MI)OQXifr z&cE6}auv>abaMaMr%7Iio$j4EaIo{})}1kT4bC}c8P8U?PmGz^?CWND<m(#S{RhfT z7VNG*!!x<NIev@G8pD@ex35H`ecQI?&-!W2QTrwwj@kJ`=$pW->#80>`Iq+ni+HGB zdG!DP-?8U5mZqJ5eD+Vza;sxp>Fsv>+O2&T-fK?ym%MNJgRDspd@auzW^LZ+^ZT$| z_>+jRd&<o}oBm$fw|v3XPn9N5KQqV&=GuzQs#bY>x{IONQ&M;9^R-4XEVo6@zkQu; z&HYvD+DhwesTpk%rK_$8?(&=ZFZtTa>l0k^_j0i8{IZufE16yIVtqo)#O7O8U*k?6 z^bF-&^?rV)rNpm&tImhq+fw|5cf)`Cw|kl6ZccFKdnY*$sZG_~(yYD9l6@s_U-Bzq zQ2VIvbkT>!a+WJ(-$$-zZ(lYqCG|ydVL`mJl*|+>r{aKL{U;A*bFxq0ch=G)H&y0e z#_XgR?WYgiP9J22XnLOT;@?l7FmZPNKELMXAomPDum6UY6|xT#PG_ET%=$c2pLuJ3 zPxexoHydPP-b`%1^>JP9@?JyF{!L{I_v&5SrtKZGpf^_Ljm&%`S5{4W;hSH?7ZknV z%E}YGAZO-nmHD&DoiA&B_tx{_TfQ#;w$n8uE_{l`Uz5D-*&mH^n$B;Wzq_qi=UQ>j zO64!bIWO&>6~FOpNq+5L&=(kO-)_Zed`#4G+SKPaHpSn1e#3rK&A#)>^SAD~QWX2z zzuNAE(ERc@6_RzcBA<MobrPvgQ5Uw8w><UJC)bl<hrFP~^)(+Ozj>d2?yft3|Lck8 zqv|p(SLZIbmCyKn-T#%Fp|@TC4o#%CP&>!`=X($R^vMkrTH&)Cu6ysa^RsO}MONDf zpSIPVzxC}FtJ6MD6=r+ey`MP`scpe_==odyBD>(|2`wuxa2_+wu9^1t(x=M(Pd}IS z*Ic`I9n>5$Qh9s&R_nC$w|;A;c5?6e_&!VQP`!HCpSo9zBImr<?$tf^-g9Z+h4T-W z{GW0DVV+87-Glx)@2`kil!m-BSa+iQ?e{PI(R_CACN{6)3zN1~USPZ4qWng`qRINL z--~~}Y`eT>uU+B04f4(3_S`(5H}~1WAb$&M1BSNz8?_Ir)!)xLeg6Ey`_`I&&82HM z-m|uBog-dubM}09M)AwukM~Z_?zm^X|0%fSe!O>baOXX>h2Yxro^_@1#obTM!37>j zVWvxdxH`CqlXyROPwR`hnxKNw{8+X6a^byi+be6p)$!iR#vS*>=RK-A$hQBy>)wRc z%D$)i)$gA$%qd^BdxhJ9be4BNMb;+=RlR@Ge$pbx^2l*TP|5av&)<7-{qL4vUmUTA zH$Y+Qb>rrxeb-{*|Hy|uEWho{&j1D$jBn@iP6$gCJ+ALv!^xPY>|@+v{4}9qEyqTY z6YtbEEjXeiuN$!~LSvHPgX22MlKQEOG8=naRksREHflcA6+9vALc6jkr*Vow+Qu(i zGnBovoH%pOKde3Xb&hd$?)~TYe$UyR|NUIdw|Bqyf4AIU{qFOh`JeYyzxNhkag^UY z?ewLRs;lx3V&++d{?+*-V_$xc=f_Q}rS^X~rn{!Sj@kUpT>XCHG`TeCYc12cZp9t^ zqhxt(#`T8e73zGF|AVCeH%)nTdrsTR*50N_?WTKs^||7Cn;u%nHJ`qaxWt(I>W8g5 z|BviB6nP*jH{s!~eV2CM*#B!*uEky5v{u&hrMLJUIGJqn>dz}kB-H&s8kzs@a`Mrc zvAf>dtFiiVOWUY!dGIQIiS;xA-Pya}%`JIjqaa<7bu{Zor(H!!m03+d(T{JAlJS?> z@4H*woACAy`%1R!hOfDwiT*uvm3{tpyNZTi35V4Gn;riaQ+v{I&gaA>(NnLqdDdF$ zv07+;Ir!Lk^TXyzhqv>e`Z3Ec!qe)_0{5N#L2Io`{LW;@$p7j7dQds`gJZb;XWM%x zQ}td3m5JYfd&;wSPV}AZIkojwMSR~E&vdI>9?AXfFY}W#ruj!b=Keb!6lJj6qtENJ zRKcCsozBPRXFRSqUi!mqd16-L;)Jk@`l`YoSpsWr9s1jmmG=FN{*3T1${#9stn0tA zr|j$Bm#44w_mv;)kEuQS)#BPr?;T1{jHVZaRKB(PyzJ4>1@d=I?WOBfENgyG>{<WP zJ=w!O_x_o`9a(o5rYFTc{&n&7wSKEz58T&P7Je1IUa{_<`>yuv7iVOyS0@Ux{>^w{ zdh>(w$%nW5Q)+zeceRx4IF|O#J>;~{@+xkDGubiruQt?~Tqp_u(=&g`OI?m#dOPd( zy{(#~y+3=;y7Z>^FRkVJ=e^0_U7ml3P50gH@IRgNqjPso`~5&^bN{jXhN|uF&#z6X zIeUKXu}O@VKIpz{4)NaBzT~phGtt@$UqAn@dv;jkhxM}!ci8vdkN^1pL9_1l^uz3` z?fLRkKAP4R$b3BbYkjf&k|?WlQ*&0&xW2=#qVMa$i#z$B*-0$!cDveaoqwi{-;3|Q zoyOzrUk`qF@?Tr~*v@0C#NC6p7lM>qocZ<O$Q|}#`JRi_i)#wLf6Do>;K_%#%|`o{ z@%O3QMbz?tcU*P!1>ZfsqkE2hJt%mMeZ9v;_OtU#=89c^d?Hm(b6xhHNlg>x)*e@0 zD15)?(?Q$qX$bdi=;E2M%6cb%knTsnNlwjR3y=MJ&~%5L`To8q)^S{S4RVZ5v46im zjaPn(%)Z)yW7oeN<UGQz9S-tSMWOMY#>(E;&BAN>?@jof@UGeLSM?Nrxu~f~s`&-v zWj<QIYYyqYD7#0t3#5E{w0zE~T7&NgCH9)1Vvl}*0x1O8JNfzYA0dZ8M1Jjub0W0` z3zEZP`ips<|24S&dtIz!?fr1Sw%OW6kB&b~7o2|M`GdZDXKSmbbXN35#=j5UGhghS zV(VuP{nj4)qsQK7Iy|hqH|5c6jiao$^k<09v!Ctaw<VeNyZe<z73|s{;=C$ev+8FB z?l~+{p)P#y*;AYSD_lSJg*;fRw&(3>3;m1>_7laQFWk6H|KN|6oA#s`_kLtPJMHZw ztM{c(cW}PmasTQ}okL%<Jhs=j)`c5v)M~0L)BJVL<<j|UI#2)dn7l~;RNejT=B}r8 zQQOxT^s4Wep?M&)(6(>M!X-P_ee~jTD*Gne-{Mze{Oa%RW9vT_ZaU#|t>T?y(T}?S zYLBz`)vs1$UQ)>UopY7yJ<p&FfzMr^m%T3L@4d!u{@hOD$2ZmcAA?@BB~A{s+mTvp zhA2F;JNeCYKtcK8QCbD_XQ}J#(<9{X_|+Ob{9Gpce%<kjr(-V7+;L1>ljG*iavLG5 zk7qUHb8b#Rj=PDBLHAG8PRQykpClr61eCyLujT(|^8Mf*xt@#ui)#v=FGEU?ac(sm z-esXg_4a7_JM$Ou&l2$KeqH#)Xu8OC(>PDZ6X*6lo_L`%-|gpvckP=HWtBxM&xBW% zci2}>t5Ek`=m;vUVv^aEYxGYgzG~K<#0qzICz`YGY&Mm))3B`hy`X3P%jW5m8G}r_ ze=A%Sdat5s(g8|x-)A1=u&e0#m2ha!y2p3FS`^Lnwi3Qxp~|Xg{kzZINqwK>)8^mN z$WEEcGvSmrO3wJPK(gmS^YUVOo|@m2-dAXr9NutJL*C}gU!)+oCMYjsIQ6%})kEF0 zAM%1c@MqrFgN(;`9{zK(NS(CI#ppxXacDZ;@MkCcdrkiN9}hp5i<<w$&i0hT&zg6R z$L1$J&bIk(Fqh|#-PSwuf!&X;R=j)2b?A1$;YujS>(K84)90?bUEz;+woA5rKaz5e zTk4GX32v=5Ka2T4+MX@F_xe<!_+ehbl#_>w`7e9eM_YG(H@>i7{>kTZ+ivZ88moCt z_rt#nsfD)ZRHq#?ti1ck{`IqsON_a%>GJ=X)1Q35w7X}0<n}Wv{{rnBf3s%=?vX0> zwtbkp?$MqVt{=0m=x*l7|6OseJ4$4|+ub73hik?5EI3%xw5Pyq!?RNF8vo+C-**+= zxfoxx|FqNHPl=b#^YuM_%w+N+eN$fLool+{vnI32Zn~%Z@VCID_>+5BXEEOYzn=Tt z*C~o;bMK$mouT-k^yKT%^)Ky&bC&X2UaQ-GV$I$=``xAg%BIcDvXcLy5TUs-xVq$x z{KL=RjQ)jOHaRkXa^9a0ED!E*rM12npXuzk<)@Ne{sOV1y8{}_^&aNRp9KY7?5YFS z{U5@(<E|{K$Pa$-m*Ziqb=Pz8ts3`Cx%Tk3eE;A9^3nUhTzfuq<}ZEVs@qjQndv># zq1~_cf(rz@We2KFAKooje9p@IN4C<jwRFx$rw{j+>#V=&bNK(ln>8nmCq%G4lYdfr zrsT5Gm&f;)TfaMGwJag&E6;v&j(v6~_9Td{&`z6N7*WnB-@f3W#gzB%<+r{se*E(H z#iYqU_gAv*%4Lh1|NL>uEX&D?X>*f)Tk?n+#Z0m~Uogk1nRT;Q-|I8k2MVVexi5X1 z@#To(XNLLPo#du1leXV;MAUJ8oIGzmcaz}r;(8a~qC?*W8K0-y7BVFrT=U?$yz(Aj z(Cp?U@q5>q-beZGQJWH5^?hI4hk0`jRt8SqbA#Wk`q9##e=Q!$7RfzlUAy^b(e}Ce z<q>ffKUbX3_xwC#$);bC@1BQ;iNCI&bLN44YVy7*GEtwGKKWo9f9l7J$#&0AyBQtu z=Xv_CW7d-^bM(c9?pYn|p7rGF9R2mGdz_E{eX+tb?hU)t=cno%`L}oOv2VC`vu5Y< zbJP7V|1>((9r00Sqknnb&O7JVTgT51x|tn!=2(rU<(6mOCm&YtoAU9ZdhNOC^Hu)+ zICJxkeBk6cvpzpnI%MtgVUb#;>GRXCIo`Kq&5OUHqW_J#_vfdoL%Wj&Ab!|CSD*jF zPoqcw6z+dFo$_j8;dlM-pSx$ze^>J7)8m^n^i|uh)o5DQoS$xF_iM@dpGAfrkAF=s z1O;E#x#{Kt_qNr|5jQ=#+A>~GanI+YwI5-gSkCc&);^p43rfx6<u-kODrgn&Cb9VD z)-}_M?DuclSMlhH*}M~&9xxZGkGV7Xw{q5`;NpFMOdj411c&{s&rgF6?JnYZ?&|v~ z$^OC&eS7B$>8gUb3s$rBqu<utyx00OC?e=z_PoZt>5YZlY3JEq+&bO$;`jf<&#!)b z_H<#|S_A&`hbtNtUE4xGF8J}k&G%5P#_2=%n-={1vRYspv-1KqOZC~Z4aFPO{hl=b z4wxo<?^`g)U)-PhKJ0UEEN2B}-xZ$sq)uBas>VgnZco_|XrTS%`?3$A#rMxi+w5QA zc`xd;wR7Y5v_sWD?nAU%eQ9si{5We_BIn73#R*|2-s?VG?HHh05jc6zhZ@Zf_a4pM zzWm|dsUPxce)2x!U*Q?IW4~a<`=AH0o)zNzj)2nKi`~*TpPlM=%>BS;fAY6}#)N{( z`6aX0&W_Sq@A-B1T;4j*1jPu>Ez{zJYcFS8<y$ICPACn&zwa3@b7aAvUu$OD`LmsP zbp6K;SKp$nYrd;F-uH!D>Ss*~-<+o<aL=wu-1KC0@-LP%)>5UHvku+<z;#|fYtr^> zpR%s_#w+aM|KI!>lzLt-(-*oYd>pKCbzW2DU%o@p>L5?dD!n|N<2`fz<5J<QN#eEP zi}QXNJ<PQ}_M16l?p5F8Id{Ko7J02^yyUa$c?UHkh1bhwZT|XtS@nq>YyViwZ(rf* zcXrpyxI?>Nsh$I6gEh1FIaPe$djjNd(`DPUuKLES?m5f~cTqOy`%Q~M0UdXCSElU4 zyH}5aR7RBw*W4?Se`xzJJ+6I$TBYghW%&a4BG-aqV0EOqtw+W7zf-@nU$9y|`(Nhk zWzRG2iWJIcsHyD}QS{OJwDgRz!s}&IKO8-ma`5Bp&!3m^rreolap<^i)}-LgdB4ma zt`*+1!ZYsdu9wy=<+a-2;5qh%bM3B`Ge2yTeYOW2>#GCJb5-_)f<kk3q<OB=p6q`o zK0|%vUD14a51*s&r>twf{DSu;>legbQPKak?$~qRXwLl0+@M?@dAH=$HQ(GVc}kx> zgl5-H%23HyKJUN;iptZwzrJ4PeqzVn(vJS<D=N#=?tamDD60Yu{N$GH9~Gc(GF`hX zQ~KfEZ`Po&T)TCTRB15x!?zWE&=@?<nZNgetM8|*tG*w~cfD=d{&PDtC|`0Ol9qV{ ziox*BdAB?(R`Y-O({wHJ?v>5qAO9cz8{SnK%yc>Sp3A&O7f=)~Ui|g-GWkh`yVK-h zQRsQ7`a>kh+N*13&r{l?%mRwCV_(eH?t1Covi+qw$fA2ur&kA>|DEx{Zu4}gljaND zQ-p@uTcthS^=F}BRwjJ!GyjKOoTev1@#kCd`%l+%@h#_GEvws>_sV|5io;JtzSb^C zyI$~9f5Y2XeicYvY3>5CtD?v4g*}{DnV5VXau?j1)RbG%?CKL_*xAJ<@FdYYV=Z%( z;o&KQSIR#5rdvI@B6Ry!)7QrSsEn;D#!(*ag&Sm}7pxEX&9OH4a@NF*jgA?LQ*V8l z_hjDFIfv_ZExB23cKY5-U$guFPnXw!e^-D0|GV!VK`Vc3{r6G&Ql#~wi<j9pZ493| zpX-d-?K^ieZ&{|vZ;Q)|{POYAGxn2(n|JbBJku<m6458+E>k3@cKcs=>+SvJ9h-yx z{{DaFx8_u<FNX{_I4(c<B5Q@`xuC^|KJL0LsIIW=?6j$;PTq8`Sk4`HMJ2!WXY7Nu z<wvr2ZPHaw*MGRy>ezE})05qH6^8`%=iaYv+5S|0j=0GO_p5z-)_weY`ORUoD=jUK zzP&Pc);4>{EqlH1hbnt{(l6V0kGo$cewMv<C-T9oU|(&0)%LJBFTU%Qn!k@*y)@FV z_v5;K?s-M6i*HfZv#0tT`Rl;R=h;(z&iAJd*VF}0-t&UFch4Wuhj({%e{Om<eY$dP zTp#a*={uL7^4IzP$ZlVR+!mf@ufwe>nNgLRmRmjr7410ZebfG$=k&^-*+KHx-#_>& zXnJzx%;{%awm)qL=hvCje{;TXYX-5q?Jk@+eP7_-*7HSi7yf)I>N$IQCF7?_Q|70b z&up}_WDcHoW<LLer{N`!&EpOim(JR`^FmH!^RDya7E4cLUj6I+|9|*<#fO!L#P`k* zT6wqk&mLFbqPRSXhT;xoKN%w%{~ytZX6u9U(FE%Q)f<`KzvsyJWu6~@MJ0dc)475| z`SzcGEUQe}FxgD{%=`Cyqk~try#+ZdI<HRrS$QARdwGuh=lmA>S(C(zWGkk(AIX-J zn&r-Bow@nopKTS}mjzt~CGF_UI`N9@7SGO_?P2G5KQUHa@t8HQSGcq9r$b*EKjZ~G z_-p)d?rm^MA5kt}bcVaGqV>ZxyQ6=(XWiem^2WN^t0t8OZx@`re&amGoq1W&3-;$# zO`Ws-_4ZGn#Y|7G+?n@F`eE)*Jy5NfwQe?_(7ladThq=losjJ<y`0@r{&q4rs-8{@ zPrh5y@!{Q1KB(eb9Qlpl7+pJC;d;FK9_@J#!1*uAobkPMOZoH1Am6UunfJ^3;aSl= z3x2Mh?e%8Y%VQ~bQ?&dqJ+fmix@%H3^WUzQ2A27&<sX)^ft^z|`9ogi3~>Iw?)zV1 z&s+XNP?DW#DY)gD@7tE`A)waCY2Ee5cD>|yxVC-*sO$<azWYV&VeVfuu*25Qe(-bI z;+E|jCfe6G&w6q-#{6&hhikS6KxusS&O9r>irMDHaToqv^S%6LS7vBKX>feyefP4C zhx;p7&)@vGZ2iPE@7q6{ogMg`^C!;vR#$V<@M2MosS2O9T7Cv7He=%Fe8}@%DpuS5 zo6p4l{@E@2s&yLP7x~!NE^K|d`|oy_!{w8lFPF<6DPMd4!9M1*=gU*Cm7iz(Fn4G6 z{EWn3>t1ck_~BQS@$23FBjwurj@7cyn*V;?mVLK{50rm1y>p^k-{-z<eYHuAx}{0& zce9VNzun$hU-(l~zV++f`Ak3bKDBLb$T7Tf`hsy-@%>)oe*057`M03%{d%r#idnyw zrP_?!4UgYWuy`|L&%%R#w{kY@vwnGZme<<7)4@&-jlR!&?)|m1&aH;|A2ytmvi8_} zC;Rd9#Sg7dE_}KBzrg*{dxyc!5{tOs>scdyV&TzpsIT4&++Q1i9xOR6;=V6Pvb=v? zciVfF_GRz?dDl$;p9*&E_A|fM8GVdZxG#E0-a)}PyB6e|>tEen-ptAQCG=f3qi)~s zlfUvZA6Y+Ic4qhYT0^OQlVxV@GZq(*)AlO9-}vnL?y1+xS37;VyHhT7)8sP^?|4pp zuY9t!Qug3=>Dan;FJy}Dt^2`bpATxMzW;neX^-`LP-Pl-_IqWD@VyvNvsl;I>}u6~ zcl$%TU+CF^npZ`qSAVqY|JPFfdM-F^{<Q0tIaHk_3r;tC&oj@{Kcl*5DkuZ2{%O~5 zb7*&_E+{|iUyJQMFUqU1r~Tana5~O<yKAN1k<!Vpm#AF6@H%$--NVIUudM=$GS*$c z@2<9|j2G;`=;Ye(UyqbG+T8jw6&m9)k9G^M-ud3)^XI#Bua)~BJ5k=qGv&_9HFFp% z=UOBxuUFm?S6DgMV#1v5OSgXpXVA*I79Ah1t$ze=L%cq6ux0yKGf+jTYn<MGtu)E* zp{^iQO0i}8yJB!woprs_nKS=0qybYow?girt`JmFV$1e#VxX{yTeDm0dgo!z_wT08 z5jWXi8hmTr>@v02YR_MH7~J=NX)ck(Z@=?;zOlZl+d9R|OIW#|Gu%1lTXUCX{(FhE zZI7SrY52_gu77`WS@r75cBgOlx4$s_O`py0*m7gPIiE!It(*@w_kP>13R(4T^Pai| z(>lvLnDQAP=I-nKyl7hIcPG|+PKTmZDgsX*vG!{$S9!ShFVFn=3kT+(=>DJ1@GnpG zNBOR&rn?j8*nimI`T8J_iS3!!vTN8MR_JnFkDoXB(z5kyt}xu*_4*u#zwgqb>BaLK z+3Y6&KcBQWcDqUYjy%qV?`B_|zxV9d=bpVw=b9yZE<gMAdE2C~t1chDeO0omVpHt; zzPc&b-+Q0gd(Jqzb@A6#Z}uPhR$sg0Z~0l)nuV{ge)h~W(SNwJF-q;;Q?5PY0{4^- zc1Nk*3+37)E_CnK(VDuz(?_bOGrec$c>m6Ij`&ueJsajVl(PNMK67XJKV79gKbt^e z-?cyVsqHZ}|M1gQSNMH0*PdjK{KHP?KqkHA+9R*BXD+vee#Qg;Cr4ke)jYO7;D32! z+-B)j^$$Nzo_6NI?z0XPentKfi9a5bDko6$Cuqm>x#ew3edBN5E7geUn<eej{QRI> z<#(g`^>dG(cfRnzX3F)&U)!YJN;HnV4s5!5RO*ZOgvWwkHlEw|`)(Gy&CzxHrMDN~ zk4yjZFwNV#>K{wNrL@U1s?W?G?6@x2{-%D}+y9Lder=cgwuT2(2mP!Pv^4w{bNYPv zs+qgp_dVQ`wC}vpk%E}{+dj`q|CadX_8B(bEWw)TUo$qZb)TzvP5Fg+=JN9npKMOP zEEf8v`|Ny5iD^yj`Ztns%VYPiQ~y0b_r;dD>%Rq7_D8R-sCCg5UVrR$mAu*>buCN% zteF+2ao452E3{dmY;CnYv$cNwX=0n%v}cC%$=6le4!C@|U2Fg7s~*?-*Reh|VMeom z^O$^?8MECc>AEhfv)|g>J+ATkXKVdG&*WJ?-Q(Wh#H#8$@9#yMTz!9=d&A0azg8!U zfBz}fY<nojp7-Uh!{@lHzho9Ke$sH>o8fqOpGC_5={NsHJHNVLb#b%Gn<Z!2uE)zO zZVrw-cD@)E0Pha|zWi`U+OB_He;RZa$b@f|y?)Vn&$>HluZul9zV2LqCi9Twe90Ss zlILlE*!P)f^TGYz?WJ5-tk?hedT)d0(>LpuPkNko+R%R{^St<$pDPNFC_ZOgXTP9+ z`hW3S8B43J(?7*H`xYH~-rOMk?@dU@zK?4>iYsg$-n9jHqO^7L_bSI-o||iFIbGo1 zS$)vDNc~?9kN+>KpYq|F&+|PC4t|_=-MH6GUz_>K((-`9u1W7t^0<_+eS2rh+HA6R z&lDL@D=Oys(l_$2mz>`7M`C6F(#g=~St{qlwe!LKrdgGijUUXmLOK}^%KGX;_a<?I ziikZqn<^uP74%OYp6oT{jheB*Udb03eQt4Hd?2IOeGdB8UwSDu&QFO|r`~3LKd5iE zXO2%r_AyWezCJC^PjL_XH>jhP^_L6XJC}5hdscj$UH<2y+=e}GUcQdIxOy39;GOgZ zJ5;66+k2M1SB_unhPw7?$)RW^P|IsprDgMnvNw-G?G9J@j3-Z*wrsEDdCq!PU;q29 zJ!|+UOfOu&@#K$3#?0OEm`<&mbLDs&H_S|*L$@pKz%{|Mr>QOFhq*yj1h@?u*;0O5 z1MJp!+be&TH0<g5{d#(#e0<HN4}0A=Jxnn96jYS)bm^0Vxzjh*ubHg(`BPDl{A;%l zzd)ljXHEwlx@|KD+@krsspmspHMm1{kzJ}X(yt=By%5wk{nT*wbS>kjNj86~#n;$X z^zZ0j&o4JgPT5=*i>sUFJh<9i32j!3wv?Y%096QA&z!#5QvRL~#0Ce&)Ryff3gDpl zpY-|DR)$K+@7MJo+wGHU_m}^3bX)Sp6W%8mPMtpKaB1ABe;fUFojJ`FB;Q>GH8@rE z;o7sHEf;ZT_pDLclgkSajny3ab@kxT*miTz8M#|)oiopT*^{#0F2ejgi{-n=){}R> zc>P`1MzR+@#qF7O<@mRAiv#$qFFpq+k3DT4rg6nxQCXiBcTRCn<^9J$n`b=%JAP}+ z_OG(<*f0F~^r_?Q>5Gh?F5NM`ru*)3_t%5M&8wU0-+0ct_8h&#v0nLWVL3ZA>8()% zmBOYcSI?YY%$fi3v5h{ozcI(XLb|WmzTw&Q>9S_}=@<9J_;T^yJgfM-@J{dVACD@| z81-h}ZOC}C^vQ)&-X|Yg>8JjWP>+4~v?fUY_5DZC4##!jd)JgfL9%-0bZ(CPtIcrZ zV>~Ke-}?Cn)Sb~37W#1JYS66dl3f#C|F56UsJvzNW4nD9)byJ8_vCtDCL6XO`RseJ zK20}A{^8e9E4n$~pZZ-Hw@9rLlx}vmd<fhA@h2#if6j?p$2(!VpiVbukP-7f<AMbW z7+GiMlq<(4g+t@@X>ZH+ccP#~a`nt<f1!Judf_hrBl1x9m-hVl3x7Td{rk@s6JKZS z5SLaZKOz6%t7h|KwKcULCVY{wT(5y?L(i4t=cYq5QYtTGpeyd|o*2K1>Fb|Yc0wa{ zIcNTF`JM6(pHH85nmzq|%8Aqe871=`%T)1zXR;YUQ`xmSajBBW;@Q@Qnpw|GbN>J0 z2YVLJ6qgH9CaM-&{U#grF8tMgw7*wt6JJ3<%7sUBu635>tdL&ucE+wp$E~h7in_D& z$?(0I(cwB*w(F#j{i*g@synWH&UwD*du<_~rRUR~{_nr~p1SqD>h|+;`{$MG<ITzz z1kJ2DGwaWlr|I{e7gt^^YRj^z?em&7dHpkW`R=rEE#Z@oTb_LW@Ugybh4PiTe+r&O z%(4-;m?lwdvP33#qD6)Ly&3E3Z+h?k_j!6n*wR(67C(B`WAn?$f=!t7*hO}uXE|P% z3iht+JtEn#dvbr|)K{<iIqz9Lay_=^z0W@L58vD#`A+kazj{?(e9zsx=U4r8J+{Y! zJzDAGslTyW746?&e-_we;P82_sr&Qa;h!phL`1}{R9P|AJ+4%T|KaEMH!rl+{}(=b zvRu#pv)%mD-P4Rie$RaRLA5yI=OoLd-;=Jp`yc!A)aYV=E&uB}mt%9L?c3w>q0fFv z<wV2vOZ9KF=KnXnHT}d4@#hB)O`k8YXK(e_e{DLar+;0kf1LZrrzLapK7HEq{pX&T z<x0glHp^x9rSNIT8@cDifBN;}<cxiPmb^IL$6jKul<emnAE#B({{H%&6Em(~nm%7> z&+c^>_PK!kBDQaj&xdVqul<(Zq!T}H?ysVAnvcFupPp_W6#DIQ-n_4N^JjC1CQPt> zKK1bQGl@^VpLM+Tj+3{QygdE1tGyX7)Y)$Y_U!$h5tni%`{ilTrtc4y!ks;x`(E4J zs(R;XPfs7aGChC7zB4ZuKUum?{@(NA+K(AK4vSAgH#fYaZjJ1vza2f6y0w){ALQ=# z{;ZT7=N(@c^&xCpR=iSj+#F`^_;n(Cgss1TgX8(~eKqBb@%wtLPTrn=@A>Dly>U77 zejUXK=g>v+$G1TpyeH~|nSj30v#noJmp_R8k@(g-<xF>R!o$<qEbmX4)yg?ddwTlW zmFd$(K0NLIdfCTpGjr;##%rn=W~RH?^W9tbTTrq%>er{JgVu%%AaNJYdGD}zCd{#M z>J`7Yz52@=IZa>Rr*`ME2K~?f?&Zv^D$>%q_k8xLdp_IWzs=Nb*PnU#)bulgx2Ny1 zt1?=qzh3<Bjndig+*8hEzC5jW(0WrOBp}MT@3DGs(Vu9jf3C4rKVM*v>|aO#U%N6r zn(If<pC2#7=C@YtJs+MSJZDeFinSOCV@*Z#_B*Q}t}fd8;O%Z#h{<(+AI$Ww!4gJ{ za>Z=3mk?L`)mG*+##imPzxcbxFC-$P$NBWBhu+UNd{Qkw@=bMp|Gb`;r_XoSt=S#< zUD@c_mM>4mS@Mswo$c<a)UCa#ToHYLU2SJiWg(wV%}>{Z(Yxc`=bzYAb93IWBA;JI z&+CNVe^;-XzkWi-oIM!_KNbC$WVxp1q|C<Jldl)6C;uv1`{MXV=~75KuJin0CJ2tW zr@c+vKd*%+w0N;S$=P2kIU=X&hcDS@qf|Td^2|`n^VOBNe%HjU3!Z2>D|{MyQi~V- zYq7@-;^Nfx57w$eQgCTg`Qx2%gUvbb9lZL>rbXxU^tmhb<IUek$nAR3GUtB0nttiu z4|elkce@`hU8jW}d)K?`-t3KonEjOPpmo{YXRgQgOxw37@&n)8rSQ-QJ$QSo7bG<1 z{`#bQY5Eo0AD4dr?Y#H=aH)-4ooqxbU%XNKRQ;LC+w?1IzohuY*ZF=)zI}C<{6xd$ zOZE5bRj{wW1j*LYtnXh)!ks;vCI7V7-u;TFKc)J=I^E6n<5R_pn=>VA-yC>x3q6EC ziCygH)rOW7_p~Z@TbV$D*qr74x6AOPwqLX2^|aUE1aW=ozL>}Z)9=0a|6(_vUs!DR zqO@Aenv*hN`%?OD?0fR{;$*|xpSl<Oh2KIQ`kN)6`y)6Xf8)MayZ$OHk=@a$I6nR5 zXMs&R@p(SAI~{)+J-;8{B_F?L%d(rR_nbFBt9N`#fwVbB>9f7l{KpA*sFU*q_mmz1 zr?sEC2Y+9&f#>G;9QPhVbMwC|)5|^LpP5Yk{#$tO`RT=xv37Uw#bX3+_?P7S?J#3S z_dJ%r0tsB^rt)_(aC2|7=I5`zcYi|a&mx7dPdmOmz4Y{9?%$?%u-V_6xL^I~?2SH+ zo<ZJs*1f6N56#f;x$iyvo(V~C@*MXzuigsF(e)Y?x2L@T2lMvj`~I|lN%iXwdusFk zY3BRSl{@`hpWWDuk)`jb{o43)FEp&#S@YjlLu0V)VD-ZmP|CAl7u&bT`Gc9xwLOZb zKRpe2b$W7qtqy<el<(i;CQaW}S26$m*Q1Lc^1atUPs#Nvzczl8g_>QjQ*m1i>g@R( z_e9%G^^Kk#wcPOGDc?cs!p3KyGOm2-zM5KCX|Y7)di=HbI_QSJ7x`=P&FpUfi5ZtK zO)uxX#|El%r|pvw+Vgtewcp*)vLg7Pb<sSqnctV}s|ja}-=%kB=EIn`4zJ%~q~Y{M z^6dAQKnk@_c?Ycv*MYn}ZQq}r4|4y{2C+dwa7VM^_qmJUAlP?hx;OU^qxa`C_lm5A zR-<3uU=+je1^?c-x&UHsQN)M7IZGi$d^zX6dFpWA?`_)t*yk*$675^IFDCZD^hd|V zSFXwYF7^Ji?{01*)&~p!VpJZrk9h9|t%sQWNxW(Mdmc!Exik6$pUj0l(2D;%_q}D8 zO+n>lrNP&yX)jOD`5)H^PH5(=e|>i6+kUCQ$axJfj_<k*O~wC$K9uP|Q*kxR`+3Jf zDFYm1%}v|4`F#epDB6SK`Ml$wZCMO97o0ivKIp^<;_yZC$NjHDs^*>H@PgR6>HCF_ zd-2d3w4L?+jT&%B*iHTQ$$>FGY-i53lMDBpH@95*|NJa|jI6w0?5{;l7c^T49*p*e z<hb7~`DdG}>Y>$~yx^YZVn`@he0^HXS~GLcm9K|?*R0c*<GpSfhn|n$iT}M(y$NdO zeU5t@!=bGcch>yBwX?(}KR=R*`0;6b)ArX-XPKu&?pvc`E_=>z*Rw0vWllT4ee(DJ zqP_R$zo@kf>Uyou{x2Bad^@#Y8{euxlka}vJ<aM_kgObd@OI61SYoS*`LONGB~W5Z z^?h~P+&g|(-ldrj``TqCY=h8E{1^8n`S8T$&a!?F_BMdpK0nzH-u@a7NfAHY5AH5# z|Hco^YK#Y?zt4dbKY6cC^NV~~3XZq-JPWzHwJWQZN^Q3MnN{~`qsGs}Q$E;nT>quI z<K83Lh?OPk*#;<e$v@ASxt;aUHj#|*9$iQ&S{d+R-hSWTLeNm+JZSxIjU7Lz*l4;k zJzVU=(jOl^g@066%s+o$^z{4{g=H8CF#RK&{Lkgk@ZZk?X;M$yR}=IhZcixO;Pp-A zKi)&CR_@^Vdm+#YC4OJ*AJw;?H`V^M-|A;@cMhTlGKW7WHdXT2`D0a@MlV>li^`Nt zt`|MX*S?82*8I+cMLY6NE!gF$o*d!uMnE{*Bggb&Nasrvx9pymLOq#15k2*neyF?l zE>xVjh;yS`l6cP=i>=8@Wg2nkx6f_g_kMEm!#g``-&@Y#>$&i|UHad;_vQP3|8Y8e z_gHISNT>YgMH)r%>OTzQ|LwW=!}Iyg^i$Ip-Tk<E>Bs9~$$isW79SR7e}8yY%HQ4o z?|$juee^Rh_U`3J?|9@YuE{og^%Q<-?6Hh~eC6)?-!_F-5AOZQt_#tU71(pxKRYhv zOm*&`blLp<>h~&EJ_*$F<-B*Vw*BfqP*b;=-R9$|zqM{3zWw)nzy9<chNtq^<mbNM z`J?*#)tW4kqbn|cc$B=8JKieAef^xj$D3~EH~-Q5^0R4AW@wv~MR@fpnfT{bDUVOq z#&?xJ*my4))I(^`2lWs<=kNQod53lEZ)nR(PGryG$)KDO_3M*<Q~CYyt*{E|zgC6y zzXji~r)KVpnfc>WxMWRa_|n-<m6l(AI_>n2d?pb+kss1XIlLXzNLk_^|IhbJ^6U4| z63CDH-ak%ALay}qVE4xrUXP};=AX|0`fr!c+3Bl=<K@mgI2|-?k=6&(h3CT`L<bxH z@+r21G%EP+gBulgwUrTHk`L!Y4gMGVq3ll-r0r^_Uh)0@dU(5v|6sJ__1~{InZ&R2 zuc^Ex^zrwN>PxRR_nEwKfAN{M_H)LLXH$Pddtx=<p4hK1Pd_h`SC@yDZ$JGH-gbhN zM8-|#zqWi+g=Rj%gVuJ-&NrXFR1@h}Q`z}Nwe<X*%R6N?Zt-o2pNbw1`xJf^{t$=O zo&QxUwC%4$%=|C5M|eKG4^|WSf$yKs`}L<U)$COL_;eZ1zBS+eGm5VDUj4DnE`IAy z=bF9wvFJhZQ~qMVt^GwvaU3bQ=dt}Yh}jo4H~SiWHiU<AWz+W8?p5`%)1ICFc4Yc) zqaQ(c_TB8|e6jn&bM6;mdmm{i&;A5$tNZ{r=D)l={d1B0?K)_!_etcS^^aOe1nzYB zV0LdYESMwJE28%=2d9iXGk<)VELo#j_ec9%sGvSa?LMV@lOLzf+odQE>6gM&old8D z#pQBn6wcGC*ewUGoxV1ef1L|&z%J*!*OveKZ)xN#eQV)(zO)aiFXsF6)b4v+>M!^| z=5a4Z?5%dO_p1+vwzyw5ZJ$~J?naAq-TM{`H#ME(-pTwIpC4>8iGSx=^Yb##zBSrO zh1U+q{Hig{aeb(-n|~hKRCx&Qhh18(e_rITh1Cj3HND9Z)^B{Obny0GXLwO|wW<8a z>t8lIbk0t{+o@ka|KRkchqk{s%`fn)M&G_RBJ=xN4EIJZlK&0sp3l>*P~X1@(y)kE zu3+B=?>p*=?Xiu&22RP})b`m-Iyn8~Qb&8I`T8$ryC3Ggi;?s61pnUn$O^5QyjkCW zh=WAjO^ph7vus$8be?j>?O%|LSKFyS{aF3ZWv64`o~d2ebgKMa=EJgtB!BeeR=MuQ z@oDE@LdGd-);@Uqa}B7To3<}Tt>XJMcwuo~c#m%_B(d%7)L%bk-<nU~f4985c;UJB zoG<^_AN+b(1MT1K1NZN0e|@UC*gw@DYH*Iwo?J*Jv@_;|-4;h!02j4BnEUSP^Mk3G z`(!+7c831i<mKP`@8^*V&sP^kH`i2_Vz~FG)y4kD-=W6tae%j0TARLq+zL+*;+*#` z_P>Ut2k)Ani7!uU{jb%mtC=7x{j0|D-WDzA(mFNt6uc*5&p~#3Xb1X}&_V0k^^l;* z5!=%q29LUZaUZ_byMS}G<&+<v>Rz7Kk`?*&T-bU3`ct{QpPn|Je-7=DegF@uyu3Vp zdRN_>UD41qEY0%%MLVdqw)M-?)TZ)_PvNef&V8?M_ZM)v$*;Ok=G24JyA)=ZmaVw( zeD++EKktw2n}Sgg1%63>4eN|Wv*iEJgCvWcK_AxbiGYRjC$59Hi)P=8pT6{Gk;=!X z`o=#tiBz0zvx|SQ-M`oT^Hz+g^Iaq_Z3WHB>xA~mZihCrv{~OzQ-c>k=G^yA@$KEO z=l%KV;ZFVeryiXCacP14!t>%^&Mtm9Oa26Uj=Qh)tMF4AG!2Jy-V=j#4q|jGzMCLM zfCTqEzWt@LAaa)eaiRDyqaTyBGT*(t!}p|&{nmbrf_R<iUyD7i(15+#wEfaDNM^gQ zRdG5U5o5j|`0^l`&2HY0qR7V^?}#RBJ+By6ZM%JGq;kZI&sTTIw(i^Wem6#Lwz}AF zdmY-6$`Rf3I29VcaUaU&!3RHfI({gNg_M_bJZg5THS0V7uSt6GSv0D_^iY4#6ZGV8 zy`wH?H*|pF>222c51>OTI|Dy_(}mX_cT_5(uR}`8@9O*ZXg2E~?O(rfZ&zXEi_fBu z_2x*NT6qy8=Au5b<?o7u21ses_UyHgB&@@IZ=pE6Tl2MPdt&c1P#L^jDE{8;gVPt* z+ZlfO>DSZQ^)XmV{v<}sDgG*~nhI_Am2=$-gT&k(#}9qB>%YUA-LXyOpSaF~DrY&b znw{p&`mehJrylo}{8e*&uOaK7=BLLo>Z7xZ<lXfzL+ZMlY8BEQ;*y`Eeid~;m^%yJ zUECS^fiHelC8(a8d1N|&+K1GY4SOTGi(Y)bx=Gx1|F++q7@=@?k^FCc=wRbdxr5e~ z3n8JfC-B2H`^A;e&c}And-J$WK(({vRriaBcF4$|eQ-KrEut8<or#{`?y3CR__zj| zgw46{J^T#G$f>&@<koqCnk=AE%zfb>w&`Bpqv!oOwf50zX2}}QsV3h`^4N=ZGYi+B z!3bRSUmH_bLId}0)AsaEh-2?4RxC#JM1Qm9UrquCuAW!T&IfancWNKVn(w^kTUDO- zX15I&p06&n=Blmydk`Zq#4h$vWrI3dwrP7Wr2Z`Dy7$!o1!Uw~Y~P=T2XpP5Kkw2x zJH5A4KRg9iD?4#-aQkt!{WE$yBHGoy50)+Ns8-B|#M>vcgS-D3?%faV;_haBpWz8M z^R#gMy3-H5KR(XRS)UXm_p9dU4sP|fO`kn5YNOp1&HU$}3F4>YL2Fs)poHJS-Jb<P zc@H$$SlRyI?RuG_sx=F0W2QYj{p`r}+r~ePzMNNYvy(q}=>OsU?2Fh;(=6-6{)?Wx zc<e`gUh#Y8@4LTG{_wl}t9IAD3SRICC%jQ)?P_1P7uG1!J7`_y@>%J(ey1eQ?p{P6 zWo~WG+*HY9{FkTc`FR~lV4SYk_TtRHjH4^Ay70SgGBNZvToHNU#=^)TjvKyqI`efE z)+k(1Ja?7zb&9lzTA5GETm{_~0U<9gG`iYF2`)UbV0L`wB2U}?TK%f~)6dV|>-zi7 z`^sx-s&A|3&V62P|Gs!#{I+i`@0>~<>vZ&MKd-x#etnTs;;Ju&E-$mc|E&M_!6r5J z>COC^kxP?5EQqe-3ZGCa{GQ#LzhF-^^GB->eV3j$Ii)_eY}#J=so_!S%-t6%@<U%< zY&~?}D}ML+UzfJ4UU9lzczNe(o7oTb#I^o?zF+ZQ_;=06dprNn%X#5(SGjj-`;O~R zHH&}mic#>i^15$u{M9AX;~yoH`*yV~wiIB0&s_Cs&d%8Xy;{)$D^~ni_2T%Wcu<ey zT+$|garQ@3YC+?T|Da8u>m2uVtKs8~*SYTPYObn}efBxk`O#_KOVhKx+mCz>e7RHX z^3og6yI;o1W=%V}7*<rYfSay7FHf)UtXp#rHmnkJ@b;fokZfY(|AFt_CD_2DP5cMH zy^FqIPqmAmH|Iyu^e?GilCm?4Y^R;xcwYQ;?J1+<my%(r8E!PUi+$fdXjAah>!$5} zkSubW<^2slcx}hc`u+p=FPj}_o}WH;Wcp#rnwd+NKa)4!&s=$<acVb)ncXh--)xsd znwOuF4qAVOHmmlyeE61s9p>CUAs@ckEQUCD){mlkw)lM?4>#C|Jd!auVs~FACo*sT zrq4%US$ZM3Y32OulhMWgxA3Nw_@2Z5&|wNb&~yU4)-O7Edzb(F^`~n<{kx)vA209J zKA;>_SGTfmrs8(rpU(~^&3}LPz0c3TC;z3wDn2K0r>X7BQ_)58v)e#13Yulotf-cQ z_HgbC?3pYLZx*f>+H>^ymw&s?JU<=UsefO)c4kojqnE*Qf%m2-&tJdi{kb<Uj{Bd2 zmUx1<z*8Vg^w*2&f4HRxEs|cd<iA#ibWk@1f4FvM1*{iR+52E^T?E+uIi58?HD8{d zvOj)bY1!1!vi`o>eVcYG{%0;Y3$Ih)v6<~^@5l2B(xCmb?18QjWYD{`Y5T({cx=9A zegA?P5}T%-`r)4O%f5uTp86W0cib*s=ey=<ncn%Zf*S7Ze1X3f8{8pvYwGj|Yt<lQ zLtmT9pH79h;mo=2?Yj#xc-xWb)6+hrrcPU=_4xP^yLid_vmzI7H+=?e4sd{5hmx13 z-xvCOW2-mB+^4=x<zJwUxSzQPZ<lq#d#G#&Z|@5R2ge(anw^@>`pNb6NpC)%jj~Gp z!(IjN>%qg}eMeo+y@ikzShV=T+ugyS`pG-KjQifZ&+r)BuU#?w-G$$;jpl>;WADyA zIBm4<eC3zJIqYtB^P$zB@)l74KgA<HPv~#Nc3-GVzq9234g(d*y0w*?AH-f>1&_Gy z2W$6+f?XOj|3^_gTl}$q|Bl>vZars8<kzL>iMeR=i{mS2LsQx}j(cj5w#6N#itF1m zU>%#f_z!+Lm!2O?wTmxP-)EyyJM+`;jT`sd8-DxAryg&%<{Www%Lg?K9ic7_gO5Jm zW_f?k7+w->=f2lC`%7iP%=6Quh2rH(50$*LGJX3#^3lu1Ywq26-u-FWQl6_tWzb5h z?*e$RLb0}Tc17d&JFp6)?4WhwUPw{&Ms!cLKO%2CLtBM*@!!<;#Y{Um9pd1iD?(47 zzAMHkF|1wfx2=O#eLI~$@Wrf!v}NlRD){Ftg9q;P2f6#az=3;b=8sK=&HCDla<0u> zm^42>?b46^QoA&u-5U1{&~VVn3H$ymd~rOf9ooLIJ9zu*I*7UDT=y1-!$bI<ZpCZ8 z%iwhITR48*xd*3Doya!(_ETwpZPuQXr!ia`yGVYu{uM~e?Wgj=+jpQX@AraxzMh7s zu6mt{<$93R#V#Blr&wG0tWtMX&7}M!aN7O(E_2QGX>pU$)7d@kUxg)Wp-#Tr1S^n# zv%X)67-h0;D&G-0pMCnz8p|m^Ho5;Yx+XMt{_71l8>ha;aP9n#x;NIaELPi8{>lfM zaQ8om{S^o=73Oo@n<ut+zuxDcMfs0T|2F<%^!9sNvgX4Z&%2-6rOsSjoQ$3pem1-~ z{%!pWNNFP@u;*|*bhM_qY5PYNxWVkK`L89xb>35tN2ixB)qj4!e&*6i&*djSmVI%5 z4!ZyCRDNxI*$o|Es#mM1-Upq4uGg!Go(AvN{B!ys=6@X=XUB!&|2h9MTFQR(@^YI+ zd-RXn#aG^+d-GyHeBc9KjLCyaUwDt-<-@kRFi63`$9eCZFg)tyMfY4?{_=0>%=6P9 zck1_7elK|!rTO;0Dzwx&XYus!i&?uds_FQyx;YWhQs+~6({_(N;Kd31#rEv&Mwl(U zXYu@N;Os2tQ4^_OJM+`~jiOIu7q&0yuifXI=itJhu}2*}A=kuwd3>M<T5TL|+CB?X zO@HUQw=fxQ^n8ImwaZ_8eqc0TUtKtU-GqHUFVCKu@_J)TU+un#cfr#vZ@oK>URcyC z|Jt}3R{w8rD*qx3sgH{0KagDypE$1x`mn7&2AuPBbaX_-rgFVl!?UmF@88ai=cgYv z-e|Mz`$F`>?UUxk{<FNWh*YomZ3k@<xwGV7b%H04<*fOa+rNUV(N#yLzc%_|G)-^r zeC2<#5|t+Cq3~z#i{rcap((D$?ZdX~prPjMm#5QN-``+@8~L0iKX>lE_~}1uDoY-n z&b>5U(|*6tZ`%bCx6Hr&OahJXuBcK%H@hb8%i}Ask>frtSXnG1yr;GPCA_cD{9tay z{AZwqVcMxLKV_ef=8_x#|4-O>etJ>#;yrf^CZQ*1@NlUuG!*`8RAhI8yLq2n5AJ^Q z9zGZJU!{V5T1MRTpEWyGK0f^{S))16?o?lI?LLkCs8a#Di!qug-j7)C6*oakiRrBG zk9>qiTg-=fe|CY2WYE-Ljq8Up+2xh7&ptoBbY%MfGY?MRxIbUwca3IN=cl6Y-WaCN zceUTP4>sPox~cqu1f;0g8UJBj6?m-%xQnM&G5h{Pkg1?9-mIgScWR&CGXHU?%gr^$ z&PVO;pIP#;-t<cpMscz0#qmeCp_QE<$Gvr~5GQ|Xc<@%@GHh;;oi+dNZ4*$#Eyttg z=R%%+YkKyiJx|(K&wPGH>Z(adhidZYgnku0F27c{-i6y}>0!tFGklKN>na_Rh?)@P zDD!mw;=IdclR4FrSxql(RIRn*m7T45Zf$b0q(bNGjwo?))xyOycn_;hJh`bgaoV|= zIXcl{j|6VCmF>U$@7&`#lgm%OUjP2@x%Jn}C!gNE{`uZ&yZxVk-+lkR{C#b>aOa^# zfsL2dPet5neRj8E^R*s7m8!EwXL1hDdc3sboqp;)k3Rib`x%cLrS^H9zWAWi`C4Mz z+5LL^e_oD_nWCXtx%`I8R`1A{g%WIgf5;_I+I*{q;n(Zc^DG!GQm-v?$$Yt=GvAr> z`Oz6q)|%dDy=UgXM{R1Xm$$#bJ=yvvdn6|BvEVDKe6;jy?eq`d#ERoO4*rPv@u*|p zx48zlH=L86=^=6D$fwS~g&fP+Bk!x9|7ZN?O`6X3NB8zcltsBLUN(>Ou)b>hw0JMR z`*oV17yJGCa(c3h{O<ej87+N&s~%HXzAS#85@=gO@}%&~`u@WAYTI}IPw@Hl$l}6> z)BgqTIj8@qXprdPe>t6dZM<3P!|8&TLVgr4WI7nSU|$7K@+GVNQ)Hs*G%ag>FFn(5 z_42a*fA23FKZ{&<@A35W-Tz1a;al62m4<4Srhh;2JiJ>r0c59sA2aX%n*I-Y_0zA5 zC(Zm*wDRle{M3ij1E0_JnbG!P_KxlO9OY*lE=IezKAb+u`Lw=jd;b0@KLgcke?9%} zA|KsXTzh23lPh0N#~rF((f&Ef=Tp|N)JJ<Zx4)eC_l~}yT4kY3#*e24hi-q-kBjd} z{dBtO^>q7_hxBirow<2w=h?73x5a1oom!+=eXI@L{8Yh<$9L_AnV)oM_g@K6%v}9) z`ZLG-iF@IugO)V^lU}cX#OP=0;;*N}H-GRpx_i=TW7|FVNB8zEysrH2$njH$KX{*9 z_;mWD!`tIe{aG>D?(e24FOrYO@32eqDa!iwwBXR~FJe&B1zWy<@rIlJ)Z<X}w{(cx zw_ek~f9{a}$#-{Kbspp&+gr;x-R^nD(~|~&f{HSJE`9Rh?Q|piS4;f&%eeA=n724a z-cZfb^zWydL(#ew;BYT8d8qqe^SgJCXPgYXR9z+G!?$*ajx!%I`uVi#_4H29zl8$p zR{X9|wG7|7p-kfYx7N_Ne=h0lvEf0FS$&mXH@;f0>z9~3XI5RLTg7P>{bMTYm&Nxf z?%7;ky#L6ICr1kxJe;1)ng3k6#>PQsa=cme{y8&R<EK3fe{|)$-1l!Q#cwD(d^Sz| zvGmD>Pu?dV-qug8yW%;&u2S&g@omjerx(dQd|THGiPfj=9Ql9s-c1MR0+T<Vk`CSe zBn=6dDPK=pM*c{>GvB|b`nS!ksa31`W?~x5dGUDLUzou*58wU~g$8)hq1%6)5e6S_ z+5T?&o_zwRpG;qSO+P&K;q+HZ5eyn33a7bbW=glkd-3V+pCYsGZ_qRMxnEvR7w)hN zd-wELhvCVUFQ-ozx;HJpAg;$V&UgPGj)$?g&ixfio+N&}u`S+DWsj)+^RKNDYWweG z{r%La^T+7bzO-pVVt<Z5y0`CvwL*J?a@HaAWKtw_@%TOQoseXb$_m=#D=~S`th$*h zdtTq(5ufA($({W|_vX$2_>p0wPu<S5zn(sZhelC``@#2F-yUHkkvTqJJ_e}6@}|VY zwE}y<23JZxynE{u!qtj<V$Xkq7<~BG(_*;6P3AjgnAXM0VT6ag`mY^(n_nw~%ab_2 zit4&EkW62s^|1DzA|jl*4&DA{0?9V*Ur%4(^uc@6ZyBv<i``w-zYnb}`RBRqt{{f# zmrpR>+cFtux@X1iGH7V;>H6@mOc;^0O&{joIQN=+qfgz>Jzq~JNB&6l{Fmxy>U`(+ z@~D{eC;3e<^7~U$7x~ro2fhj>PYS-Qug#f%`@1D1Rfh@Qd;A@dsxNcqKQ)7-Jlkvf z-devND`W@muh#s!rgGoqqkC)jy<R!<{g+u7LB40&i{zWauoTGlF!zTUGzGrqct4{Q zk>!03-QGPFQXJ0zdio?h%uW8DE`PVu7c*(wUOfITzX)0qMz(AZ`T#BnpXMBjF6D=Z z$xqHh)i0pgQEo$B<lNTyU)T2Rc>ekCZ>9VD|M%|lTX=K5_^l_`*X{Xhc4JNbgx{~G zKFfc6rs`76oc718w<ffgE!pk#Z2iWc-Di*X**r6k{3V_deQJ$s=cP;jLVq)=r@UrA zwy5H`#)osNd+zoZ#Pzs-^b2{gxA8+57nmLVVDH2a+iK=s7f&*<oA|xuW}E0A{=WH< z)2`<{d;FvEu9#RmS4mCp>$|#X@)zP)f3n_pscO2>&MqP4x0lvi7oV_@{x2Y(F3?=4 z_J9A~^|vd%V-xQsX<3<w-M_AUX2Q2!Gc=p8x5}8seG+@NI_}h&Niiw+&u;j9)lfHP z|9YdsA3kfB|6Fw@KKt|f6Zv(~t^anqoZ8d;^Oa2zLrMOleT^G6?zyqv`w0#`ov!k^ zOz(YLwm;S0vrnS?(cL8nb~k*G%X+p4#94D7+POlTMgQ2Miv5cZR2x6cy>;Ss_ePC- zbGi0xZQ1_X@f?WrT%;mjaZhTKc#;9XTb#}PUFTlCKRr#n#fbm9cEa}7r2&m|LKoC< z&p)nXRNYp2X7*3pcQ-0C3V+#%___a{&Gxw~t4JnqU;k^iBSv%k|J|F{Z+7YPMP5to z>+DJHim$|se7ApoFWdjIu)6i-Oz*S14t}$)k=sA{u%CB-^vwEwXYWtC|L5g0hucAE zt&dX#E&Zfs{U~hOsB!PONJYNtp1JYQ_6RgTma4oMzkK#Kr~h_88LijNmVf?u&#`L{ zpZ@t4T{UO9&%VUkUpZ!Hm>%aUzT7`g|Kq>r2(?(dtkR#?xBUNAK67(@Z2$L?WOk27 zv+^4<FUfzey{kUgf?rx!`u`WXH)YGNe!l7dq3~ZrXKc>3zw_3$Gkc#}GimXuKfD%v z)sH6pe17ZC-O5w>#|x@74!fONQ!qzg?fB0R)jtdUlAk?3s2>sjWwJD{;g{FO+tw+c zG262D@EYgLiEmdKf4H!&T<I3;EuOcNzZc%y_N!*ftKz=rXV?8Q4f$eOE8y7J{%6w@ zy9}mRpC{%fzS#Wa!`kyk`<L0>cl%O#_HNAl%p46K*EQL9zC><29XkJi=GUL{A@hsx zYy|7Sd9}VMChh9ohkLBATJ2nad)@ZW@1MyR*2d>*=o`NN`Rq88L3+c+hgNpyE+&|j z1U+k>#C+~|<KjEQ?Puzn&)Tc{|IGEhytK7<N@U`{znY8Q&wl^p7tA|XzGpe}{I$AJ z`O0&3b2^vRi#yNPS)Jeud;TV|MzHil>)G~rcBLx^udFjD2`Kt;&2eUYF#B`?*_@Tn ztvr$wd)5>OC&aR^Zte{1Jd!c_Ra44-d*^FgIuFd2(OLJq_^Nn#O87a8mou~9MwR!> z;<>-JGVNSMg{y4NTMlP-)%JYZDL+k1H}t)Da7;9YJ(K5`;TP7|RuQr?sig%$lU^iz z`dr?A?_cr9pVy4<SJkfD#&0&QD$9HRn#ZN5*Pbtuy}WC^isdRF=h}PWlYW}6kJeMQ zy0)|BwL~v(na+<NcGpBpb@aYZP1zl@KDux7ub7!CzuqiP=CYil9Z+F+VrPluevc=5 z$u`&Pzr20E@BHGJ=k7b#8Q;CX_ZzRBe8^X(`Q<<A>^z>WH?8@wJFfS6^R&s#3sz72 zZF_7{h3P#0C`WMf0>bvJkj{Jd^=O31`eUCRw+r7pCk4uDAWe3@71iS4GAGMMeoNPf zYn-4mC(A}Y#J^(u{Uf0K2~ze>VUO)+OZ_7c>NGz+s&qW|yxA;(T{A~qOCXLl?fuiw zGhEpWr#x?-Ht})6+1@`EEfO;ApUV4$-~4>BC*|Kc_t@vlom1uB{{Ex1XR*@68Q-o< z+c%%<f9dnJ{!H@s%x`|@vX2X!J>%H>43+lxztxQPKdYU5USRHud524zjycJsPvU&t z^_lDX{fjYar3H~j^;R#U&&QOW3|$|)U;Vd9&-bOh2O7Wo^6fcQ>hpSE=aUsiJ$CPB zpZGKDyRV&hNzP-Rb;%}de<gl3mAcAypRN_YsdxRiT6lErKc`7AJ`32#mt6I}{(h$% z^S;{CQ;lc8znd)fBc{5#`o8wL;OlZveyub0pDMHO`AVbx&uqRHyWHO&e)8+wW1C)< zzdfH28+bqV`O3{}`0mYDv08E0V)p&*em~~j|CJYSx4U$EWWxWqyUVv9)_(Qim-(R! zjwc^Rv!~Yi%I=x`BH^~lx@M@WWY_SW+ivy41LQHQ^F>!x!)ptl^3<;R@xXgo*8}^~ z2`}P4oYDlP%74xhRvMNyuNRzIzp}YpQ1*^Z>uZHxNBg#Y;6&cNmaop-v&3M+=cMr1 zqO1Pb?aHslUazVAd+#v+_qTHEqnF)#VzsRFZctH(q}TlGx~X+%Ur*z8IlgOB%<&AJ z^^aBDt&i_o)OYmhuBAECUzeVq8a98vpHS_&z_*3w>#AP<vOQycAw1l^;A;By_q<zT z?d;^g#w2~xUH5)9Z_ly6r6(U&&(r#xeJ)tKb6>gtgI|8hcE`>p6oUh4ee}K5(ho~h z%B+6Ww|`;tbU^BvRu_neiyjZ25Wcb{Nc+l4<|~2Ltx{SXi$#7oKS`7?bm6LB;HfL3 zk<j7Fyv1;T*Q|_<0jvv;O1(I|MYOX^Oe<@H{+d2#_vOLI`zF`V2|CW6yL{W$S4XO6 zRoB@*pTPZl_wMiWeDBP?dGh`C=kILi+g88-e(&?`yE&nu8#CM!B2pR_PW;Q;`R2Xu z#J{|KZ}J0D>eF4nO+O;L?cvJ#5jCn)_W#&<F=zY9XSXFbpEK@Ve_W@4hp}&Qjn<O? zmDm0++5o!!fwgaK$~DJO=k~f;B@M~X=e8Vv|57(JwAD;Je=pPf(w6cy4_s$=gDS@& z&>=^l>mK&Z7Oe;uxaa)5C~nKnifGY__3C>Lv*_Q7*<&qI5iWF3bus98(noifAK2aX zp)8~rq>5kT!#cG+>-!$<NnoyZzBm1Bdc<<cgUo;JlPVqmGrh16iPmvtG3q`rMf|5t z+QOBRw?1x8{2f~<7i_eTExcEu`(}dJjPMxmUx|l3?(rXF`&)3(`S5*>{=WrBZ-$0G zpP_qP?aQr$GfM4s4m&>;6pOEmKX>D&>j?q9yu3J`uk{Dc-R9qa=Js#4Wb6BD`e$?6 zZBg&on!o4lx^=B{yC!L>S}vQBd*I`451C8<pI)mkSNLzX?CFI=?UN!G&cE;QtMawM zz4I&2ik$!3ROo6uE4Sm}o_E^|S+_PN@4vt1Z|20~q1g`}?uaXr5&xoUVYl);Z`l0* z28wg+rb|mQglzcnYTo+&To)n&)zr>h`oHhW)<56pJM{kA^W|&Ji_K3u)}Ft#f0^BS z#a}g#bk?1}a!o!;{#faPmz!<$;%o1veEq2}eCTCy&fKqGpWmLxKFRL8iTQrRzQuO? zuTAE!ohGyKYvix%SDtTmng9I%b9sZWTL1s~<?efH`K(UjdgHS5pLW!It?a(~{JQXq z&F}YI3D>VJJwM$zZ8y)`)qmsn$}PKjU$czga{A6aw`!_qM|wAYDt_HF_t2!98kSjS z%pTm3>U91!KlAT>!4vy)yPv9Y%6p}?-q*Y)IQMN`>ua5@Gav1YH(0k~^JX5yIpNpe zi&!i>QB$}2>f(vQ=WXIsdRI4b{CpSQ$Q`z&=F`n<#`Pbso;AKMv;T<ov~Ry$Y<K;5 zQ}bftla96Sm-a7{t8IG`_|`k7e}!({>y>wukD0EOd%LBoMDgn4W2Y+pw!7!pTFH9P z%h~u<|6An;S#hU%$~^~vfBbb#W8LM+J)b|H`Q^3b_0IOz|2wb!f8g-hR5IGaU3K%J znq1q9$7k&?u63E+UA~1WU-@C~PwD5bv%9~~<=Qh}b<gqtrz$T#d$iZ3@w?k0>$ne1 zyw7%==ze@5<npuy|IcjL^DMdJ_2GcrjU5~dwsoF;^ZLW*o%ha~hgrmXAKJFwDC*=7 zsgQm3OT){hJglw1*t{1{Xf9Lxyu!BZp3%&6(LQ%0_4YjuufKWTJZ#_b=dbmj)VcS+ zd)xA>U;h1H>$~T;uk}Cuo3c~$bJ^xT6aHq0>J|x>4(FZoXS`bNl{Qso`pnz|hI&Hn zU-<V|H~cSW|CFB`#iv`NF;n!Jx^$1&gH3PG$EdI1J^kdT{xQ+xXD!*p9!0(UtN1-q zc8=-9Kl854csp^rzgz3oZ`1#3*{mrly#H7`e8OUWJ=r@IiFPFcMMthVzKjoMw|6hu zuyyupg{@ClR9XfatjjFjaQW4Pt0C-j<NosO`@47lo9E(F6+SD(Pr34YXUPT=LwPF= z%cR!}zN}x_JYU`F#wYi6%~z)F3Upb)@OV}jyZkn*i0~H)9e>_z(LEUc+&_Bdq>D?N zbKjqA=kw~jVWsgm`&EMQ#e>V1@8k=b9TdFkgzhoZwS0D2r3JQE4<73ZI2SSFZ$$C2 zOZj3S?w${iulc*zGv?;<8~?NxuU@mon|-_gDTF}}+!i(S`WMPB*>)<b!7sBXJ4*J? z+N%enSMa6Pc<kFX#nA2DD(!dgJL<g(-W>dGUFQGLO7{0ZzL)juI5}hTukP5NY<%(f zt@{O_)DiypU*Bww{NL*>LB*KV_wqYF75;W7L8;^Fj{S>gemEz%C!zWEoD<!T0}AWi zYkZyiJ&)adzPxzv>BE0zXM0#zKjXZoe}L_+&%OH}tF7~Q+CJFBdYr2#|I+?_zf~>k zYYl(=dcAs`%I&1z-iMw<ADg<r_D%Di`8wu&Chy))klFaz{AIjx{com=o#)(l_yx?) zkM7the@yha@BQD_|58dnymMkdYwJ)r{l-5hxw+o#)6bnlghK-N#e;qGi)EK=oA6e3 z^)AD8nWZ0Et{!Y|<rA}-v8ZrY$%cQ2e_n7rDHzSZwBA=%M^HA${!HnFTR|r68M~8W zF28!v9mX!ty`uSIQ<Ch@XGb?$vQM&~&bKaV0>A7OnT@3Zzph_77~R45&gw+zgj>Iy ze;?Vg?CQb#XxW-w7Y_a_xK(`m#ifH^!=E~{tGegNPWfkA`k~u}eY@-fE05frxj8I6 zpoG!h^XBjWx93HzJQhXQtTAEIlfCofW6g^NPde5%U)sNnFHh0x#xI8Jj#;a>@McUo z4zeL@b@O^Ls}+S(>^=U|`KC>Kf@FcW3w!jtr&b=he-{>ly}%mA-X1UV?my#?d)Hs> zp8x)R^S*yIx4m=f_s#MAR{S#I_9i6D>Sn%32)4fNnB`q`Z|ms<P!Q~1!x!gJYVf%E z^V|3Pev4Yo_{ixKFxQ)Xd;DyqP*^V@o3mr`YlW?<7H?m<f^y4;pw-ROMXWOJ&6X_h ze5?QD;?9zS?_ZIEJ4e-ON0It<$1Lufahq02gTf*`LUxX10Q+XEXN_V0IkGY$mJRzp zf0{5ug1LsT?7bAINGq=3GrjiTa{9mLQU9gRAFP}D;_;uiG4dCmJ-S-K_bt1n{JPn> zZZq-q$BH*>7rH0By%1E#n$By!>s67?5x3#s=T1;coqztIS=*b7u6L)pJZN=WS6*4c zx2<=}=EvJV)iG|{H?Mha>T}(2mO0yh^qt@L`)A+uegCvF&9~&_)L$vTHb3^~?Mu9% zB-&>>uX(D>^vJ%5|IcH7T|aYwpI^zM#ZzCiY)!rE=5(dK@Mz)X@0K@yhOtj)y>d|C zxcs7XjZ3_p-M_eT+@Br3zw`CPYt=TY?r*+7^ZY;S{n_2UFD~BD1r_x!(dT#h^=Q8m ze6i<zwN3U~xwX72+-Dq4mt_vG-S~H>g;TEidY#T)Gs3l8W6x;6UZm$Dw&+K%?YpDz z`1e%?o_Nr|ajO5;_pVh7XZD|;+$($PO!t?4E-&lneci9Ire3=BUb*a)Gv*cY7t1a& zR^>f<taK}8&sVNJ+lB8%9qcv}e{aQlFJIu^x3i!#*FWZkJ@~8k&~|6%=S63a-d=TJ zcQYu<XB2;Y7x3V&XT|p1Cm%u0p!rPiw{zr|3xg|>2B!CVhoY4$4zlg{SJFQ>e|h9z z+oVcIjn5W+jNuz!xF~$H(dyiP{nihgw1u3!XOidf-hO{7L-lcX!B5*2%4d?yX0SdJ zz3pNDX2-<WY&U-G@+;^)>z==F#dW^Y)Vutf*YQjEZ$I*_+-jP@HYNG9W~-LmPKn;Q zc$<=ZDT~^Jw3+?WwiU_t&+Yg6KkMfU*(J;-YaTylyA`uX>gS8OE!(qoL2e9JmcQ#< zv6>ZJs{MSioiqQglwAClofW2^F7D>Yf6hBcJXbHSx8{p=%l33rsE%CqJzJX~;wAbI zbK{Rb<~EzbuAD!ypG~HOJ>u%d&s{42Zk2tk^qKeR;@u_keX$3BE8h5Z%H2Nm^ToA{ zpD%9b+fX+1>O+xA&#;L;as2-_G=IO{fA8?`&nm&8XMVq3`hVxO{m1@(D;NG-v25OJ zc95ID-@fl$abLRvl)`u1{}*@YcF6I^;1vG%+jqfx$@3rWNofAaQdt;x{rTT-CwHd@ z8o9De>e>AD_l|o{AJ+Y@T{8Eo?&G&SIkjh_*KPhhZ8y`o=yRThdTgK89o?h)@8;Cc z)8g+Q{$2Q{vipnu^%j<AzEYp3@hy^nJiX|z!|d+x<a<T-4|5|9flK@u+ie^wcCUN5 zC!_dd)|qHIl|5IR#B&VhDdZdGcdk#plsu`h>`rsc`%eb>KlL)xWxFf(GepOKo_4kD zUXj9sJ*iW83Ld=Q_?OjgPVg%Ib^qrJO$w3r*zOtXe`#*_&#X%xsp0RYy#D`tXPx{0 zfBJv2<Nn|Gw{kcfT64!C`sBgYg@6D5ZrT3Y3zEUV{%3C49`#|-*`uoq|L&js;acoL zNVt6cFE4QKTtB!CZo2OO{|O(y={y0uIOhL<!G~)_p=^7PireBJel+nuJ9wh|XIP<q zRax>|hqanzaTAZk-&VBiKY97<|MwH?EWRo4k#AbNzNTdFS@+#c&lY>G^G><hmi+tl zBwNo|SG!p%UMEja$eUcVTtv0I#>Dl5P5IQHFJ36e98Z3}_|pC~yI;bW7<Z+AE@XzJ zC`C}#07d5scYeWp(;~syEbGbQcFy-7PeZENpDzx#l<xucFT$1O|7t$WopBQEH#fVg zmJemM9iY6pdSd^-9?+S;8xGn?RCd=~DR%g4e@7SO`ujW7f7qz`|9sK!X`eIqTq$!- z?bF4+X7aUK33judR25G<YrSp1&dteJLZ2-5Tr_15&r7qD<z9iCPPhlNoG%Wa+5fI~ z((8&hH6g#QpK-5uv~qYWVwbnz?9tT|`^yCHeUk!5fSX;_ln?W2<e_=vuj<3O%HULb z!d+f*&uzV@pmHHxS-y74hcf?X;4pu(xL@#|6sU|@?`HSQ;$iO0Bk&wl#kb<r?!Wws zk?&VAARP|LKOwYK^tk-)P4yyoSkJ}=-2O3HB7(bV)6$Iw>o!R3NRSA)nbdnIWJAz| zFu&hC>Dvm`0<)FUx5TcJoVjI#z1PxhHzo*8)y`^MsPpCcQ4zTr4UD_~^1Yw;cFyI$ zYs<ghyT6lrzqQ?s^8M4R?Y{5%{QK{|=WlnP_c`|0>_p)SjgQyttXl$O)8_G-_P=E| zo|(6q*TDX;^0T!J=ck+6i==s-oEFYy<m%c#uf;vpsQuU*);W21n5Asi*RObTW8L*L z=Rf?or8$!)=KH#dm9j^6{Os;`{ZfB|N92?&r~P})MJ<mXynMV)+2+0VPwC=<e|J`= z9jZK*|M+p`oSWsdDrHJc=B57GrBIg`xaf0d=cM}&Oi#^XKO^ry;b-z+4ZHdUX^(Zo zAKZ1R=w{W=GP}1~w4&a>;(70rpRQ+*S%)>28$aAz&hng<_nPpNqhC`NtyGn;pUfQh zIr+_N&N-L!|IcsKc%4(mKL35%jLQdJo9f^Ebtuz(vgt;ysz2U!_bTUHiOdyUZ+v0F zxh45KRX^zd7XG5Erdr2+vf;a~qNittIG@57-QDG%3g6t?e&R>zvtNmF7eDCMt9*9< zUI2F6)epM$N_%*l#7(8wx8+w(`ml}fG017FA4Xeo<gc6bxryyH=clUslRg{~efTBt z+Ra&dcK4Sa-2SKWO5|zDJ?9*_KkII1+n0J^#U8$woxA<b)~>$qWb~lc+apNmo@%Z4 zZSNYH-QRSL>gMjO)4ji`^^Cl6+ozw*5Zh*bSSNR=GH~<9tRK_TIr76TpSzwtw)$c8 zJk>puS@knE%u`tZU6<28M$k__b?)8ik3Yvs)tnAqa?XnFb0K5YjQpLpA9S5p<VT7r zde&OBBz%1sFZ;i}>i(mDrqlMN{#y;R*|T$6{!ebn6|22|{%M@m8-93uj_RJds&gQI zzUc<4U$V^Nc<+Cbd6=vB>?b%fzV18vH%3i)(sXYx+w<4=oqN99<i|97;SXJn5KrId ztU1l`D!OW&(LDLC$CkcNHt*;Ym(*Ft92*}$<-2_Ht}V&SJ1?0Zk?iN0ZQ-A7JN^6W zYgbg%4x|et&X%ZIxAG6i{Qc*RrDTlnUVJ_)<<CpUKmBUb_gzlre<}98eUjltO)<ZY zu<iVAm$S!q2Q+@K1WkzsZvLnj_@K7&gW3LrpSx%Ee%I#OW6hC&Jn&ritlsUejoT-G z2;+>qlC$T27^u>p-S^?oqO`}cix2GX{;*B{*`5_QE9w^=_-%eDTCKvd`6qAX#{08U zmbuROFV9zzI88?2^Y8Kkzx`kD^X&L`H}FxY*uu>xpPTxAkNz{Y=kT=VntKeq#}@v% z^T^iG-b(Rc-IY@N$5!)R3yLlEdz?}<*MGB$#oZGd3WctJU+j13Ow)rky?-;JHQ$|- zf3{10>POSx7wac5Uf9sTUV6pN3e#T~>xJ%JlL1Hg#r}OB6~)z-`dMaiy>(l<KV0Ji zc|z*%i@Za-v-r<L#J{K>y8V+2s^MQZs7Sq%vq$Rhi_<OJf2Pihzi@zEIe-0MjcCU| zlZ9=W*VPtGsmS(!Zl~t^_r?8_7B*Lo9#_mr{QH8xxvnIt>F<iy{|=SfTz`D!`{Ivj zb9iP~_~%&8e($c^=~-ZY?$(X|zV%0Je=b<}J5Vxyv;19WHizGO`|=i~J-+(F{kzaT zql4YEdczmXpB21!Y_83Ih>vPp%45}`4t%G+=dQH{)a9XvZYxxPlKGd#|JC-a)dxq* z)fevZ%6oP`x75#g&^&Sb^|~wn>r4)c?)*RZR%yJTYTQ|kcgdHo^zU;z_Hfbj9~LaS zYvlLpzi@wkq0Z!4p~r?fA`4$v_~~`AeEh57>BeKfYn}N0{$n2&ef=n6k)3S6>!Hk@ z$@UXp*M%Ir{>Ghu5@Wz$o_O<s%^$PAEN<t>|9lyo`rYh*Sv`Dv1Cj^7EdH;!2XxZ1 z^m;e@su>^5Y>$ExS@>dkTc?WKaL;Hw)D;G$B-39P%{lTPPqx{=ppoD0Ufl1CcIyoP zeRyomykyOsn2aeEv(*aZCoik}!mzVp?d+cxAP@YFywD%#{QKgJ&ACoLbXA!5>2rE| z9oqfmd)%3Q4gJpx)$D5Cx2%5eKK<kCrXN!Gjc@rr>%ZFn8C+f*`yQ8aLb@Y%|6{Q2 z`@SbJJe;co4%V9Yu8a?JZ=U$fEwx7dMEAbDq=5bZeqZ!elF1Lec5_?zj~esC28U{v z|NQ$Re^TZ0SKA?3ct20g=}j!Pr%(39@Bb%s?zh<Y^;5p+ss{hCD0>^7QsaBSNGNi- zmHgAs?BLSDXaX$F?OWRM!OZ>;ICKtg7ZJYK*KP?buHHR2k~BPJWxc=ee0k;v-PR@N z#Qt_&m&{1q_td;Gf2XD6{U9yjH}mCBH+<K9$L;uQ8t>(wF#CeMp7k5@!-_}CnIG<b z;09&w@Wb2ZI91I50V^Nb1n+Sj1pD=MZTIh;K5jjm&P_Z22vj_JbFSQ6%KrgeJl>S} zG0j@&i|)_=#p};(s()A8{ab3nzNg37?D}GVi%G^`-ag0cS^u)%uu^e~;Jt6Z!D*KF zevlt%4g=!vmJeqCI-ZN0MEFm*{#)#O@ys5H)VX&{MCZT1^dOpTvXym-{(9pJhiXng zJFtC?=Y{Pv1pLgef1DFHhcoPrMZ%Q#M>~W&rxeavzIEQ|KU`N|KKr}sa)~^5+h)^y z`G$3N?@n&svG2aqvFm&8KQb|TaO?VqQc(49?625zVQ4;kT-RMLc<-3mym(NK{~kTZ zvEusHB5)b;Jvv0--n;jOFpkhYHgMK_T-Tl0vi++ZObd_to}IfPSxx-++6(X9%lG^I z?9e>d@Oqz;*PdDDK}q$uZrh~79WV6k_#C-kyKkS-Etit@VEGpL-k<;eYs_EXv6(0K zc=u%6Q#)(yrBuBy_J91F@g>I9Qs`fNK<4d&YsczJ4wvpw_kRT~?lzy;75G;dR%o18 z+jF)Y;^x0Ec5~(*mxboQDA$VBEYO0&wq^UvGKiD^zTh}?dtcY*Mc^XG9Z}@Sx>iW% zKmN(eTVwF)+pYd%Z<;S}Q>&V{FZBSZ*toYW_0I7nE9Gq`_Z(ik{Zk=FRD}I5-52ii z-W%k5KUwbF7wY!jeRtn=Yu`Vs-n&0OyAnjC*S~O2U;p6q4rp<4-}_qcwdfzaK$YcU zdE536&%$6XmpBxy4bF347C#rd7aIQ@+*r8Szpwv8*}XI1y8O%HWX}Ap4?tD<#r{6! zJ!d0fKB{clzIf(m@J-d#Z`~iqiv7L$tCB6|yJ+B}v*(NCi)WrRd~;Loie<${mOm2r z<R+e+^;ta4>ttHljI|2EAttkW4ojMU1bgY0<d?<K8TPa6Q*-Lwe*P)WIe4Zs23zD9 zHU8B1x)IlZFjBhSepj*TyOaNoum4@qv;Jm(UBepb)c8lQ*&x-<tPf`E9)OFMUl%{N zl;14^=e))8a~&#n?>_<c*>hoN`dTbM*P|kO{{e6ruvmVsOGWW%aMtOq`@#eYBS&WW zo;`cNE%u(S|E@If<0H<gjMr;jr&R3De+;gYexJ06+23+rdO_>g#rkZ2U#w&KeNlK- z#^0mMl$fvIKY2WJ@4{6E{Z^kJmPoLB?$2lOJTa^N$&PDpnENbG$y{Z0U#!eGll_NW z?uo;bQXYTba*TCmBeTBB=f|&(*3`N97F}bT6JL6S^FZY1hVSC)!uQs>f)32%uGM`~ zI#oGNSnEbtR~E<neW}%nGfEeC&3baw>PMq;+^qZjLieV<uBdZl{`af>(>jLQ*Y^_k z-g$rByC(DR{o{pfYPvf<dF|MfIsJ+Lrnh?g*2QI%h0k(7_`v0Qs9Aq~N6+m(|IdZp zv!2WmDwt;d=uEn{eSf?QIDJnNzb1R)bq4FbZqEG6rr=}iS2E`NI_)|5o~P<n!@0-u zYJ1*tgYH2nk2+u-+*r;9u4g>sBCao#6H_~q?Z+FPQJ2k`pR5cz*}A;VQu2dd`I+d9 zn#&=Fq9@rhoUZ8Scl7<_RL`*Ie!zphQ$FZ{j?`7FEWEe(wxRxyOQ$*W4`1CQ=g?f^ zeZNHEgRkr2&$~Ro{_o<Q_v38(1B+7)Uwm)xTmOExozt<muUKpDEK;*Ht-b7X=(g#6 z@DY`@mvs(VM}AnOR=IrEtoBm(!{)J$717T={sCR%P#etr@a``|@achHd^dBxmjE5J zeD#ZOF~|Fyht2_$_srUtsrOL#ztMN!6`pav_qQ~B2s`uiD|gh4d@HFR%Z&HtTlL*( z+%FQ%wj^I`fBb#(+BrS9kKKehL!IOOE;gv?^HuiT4F{b<`aUGsA!^^tEO6@rWW&qg zmhGitpx_C=Y;G!a?~V^t%ZHghcgpUcsO89-6n@z}*1O_0*nsuR@_*?(e7k4Pb>@u3 zeJd~gvAg(Z`pUisv#aiXIU;Vjv|e28MCgBo`1Ip5BMzTYuFkUCKVdP;rz^$td1Iok z*{B?6+01XTZ>E5Ifv@d!Hv5#b%=e!Eky*Lh_3NH@4&1AEPg?NqmC_a2-4(*dYojNX zZcnVWdgWJ?aqaGN&%00co|dvlMcn1(dzHtyc6Z&sT4&E|v1iv`4lJMKy!qX<3A=Vb z6pAZf(0cXmg$3`XUD~zTC&hE$ylE5iv&$#V;kTL8w`;P@tkUgMuEc&10jrC34Jg-E zzH;}r7E^2elqK>O+vi@t8&O<)_-wMj(>^T^`Q1y_+|;x@HoNvfp@m}Ky+5(SD|b)R zggA9`)2`p^)?0sGwfF0_ZxK*ueqHkJl-@J2GkZB+y*s||-Mw8e&InGG20L=~yMNsN zTeTcB1mK4>#%52D2^Gy_yU8Nb5g@feW0KZQmxU|NygB#ojn=G&l{z7UN=sIV99<k) z)}GpR_RS`1PDhq-*8}1Q-Fdh;x+LT^{xL9du}oa<G1E!VXQjhR(GY`xB-Kyq^DbXb z|21dx_VluE7q^@5jD7ib-}gJmugA}~yZ`p~-N`-IFBRQ%jLW@w=kKq$7!Bs!8FpXy z?R2~ry`lg0qVh_)KcD4n*JZgsW_0Yl`CHw%`9glpBaVx|K2E5TU$b65>?!}w*^K{= zDE2zaOSy}E5;%U_xJB7!*{y{S&q=J2{IFZ_Svfb?rS})oKJ6BE+FyO}r|gCs6*GUA zSpD&Pyy0c};xq5JJz08x;n^qUH!r-8E3UhK<K==QH;i9?u<kv)?0)Es`?{Hv>)OpG z-!E;cD|g7g+V|vo)614~F2A@q181dGRL}Emzn>{%_BT)V^X|3YOTZ?{bNzj{_uPB6 zCkqeXzWlIUs@->g^!Yz|FFPUbSi123+yj5#8GY8YI5OvLku3Ad<Of%ur_@-83Y=>% zwftcn$G+mF@q*V*S;rUf_b%qB?0D>R+G1LZ#h;df47po}|6M<q^M7mboR54~FN(zE z#cLdnxo^sx<Lx{rT&+czvHxJ#_t(-A_ZA)%(!XhPPgL<<FXzM7cZZfPeahALedV3~ zAB|R@&7SD{Sk-=qvf`dO_x%m_wChj$vxxWO>&hK+2RQ%lnH;sc%;v|e$*n#Yp3U9z zcw1lN6yt&`eI+lJ9t&i-m-Axjv58yaUj5VbQ(HMbeAAB|*$aF6Q!ZQNzIv)Id2cC~ z{!Np(*;SRIhkw8N7^Hu4*~dxpH!hrBEqQNx-_(B%W|QOAaeXaH)xLbXb#H30g-dK{ zcXEQ6LBT8SmlwQy7jrzhdBM9^Idkuozrk~Sg7oc=K6<=uacYgq>|>e1`o|^i9Ttt- zl)1<3>!-^+@9#Wb5;qCtl?@B0&rhs)KTrQJW3JlX7~xk>S=ajLr#m~8NXRlzPEe>n z7nz>8b+Ucf+C2|LSFWBrp;lzgOy||tna^!Ka&~)2^o%R_qb6JU|A;KR`_3*XZhOjQ z3wy4+O6Mv$A1+yZr1DU<#67vz={ZvRulOF>o@2Uq-f++C{t163`96*nd-Qge;-2>n z-x62;WPI0iu<K9U=@0K}wjc2}>;0axqWjOhJjVB>Jo%0fRc9aT-qly3KSy!T1rt5D zy7lQ5>u0O~RGoe7Hgm7yy)M>0^V2KhEn*&l6rP_i@cygVhq}gxv1>j|@_oE?M|C&r zp7@jseS4oz-Da0}ylg7CV{+?O#T}ORCt}ABtVwu~o`34>gCaNVyB%*lc)yL7|H6 z`31k1|30nqTyo!$M~`PULxQn*-ow*8@2BkqC6Kt;pLxs;_T<ZGK9@WJj;a~yH%@%` zc4p$AMQ4{x7jLhs^vzD*+u|>J=aIw=QJy_})Mcwy7K$|5N>{BcR9QQHSAExH&sR@- zb?o%co%rEl)_ZwbTwUwKy?+=wr{_qm_uczP>#+6vfR)ozE?1o7&w26my2QPo^PY(3 zs_oTjuiCkC>&CciYL;oPM@kgFr?Wk)bniO6y|jL1p$Owt-n~~WCSCKsYk%~l%GXb? zb?n~N{Wj%kuRiH{Vp-v>E2n*V^7{{YoAq8_7FXB&@a#5^y(+VhdDkU4>zf<zvHtE+ z?=ag_|9X2>WqNk<UJ-fU35$2GO;%{-(V0D&Z|@b0t^;T`sENtX|I-gk%jS~zenQjo zPu;ffkE@^lmAYvX_la4$s?zxI?ytTt`7?}PKE1jy?%wTFUyPnv-(e1x5SLXs-q6|j z@bIOD)1}-658OgY%lU~P3qNNY*-i3&yk+I|?M8d7|EqqS<okH*%IWvhD%!szJdmGU zvHtgRa479DyK?$?^vt+fOaf0@-+wzA5xXr}!ItOFzj=@h*@c=Rw{QMo@u6H&{v<ev z-W%+R{;vkJBef#GCis;q%ops3cRyP{DSpe$FQ3{1^yla2u9^O_vyHDaIiZN>#rv*p z^2()C@8$b{+P&!g#@FArO@C7AZPt7FS-ESu(VpG^lt8As-aYtUCZ{I+OKn^EN>E&s zM|NC4R_+=taj&<28Yq8k{TX|aU*g{Me=1=0vD?4KUgVd&rwR_*==-9w9QSAb?RZ#Q zc&|S2*mtJdgHC3>*RPekc6`rk+x|KLl!)|?#!BB8y?gkB-VMdS;<?KTFE1;&^QAw` zEU#<k^z^frGmE2-J7`JH*zM8Rp0oG+(n66&UFpv}Qtp>N^X#hMwcn>`-orSt1K<9| zflErW=aTP|E5bGRq+G7ZJ!hPgT4BCN36c7D%zt?I>zps_pkTQcp#T5+sV_!qOxun; z*`0IT;g-Y;D?>;I&_K-q%sO`ezD2^qeZKJ?ZV_lXCC>BS*BVhy@f+>AJ#QkoAiO8} z>gmC5sn<{EY+-e6KW->+_<-t9NUZKL`x5lnBTB#Yzli$eE2rBdYWBS4gqhwhc`vr# z8|?m9PtDrC|GV!APx|tS73OwoKV4^g>emasdU`QNzgoL?nSsgmDK9_CFl(kfSjNBH zS>LozsUQ_ZE%_Ss-M`StbMGJ3?tbUnDbR9OKDA=Itqv&0wyvDMKdB=APwy4)O__V1 zu$z7PWY~7S-s4lZ+2pu;(yyMf?~Ge!@XyktukmGq!~XAIuV@B^zx>;*a{qVLoY?Kr zaucrW-Zb%>{l3=r;D>kn;=zU6uH@Hy*Q-lH%GCFp1lIR{-#0I*!aRQ}B+1;newpX} zx!)?F5M1$k+r8hvOb<r~RGeJ)@m9_I*OG1DCz{`LlDyCTg_Rpr*}SjKO|KB&rw4NH z(jD24_pW~~dGF|VkN>W-Gw*y!u*my$g5{Vm`{k2G(Z?NbNm|5$)5%j!8P^AAkJ&UI zoA|}1`Q7|?`jh9R|6uO!FMc=e<Hoe$=ck|99OmYEKWo0%9@E)}vWw?EbZz@Sk^QNS z^Vww|CduEJk^V#Z@b2GwC-ranRQ-%tF<o1I!E|BHi8rqr`8jUBXSiXW<hK6(8!e~a zzj>wf@RoCY|Nc*x?dhLuKdoi~@6R3FAMP-`Z;jva=Ben8yZ`>Jj#5~?ZEw<!7rkLs zr~mF%{u{S+$HE|~rjq^k7A66&J{Rviw<kPq`!)+E&J*9R@&6KCVf5<f)P;%p2_F_Y zzTZ-`GU%1;n=?Oe-m2R^fAL?Z_WN$XfBNme8*OM(l)2IV`rGGwjk~69?qc4%c=6K4 zBKPI@6^*&-mY;d?iQ8!L?e{{Gxsm&Cua0>VA0uTc9Z=c4wCLh@>!K}}-K=G|Tz=Y` z%2;qeV5gChNr$Oo=-S(!)_3P7`jt19?aEkgedlEA=B<0@l$Y(SU$SLigx%5*$=JSX z<$D(j3YTnW+MOu>=ST6|+}yh8brVG0S#}<XUpL|M&bu>iFDu$@Ww<@|*|W`WXK3F% z_jI#kq-SL{-#MizQ};OM$rW?QotHfAdi$+x-9qkj>+YLdTq$2!e@ioc{fET2AHAPm z?9!OoUVQzko;O>o)BBevepyyN`N?$UgmZ7u&ip4&{{5Ty=taiX_AJ{I`k{|Lg|Cl3 zeQI8NFVFhbYu?3L+eGm2JWo5%@1Xa^=U9wwa<px7wQcggc^enc+qik&Mu%gUAFO)& zHon&L<s&ZZUk2d@L1(Sqr!X~j@3~jG`cLtn&;l#vb%!RiE?>6Rcu(Kww{_w>YQHWz zSMK1nD=Q)Y^P*!)dD(B>d#-I#lFN=1tlqk&V{=s4kr3V0$IffjSEQ`q|Fa@QF2asw zA!EN@0ki(SC({>2OgsPkt?a{%Vw>v1qr-I@zein`OgD6!E%tHt6vO+!6Kp^Fh0QH; zlo$B!8ZYqORqD|`59d4LnH}$^?6bVHQ1_|y_RBZcUzT2Oo@4Ux3)`bI5kp=kiKBka zhFy!;l6B|3J72~8Uw%19=9E(_;!96ev~91AxWj$wdgu1zZF_t2!_U2A{d*<Te8u@k z6%*D8A2*iU!vDC+>VyLC<L?^0`{P(2hfi$l(ct}>D5H7Fpl-Qp2LJK*4}~5ye%$SG zSR!Ug;vc<Bb?mG8{~r8dyUF=hyLCqa=P%}y;+c2tcv3PrKO9&Q3s&>!$Hx8JX63Q{ z<(-l$^{#Z$uK>AY@24zV`%U}OMFxJ+rrZnrJeue4{A{0n-u@2b^ILcSMi=Z4U$x`+ z_6KoRdoJ_7d-d(&Z*#81$7(yAn4ewtO8qJJzP+G#b^m_Pp6ofY_059UEv#Qztt%{> z<8#>bT;KP^9mj6+E@sxS{i(W6{><^vJNDOJwpGl{sC-nptM2lobEiwTwm;u6v$fCq zv24)xs1Uv{d(H_>U0r`{qI-<g*JxeQzrC-n9v8g2;+^Z)SC6~ahtHq?qw3@Xm3yXq zwslH!$EL5Yule}a+iqp8?Y``id5>>T-?8Z1?A=Lf(ft)sp4NH0-y0uzSKic|`rX=b z*4_6knPvNhm)!iDU^;jG-G0f_hl^Vk=Knhoqx+Wac4N`JO9x9?Ki>?LdgT2+fqiMS zu1&g7X2m*%OCK&c>8#nSAb)J>A->7VO1#B-O1%8CE>h3-xk}CR=rHN|?jj`@-cgde z(4*>uj&sU^%{&v^Ugl10Tdtr~oA>;u5^ukUOVnrH^P(-X^UOL-dhWSKeO}qmFBjAC z=|ha;l7~B+JPxzW_c&b4I`P<YznY70*IS6o$GdZ%`R~qoqnTZ9%Ce6_N4L8b&U&@; ztxlT4(T}<bQ;#k_ARk*Z`QyQ(+c}S$%Y;9w3aZ#C^?arC?AvdYdCn~QA!hLI(e0MU z()W%(^kQ_lfB&7b+#9}T;|J@~E9*^Le{X!2=DVtR-=B~(SM2V#pSU@1=BwOSZ!hg| z+EZ`xHBJ2v(@{su-)0YAU5*p@%XNLrgN4r}GY?*7y7Ry)`p@in&zH3Be|fC&<FPFP zGF_W5m&&Ive{FQm@4Dxlxqr;g`PGS}FaIo-UTzb1e%IrTr<y+>*W!P-YuZHvy}AUu zwVT==Up&(O_~H?-&y{`0pII7TEwGstk!g4S;|-hiWm0|a!rEt_TguITekSDb$Bd+< zKW&aDf1Wcl%R<g~jmiAy6*=>agL#iH?ws2B{GkoA-b}Xq6_5Au==ILaH>-;0ey&`Y z8RGX{_-lOqu?;i7YYKSJ`5$6<>~^QQ&T{eVc~d9OeBk(bUSN8_??SZ-EBUz#Ki>a* zQeVXAV6b3ZoL%7Ts{etvcWnRvaQ>^0yMN7TeD=5fdfC6{KPGeCu&DF9TE8r6z8llk zvSanvoBb~>QdO>>n0iNJ`OnYqfA!xu`or+{m&uFg`}~>x_UrfZ|E16UjRJf<MGw3_ zv}egunMKY$QvGhS<ua3X+rP%_pS8E5{-UaSmEAY<d5<eD{<FRNH0P5~ZMMAO(#L(b zL#}PL{#TV#H%EJB?!J9*3)_zPubjDW-lIQPzIvxS<jLw!;`g$Q>)_m3y2ry#<7tJW z+LLP^m3}Um^0;-zgy`elPnv`J#FL!zmY+KPpHX|Qbh-gAe7qs*ZjtnM(KyeIm+c(h z7hg8>O@42`bI!}&<m-~>Rr-$i8Gkg9<Z)g1#8)H8IjGC%viS>F`GxuuXW#p_i2q{w z3m^G~@(o9s*p(967M<~6a~CK)$Npf>`v(lWkK~D5JTE7@xYj!?DPy^k<9_Fu44Ww; zj}{STD(?TTEH$zA^|^d|D+Sp%zYop7|KB!ykM*>g>yz$pPf<D)efz)p!zl+->#o1O zuYdPn=;Q6L|0VuABe`Rb-MuOGY40<R{;CT8UvB$v_p|z|KX<?UTQ2)Q;r^Kge=}}h z|8D-)e%V*wZ|i5@vwt$*vN_-W|2FCRqpkOzdg;b<uKu!$|NpYpM_n>%@0MkqDL=YS zThHu&?)Ko#kEOyNzWTlD?Yi0hr}w|!wtIEWUD5lcZ~t9-yy#O@?d{5>SC-EXRoYY( zKKxVCEG~Yoyf`k#V*l=kM_WH%=8223u)q7@XzPEj8>KZd-*&`J4K3R)cs}&;KF{sm z_4$wg?AN?!w(s<h+xyliv)89ixpwpZwa(>t)sC1SxqhZ|0{`{d{Ap{<SH3T*%X|E_ zc>2dZnV;qVl-|Fe|G3U-jsD!k+a1g0a{or%;7?hT_xNvGM0}NOPI7H)MO(!yjy3Dv z7TNFZ{khZX)OPpXr)zfhY1}ihI~YHcb^FJE>68E5OSNnHTRmB_dUvf;-JZJ@_e-*O zpP4>eV)tyZ^$*sc*;M*Y{?OOl-`Yk`;!oHcug;6Io3XFGs>1;Z9#9k9k@?+z_m}Tx z|KxUi*_fZ)p8I`g*Z)6~+gI2BsNMH=W|Zwb?SC=rPpz8w@NY|K;LUw`(?4&nHM6fj zQa<<p`TiOEkLPwj*ZbM>TX1gn!>R?ziPy?z&R-_~`dagTqoDV%{yx`xZvSR^)zr4X zA;%A`d23|vYkzGmdwuGXYd8I`_pZFDcclF9kG8*|>3%ojOLflN(z_mf!rxX{(H_kC zeECcA-Kr1aZdx(_Q)S9#@+a0`U2XPey_Hy+?vv$`y{oS~+pL#6T%OtTt32?F|CIax z?7IH-6@5q)`78Q#zs6#Fmy7-y`~PWl?Q{Mqe#*VRXOZD4`+$GVpJw+jej$14e!#!p zP38Js|5`pVpYqrE@BH&eorvt>7@b*@e?Lx>)91e#Xk2fZJMGPeI$N85)=hstZs`*{ z{jkJlPXFT6+KoAB6OQK?S?9Uw_*T1`*jlfAF0i2QoO(}rq)U#ab#I?~`{Wm#Z8>d| z<4#RlXP2;Y)pze{E2}OhSAMB5`@c_aIdhnc|Ldope^u;=FgbpC({Zm=FE-1ChOF@y zWeyW`owmVoNvGT7v?$}SWveEw^jfJEx}<56+v1xgmh*jGIzpz;Tg6kAJKZrpR4Y_+ z%TyE3T~Shd=kz^4TWR}uNu-|K$6GeD=3e{!Q0VHlwv+Z}H}|Aw+AH=faQ$<sE-RZo zW_IMQRmZnlUKR5^x7FlU=ERO|CQmB6B3+`T$}_mvy>*{&SL<@@ocFc$2D@`iX7=t& z_qVJ3Ib&hXsaY?RJfp;B?ABVY>$=#i`c%u(DU}!9`<ZNKz0CNRU^DM}ck32~-;Vcn zVt<LJtGnIK|Dmuv{j~Vu$(KEK8}_T*=Tf)t2y0x)f2Jnk9W%Fl#IFPM_}^%M;0$|P z@aIu!@fMRC+oOa8S5~`5DIVB*^Zji78`|GkmjxeRHCM;S_geP#!ik%?GWEqv1DzJE z)cT-vsrluNvd6DG%CuyU`z>93ePziRtEqh<%U?bE%xXRNyxWPzX;ptR+SWF17S0sd zsd(+h-ZdH#aob{kbFSWWN`$R#!s-bh(kio*W@@f@8(^aCv!qAw#*>_xn<sDX?%F%Y zPIlgv<g^zd$vzI(`uA0SoU>QR_~<46U4PBecg|W7$LjtoS6xx#dFz5X&z&ZE+?L!P z8*n>ldCqc)&DU=|6F!~m?f+v=(aVER+8_3J*B$+|>hr4epBQh-dgVM({dR8i(k&HN z_zrD$;BV>{Y|hr=)DF84@>=mq&HCw!LwtG<2_KhG^^91!-eU*P#lJ$<386}ft~ROH z`=*E(9}VoX6y?^M7V;)=qyN#aE@q`PPSKU&l3%qJ$E99b-BUF+aJ|thH@CYdR!Uoz zDlgA_a;109u8G0sMX%iankNUZFM1W~xL0dMIP2F{3*tnttZu3b4Oq_w6)agY=~qOM z{7T8JrN)QMZT24f5w~1*-`Sf%=}t|t5=(BhI(E(79`r_LQquE@BG%KF-1sQA$S+hg z$h>5g*OXgVPih2ag+E{BpvgS9TWCp1sU2s?^A?ep0aofNUbR;&6$4)_KF8U4>BfbL zC4L>-tkjoyNKUzOeADUZn>VCpo{RUaU7~Pw%Kn@<`Nv(C-aeG{UcKq=h07|fS6-Vv zwo@rB)MQj;-gWqU(VuAtYMUyLcW29$l<+^Vy}aaZT`RMujKJ)T6O5Fex@hTi>a*)u zR%Qo@Nmy*1!?f6Bu^*?q@hg$M`ip({a=v@5TRff5QDd?Bty({g#oKSzCQiSX!u|hB zX-t4<xcF3tHaFjCVJo*>keVtP<*c?<W#TKrmHD2zB40&1QoK7^7b>mu;+2_vz5Whs z8YgdE<Nc1vtaDr5Y)(pev^(Q!YzvFy%1g(7yw|WY{_&GB`R&}Ui_bT0d^am)OHlXG zfR%602gH8+^y$UjRIl%^^+Kbr^4YC#fBcQzuRQtq@`G1qo5wDTyIXhj?N!;UUbWWE z$1D!1?_-U4+ZwmlXZPNy#oOZ6USs{=*mVEz*`&zx(cDS%AG(D#ox0=|Ff*F7Dt8rc za;pDUx3H$HlWSP6a_!<-c5=JiBAHU-rz>V_x!;Yke5H0e&^)?t*UO?Sht0o!Vtw_H z`^sVQ747-~{P7Fq_Bq(rG*<mci-R(lzJ5SfasSR~bfpj#(G@=-40OZ1^%15bt5`pM z-SoB7*GykMebw}p(^vGXi*4PtB7UC-W660fhwn?6O3rIKe4oNpa$dvXdka&^d3CYP zv(+8U{TuS;G2FFb+*Qd`@|oquGtLWVg}ZN@6<&Z%vh(O`umViUH$N*7`jMr7+hG_F zmOg*#ICeWMKYWBb1FR0_zA~HhejDDtIDFMreLMTEs77OsuN6wEd*3Na+Uu;E^v<(q z!mm$~-lyhQq<oR=zVg!D^hI=6{DWHo)ms*bzI`}9Y)iXX)WvzynXc7m7Kpy(bXy*9 zd`HwpxhGk!)hP=^-$qUeyD@K7I{&WLx0z|xS^X=|Rqj^263o7K$CaaH&T~JP#J*vB zW!R9H@Oz~k>kF|mm#dE(*0tJtzxokwzCk(WmS9->fnD8iD=SZSz1?ZWb1nJCyy<0n zH=Mt`xwBpUtlUKj1JUKdY=$chp806;Bn2f3Oy)YQ{dvcf&qA9FmrUptY|Y`(NU64I zo^pUU$jQtjw5Hv_g7fAE{glJ|Ch-1tGJC@f<!r4j3S$4a_Ds3%+@Q9g>Qz&<OK&Z? z_+e7)jllFxM|M;#bDuhEnq08${l&WBl0oe&mMpb$TY4(A=gMXKTS4c03OJufeYz05 z)oXWlxOBA2f~$vYir?-k71z7Ec6Wqkt7(tz8rz1u@lO_-9=&V2e(S~9XHhqIY~tKD z^=b17-Rv`rw`#tAP^@g49Bz^Mo_EoYuWy#b9hs~nf4%*1;2hfy`}N29(=Y!hG>$y? zx}vh`y~mYzS5I#)G@hgPzO+~TbKsvU8^gGO-Sz*fWR6yZKi>W0(T6oNd&EC7%>T_< z{ppMMG!f5}LVK17d=_tPn|xB~n0=X*q+Wm8=gF>rLW}KW&fn7eSg}Lqm_&h4vGbp+ z+jKm&LY`RX=O3O{v-X{ou4!qkcGR=g)pwpenWgk{Q|0>tvwt7oN|?p@)VyZ%opt-f zzi*~4GgWR&T&S2G$ftGva>lo+o;&tdUXP!C5D6|7tM3hW*)MlCF2PaeoZb<mgVhTj z{SDZ&<%W!@^2WLwFAKi>{UGuB&yt-7R(ni-_+`di{~xax-2NQ3f5FlCi8Y_z{QG&; zOx*Do<F+|BjraU2mG?;&nRe`#?2Q@wkDhwlvF&rA^7fwg`MQscUW%vcXMPtr!jyls z>&F~lX`am;ADy1G+5XI)BwhA&ePrH^srx@{OT2zQu5M=jJ=5EJvNu*<FZ#T0FYj)< zx-TEjOPAIDc<}Rc`0P!8|2)0kznYi#^S-~lzm;?^@$P<<$7Fjlef?jT>fhDBf4p(6 zu4ez!eCpr9>hgE{^tH-A2u|Hy{_fPN-{tQLR=lp;(BG%=LbYq#qT4YiS-$(#v{bXR od)>M3KGi!$^<T>Y*Pq7~Lw1JM-1-+(zTrR5ynpil<rZ%O00&w>wg3PC literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..2184d67cd5e91450226e178863069e33b350ade7 GIT binary patch literal 116468 zcmXT6VgQ2*#@M^%SKh`2e)y=su~1`SyIj?ZHaQ2?FBhEo6{M<j1gc&vY*%B9);P8B zIGZ4A^8%NgjmPCc;sIA0G~QRud~cII_pJT&^K)&h{lCqdT>Wn6&$-Wkyh&a2|Ns8K zx8naEy=AAqZJp}9!g}A2jm9}|jqV*ZlZ%yn|A1-tjl**D)+;`qecm`;F>m8vm5-d! z{vzc+emHLY&U(^+v3Jc^^O`qz{T^Mb_uf;n?#8j7#Ygzg&C@L_{H62ppX*8fd1`hW z{)cS*s@hrYFL?V#?WT&qn~qEl+Vk+o%tx~B=LO^~YIarp>w027wc_Ac?jzdk=IP%n z_-V7Tp7ZzW|LVKj-}pD&7Wc9_a(eBNb4yeHd<fdu{d;<c?VY_5ZysOnKC)By=V|RD ztn;!9JC{%I*0$N_Gbh&ZQ~&jj;ywGLVjjE=eI&Ggy@-1Fl$!5fABkA67f!#Ccf@jA zoc6tSYCr$2J!&oYPW$)tc>hNaLyxfXt<%xlSg%^xYU@7dp2Mfh*&W7nVq)h!{*m>F z$vs^3xcRg{pKd*35x*|9++zPSi^DUu<MOnAvaatJ4Zl9|M?rDte4CFQw_kUw--+?J zdHieFqjzDG?C(X~dHm4r5#x7rk^UXK8!h(FebT;NSlnXA+8syg6xMC{&im*~-=z8X zJm)-o()UQ_c)5VM#jd>;e5(8RthP9KH1>$*Ip@N*&DPzAcYD_TKKqEn{Ju(G;osgz zEaBzC`RgawJpcM>_3`zF`}5ZRsVmI<C2U<Zd&3@c51Rva^9sAn=RAzMw1%yG$I*|e zse3t}R~-BHR3&e3piphjW6t)9!-DJd^?$P3Jrr^epHR^reCILq`Wrv3B=<j*+nqn@ zm)e_$e_p57G-=OCdfz3qe&g5A)Bn86H~G=xZu5Y1`TL0<+4VMjO<Q@qa{UqJH;)DU zEsiUG6{$F=zUMKYdEb@&5BSzw?3;9C?))iLMsJc{pAzcd*ez`HfNTAg=*O$3zm_iO zc9;3rbfkRF1LpTP{%fv0Unl>&)q2iD!RHpgT_x{75}Lo^x3|#z!Ul1h<lnlR{<X=* z?2rGXTyw~<&rac^(DEIJ*xzmZeA=k)fbG2xE#-6gjqX`{){1`H_}#IyyyD5ehx<d1 zt+qb=?{?3Qhfm%<FMKWg{2g!ldz*gi_g3E<(tl+hIU2g;e_2j!Xw3uRZ})XS-wdBz z(O^9%;rS~e|HA(4H?MvN+dPm{-xDwQp)WRX4sU61+voYyewn=cb7p7tn(Ound;jsi z{`x**{r%rJKfnIIF|NMn>o4Io*W(lS{*!wBwLD_|{^M1DwfVlj7q2+@?!0I1#nP1j zwtF72{J#FQ=0i*Nn;8E`yzf4C@Y_86_RHws0qt#daj#a|bh1|*QaqQh@R9BH&n*$> z(+^eI8DIOn@t*SQm;Y`@e78Tct6uQhU+oR=;yX+KTdnzhJ^Ai_(bxZSBfg)nU4Lcy z@8^kk_p`kIw>0AW`eVE5d9VGI-uUf&%hr0nJDcx^>3>x*e^OJ>?JRT8<B{&Uo8MoE ztHke>%)3`E$6x>P&gzH1rk{I!@b~%H8i$xb|IWSA-nFuPh5h1tvi>B0V#_@&Tvi|X z?7rmthtJfE>YJ?RJo>RrX#K~Rla1<|oBcc8=NQ%<x^`CO|AEKqDSJ#kY&k!(-bk(K zV4w52>nqorny6>i4`+Uq)vJlDKPZ-;5Pm1&d2^8e*$VOB=F|U3tncwJIQTWhBB^HW z<Btu-uVg>=mDd>jJMdOFrS^aLl6^0Xi}o_kD{QMi_S|CU(kI(*{I&SqYHjm)&PVQT z`Kmv^wWrkbTUQ(rEc<as{g`^pqe=Va!*A^EJ##;~|NKn0JCA*3PyCQo-!57$KkZl1 zo8;eRLjE5+jOW~qd&H|(*nQX9qvjCfypKI=<EMT#&w2c-Z<72Y;l6?r^-gh%qpEfV z?Q^Y9|B(A$aa8zTf!wKz@7I^?<Ep-~yZeZ+%p(!~9mlNm3W~!|$``h)%iQ;U#C~qW zSHYFXf4OzC-#Dr$SJ0Op^Wf2JmH#c-cM|{4R^9i2V|~TZa~fY|ZXA7kSJnQQtzUtV z=bl5B>o(T%ck<sjtStAjPrT;Ar+Jg!|KD}x`U3{`?~^LplIJ|;-F{=&_8$9(!t*{h zh|js_e(bjV)UVuc5}xl9>fg|xZ1YfP{f<Ld|Elait}9m{;azi>^WMk4z4s^ldh`2q zg?zciQRQ<5-xwtCKM>k}qjtd&b(sf}=WqP-jjTUxwoYE<r=s0M4tM@(6^+3$kC@AE zRCe^dFYFJudC2>mf9l6~`JfE8VY-LSK}ElhP1$=MvzhZx{v%jkap>b=)w%~f(ff73 zM)XgpXo!`0#Q4187^|L~=1=vQ$9%v0C;ezr-t$P*dcQK*&x;{`{v^>EZgJdnTQIVp zH%@x*9~9rrEMw#MNV2c6&-_l(>yJkNTKp@HF~=4B(lxsGFE(=j0lu<%3LiOsTO1SC z``D!&Q@i@f_Z>%>`S$xhlJ@)1SN`VjyesMHM)!`(`hDy$o>RNKC%>RG`b}Ls%+Ix8 zKkpCz<Xm$|uy3FDBUZn{PX0TI&v&QR^jGhB#F=mLUrzG<zs4)!iTu^~wLh}7TO8$H z_pwj<&XY;@kNEs8{@HcPTO7V7?`3=KySL2&J-&|(#Ws(b#O)Ozp0?Nc$m(8kRJ-h- z$E(hIk7K(l4jcN-)A-2pe#fDglU3p#GxJ+$tJo#IKKV%Y`HutNf>qxA-*_eb0fV*w z)QUFmImzEMh4Kqq{clw7I+7mqnDzJh2|H|0|Kcqx_~6s&zT>DNpLgf<A1&H4w%(6y z-+gF!obFw7*!EuGCz;ObdZn+tH;%t7R-N}qFx{eh-Vte=hhopqPyf-9Zu9sNtI+m; zA=lzJ^q%&5q!?G&vRd40&jD$@!oNzL<rT+m^Wt<qipp0Ue(SCB?%&KS>3c)oJQViZ z@Ykqw`HsV;WpR2R*}hjC$<zJF$^YZPJ#Ce|zdNrOKVta3eo94$`kcoPLWT4{^!QuU zY&~MV=K-tx`iVcf!r%O9y(0X7!;#oM4<*#sPpxPwk9oLdVnr{%&4V|kLh>8GR(<R% zu1WaquJZ2x(kss&GW1&<<~~>WbMd76kJ#oHd`K3WU)UqQC-L|Cl-k$FQ};CcTO4BU zEBqyFwC@P(x(%1T_8hm9`_N*(=aFo9{)C$RiNB)WJpRxt<X_liyyr37?fgj}b>Dqx zakqK==B&}ZU)hoSkC>I!2R%~cD`-iNdB8h;$3M-J?>}&Ux2Wnq5<ce<ul$X_(kstf zo~+>iZgEi9ukc@(Wc*_;^BdK(kGRV`;?)0fz<S%iuveM)^nNnFE9iJ!?qPFWQg6do zzs~s^x~**<u%53tdUUtS{h!t=)2p`MI9jRRSJ*22=I@px+BSdI>^S!Fd?)*!{q8o8 zp6z`k`}@7He8r)E_q}Ux{#KPsc+LEXXM3~7@rUe3b?4cu*gbr7_>tsoc|rXhhrijM z_;t};_1yze_Z@$9JCEP^n_JlV+cW0jlk%gs_v+$p9{l1zBK_@O#Gc23)&DiVF0I!p zl$W<SdN$s}=J3JzE@>OxM`F+Gb?0sPTK-6K{)Vlmelc$={Po?)?g(q&hR^&$`WyPa zZ65Ni-*Nn^z1P34=sgb$rtbLd9~9qYyXP^>?f)u|gYP_)>fdqjrv2$3g3~Jwz1#2c z=K$ljf03_d7B-m6Jo*){YJXfk=D~~mDs_i6-+gEle^cXgZ2gYo=k|Np3YQiBE0mOf z%%y(gwo2SXf$J3qneTn<nC<WJug|*X!H>y8-~V6z_v-)2f`+yJo;HW|&V6i%ws|b9 zXQ%tqc-_aQzvn&f{4<ZVKg1VT*upRK_}k`5@sH%fEq=~9Qa$G}<L%u?%-=ke?Z5GR z#+B^}^3&%}|07~<aroeV?>z?%^FFqz=lpH&ng5Y9T7LRh_MFFGxS`&jkL>MwPq4Q? z>{or42lIA&kAGo%w#_59^!O<smGwTh+|~C4`PxqL>lup!(tL$~?I+EDEUZ`XCAD*Y zL6>#S16J$*+K<EUBtM@VbiO5a&j0y6{h;`-b$uktSJ*3V^FX$L$40%6S2@e>JY+sT zeZr3ank)4WGj7}G_DHg<u#f%DBgy#%g`Owp7xs$3iT8T+ZF%Zn4(p1ef_4Qxx7Sbo zBlLa8p_5Bh?>&~`zp<|e=ItJ^x3@i6zT==IpPu$dw&OdFO3&L+YAI>|Sa_SA_Uq^2 zQ+D*`&b!ZB*r@#G!=C&`@0g_N&zr4d9?DhQ`0sfnm4D;;&-m}fLGjJDG9UI7G)2#O zG~s!7cFiH)z6!a@BeL@f&U`-1{%+$|Z(Gju((Dxn6#XjgERQSe6&(9~M&0Hi-*cP! zdmc!x-|^sQ^7GGM_{$1Uem-RwSJ<RIFMr*Kw(OYCHeVXbYwe`WZT9bZ%q+iS@4_#W z|Gy8KfAsSOM!SbRx6P-0w0u|4J$JUppO$Ky$DH~W`!)amk>6C;D*NWH&m%#;!XELM zN3!8NKC13NE-P11Q{0(vaoBoWo?_v9?x6YIwKfk#&RZNls;j#HplRO5dhJesi$lh7 z8^6xq^sh1Z&b_El&UYSjsBfQG(O4XlH2e8w>zD^});8z&Jrc^l@$_f;_x%?3ZxZ{T zKNXI7Eb`mte%xa=bBhO+KLoc|98x@gUj9eR+W*t4l;&;cFKBm``CL=eR~_@9<@rnh ziUYEKmH+AvD#sNb`~2&i;#Z#=M=j4^KVET+Q?Ej9{~_*mg(pA%W{&&Vp*`>W{f}+@ zG52GC9sa-QO8FtiKBdm|9fvLZ-Yb3-`~IV4a<x~@G0V6Owfdd6<0pN!ee*!jz2cyu z-h17js(A&i&A&bN95<Bv&}N=<H~iT9A1!<TPyF@fx91&bZFkgY-$B*9jn(y?@)rLZ z))d!7)`gz`U;Xagf1diMcczx!T)*%A<v*{4p8M^8cITw((O)$QU0;75VEp}OR;<^t z*Pm-FuRZ@6wdOqYybX5z8TD(QwEwB=Fa6U{`e)hW%6kRJfBi`;&YZda+4s4p=Tsl~ z_2)sy*PjPvUw>|AzxJFzI{I1mkJ|5>p37~ti?>Lu-S^>u_<8j>zfWI(9udxyd>$M3 z=oRPd&+W!~H9vnhma<B&Kd*l)Vt)La51lsuU#IM;I%fJ=_s0I6XY%X!_FR81ExYEt z`L`X)`a2f&gzHB<kKcIiy~Xp-yCa^<7x>3js7kJn+jwq&;@tatKmGh8ckQRU%>?mS z*JG>A*L;2-@%;O`D!cvtrT;klpBxRJ{PC6S>p$$*e*RrO|Ga#{-1=j}l_yP8_WU|v zwg0JC%ya$?^YS}N|FNDwGdV8!)75KRk67*RE&V6(`j6nXpW%B9^2>5(`sc<!uc>1P z3nj1loL`Z8@6Rry`L}k?i<rN@^kY@=%l{E;&p%J9wJSd^{aNh#^q*JOsb71ZZ@cFF zeYJ@B_kB0o?XO-jbNimgGuxBjw<XouT|fS-Ca>%3&wD(tKkvQPyQ05R`|!VB)ph6J zvqj9me|Cf2|Jlj4|E}-rd=mYqF4tnm$|GNY-V=EJd9UTQ=hfV6&VQd*aAN*)*|>sA z<C*uLedj-{{@*6=eoW1W-<sEIUW+~ddMn~J@5XD=iEFnXxX1kD{C}Z-i+xMa?BDP% zWyg-Il{E*2_y5{%*MC0Zd-=hr^|jKH>%Uq>yzbrb*I}jkG1;>Hj-RZb<?^~8tlV>0 zcfXw5pOR?F^<T>(UdL{@wmWI<^__E{ypNL4lT-Pcze7cT|K6T(>vD_o&f>bcJr#w= zKUaCL0V#gF@!IZ3uCFhD<eyg6Zhi8P;ePphKkux5XfdVY#CqNRS#>4TKUXEM`8qA) z_1X>BZa)ruefXyHl6$|_8SOv){&u-_dH#*RZk@OP%=*0gnf3jWxb@vz?@46u<-As< zeJ8WlqHe{N*>#)G?BDn<X~(YLl{JUC_qScFtT}pXzx1`LyVWNu)?PmxmER=2mY==z z%Io{VpV*(h<x&4`)z81<arp0h&p*n=|M@QX<I(>90QOJMANJ}0``z)oz&1+0OkVA) z+zz$%d)D`aSAVxI@Aa;W?Wqtw{bOEL)|#(85&v!Lj{JK6akrp-&yM=~nem^Q*Zh>^ z`}sb+c+Uf|_di-@$M1X+ZeDOq^Y`Wl%(wX`d^Np)aF%@H^M{-E8@?;dd=l<f*ROtz z-=e<d%y!A2yC=T44S2O$;(hY_iIe6ht+hWKRp0D<Y<tDQ$rJ6Re_m_8A%E=lj>;)( z<g@1d?Ke61PkcvmP3L2G@4ECS-`)Oo86V5PQPuNgH@}^|J%4<Rz28gg=ZZ#gwo-a> zRdU}a6`yZg-9GntbNl>i(-?cFN587FHtd_{w&t%@;@j)Y+4fQWDR#fK58TREyjFL5 z<G0|(t@HgNelI<IOP~AR%XZOhdyD=_RbTeRu6Zt-Y<r&Nb!Aw@dv?=nzYiuHaaZ0a z{qb<e^8RVRjP4#k-tqObmH6xDrG|0ZJLT1PR4v?b=-2(rS0nyc?_w<9Bj+Yl@A1yx z`rL=cwfx?9{FmBIujBvFV7%t1<+VRz=X>lQDowq9KIe(P+rGaMk^9s3H2*#BRo7Db zSK{?A)jo;%hoaxz{v|y5-T%18V*9y*Uq3$Y_^bO|jwjt;;oS4hme)S#ZG88g^L5?% zi0{P*cg_E{{nQ`v->v%JxL?;DiTJ+w$Sr@-Yjv+mZT?y$ymkM6JLT_$U21Fo+9vOf z)1LhC)_t>UKb_Wmb%}Vb*;A2u#QM#p^R{vyy3+SNd=$R)|K0rQzxaL~J^c3ZlK(<$ zerjI(BlW!}zu;5FN%`JCCr{WHoU3?ky+rPJw2J+KU3DF$e`TtB^gnd?yVa#X(XUAS z({)<?#IJMZUbegTb(j8?Fz@j%_^@_TyyVZV6YU?&_^5pEL*5g4w|)O(gXVwDeIsu7 zkSF`E<+WeayFVKF|GN9>b*J67{m<_-Km1dD{&8O2yORY!Yo8bX|9##zxz<)UBHsTS z|A~sX;q2M_-6DP)9=p}AdaZ8thHugRTj#T_`MV|Y?R44f{T22aUo-80MEq7fT6ItI z+UL9t^U}M&+NiJj-Yxn1@1b9ck@1hsu2pn=trJPyD?hj3_`c=-Q+|H2F<SHdVnXct zqr2|4l<t*$U3G18Pu0d}?QS+kk6733oImx(f76}&ODzuB#(nJK-}CUB@}&7<LGcT& zCt6f*5<G7EQ`*n%-FE+pA1%La`1@MOe?xn)%_H8|m2V=>AFh7&v+h^KcjKc~a+23R zXY~BJc4B_dkDMcGm(N|FpB!s{g!{Vi!%vHg{<7v3bT;3gP|==z=P_@!|Mai!-<BG` zu|M3A{MtPApWOTpUF>@ve%YR~=eN(y^#|n2>Y^Wsek<%%w|OAizvGwe$@_)f{4#z* z>%Al6e=j_Ei(mO$p6dJM>T8}CN)*11e8c~kdCgy;q_@*$UjJ#GSa0-bu3&u6kE|ne z)4gpuufKjId;G?~*qi+<<vR`uuPgjlZFH}y@67y{`y{u`&puM<TGzby{`8-2u>Qe3 z_0Q(@3O{-G{ix%u`S@2VV*mc+W552&Ui+MCSNreWv0r~;JFKsD7yN18RM%)6lk~b@ z<=(@_@8Y(8#+Cm!O8uNY@%=uBSK1Qq6QAE!wLiG4uB-H~)bF1B4=wJ>^+uog_ZZz< zwPfBa>x%y^rGEup|B^j-v;2SSr1fz;*Y&OX+x6|fcYWPszUKMceJ;md*HuS+w?4RQ zzUH;RYd#*Wd)WQ=&@Or5Ykw^^e4G3=Xr=pa%>%dk6|dFhd^}KkRDW{K-?|wrufHD- ziFm$x<2mz$wdqHq&iBRs`g(x*TfE-u^A-n=%6r$R?^r5dBvZHii+lEy`8|Im)qCPU z^u7I6Q_KGPm*BSj-q*Sd+r5?d8-1#_F{(Rov;1_;?1}rOf8NwyV%J|A&1=5irr&+N z)%Uy|wOfyT-Qyo|zWUg%``p+5Zi`p`xobY(wZA<dU``di_V>lcZ<iak&No~0cSrKu z<4@X`?rYk$_Ij88zWW^UHTwmx{Zv}>Sv2Cc=EiH6AI_?KyV2hJ|2m69(s3Jad(^7_ zeUSLQdWk(W)3W#Ef9QdRqT#)&xmSYg*RPRJh_yemtFF(#N57;-@29%y_1ls^-@Q)V z+ghB>d)&TA&Yq{-zDmx&&S%Z@xk<L~rC!&WM|`h7vTMHZwZAqWj@JF%v8H@ue8hL< zBZ9vtCcj<obUeQA@1a}$ve)Xi)H_}KyfXQ~ufH+M*WN$Bweehe!rb%usdj7C58S#h zX=kxtW_!KT-@A|G<`;ZgE;PUJ)K~o<2fj(C)SfNh@XY<jPrIA*BaS}~I{Rzt#QTps zKQf=&AOGxj&!4*|zTeaM8rt)H<9B9go$<d%`kQU#<TJ~+-K%+bui)F{=Y_wQAE~;> zckOfEhIi#XrTe|t{EmD$@9#@^I<UU>_rpD<ubK8gBYtZhz11&ytxo3SsZw$EneQL{ ze){3)_tS}g>zd_Wf0tepu|GcH@UOp2@1j%x&8hpk;cxn-{hZ$ly4+(PPpHfI&;$)s z<9k)JuU!7K;7fP|IBi<KlZb!tqg~~H%9C=pe@({6*59aF^u#)UdR0lS`0HP$eK*5@ z%NoVmN}Ri2DOY~K^qt`AO8<!SzYpxXe{HvF{CDdEyXGri`+ElNWZ7$fBQ|`y+_iQ7 z9KTl=>q<6$OYV$bcldv%<of&l5($6nj_|zxUi>6t|NO;A#qakw>hF_h*8lRl>9qay zf7|NauH|oNZr(qkq9yj1;xT5u-an^Ky#ILS2cx+Eq<^=-!CDvgYPID1<oy%=h-Ckj zy!K04#&F+J?Rh<aZl36`^SieF#`cQ^A3r}Yd~f@F9`E$`Q+LcOZ~eNbdd+X!guCx~ zU)Q~h_<s3y>c8&4hs1xkmf9Pw`F%0r?Q*efd*6uPg~x97AJjkbi?ej?k4L|4KOX&F zz0d#H>+jZ;5&Q3J9{ly!So7NZ`6~;3_M254UflQJ`{i@a`5zkje;xegx^j8#rZ3?Q zy2sihJ{R9H-gn?+Ff3GykFCE^x9W-YewD8;e(7ENn*7Gl?x6Czo<Fxv*nc>%bISYa zU$6XQKetz6UHwlRIi4ij_X4jgpGSP(ePGvp^)<h5Cf+^I8vjOo-;MSBr+)2{*Sq$& zW8=5rrmgd}*8Gh~etVqv_L|%Oy4Ebe?_YiB*WWG1*S?Cad3{q}>3`;@$_>q>dpY>O z#P?j@KdDZyuu=Psj?nv$PWC)IYG!@8p7!Lu+rICKE7vFP>2F@{4JyfaUjO3llf3_k z*Wc}5&Xef<TCbzePxzWsb4c;pSLHRYze}axZ;qe*lWUJuo?Ve#|FPoyi1XFQtM1)f zf4bsszWnRD<q_YF5AT}KyYGg64Jg+oAKN8=%{{gDZM<~0eQU&T!$Y_F)oqGmPv)Pf z5x>8_M}J>@<k|1#rV;n~dn4}i`$pX7myOuJ|I9DnNdNu&bq@Uco1}Q{z4+;k{`<u% z4yo^X#1~$#yS|@wd&SX*_dRTW>aIM#{M^xkU$@<4)Ss-cIDYQ^iHhI(sdeJz7RQwR z3ci&a)gAp+drkV<`^{zQGvojN`L^NT&$$VIKU*LD^}M|I>mI2!&rOqR|63>8zIXQB zd+oiK?YsNle;N4-I@0Gn<`v)ZkA0mye@ywmYNP#C#&iDfJL3OD+~Sw}%=az9cOEk9 z--wKq|Gwh~^UV1D_Ir-}`ukA!+V$xEuV1$%)$YxUh+qFs{?wnUIJs+|y*A7%@A|qY z+a@r-`E&iG*OG;;>TmvTKEf}P^tyk^JXUdwW$z9b{5)>-PpPkbZvM22&-$C<8mwg= z{fJkc_n1fg#xL{E=z68kFTZcS9kGAC?G=mr=@OZLzJ7Y1-}u$WYt8eEiGTN9lzaWX zcb(LJuKImhe^w=Z2Q}lWY;7K}oVPf7^!SrsSuu~<e#<}q_21`N{Eg|iHvE0=Cgc9Z zyyB>`-G`RjzSpb^`q%EC@N=@i$DV_~{{GOt_Wt<%4R!X%d%pg*T(f`5&o93XbJpDN z7fkxQNAu9H%HV~MS1v#DO?~M;zUm#vmE%4h_}$C9{QMJ}|6Z>y*YIc8HE|zXenV4r zzu6~g<@zIbkJW7+9zL;MY^D2k{tu^q2!x-X^tE`+S8=z0oZEZe7yQUM8Q=5g>WT7y zv+5G|beGE{$J!r^s&9S!d_(+>!_5=zrGD<7^^yJDJnhdv>x=8^7_WWxw^**fcmLFn zuWlB6Er0&;!Ta-jrSkqgw2}M!cxU<Hw_1g@wsSY^o0n$#cUQvO@Za-Q;(uQ}c&lIV zT3z?XZ_%CLOmrpr?R3WM{W%s7|CF!!`{3{9E6bn1O}2e6(myA??W>LSn(xhGum2_- zs<NNC@t*&h`iWn^)}<uxm4CV;A%1WD)Q`VD+t#eHzps2$e13WI-#xnzihq67UG?$M z-;85Vm+!d$fA*UD3DWHIr&V-#|FS*w?(>rW+{*h+K3SVSuC{r6^~C)Wo7cPLC;u`# zR=uNc-<tUeYweFl)%W`M<QIHlg0x`y?e$*E&$*poasS-ock1VCCH3qy3vJ&Ey{;^e z_`du2uKU`2H~M*B*X^1=>8JiT?)W$2*Zz8JeCOV~b-tAB`ThER5x)x$-Rl3hJoWF4 zU1DqO=cgV0^*M9S`4bg$&mXml@7X$!CA&V{Vp)9Fo`;T~?Un!9WZB&-^s<-vao}J2 zQoHVtpg|z(sb7Nos_#hsR9CJ)Cj3}@&i;UBo9)Z@?Q1Um%Msqwzwx`$Nqta}eZTPh zPLbVfmVdLWe|NLs>-*=0|Lu=d-DAA=`P_zg<$b05qu2bdJhW@RF{F%$pYXNRUS`d2 z!Nj-AC9>_OM*QA*=vM#gXPfgr&)+ofU(Hgn*XP4$Z8&G1Joo%B{gc<La@KrpsaSAd zX--|(ubl^2^)`OC>@2rfB44%V!POJ@duqP#U%GGZN4dN>oxf8PV(pLas_V5rW^Pf} z`J~_NU&<4Ci{w3}8{+k+{$Y6iOYz!Q>p7d>{}We@-z%|he~BFb|L1qKuYJC^Vcz!N zsgmn|zfHXRp5=AjvWV}SkHI=DJ~xu=`AolOzph^@_jWl$wtZW~?~O;9uZ#Yl|K#;~ zcJB@UYBq4a{;qv3Vt;($fnR@nl&`%{zhnBZ<md0t3qPiJ{9S+Im)&2P2b}2@M=jTt zz5Fk>`^|Yy{tB~C;>!28>3zTIly=N|N5#x9@zDO&I?4FN|4%2~m;Tv2asR`PkGuPh z-&0*;7kmHsuDUM%W9d8Arv5#4BER<!=l7oZg`c=iZr}H;rdIm(FU50`@`=BvPKuZK zxpd<F#Qu-Aav!sv<h#{Xd9FOac)i%+uS?H8et3Oeweg*}Up8{_&+fE8?34ep?zQ^# zsULq;d2QS`FKf-;TM2Kk3ufE<Mg0DF;8y;%rzy5?w=-nhw^l5*FIw|ADB<mN;cIm- zHheqW^mR}8n&)q0=KX#2CuYra$>hH^ItPFKj96M|C%@YC-1B7SYrijce64#D+xRzO zzStW3`vpfmRldtVf1R@D-1DTl`qwPJuXcHSe##%N?RWaKWa{GH`7`@{=qTUwxb?49 z!B4Hqzdz#jzh>4onA#uaeOxTFC+btQ^8b`4=PlCyG@0kbe}p!2<CI??{m}w$<d)wl z)@xOMy>hSi+-kF!*q=7<;#u#<o>M;n>Sp~txA9$hcd5O9#P?!I^uAuTY2Ul{=2Cm5 zHTL;h$9{cY=GA-o{nTUY)qd|z@_xN%)|%;;&+Be`uXx=)@$b)2<7@NdQ)6R4ef>Q# zcg_8NnWW$Mm(Ewd^N^{3$B~=$AO4B(e{t@=EdQ*k=g-*_<?9u{iuQcp@Hy(F|Auw* zlVbNDvwJLU^YG${`8|J_*Y|9XS-Ctb<u6jQGzBNi^`&zB>ucYIo~_J}IDdO@U(fZw z-!{A}Zz{D9Uh_NhF|0A8w&r(X!dvy%yMp?^1vhM!ckZ2jX}zx8j&(n(V*cl<&P%AZ zk52r%XWg}r*C&3K+)x*1p7eK5-f`8xRSDm@FYW*LHT5q8d&M!yyqE1V?dvChg@$b6 zpQiGh>gTVmBd+&v_*)gJe@qtIGCT2uG2LG2bFSOJyeH}A6Morz%Qd}o=Yx&h-4}Ou zKm2w~==%Qsb2iNT-c!0?e9iCN`!1hK?Pb^emIQTkz|AkMHNP(=zg^ClZJ!(QyYTq0 zpM^!iE7vc`d!6>?xb2etxi*gkUY{55+wiaEA=B&g;(CP=r)y;W3i`|UJnF5xSMYcK zrT^>nzs}vzzWD#7iYD)0sz=}Td;P!SR+s#w-y-2pOL~pO&ueFw%Ej6rkbdkO^MA&g z`N?bd8+`JYO0QY|QGWU#*T*scR~4?izq9qqWTSgW+50xGo1d_D|DkjK8{&5y2iG{( z^CapY?3w!WPxQR`@eQSadBS_nZ~V@7GQaoF<caU^cs+}M@%mUn$?MNQ@_v-e{#@~i z_xZM_;_q#nZ_hja_IBsqYLEZc5%K<l*XnvVe#`FMDzClf?~UZQ+ZnU>=l*c9e`~Qw ze$Q?1+FSZ|*XlesesgZyDlfI>`Q0Sj_nfaQ&qbWSysLT5`tQurum36>sj~0faL=D> z&G*GZum5ITw0M5$&cx3TZKBrL|F1pt?eEh)X?GG|`%SV>l1}HJ@KN#F{Cv5@zdxU` z`c>|o_TzwT*}v#_>9+4ab~(rKOUG@fPG9N&*>=rO&U=#cA3f{+^lMe`pJONF3y=Tc zJf1)4&*2l>oj?_J!Lc6#(fQN<$YlR*omhWJ@3FPbgQF+nduyKS1)V=rAuevO`TBUo zb^i_5;vdfXX!@<*>l3?jy~(F?8N+`Ew7<QtLR8edPgZ=*+41Yx=ZX)$&*ON_@7Kun zzpHz9w?NvnW@r1C|D3O1XCAw?zPoSv|2Neh`@Su1-YT!W=C4@t+wDTx_Ms8KS02Bm z&wQ=!=7w*F`?kvOsrIU^x@ULov){&f=rwiWH-?-3uj4lDyVswy=KEq9@i(i>^Cj=! z3I0?obH{tmDtV1_A9|$sJQTamKk1{e-9t%t{%Jc(<s<7K)ulfEGvRk+hqg@O`)(oq z4+mc_Jn}aB$=9orKle`D|7hMvX+OV7@9%}by8P94&1-dw^qQ`>+r8>?pP0M-Taoss z#T}IB{w@I}I_}5LG5dV4o!@vZUgD?v9fN&`58hU-H~bW?e7|$)ycr+$?iKWu{$<Jj zE4uC8!McaSay@@8p5T9{|62Y_X}(4Efyz6T4|cjAKH7Zl@xA8rw$kh5isZh_YhAk@ z-0=15l*G5!WwQ5gi}?MqWvhJpn!no;-kMua{A&B_;K5s<^wzoYn|J3{d95{nFC^Q( zXM7DRJ&KQ4&AWGaiQQiL9}(}_HLv~lZ2MYw>4C$0^N9EE?AuD@<@H`4y>p&z&qLn# zv+wkm-KlYUW9#(k>+|+s5%qN$UDn6aE&eTeVm|Bq-&I#@Y#z#<-|=(Oo%?ItPyJ$E z&n~_E{gmt89j5k&X2m~f`lwoVPxa^AiT9;{YJZd5|B!Qc|D<0fwfwJtamGo`fAs3^ zo_ml4clrls`&`fn+t&<mf;;p>;Jroo>-p+#|2S{kpRIeyr|<SJ>xp&$#45LAyDff8 z&eT7)tDf`PFZY<s(*w0WuPi^j{P?`%laJ56UG-;Y_2HY_=NzA_4w?n2lG?a$UfG(z zRS9py|3|0Vy*<v5ZJ!qLTle^_eBEnxR*7$yOJ?uaiTHi=pIK!5?}G<#@e5x2+_!OF zdFNLf?KRJDzOH!3&V23n!M3k;o09j=ub6pd`FCac*MC=pZC-zI`{|0;_lov+e&n1U zVeh|UL*2X!kEeW3X14p#Hn+W?{)p|jea_eBZ|MKre(Hxv`JMAdGXMMU+?Sg3P%Jur z+K-l4o5zgq_cdSdzG5obxBqm<<H+ZSkNz*(|2I!EK3V$rfAx<7?iPo%=Y2fzPv2s1 z|C{!|wnqE+?JwW3Z+|}s7k*<nslRdG{`DL7?SH?q?w?`8-#^KRfBih(_w_UX`|723 ze`}5&|Mk<Wi+`Q@;kV%y{&yaWsb5>VuZmGv??caAZx5Susek)wXY;DxPd~EJ|6h}O zPW}F#_>Il0*H8Sabmx&o`}8S4nz+y9A3az5)Mk$Sgr8sk_H=*!>(le~uTRt0zdo&B z|N8WP{d=ZitGwHqzZ;U@wlinj{{RhS?q`kot$UdB`s~ND+i&cf^5!^adBri|xPpIM zjqH9ZM($6)FLwB)aIeL>6Y(3%GiRQ!oYcd=v0Gf`v8?)zojp(1-+2DV%qDR=$i_MC zkNr<osz<!PuX*ivdGFVMcN6y3_ecCrJamhn{aW3Ic{*P+>{%jy>mIq4Z~1P=J*MMk z&)bdfB);Ax6u+T8+~(02&7kuK_N{H(yj-eq&xHLCKNW47_uEABegZ%H^yw8%$#Wj@ z=Kpw9*QWn2-GBPe<>jY;{<L_1MDyBw{|SkIf3oxn=J)<cIg+lNXX||J`p?`opWAQj zYKqBk@!xTPS+DR{snNc}tnW5{{wnl+p62WRH{R(t^m{G7oqYeXC4A2#-th1#U+<)! ztkL~e@WNxyA<lIl``B~hJ&*P8IBYHVv2nBZ=^wvtuBo@TywCP}e!cRwx)~e39d7)( zXU+2yHC1tp*D5lO6f4I`e4H%!zUN1i_qz0>??Rvan)BStma*((Px79JEZ4(R?F)~U z9y>qz=atD1fBY1(pE&=)i!7t~*5H`O%=|ZYTD^WOSFJzcr}~@6f254;j*6b!@Z4+9 zLB+g}O@F<fUH@*(c<sBf<h8mJ8^1Ysg9iM5Cz?I#7Tg}M@j827v#Gt&qm6?4AJ2Ua z*|Bq3Ontw#&4V9NLiY>W%5!3)AKmO;V%NRP{P552UW>Am_dho5onEl_#_^-2DSJh( zTO9sZs%rO$!@uGvyWNJbL6PhC&U?bX<G7;VI{lBFuYWI<eEnPXb*j<)Z_T}1<(<|% zmrS%hf2d=++aBXbdj;crf219`JKek1^7`Y%+ml~=g9oRj^miP5%BymJRq8+C-$Byb z;uDV)I@dKfOP{Jy?)%tcUX$?JZOwnLXU8Sw3i>WfpZ*~x{-b5?Y>%2_c5xqCK8K&I z`t@(ufnWcAwQQBQTJv{9!dvhx%KB+PP2VN;9(S`bd^A;XeeaKk@O9}&uKGUxRet9Y zbG!NE9si|P>K|mh_mR^*X8QlbMsar~zr|}8-k$!G`OZV0ev9Kb&!*ONd)FL#r>l}@ zYE<8lYx7tj-{R0$UDf>u)MFmK2ou^Kul{*D|Ag=SeAo8tyGHz0Ja&s;_1fok8|Ibg zA7NMCC;9PiNA>#Yze?)lU;okc+c}R%e#gOcYj5V?dCciwaqMSqO3fGLP5(~q^KE-9 zZ~AD5QvI=e<|k^d^`HD9aJ%B5;=RI_*$$ulcN~`O%h&$MnqG1A+FO-(e|D^SpCrz| z<M6NV8|7Z#KOVN`?}o&;?BCT>>TLD-UstNS*_b}sDwyB%Bjbp=a$Uxp*Bhf&F5j^? zroJItCh0$)(D}j^?mdr0!>jJ*PxyIt_QOBDLiQzgckTsVvwkR7Zn1On5%D>XMQ@i+ ztN5Ze^ZsE&xx!BIn1@DF{>YhE95@)OBDX*C+4cSV)g%7@i%WdlE|qQ16Y)Fo$SwZe zpc%UVlQ->q#vbw6Sb3iGN3r8E=Q;B$j$MnrnO}40oBzpQ%w+{t=92M={kz_7FOb_| zmNQ=^Zo>P7=hCVBkQSOvezJbYQE9&Yk&oU*r~YNBt~k!Q?Y$moRSfUz=Z4nT&L{8L ze)7*Pe!FXR9?-Ue@;#}KCp*r&-KpBWX8q^e5zqNQp7`ow(fy=~JLZwl?(Y*S+L`Y> zmaKk1;j8;y^TYqFdoAvj*u~rrz83wFGv8v@^doo6Pu6ID`_QQU=1%mn>pPA!&->8v z`S*z*vf)1t+^e1W{_dLd`{$cP{I^X#a*JR1TAjs)dDE|+#FDF>*QFojE~|@rb#r6) z?B6H99^Y}8voE=`-{P^=<M|W8xjMab^8AF?|BT{WV`CmO@>d*F){|5CdGGwmKc?R{ z{&(nHZgEI5uCST^&ZBRRlivT|b0+@d`$WcXLY4J16aT(vEn4$`uS56O{rl|`|Gr<E zerNN&rpfH5e|@V?`72|6qpstKa?Gp!?#bWNpWa^%?lo1*7}Omwz6Tz2y{G*(x95A| z-{MVmU0eV0WdG&0Gu(Id;(70XDNoM3)m=ILOYFCqlwRFKn|FKP-`V`|lep@-=dp>l z@8w?C@ke~GKD=wb^|ilW>O7zAhYkuVAKoR;d#x_3^v}~9`fL7LB)>iW;P0k7r&#T4 z_44;N{QJG(H>2eG@7DaU|LPsAviIJ2?|qi?J&BJOJN(`L7(BYB?`>;U-(VZZuP9g8 zCm!?Q)51@`EFZF!TX?9v<J)xaz`i*=)$%5fEY$WNmX6!-)nI1*QS~=}xIQO}FXx~B zQB|*?b*{Sy(&DuKDSuu$Z`x<aACO!tmvF)2znpQ_ocjKo8^J~KAO6#Sr1&=+1E<hy z9rJsB^hB>qKlpI*lV5M*r+yWF^FY@9M%|X4{=#<lIYqG-*PpC;b+O=!U}wLT{5d)0 z&(n7t5iJYu{BCi8dEQ3rQ-7qt75t4j>HmRqxkc5SBiVBvvB}@~T{_eL$$4veeT$=2 z`%iATmoHUQxc|_v=l5IY9{092ez);!U}XIvvvd1hkF{GoJ>ShCzr)~@xAOkO=c=Fl zyZKmdz1zREC%gAM*7kfa_!l=*|Dwg$<x<Q4Nrm_1Z@BLLFXM^7TiuV@oBkc#$=mk% zzE%J3{k88-7JRf8y8c;j<Gk|r(*4zIe(z1Xd!F}o-LA=J>|a9XqBO7lU9s_7aP!vr zZfpKpB))b3Uk)Ba+H?85cAng^=k5E|AD7yRzpgR7RwcCNYsijEpO4)WUQ*94^GHy? z;=0A(f()78`_ESz+8vlR|KZ$^mU(rKzgC-m@^|~!V|`5DqHg|^+w}#IF{JdK{*7O| zC&f$soH}v;<9WrJ{{pTBSJ#(-29b_GFRT}PUCADC-um#ucj9pctAGAj^7GC49`JD2 z_4y}$?ULua_P688p84GyzXe0OSv#`!UHiIxQ=Gf~c8j_lU%t1L?vs37b8WuozHi%m zx6TuI|0TX<cK+0VdIjy?GWD~MSiiZ%Z!7l!G(fWN_w%6pXDax`_p87Mk+P4O->BaY z8$?Rl^Hn_Pd>8YY*X<G4-xr+Q_q$&6=c}Uyf5V@DEU<)4^8MRNptGL81ZfDVIqv#> zuYLb)9<#hYZ@g~9f0mcurTi-n{QBJCzQ+E2#c|2MRSDXY|9$=6_xA{+TtOTEFU8|; z*_Z5(0*`_0NdMC`IeuEr@Av1gmv2}n|8UMn!)@%4F}e2}kN;rouQ2{pu5ACCedYP& z`(cOA+Rr<__$#QVK3`?s^V&mI_l&Rojoa{U```1E*8hH)aQ8e1c-}#O&F{d(yZv#G z|Jb~7d|Yw(R=@SNI-h^8ue4X({&oHH>#ZB(@;gfRalEc!z4lLU<G1qkBh||L9?h(~ zSMc%erT_2td)AtM+wfmj$p3@W{v|v9Ecg-+?ksgkAM>~PA$rojr{;BXQ2#pl2Qxk@ z-Ye+a`tQ&Q`^4AIo8sF2E5MzlpF6G?8{9kkvORU5#Oq(2*M4co80<TCZoSvP1W<IW zOZ(HP{wDwVbMb^&`@`Cgi(~%IT5}&XP<j7n%bEQbuJ=BCG5Pt&yB}T#KmYjT^7CzW z#h@v;o1b_5wcL2mUS`eu<s8}jk4606dgNBW=(V~x8^7Id*m~b=&EGA_Z`Jds|Jo8? zv*z!lq_^!n+4d$kp6`iT^V~Mk_Ppfl%BcC%zkaPtOx_#c0-kce_B*iiYu%OyQ;ReI z{rzJTwdT2D;@b3MQT<=#C#`>dGvYe;#%JykpShLeq(1Vw-#K5k=Mi{SZ+TJupQbC< zllj%}tA1pA9y9&-e51I#Qf2?UEq=;v+duoaE@U>3)qKYvxs%`jML#?L<9B2KTK5yb zmy5i9eo*q-`DW=g^WSfcu)jYWJW20-?Rt%Lc3s91W#zbpwd;>W#kWhZ+kX7u_fucj zTO5<Vx8b+z%Jb)L>fd=NCSS!DKk4JE$%*glRqQ6cfBfcm%0H3m7Kh(`_ug}mG4Er~ zU;Wd6^qz}9U`&tru6=x0yzaHn7Kyg~H#_v*?);07jQ=dV=I2Dg{@#jC^L5J)edRy# z_4|(&cA38mj+oDRBr9+6+kWNwMeoiQ{1a8~n=3!*XZfAv^Sl0p$7=|GEBxmoIsXw? z`i<&IN8oFC^%P&5wmxCsaagf$zRpL^^K<_9bi1E=efyWxtLL9<rL*l@BF-Bhs+!j- zsNY)wPHj>j&vxvdZnOUU;i&i~<8|gouLVE(mHp-s8-K+S?s)}2Lr(VVslJ|nWBbX1 zKU>{o%%7aMsF-yoJvQpt@f*ja`8IwnxprLL=JBl0(Hs72So;4qdj9)q!rk>8uPcv5 zoZoEnXsY1*-X94^%$4^^7oN9UfBtw>d>8vV^TRKVQ|zT;9*9|=pYo%H+2*n2@##~) zT7Szmn-g=c<8kjF*6T~xq{qh{o4=uXae85$#Zg1M4~rgK?iXEuW9Oltbvq-zYagqc z$9e7Znx2Z>Bkam{QXlVj$h+P7zw7farZrzvZ&Yr3<K9<&C(F(*^IvhwpPuYJ3IBHr z`R|Ln_WtJfqXkv2%6-b8!uKQ{pZJlztnjx@q&{fl!l#<f^|Q|Z%$@Z=qq25axYd1q z{?~P?5$BDMR?R~+^&|>kp9<<fC!bJTFOalWKfHJ^>$Z4>>-C4^-hJp0-}6W`eCm_s z;S;OazU7+EiM`tKc-kM{cKs<o@2o!g1JcHdKk{qGVd;04`(@SpCw~62PVe*Ux}Oo> zwU1WKlf3pfucspWh`O?!bm42MP5aK}C(pG%5*6RFbstlIOn&EP@zZrBJC4|v#VdUj zZLc`YeJ*BYe#(8b!#_=XE$*GXU-&sF^8D^;J?}U4Kb~G#XK`3^Tb$lU+2?caH@*!y zcX|J|GwXkgCfr?rrsKKWo}-W7rtA|wm#06k_s`K2+t(kioA5s2Z2yMSUyoEAP@PxU z+8woS|8Y~f4ga}1e_wz4>*n-(1qHUCuw&ozh*!Si_*?B%+xNeW;`?jo?D2YJdakhf zZMb*M5xIFEI{5b_oSyvk)OC-#X4`Z7k1EgG@a=HVR{1s38{~ielgzd`0v<Hb>G^Z% z#QUB<_fEtY9{!qR@gs4k-S@Vj_#WFm4_TtuPyMKA_mJ~>OnH&=On+NxzdW6fj?WKg zDu2Gd;{b12occ$>-xY_1zvU}^UT<;W>T6Z|!@B1Re<%y(7dH7<96#ur`mgmff8o!F z%D>MdelI*wbx&RSp5#Z?Z2L74zYp%5xn}+6-4W0GH$GF3_^h4tU*mVLwM~Ydoaz7L zDS!IBYZAU6SDp7eXuj{=-47o<bCY3zB42UrpKi*ZHs+ZBEo-Jf6h2pY#jEC!=Dd%M zYoky7IVYX6r?*<>5vRLF{o<bag@=FYTU5;X(p;-OGyeSPgH>^m+zuHd0nbW+7s}m@ zdbN6ooP2fuq}Ses9mabeu)W`L^z7`L=Wn0*Gxy!(#OKmIJ7)b6J8rM}+1KK*@wPgz zM_~$|ciuS0eC}fpcg!BwPyRcO$$s+}iZ1|lo*!-7RCng*^oql>*Xmwu{C2qO>)%^# zU;oakww@XPSngUy(ve{0IO&haI{G7C&)#sY{6X{Ut$F%ix8zU#%URIxJZF#ZBTK!4 z#<|<QYYuOW`!0LKe~+}@JB`Bme}w#Z9M*nU_?0g*|ETW0f**dJ)5|CQTzmZV>z#+x z?<Cj8RUP=X=S$ny-#g0I{Quigo<1{v{`#*Q?c!%YYN;{;?Ok|3sVe%;L(c7ge&6Xi z+<#*K^~i;5*8eoS_D4Xyr~gAAWQMP|e^cE0!aZ4Ys?2+nzv~6vKT*M-zF+UNYQ$^* zjo0EIPx{DPX0P>g@kD#cpX_`R`FBG>>-8Z^Gww;mKlo*y^7lV`?!>0WcPtse0JQbA z^vc$pncoX@a&p!bT8q6}+-#*~1_s}@ZQN++w!L?6?whrJe0_aM$@$VC@H=+>@$VJa ztj_;Tzm_hWUijyR^t#*6_r3co>i_!B|Nq7D^`Gkh{ukR`apZUZoG0;LIgiVWR)-rs z{~huCy2W0Z$Ln<d$KQ?ATbFe0@9J4{I@80AUpwYhZHbAEeRb~bzfw!T-tRjOpJjjY zt4gLeV9x`Q^%VyXnx@olpZ-xXuMo5n`;W8HzJsdwlK&qLvVSDd|D)q>_Njly-wJ%| z46oS;uc@3?v18+x<%f>?9$}wz*W=DZ(epQw|26Sj?4348e{uf1tw&}5elIAij<i2^ zSo#R3UQ}Uc|9au@ir-Zq53VW?tKIghJM?~x`?=+>*(0u>-!M=Ac-21HcRy`RE$W+V z?y<!@QvMdb()#+;zsheO35HiJTA!!%`0Ccw?^QwZGu}VEE1kMuV!Oq`le3q^J(3B( zQMvib-q)vpMSuFdv7G(W;lE3dYM#?~uCto=d+D*N|0NN>?<T+f-c<Tm^!2ZUyiaPZ z?2lO4AGg|nz-s?NyX(7;%i29smizck_mcegwoU)}sx4|(U&*$)9RA+TC~oiOH+Lgm zael6~dCX#+Kly9$p5vnL3VJthKV9)(ZBv~?owUsZk$k@ie~j)tzP0N8nyUP(?5p=Z zS~=<K+>mp@wsA5^ckK`Ds!zPSJ*>8WdrW@!-sYJ99n3Zl8LQ1z<=;I1C2LgQF8=G) zeV=2irBDAmyL4arKeprVr+f{X^N?r#j$`+B-;kI8@JwvezI*o>ul=?@{Hu0)^51>8 zBflP7#UJ)J<Lcb#Q~$t=AUXKwm>)Y@fBI`qVXOC^%I#O8WgarieS8+S>AzQe)aiq3 z*ILDu*zT$Be`Eeo?7YQK`IWzCu9^Orx6Ep#{Dx;2UsmknPhaylYQwkeV(HEE8Lw5P zT`gX{Z}Y3(Q27n#EBkjGK9_q_es{(1xSjhru3H>C_`m3H)bm}7ZlA0&eW&BTeR|cI zKN9{G-Qh8hp4D!O@8<u}aQVBp?Y`zU>nl|v?B!Lj*=Vi#YZvkL+^X|owHsf}w!Y+l zFP&-4>+~HD_E?tv_dmD)u=Je=a{LuX++*q*Uhkj$=6&(HgGG~~OZO!I6Kt2C@^y~& z=^re+D~<`4Red{NU2{bE{A|JS@+t4@zw2$P`)Rnb{cnGFvP^x%oCloKe;l#d`0aPY zUH(&l__kMUd!~Qw&)L|gHKOlVGv3=1c<pq-@vqM;ehXAS(#_lOJ#i(!#S!<KBPZ{s z{GYZ@R(E;%_E!~Y|2kgxpQw9hoAO7l+Tx$a&xbtf6-SlxPUIiDICqKsJ=@g3Z@QD@ z*IOK%ecs#lsO`E9&mxo0Za(~Zd1_t%>+>Dr+5fW6@^e|<Pn_^k(a&D<+{W*QFWnbD zE^KDsbNuM>)IWmPD~=pgUo!7r_urSdGc0#+4?S-9S=}b_y`53rQM)|0oB9XY=REo{ zIcWZsC+3e?e}A9)b^3PCdb5h}Wrbb4&AW}~>~)PvK3m*wdR~ryagTh#$I_2kXUpHI zKfm}>@m{U#F?WkUt9Be<y}tYCyX8-QeXnSazVlenzv9r<<*9XL^_Kqb_tj4SWL{&H zH^ct%3*$}m_D}t&dG7)1@*973em@pFA9eoeFY&dH&oZa}ef)cg+=I8jk4olQ74|Lu z-c@cj|9SM{&p#_`5B%Jn`bW_Ey`VLJQvI{t5ubYsKKMz>KW5A?JpXl?#s4{979Ul$ zOZfjeXnsLUy3M0M2aER2+jn+acY8$X9)tRWyBELNUb&(tzp!Dl{sj4Rg?)4Ny=o8E z>ivx<{WJII!NV!FwRLR~^XFS$d!F9-_2<omzcueRJ}W%(>yOMvJNvusr~g^qKWt@x z?AITk4R-e4Z;JPdzWcx^U)a7qe$u})7470Ob&;=JHJ?krxSdq_n`3pK@U!(5#||o| z?)fYlX%E`%#3yd?dsR<-p=aH>#VNM`^(LiveElhzSX(C+F@Jvan)Byvuh~ScsqBfE zKVSIT^X1<&Ec?%W-+0b{gPncwn)Bzqju-DW{kBi-`u&Ft-zyGq&->W*I`CP({NbN3 z9%t>WSrdA^`k8hA<f=cVpAJj*edywTQ``LaBct`yHR6-zclTQy`t_%0qn-Wsi23tX zuRTxiHtlD=W}~*|{CUaOpD)+j{C)7dthuzV>+8>%iM4gtDjrmo@A$Fo%i`mravz>W z2gMim%vC>8sTT8S;yx??DZ6%UdSm1HO8ax{oto%x-5(f_Tm0qw`H*S)jlb)z+*LpQ z%lK#Ij(w|Z?2^}<KhN^|^X4PJ{;b(xXU}gn-^Ob0`On42e*KBrU}tacKk@U+zXAzo zjSv6&b7rHReR;*<uVy=rGs}GxoId%>@yb<i?k9-5-=AF7s{S@x7hE)F2Kmo?|B&_g zjlcWW%zq#@eaCUpbM*?3_bxyE>*rn-dwJ{cV(PChpZIS#`H}6qf<jHn_~h@G9`Q|o zb3OTg`=<X*&U+py^UYIye)`A#$4+}5$-Mr<^!g85wfyAI?>{oiul>2$^nUHKH}(ei z4j(<9x>w@$KhA4^y<-gb9h&Wb;!m^b{>{(W|EvB!KWp{VH<4*~Yqz~TSF~^Pu4l0c zXLldG_1^Yco&3gc#Yb-Wf4AK9uk-IA^>5tS_Cjm^YLwc14{rThXO+<Yd;Q@m`(Nj$ z{#8C_`oQ+Szq`zR-*^7cD~;mr^T)1v-!6FV`(cUKzaNUd{_flP_4l%)zv?Ou{Hn8v z{G6V>?|+Tu{C)Cy8}`XBt9bT#(!*cwpMI|TDEGeLPx8v|7RQ;(>Xg3zVBPe8-ag)G zlf|P--x&Yzuzs`0<Cy%$?(Li3h(DA%Zt-K~m41uev!9qh65ZXqX8rqiIf#vkO<(_R zI5I1})$}~`weN@dUjKfWb2fg>&)MRqe}4IEaNt+njE6H`pZ(ZUUU8H+uTJf+WI=ns z#qXV0CQm={Pq)@O$}c|a>c?q6mA};~zTT-n`6J`E4-LsS4_U(ZPx-0*?VVnw-;aj9 z(@+2URreyf*4_u?iHQ6B+G{GWMSOm<VW0fBi2MAI@+?mA`Q`721z!J7?2}%%{lLZb zPku?yd1M>+L9lxNviKhjm%~r}WB+9qb?#f3EdQDL1shNNy0<!|rnPp@&)zG-G7m-i zD-JRLJiB8@`;*uEqpn^5ewg>#_roHue<!wm{k!4X&pq<*O6=aZ+g|&AnDO=RhgoOK z<CLFY{H=K8SDi#+t-a2NLtpbgzT19jzHMG%_vHH%<@G+a+`aE@TfKJ9^2Pa+pZ+Ng zlg*!D|5)z#`sp8)?>+d$zbU?}+~V*<`K5M`yZ(sn*}445&dTOB>(8HOc>Nikyd&)A z^Io%ITXX)r^0nv7FQ58nb^oZ9JuGipJgoAzc`RmKKdDaUgPi}4<HqO0CFk!CJa_%_ z_M1f?)mHa;KhwYQfAgB{m79C`3mf_^4jZ2<{3||l|AUS{VK$E*=x*9)XYah`{CSzz zpEn=)^(SY;vyI1R9T$B4x%kMhKPC6Ie!s5afBi?|^=IRQzy9caocDTd!JqI?hb7;A z=t_U{zqjropLqV{&%9r9H}0w39eUjT+4_p#<&pLlCw@q^Tl{FhQY`bB$-m+#_fO0B z6TX(U*4Tk8^LYK|y)f&$dpeInrAzgi$}JI}B{tgGtFJll|9)<f+}!ucbLS`2)-8*e zKVLL%=RGO&|5N^z{Aj42W3~LpbynSq?(jErU0&TTFSPr$BTDc8?NyH(exBRC)b4?R zzr~@eo~iqp%qzMVPxic9*}vxcr1{;#b$0z5?Ce2>i|)1O>78GH-hB1vy5~K1P|<Se z*Pl5X?d<>lUnqa(e!^Mfqrd)~*<fd%J*Q}|^_~ZEyVa-H@$5LNEw|zF$;z(a6F-Fd zzg(?cf92}b)aUg};|%W~ogI9tO0ch>$9>OHZoQB1LX7U$&R_HX^K(tPcLhCP&-)9l zv41};qW<364gdC3AJF|>YEhW_U-Egy!E-B@?yKBCNB_}zWhR?PJg@)Ay#6B_CvpG5 zU4{C6h7q6puhl&NpYng&M?F6~&Ckkfejktcem`sO$EDZoRX<0sE&j{C_Vw3#hi~&w z<mOxcT@YDbb(#J2&GsehDxXJuzL)s6ym{+==4*9w8^2xtxnWIx(*6&fe-Byy?bzsS zzb)(EpCw1C?6*d|KMq<+^}FMZe9<~N`JMCG_w4Pj*}o>@da<3>=hD3bum8#>+WI%v ze!BID@BK%??G@EhJNLWKJ^$6;N*+`QzNnFZ{m12XkN?(xnLGDut=(L|w)ETkg0ny5 z*ym6D*=<_C^%=YT^v_3o_&>H3uX(P&VP5?aUdxpE6TV(~m#}MdOwFY=)$@h2@7Z13 zBm5?Ne?hzU-2Uvj{`FQh5cl5d7tOYB@<09aOC8t7d;XT!es4TbWzSdfY}e`YC#t^I zKB-$*P!K<F{*H=uI}X45e8kvqo@(5O9`pHAzpf7Vv^_98yxTaY*#4=7#o9aftz{mw z`Bxm!{(f4}eE!tm=YP(5v;K4Jn$OBL2{k>J&!7CW)^z`tXWMrq{9)WZfBMhKruEyN z{hmMlbFRexgSLJf=h+{w`X|-jbN}Hdjo;s|{W&;m|0CJQa~IE1|I>7af8#s(#Jl?s za6aB%ajZJKF8i$f#?P+5TEE};-86H4f9Zdz<yG-@fyY)`pFTSM%&z~bmz$q{(oVDe zvFhc;qOYbaUq4@Y>{sQh4fodDUi)2ou*!a2#QWn3Q@(z!<KB2L-}2h;l?STqO(Wh< zx4riJX~)+(iH-O8-(63wKYRP|tnwby^DNo(RIj~n=6e18Ami)rp6y?MryToLyCvbT zo!5TF=cixH{_R^>$@z2N#|`zKg*~^qJB8=OM8rHgeDsK@pT3^m1E%sDPv85Wso1yb z&VBB6>(%bnc%R$;saEX4vyxALr$1yqS6EfGPrk5G`prDYSIqBz+Q=6EQ@wfr=e9Td zkL&gA*ZInkAEAHDHtwU#{nhVJRAqhNy)66m!<1|4r+2@f`Sr!`q_f7yW<3`JxzZ+j zO{Lt1XO@X)i;vA({-60~{O3EMocB-iB!BsiWA1wnNBbZ9zT+4(-#L}9qR*c^{u6NS zagFOIuEHK=n}<%X*KEIP#aE~Dy7HF#>5KhmtI{q1cSe3syS(@5qvb)@ZMH{zzLR`* z^U+z)J50}WX3x8KKIQMDN1rOcZhSTqWX-Jf*2Tu&|Fdl#@#*h4zI*eDAD}hhCnJ~2 z{fRmEyk_d34|2~d4zaG=r}kKS&gOe^*6EYKZjL|k%dmE)j`)6)*PEZ-U4N$PPxj^R zr)d*+J==MF)^ow^dCJ#p?%qF9bN2G#S?RFou+d&qDOccDZ?)%<WOcf_ea(T{_fP#~ zlX>t+=8N?Elj_GmYE-^0XqDdcbJrF2wVUq+zTUSc{=eV1`<Kk$e_tkj`k(xns{G2| z?wj}hocxk8d!GB6N~_g9*KK0gRJuic?kTJ){ArW7@mXXN*td+?^Mv(Yp6~fv?6v=o z{pp`hdk(tKc_f*>!%qC~F~gs4KD1Qt`N{V4q1^h4^Ht~KpV)ldnfjOS{mbk>;VW0O zpFVm2%&(-%|K*$K{X6`ntNCe&&;k3U_Z|xT-aomjB|7H8E2byy=Hepn*H&d;b+7r+ zyT<<EkL^k4E${9Z<Eq#HR@g6WbMd}N`28vW!mZ@4Tl}AP#X9DZ-0%C-enHw$eoD`0 z7k0dUf8vkK`@+V@@4fC?uRLQv$vJ(e#mva>{&`g!V&)xM!@k_aHfo)J>NV%^JAWGY z6n=0oY&2J&VE*o7+~16q+b!yQDvng`_lbBf{{G6Q{r@Uk`@f#o7TWW3@*MS}>@g4L z{JVBz<_YQJJB|y-edr3mQ{(@Pefh-CvIQNN-%mEzD`>nc@3Hrw^q%9ketz1ocYjIU zxW|5Oq`!auEz9PY%}@XIq}~1O^L<*kvFF~nrT3%X{Eyso{Av7A-Ln5`a)s4=JO6R2 z@4I2WdCmIo&HZ2NJT`n6kJLX}9h3O{ebD-keB~93_S>$j)A+5w<GA*@dX=vabc*%~ zwcq%^@k+7GBPRL6=JY+szxt>A6MX-%<8kDxtC6YyXY6B5H@1yhcRugh;^G<ebj|lg z`k%|J+PcSn`jzhb3FdJhJEqrr)gGGq_}^>p1J>Kml+RbX&u*7j*z~o|W8*!3(QEJ9 zrCxt`Zht)aM4heOBi83%%8#D&Kl$4x#-8tEOYxpZOy>5JfAZQru=T6=ySL+ja#_7% z>GwUG{<Br@I4-OAGW%b?QQX_P=S%V{cN@N*{du-s)VhCn*R+cp*gpEU<kEXicXj3W z+@~teo<2S+yy@}pQ-3Es;tY=f+4}dw!w*lM^j7bA@Ju8qzOcZnut3rBzDvDx%-^6- zCkp$y=lt*5Q`q_a#(&ew$KcT#?$e;rn!P9fNW3p>dVJrb_8|MOdoeE8g4G*eUM;E) z+&nM#%$DlH%X6PTV%hvI*6Gb0uV1ep%lZ`@`x(6F5!d_~=5~hptM5gBWxcLfnf(9C zlUh&9`+V&c#ZoJu-}t}w%k~4Zc^|sI@AxTO`IuR*@K^Q9-TxJTi{Ci>PJW5pV_v_4 zes7ycT+{2P{B+cKef~+&mYCR6Yucxq*+$-rJ+|if|IDh5d-ku1^w(3rHeWn=jlF(Y zM19<vjsN!CNc>y5@%XRLkD9(dKPtLg{ls_Ag35D;=WlO|sE<2Sc=&ugYu`C#`+if4 zqt$yJD3_hre(ZiH$$ZjZ=}#XEzNmjXrs}t0zt`R)wy#4i{+r*_-_^8c|HEC?r%#HW z{gqHzt-5*N&P^{DKmDW?bRAr3Y<PAPTs%H+GChAbG`04u@qt<CZC{`Nm&u-IedpqQ zw)E$d>v$`=`)}O#xVv-K8~Frrev3nbKaW%#iGK5c=AVN0Xq%1q7{i}W|Jxn$&Hv@; zq@8<qMaJvCU!QpGa`vpUb*a0K&Uy|iGOpRAuc`cXecD%(^Ssw=g4a~0MSNbf@!8J9 zv(o$Be;Ggcbbo2Q_PxaCRz>qZFa_}!HYwXYX6k>k`RLPlmHoEw3c5G%_o_W|jx}{Z z=ljCqSWAD``(~XluN4*8Zm!!B6MKJ6ySahwqp8ntw!FT4>hsy%3w!4K>whb(WGigy zHa_)r>5Z>PMD0HQ?D4O$%l=!kDX!o4Tl9x-JwfpsA9prOpZw|dOFHR){-*jq<Cq7c z<~M%t?z#V%+3pcz|BfH+J>~g6_8Z&H|4*svQJ(WaQ2&R+{n@imRJ~F$-5ZscpLK2X z?3rcxdAl~p+&Q$Sdb`N<&N=sw|NDA`^WA!Fzru4ry!K9gp4mH>y~}#dcjx}EbrByt z_G#;#bGf&D(&wY;V(mXViuYW)&t!hi^M7drTYp9W>B$~{JIX7LJoH@p?q1Y4{|)Ww zGRe<VjO-3p$2{T-x3J#X!*3K>uQq-0(^qC`wtLpTJo)KeS(@#>y)Tb``p1`MyL0i4 zt9uH&wQc5xep>PITh1fC_ueP>AOEO)g!|g>#G_UAEaj8_-rhXHyzhqoaqZutJM2Jb z8+q1$zhTc>`Ix7_;%J`U@9O1KKFZlWkl?pCcywh-O{aFu!(Q#L%zXvz?sM)(e!G7` z{JY*V>(fVL&+e)>2emWarP=Oie|fQ})PLpc_vhDa{P*t+U%9?Y{+zuXdp?S-zmdH} zPGr-*f8ReSUi)8XbzJ-WX(n^=NzY$47+>>!uljmr_?qYC?{rIT>pPgw2|r{MuQ(JP zvp3@0{^M2u#9se&uX(UX*iT>QdH?&vUte45=D*i2wXN^``bRg<;yk0f{>0C|7DtWa zHq_gHtDoC*Kf$)Xi~HQ{#P3Q)|M=9`NZ*gY7JNS9{Qd;n|IZkW?$v63XU;2ZtDf`t zPpVN}`_})w*FN)C9Iou&ar|M}xA&Ie>6Y~iu6+Hcx8dG>-fQ>6w;%uY`&C~={r{UC zU%$`a$v^4q*FSRCJ|C}mvWHWT`DJ~#@-<$0o!2Yf*UaC~`TF_!>W&`&uiwiP|JKHF zmKDD&?~0B|w5{*``bYL&;XS!_@u{El<+OgwTZCs@*3P){_4CZczctq)>hE9VdtN^6 z^S2#Gn6KTRzd6Zv|L206{^#W%wS0D~IL6Btkse$WXOr;vpGDH&e+6=ikNyAD8vHnN zpS}6(_wFMR_4`kR9sm4OcjLVMN6#Hya-V(A@q5at|81XV=^XE$w5x8vO2qznuQmJq z7aaQan}rY5-M;ux^nAo`aIjq5RDZmZc}{H1y5-00KJPhxqN23@>+ki)fBoH_@b~vM z?Q8q<Wo^Q)Nx##3&cE^B-@7uee{!#Ro_}RddH-JFCx6a8PWdbQ``Wa_UawAWefrn> zkg?u|e?NbUy`De+ed(XaPygtyo39|ZabLf0#Qo!Yj-USb)AGsRQ^&deK0NTYJ$lpf zsAyc}{*{HrS(WmyK6F&a%yWCieea=Y`|_z(A6R}hJKH>#v0gs;BkR4#Y~>aGn=haK z@uYLpKJR$3)4$bce*JQC+1%wb>>|$1&$_nvR!RQO3aQe0?a^z_pSHcWfBv1MzrRl^ zU)%rQD)H~{b@wi(*6y8OyXJdw6Z5<KBK$uZlJ7p3+%&K1&@Y?$8|}{jO+Quf_xEh+ zHTCtzM}K{1G+t9Lzu{QbKiO-azgIlk!@N#UasKfK`&0h+70c?RpP%&WUDT(_GleIf z7q%bI_S$<;^)b7QO{`tLyG_FLo16C4$;Gajf1IQJ`K0sVJ=J@Tp53`L?$HCAM`F|O z+-H9?-|^jd&h(07+TY5H?B4en)iv{59A!RN@bKghsql(+^Ylqo{mM2E1oP)B|8D<s zwtn5qgGE1WHp|)E|B|t@t}(JdE~9GOp6ZEL7KeM!<CXi^@U_k+X|McTw`ae_C;rrX zSI{E;?!1Ki^eMkfyMtpMv2M@$ReoT%vG;!U?0;(>&wRf>;@owky5`B7Py7*i{ZI1R z-|RWYb?tLEpZaremVLzM@HfWyDm&*aKdgA|ukxDT+jlH@f6zO1U)7eF{b$y=>zmsq zo~=GMYx{pL$^94J^Iof49`So-;@k9I|2N`x8{;E>8z#IxzN++{{PU?ra(m}Ht@)nZ z^0h9f#OC_cH#_CtJr{W&r~Ey;wREr4>#Dh-@7Mln`uZ<^<2>_<sc+u@YrpdzIwNB< z<s+|M(f&6dzvUGDmxYXnZG97OeDCnVo2hj@rT<x8|5NRgjDN@}zvky$)B0oDkHzoI zasGCHkK4KBzu6<cpa0PK(bBJW=Kjsk%&+}n6z{Q5`aZ|#e&yPo`@2j3bL30NzpmI5 zIypY`Z2rd+KbZB-tN!-C_UGoT`Fs7&ZGX5=O85GD^Vb)pea=steQk|;zRBLGy!$EF zE}M5x4?q1}{qV2KRU7ZEw_fu-yZ>vQ%!YgY+Sh)ct?YiY{CjlA*Sf9^_xxF}{Z8%v zT6Z&fuYA;+?}s}~-*bL{@_cXTxy6;gB0l?UsFPn3v7cY7=FxXvyN|z*t@<8T``PTK z{Q`gGyq|Wn3qD1iJYK!K=lb88HNREEY`4Ce8oGXMRr}Yf>NVGQKbZ7>bLjE-uJ2cy z=jb0%w0k6Te#e2YOH==KfOdlNMf%%Dp1ZI4)%G*DOnlq>=s6E<<30*j|3CTfM?-h{ z$zPU#?<YMkope0z^`F|^VXtl8yRG><XT!J4zw;yO6YS;1r%(N?vg45Ey%@h&qW3=j z4SxLL&ZpWdk)Nxx|1}981C1!}ep<7uzN7TNjP>)0f4TM??=`K@KWqP}@1yd$bK0+W zzBl~-eZ#x>hY`R3*&D^xZkSV^cDH){OTO%Tw%7KEulXCd@tgIJ_(=cXl1XpV`(P<& z<MCVl%GZ8pUitWI-#@#X_Rs6SY;dmaE}v8VxlZzZu|4~a!;;tD&z5=p{p`6_=3%uP zUb(M+_h<f_^{;n>w*JKB_k8`l`KaLhcA@?qN4f1D+<p4=voUD)r*p}?T8DGnFKs_r z^v`j1U-7f^H+D9ydH(&2bbdj5aLp0PdvSr!%6A;I<y)unmGyYVQDHv2Bb9|6v$aqE zk;|52zGf4)=6`MP=fp_=|G#Gmyne2J=+{rp4gbEIM!%VN;JfCv`RP$>?9cc7I8doR zC(iZU`&yTC!3pxa!>3j?nOhvST~~1OyMOQB`p3UT(svx!j{9(;N?UKe+HZL~t>@V< zlD`;U-uv{&<FwjscWMr;QEz_9nmteZnoYC+sfx44M`oq>nVx6Lp2vI5=KBp>$4@p% zwfki@?u*|wf70LnFUJqxj`$_|SW4Yu$F3{hGLO0Ze>D94JW>ALho0~~57Lw$o6n#2 zdF>kU2hXH7{cmCa6;<PQY_<65+vjJM{x4p}e){S2v%j8cT(e;YH_*;N8feX?=b_!g z_z8c%{=IVaSDn<u)_+GT%6(s64}1PN=y|;NE8TM+8Lh7`yT3zuzGV9I>3ja@pZY1a z=itTt-nK{WUZ?Ijd~bTnKd<}Jr)TTW{<`<+6YaFxt#|6Ku2~*0oIOwSnoaha%6A){ z-Aq1Pd|=k|E>r*XDSu7Q3%vde8i&;1P%iuFF#De4%z1@{v6A+Wxcn<7d))oKYmU0I zJZKW?BI^nM{vDUBUSEwm{?R<;Z-X7rw9EOkzAk?HDLT#e$2QR5hwRGN?~T`P{AYKW zFMFQtH5+l6_>g_};WiH+?B2BRnPk#g<Kv|YpSfiW_8)xsb;<wgnj`Kuj|Hz^pZ@Wk z%J26Z+aF7N*dEjVsj_3|f+yjR1jBdinQ(>QB6%<0`ikGSH|-beuYUUKciP>bjW4ei zeRJOY?%#<ojM?*K!3|%%h|hQaL|nU!8LrFCr~H%u*fe+kiGS~UPw;QIIAq&bSeGoh z|0wUzDHgjnJh}QvNPa`T;7b3f^u3X<%_n~SzIo32W%&pFedTr9?TueAe){Wqn(eRF zmz>%2jIY`JjXza!wz%2!ya<+1-TvkH~cdoPU#9a+d8^MEtIur&BrukxqNj~(uB z*q^jpzxf+=?(&9t{flRx{N;Y<;`N+~A77m;`X{~l-M?!k={tYSjr?Axf6b<LO{H4I zXP=GFA`{PUJ~k^IG!2(MkNcWU_?k+#`U#)kzVPojJllJJ(VPcN=XV@Dr+b3`{YSz6 zC&rIHEt)j{xBIVF+c~j5uQpzb)W7&kH`V^Rzft|N_gho*O<!A|zBvEvubiDfyCeJm zYeDAQBR;R$@T~Fx*d9r+J?8b3|C*j>$et%@cVWKF^6iuA-WD`UzquFniuv9H<#!uD zm*3p4A}{`1eEJ@xIS(b)S9H5y(=MEO^4~-Il-l{P-{~CxZ}NKY(?gbj_s8j!?5h{L z-2C*d=hAhR(;`0SYy{c$yv6jqWcIv&CsS&X1GHv|z4e~Gy<b*e|5<V2=k}?;x{}|_ zi8*KdP~f-v1o?Lbjp2Kao>fix6Zd+}^{l^To9gShZt5@8-~9Bg=Gk9KJ1wV1`o~;e z{q*n3rRyrUMSPao2pXZfY~6e2I%c4X|GND6?%SpD+<70nCR=;hTCAO;e_XNboyYz{ zcRSW|dYYA{I}TRM{B6I|e<OXbV0lHk)y@4&^8dZ`eXpB;F5w#c>8Fxss~*g>OFCP8 zWY+R(HOcjrRS}<iz(Z7<VRI@r)@v%y-PkSs^C9!SIg#hgA25jT>^Wc1TD)iH<}2kE z%JbRQR~!iRsH-?~E;8kR$JZE}M{?W0m|AN8J>q`)<;^p{vUb|;jy!)Z@z%$qcV|C+ zZZDpmFX#@oX6Mma>7AzM#j@wIuc`El_`kO?@_2phx9{gQpL4%g`g{L@vfsxZ<Cy!Q z$F5iOms{+ceWiNOr1K54y*=x+<u(@US^8IIu57nR-pjGR;-GS^{(0TcSI<@YgimY! zJnQSlqQCiRwl?!4KKE>R7MXCixZ4yoT^YTmvM=KEoDI)j=BCu2y?p%Fdv_I!y}Q5I zALU-Rp_>2GVcmBH{nc~s2Orblp*UaEefq>bO`lKy^pZ*XZ@+Y2_S~;rYsEMHdv-r{ z-|5or(++db_}cvRO;g(4y%S!ZE2>LenLUs7nvL|D%6A)|RUQG2{`r4b+O+T4%S6!7 zWBQ`UKWnB{c-L#ax$FI_^Z?_#4-M%y4_U*%FT3A3M_*|^=uFaosVDi%E83;6Wfzpl zN80bzczt>L#iBaH&32LN^z*JQ7WO$mt$g}~UC(YNoGm`^w)M&H_Z_DG&nFqhe=gbh zOcHDnXpD1dpZa;R_b<*fwtt^m=WB7$c;3eU@<n#f>z=fKw>YZ&GiAq~IZwhL^Sv)9 zt6cd#Dt)ix>+jS5t_t|3|6+Ev=4I>C_m-ZmO5eGoC-VFM%O(BbQeOL-&D_(cYQPmu z3%H_*27C49tBOxD9~=MqSkyLrIlWuvdUNfYyruIV@cI?@YsXCT@BQoX=~Y2n^`1wp z{cE;A`qa4T|HDs3``YZ>CceB^RKvR2E;?^r#<j`XGvhWq+nIFMc*mxm>o(47DxXDs z*4Y5|X}{@t<!d(Xvi|elg{J&h{`>L6H^)osC9Yc>JlMQ6?vX@z#Ub`L@r{29+SB(u zVl@w+@^SX+Q$NG5aTk0RxLJRQxmI_b+T*L;Pjhpw{arm<PG|acgV(dOyC9{+HJf5c z1p^9F@KEZxtrq7`{5HMzeIbYb7xiPi+r9R0+i_IYPfqQt*z+F^bK5WH-<f#6XS23v z+`p|)Yk2QHcyTJof8+To$#)ydWoPcc^!?bUXS>pB^DR9=SzzzHNdNWv*KCZz^M-d4 z&u%_G>v@CedC}~7=Xg`>&l(^3^%I;<*Q?mq9H^e#A3gU!2c#<d?6I=ie9GsPCyyVC zs$1;h`uR{WJSu%})N6}<6W7RJXg^p~l?)o?xOZd?`$|y%I(X&l{psP!f4?VKuc`bN z@i_(>q%+s7uWXC>d}brKxw-P-!^Mbttbf|acV|=o+kPwfBeC*$h3b43^YE#Eyg=hr z;gf$crmwmFP&7YZ@pt-{)U7dhFRiiOZge;5Twd<AyJt}Au{&;9>#_c+;Ck%#sekVt z!|JiPlRtFxPAoqXs8hLh#}W27@v*NaUyIDY_Um!#-@k94)R@+C=jH!Ou-wxeDX)9I z+~oDexjz08pXY3R_VT$=9AvnDR(hN1d8zDq5TD9yc((JA?%%?9I?q4OQ~1kO*r06l zfXTn2d$szde3^;<-R?F?|D{0n*j-mhJ*Ez+$KI?ninEP8_de~~-QBa_>4<+fczw_I z=&a@arsr9*=V@L84Tpi}d%;bRgP<nJ`32B=OdU~=1taRQS(D~h>x1htZ)iOhoNE94 z9;6<7Z<kh^Zn<lH<a}N8@5Zk`{yjP?y~*^vQ1(30Yc~9#dF5x8$!CiX&U*fgant^1 zlAy%~?7t>I-ZBkRkJ<S`>#@yK|9XEa+*qG`Qu~l(-^Z@)6)Ag#ru$F-dzk&yFT3k^ zBm3l2u2r8t+I)7`e*sV(<_xXF3ab@=Rz7L~&rN{Z1??w)&3euT_9`fe{_v<TtN6|H zGQ2<A=D~xR;I$O(rz_QDlKxvgX}6AfAevuT94op1y4CBgQUCRC+Uuw8Z`pTd+V*~f z*WFK_O-!?`obvMOr+*iNu7f68pe3%Y+q3L>cHlaD8Mw{{FAC!R`|{(v$V=<N6Cj(H z%GrdSoBmk9+WJ?o^(W1OX&!OBc^~B5uTTCZ_<N1{Bfj@?>c6LdIlJEHy>7l-KBy8C zK2!C<<+3}tU@w`k^4Qd$KYN}b*qUizYhX=->hp@A=@0eOKS9$UJB{oPi=O-VtTO2S z!=4(cJ&zdOudCbJBt6&MRDYyOPp+^(`qwO*sdbMQKiy*XdiB$9nQ6AwyE30kCjI@s zHg?VbeWfj?=Owb|MXy0_6@0Cmweg<+KjWu04?i#cFI{s)b6#QFYw;65q~3qzJFY*a zsz3abWI-|W$^4_rW&ga-1>XyJrFbqv|B!av2ao%w(@)lH{hV`o>eGbuYwoAN7N4p5 z5d$vNHy;2`Tve|@Y8F(_d$S(gJuLX8^s;`{<HFXvZGQ^7t?xXRd#*q2BX{13^rIJh zRn|ZF37!Gizwt`(+RbqbzfM2#Yqt1_n(43eblde!UbCOR*?nf$?HTWMp6gHB1*$7I zADs1E4m{P<7xB3yUg>rAJh^K&$>3I<&c|v0LT*&A{PMbi`OZVe^A(4<*TuQ*kJozM zeM0?!^0^K5!k-Sy-h0^gRZ3=W%r*b`W52$nZke<H&Kmz|ufu12eKB=e`sv+!ub7@^ z%bv%4&89!%b4i@)XH$Ri?0JG<OQ5q!p_k_~^TjLvomJ43Zj=1~YLVUZsqm`HM|Hnc zc}4r?*<O3ETfOFux_`RvYIe&1rhPlto9^BGX79N*<<q*a&o2GXyR3RmC11qnn2pa~ zZZV4g%!4H~Ar;ruFQ==OK^51&s8^i#9*BJ3aY*&&l^gqKKDqjk=X=FbZn?<(qffI_ z{vV$Gq=vV)=U&dP?K1n1t~oz#_V!s{pX4qRUsJg*;xo@Zy~n2K1+wQ^UbEp|Q)vdC z2>je(dR{Pl-aE%{_Lt=O^W(Mub`|yt%k1%crP^26s$O&8-&s(le@r{BpqN{7e`Wie z{M5Y+=If{bRkyit{n1>bxV;hg_MKky`+siLhM0Y~*W}+*#@P+~ulzfEp58SZ<+YdR zN4(CTP?uZS?LB8pP<~+_|BXuJzsF>Mp0U`y^vTomqJ3=n1tr=mm)}U=%Ts=%di|OB zm(|IYpZA06t;+e4@w(gJo4<bfzti+QPxd_1Yc}N(pWkeJW|?rd_~@+VpBZoZ!^895 z>=XR*ALRIV96dTa^-qVk&BL?NOWxH6oSQtczdwA=gBRDHw7bV-&i$IT_VJG8`@bY^ zm{WIRjlAx3d9&9C-F^C}t?u`-t>6BqGOl;-_YO#L4z0L;%s{WWqL;!uVEL0jzB>-7 zxXPdv*Gy;!tQXz^<Aij;vJ76IQ}^9IZL|N(((jj-p%%2po900ZT5uOy7Tk#Av#|B} z-(PX?TzYE#ciAWH(J~L^j$70(@45e|t;S2{ZrG=+!cP7h`{!O!U%UC<ny=+2fBimx z@@LjBv6_2d4i;6IfcjtDX|}%>!TMkNC-L{cHqANyJO5>P2eS-pgnM?~<Bpmrn@57b zx2xOVNqnzeG_UPzjm%#6Yxa-&_NB#71^2*AH^1uxjkaGuyX!me#%C{+&l(?`mEL7~ zo(mjrsNuP6PQH7+lgz*VFWSdB^*;1SzbSdr{{2UX`zOi5O7@fI|BC%RBzNwe#@C3~ zYo=%X-MeZ2=iHh0m%rcI^j&uIzRfbV*FlN?<eKC6g|p{zUbFFDQyCWV*(Txa=EJj| z_n4k%&7L>={po+R(i=?A^T@yO@4NheDx~7t=MSy8J~Kl)VC9pLJ77QSZrcA@xaNKF z-MLSHxTo#i9`onMn&0+@wh{Nv1ziW(vkh!d@ga~s{{NS6+V^ZH#Gjz_X?JP9?7e^U zzpOrPDp&A<apii8W9QC4u@UCmIDfJ{wC^=H725Y|-c<ju7~1#JKl3YT=g*~){&lHU zTlf6mdIj7mQNCuQzNWIO8&}^eR{w8QL3j9^8jn{8^LN<EBt1X;MY{Y+@Pjvclfs*& z_Z+_%yR`0z-Rs^Rhj-sU^-s0-bA5VMe&y%t&2|xb{}Zk?+s}e_y?(3X>3aR<0Cm0A z6zyYV-|=Gwr0ex_2E6Na@$L!!{u@6xzmeZKuiO33<7xZU>KD%ckzx6FH7Nhq8^6AJ z-`D!TfVu4dTC2W$+I<_INhX7PLqgf}bivJyIUDZz8(;f<^8fNV`hRwOsc-JLIBI;Z z;4i0S{A1gD4=W}>E3I;Hr8UR+&uYV`woBw5^?X$VHR!+Wwdp()Zmn(_8_DOF2CBAB zo~^3j!cx#ed$UX7)t2YW`Y!R9#P7jR+N1A0l>2V+e@jn%fwP@<-#g7p*5#8vPqjEw zr}#DI^_uXEzs8&X9h>@7@A};+KL6Zn{L?mHo>BUJ%QEZJKVL3cS1A_pIcEd%LWs+! zf6ZFn4z8j>X>`w0d(m%p+JCJcFqBsuFrN3JY4!Pw?~PCVRQkmEp~Lvi{q8>n?d3ZX z_p-UqpZ@i-@g}=kN!{o3BIoN|Pd9pfai;J2Y31n?p}j6h7a=lr-?NuVXN?bmS6k?U zGs3nC_j*1H8?XIpa~?>ZzhOUn&iS8AKOfrqee|%C1f8yab;n`rId|P(?Y$P6f8m#S z>i$=6Hq|@czf(NpUgWo$&G#bp&ShTvTRig}yqqo0n+a~XgZl^In6teGZdLtos8^d) z=lSdD-1gT8?aKD+d}YZm=&Fu+IH@M<&HZqc9+&o}{}1;R?Q{G6D}Pz_>5o6p{L0yR zbH>*vf0l`xzIO%<Al6U+I4ixw^t=p~E-cfg{}caJRQwKo8QzmU=fQ*QApOD~ZJUS8 z-`=~|?Ko^4_pxdA@6$idvOcZRe$Bc=GW9<v|Cg;hCiH~sPunhT_WGZz5C62&2iD~4 zX<a)HE*8M`V>vj^EECRt2K8W*HtmN5C->jZkMCYzTCbZ|*f}}hWA9N*yM*VnL3zvg z@f*fT)9VX=HJe-9@`4Q=avIeyec$`^tm>Ix_lk-<H}8we`+s=N@`Vwf&wv-6gO`DW z8yn$kDy^nZ0u3F48ynnjYP<h@V0{0vAv&fe`dI&tL&EoJ++W>W`qW19=Z}h`oPBbj zfsLuxBG+HD>eE+#%?_%!{w<HRkJ8i6zSewtrkrm0^y$Ao)_(e&*1P?^IHZ2u39cX0 z1y25g1nBF(AqjiuOUcxQ|Jr}tz2=CqT%qmBitk*T{)1M}&%2VmXOe%@Y<AB&Rk^~} z)9l`V8;q~L{?N5Oe9B+rUz?)VtxLH!S9^AzZhE-k>vy5P@+kB2S5s^u^YY-Bvj(>~ zWD0)OzWgt!f1@+C{yRIY-uk}~T5s99K<cgf70`N1{^YOUt51XKt(e20ddqjSUDUeu zsn-@~&z`pd)D^kBzpv-IjX8KsZzgz5?>S_clzmO*x(e_5Ij>Ju?veN2?<DhpgMY^X z;d_r>seh4ne{%VeVE>JJiJuSosypW_PqdYH-@K;&h-~fIb?T3=PJa65SK8gZjW5p? z6*_Nzch_SLxG}ro+03M~n-9%O?=?LSu>{(%%F}s%`{I0ocKfMyPc06z&MR!5JAb15 zy~oOOC)1BSJ*;Z~UF{2ZwT1Rlx$L=Lh1UL$`BoeRskX|4LDkmXGoWf~_Ux}e#FD_( zV<)(JWM5NR2JTgYqOI8bX8dQK4bNsiWd3`y@E7;X>wMxn4!+Z0YWING?vb4J_DSFl z*MAO_4%hxke-B5WhIF`$H{Xla%S*oY_x3C~o&0?D(zBqUv~JMyWKeZ-F5>f-eErwi z^Q6~QE`ub{$I5?iK76}=X}s;aj}6VPueAF%7PDKPZ*hKOKj}|FJNKSPx_NS{k3mB; zVXya3{QKGZR2^sSOx^tVDc8hL`{rGnY&|<p_x*dNQt%Qi=z_CYP~n8$4A^sFzTEpd zZAiWK$M4m_yH9E?`8Iy8hIF>RWt?1nkoVr>7luLhN%OzD-FbNTZtDL@`+j~m*t>nt z&gGHvI@4Pt`QN4fg0^S(rtWzL9ooX^zrN>x(#~H|EN^+gfjQ<+;IZ@_hb-^?@qA@H zXQF>|xXptX8=thhzqu3stv}-YQRR1q-tm)vU-W;w`{|c|puvB;18dTwFP~#R`i}kS zb6@f87D4eIuMDq&R@Uike0DSG>}OE#_4WJUc^m)PrT%v;+E+03U;YQd<rT*y*ZtRd zoW19`wakClSGI8{(+`||tg>IU|3>A8Im_1|S6nq6XTq)dK^2!AxZ*l|wko2_^gKuQ zyw+JDn^4#ADT7B0XQek?-tYB4c+Uf_-Sx`$cOLP5-*Nos{nS5A(w`y=zf_#mu2?c> z{Sn1)^A(^Km;9!G&jP=IyIcKcpzc=knO(mlF3)}Xr|`;88&Jh{MSRkEq#l=cWc=qZ z;CdR=itF6|W%;3d_Dkd0WghVHgHIlMI_Fco_?F5&@G(PSQ1<~cW+)VCU&{m@GyLZQ z8Z(@qa&58t?0LHH=P8x`tuc%EEOX^a<&jy-KZnd*U%3lBJc`sHxOZ_rGoQZ}wAZzN z+Gk63W&1tHHRVpKAAUMn1=8zM_lESk?03EixApy|zvaBY`QFWMY!8EaUFow*|L^zt z9`PA88nf!;<;rPiz(aX%5ul;Gokzi4OWkWW?llYSh5h^$|9+Zx_G^Ot?&VWp^Ix8J zrr+i(RhC*DwvGGP<bEx>uu|*h{|%Ern|?8@>U(*w=uZr2z;IsrwaL$CLkA2i8hfsP zzm22Y#hnTsFuXRk?&ywVoP2(|UxkkEI3#SB@PFl#c59o*qWU{lrv7>6TC{KKSEf62 z+`i5K;1J&pnfnr-UHV@YH239w_Sdu2Byd@~?Ahm;kDna+WOFz1EU2&C03Ms1JN@*( zS<Aak&qGEGFGEKR{nQ~NhL@ouhRZ$U{%Jn}j~Kp$jTnCSf{YlZ<zM?gZF2a`uUCtH zXKkLh&ka1$w&v;+@JcB75bX6$_c@E~TflJ_ned(ml+pUx?>u_cV^nuUbl!(!lXrc$ zvh;Vevx_TiTAV&XUaoLy>9y5c`yO9E{fn_yLT7ot!E5)^soB?>&1cE!hUZVJ`jhxF zzgPWE;@^F5H$L0>qUP^+`_g^?e$UUJ_SN*f!0YG6;je$2Ui-cB;KP}SQN#3UAKyi% zfJO~##Gsw8^Hcu(lW&+Wt>=og$Fuy(pRCYt9~rm=MVq!<XqWpXll3Oqk$F)cYY(eT z^_yhIWX7caOKoyTgqF6-RlQi)ZubSG>Vk9q_0#|2(%0VkbNJVq+U;*%*BO1T_B%bl zK=b|o|M`2qyYBz`pY`1P<h;VFo89%R?>&@!KR@I1<>NR1pYQ8d*L{6&^7K5J<!?8= zvrl~~mLK<L?c)kryN8_S*SG$XRKIS#eqPq=+`NQ7(Ag!sCtfYTv47)Fh5Z*|9<uRQ zR9n8jE;xVVcaz)q_wTt{d*^|~_loB7@C_fUV<!6dJ^mdP$9t}@`|$6`ze}uM`>u+= zcy0asyyx04qrdbljXwT5UGMec$DblkSKZOAJ#?!0&?)1ZZN1_1wAa{dkEmQ0Q8_K5 zQf|YiH>pqCr#|ob{^fXe-&}Q$wei_94<-054y*4uest;!^S+bI4=T$QRw&rtdH7&w z*}Sf=PBQT~!Tr8v=Qn@+bn@f7pQm^IZ(3@7{3iGGuM5nz&kJauXVE^d8#b@?+2_v@ zS$6L>e0sC-lg;TTmdQ^g_ntUczQ8_v&%Um&+3mt}9&(1?*sb;Rp_Keb4?E>^@AH5D z)L#~Fao{EMntg$DzY1MlpZ2>_f8$T#T76k|{n%^#eUGQ>zMlN})zj0zo{6SCotf}- zX7ba>gr_&N_I<isW0Ux_^4O_j(;NTnD%~O~m+e>znhM+X^>u@BOyYm3F!>K$@`e3- z!*Bhw{Q1M8X2Da@$0GS3dcIer?3H=0eY^gzR<!+-oz}-^hwH!I`}mFN>8c;Mma-rJ zeLXU^@>xWsSw!Wwh{|~;@jo-|_~P?lYo8Yln-@LPCU}jFcg+%e_C5QTeO-NARj!~! zclG&-=DmyOK5w~hQ6up4AzymMvFaGx8^^A%+8np|*Vf$sccpXox9s!j`<@?j?R?*A zbKTb`XHTCedp&>Su1`4|KFMtSWRv(*a?jMCr}#nJPEHkfPfb^xoZdM#z5V=`<;UA! zN9;c*)t^2m=K8CPx8KxQ?>Tnw>kIRDC)5wWbk<qV6~5!xN9VP5M-)L_zxIpY&cBTQ z@oj1L@zv!zubUtLnR~kG%etlg5tV%rmA~F^`*_Ouz^UTqueBNnPZ=LSwfVrQ%~MZq zK76Y9(7~@K`L|bmm9xIzpghOb%<d@by@ywM*VG-*{25YljJZ$y(_!Ur_p*N<U-SI2 z;P)L1*PraHd$joR+uzf^-Yoq5FV*(%flK$;&;C69<~+9@UwmHQ#!q(=p6)yjw&vie z%|}mtUh-3a+h4V_#~-%8sd+6O^*{Va$M%XtntJ&ukG*3aGvB*&<CX2alj#Sp9@g0} z*?;4=!QWr}tJfEvsxqASQL_Jw?f;Im)Awe+JXHAQVrp$kOkGZ7eEpp@Hpy#jjMvzF zkEpx`j<~*v%6S_;@z^E)e*IS<X|KG{p1qx4>$}hIIPlN+B)|EN!?y1}zDqOv*Q5Pu zX5pWL)7rmO{vOPh`M3OP`Krxv%YNOu7GHbn*>9Wj#}$8HrtU4BGjDq7e`D|RzVi87 zcYQju;nSK8pVn;rWRvvNGU@5d`g7O9=P`!OlU`#ZyvC+@&vJWKKD`ZfTP+UB%6(|@ z|IyPu`||tqw|^#m>ip0VEb~wN=R-dEf}>TUeff#6^Y<V4^(D1r&+kW@>WX6OG9&w+ zFI7L@t{)v+85U8=7f~s<@za-mNuQ@K@1L69Gxa%}_W8Zf_TRX-edn{sZ1OMsyRUEG zQa87tN!{k*ht*|v@57$7XYV<x{Bw!L|NPVIkI33R_)@>--s*Ez&9Am^``fkt=0C~W z&-?d%Iav6iH+66EoZ8|@{=MGys|%y{A3s%m;8gLkQ<ryloDH9sjU1}8*8ee)@87Z1 zUVYEr-mls_G|o3Wzd0V}|A8wXwBmWPf9K&_pI#MoRogr^mW#|k_VfF#e{YYzsS&O1 z*`NFJ-p6ORr++<JSo$&5cIS~x>1%A#*VriEKc;=&G;E$VIO??5*krG<=?B~M`+nkY z`Ir6;-|wgYz4bsy-Qri>>gN^B+%<=E`TkG;Q_!w%^VoRZzw^h|>t#Nl9jSllD*ugt zpmEDL*13CX%J%$Umumax-BR}BKbKDXdUfg9Q^to*86Q1W+&=X=qxN|&?emP<=as|e z#U=cH{rU3oUw?W&&it42;UW9l`06_kWYq0bzOub9=-w+2UOmFOynn-<L#J>2)Y^0C zqw?B)M-^W$-EsKf_Zxp^?hEe=pB{TH`}oPHpbSv_Gqtvwb@L~mjh}QjezHk;dNcW{ zWx`X-<fkuVFMbP#1*lBU3I6RnjxpyId{Z{7JHl%B@M?dQEyr6M&7Un5$2j}sUF#~2 zw7yyszN*hWeA8FuUj`QU;wmHUt<J6Aw8lL=wyGp1=IpDt3HRN-_S7!jdHB@k<EK9N zOnu%nHNB)XI=*t<#!q(=?=I(gZ5LYcH~HFsDfy3r{uM`__TR4fFSV_{mwC_5wO7q6 z)aJ8<_iy`CWb=sWdH=?$?%->$KlFUpzHPr$fAix<vrg|SU%OQL_{*)+OaEJWZ;z-f zi>UOAsGPU)Q%vmjYr*pb!{%v%<BmT9oK7o#3t#)MY?u7LYTN$*lb^Ip%RH9L|Dd-K zT74b+lvU6dEn|1>mHO4l{Gh*O+v;j-t>ZoKFUoj%?c*=D)4yKq*z<6c-2IG~!eR4- z!{$Bg&E4}UCh_UcqoAnUeB{*UhN<cOQ=d0Ls;}LhbJch3{hHmYEc2K#{YT699X~XG z^(KGH{LtYpW7qempuKtatxBzH+=Vsl(f>QFf6cmg=GbZV<Ga6ZdKbT;<hjM}pPS_F z99m=J49>ILBPzwfb!1sY<u|Y|=WP7clb8A2`oetExc^(=)z@#yPlrwI9!;!qn`3|P z)8~BG{RIt|)gx*bTfJ6Y^?%LV=XY;C^Z&B9MCMLfq`gJo<J8)snA-Q7_WiWm{K;p- zr!yNr>1_Cvv+>iL4WH(0_+*p(bmy<S+wq9%>u%J3K8wSm-|El3Vvc*L{BGlS<~RO! zc6{?QDvw$mm9}|oD;KGM{O9@G|6a>)`RY7xck!N|3sd)&&-wddlU&|3IhptMM_wTn zw=Gkjw@gj{v3T1)<iK?QRea!g{D!)(JB~2-)m?mLd@tes@i#W=dyYMve1gB+;;`|( z$FEMB?F*axRqE>djNk9;?tJrpar#L`{q5AhZ+86ZOtt-aa%uYU(xlR-Hxoc*VCA7x z#yjSo4WFkNHjguGUi2Cp?lm^vYiz7*mf73d-8uQI^ce5F!cX2|{dxJ%Z$C8s76j@5 zo-DW(6(_o`p!f0eh`$}q*Q5*E`R!AGKmVe;=kTSikFWacgQ~CeX<uKYPq%}VwfVVw zKJjb-RV#?rK+@BjiBBybK9~-!y^72BF}hp)7L}g=i0S=@SK({n)z^Hlx{4$B&forL z`1)tX5!<{M*`K(-2+Pan*B3_4mo+bsxpvuF_wC<Fl~r5+R$e~-H2aJpxKRHdfz%$@ z@a}R;>HI@i&Tao^`uabY{6{f=i=$8H=GJs(*BqF=J7Vu~<DWb`s%AYke=JzNKj-<$ z`FUSW{UY^`8QVRcxbOM?q|d4E!>8-XxbNS#roZpBwf^hZyJvt(_Vl)?&*ikwOKG2H z(>^b#eO@?h-of>^|D7^Ea>{sO{oP;tkEX{Y)VtU1I4JwBu)lnN;^Xr>l;%qwKfhtm zqPcVRk7vu+U4CVKHBvwLuX*m@tNAx-=0DGqSzliqnJ>G1|JF6X_wOxvUh&;JEPS41 z*gVm&d8T3W+}GH+ud(@if9uz&=?&nzg+=?k%=?%Ajm`HrAy;7cm)+l={=EC7`XOb% z!XG-H4$Im-==!Q9^S^KH{<9JD_x1FZ@87>>zRdLc4Qr0q@A&fM#|QVYaF8v`U|aUu zZ~J-b^6^u~$4(VDgZ(L^eI8PM?a%&e^^nEC;?TqSH-7H9uWTRlSXb_(`q9etpHp`n zW}jns`_<l8Ys^>7yIFU1{w+}L_1#-uhP{5<n)1HQ_VL#a?~m98uepAE&t7kHJ)-hh zL}gk;<-3ibS{l^A3SDlmezpGoFVQ<wpC45G_W!^u&bWuV?+P1>KWP^JkU72ju;#mu zJ?AS@_DVjl&-lH)eDmkfFNXhCFRea4yC!nJOnCj?HR|=7zesAIm()K00+b44lArE8 ze9HLnsm+H@Z9aDDbH~)@9aES8FW>fm;y;#(|L)h;^Xe5gEw<lQ)n~rrfcl+BA9jNJ zeh($*7k;&o-oI=2-2Ak?9O3mzzd@b9&DA$5e!i)wKc8x=GfhtBdHw!1>;D~GW23wV z)Zi=!x8B!m_$0Fd)aZEmck$cxHnnSPl53XPOV|7eetlie+~WAjd#`x!Jrt|1ciUg+ zZYS(FKfki|f6DXKAGnU|Z}=tFzGnI(KKB1PpVNQES;qZ6y(!Mx?_Vh><v-oD@2@%5 zitF$0x65JSY4M}@)8&eo$BgC{zqzIDA2H4U@JfHJ+?~_sCQtJ3NT2iIM=NN`ZN<5& zrLQWA^P}w-@i#wyTRZLR;>UmXovtd`ztsKs(Sxs6U;ljFzOdhVjZO6$oAVKs=OQZI zzHk3J^|_SxdD&~Vr#8F`ZoOW<_22a$9sRTV-Ouiy-TPfW;rDfm1GD#U`o(ts$>ayC zwnOSI{Y|iXi+{`C;9o}8dU9LVRP)E}Dx71N68T+zS4sEO^mb4Y_*_!^d}!)x&GQ`E z=S8&7%ZANkUt^=a#)f^**|q=OYmVJJoKydQ{Yidvi(|I;9^Acu<A?3K6Y9r5cI&QZ zd%ol7L+>?pL36*VUHyOU+WP;8&xK#w{^Ftc_P*=?cY-qU|EOz^|Hu7;7Pj_gah2;f ze7ckLbY{}i$fT#02S8OcxM@}W>+#jHc@N&NLGF0neF^J${RsMWSeEbK^>e`+<2oK! z-vBRp{PI6%PfgLD>LZ)p*%!S$SNLs3s%^!V$jY{eO0|f}JaCPo1Flg(9j|0idqdLp z7_{TH9A0y2pWwd^nQ{APbozY$3vs@JUq!3)L(=!UeBHnO@1Eng{&en}-q(Cw_ci<R zkB>pkwXZFywVz!+zxw*^=gbFk&%t%%HsqFoZRh6?zaN8wbo-a|_U-=@{#rfY3BU2* zeKmhYYyXbp_a^85pHovKv+vNSuEH+!ieuF_o8tOD*KhiJeeGKLPj}`%J{vsk>%GEn zS5j?jZe41A{P*(ObvDIoY^2xNM6a>&2RAv|&TsfS^*NXJdEIO0)4QgoclLjI-thf@ z&fiz2a{Ly*#KE1f^jnpF6}^W+tFer29^0;q%Y2-DHFAC6-}v1BcQ->jUw1dvmBrXr zNBYm({N>G#x^-8lrZ<B!?Q>4;^A9S`;xU5s!_0qQegs7AKeywcvERS5uP%Om3+{XE z2aT-ww_Og8gw$T)(XiS}f5YG6Ut;?TUf%k+D*hV#@t@t(zP>o>Ex!@e$NLhW^ccCE z)jrRpeO^raJfHS?PWJCg_IDm#^?qB!+*eSR53RiH!t5VRsnN1|%y@jgqP<Ph`_ygq zXR7Sv3j3vh&Dt{u-1*W5wLOh>Ucc0y{w*%m@BWGS|3{3m^t@V1=c}#xEwb;{x$XPb zf30urujp2v^XOBZ*}fyDb`Q=Tg!a3#bwT~E>fX8P^>eRYw@BS9asEe-`>%QXuRS|` z@AWi28Ta+^*W8akEsTuce-OEgfZXxAm+>1iG?4#3|L^@r#(Eq6GkiMC3tH*8r+PuJ z{o}5hG@1SPK6Twod4Bq%RJ;4?_aW(feZI2a{I%NrHl*UZziG|(zRTP7UjMs2?VZef zx7FI`dBClADRApuIc(m)+qtz*B@>?RJb20&)RnFN75w1A!E5Wq+;<#ip7()se&I)f zUw`?m<9+M|<qEqFn@^B`_kl5dP58qf3%C9IHg}DGyxZ~J<+`uck3Zc#{p$}~Z~s32 z_gi;?dUw!*c5cqTPhU2E>Otwqvj4jLs5BK;YyEyxbMNp8{_7Rp?w>ph|G7asUA|%O zL(}&<e*M4UZ`b$RplZv01E|w=5Zvj?h~&55Qvz+zPF?<85WU*^2+DPLAIL%aT(vvC zYR{;U1FZ>NJMV$$y+?B57V7hb+Vi*Vv6}Np4irPzW*7d8-&X%S&^q2#{&BzVUF&-_ z;F@cG#I?!(dbP(uJv-xHQ+mNYyK->-7zXYy%Rv&SeJ-Ta^*<Nd>5_+cy6!vG?Kmtv z@1taR{??DHH$y6}t#3h#9M9S%KYqIT@!$06Uq2Lk^Y?u|um5_r{*0;VEmPCGratG> zKF=J6)C|zS^$*evNdL9@VEg~Ab#Dusi|72Ae^q+VVcl<Xj*yD$ep+Q~{HD*L6|Iji zzKWLF6xU@fbH=QG+54%FuTG!-^;Y4hsj0PPcWO!_@7J9LSCHOoZ1}-VcRp~1QWd}b z?-WplBB6brhrM6L{>~#Q{v8KzF3$PW!CiA`wR^Pf54Q9Ec8c=~n;vhUEH78M(CYQn zRgce_=l<=m<LTQT_hBu+)YbmJ&)qt&FS<^blNA@={Oh6ax1XVpzDA#w4Vz~hHV@K` zU7Wuet?ugI2(G&-4k_zNOTVw*{WktFL%v-0SKfIi)el~^*4Z!9zvIA9>$UeDP5EkN z^Z4)4-2YSdo$2fL)_FZ|f5~HuzkR89_dmI$8#YfC+!ab+V-tQq6I<O?JLl{Fj`KHu z>)pOzZ}amZ+wmR8rT0`f_1=HnRZ}Fh|1_l2weL2x)781H?(f~QeUtXNPLJAEx@X^) zO?Fm#&8fEg&RkMoW23&t=J5NCA5RsxPJJ#0t|f)Qk!Kq=k2!3f@x9CP?>Cjrd*FF( zy=h-T5Br>l!uK9OI{8KV_>;>I1p6&&_<ug+W1qhHbI2O;M?1ED?ccF@{mZAhpsH*4 zp-u1N3tyfq6w`ZsaOxRwi}oORjH_`fc#I3&vx7FRPR;56x8~z7&1>sr{R-ODV-nx5 zeA1p>b42-Pk463Zr_bYC>mD*JSBKVI%Wu}*3(fuKa^L&-!K>50Hb4IH>h!NCJ9Zu0 zv@dez)bysQ>3vhv8>gltw*#)4=GH%zOnf@?(X@YWK74z5Z9Vh3g4Vz35w!=jYmV4{ zTbKI#`IE<wKh#bO?+M;>(D>ftiC>H6*kAq@AAkEAf91P#AD>yBu6l2=``sqFxcrv~ zKmPj_7Cz51Y#wjeJl(K)(4ahV4SDqShethM#ebdG;r-q=4_0jc)nk5RcOzuL&>l8m zSbG3EV7NK=|6Sf($bg}F6llOuTL1M)-)Zw?ubXd09x%Lf<{6}z1&_e8hRstAn<r~` zdA^+dyyU-DkJ-*!RIa<ac<bE!_UkvQ<v{DOQY`*1cpCbU>$=6i30M0qQuYd#|0rmD zJOA?b56`@>_l0kd099JL)4#q5gH>AjxwtE>^Xi~VEB6hk()z{(t+ZMZm6p7ky-kw- zw!g{OK7Z_}zH{OFlj@i1c2@W5?r*ZQ&f8ZX>0h6a`JVmk;f(rwUkbmK6+;HNY{QU) z^S4Rj-Q^!Z<AwKr?LXwc=cuq>;ZG&$_(xLmAD$fzd;ihLj(MG3($BLMNAB_8uKaZJ zRrZ`saXslZ$CPW&o14Xdt4jfm7cK^kG5pz>TKo5L+EdBor!x~lBa@qto!WfxRPm8h zpIfG;7ewENGy*Dq3%&j?Hovg(@AOIXc?Ip&cOKt8o%2UB{E6}7AB(4je-SR*C$qlr zhat4yntuD&>iJuK+MT~^5vP8->b1q*yPMwKuX}m!<GbZ+)`5m5q2pVSG1&4AnEkEr zi2YGA5Bc~j4yo51JL<5%{?<A1$^I?wa~^$o{G{Ew=78wyP>Z^yYxn<^ytZ6_@#DMa z^+5GjS!92G-pi98A3k5Z4&0z!W78i|S+zd_dB6}7p1rTWED!w~dTqV1--i};nSYDs zp076j`A{nVqlca5Ir~INcdPCaq`UP`>h}5_i{H+_X#U?1)Zf~_1=QaviR7=Zd?}}W z-m%oqK7EbN-uR6_PZjrK_FuPudET;p{nk3x{ii-Z5cs`*b5&D#MR#?~gE=)`GIgg= z#tL8O{{MCzJXZLAPs#g=;_0AKlfS<<&3iM=4%D9gTjRDr@iC->5Qf=#-SW5pi~51z zi@#<!d(V0Bf;a5^M>g>vEzvS{vtVO|=gjK9MwjiI`Bf=q@5OKS4;^d&=1S|&mwjKK z9?4%{{_<eqzv|P!v<}zo@7(|zzq^wNZnYl*4Tyg3n7aJ??``|R?Euh7p~*krYwK<E z3i>9SNAEpoeJAO?E_kWP!!O3u!hf)r?c-Ws@F#M0e&LBK&36SK+MyMfyzc9*kDv5U z2Mv|0pZ@jD|Lm`yScVGU=Gs5qc?{H1Ha>hX`XoPesL%}3;mX=l^&!1%-jo_Ao5w82 z=PTRWJaQ_%rX6~Gw*7X<P~pF$o9asD{C~Ph?r!PJi-m>etG~a0yuSX?-QPc7&#!p4 zzy8YOS8ZYTj~{<MYkbfB*^Bi#f4|;dTc2HX#M<T|&-C{jK8o61-Y+F@m;cw+>a%<L zzWKNR@jhmrQ*-cH{)TV<8@`?Y*!Pk3+rEs?(dl*1&&)44@x%Dthga+0*4(l`bZh@1 z&0607$BvzDe*7nY`qvllr`aVvwM}~Z_Ws>ttM4<0?KhA3>$Bn4%*WinTlL?FhuK@L zsq=~W{<5cZe%SX7pI_R!MSS0Qg!jDY{maLy-*5W8<Dlui$8VTcKfkem=gxyx{@tbX zgum%kPR#e6QP6w%(6{wF&uj0gTz>bxu3uqSddwr`?@t@P$8Y+5-TE_o`oA9UW7YNf z&pXp*?>~0S{@5-1!_vpzf8@M=?$5EA_NRL6AHF)ht^NalncQ3Xq_^`QO#2wU=Wo|p z{W(9E8t*?W`MCN`{ncml=l<MmZ2!yM`hM5P>^qNTul+P$^EtdCrKb1u`kVjU)Bn9V z<NxpEH~t;r&5!@gpZ@hl{4_b)>Hl}FIsWw0<j1e>Py2dy|Lm`yrzHHfc^dJ3>w#VC zb+6UF+3@ahOX>Y{5#Mu5^>5cdvvZC3u6cBqzV({lMF)2Ai(adh*zn0N>FL|Mx4(tY zvkRMNc<pyW^H;kY$$R~a)_nJD{%V)C@t*s^+MNFr_VMg^Tw8YJ<?gqCpWeNGOkCdZ zcZKQ9WSKpu@3~9gd1NbB(6_n#_767s9p`o9>hHe$esZS0<>$k{Z~hcIw*EuQ_K4rh zBYyMmc(!wL^IG-ueXoB<$NtUQ@XIvG_B`k7$|iQ5^U_Ik%@4l%F1Gr<?zzbF?+(%V z{&A9g_mY2xR8;irJQkU3_vrEBGs^euZ@sZUaV^~Tz^eQ?RgbO|FOReMDkgrXop1fU z<nPIc4DB8Zu9wSsZvB`;{$BQHZJEz8tJ`l>>@3?;wc@JuGj|Kin^l_k9?E{Zm;RNR zudvnH=CSfOt=swk>t^pirrKBdg>iLyMf3L`EqmK<Rtf(6U2y<9Jm+Uy{;D@Pf9|i7 zEB*a!|L|MSfA^T{wO!uFGoP32__e^czB9V!z-|7>y~icxHvCUqeVl*$U-w_vtnd9< z9(-K;8UK#sn~x>G-=9<WPw;krFTcgH*C$_z`W5u5*BrU$p8KaG`_2FDZ?8XOST4W$ zqp97*`sVKMpFa0ZJ<h4!|DZmn_U?3n*ZWlbYF}UBULI%l_1wzuet&zu+RfQ`uRSpL z`R&hpvSn)S%&Tu#p7W3~yyB3lo_*ry!~a$Ne+5~`{hc3t-1M{bo}Vf|ABxQX(9`UG zqw3)D8}i$C92EVz)xs_k)|_y^^$XlGyS8$<-`<i}?91<2e?7SJe8lt5a;eWR{=8Q4 zpfdTYeeT~A1&!Y;O1D+@n(sKMzNc!-+wcq1j}?BASl*ZZZ2OL1J#Who>CXG`ZS#}s zA8IOE|DKQBdo=pf>kpFt5r3_czh-}ph=z<t`_(?b!tb}Y=v8yDy;bS^!fpG{Jx`vi ze@uH`d2{ui<I3j>|5d*BZ`Qu^=m&RLzg+(B>0g$X?x|}EJ|6w7{6~-aoBE5_>>snO zpO^9atHq(+`Zs^@{r+RO<~Xli^4IKRufo5mK&L7!zn)nc?zgw>mHTo#tJ41`x7DBf z%wjR;?N76cUg4S}mh%c4PwQXyuX(WZ^R;i2(~tiQUK3}$|G@0^H>z~~3ft3d9zIz8 zrayX5?W(o*4@A=AH-A*s`@pz<U*1=_;}r*#{pP#b-_L*lT$ay1!S2Bfd;idiBg}S> zmF;S-JgfHK{#E+T<0r{s{U2w3&iU|Ay6oTHXOCk(@6Y_oR(*eiegD=S$JEpRJvqZa zKk@l%iT#IOn&<A-&AVZLl=JuWit|61`Sx_zzGkdW|M%d`{K6v@?`J;gy&m!Vc*O7Y zA4h)J>ggr@hLkmrx<0DQ#9w*FeqPCbp8b(q_6MVn<$shEKlkU-%=?M|lfHOA{ur6| z|K`m3rDgj}zvmSphAArFZJKA7pZWhMX!cQmL)E$S!EIkZha}#;F7<k!+{Sm0J4)sM zir@ORE1&UN?d^oS%USsE^vk^7Clc{}>Cs*Mve#;7Z1`lC{B-ZDw>77X5AjwmGkV`F zd+qmwhOc%jH{4TazV`b?$5*?k{U^Tlzr5XYU4Fy&vy$>3UmZ1zn_n(??Rjk1*UIgO zf9<ih_<Cw3zu(^%SN2Z7@h|HB@hJOaR`=On*HrI$TstNC{O%*$<#rrY%qwj7uQ(!n zPCw~$x&GGAU%%uQ&Dncq<zwd0=PPOhqw~KONzZ@4;cs#D-q9QK*MF?}_{9A2r{-z- zU%FE5uSfj7gPI)bH~gI%-Z1q#Te*#Y)8+il&(D686Sw$Lwt72gZnfZx*SF>RYaf4I zJ;%x}(>5mN&bQeGt>-QFwOkEfzwPTbi$m!#N%yyZWWV!3?t8_7-S0PiG(NZSyVPy{ zJu0ss&ibRh=jVjG?Tqn1_8q8-uUNnJtKOfwrmuEg(9XVXy{+!?-O)Gx^&0OleKvp2 z&&kI2HFM|YpZ&b<gJAy0mf)DW<zJT{;kA44WcQkP`|n*l|Kjw)!h(`l703T@Ro~Bj z&1-SQ{Z8V0-fi~;e^=CN&W?XL<xiH)W94hVYmQXS|DB&wTNf{r@b?d*w7j;m+^_b~ z;bfiv#@A{i_ntf#{%Mb3pI+|y`Hy(-JruS}&|eV0<JiI3xqoeISIV^K7rxq&T-ST~ z^o?Kcy~maL_8ouqFFJQG2YbZ<Q$D*h=b7_9uK3u$@fYXn_=C4|YHRI4V}@u2)zs%b zrTa3re4blz&s0w@`|r(%T<I43=3LdD^GNEvMa}ND^Dj@o_3@RgpDg?I?O&zuJQC#p z(Xv_l#*YVcx5YI&@7bmF`eEars5!MQe?sbeDud?kpC`QUG_>>MXIuJeX|TP;*Ly4Z z{c4XHo`1ae_BrE6rgo1d<ejSj@t9ZiPu7n7+rn-8z50dvJel<OTYvTatbP-F^V;gd z4(U4&1?4|-zF(j8*<3w({}Il<by;6!@(UXMcN{f-S5Rs?d;XsO=f69i?mhbJZ+YX_ z&%sH5|CB_0UwC{MfBJGe>#r~VZrlHC{l;hS=TtqrqP^zx)rjZTkET7B{rK(Gw?F^b zbN=(N-`L-F)!gC`r(8jQxJ~#c$7p{!8Fl$hzdC<L$E?ZO&lJAn$Vbz)_a5rL`zV=j zpY!_tkH0=$d;6pJsjgd}P;Y;MF@IggyN?B;pBpx>o|V34zx{#V9dDQKw@>K(n|-q~ z`OX8L?-l*!*SCG#`sz>R=D@$V-+bp@{OXMT%5#4bHhq55!(aI0t(pD7TlL*r>l?54 z=zkO}KlkU}Owbk4=`nG4zV+K>J-_@fd(GeS9ceXf=F>O)oNBzk?3sMwsUL#pZ~VVe z^q(R7KQCX+uh!@})}Oi4>$=&Gm0SGgi_R|sHC=Z1-~Ore?&isl4~0+vdN$d6zU=k+ zQP;N5kGo~9f7i;-KDqR-&D#xi^Z0f=-xnrz{;%|gcaH^M+f~iq`nB|a&6?k0$#<9Y zUaP&8e0RFc>wP8>-xnU;#jn0*kNTQDhtJ>qIR!E<`&;2?mHd=h=YKz0@N<uU)tc`Y z8@}3g+4<MMxXt<ce6#Si`Rs@F3+^4i@jI{eYvqQczxH@;w9EHeURUsHZ?OEFUvIAL z)xTA_<)75^J?oQX;*Q^|myCOCYxhXXf5*Y6rMY!KRBx|etiSc~)i+lP&;DV{Uzh&6 z*W#$U&Ep5Bx5X9Iemkyv?qdV@99Oe_M_J!}c*Pbrzo6%Q#gU`oIrV?{Z9MX;Ho5)l z-vyvlvF5iy@>9{;tJlJ7dqeLd3Y(f|m2NdV7TWIrZ+d(GK}Ns4l&`$^9<o%MZ>wq# zo|pZ(G}`~2Z2rE)uM>W9zANbK-*Mpg>>G8udWG$aXGhuoS+;inLG7AD(Ke4o!p%4T zRL%Ryn14MizH<7{YyA7_<V6zy+G!l!#c#dlcfo;EyAPeJE_mg>{9WvkZ`W(&v+Lem zG54$OH=d_{{2%ML8s4~vV&8wXxZ6DZ^UTbC?~1wk%ktHa@9wrNtx5RTa((-TuZQwC zf8>mN$eF*f-22;c({}~!)pPEhIyV2K+<A)~Tdyw8-~Ly5&oSnA8|(I)C;Y9;KX&T$ z?j38F@3|K7^~TC{zuNa#vX|ep_$oNx;ytJM_KnZE^%9@2Ea-TA;}z$<N4j>8<33lO zJCbf|W8t^&@T-5{Z~cnC^ML95jw8F@Z~iEIuCV{``}Douw|<>cK6+~Lkyqatwfn)@ zyX@7y@>_MY_8*I~FMA~!SA1{pvB%&T<iGK`cgHdHJwF}i{onn@M)0|e?DG3>uN0p8 zBRsFLKvP=&&xEz|kHpj~4n)uSyP?-U^Uv}(zc00HpKsPaecRW~+&}DEzkaXI|M>A& znE%Jt`~M3L*0Jxob>p9H-^T`bn{2!Kx(`g&=U+|w_Po>d+8>GRx@A_6zpr{6Rr)PE z<hZh(@xK=S`<cJlr*Hh6@n0bOKZ|+K{YOuB=hQtsqd)hj@w*eM|K)D`_v!8a@?yuH zJDc|H+p|;ky@d9A9`P^L{a4>h9sjcUpt9V@*1w0uzrE(#w*Qx4(pTk!yZocq{0>RD zdtB(XouAR~u<$K^o$CGvKIW4z{AaX#|Kel&@4RU@tN)x-+~8l){MF8L!##7$Z}AzQ zx$iu<HuJvaXZ4s5zpop|*<QOA9eeUz`Llg)KRzgb`#kj#+xvn~i^J@}Gbx6v*~7Pe z{r+WXkxbmtm5)U~XWx0OEcdbFv;6kIe^%eBVwZXFHFs^?W96Tw75_P3AMg13z8-X@ z)cmH^H-G*5F5DjRzwQxafgbC%`uOEXe!YKP0x4jAy+3>|;(zUp7q80pwLFh~%=v!9 zcj0UOJlA&|vR(J_oqBFf1#h(da!|HAwes|t{DK)bs+8j%3)?+<Fl*br7U!6I=bo7x z{oHEtYsu5fL(kP`s@GI(vfMLm?S0#Odk(!6J`)WcnXQle)A03t_xE#u4$ibs{`>jf zy{&I;em&oNeaExP<UKoWe?Am>|FI`q=AX#lL$YzP$G>U6@P2HuYhCbh_h;KH>e-|J zw|Lt;e6f73T-^C<w;zbwJq#=T^g86Yu$|rYPnM7Wem?R3*S5N=GnHlRZ_h~rP0D_5 zC_lg9qv*GJnR0V}x~K0GzxnTCaPFRdcA3XBpWl7(Dt(Q8^_@pD`SsKOe3092aU;rh zSL56GOVh7?{MF_s>wf0_hX(bUL+LSp=Dgj$OYrY8!M^%?uVm+)Tz|b{pFQZ{<aC)& zKPB(pxOV;dbE(&#i`%EBw@poN{rWR9xwcMj?$5=>_xE?cU4I^QtaWkocANaB^A^Yd z=||Xe-FYC!zvJ*t{aZi2`sV&;u6-$U+`RbJj)c1Y@bWER&siKZmMh${?d!RUUjFh; zKW*3TJ8_=5Z-2_Xjr-y&#cx#os@r<(SDjdLt^MhO!*$hj{!Drs|5!F}!}O@ykh$-V zt6$we`~7Y6?VmI1I!x^kDn8!6<8Z6-{*q_qKTiCRd#|7R8`7KI)jD^5${#8B>Dzu< zulbxF@m#*pmG7l)uI<hJS1-+d{N~!}ssd@Bdx~NAIPYD2ulwza{+haJ5r5x&0OtYj z)$+z+_KR+uTmE~?v0eVwYktp2ynCF{-=_TE-feNm=Erltng6zKd>y>oxD&g|B@- zY<%te;j7;Z<Np0y!tuIxYErHJoy1yu8;j4{>HiwO{{7JL_3wq>b+_%GJU@C|w(p~0 z{)d0l-#*Xo=eIciI)39X$^6Q9f7o;EE6e8mUvO3UnRvw^-EVbQue~qmRloDl*suQV zF>(8p*XvKLKVth1dP4g7i2MBMYu>kC<iGvv*PlqxT>Y=Q8Hu&_Yv%miYW%<B>)(PS zzv^D(=lr)lFa6-bxo>}@WgfEdTO1eGE2tEoZU1=6bG^DF-zM*skKJ{^^t1P#pQWEJ zSKN6lIlpj2a{Zl0pN{A3pZJH*;(yQI8qkUC>}%e)8(;hG{Qh{ZZLNJwGGv6Y*8Wa% zt^Jz0KYNYqkNm2uIP|Md;?A{m#vk@5o~t|jYvKc@{Ex59*Ty~I)%(a<ZJ+r1{R`)p zH~xEqdTa6pU!%9h_ea}2c$+_2{@jM?H-7zlAMv+=fBwclT6_MjsXXwjt^(wTyXUT5 z{_ZUQ`gbC9^z_>I!!oabCq6!tKli6PGzva^E88b}e)l2UdyfQ{Tl|lG?cb?gbKv9q z$h-eFqwRy`W!cLMUR9j_!!`e7!{0O4>>sgJ+vmRidgJ)+``bT?`kh#ROxP}|wyrN? z{``OEFRZ^F{g3h5bI@W$7H#-J>T`e2HLgGO>yJ%xZQZ)MYv-na-XqBO|G+%^quF~7 zyVo2@k9l}l{??y_?APyKdOx-JW4XLf`q_1hpUR&OTiYbP?_c}w|DLttj~L2#9J}{i zr=RV5#gSisY!Ykh*!Cy9e*M|_2<T#oPj`}@MkdtOO^cX6f3ETV@@Mlmf<_|GpMN3# zw#I#4dGGi7q`x;G3Z+~83SJ#<anN*LL4|v?efWKu_3xfs*|>fCSLv9?g5^J2F57SZ zcu@alRkh5c2j?UC%b#3-^yTxk_nfak7a#idhbK5Xe*S#zHRnNVn>EAcsa|{jobmPN z&E?Pd&;5~n{rU3mRO@~_`|B2uDwD4kw)t1|ckkcu@5+v2y!ZYry&Aqh>9^>YrG+wo zR|Ox}{2XobSeWl!)@%PAM<1^LSX0rudH2m9V((9`KYUPJ=RD`@&!2m~{@l51^V;?2 z&vU%~Yz$qi`1<qaL%;sWfQztl@n1*d=YQB}XP<nv@?P(&`qcCLj~kx**dcB6SS)<! z+5Wn_-}GO4zpmI@{Ax#PUHkTW-#UxKp!4dlhVM^&y|$pG`p(0SKUFpljITX^-t+aR z<X^SdpMUN=_Uq4`M9^4xB<M<ui23uuBU<0<Pk+1qJiX!T&z%RA&(D7FCx5NIrQHLj z_5aVk(!KYHZ~n($+o8qR{>N7~)|W@`KhA6SSnhm9Ilr|0-*s!JKQ`rif3oU_OC7V` zkJ?i`Uw^**EBE~IdjB;bAFMeKn##2en`e6Md3y8LpO#ji{m=bTcx;ou@w;co*Pk;_ z*t{2$FKkqgd9-Q6zmgpXZT<e;d-d`C?SG=RuVnN89a#BT_A~pNzs+mS9}861XMDEa zbIe`l@n8E}e;9vn{`$B>`pw^oYp2I1l*+&U?rV7M{c5v_{p)W%p7gg!=I`XU_aE@) zedL@U^89=2<X0AdlC9@ITfgC1{>L*vM8X^Y{@YmcOn%N!+dN782X9kz{=GT#{oj#u zi~nA?NUrJm`+nn3$!kBqpZg=c?qurs<=ghjyqW$^_Wl2yNdEtK*6az7sLcQ9_V<u_ zOw!lS4Oh?0#oy4cN!-8T*TcW8&lk#PulX&K3|^c0&h-DYJMlHoc6RUI@^$+kyZuMf zL1p5bjr-*1ZQLh+F5*7_;@!7?RmHE}crP4Oj!Itpe)!bPc<ayo5%>As*Sv54cQ@z% z%=yz9!vE*k$4lRN#FGF2=qt;6kD1v2XML4iZd3j-eB1mtPrb!0lIl9y?>rQKR~UG! zE<NU<<njM$Uq7wS-9PX9WybgWa(;gQai~6yJ^sh}*9m|Be!X}9M%Az1udn|&^t)Yu zPkqGyeHU!6?bqLOEc)E-2gY(6|G)ocqkiYXgZxT6nMZf`R@BXW&hhWuvG*Si78aDR zetw3(up&QN|6ul>qwX;eIH&K}(|?x#{lQ;f>RS)5kC2OAGyl5C>(7fp?Z(yjZNv7n zuc<q4^!($y%;z`nTtBz{m}cL{9^*Za{%$^QyAD!rDnItO*uQ4){pLgG7XNi#^H;bg z;g8sQ{d7=o=jECA1&4mf`TuxxX8zot7w_Nxx##rly6l+8&~e%w$u(;~hHv=VXY^NY z?$3YrH~v*0W4G9`=<NN3xAPO;&VStTkyWnX^_ll`eqOY{^)LNh>0^t(%Tw?Ee-7H? zuwUo(!~J@;7Uxc<)_%=@HfR2Fj@QpMkM3G;z2<k-fnEKg*J@=pyt^&<dSB)Lyx*_w zenx!PJhn?;dd=@m$9M5t`kmZ<@YL?3r+z~g236==&%ej6z2^HtvDdYelJ@$0&52ET zzZ^6G)01A5`7ZbO+RFJ`e{}dqy!MTF-THmwPpk7>uWh2&Jonr<Z~2jff8W%W9ngLE zv4MS#Y|ft^|35Yr-?x4iQM7*_@i}?TPsw!>`;UK|np5}oO#Ymo%J+=^wVb!uF@LW6 z@Ain_>Noapdb|B7uO4J<+xBtwp50w*%gdgfKlkTF!t>LT`;R>g&Hcys`j6zbpW1uU zYI^&Byf`C2_vgRAx&J#qGU}~Q`wbcXe_wELZ;!mgzlx$5+k!}cxh-Gb?5O;(X`Wq5 z=KqD>+av7#Wv`vT+)^5Ue%ATF(V^Ssy-RK>l@DI?d)wh%`Rr?cha}!z&iHzt%*J=! z-wkfZe?NG97r$ZHJ?S-jV9PAuH;cWlEjUy)-zVbz<PY|5YO3VdZn)>ps`oPcPl2@k zN4wh(KCS*%cPDx6^yIbI6Xq^IYIUAdd{4jHo?Z6$<^Ny)*8h>KzoI+)U3Hgv`1arN zR-gIL{gGSVBmbc%J$>Kz_%gY-`;Q$o%>i9ocG&9wy_xZk8$a@%3)^<@#Tk3c&)fg! zKIgoWe*EZvo!76cUQT}esebLcJ^T@s-y{CYZTMxI{Pps0`)L2aG4MHzZxMf2Y<w5o zTsq&acmIKR&pBV)<;f*Jzgc+Bc-_wP#`kK@K6CHi@^RPw>@{D@BA%bza4z_v@%OFA z+SzaXwR^<YZ&A76>R<Pp|5(2(9$20IsqXl!`3Yyw7oPdS)W4(jS^2p?obx5?kF{st z{I}Zu_RlT#EnDkXSv@Xa6@UAge8}^k+E>5+>EHO3y+~8`_}NW%mh0qpugRB754*=1 zcF!_wKlhrt?Gb<9Z1`pQ5IpH}{c-O9wmKJ!h4Px$YHuapUCw;1cFl%&k9(ZI<<3j^ zz4Xp=vGYG#w%%*m8u!Cx_WI9jHk{jh^p}m-M!SBmJ<8{skJ+DiSKjYz^MLRCEdTmF z>NhL*yuR@_GWl%(#%JlPjO*50tqV=x?^bH_NcpjUMSbjT`-)A_M7Sg6kC^-Q%|9V+ z(u%`B<mP|4In&<y^Wtmg%#G`MSHHgf57HoAUvT<|RKD`;`jTh;=W70IZmU1`gW<RN zhM&@F{tB=8YaLUd|9JVWs!vaLRNdM%&!+a}&BD(;skXJxF8$j)EpKDpJgYVHmvg?~ zu|9px?_IBQ_D=XM@{n(N#P{6x())Z7-&Y>m<<ESr)-vg?`1_mN_P=ZHFO^SPv&TH5 z^8H58BJG?F_t<r>{eIB$)o$j7d+HC1Q4(Q{w0zB7yY=Qfj#jVvTD0b?)SAy*BA#0& z+MaLuYV+`O&VS|i-J<vGZ`{j|j(H^F&cCs$GyIQ@OhG?np!nm7iuXUu=KX2=_IWFG zT8jPH`;Wie%=VW(Ge7r7P`$_gAt$^3255J++I-vJZ99%Z0&PcfP5<TDH>%Rp|GhcW zAD8j`wsBo=^XyxHpaq`M<7}G;i;e5ARDANUx^4d;j<@f#xbEwV-P7e{r|U;vdpupw z)^c6kwl(>2eLsJCZm64AwB~nI!rkj)ukFerz84<am7l)m_qM9mx9fk4B;H*v@_OH! z4ew4fzP1aA_?~#+)bEa|+s$wM6a4uTw8-{-Gw-$E3H@K~PHw!X&U@|milbHXtn0V@ zb^c}apwXt!;&<EL_j|%`R;+!VyjDDUZhCX+JlkuZpG2I${DAlS)}!h*hm7YHd=04H z-@1O==eaMQbBUWjelD9`*JZkYie&wPkGGzEKljJ+@y+^U(Z{OSZ~Q#xqg3{PiR}M8 zzr}CVRo{7VYvz56&+d1O|Fx8xZ~xkK{Z{3c`i`yjO~uFZ3oE#1$6I{%POodf-t+#W zAf)G0HFs`)(jTem+qe8Jk9dB5!#Vp$v#MwByLWB!-^ZYy5AW%!AICsDM`lm^`r@p& z{KmR@ZfoYN|JOB(`)+z**LvxgLV4jezh@-h-OluS-`0rlD-ZAT7rs_&nS6Kp)#$f1 zyZ8mK)p~6FbT9E~?E%or;Nk;S@-iFmvERF$Q@_{0X3clcp09Sd6883I-MR3+WXo5s zd+FADel6R3pD*HdXvAyYi076`bB|Z=UVHzO-G`6cHhmB7G2V0V-{Cd;j+=hBJw7}7 z=HL7|Ki3-nZwWr;UvccA^_!Yo^{rd~i?MIt_BZIxqf0aE3!Z(iNcgkh<MxeT(`G*Z zelPR+#eda%maR`e{&jcGo>#Za_22GIf61o(UQGMFaM*tJHFfRpw|>2HUNp>pd&J*g z^>5BIt5(~A@5^KWU6@(E<4;uN|Gxn(4O1>Cn|=w9?VEDJncr1n<-&HkswHi5jw%Wd zE;!3i5bCm6@TaHAVo}Bh!$nB}UN08%+cq31wtfD2-}zGCAL@Iq)vlkkHSF5FP3Oy( z&)+}c^Z)<(<$sIkRIV-TH@Dc|_^7&O$E%qy{rbBD=IQ>o+GM<{_B)T|;j7O>-qku@ ze-YmGyW#-nxy=0DwR^d4S7hZpep&G0R`L9s2j$*>6y&cs_Vo1>`MSWzt9Set<x@KA z-nO=`Z*5((@~7?7`uWp-$%}oxtH1KU@=v*YcLM$%zwyg|!`Jvn)BbR&n+N^9yQpsa z3i-Oozy52YcNhGcdU?n1tHI^m>G8pLu2~=7c8_=4&wEL;-#1@Pw_{t~f7kQveAD<J zYknRwljq#_Q)A=X<y^PxZYAFCm)-XFMg830$uYKeo96RZJf7n#{ZIPT_HQvcZ(VO3 z6Hb=)Z!~{%U1)vW`oFgyvAqA#;y!1iyxN;P5x)=rUv@10D|61*>o?TxkIJqS|7za- ztGY&E|M8=~SN`u=@xI3U@y37QJJjqCJ@gH=KW_FfDX{)X^flGr+isax-?#i)_wdo~ z<%Rz>ZdbA2xK`bpyDvEBb8PbL^Ss-B-g&(F8TWkC_#4j-|D1O$`SZp@+vY3f{MJ0a zO`bdF_s53*JLO+%x8C10KYPv`-`~3rU%Mw4UG1Lpc;?1l^*@cb%I_`syXR2!oQHhR zEov8kl9t&lzpdg(yX)V?!25p}{+fUCdqJ`B+w~IB|2en)^WLMp|Iq8%tN-N%?vK7Q zzrgRG;O>pD*zGU<So2>X`hV}t%CGfn{)l|%o^Eg1+x~ea|BY+a2e#dN=RY<7^V`JP z?<H>6sNHzB`AGU%al7n&8_v47=h~^|{C?SyYtL$b`A3d@+l_C@z0vQqf9?Ea{H?fW z?&j=z@f)|aH*US2Bz>Omc7@xUIpJ@8E4u&w5B|^k+w#D@*<1Esw>YBtuCSN++iAA< zAL|15$6uNM(P7_b?%w5lTEE@rivBOS?O*X8#r+3XN3Z%<7Pvq5ihP07zYg^`e}f)x z{8yXvSN+D$slTEh>#h^~`r7p`U-^^wg;m*G%VVz07yo)Veq-H}^&85iub$t~3yPRy zkDlb~_VJ6Q?`7|Pd}QZ`nd^;hzJ=~DsN8nBqT*XHKlk<L7QODzZN6?P_?*4`<Byn4 zw*H*kp07NzEnYk4cWHaBec6p~k6Uv0%WizT{lT4A_rC>q<k~O2@y)v}cYn@?x9x1V z>s}NdtdifbcKhR1|0jGcF6>v%dG6Tr)<$E)Ty@oLpO+jhlY6^iuKT^pEBnvy`I2^S zGxNI*#c@xgtM#^hQ_6YVxv_Wofiip5ZND==o~XO~@YPwX`)+q0aJoky{TqM%hubs# z16Ok^?`^#5zwzpNUB7?F9&Hc)yZ7kJ{o5`6p0DuTS8Uh&yd(U^ul@~R=O>#7*0bh) zgoN6Md4B}cw})5X`)Mlo`u_22^-bQNuHV?-{3^Wr*VjdL?cq;A_Xmo9eeU}A1C!K! zmw#N>%R~N4Zu|KB#xeT`y1&i#F1Tg9``*gkt)IE)9{bBZe^>mDJts14_Pkihf8+U2 z$qlyt?~YE%|9$oFw)o^b8{~y$HqQ6T`Mvhgw)<r_z6H1E+WX%4c3SXu9jD&9k2mW$ zHok2axm}fh<63oduB~*=>zm2e{CqdgF+Uf2b^n~O`0YxeMC<;foaYzCwx6kfby@E9 z#D|Y$s`hR@crC7Z?cRH@;zRSV^M}npzkAO8fZt{IdfR??ewg)H>6m*=!gpP(^Imrz ziRD+ym09eYJg>hnus-U_cm0(gmp);yIF_CBclnK9>o<PC{VKn?ykh@~`}(iW?@+(L zbIP&F_aon~-SAaD@wNSN(Vwh*?-u>K?fSPYu>OGHPi46c<(a+5e|_Khb^Zh8pV@mN zSO3on+#h%4{h2543qM(}s*kxcUw8i3^ZJWFuDwxy`Ow)^|Hmhv`q}E=VO#$3%ctdK z>kD4xJ$%#EC0{YGDCc}|bMF4Ujc>0rZ~JSJaJ&EA)GPbmn)BbT>)!ZwIm_)jt&MM| zbKS1HnRuIDcH3Wr#OU|R+rILxTk~=2n)Hrb+u)q%j%{ykZX{UWm&$n_-T&6cD#5xx zEIjbP;AgXkvp&D+I9&XyhI`$rnr!_e*W$WzZ6vpS%-L|)zxi!l)t>bIas}VQ=BE3t z_~-p5>3_dyf5EZO0?E(URoQj9{w)gJZ#sGZVOcrxuj;3l*Z<Fwy5IPRLA*Tpe|FAe z|Bb!-kD30Imbn-E^?UcPzl;9$B!8N_I@?A$F7dVfp=<Sh>7Tgo+;RS!FaGtm>tDwA zC*(i=nz!nG?BkNZH93FPE!69K?^ds@+qxoN|JC`5^ZRtyul#rB;Z{FeotXIFCUSqD ztz6zZ`)a1m&T}jKZ_HaRdHb`-yoEKl*K6neHa%<yE*hnCe&=@Q?w8&0b~)qix}tjT zzqji?Zg@Lg;&z?KhPUlPx2wW$T&r%+wYAQ9ZJB7z-*@9&^MhSd^XIB7Zu_iqv`jAb zhOP7Z_1|`+-#h)faQ~ciQMr$N(bclszNzIrwoH=tFR0zR|B(&HN5<t9ha}hCU-mh> znfY6F^Y<O`SL}6OS(^v^Xa1?D7i?=Uxb0tZ&R_8g=eo}D?_r>nvVDd9qn1A>KW&uH zthrX-u=YQ1obmd5yi@b<>Bro8VIp_u#}(VY$otIOzLw=Js+pZHe!Ir~#xvt%X7gF? zviE(6{M?#rS5}c^=a%z3^U${We`aUZY?Eis`MvQ_^t<d*<EQ8E<hRU@zkL3^OmsEt zwr|^R9J`z(eZFD)o9lwd^RNH=nz!y>@SDey>Nj@wf4eM|UkDE8@*T?c`9HshR=XSj zJ=^^&am`0jP`PLiD;L??pX3+z^LPI`yr`~-`|Eq7{J)2u>iR!^xE2&GccTiU-%H)D zD!=ipx<7Yc|BYwG$230+-*ZFMwU*m{W^8!7TzA{wk^|f1*>ipy?ppiHU-EiO`J3m; zar3*cFW(-#Z>xAxw*FDGc|5l(%x+Y-e=BY--*Md5?!lkAr^<!C+HaDNiMbp9Tl-L$ z{jsq92Y7#~_Q@^y^>)#}yukV+*`L&Fj{JLTYWLdyz_tHUyZJ-vHS-GhuGk-WrTpE3 zZ{OE=Z~gG9Z~4c<hiB*dJ-(QKdfPq2ZC~{^oGtIn-4~tndFNrX`O1(0E=~WM|5-3$ zcE9Pizf+QK`<rh2yW`+H$*S6-BXWQ9-Y@!B^sTu2ZgF-!zu5l1SN&qkZS&XuGLw_P zT_F^+G5)$m#@2dmnTJyRI}TTWJ1mzz^V{jd!1}l={PpgSwNJ(;{&!q8A5?x^t8Wf} zlK+uWUhHeTYwh=Suj-ZVAO6~WwZ3QVf0pR~vU)SWzsS48KTj<0U7eKwyTVt>+rG}* zxVF5)|LN_T^&8HXx8>Ru=X}2T0M<fCK42#QZts=-Z`Jv4*L7`t`<~_Yzr4cpwM$pt z;=XZS^4??KZQrDF-hRDttoVSL-1B=`_wV`dxN*<l<;RJ-zK_phZ~bQl9immPeeaR( z@6`6k?HT`jx_@0>w69*iS6|isfN@;%Yx|?u>U;OLuiDRh@8RD?b^BM?Kb}|fe4mv6 zKZC8?4_&KoS^Hlm{E4_l#g13d{O$gvzv95Xzb4R1rT@wLkIw{O?T@;$Ui_<d_vHQC zE7tvT@80}x^U=uvfBs3`@2WM|y<_vhWS-53E1wVLmPz@`mt=iExcr^iIk`nUZ2c{_ zJzsfnTm1GL-(EA`u9MmD*8Tg!toz?)x99FZdgEJgQ?C8g8{fQJbN9d5@V1@rcHN8( zYrhNLu43oE{3Gg`@e$6~Gn1_OjdGqJWV>B?DZ$#mD(Cq{#@m%!s&@S9pRt{je@?o4 z%&t9lwJo34?iGlx*4*|n<;Jni2g~evxBY%uc&yGg;$L+|?X1=H^1F8&7Cbj&zl3%F zmCu1XucmkZT6^^5{YaU9vE}<uKDtrA<XE|a{eh#4ul$#~{UbQ%@%lpFe{AjjVSk|k zH>2ixpwxL-W&C*NA13qu!2i(t#QKx_p1L)!s{8F??d7BYbDldHpZvXWRlM%2>)pSM z@7>;4+w;q~z2ElhhJwF4E?0c{5$w-xel*kO=iOlWjkf;A+n#IIG=0k5ziz|Z>pZ{T zESD+Yad6xHZ8yH%X1rY|wc)M$_s&=Mzje3g?mu$ln{!jH{gfNmb|2by&pPMz&BW;Q zoVP0rj+Du<_+R?*#)fm_Ty@QDpEVA@ldP%hs-FE_ef3`6=aH@9&oBPmcKBLc``W#n zw=4Q?922&2eS7<F%Z+#HN7>JH^N7E{`g7Ys)4YNo>RsP09#mR9uq~Un>fhRZ-D~Sw z*gqx5*u|X>-?$=P@74AS=YM?bxvt8Ke_ifcyZ_1$p-;><4^6jyTz=zNeDd@1tM}RR zp{>6{_kEvDdev*2Zbd`u6YfvkZ)^j<Ztn(_1qo{PM{K{%tJ_s)x9wl{o^7>1d7jSR z-TFCw-m$;wb9cq>sQH#@Q*&-*d+Tbi!s>ZhIp>4hbN9d7`1ZQ;w!aq1xBDNyzi9V6 zw>5Wv>Wy!~eYy6gH@=+~yIp6QaGRfb+usLv;eT&eRo}Q~eN6H7^n~d1Lbode60P~m zZk(IUce~Ok(Yn9y#<|5`Kb!2EQdwLd?`Lt|#^#|!bT#L;Z(cc%S8nJ{Z+}~7mGj;B z;f&9(I^1P!;vdg0?3n%iWsUd86E$f&s)Ww}UR1Yv#r@eQ?H{~2x$6C&gPH&Jw*6Dy zqh^21JqDc2bj#*1`d7B&=;KBIwyb!+-{JA?$?^~0tjx;q&HWbyN@t$`*nTg4^<Mnz zZ`Z$)>ra$t^*(Qaw)q}+{$aZA9}G!m_v;t_lD>KU?4gt0evdyNdMiKg*k$qgw`xy< zT5;3o9ouU^>22kwji5H}-(APH#cSW$AfKJ{d*k74_rKlvc3bdv-K>pomlrJlc(X1t z@wUF?w!agSZ}anR`+6@a`aScuuQD6fF8^)xHUD{I`&*lijdRsGw|!o6q)aaK#<|6U z{%gEHf4cl{+l^n=55AqM`fL8+iPomyT+d!}Z))GHf6DOOdPVgcdzZz`TEFCaw`kr+ zzV{aAt@iv2o|`Xx?xWyt>!Z!#Sv8D&ALW+scv^X?;8TfhymZWCw)XP@dyX@|l`Z&L z_ceaM*I)57v%kLzHs}7m-m>?-xZbSG6(4Q|f4{M_r}`O-{0BDc^}+u>7Ip33!S&=s zjq5dj_V7vFu6tsRM90L3y?wjEzg}5SfBlb^yV@uIy*Ay~@{{)i=%kos&+L!duKU<w zzN2c|r|&xs+V;&``=jUjjY{Uvt>HIn`#ve(c_f%W^ZT#fRr!7QN?v6?e0FyE$CT<< zl@Gt^F8}yt?eemJ5ppx*#l^!@_I`YLs;Fo4>JzN%)}8p=m21DH`$wLjd|{pHR`Z9F zzw<BuQ2WJQP#OAkec|~(%PiKL{5Q?}$fmx3`474D9mmfVi_YKJKX-e!jcnXQ=DvcD zHdoIV_HxVo+xO_7^_6=EcD)hTyH_d2e=AsCY~8(5srbFWuRK09`}>?@i@%?GJ>lyy zWxawL-lN_%2Xwdn-EerD{4v*>s3U*v6K%qSe>0w2f3E8Bzgb0Hm$Ofr`pvk{JMV*B z`}ymCzVA40EVuEqUGMT=%um-BG%L&OZTNaDJLZA%y`=47b=}c>{sn)1Zm9qH(A#$= z^Y;C}@{Zl_uI`-wH#6`2{~Y|CTU<V5Prdqi^K;QVkKUX5(}w;1hm5ZuQ@*ZWRP+D; z%D;ziUyW<%E<cgo{rR)e)*tL&K5stE`Sw`-!f$7Uw|$h~*lYh{O1$Ab0rv2#KZ0Z) z^6*zQ=l<c{_VN3Uv_0%H|7ITB|I7R9{`8o;hi=Ae<~=^Yv3LJL_hXxn&JK8N|3Hl2 zV&|+!@7k~I>%D*2?4QK(9`l3Bd4(>!SM#s7{oIiIM|s=F>iV^RdOrTRv2)k4=?U`d z3){7G9<SfnyZ^B9<GYWaoj3h|(EZB)zQV2C>;JnI-oCH7?Qd-I|Jk?JOW%2DYxh7- z{C?2CoWgJWH;31o{S&=sc#mVf{fdv~7E9(n$Pc}L=kdJf?;DE0?fxA2^m@<nqYt;6 z?&COaaZFin!`b@I+&{YKB;WJx{_p?z`#-<G`I6zA3;ulXy8U@(LbaW@jqyA#^ZOTn zlw15?cPH_?hX0LY^+(M9JxG3KpRh;q-ealXS3du9{P~RU_Ro#S%j#qb4^*V>IsVXo z)n3N+1wU<j?GKy%lezsvdiD8=?P34*P5%3>|7QLrT7S>49goWPx!gE6{mz*Ru06l@ z9ov4CGw)*yd(LC|jlK7OtAE{}xW_K8RI0u+_Lb%TH8sWEZ~xp*wEpk*=1;@lhV3{0 zi*LRDkYTxf$Uj(Rcc{X=;*fjH#r&V^U!9lvGdt&ba>v^mpTdI`MsNOlJih%vz<kGX z+if4^H}u~B+48IWU(n~bk95y{JhP*p+noLC_RrPbZ~xdmnD~)r$FGgY-v8VEYJI&@ z^^cC^oX7qfdiNiZeZ02dSNN{^7eBo;|G(sy_J;5BclQ6SmbhW}-(y4Vzm3Pf{d8~r z9)ICScFz3zk{fpaZzTWz^SFcG{Mz}$lH%qQ5C2cubLTu)wfv>OsTM~p-xW5y?>Tz4 zJ@kLV&pmds1^=A()|LME{=5Cg;gj7W{e{leoPGbI-dfKO`rBE#Ui{m?-zNJaEu{P& zUp{npx&Pyj|4)4T8QGL;>z(sDGBNtR?6$9OHmrT#l55+4<C^i0_^<cxcYHl;`Fi#v z-p`XCUUfH#m!9*0&40)7bN4sYRdmlizvBO#uZ0_bw@0n#P`5ZHtXJS(t?KvvRsPq= zt?!HP-#zs4x!>d8t)I`&Klb<ImA{9Mii>Rjs<UxzdUvjE`HgGEN4Cuq-uCs&{uSSH zZJBdk?>x3UJJU9J&%SLj(Q|&@jQEpp8ecu<vD|L?(3)e6W&4(VeDjfOw|?5+g6?9Q zhfLS?1OJKfZ@K>H)B7v+-%`I$H_*R)=xDg#<KwNf*UvjPdHvj~xC(1cxjSB;uY<NF z)OjCue<SpL-@0FKzlwe@EMm4i`0eKrsoT$mMdM;;pBMfhSH9z?@6qoTF(=*c80|Oq zi(B=RZ~wxNS1Vc%+XvYkhR%NL=9#UkKVWV1;Ol9T^8G9S6~9UR{<N!nM@ro0e9ilB zYJXq(-P-+r&avkA^L}k8D7EQbzpwli<L%G3N!9!IzSq7#FJJ!l=eY^h`>x-Z_nzza z=h)=xebzSd!N1e{rRRNDw)?Q>%#I(`w)PMCP4-ExFZf|NPhaM-O#hCJHP_O+t7{It z)810YoVW3}^H=-J^V(<E2mH6aXSn{gyykryojL!0n7sS*<jU^W%|A`v{R`Rl^~;8} z={>o&!a1)alcLXa-L87KVQqSQuC2Pv=6UWmj~=8;-JkfA^W!sl(|FCi!nVnAA9>Fe zG|%lfzP}^Amd~Po>9zAecmB%X*gZL1Q!a7-x1>7{CHv1*f343t|K{JLD_;+-j-Pkz zc&qt-t6ukWu3rvKyMEko+gF_pYtuV(ZKHEu?>xM1p7OS@b2hA9UT^km|Dt*48S+0$ zRsTQo>!IvA&^YY>^r!C&TdQUMbU)hsbhF*Lo2GK#uSTsG^($ympYyom8<)+44>DQj zAMY#Yc7JZuTh5)nzf9`;xzbmE5B=OJy8Zd)1KZ|B=e)j|6n&oYc2(bvYnO|!?pwRO zKi5{+CO+=<&SPgqpKz`J7y3E=G4s0*E#G(iGk+@oQS7|Mzm`W2D^2Xao34@1D{NDr z^LSTaU32iQ+m9rdKM(zF_}NHr&i`MTHBlAC`z}}1{0o*B&(mA5W9@UX+f{NK);@2@ zwY|%|YTw%B?YXweIj?7enpN`5*ZA`z?#sr>oBW^q^fBk||L1-^6l0frd|2_E-O4{A z+t>KFO}?JB*KEfzUcUI{75(0~tP}p*U#Y8A{2FhNf3Ma5uFjkICno#ickFnPX|v<T z%Icigl?S%XJ9l(y{_B@X(dRjCR~@@?4diFlZC`UfeyfT)FWXnS*Y;7<&zT#(&!46L zUq~vxgZa*5Q@O&f)&G<B6(0JP^P%OtMUCz2_G+8QZQo?#A9va<{bs!<HSY2KfID~e z=fr$6sf($oUU~V)uS?74Z7i1ETVJ5J_y^ni4<EOS^cR%IS{`rr&bH->iE+CZ^-uI` z@~)%b>e3H<mCVXNV)wUl`qhe4uQlH#fB#j~r2eeD_qBP(KkYk@rJm=9>^UrY@9_iQ zF8RWibejhsilpufuK)1t?5g<>#hzQ-)OyFdsy;z>SL^4~^N;=2o&y?tdz5Lj=hDjd z*4NtekA;U%eEYL+gYA9GZO?Zf_*Rp@(e}RXw&&FczSZ>Kn3w;4)vNtG*L~~nSDyEs zCBGu>TE*A<Rdrf(9!UA`IDBrwAKQD6l+Q)Zm)xCyv8r|9vFQ&)=M}VB-#T6REAy*( zjPKXjce`UNO21zIk@xV6)bhgbvp~JIbxU`wP45Gb-R(TOZ615hYs-Xa|L?c5?q9on zc-uU~dw-@~Gd`$&ZTk`HXUh-0{(rINZ9$*)n}6#c3G;mrd|&us_L=k1k@j~UiTGO_ z{wl9s-^_k1yWpqf*ZpxT|8`VLik(|mEF~|#?z}~>`~K2bnGesFif;d!vtey|f37Y6 zjcb>8&U<ycYS)cx#)r1e17)Ow+O}((k0`#r{fPPV=EFzRP2(3|JwEyB|2dETeKp-D z!CrAB`_3jiO}~4~zOE|lHMgkQ{ixdJa$NuH^Q-^AVtZwue(%nUE3;eoO3ypCyY;j5 z++%;G=U4UT+E(YhwoH!pf3CJF|Mkv8+vW*w`+8>M+VsX;+wB#5R$kkD^y=9sjPf(* z3+B6>on9W?yZoq~-@XMEO~!kUofDs#e>%N3%jO|p`}>5y1^xXumhY7;uP8U}U7sko zc=r6N{RJ}fk1cPV9X;pR<mh?7I^5&tpV_gaF>Za!_Mm?c+dgrnn@=*H^Z93O-`)FH zs!VR!+22d5wk_T8YvL{G4?TCISO5QVtgE`>(8ifReGC5jovrVzj(NBzw5DDA&cg?> zUH%q_+-)8_Fq673*k18D@2Y=cxAB}k?uFmCt-5dges}BU+jEcYeF7?mZ_hv0Y&{25 z3?IK-QK5cw-h0t)&#e!Ct9gE7-g~y&pZ6a9RwKW`_CE8r=ePgnezpHlySCkX-gk-W z_(zpTK{u<1Tm0YjseH#FS-yRXex&?db6#-w`>R!~<=1`)$vom*Zn0=D5C4t*+@DX^ z=8N-PU;eS|;n(+bj?LXYuWEZimHAQSy8AYVneROoz5e9gDf#^$UZ?M4j7on0x~S{% z;yDi=sTcKa-#>-hX3p=L^ljlu@12TTCwreVUAImnzo6WA=fUHzPe#k!3Ca8T>)I#2 z@bW3vckagCd;IwCQ@Qs33H&#z7uq~{Vfv|KcHF#z|C~QNp8KCP=CfC~{(hlmdHlrK zn0oJT1>c!(9>0A43B&Jn`~NdPOFw#I^NDNvJeh~zgo=9FPoLr}Td%afuteAL=+Dxp zJm%)pitpSH()&<Tz4OS!_a{XCf_EPK%KVh~ot&O|Mb(-;NB>QJDyDvZO8JhOd3PRu zbNwX8uRpo^&Au?Z2N~M@7WK<*9zCo5)bgAEl)FuR#Js{E-!~QeU;95lRs8z=DYkcN zqrQDKImP%*-SWuE@~5JD^*Zi5{<g|IemF<mqWHhc4cqy9j$L$pBJzCt6yrNFapwx< zPqEIc57sNJVBUG?<=H1v*SAkJ-?4l0od+-TK8gMQJ~eudO~k#2pC%Ty-*&q9_~FW; z{=3glu*>{yw0ZDH^;5^}@KeI?;`QY}{t2r*_HcLV^SCwtf2WFHpZn(FyZjV+|Njc? zH~yPj9ywWmQah&3t*_v>s^zi6=1*95-=BWn;@8SKkM8VzF!Q(S;j=rR%6u1}z-<%n zqgPlVx%1G=sZXS?U!P#U!`eCTLy2=`_uuFfx_&~H?WcF2kj;Cq`Tk=;{m$d>4nJY; zlT+rms9$9B=-JCpEx+$i?yp$)RI*+Arf1~;>nHTq-B+pJQN8=k<ClAj8vfc(cb-$@ z5%=)Z;-Z$@{ihA(_==kDI>tSI@wuq$^ZHYgdHZyq@A$tk=E2YBMV*I>E7IT1=UV=J zYIaP#$Gr#Vrc2wz`rS+VzpAKva`tKKm^lA;1=Yfp{q4~wZOh(k$QP7^S04De_o<-y zOq+-Q1V0I$@1LA5W9M4-ZtYk7xYh4FWAE$>{r2I9+|A?v*q<`^&!6Vp{rR`M_M8vD zH3irG_}eP+{>MM-gUa`#*KaJ(`=;5auaLfD$Ba3T-!9#$Zxa)#x3OAx=b?+GPo<vk z`G5Dpv3uJeF~5)AcH`mK*WY5&^R(5Um0n}>@4aXL?nM6jvU$eB)-iG3_Z~m7D(e6H z`XsxJ>!*g@vrj0mORhZha_v)PK4HttxA~SYt?6yPp0#J4o?jQc&E)%!c|W?HwK`Wj z!~c%3|M}HFPu^SD#aR}o{=4etyB$}`3tejZxno|XuDJYYYFwCw^0U;=$NS^n=6_8- z68Sjfc+=$lj)nclle(*I9(dKyJNxn8ia#E=?|B;RmwQ@rC%z!??t8&@@yUnFSJfS; zEqgyHyyA16<-Q%CY)^$(`2Kw%)OCHwjwO2zzg+s{qivS{?k#Wjhx_R%umAXJQ&I2V z(<iInuzc!K-}6iOR&<a3(TnUN=RbCM$NZo9$TaTn&N~knx9|A1;L+o!rt>;JG0*!S z^6?#4*ZQ)eU!l1lKK?&>@%ocz>z^b{YWFMKr>9=8*<bOGyYfHp(d<2cm(2;@|7+Tv zK>Od@WG==3k*)l$xOMxlbuy3Nikkjw`nYUQeqP~$`s4He&5|?W|7~VBd;0m82VZXd z9Qv~%{QfldIe!D>K0bT?sb#P9%Y)XBeu#bQ>26{Fyl)P({N&g>f4kp2d}*H||NFl- zyT#8%GLJsJ{=~K2-SW_3|0fc=_fHa*nd{AdRO<Qj>A`nmLe3S`Yg!)vEc%40J$$<N zoH+M&1+phi-{tA$e<+FGdGO!dr@DUc6u0l#Gk?$Fx6V)a`1>b)zfs$C=kbfoPmIr} z+B|s1`l;jh^i#s~;#K7ff4Eg1dwDzMdEJ`-)hXiT@)K-r{w{r!{6GIGgZf^XN1ud0 zac!SJN!g|*tZ(CQQOkoDw?8pGSFf;q$IqE_9{$Vub#UV2n^U=Es){-<d!G<pr>}i| z$L>vg4qi5W%J%#E)a@2KH{N;lY1${g?c1kY+pKwNTNb?Y_(kTY%;)6w_;*yUv3c~* z^Ha<7^b_tfcK-7Ue<|JEY5)4a(aoc=%GDKBo8Kh=pIg*0`TI#lJ$sGy1-}z54;{XL z(y;7*q+h`o&&uA<{--tb+$<0Oz5Ybz{QZgCGXEm<3V(6$JoMG_tJC6Rch{emwuz0% z+feUW***F6X<a?%%J$p$r&!y>#NSK)o>tVq`1T3*Irlx~3X07ukNmBD!g-#5Qolvb z5}U_cCd_5u@Xvp0>>b<aZy&zY+&uoz`w4^k`YFya`{Lprep_4A(q4Yju<X9Ry2Yy} zrsw{<`4xWVFKYW;KegEA|MHjzAB>ASFYBKaUAJHH`i|f8_8h#dV}7Ij%c0`#$;GF2 z_4F0q7gQVWJbZZRQ`@rjD&H-3wbdN>Dfy{kw)83GcgdB9KEHjU%=cdDyv5$-Z)_%f z-M|0Mqjw88wJ&!+ZTD@y2D?SI>3jW;2kOhW9n$+B8`PrwZ}&8b{GWS&u*m<geQjEH zZe`)Jilgrf(<~l;XDZ(FllQ53#k220UNWDmxkdeypD|uHpKku+#CHSc_4mv6^u79c zD=vQ0{{Iu=&gc9npDlGtdyo45#Whu%KUK%<@s}(3QCE5B+P|LL{TlOPLf5-TpHklQ z=<B-aFZZ>jZ?3bb?%Z?eDgRRm_vsg;SDSvz*GRXhm=yEip=43(WAW3{H5GIB9Gz`H zt=yt={hsZA_D|xs_`SpC(c}8l?t7$DpF8fl|L66l_U8Ae8RdRhf7G5c*ZsHUvE$w+ zvSVUh&uu8T-FcvW_9@YI`P%sfHR6@s&#O;b$JoZ)OMZXy6J!2-_5O;lMK?LO{_8u- z{d#}uU+YK0U!&gK{5^m5%DUF{PdWQr!o&Y~?95mD-(Y;tekcF6KjDk-&9A8VZhG_h z<MJmA)%MezW&S(GJ^b6d^}ce=o~1I6AMn}mTl{jb?EPFnIrz?>>2n_bGcM|Tu78r1 zZ@=bri@*C~9{yTh)NAd&KHR**YWtmp|4pC7)WxSq$Hckad-%<(sJ%V=MD?40)9yTe z;r5B~`SuCycT_%g{N8;+c;0(W`NAIzmB(HlPI;~v_5PRPrtaP9r{w0%)BAm+ex1yN zC#^*tr+fDtdYk=(gFk;#@|%6meg$9DKS@2W*IT~h=Y%;A|1B=+d)|MVmG6J7UZK?q z(RKFv*LVD0wddgF?N8Z$+fUkV@zZ|i(WjPQs!H2$e?BEEr>F9M<8SGr{>2V<5B{wB z)G#~ywDP<4>i!k`I%|$RT>DgVdHIyN?VJ9UPmR5EH~QO$DJSi|6<Z!S`S_{8bpMIr zJ8GxNJbd{5sl;ymX~Hslo#Gz<DJ|-nz5RsbyJ*YfpXZ-q<@@iXSNKbC=b^91pGcMe zSIYnJMZT!{@BgXWEq*S#11it>w!7~<eAxeqZCSnQcMGfOar@;8>gp;FoOOLF_<i~$ zb(?rcyGI{hed@XV`oy`z5&u2P3d@Uc9=@ELD*wBD8uOex&U}TH_LZ%-+fN9}$*CT{ zQQI`<!HdjKQqQN(dGw66sO|UkQ<n4MRmCl87RNmLl3UdJ`uhpfcXkT-AHGa4YW}-_ z>UN8lPsOIspHRNz@02?aA8!66w>y4fwM?zI-Ge{upBiS*KdJog{Icuy!t-K(b~xL0 z%rC6sJUX|1^S|j+WADU9e)~}3d-M3e(x(jS=F^;G?ghm?{PwM=rG2YRviVeD8QXxk z$A2Ccb<IA0O7h)&mFG9Izx-Nt;H$#)9gpuvuJ~}IetG<3)Bm#X*~0&nFW|lRch<Aq z?&F_N>VDJHdS6hZx%05@e)s*ynfJHfP5$n7%KpI%u}=-#|J;ix=8d!eRJ`{X`)`{+ zv$}TI{Id=IFY)Sg?tj6o@_Jv(L$jyOdGuyiQD=Bf{I!Jk_ouCDcI^DbzGv@Ln}-*V zC4Sv`E{0kAyy-rP-4=)C)@|tDZgHUcPMr5=!$)k>&z#>8v}ecMW7P+QKmM}nVwb=6 zo!js&fBB`?cXJ*xEuVkk-yNMtw_R)cPoG|~m-*Xzjs6|nPZwX^zwM1}(C1Xp%BAod zuZ~+R{U_CaP0z2O&3n#cG4YCH$Bj+mIiD)~&D{TNyU6_qUrV3L?Y=&F?*3~v?+aT_ zzt)a>aFzFon198Q+a87gm9zBsF5GkMUhfmB?-l*4rM2xIzfk-1D*wto!RI^nu6z_d z=dO?3hWg^0w^#pTRNv7jy1t->d*@+eyGM6*Mfv}Sy?wfUlCjL4sJssi{1wI0NALEp zsw;}NJZAb@BJTa3bT+9wPd1fXKb=0sI_=eaG0@5a+n>>=toJPbw_%07?yK<5U+*>b zKe*IB53I7=6>S)o^O*m`w~bxy+rz8fUyHNXFaOyo_Exp~+a=d(_gC#x`Yo33O}ZGj zH6wp>#`?X@$EHWh-MbLyU0D=<*MIwp`;WhIcBPkxRP#Q~zH|BBj*Ry^GR{|Sd3OEQ z=l4s#@jcmj<7f5R`TqNQ^^c^V{l920^Vir@-z^sHHGMj}y}<t3y|txw*Y>adcx1}- z9SiDqu87xvWq#w_?;q!~^q1GPM&G)vd+WCTt=T8<2iZNG`0MuW6}A79XRR;MUBB_W zby08ip5s?zMdufmm{)$~&wAfceCJ;5N8NMp6!~xLU;gIr;#cwoO|Ppp;~rW}1s$_- z?{4(R3Gp>2-z%qE>{x&20i*nfXLVh><*!$;&AzXn`Bz=0E`Hv}AI_G0_rLl+McrbV z?R&<q^Zpj||MBZ}e($bmFF&%HeO1)Ql&kt5Tx?GHJyzuV*ZK9}<>1izBbpz-Ij+k8 z$d=!c&L3t|z3bKbLjODUCo=CGJ!ijpUq!{TSMLj5_SCI-6}{&O>&G97UF+vB{-u3K zXukMI&i*6i{Nc6F`9tfw|JGmp@yUJ8vEV&wa!<OZ>j&2IUq90By61THqpQlH^~WwQ zesXpHuJnKNmCqIa&N#Z=qPoZC!JmzrzUQxsxAF5U_*t>@P^e=0j(@Q~JIwtj9QI$a z_gQCA+jjp+(s%44?H=3>PWisz*WKW!67Rit9{GFuiL9MX?7R;jcr1UEZ#B0I_WSrn zr?R>K{IqHtd-pQCmA}rtKPgx?Ut9b}^}IVt|Gz&KWIsRs@Be`6hw>+~_f$2;JYF&F zyG7kXo5xRFKfSWQdhZwiQ<>%Z)3#ewciKGovvkw<_*L<LCeC?Kq#VAZYUZ89_lCcI z?)rP9@*EG#v;P;*Z-4gmRJq3bj}w1=)E42lU;0n^)330%s@LS+F0c6N-?e-F61%6y zZ&SB~2gL1-|9N%MKeqS(eLvd1O`Y<-z`6S8>MQpd+1F3I`~TVx^*8oG=f5{3Ke~Ng z<NhP*7$JY@qvri#e_7slRIj(~wXRUQ2bvYW|1jagk5$(V;~ug4b-J$)-=h^`e?<Dx zao3t7wjZDIu6kb+^>^)k4fh+hlVcv(<`w=-JL<mY)~SF04fa>`ZqE<gbL?gGQ`>L< zBIXtLhyOoav&Z+NjC=m{?G?xEH0G_Ef4=&St+(9`yUKMok4kmrKYmo*^f|&-e|Go@ zxwySyd><bAe&Q0(pPc+=Z*<(_J(G>!)Vb(=_!7MH(C+wC(t9kI&%3UhcTV~Jhi^=u z<hF}X<gYlc|E<qr*SbgUH9O|MvGMyJe<%3chT?e31GCvrY2UF4>HGL?;wP!?{gaLN zR4<Boux^U>p89Ef4*o5Es%y8$;oifutDo5B#i`4G_;&VF$L;MW-S<>ae`6E({r+D6 zZy)}hf5Py6?w&*6{?_%p{r%T;+xzdvIrpE7hy4%zwP*REudko-p1ZG<U-*%)vi<b; z6V)>BpD^G1yVoY_-|?r)=l%tkaow%|mwEH>>hmWVzwOgmuk&BMsON6{iR?S`{yL@G z?U;GB{3%oZdG&CM-Ancy{Q2~WuHHJ8_XU-vmHn%;PqWM1cabYB;{Mrjef!k8_pkn4 zUOvTk&f2F8&%aLy-?5`#=JBV-Pg3FhQ;lQngY*hMg;X}*u736WuGsCL+YXoQd;78P z^V^2EHPRdB=DX)SpZ%HT?)tg;a@#)Z9w^(lcEj9!!)>2)d*9Za-Y_?xb=&8)hsyTl zZkU@No%8%Q-|e5<j+gC|-7q&_dE4i=9dB!j=dJj?{qxzwW&2ofoZBwC?X&K&vVChe z&drzH_Id4rvVEa9&TZ%2_BprvZO!dO>-*nsoZHU4?Q`ytvVF1}=jNZAT=KtcU*(N+ z+Zk?GZaY*q@92$ln@#6goHxpO9@+TTX7z@->C!pRZ;IWn+;+HZ-do-IpWigRwUOR9 zH{Ctw`OMELclXatmpf;9o+;<~O|ILOV$rqdHk)qytaG?*p6HEpo4IaRZaY{uFL&eI zbnBewH|1_umK`XY*L&mKX60?4&s283yMNA@|8}KVg7x{Z8|OAl+^*bqj3}L}-d)G0 zGxf%~%}lo|!xF8}o836KS$Es#oc6aix09{U^W8YNS@3pc*^#n&mP_B=x10ZIL+w40 zgx@t8t#3askhuNaJUeIp^^_ZS^_m-M=LIEN+ne1u*RQ+nb8`FJKR1%C?fGt;>leKJ zv+zh+orVA9zqfxrd^qW|@`19tH5=y28*clY-23*=37z?$7au6A3%PNwzxV3%KR1%B z?aL}2y%&31_^n*r?(^3xjQoFM=L)W`KH9(IzxvI}UGMClz3;Es@%a4&mWr>QPmkZ2 zci(i|bMwRB{)pX}cc1I_=iLXt{mI*CyWcwJ{Cm0EpQ{gi`_p%0-hJh5&#zauy}Ldy zp8xh|>4fUK@Eh~)OWgjv``EWXX*cHGXS)44I<dOW{Kh=c7;t&}+n;xntLyl0*v*&F zJ@;JDJ!ihY#rZ3LZ$D?1+xA@dz_&eXH`wMIZhM~F`?m7*2HSkrZO_*p`nD%mXa4it z?zfe<6RYoiyD@J&^S0-?TWaQQSKjvgY~{3f_ve}OSKH(>ZhM~FdUt=q|HrTNpPJ6I zS4rRTqa)@)+j&v1m`}TQAJVj+o+Yy>e{T7QO7^GjcJC#A>{-0^TgCqCiL>9!-LB!k zQ8z!~klB9YZ9l)xU;A^@UxB3C{DRy5PDr@TuL)XiAnl)7cy0eNvwdv0e?);-iORF( zoWI@j_PcOe&g0_wi+^wa`z-mkzv8y*^_<&2YacC>dwOHuf1%qISvmXdFD6LGcdz}= zce_q@!`$t{+dda>vzTwcbLzYE=hWqI|8UCLe_t?BI=(5l#&X-|y+_L8uHQI!o9%Yx z%_M36_P5`Ct8yMoZs<)v_U-eLzqWVh&;4$<?X%61vO4!0$0jF$7fbcM-J`d0?sd~` z?~9{z?BlI+9>3i9w?=Y9Z~h^(d6Lop-xZhczqY@B?SFy%H<rive%l?gmU%4tTkT-= zo@3e1Y>z6R`_NW+?){ZY(Ez)|_r^7K-aGgHzmZgJzvcM1e`!a))g7*!_wN3^{cJbx z@gKi&FFyUoz4hW2+sg`b|2`7CzT>#G%|o``G23ID*XdqwdA;tb&GG0t2KKg3zx~TT z^sVl~-`czT_wMK3xc9!-hQ0OYH`dApB>%2ic=TJPYkT>Z-N)JQJXW?#=zo2n^l0gm zUC*ovb$7_^%+vWNclJCV|Bj@(J<nhN%dHE$@hrVJw~j04^X7wQ_SQL{iw~IDyXSm< z{HO8Pe98IUwV!Nc66Kd$98l#eY!2UXSWs@wzxi2pX>T6({q#J!{rhXxZRh!=a^|y_ z+_*QN|AyWBnHy{Cp6qw{o<HB+^@iPjuY#Pv4|taEI3#)Rk<9zTM)#Pc_Uxmk4`$Ul zd=`GpB0qD!?o%`S>YUHUNwejbKdXHF`3A%7KSypnQ$K8GFS_mL%?GpUq*nKD-*H@b z-Uq(jG1lu8uiIX4$v$uTeAmwf&z2t(<+ES?xpL8+XUA(7zPtZS{fL=8=eEC-lV{JD zy8UO%jc3b`o7p!Xl|0_jUvX&O)Z*B}xjWbGw9>!ygZs@R-ftiHzR!L3yuo^op}lr? z|M~CNZ#-Lmz|3Ac=kw;nX7=nkpNo%}+251T`eSC#`0XTjVN1BhQ9-@J9_cv`_||`H z2)0Rnet4Gr{7;YDpS1nd)Z9M*J@2;p?`5~mf6u&a{(IwX^WXpLF8%-Qv+bd8pKXtR z`)qq$@~y~@O?4l{!Ylf-Z65KK?J$dBUKhR2@cN5<6M0F#kBvWX2Iql8<GJdt_}TMO zLnCSSeA&F0+Yj5`d(8R$#?z_?Qbn>m)MDJ%SzhnVKD+hDif7A@N|xC#|9rf5B|J2K zp4@oWzc;roD(Ca%<k|B%Z~r;-<HV0S_LnMbPQ~syBo~(~FK%%_TILaG9ZH`4vY(cZ z*p8n$Kda{Vo_z<t{XNKb`#Ym`&iwQ88*1+tANlro;`WPwZ+#EcuDSL-+G0^$Q}CW+ zs(yvd#&;gd@b5Tathe!e=^ImfLAwW&er9TH|M_ym+4Bv#b!j=DAMf}1p8r`marS(X z+kd9q0L9+hJe@x2)&1Kmj_8){c(;Qs#(JIMb=&JbtN-iIn$NYn;`pDQY3ILRKX<xx zznMMbwx69F&-%CJ)@kK@-h9*ylx~U-*glr{(Bl3k%JTYz*Auf3l|GwQTpG9V_sWf+ zwJa^qmLE5qH)H;Un%nlA+b~07$NDQ3xpg&fCW=20<@+eLzMxTg&q2d`4_V|tNXZxe z^*r0}UfJ1v{&kI>#`f>=(mC(<m)*EmFS}vyf2*YG|4;XJ{eJ$vFzm*?`n4PP{y$aV zWo@Zf*eD(Ih%db2fa$!CY`<fq*9l(#Tc24Mw8z-kUiPV(J@dAoGdG^?|DW|ud;fny zaA5qtEOh&i$&F{rx7J9@-+Rp0U(qkS&h~o4>&EOer4MEm%I*w{dG7dm^HJe@2KEQj zEB<|Gm>c*z`*Y+`<vBHedK*4h?mQ|hS7@m9uHNx&Yy5=k6-P|-_O19Kw|>9M`5S*X z?fJFn*6qhK)8hkcy2InAvfCuS_g-ahtbb1X%kO}9*<1d7`}y--_A2{{+mCX7W&YIe zv!8#*p>Ow3AKCFMy6!&L?dLClF8OAweO&bI^C{84RkwZ1&UwooA6~8bRPJ3y{_c$Y z?HT#|Gu}7k?qPnLtMUHh#BZzfSN#8Qde;3Xv(L!i?{~9MepmC_q)z3Y!26Ed-&g9| z3Fz-z`%CQnhTo!J<?GxFfB(CB-0&l_Ug77vm;Xq;?^xg8b^H5v!+9OM?_2ktKhnHD z%clC#x7zo7%a5FXzk1)%;ysG{el<S&_P3y+`q5=2yM*W2uj+q0U)}zGvblxQynioD z<~?ZI@jdzI+u!Nz+mBe=JbI<R{3q)-^=s*2HNEaJkDo2?djIiP`lp_|^DqCqJ~MvK z<4^xZ?mxP!|Af*1#&5RIpS_O?&-v%IZo~6AZ_hOGegDz1db6fnUGQ7i^y$VmM|ktj zFZ{un{(Q>a{FVFuXBYMG?>O>xr^x+>Kkj^LNtdaKe)~4&Xz-l*?)M%v?61D__*YYx z_5HxVz3T2ec20<S@V51-*mm)W?t6BxcvBz#wp4ub^@{q!oyY#FKC!j?@u{c%{)BM% zt>$q-=RTHbR(ALQi9N}9{!gsu`|4HiWBh({mc4zyC#iq`=Ua*M_dl*a!dSoD^3T3o z-0PQoGm?pq-1dHb_zp!o{YS!f0{3HGzrOwbPPeRn!M{(d=N$`{5$1O~`u2Ar|8#Ia z&ic{p)hqYa&X0T8SyA-$az#tCd{&M7oJZfJPThadvp@P?^8EeY@Besw<+nIwT7P}j z0qKA1Lc3>Ay(Rzgo7JZO$y={~Dt~^h;`sf!s|%0c7rpb?w%)wxm~Fj!*;nCX<;+{> zKUSQyy<*Qon+Gr5HkljSn@<zA`CL9t>&=JqD^_pr&-wPF^35aVkG6dEtG@k?_$g_3 z$0@I%*n6k#@5*yF&$DV2>@J^wnA7$9tn0Zm+WV>>8n0KBI~kqW{`yx~mi+<4vifBo zcWw{+^I*l_nH4@YzI%U$pVBY*vFh{OoAs^A>rAgtc|Gr&_a5WRb>2V!ct7K|)(`m2 ze6K)u=er%#wkQ6vIBtE5KX3Jqmburn{xSXH-tgbx>-Iy!Z(VOZmAdQqw$<Xu!Skm7 zl>O=y`z=)G1?m5^Kk99BFZ}Oyi)XtY&MM3m{u=*~EkDD4|K3O6-cMdqQ7yXm{V%?* z+xx?X9zS~3<z60g=ilE+0>`h1+3cA7=-d056*sJ%3%_p{-Cln`Ma}Nhcc#80Z|_@6 zetg%q%KlE|*SGiWUlx`IAN^h)c;}z(6xq1@P9MwG?)dv#nU^nb@sDfICjOtd;GgVM z^C{DR6jVQbFZT0e?9}@~`wo4SmAJ1|uXZoc?xF0@cGrLJ^0M|Xujy{KUiG(d;{5xu zKbfCu-kVS#^Mw6-z(1Fr?Q^YH);=$-vU~Ggn*EXSPg}hc-^G5;u3l9;{S#OJ6ZR?3 z`9tbY?w#^JSni=gXwB9W<v~x|r^uhoKhZD#Q}(CeQ{A%nYrkFZ{`2Twmi<DT!>T_e zpB_KHs;)x*>GCPRZ`4nCbX2KcWnOUI0n?vXmG<vke@kC;{YRgFX%qMFoBt{MRPr4A zh{reeKHdGTy?<eiTD?O3_7nFf-w)b%_@wide{~b%?Ht~gPkEm9iNE{LRpzPpgXJE4 zSeRA6q^4@0ji9`Se8KOWqy61~a+iHmKV^QSzVFlA>)HRKf4^*=Vn2EQgIP8C6W`lL z{M38OH}53B#{GREf4?57J!L&-ufxZyO8cuiZt-jCe`x$uF;U(&<fq_M#lGyV`{SO- zcdAe6uW+w(tNbN(HD7+&x8PIKZ}LU-#eUvAJavBXzGEQ2+^=u><b5jEX7c{XC-NQF zr@SxxXVjbSy>t82*D-HF(Q-h(@22jj$L?DDm)5jCzP@VjUzB(Wgv87Bm47D|{eFM; zv%7Zv0-K{>qo;u4raurAH|d||nrHlv{@r|Zt?Zorp>M0Z|C~*}y3f0^mHiX5_VfMu z{&LG}=6~Wi{yyyYYS(?AE5K26f1<qpC+knjr=n%{xW8R5{?m2gH{nypdlc;#+x*hL z%3mM;Q}e0aJo^O|8&Al0KA$3grheI<tcmw+9N$i#a=k*Ke)EZV`@o;3PYwP4#k|ee zw66;(o4@2w+ozd-?zW5E*ZuVU$@VGl3qB-1eJ}p=>Ex?*?v;J!PvpgZu3oOOf4R+p zbMdSHPW<%F`;$w}f|>)5my6izecJ9H`dj#^^qznDPp$K>{M-KRa?nrXpPct5zt{b= zce&>MrFRalc6`g;{b$~e{HGP(|4yCSdH>bREc+#U4%}OQwQkRe{&@@kbbJyBf3jZu zr~4z$r^<Gd<_E^rdH>ydKIFINQ{KLPiz+srI6rUcpE;jQ^G>c8`}uG4)cBxxN&i=8 z)o(swFR)(oK4`FR->-?UuAdM5-Mh89|9)7__7nZuPq&BlZGNv&pZ|1=z3_XD`5zkp z99F9TUJZ_)$M0ADy?E<q>m2)VP|nG#U-P4IqP&g&PvNI>-|83DJonx6Z{gp@A3k;c z*`M~h{(JTv96#xwm``<oe))U$lb@%)YxWm>*6vN;dZPTF!%xMh?w?}a-yZG$)Ay(2 zlh|~ShmLYzsdK7qQ~$KtwJt*2e(4?a`M!@e#eOP(ob!qK9mq3hxv$oFR`xF5y!!81 zrTV{0Pp?lozC*R%`{%2qRqy{T{3LxU{Zs$*@ETa=xyd?ZfBci_`eDCipIX{YsE>HE zK5o&Ud7l`Li--JHetJ3eN#_rTpAEOWwd-P@<j1c9=brs7pXQox{-0_8SNZArC+a)Y z?nghVmVUZ>igksW{eqfm(XZEqziYlPD6>2o-u>rQDmZ%BKRtG>`%?7OeM-9p-<5w= z6YEvx1=u~3{W){%;r;P9_c^^?KE=F3cGcgciSzdc{j_|Vy+?h2)RW)qgX%nYc87-t z|DNsoud%}Sr(FKY`+A>Vhp+zI_DQHZJpA`=*SbGaPq$B5U*P($ZXzUVCW4~o`ij5v zKIxuYxA0@nl-v9F&)gpdiW<4~>;F7fvagu?N&S@Vo_&p<=IXAm|MA@xT&jT+n)&+h z-+E6)<6N`sBc7<|2ki5&Y}x)~z1Yul(o^Mw>QwIUUU&;us;xT_um7preC6MkPdxG` z&uiGfzJI%B^QYibwJ~?x;Bg}dj+-!WzNwAgx<B&C`umIi%=#qp9^{w1+qJ-DTB-Hc z{n1a}pUl_1|4{emZ`Zn?$Fue?wmBZR=o_e1yFCR|s&)ODSoHgEPv?(>r#E))zGWX( zsg{3#9VlLQDBQ2?{<eSX{C&=#JR^7VyV%cr)>GdHy?gN0_DcPR6Yo2JPbshP|Cco} zek(XmR#&h3TQO07uQ#YjyEh3|qTSd%{nmMn^>!ND--m(o%>Ct{Jaca`D9_xR7_a;3 z?{}^JOaA<4K9d;GSmy-Wf*v!cT;lJyKiiux1!%3EdX1|q<Km5t$;VeEyRW^mQqua{ z#^y&0I81}CdR??yb#;dC*?^}R-3vHEFJ0>hO!~HS-oAH#)_KpXx4Zs#U;O&-&x_A} zFZmgJJ-O~v(6Rsj>(4D;S8#Ht<twe}b6!tAYF%?~Pow!0?`Jo+PdVRzeP7bN!~epc zZ2vr`rl9GQXmNhpr?X1-53`>!=hRRAuJ`G-e`fvZJuStbY>VIfe4V`Zlk8^>x&6BK zdY{fMpDI5ix}av)r(^D4YR~<){^PQ*wfxCi*Sg|G-)5h=UhqNoZTSp-d%^bkf%nqh z9ljd*>7G*loF#is*Ub5yt}U<g$+U8QCF}Di;oUV=o4&Q5Nv=?mH`YIYwf0^5)czT_ z3u^jmcAfbCqva>xy@~PqpN?wYns0di;e+&B`y-!(Z~bKXnc?0f{h7P#!|wl?^NICy z=bu+LKi?|dpRj&L{=>GK%_q|5U;j6&lBs(C#ea8|-q$+*oVJsB`jg+TbqC7NSl{@y z_FI2<%}>|2@-y-um{vZ{`1|kqd-Y$FJ_-L6kUJUOz2|G{Q{QK7GW=EjhxhDx9yk3@ z?dqeq&t&h|J9p3a6YKr2)=jVE`n~VdL8bTRr+%M_-J!HU>WO>Ji9PLaZ)ex<IT5}* zv;N4QhT=~zmE`MPf42POns;Koc;)Zar_#^(TI_7Tw>k1j`Hwl3%;itKpPjV6dVc@1 zzpS5m%I+)ekA2d-UOV3K`-7&M%_sbS%=*bNyFL4V-o*QL&cCCdsm_`Be&+G`Q2U?D zK#@_lPs3jCli%t;2ktbPKk4m%_1*sc`wzlD#mx3C`Fl-i|IcaQ$nd(Cm{;%q_rvW| zx6jn>_&saS_7l>}wci`bpKOm0w~Kg^{mJe#*E&#U`(k}d-l#wEyYwx2WBq!ivhx9U zv%VjAEBWcA(*4K6&#Z6!U-#*?>%JfBzNMcj-k}7FjQhcM>2e3Yec!S_=E>Q)pIAR< zzMFhs?-TFOuAgG_PMCMse5-xCex`cCrpwi3-FwQHebOyve|Mt4;79S--<I`#6${LN zbKaYHf9}%1vY*+^>X!X`mh<zC%}1$u=R~8AzgXXY(|W^4>7QTNUrC=yzVUnOp6|{- zUzI1Zm+6cA{*oqt=-&IMYvotIKllBR;a}N1e_emF_5IW7dwJHb_3{2w`POga4fP-L z{{3?N)3g0I*q{Fwc>X0V{($tS)$>=(H{^fNR(E#K-Z!H9Kc6R?ysJDJX~h5V<^Emk z|4**j)c(nO$L@%G|GW3FAFmg^{U-k4w1xY=2Jc<}(2kk!qq5EZ_3hVxyps8v9)G~p z?%&KkyO+O<KB&C!@x3=ipO|f)zn#B%{!Y%_(}V6=OuMgM%RTS6^(W=OY<(}QpY&>H z$5#v97ym5v&F9|#@}F1lJ!0U~*T472>HXK2>mQohy?y%pchZhyyZ8Tz&p)iZuRYoO z``d)?TzmSfx7W;*nD_bU`YrYe^9~#S&AE79{O{GBuhh>l?^qCT_&s;;@_Aosb7zDX zZ0fK1;Q#a8t0aED@1o-WC+VN8{xA6a`h@Zarj@U+SKXiXn`g(d{ttil$;o}ZTKVey zzJ|N2U+nu~{^@AlBT<>h(eGq`{E@YJ{`UT=cw_U2P5*Yhke0vvzWUw!6W^amRk+_* zoFCW!{{8-6`+S~R#=O(dmwcvLzyIZfFF}uvJ^Xy=tLf3%j_=EFZf|q1soM9ark-c_ z^`QHEAJp&VJ^n-PUTpkN*_a2+?@B*tSDX_U&)RQZA6MaSANggoYyDU2ugcFDbK;`k zYt9H~@7nGC`gfFZ{=;`{pFYZcdgyyZdxxFFow%^NL)SlaY_D7Yeaaj2gzs&y`ak@c zC)fA!s{EDtwT$9Fe)NBO=36Ph=kWDA(y~XYA6n-3znTAhL#6W5->&s@itlV!-k*H$ z0AuayOYisZpZcw``cv)1@`TrEpSGTye_Z#dsLi9<r%zYExqax}*{SFMEjcediT}UO zw#s(?<4^AHKXLy3=7&u8_5bkQd)U2ymHZvI@6R_>Zn*LI-r2QB8Eu}2i?4itrr2VE zyzZ})a~|7$&VSW@M*WxMU$Oc3<Gx?t@Ur{*m$dzjYpqX&*I)lPrIL~T%Vf`ed{g=p z{;&J;^6b90=G`aT{|D?(cz0N{_VfHthij+I|8R2eQR}MB?;n~>k$+)+#(Kw+e3|9< z)4vKly}S3zZ>bZv3(D(%z7>6Xn7!M3M_t2>JJI)=PHT6of0C{^68`_vbK^>P#@+l^ z_Wim4>GN+Ri(~Bnf5yK*$XeHQwmtJc!}||>-`)79et%%O|L^*5(PzAG*tq;GxY#fD z_es*%zn1LmU(VKk`MZYy>b{dg{ruv~e|PP5?@qo^+j7HHulA(<|D<oXjUS)&KKcEN zdQD@#SRMEK4>y-y<(FIiTj)m35{t+8&L$tRw0XYw^oscg<qsO_cD~3L|J(Sdt&%<c z%kI@Ley^Or<ahLq+C4XRNZo(`_{h7fM^8>ZY9=#z{kIF%+3&O;FwKt-yI0rsOLEU) z_UXUl-ycj{^M@tfUzER~;eKKM>3?$bKHs+8x<4`Q(9J#d7tQU@)yEgaC#|xd(EUL7 z?^)9?f2XX!{`=a7uUYr<Pp`js;P*p;_i=H*b>D4$XnJo?)1HrR-yUYyZ2k~kaU{KZ zrTp_(>KlGbZ9Kg<`rGdhbH1-zvG44i#^hhCUG3w(uzzCw%%}I_`0v-hGw(0`z9!-S z+ehDKeYz?5NXqZy)u~_hwftp`dH8wn)4S!X=O47S+wtjt75_H#Q~m}2<UTU2|M2=} z@y2@Q^FP-v{j2#|=-kWj?z+7%)PJvtH|T%RR(HDQ$A){)->j}Ve5Yx()4u2@)_?jc zxo^LJ{8{hQ?A00d=WBSMKUwZ-w`bKS<zo4HCuYyT5TE~&bJzJDvp%tXo>)^jWzXId z*PrM<6V0h}sVtx9zkc0czRwcpPW<lPQ_cI-_L-T@1b*?Ka`FcE4_>@j<-g^G__lBB zXFM<Pwu^ic{##Stm_OnF;#>1|KRx?*YWW%UPoh8PRDNdtD*Y+@+3lJBlk~-YzL|Av zf8x9Y%0IIveqXn==H#8m$CE*QI`%))D%szk2)};K?(yqA{BiZ6dlsAjmOFRy`Srm4 ziSrJc{{67))4lR7=i9g67xVv;mR}dN|M{#vuUFVl*qpx1{=mn`Th|Z%{eG11ciQ`d z%s;<X-O4}v{m{3Wuby9@e*cfloP*c;k1FoH>AvA_@Xse~uYR9Vwpj9BPT~Haph{`J zszR^)Z`l@qrtYb9{+j$u_-{{Lw><mPY>U5ZcPudf&2{eF^!0g5{#t%!TK7WTd|J8N zQ{^3hns+QT|1BFckw5n9_A|9R7U$n{sry>~cJ_ze-A9=B{{LLyUbo=>+2R|2X8*d{ zcx(T^^*b7R|97l?{Iz<{Ugp{2r=PR0%r`inFu$%M*zSJn`jV6T&3|v$&hC@n&7b(c z=+nEiSITGJE^w-E_^qvV|KP{CM>Fod3AWfdsiwO4ZM@<7hfQ^L8}^ijJ~gh`yxwBD z`EMq@6YBGRNq*+Yd%1i21paj^Yt8PwQNMR-b}jSvCugHq#T(6k;r}JNrmFVs>W3Ev z%fF=ApQ%0(zWvg_X_bt-uLs-jH2r?xMdo0iwwd3B_sfq&Z>U~SQz8A;{oC&Xm-~Cx z{y7(1cW6DI*zPy8_wu`MpCJF@_8Du7<?(m@e)GovWQw~Vwr7#~Z;5j!pYQv{_?c(j z%l7TlzrR~mYqw|bW{bt<zh%~)++7}EpO|-;`7dMN`?a;QckTzrT$=q?Ozy<?@+<$& z*68Ql_x}6G?+5#*xEoasJC<%gSiYgQqoyeRYxXlinM>vGmG0a4R7&&7Y014jd+*1T zI{$}<pPt>l>i*=}FVeq6|M`*eG~2><%I_QI)#B&ml;c@%<s0cg=&CC+uYB%#%Dvzx zOQA#lH|-rt^7mqE`*-q}e>q!yV*R{zwZe7NJA|t?pMTKz$H9Kq^9_|VeqFWQTK_ku zkWqSNg>(Kl)f_v2yZz#|&m`Ym=6|od|Lm0SiSLg-nsx6@^o{z?J=OAGwV#>Ixgh`G zU+Agwf<In`{`udO=d5{pJK%Tund}`4=HCy$SGD+)<etjCH}1UpZc#0*cS8MpaD7re z%l0pKf1hU0zicO+$0uI>W_B&Z_9tiASG+fX#MZC8x2qq1jD6H`@6F^&{-3WJZ^;|! zCw%AlI@$TjY5ooTWql&+U!JXF-Yq|cUw%p5sXOh;zn*#3m2deZT=!M5&^7;?sZ4E1 z-GX?7_YZ2`*+=~D_1B0$@cQu)aBVQB=AXb<>1UELm-*$j-p^f8Yq#famwMG^bNjXT zgMIJS&iKV_`TKvwU&cOr^>+{ciEKQ)?`HJ}hkdm>zD0lDyCHV<e0!(5%N@oytU_fj z&8}s+z5Jwlf5`oGxr2(e=9BXGt=z-QeqUhum$dzDhr{mGO!;N_xwFn~|Iz;Yq5Ik{ z8y{iado#S?o9)k60dLRG6fbbKuiUcdv-4Bs9X8Q-F3kSRx9&uHe_;LTJMGrLo+UpG zx4*oPbN}y^6$`%C%e_0n|7CY2-|Xir;%)tYU*9h#zM*j44XeQY$8TD1sGL{xui`5& zIJ%PU?zf)$y`h{DlC#P`y%UD#EPL(y2S3g~Vz~F_@`6v|KfiU}+Mg76nDy@;&rjFv zr=EXsR^{Bwv-=vjKb@R>_52L?0`L2^T{Q(O_v-(AuPmLXckQ3<-katH-uG%&{Zjqh z`Ojtl(dEm&UH?#FS?Hes?eL!Vny)5r^Jj{0*uDIh=b^vhV(uF@Z@=-Qr_NsWUQ?{M zosG}miGS^6E}GBR+rN9)C*itM(~YP1-TYlp!uzvv-`PFQ#lNl^Z@Ist?-T2uebXvj z^S>F+nS6f!%DMwK&Dp;mZho>?I%__Ee!jxF7iaC-vp>DteAR!(?GFz14UcDM#j}5B z@A9tNeE$&h&u^Bu_RoCZ`nLJX`QSfmEA(^j2Hm-I`+@b1eOqfj#Xz&yeD(Wx94n>y z^7KL3%ffU2@(+EVo_)Uh{-p00<6pXUduD$!ox^tL_`aLF3(CrWzJg`1PfnH3_nmSt zsFa6guYDfS>?MABeb_DYhvn@k+3Tmm*VR71ulI|HZ}`aZvF+X)?;AZ&K2N`pKJTF2 z-w#Va-9E1Q{_wrzqo#XrZr|{~qVjv{tMD_q77OhU{0*M+{=tuvkLKNb<8D#8s^)jm z+xy_6@E=#@>&R2-AD&4jeNOo+m!5x^_2-kRul(QiKbW@fx7N=Gthdq+pZ$D9?suB} z0o}^twfhd&EV93t|MBJNE$iEkPw!B!+U)<#_Q~q-?D`XX8tzVCHGhxWz8c=&3D2)S zGTnPKKjHh<PY<)N`p*OvhBb5lyz@Qf|07gy-HWsP+7_Fi=KsR|Om@!szt^+(f8=}0 zUGcZ<W8b|u)fVdW_dEV=t7N<W<*@mw>eg>RKYX)&)OqjC+4HZyhfFRn{>onT?aUW; zi+>xwl@|PWgy*lx;QaMh@#|;v)A@a(=^ILWES8x6=GbPhHh+(6?fgoX_Ahr2Kb`$} z>h^~ZCO?{T@6Gjsil#k3!{F7If6%_R%jY||t2VEH@FwNwtK3`jjX{Osr?SfD-Jrs- zvd}sIoAnMQ`MfLljlMtZ+xL_2=hg77`G?;73G;vXcmA;K&&E3Q^NF9eUxmNF^6%^R zqpUeK-Z^s@-GBT>_J&QYUH$Z5Y@d0)y=-^?@N?h#J^a<yGwzAWANn`*)4SbQVRe_^ zPrkl-6>xuQvi#}o?=Sun{Vb*T;`#fj+pC@$S9~rm^vnOo8Z*J4>#OlI!8@1A@2kuI z3HzP1!_t4xQuE(zc_(MT58t0+cX9rU?LWTo-wHqc`27*ty*K$k%YC{!@z#A%Vfg)( zy8MHG-A4r9{0Ysu^6T%E3huK1YVvgf(A;IOvj6vXP<f~i$z5OM;km1Q>-`&#Pfh>x zJ)Cj9zHZ#b*|j{+pL`Db+W?NT#ZUI`1+_S)i>H4{n}67}^1JdY^)tB@3+xYk+;{8x z!M&<_FVEI-^FO)0cx%1!eeT=8SIn;$*)RXTRW}-vzwX7`S-Sn51&*%dr_%0~(tPW* zLD}oaoI3x9U!R=qUkR(dDw3aOTkIA5zA^us-khDELYMwk1GhJhpR{JzmOuFP>=DMj zH`hPts`*j))%Ka)or~u7iu-r1{pS1Q?%JcAdvB(L8XWRxi*M}d{dHA+Ykh5cA)|D; zQ2vXv`&!QKKJoncWk~*F`T5p%>i5L=a**n4|MWe-HR09Qzf<J}HTIC|%f?~f-K_t8 zmAv9#KKq}J<`1=NHs=?cJ^%Xq!f$3V6a4)`p!L`J6YT9-^LfoD-blXxhIxl-{=6md z>?I7!UdOv(*=zDv`;@o?d28xEMgBBOlz(I6yJwmCZ{9a@YVz|IL29ow-Tl>lpxDZR z)Lu(JG5>9<WPe`#zxY=Eo`|1Jar*;cZNvHD(6%A>r+eC4&$n;a7YDZu9rrJvwdc1h zEO*uI1?8?NSnm2e36i_4zJAs}ozEwpzTvA_p-28V!JPe}c9x;g+~u~fa{4DTnLWXC zF3tWc#n(T<+&|bp&F<p)7u$b){Cnzp!7sZ)$NX=ib7VE+Yh8aAKVyx#=)X_veT~ac z4n1#J=Bo4kt6MDp?#1l*>G875YlZ8+8-8?n|KH}$MEMuxpJmLnzpl>D_+O>1m+&|^ z>2>!n+jI9C&-$N!em~@X0;o9L@bvTCMSJ+G=eNGh{iyin`lol>;kB1OsP>u;slAF; z)%?zdwF`Ic`N|8*T&A$hwQq6VF`K67U+*M89h3x>gfAaKYpy@*;5Aozc06x?dY5(8 zW_eIa_#QNN*Kl|975}n3|APxztnCG*<1axPhJ7_ZYM~87o8Y?d+E3O0yqcagy>|2W zhc9B_nJe;Ee$0Xz#`WwUyY9V7wosoxe=W4;;(xN&K65^Q{(lWv&BYI|xjcVc?f5@? z#}f13x;7K?>zDkU{WI>yzumto+xO4-e&|~LQQf_~`<inP?|QO-`6T((@y7iRChYqy z{PS7SsoMnwksm$sza5+YwVLbu6!ZF3b^dva=3mVJ_;~7+^$)*vK`O6p+iObuzRf<v zy<^e*KR)+%&iW)66Xz;(VfJ6Hbtl^G!|x}*J1krKS^U%L?9BTu_uuOKy*O*vZ2gJ( zZ^pdC&rGkbuX-DQ-y!C}v9Cv^t2XN=erEXjXZn|!=^Om*|4jY!&EaY7joqC)mYM$+ z`^G=1{Cw#Cq`bqNe;ME9FRWodK5xfz^WTDgd7AhCML`>f^VRe3#{J~EcgHVh-m3eL z-{kI4nE%J^Z|7f7d04M4&jO0ESa{tPa6b`R9NK}3!@WlY_uf<o6^B0|ZNq!7)WuIs zZ{NUQCZ`d1dG=qnGI<sGxD}Ax_3ZtVa{lnTL+j<#Aa$4f30U2AKYRbj2vFUX0js<I z!|E>kQ`P?0?Bws+1=?Jkz4zt|P~GJY&s}ZbN(<^|+(^9t#<oJ?{y)#(Yk$VwsN41H z=lrMU=Y`WZe2szRF8g@9pWVOgK6CKBR6nyjT<iUx(4RAQvWn|J`{%mv@bWX!H_l8s zFaGna>#hB1``U~@9aWP5*L(i_op$z5qMv`P-F5!Q?oX<pC)VtnwqE?_tUuE#S^b~f zcD0+kW&h0Q1)s9szJF%<zVQFTKYcrS%b&En?mPbdOnJc%skigRem<Ii%iiex!&!SO zXVsnm{C)GU>7Up>GnB=z{`*ZS|6g=v_fMC73h#}~?=7u!zL&VKb@ld>zq@O`$-n(R zLw&>FvZv0^USB?PuYM~34C{i@Ni|ze@c$6~%(u-y%r5fD>F%xeY5N+BKiyQ4mz=)y z$^Fei&lGJYo)@ot9dv5_OzDD8k$aa%Jc<6(R>@obWVLHuZRT73nac|*y1rfS-cv96 zbo-gu9cuTD&i`BWuOolP_ky3%Kl3K8|90V@>}RHJY){)=?e?wtWcyikkD9&ir*BWE z$j_W@JlTBzqWk~<{FA+xaPPp!pH=;PPdu;A+HZRPp=@ROyw87GmVM$Y=I7J9P**>3 z{s+0wjQ1w-&-{J;#`8({Z+`o9OWr{L;mcE>E-KBBar`OznZr-#>15Y?H@!jeQT}8z zBswhaxc@GG_U-M_+1gqEXZ@6Vm!}x7^XcK#DfbP&Km0K5RCxEEjoZO7;=3>IN%p7c zXQFTJdHs%lc8>eV%c)!UC(0fEYy5P#>%Fa0?i+4@cy;p>d9j~z_l@r#<o%gBQUBY; ze-kVDs?T4m`@H(sU-tIy@ZVbbXNoN<r|z*me{yzs;JqZfiSJMB?ydnx$>FWves|ZD zg@U4_z}GJ3$==nP@&@Gz->=@1*Zss?cXUrP_otIe@o}-glb=c7sOkNd-d$6|`!x8O z;+%=+XTHC;eqXBg{<ORUm!qF{yY4%hKjV7AC$p!kUF-JF|2F+h@DAm8{ZIe?oywoN zyWmIJ+kEk#g7*#HKb%#w{Y3cnE1<Y2Grwi8^XcQ(Deq^lf6(-2%Zc09!|GD<4n4Ep za{te)y8p8mAGO|da8F~n{Dke|KmS<W$~Qd!pl#3Y6YSSR?<KxFc#nPS{rD%#ZyL)# zm{fDZ=FgH}(eA&QpQ+}^Da-4AI{9@<ykY-?o|;W3tgnaLrR5!D{+ToJ{Rhp@VtsvA ztBaoPKHqI_e&L_#XHy$leNaR&p30x`yP&A)(^aMXI{`l@{q)!e@`LG5-OpTn_Dlc1 zRhoa#<G1uP!<fnM#VWraJN16X?G3xH{!5(r{^MVpcJ5D?ZJz(uiFqqO^ZACKnQzVa zpWFYx-h0okPEg)Bw_hV)|I@R^Q_*MCKM7ZsPs~4IeP(;XC)Ky##ecq00LOwmC>CaG z$s3eE_;4B?3)!GpxaR|o1>4Zyn_ca8?fj(qSwQc^^E1cgSLVyE`7>)L<L%|A#k*^| z?;EUtIHzXoiS@RiJn_M0U)+<w(Hi?x-yJ$x{$*a-MEQ@rpXJ`2DDSQ*^?rNbQ2)UP zp11O1KYxUs`hF(7z_%{y$=hgcdn5D2@4Ij1*E#S1pR0fGA@4KZIsYQ=#Xb3aJ7fP* zo960I@08^4gjKfhWM5ym=<h!z`-isAnD0#BpQ-+Q_5Qtczp<b3zVUZz&6X4D`#xzu z6O5VQFIM^d+o|JcbZ^*%|Au7;<@v_t|JM9_#s@B+ese$RcD38H_>=TAyFI%Cer8QH zf48LOWR1%G39#a+djI8r(<;CF7gnXe&7X0+;G_7@you%S7lBHs+4Z4y5l^aX&eyP~ zKUwa&Z^!Cy{b!0R6!PmP@BbfPci;Qp??O?Hd;|Umf9`{F_w4duP=1&X&kvLTXYAj9 zBE9^2U4JE0`IE!0_jb2`Vk~Co`@j0{MJ4+O;b*LOsLj{;^wbtyJl*ZyY9IY%akb`r zBmRd?HRo#fwC$^ZeGgPXZP+`#X5)$NKX^Y&ojV!c{pXk6t#~8<hn+QhPyAl^Df*e- zoS4A(nb7>u2g(oMuY&Re-})tg4=c&v_y0NXC)c`@{@r_O>z}$mQ+xAn?ccQ3_y7NL zdju|?uGW3}rZnH);kWKH$(;A=K^cNS1e75xE1`wcyc6x+f4&L4<)1OVpvZTxdeoE2 z?3(+N<POUIOqp0728sxaJ<~uLy4`=~_5xqK`QPuj{XN^fwchr9V{Q1ph$n~JHTEaI zJ1h!{2Dw$BXvn|s^82;xKDGU+aR)7bmQD1RU-p;xv&6g;<=uOJ7d$OLlWSoa@Lm#H zBFTbEB)Nrul|Rey@n5R@9R2I>`*%8Vwn3H6l??fL+WGpQ(w6KwS~KhS_TYQ5PozKb zeb${b36vEAZ@oA4e=x7+gw6i#?>_T|+9kX@@G$o2V%NF@>t~b~_=0K(_w4#JHO#vk zK|c5*0V<MWCY+zi&L4EYYR#wYXKXnwpN=Zse`J0p+d?&7_tWd+ne``aTB|>OReEn9 z_fxl6JnnAz`^=gC{UZH8+A8_4YlA9?Bv5`x_<ryde|OCvmZ#Ovw09_gDhT1J@-t^| z_$lz#eE-?||L1Fg^FxfS7bridXM*y>Tj#0e;y)jpJY{{x)<XTg{wLvk$#w^Xe-=!< zZ|4dsk>=P$z3&wJ`DEp(^)uWH-0dQt%w4W2Z@m4%2Sd>4uB=_^y+fuyYwmq^`fA?! zZT)lG4f9Wkch^+R0A+@liR;BGAHO^`{fzskZ;7|!^*@12q;n^?ch~&l0cC^_F8iXN z+)dZopPYC2<mIpPKn0TRXW2UwK{a(GxTgLfc`JY3y8HD%qdva6c}n^W^N!kyd-k4~ zeI8sZe2-tVXZH#7C$i6?cPPEr{}j3EuiR&rZN_i;#Vg<MJjH%S)<PXtDX4>rBRhXk zab!{1d2h1rr;DGb`p;}HaJNf;w>P-<H7h6&6nv@rnKUu~qx3V=JCoLn{rt+kb$`md zL;s|=?2mi$S6mZRB8mQlmPgy}ulRdcDSmIn@A5O;7V7pop9+`NoUdW^f3n>5UR~!W z<zivKqI=Bc@5Qzkl(gLV)%Wk$jJ?dq-%ng0zv?gBXRdn}_-BYO{d8~Z*Z=o7p1#v~ z`}@ZCR-gX09~F(+8=qtOi7h79-)4gT1G)Koecp5L)Ng#Nyj8zy=bu0O1mYg94ga&= z{DI8<Lze^h$me}9{NDCMWZvi3;aUH?D!Kf>e0JR*@#Sy$%K3)niSL>BwEui(v2VZh zi{Dx^r8g9AsVQuziRvugalGGr%J;<I$CBFrs^!#&{O$a1a>x9DcFp!{zn_2KTF`fY z&#(HwQh6U9W<S~M|H4jw-m|}VcK_S)hv9tX<E^i@pE+K6-|&=l`iJ-KUvw+pUH{_# zOLWeK?<Z!@zqoJf>i4J9<{kQ{{`4&K)7Adn%V$rpkCTyC@jK~nF6yp+ivL4b%{QxB zyZOI`ERMUcpFaP-_#xhVRfqpqG0*#;J7><4-`O{;9rrxCH|xCo`S%L@>lS}w|NNa% z{mW)o`}i+^WB#`6<evUTz2d9$KKY9BZQzc=or&-7E%__`OgHDB!{0||KfRvcS$xC7 z{m!M?wd}L)r=P#KsP3#yW9_eh4?o?G*M5KK-p)r8@4e}L|LXgz`Re)mL;u$86Zd;@ z-2D3c<G-3eX;;+l+hH5A@8I=<-uE}si}JbqfBeebW&d~ax6lpMjTW`P|NoNc`}oeS z&Ri@1FzdaCaqRC87VlHfKl`~-{%^s>{%113yUJhG&9CH}E&j^(qV?0a-A5E-{<NNV z&;ORaL*@N`@4qv4a=(A!U+^RUt@ML$^B*<cd!t?9zR%j}ckwgboIl<Fc0b*G`bGKV z!<UyH;oW=leL^z-&o{QO@@J}VD9@~Ge?N8oI)$>t@1Gtu-g~2d<F@xby({l$%r5ZR zKl`uUsqF<RoonB}eDi@%=Kbo*zjklUXM}%ftNT`0S#1AQ*kXU%jpgRQ1@2Ag?+dw~ zDtCzYZ%bu0^V`pP3-{j(J0n}MOYHsLr8Pyhg{<Y*#iF-Q`~KjSS<>vktU0mnmA{#* z;!oA8$vjf8sJqXw{^M=_RrbGy)_pwL{^O7Nm0CfYN5${X@=efBn7-$8;#cEmVsGNY zf4*IGU+0(X&q<Zs$G>z>I$tmR_VmM##~$^?b;&>AJlFT=v$21|_0aqOJ8E8b#@?`u z$azz8?q1W~=!`u1wf|1k3HQAy|M0Ht)b<arwn6%sC#J8rU-j4QGuOG7>Bmn<mxtOl zUN0A0|KhA&Tk)rh8(*=Xao(|Pf7-n};lJ11{`h;|_d}2GKIM+L<2!1(XLozWp1pgx zpO=e7zd4`qdETkp@|S-Xy)%BuV1MxQZ#Ij=`PyI8;}1pG6wTRFTz^;mAoIQ__rBD9 zimo_kEgxQg_)gQ@YR&t4zwR!&KXLuc?FBCTf3$p4=fAX1MovxxJhEd~{OP9p)%VY3 z-pDMPQ@*FBa?3v%84LR$n**!+yR3H{oUXniUq*R<qk7F3&7Zn<EqnPj@^hYEmlwHS zz`K0@H2K8uE*pO<KCQNB>pp)%{KG4ik9=nSVzcGL_BS$H{9aSTR=vDq`v(U8g2>a> zOTVekPqwe;6O_6C{nPY6_t(chyXSmVaZg=`MPoU?*z*m{;RU-U<v)Dp_=s^^!e^hq zmdD#q+}>dNzSZ_dYxoBC<s10(A28fIq`0R!`~!#e{OjM74YxmNdcV9`xrY0C|CQgy z&z>b8WtFjYi#dFC@ljbDSuME-Gm4+YoVPqT>9U3Q{dng5eG9&S{~#q-8UMre-=CL1 z3q)VnO|Se`QpmBry;Jx`Td{@b6YU*!vv#!lRx~VLerk65>%UinkC^35EKcArdno$m zpyr#y(iRQd3pn0C5VbjUZ2FJS^Pkt)+urx@?f)P;$Ikt||Nb>~J^c^4-p|w8U#mA? z{N2ahGe1@?-t*VyY4hbH+Bg1mSv=gw`F_8{Pqz7wwi`d*ynfaEy&gYV)_pj4{fTxB z`}YseUVr-5eU*Q|V%*_l=0{Cq4lD1;dun`d`k`yyThIR&`~5*r=8?KZ)y_|c=NzAY zKg|BP`|@t-8^8K0nyf9J?-TnLzw)o>=RaE?iM@+E{P(kU;%D|>wdw1pZGXsX$$syg zZ3TPv2af!67piriWm`P0eOUgqb`O7l{)NASpC1$yvgO}X(tGgl>?5gf56a#Zzq3d_ z*H?V@X7RK+$G-pg_i*C(o%v7f{xqzA@apZSXZ&Al{oFfZEn1v+R7|g7;(sra{^7(w z)11O*bL5`46(61X?#n-szK8R^@4P=<|AU!~_^$nF`~EK2(ac-X1nPLyFF7AAr&*S+ z_WU64o5Qv;2aef1x8>V2`~K<QUB+Mk3CutIEpV58;yfAca}R$>6td1P;C7dv`u>4v z`uUkfd~>AFO)NgW)5`n(?SqVa9@Rd(`AN8L*5~6d>vmuM{<6mF&Ec1vk2v!B#M3vh zKHqR~=fe^U?sE;BpY&Kt-`n1{eZ$YfcfT9&#Xl6bun*jqdT*|hT!Oe+{h9mucG~X` zy*-&EfA13a16i3v%6po0{oc1%&1bhRIQ*N<;!t_P2iCju4ey&gVtVH<dVK?X`G;Sp zKQYS`_icVY$HLq1vHCB&S(X2k_wH{uFF5vlk>9-~;)hONFWLV!xsp5ofa<>1)%j=U zyZ_{y|BvO^Zu`{x=2PE46j*m|;`W{E8<vOt{E_~Nua33){-o{odhh4!nLT8=f2QYr z-NG8q>b*Of)o(oA$9V4ig@14Lr+)vSdgsC9_><f32irBN?<rmPrzJlzfBBzZ*FSN} z%v1li^L+bT<EiF#%JL7~|8T`WUc7ng`Uf)d|HJ;u<nupbyLV7HW{$pmQ9R#r`z!xi zDlc>wSA6Dv*ZzBccl3>B_KLs8m7kaYs(pV>aQ6o0_JUu*KRMzys?W2Xb3odnb$bEZ z`v;~rhb(gn^DJ!7U1j}M7goR!USt2P^%HB|q3!#x{my>&jr9?q-#Kyr0^5$a#b5r; z*uXvgiOr72+8yo8H)a&?Ip4T_L*cx4r|XW-x3_D&zJI#^jQ9U^&Ly(1d+^2olkT0K zM|^RG--_oIKe$uSHz(Tf{r9T-d}R-2edqnq@;>2z{;vD~X6`%xCq7C3-9OE~1o3kZ z-pGHl%qhsTkUiIA{6NR@@y!1VzOR4CJLl8B7yX|^?ON`3m)4)IF<M`6^8Y=<GnP00 z?6iyDxO@*c|8(K@4gBf_b&)@1-hC4Y-@twS!?bGY3ij<EINm38Z+`s6qWYZZ^8+)B z`sPUcWncNexs}&q-hTOtL)Sn2^7>`-P5;O5v-^_d%N}s}eV=&#!7(A!BzL|3(Rq2l zhqJ!_tbcZ1{{5kUS4-<p+w5Of(XjjP(X{pFpC8hj^H%-+;pi&HJ<ZM)E#VtX&sX-d ze=j)od)59Ie+Bn79Dn`dUr%L0!;S`XbAjuh@4fD}zR}EX@l3|@`W)f(lbL^l?z=M| zufO`cs)BX*^{chtTtBJV3ct(Od2e+8o|DWWwmAp1{q5RMHy;(XxfgTi5Tnh3*|Sg1 z-hb)$@7Z0sH<X?qkb84T`$lu{jdt?_hWv*D_YP`Tw3OG#i+yI>7Q0vfzjXb-A3T2f z+Vc(hnF<;6-)XIT_)qT<-?s;xarYzd*-hU+@4d>mME1T1U-~|A%4}9|y<WgJ{j+TW z^Ya4!{DkJs4@xY&&n4LXU-w?U&34D5-!s#W@oy;9-@89`pS6q40lu7nQTN?{IaG@0 z$tmz9a{E2_@$Zx6p1t#TH1Y13XZzjk17F_5!aDVw!m>GX>F0MolCcOrH?jEe&beRy z<~|hq_OotM{R#gc9QSNO<{!87zwiGiVk3XPymDUx^SK9C7eD3RVG|N_`0?T+vN8vR z_jEovd;djU^G;Ul4ZQ3dn2&GZUZ2RXmnfh2fZ<)@^ZCE(c%F&f`SiD>pd<g`i_WV5 z&*ERL|BzkL%>Q3E-X^kAKJVk>Ge2J0?|H6y>iB=r-y5noT0F9=ezsim{$ay9_WTb^ zHy&60KQBb?*zbp8@^M~u?dE#AX7kt8@UnmSW%P;j`_h6xK2QJJJ_+8#E&hXZ-MqDb z7~X$)ntJv9hl@{-Tq~Zk{hLU80ekwL>xWJjPrX0m)cTLvJC3Z^)8Aja?-S#lhw3|y z=dWL|=P%RK$$O7z-`Ks#;<+9BxA-N081g^J&UtiNd*%GpJ@V^5JiPZw^xlq5JKD2v z)OG$^sk*=IZtW4%JBO8H-fG8x*vIrPZdvWe&?nx1xVFXV<;REKt6O@b#kt1V*lzc% zPuVwi@7mGEexq{gx2e}B^glQumY<MrUwHJljz#s>U$T3SZa02x{=4{p_SE>p%=h*$ z`_mww_`LK~`1MKs4}RQw#I|j{^1KJKZ}!IheYES7>HE`(zk5Gvx7Yq)h<kYU^-1^q zpt?iPf9TpBSHGSv|K^T^%|Th2{gJ=T*iVQTR5*X++m@%2S2>^Ydi(18hpcOO`+t1x z-T6QF)bb6#!#2J?wL4$@djXHQ`9%E(R~wU(7w@T@aHCz?qV@CaQ{{W~n-`a_lE34# z?|AhGHv5A|1yAu8bnEA@tLyIP6O(=)cJI>>yE}(e_v~w}VgJ2dB>H{e{T)*)9@Hw= zRjse-mH#OEM)cFmwLAa+eI()c;alz#;XizO5C3fYBr9{rPex{Ct?-<K(eZWM>D$Hq z_vklv^RIe;*YVzuwf}4nHJ1Nid}p_&hJCiYQ2x6W`y#szTX!<w*s<B7IeSO@<=rQ4 zyRUqIFLY0n`;8~Hwr}Lu)`)84KjgMJdc6GczvL<L2SxAg*<I6`|3L2CJH>qY)%(i* zKT63xkkWgvYJceD-j|>EalF%dTl`M>{R7<_R(|`M-}-k%TO3*M{MC6+ef&o$xrb8g z-W{)<e`Vi~e~&n}$t&DDVEg9NKG{9iaepW5{480>v|PQbc*oBzJDPNNwC%M#zVlIz zW$-!K=WUxS7Tnw2T71LC@%vi7iSIu!zL~3(Z|AY^xc?89`wuRk*WBOgU9%}@{}F5c zXLdW9*PdU!zp|r-t({*qKk;$mBgVY+XSJq#elPmAR({=|4*$f@-k<&{KUo`mR8Qu? z@0lNuDo;KCAXMevp=XPalr661-P5$$`Dw95#g>XkwQ_gnE!$`N;=T1Z^Gf|WHO?{z zzg|BonR5uaBu_rq`F+hpMzej3esAl)_<QwE{`LEH?;G8(^#>Il^VXlAUKf6^b++*l zyFE+A4_I5YxL2@7&pDa=)sDaK!L09={geBj$-SAs^tbV|N_D*l@7y0rl|AG;SEpfr z!0`ErozK7(H&d-V@12kH<nkU)`JOAc{`>4N*FO9RzWd&YzfP0yp|8H*gMZ?WWWGIM z+GcV3W^vdY?Q^>6rzDS6-Dmrj_+931x{c$$WBot=UH>He`K|mB;hY2CT7T^e+duvL z!%yXpIOOz2(hC^GKRjdlDfRBW`1XRsdw1VFESqzt*ss0#0gr|Ixf#WeVv42qUw*Fn zaMt_fjoLTt{NH=eKQZ5auf)24O7HDF?;W~b(EtA7L#3zX8~%nD{!97#c7JE{@{aHi zEYAx*hkUxTy>+$c-#3|``0N_1_sl=h|3Bnj>)ZN{>Ki|&@38Rs$q{E8yQlGOdPnR# z<A-nTU%gM@zkeXQ=AX~k*WFXkKVW=s>s@z%e?#({rT=B0-25acW9xqBK$zCN2V&p! zmi~LT^ON2C=)<c|pJ+cnng4^`oX2OMpBA4UT6f6&hwi?k>iPlqcFg&7j(exP+=B_f ztt^bD>c=nn!?nNB{Kt=@pPq_Ni9e`&@640(A8cmdgY2q1YZ%z|McwZ_KlpL#l=*4L z_zN1>$1kfZO?|3e(cT}gcmLtr@D5$?pRzKCj%q&@es?<kUii<etDgkdFifw=*4@8% z)hD()53_e1U%o!<-o9C%-en)ru4plS_xj-%sZ-(WL+g6=A8^gz<G1gg|4)v0AFsWB z%3i~5{abr~U2_dfd%no?JI@av+&aa)=qGR8k@O!@`}gs^Z{PmohwrQWkKbk=W!}>~ z_w}mz`&+*sIQZ}p+q?&ydH1y9KkU=J6Yu|f)Ap0of3R#@um65;>^)0|HwUz9%#G_d z2imnCF7J@OQL+5S-i6<;7Vs>8KS@8~KS$xw-!T=n$zR<oy5B#Pir+i?cmARp#{M5y ztDm^<`5Cvddch}NnZuEa_Yd9sedL(qDgF)o`S<nXKkpMN%Uf1cw4|oF{{hGQ8V5VO zh)V8z4{p!=_?lnq{-J;EN7|PE<4`a7n)p+w&%2O)xBJHa?>9e`c)xx9P_~Y}dix}O z`$csH(jVFUK0LhmN%P*16+4=;ceGzWexm%&^g|clZmCatch5KHf877NNvuiodGEFQ z5~R;Pc%t`-HRgU~%we@V2QSWj!oK6Vta46)-<)$c|C{fLA5fDyEVidDcSnotj>f;q zU;lpDz@7i2&h5t1>*xR7tE&HM_|>+Car=)YkACw{?N4}Ym-O1A^7Fn&Vtfz29)D_V z@u%g+b31vxckB0k^!&+S|ET;2+kN|hpSn4A4s&GI?<?)NVd*GyNOn)#Y5#{i^O>h> ze_g!x510HQ*?a%C{P`g8)b~c!h8>M>+q*Uk-(;_zFZlcei~Ak(1OE<Ry+3bBO^^OV zk@<6U=GzAS<XHFNTKZG%8t(5Oeu-3C2idPV%K80g!EcSq$HkvC>yAjbpSoW0+3*q9 zw~rs6U;X~^oA?d(_Yb)A_G!#7jOTOjzx?m&^e5MUFx-1^R{ym4#!tfgj^=;VwX+PZ ze9U>uy7xvqyT$W;e0}ql)Kt#;B>g8Xt>%yD&rh0r<num03x5hq=kr(9d^7#Yz_(9N zZ^!xO$1XoP^*$b+bNu@Kfc;gwK8fx*eEj~D_aDl%x6NDlhmY^!qv$t}_sRIpTl(kK z?N8C5bpE>JPw^D<2Ym5|ZSDT{eJWeEr@F0zwZGtOt?e8;|DUgRpECc!wC=;n?@wNf zYseq8y?5&*{|~XUxD|hX{r)5<Gfy*b=lS-{;@bIhRQDh5|Dn6@pt*iv9XJ1vCpll; z_k3pF_&eySwME-$`BUY0wl`ka54Za@v8JE@p;UhPr?=Wu%^wQhKOk)Pd*i2jtEYUg zQ}TPjDYqlP;d8k5{=X|fDer5MuBqz#^KR>@;~!eK*Q@34@vCG1UGT5?r%2piC!4}} zhWvXA_TAk4zW>+rKP~wmb@!c~y8ihe>7y_8ubSUiDXUlIdmwl2!K;{0cft>Ro&D0- z-29P1-#tCQ2d{D;$;`W_rI*mX`N<ax?Q^2frx`!(sbBj&_nC~%C%fM^Kc(^ytyV7i z&zJXscg|z;{ek-{`afmwIQV=2)clXiIeWr?W=;71@Jr37&%YnOs6Dk?{KWeYW_u3u z7gR3!BzxzPx%j2y?fZpi%Lm;1vDcy@ddK5^QhoMI{`}%U_5Fv4-iL$oPge(3^51*N zzT@!p#h<PPo^r1jYTxiV{o{dJu{knpYuNjLi0OSi8h_=!+~OL(@*fY@f3mG>dYe4; zew@nwrp^01)!%tP*b;Q__wGH0uhzS>ult}JQy=qF?%l_uOa3*)|8;&-<5c;1{v(ci z2i3lt7L@Jz^mG4|?H?rf9BuzUE#GeG9)A8Gf^r|P)=w$l4r*f_-%!2r#xpzVxcMt; z_^p4)#ys|ZylMKM_bqQdPvt)l1T``bNlzE6R@aU{%(-V@&z{q@nseqa+Owa%b`Q7t z56*kFem@!Kf!dhncKY(Mes2z6oA*fMUBdj|Q5C;gf1T;Qd_;0j))Cg2d0Oipemwn1 zjL)Kcj$!)oozLD_dY|iE`Q5CTPp%@qqVgx>`@^8#>`(Q-?_TG3#@=Xgw#a%ayyMq0 zi{|4$>ux+cV`+Pi_j%Xl9ZdJlZ9eUr^!@4&ruc&wC3o#ld-u=d&cU1fM}_bFbIAE0 zIsbZFt%YT@UDNB$M<jC&vC7QVj6bOQJ?A0Yx`%Rk3C)|ImROX}VN5?RS^RBI_PG-M z+WMz+n$2tM--$lGZaZJT?402D4-WP0?mr%Rz3TrVbMHa(-lu=(XU12ge*CsQNj`3_ zwwcb)&RS)=<JT)5>;EcV^?*(O{o=Y$*>AnSiGHsaaR2a&X=AZy<>Xqin8(&P4sHL? z5g#A=_mR`v)9cl?{Zl*l;O+b)2d_TO{Z{#*VSWO;{h2@MUr%SRxPNG>F5f@p_yd1c z`o2#tzq!5XZT*SczfV_J2**8?u4uZQt#$v-$FAvrYWKE1zTJ8K`lRnSrypXyw|`qr zL-|A5eeK5azuR7G*x!r2b8z<Vj?=GCwZFN2K-aG5N%#)|vv;fZ725vfGFz`-_D<dY z;q2;8>6#<^bZs7PmR=$MSop^7?Z3`3ev*B2FCa!vE&p(#(!0dpGat#`v5k$n<MH?G z=A)K-s-{(xCRcvm`G_HJzOq^D&##}43foo8+i}oeUnKwGFV;tI)Lt#0Y0<b>yn8S6 z)As3IvOB6eD;ge$cUa%3+_}TX<L|rBN4zn6f@JQ7*wuGiv>Z0?UOW4wbiS~3LGg|q zt-}BIWZlqzQvB>E`%%$5wGDP}4l&P>)wDmTx%^}J-`Z^E*&oEVJ&@hAZ|6PxLz;6w z+5JzboIQ_wxBJVw`9HrD7P7P#u!$c(DgGg#Gy6unwZ;D#e^|<ocfIZY@-yZ}t89^+ z%$e$k5_}I#We%zCX_B^Rs<vq3JvZ_F;hDt+XTAql{`x0W!`MIn((}%I#rcoIH|&1; z`CiaH>%g2thWlnp&cEyRlj&QY?!AM;a|-V>M5|}kAGG;5XGh!it&qW|<sbI#e|bLr z?42g>8*S1z8mlX+rT1Q+QC)E2_oUmWcK_h;+rQ-Z+}kIsE4bHvklkY&`cv%P$L#pO za_{fQ<(Qx9|G>5GokINKkFrOVbMAY-nYXNt|Mz{7_YdA_KYDcT)bBm^?Q7ScTK`T- z{sFVa5&sVy(v6=^mS2^BuVuDhcil(D-&z(2mX`<Dwe~;c$-f_a&ocJ+oa&Bl`3~J1 z|M%@^R{plNpzHpf;J*_4+qC!8>)$J0_Ko$s*!JfgwiYjsTt4$g`hn2?z5Ty5_qABp zh@4tqzozzM?a|9SABo2Namu;xZ<jou^Zf_wir)N$&EfXD_y3Bn_{*@ddSMOM_Wgpt z`KO$}`TWqa{HN{}&F>TC<7F1r@lWR$a<31oXZGJO?ymi_saEmLU&nh@8)`buAF#w9 zz9v64Uv5#&KmLzmW%@$t{8QK8n0~<UUiFMWV($;I?rUz|pBewZ;A!?_ujzk&KjrKD zryFm>bbg=Ay$9j_Q}RDB-g%^M@wfk*WPecIjo|OS6D{`l>^Qdl&FTki^W|3k;gdfs ze6Max&Cd33mi>aa!^NTtYFP`}%im-_6x?6A=9g_9@9yfA@9q1(A2|5<=)<c|Z7XiL z+nhbKkFo6A)ceO?_5Tp+`*2bCN$+Y6dHcXQvC(^)-u^xEZSoWKZ!<q|?Qibg|9XBf z@0`b*)mOiNyT11I@{ZNaM>J)ulK!v!r1m?eqE|kV`~G3meHF8Qef+v*z0Z-mj*ocf z+>MZtRm<P3-?F{p^~+Cu_Zrx1c=Kc4X3tx=r&9Z)*t$HubMrLcZ_;n9-t%3jlK=gI zZwFtM7chT6A9inl^B=x<dCGe8n$zR?qPI_tU;2sBuEF^aOaF$CHcuz-UTJR^o^vlU z=CSj)(1Hg4`Ro61$sd-ySJ$|wSp02qoQ9s$M%LfoMam0~>=X0*I9q(B{Nw8dt>5F% zRKHtV>!_9|uk!7|lYfsg)4uT*bl%_R@>eAOkgQ$R%0IstZ;9{sv9Wd9)70JG@pk&@ z^f$(!X5jjdyg7Cuf8U6{%76G(`{=vJPl9*UPPtJ(wdS0ixEbG6`G>sw+G}ezhV5@Z z9p1fo`HKCG%Ohm=y6sDd=cx9dusu#Y{t>Um!Rs5ox;&kIU30#D@SfJYE_3$A?MsSh zI$rUf_fzy9X7L|<e0-Pp{p&q-{k-`52QMriDIMRe-){cn>g*@dH4NK-aQX$mHNLm# z&xfFoKhg^SDHZnS-wZ#<YiAqtQ`W9ceb3*ppP!E2dcMauXTMv{!LQm!WbbTT-|GJ7 z+1pp&f0(}67gSq+xcb2Z*+(CIKgrqb@q;9RIQ{*#%YP~FYf-NGqF4Et_tbIsj?KLl zthd{{-<F^De`EYmw2oa``2U~g;+k)AU-xd$y8mnMqgQ($iSXT5{l;B6xmIt_vHlIM zpl10V|Gz&t-fG|1e`lw1@4(I9M=wtNWcJ&sqI<iY!utoj6$h?=c;@jo+iu04$~6_- z;qINA`A_bC<NZMHeQm_O!`2)A3VyZT(fB*4@_)ro**l?+9%<P8F1lgmSNB!$CxiR} z#kwZ<8$VWjI_deU-@W_n+8xK*->iPXFkfylBmvlk-TS%ao29(4c)URLhWaj8K@jrl z_z%;VhkqYe_^+)!c>L(w@FQ|_Y9hh@*ZX+ef5rSq-a8Jv&z}-Me^u?rkB?qPKN5|p ziOrd>Fn{CumhvC6dybiJ_{sZqv;WHdt=FSB{;vHglDA*^o4xM*&F5Qtjh{dZf;lVd z_@~bobf5c6y28p^X0A&72K|=3o1d<o{M367qxui2ZFLK3K1V+N9N)2h!|&k2vaXt= z`&jxu#_8@qYF*Iy{=oy8x3BG&*Zh_K$k$h=s%O`q9?zHmVYU7V^B)X(ABE@4TU~o> z`V{#(eYyP_=gx~?|1qsr^v;9J`r7*sgPM>2Q}y*P*ew^lE#9fSL+&Un`c1x8|B)*D zzhvKk(R<A7@uKbjr+t6$@I5&FZ!kWYzkk`k4*iE5^0l$|ezbr3Xny2o>bHGQ_TLZR zP`h!@U*DgdwQ73~y-#>7|K~IRxsQ>n_oZk2{}uh{71tvXK7I9X`*rg-oo~JVLvY&% z+nC4Qm#_AJzq0R>^`kubk8J1Gsq~$ju>Gd^q3AtdV=LM74>0d*Gq28$|7`p8?B65N zJ9e$IxD87J@t`D7YYI*R##6t`{*=8F8yqvI6;udZpA<h=7hW0IhuH1h`0FwMk!QR| zOzl$QS=oPlaX+>GgW;TqZ~g9BI{cm^-`zdCTla>2z@3AFzoRUU=GQH%>xn-sdhfsH zdrObsO~psv<{puobH@pm2<ESx4^9O3)8p?4{eBeRvD~^dyx?K?+c-N!W$^jitMvUL z_1x?0zy8l!vs+-*ON*Yzn|=Ie?TowfHR<g1?$~!l<!_bVGz73Oj7Tsz(cR3+%Q~~E zpk+a93ty9z<E8@%6E2+S4s+6Rj1Vy4NV;(Lz?S5&b8#j6mR|V$_0!?+|Eq7@wcPZ1 zQB})q@s#&_st(O-=8dmCpt<+Bob7Md@3!akPaO2SyS(C#BD?ri<8RAu)Oz0i6KcC$ zy25Y$2Ft6zt5$wLskigUxzj~8o_iDW`<!?Eo?Yd+z0mFbhW}mPex0t0->bLXq9XKM z-SW-TA55|P?Ywu{?;Q*KEvkI0kBRP$Z~OFN`J6Y?w5txsHD*_tyr}i&|LC!O-KyvL z8R!3bZ+o_>bG7+XzVbJw6$_Tvzuvc8f5T??@AvF$_`m(1x;%gN?uwn^a_?vFRo!+! zNPqpyx&_ZI7O-Ex+FM&C-&a%<FfTECf6m<i`J1yJNbWs)%(w1;fm46}t9!N!_8!&U zX`$p^QRVS1Z?*r8;tx%B36t+V?wWV^Vr@l4_0RgE?|pL~RVcETU)BA#Y{!z>$5#FS zFMgwhS^uS|Uw_v6xP{**e}5t*{{GZQ=2v{x#dj{>WxpD`t9Px-ciDA?`-9%^{eCt2 zj_l;k?JwS_-mqC`7rpe|<yxDi{S^m}xx9Za|FSMZ*WYe}_OB^>nSOtHk+^sMMQ54I zYfWE$YrnPm`l*}hn>zRQKjmY8V`{NzdH)N$CHe)9->-eNRp0X5Udz1o&7+^5m3#8P zO|w`&{dKAQ#o{{`nS*OTuKafB_>+&tm2I2Pr@SuwI%6+q-b>xM3)wc7OMn01ygR+( z<B~TuE9D+O<Gy)&!MqL66CQ8*)ev0OZ(Fr3@Vo6f^OFb7clm$(F;ynwm1K4Bo4U1m zc1!17=CxTKe#2(*_pg_$=Fgez{ia6y`1uv$Z_XBal+O><e`$8^h3vQUS?lkw`F{0# zQFpW3xp|Ahaa|n;jq6{2)yKs4#{bjc-!|uuLim@qy-e4?WURio{fj_<N%rijds*l2 z=|$V0R$Es$$@<R5>kk_1_%(v_3>ckZr%>e1<=pWkW$V`Niw(UZ#VI_+4QySOXC@kE zEl!-IvBHss$xJ{%@r)x&lSza^Qpgd7MS;<}f~Hzonjl7D7gvx{#+5K{$6lUKlQ)Om ziNE`N|M}wgb@#V^`yP7kW!{6b@cG7f>dwafw!ggGJYt67-9JaY#qQi<{M(ZEo}*mO z*?z(G{sXhmRmS_8*EQFrJo?j9>-POdwe`aHwq3RL=@nnxcX(f)-|%|(g8Yj4Zsj-q zU+hi)@UizL=kE-|bNqGvzaPau{K+GCY3|g5Z1XQt?~Pv?{+8T(zRpYk#`4{d_xu;X zGoAOr)%_2htG=k*xy`)y+;5p*9kuoTU)X-j?M;in(C+_m-^aKc*XOnOzxa9iLq`4= zwfEdFg1<G_Uf{nWUGi6Q&iWV0ze?iYL}#zt{o!+_)B3Q+<-a#RujSmkU#sf6Q~u`d zFZq7A*)9Igcc5+q-?{VO+Ox9{a)0A{fBL1_?+*@dcE72uIud8kv3H}{J7d1K<<f_K zm#pSr|3dD)_DjXz4;3xmZ|;9-S^YY|?tImb^V=RiP5Z!TdxPa($+_QIRmbYS7|r3o znf|3~Z@I*;h~u^Y9)3uZ-r?=Pz2&w0g8qv6Zu2+HzmWVbdDk}4bMEes{&dL6vznK? z$zMAC_TjaQFNE)<U#hlVF#lrvo8=|lwXYuhnKEy$%)7UZpPj2VOT635RD0^T%&&y- zFMq=qoxkz@1>^6gd-r*NKUTfruk)iL?;US{pX2<``x@SDmfyqmw_~rP|IP4{YWX|n zwWi;?ogWJCDp#;6z4>`xi(Sflx$e3%_m)k6BVAP?@b0y2|KDF4Ki*hW6iMt!i2t;2 zj$NAkyoSG=)${LdxbE*VU$*ae&-DXe!w>%c^62S@uDFl;CfOz1+i*T#{w8_XPp&!J zZS45(*6H4{6#Ux|xBJ7g;(Otjdy_Br$F+Yp{w8@h`Tg9UedRLW{sup|s97+5U3J0V zuP>zUEx#E1O}OfP<iFqj^EUL~YxvxJ{n5T3y&wLVRCtu%<Jew<mO_?4+VlSLzBj%f zUgi9lAUBusyPxy=&vxy0N%!lGtD0;3<2LKRIBZ;a|3}H2Z1Dr`U;fBcl(1Dz{?7VE z^Y_7-FWBGk?yA!}7yiYtRx08CE|$M7aj_i!=abI=7OMK6`{M5Ai?`q8?mE10;rSPP zm)_t{nlpbd>$+Gz{TtSM^q1d$bGUfJ`%T|p3ReG(`EpEj$9W6>d2#&jFR#shAbR(4 zt*UK`Jx}4ibotB0->&_vnOFY6?l)6KrE!e||2@uptLL?*w#oKY+UMHe7*`#=w_BjL zM)}?6`)v95n9E<j)Ghd{^hNOf<rl)=gsaZf`CQLC`twE79QlinIr8cRYCZIWca(De zYWY6%%e~(h&cAT}7QE}I-CmLB%fGxa+VNd*j{K$B{0H}*zPNnP`Gx#OU;b)eNPkoP zrJ>gG{r%RzAE(~%x8sz*c((uG;?)<P@6liI`whF{FTv{Od7IY1DC_&ZEIfJt4spAM z)$`ky=ck|lE%a-`-fG@ohSjs<Hs!x~m|hV7KI+Z&_@?vs82#<|^>0kyqrbfWX8ZNT z`a}1Yo4@(``eJ(gfm%1F>-!nsU+(_>P;~F3TGiTPbrsC#{BIsF`Mla9fA8e;&fD`_ ztW|$8?yX}0=ayX`b-yf5wXjc~f6?~aJ>M7A{7LusOUnJ_cz5aR`@>($7s5-B=Re-t zR~@pGdh{oO^<8&<<8%A=_LAp{J4&^ydcV*7GUIR0y>$Df;%_dOKi>0S_|EjZZ0qG3 z`Cnete|WF@!s|Wf7xv%yp6{N&;r+$zZ>+UHwC|YL>3`d*{y@3PuGL)=mOg$%N|5aq z@fVMOdHH)|{#qCNh2ixL%jFY531q+9`+JS|((*6Me&elmdw;j{?*q>{^J@jx{pF3@ zP+k%5xBX_j{GolP?=5$K^Oa|>;o;h2u^+x<R?N@)%N=*o_FniU=WmDkAMZJDx9s_w zwYz`X{6ALv&Hcest-r1R>#H32=Q-d1bN=J!z01<yxPO08bK?5x7oyeg7r%J?|B>DQ z<PUC#U+?@<Qo3W_Vw7Tp?VP*(@t=aW|5)z4S<L_PY4^ix>KE1boL}-?Y$2=|*(C!? zC&2~z!k~2W_2IqckaS|^aQ{Egub$d|xefX+{_<|LmrJz2DP*^3_UuE8&o4S(8Sn7@ zCjUEE`=tB}!QVvh-sAc1Yv2AisrpCDyIfxX_sNii^1Jd&@;9@+DfcfN&Mk<4-+g1f z_~G>U26Z)fIU;sr{)X=_d8_|=zt}vtVE)I{9sA7p`0JOohkxn%KJ|;_?}y<9b*Jo> z&1Rmn{oV7=7v8QvG?)1z|BJ8tpykNl3;p}rpyf!dZ0-3&`|R7?+YiR>s^f_H&-SbB zduGY>zb*HE>;M1j?tjDm{*j*t7w*unW0<#JM()yF<%id{U&!8be#!AS>0j)AYwk^$ zZ`bkpU*Hb?-)4J?9y(WTVR=`}4y|eSyTFo31<yO_dhy@0*yS&Ne*Ey__Y1T4=r8cU z$-l3^?!dhTuhk#axXNE%uDv0Dui)R7xV?Py{x#UX_kQtr^2OcuE{JMI?FRq313z!} zKe#pf0c&;p_opSZYkwp|tC@O^y@gWmjO&>E&o_R5c`foo*SnAVCdqA*|0nXi_M4$? zdj8*@^TlTGHrMm%>$mW~aO8j$B)?dp1<C3Q$Dcp8`#=3d$8Wv6dn9U4+3b>uyKwsS z1JT&!=3gEJeYy4dN2#Ro`^%f($<+Fozqq{azv#U6$72@%uGz<V_szS7uMOkwC&t}p z;C~@zyHK6;*POUme*KqfdzZOiUwFMrTJKM}SEcTqC9g}m?H*Xpes@W>%A@?H^DcM! zi?zR6<SwLtnQ-s9PhIc%`wemzW9#bPwEuj3zRqEL-m&A8jmq;H#lMtr=)W|4-eUYX zr{eyMxXaG>?5kMT$Co~TvG{gD{RinU!QbTersdmo)s<O)`(5(bwr1bC``rD@Z+w4o zE&rkOubz7sJ|DlpA2jECJv;x4XOBP3I=}q#-S5fuUh_B3zaaeWrEtw(>kacS9Dnon z{$lyf`7d~Dzp#I4=6_TZa5#9^NB18saTm8*Z=C;^v-a?F=}TvM_I^+QvN!a@=Q|63 zzxg`##bN&B_{GcL9RJc&`$has_?Lt65C85i+_x~hX74P!9qfN!<ljl3f06myL!R0% zhHrj<>Dl|Q{EOvp&RQ@3e~oeH3-8rVI(L2Z%WLWvvi%pD-!OmU{7v<Dh2=Nys&jUK z)X(+bnEk)$`WMZ+KSa(w|L>On>1)ugZ<1f?n(GeSTYCG=*Z&L3?M^>m?*8WZ+|B*- zj{WPft6+<}oV}}B|J~_%uJbSKef}VM*T?uBN1wkguaiGleP-+WgUY+UhgNu<f8Q?t z<(c+}u6GxttA3?^`L*gtWlTM1-bLMA&f6=`E&W~6FL$}N%8ma;a+SyYOUhOK#?MSF zwCn70syz9B{*<&ke?Gr_o}67n|M8c5GalY|cm5`3o4$T+)4mFU-@Lyye+&MeRl7m! zTW@~y{RrN77qhEw+1lUL+c<x1-`}3U6XZ62zvduc-+$g;e&hSKopnd{Ex7*WgX@dl z_mB6iJShBYirup1*$eYu{JZsG&iUt;zREwc`+M|-`y10;r}r)TE&OKsmzLT+9M9X| ztSx<@TI=@yuf+52=?nCKR9W8r!TGBo_Dk|NySvHuFBbFHwbeG;rNmzh{&x852K$B9 zuMcmX|G1`}x#HyY*B8%n)q2j~p#Q?|cZ%~I{`%Ix9rHHH=d{=*$6wa2+GhCc-}*wk z8!T}jzuHuNHmGuTw@<yloL|l{{^DBqhpM&-^7}=eGk?3b>_d;;;_xpu#$UdPeE&K7 zu=1{btTit4FJ;@^Z?UW7d45@UqkZ!H3tR6m{Jza;y**?33$x$z8t)~>Ur4UnVfw2n zFPAz0N0nOb2lhMb*SFQjef!6^{l&YU^Uml0vGu>$y#G+}E?@l@hxad7|Kjr04{de} zvR8lpI`jKWw%FyjOPb$Z_O0@fzhJz}RsM4BuPJtqpD(`t^h?A1`8CmX`))4(a&Z5L zk3ViNEWf$?OT*rMw!bzU+~;=wM!$8s{M&}VU3NDF@BQaLcl}GpyqPcDOY+q#e9g<+ zs%!Sy)qdi6xB7+M?@8-yyX$sv$N%5|VMgBO?P80!-#q_9(DuIQ-;Q_h*{;t&Ui<4^ z!F0QY>R(D4>>hkp{ZcUXtJpu=7w;PFQt~esf4epBh5PEn{fYLe_DS}s@^4yeYfIlq zS09e8a@Buvxc0*N7w7gB6!Xq`|DL`7M~!XOR<&P~<TmTS_$$7!Uw6*+>rL$??R$6H zSGE70B6s;R^9Ave{|*(0t{1;N*j>24PT-efb?>~5^DlfZ-C(~g{LSL#!hK2h7A*Jb zIlpD^a+a6vvU~bE^-D_Z8}T!%c^``2U1I(vgZaxZsUOchciP=ql72_}!ts)ByQR0k z$fYMd{>ih;UH<dWp6LtAZ+w4w%=_n_lIgXc-)}5$e^|3Y@|*Cl343cT?Csx3|7x+b zV%odS@|?T-p}p^ZzI-<A1-tvg?>D9AZk~V9nfZ5c)hRn$sprPu)-kVtaqINMziSKY zocMoKCI3o@{&G@#gZ!rc7mU@<r@mPHc4PiU<8Q`Q=j`H}{%YQ}XIuBa;q$ZA74q@^ z%cIqPY1*dQFKmCaIs9?W9^-HAU*_z6yhwiIds*)FFIn&2V154h@dfpoy%X}@cipp+ z`#WK;Xa5cJFDd13u745y{f1?Z{*7w&L+M}sWj}bv@Lap<)OGEb2jyOHKRdeb&hqRp zZSUST$Hfcpm;1c;p_=XEdkuEWqQ7X~UH09gUHxGtZ<WvaA2ogL_Y(6jR<l3)TD{)& z{igXFx8LZ$Y0aKo@4|nRef{B|9eWFnYFyU8FtxR2-Fw~EK6Z2A{_j;^?5f-IHebKr zaL<<Kx0G#?{j%e47MmySU%+4UqvzfQ=6!L#@8wVSe-C-n{>FIMVY?dPs`nXhet(&< zw@&I;%ik8eW$O8E_b=SN{7^9d{Ib{U9~`e{`!%EX@V(UdP2Z;{>|db%=CE;L-HCfV z4^(Tt-pe+B{u%i~cwd~CdED9l?>YC5-&<P!rg!@S{=TDi^X*dNF9m=581%;f#Wl-< zw-$5W&*k?2S!Mj~8RrY`Z<4>~yxZJ=?(okKrZ@OsXjUt`%-^{Fg=lSs-LHS17Vl#j z&i}09tNjr5<?nV-$X{gsCR|lw^bJ(zmngnZx37?{@ml}#m!^gNE{@uR*H6E=CVhcj ze6jrI?=KXqjh*Cw-?;t~ROBDA+sX3V^zJ^9yg6N;Z>4^iwEp^r?f%a8Y4(Zsi`?Hl zK3!;MDf?To_Q<_GOtlB=yyjoLtbK8I`hz|7qI({_-dUpVu>Saq<!?-@&g@&nfBsmV zr~ZxO;gA2!*!#7t!g>Bh_WXlCA4z>Njn`k^?tL*^|ImK@W6ux!R?UBR;jciggZy0f z{ujpg<jbF13ZG+qKH>6Hp8Ji@xnJ7ZKL0%P`Xc|EuS?!4?fEwal$Ub9-7K|u?{-7} zlJd86Utc7D+shJnf%{jF-2Dc-_w(k@i|zWowtSKNM)Q}Vza?v{Ea%U^x1VR;y^ha+ zwI94Q+TnaXuj%*~&b<#__r5$k)gs<dU%0~g`paEy^8X)HeG%KcgYVr%(<+zm>s;qw z`dog1yQ-LX&yxP1yM%vDiMv=GdoHu!+8ndzJ(r*7SR|jj7xkmUsH(`=E<U-+MLwqW z`R4nragTR0+V14sd-6`T{+)%-w;yJ%`Yc!BRQ|%!b}w(O=lc7+>tBk+MoXWcf2S*M z`S+da7uZWCzq`c#Wy9|2c1v!55xl$5|Ak(yTmFxqFO1i}Os=bQbFTSk^G&k$7o$!6 zk(vT=o2ADk_bl+YV_E-#ZSS(*bBxc;*!=K}MYaAj`|~dj>Q?O2yu0{y`Gc=L(LW!b zFRrcnCHO_{^RLGR?RgiOt0pb>e{uEr1I@kXXBP5V6#GptJ|bBZRx?j=?-KtXHHXU| z|K$E=X!}znZhz9dTETl4KI<R&>iVO`bbfnuN&CBtmw#Vy|MIK&!<4*>+*L*Tzb4r| zd+qlkxXSf?spay6k;S*>82ioN{IJKe`t^tF_IG#k&Yy31_tl*F^Y2yvcznLt_}j0) z6?Ky<|0>*B82zPTUJZAx(|Wmv=OvTlE-lVqwEpE&^9Q%QKm4(Zg@nxAy(QD_7POai zBZrLskDo7%&%e~Ow}1Hd$DhhKwN?LYYitBRzgYfZO58t|xC^CKuKaRN_b+tIAO2eU z@#n=CVs~dR`2ON&{{zOm&utZLm$`rGk-L2SON-r7{+G7rrd)n-#v<46^WGnSew56$ zd-^=x>pW<9C;rh-?`QAwADG%!v#k62@#hR%9`l!GcNg_noLlDq!moT^wQSXVW8aT| zn5&$&|EPKQ`^V$+_m+w8Kl<6_%vSpY!Mna!S2&)Z-w^)gSz(3mcgyrSh1-wMEc|xu z@bhG!>-YMf&o292vrlq<{+YPPI|Xh32*=%j^X|9worT|DGT!~K{cFkY=lUPE+<tz> zf_;wV^3#@&cq|w5Kls`HrD5LZpKRp|^lwO)eD>T^clGY&1JYGL^LOmC`(Nq2{l&rk z7p%V+p5OUJ^X}sB7PWrOo1cBY@ulnC=bxMSAN^$h=Dh2lPMrPAcah0|TkY#lycFBJ zM1A$*^)Ihx734D4)TrHE;=X!O`HQDo9~#zOR<1fxo&0M<b?mPWyCwcFG;7_?zo<Po zr}%*7qY}%#)*pXzzT~_6^!f7tivNCWet+@v){h@U&MfAA$h-Fr!@B$v^7Xr1`LDUZ zzu5itfne<7<t6L;_bqt-`jGdp8SAYV`o9$2d;RGgw)C@^#pf()&$Sspdz0JJufBNt zpPHVRthJ}k`_Fm!dHKf}kL__?&&_9M-##R}Ydf#ag6GkPg{zVe6z_7@fB7=(MRwI* z)tK87=kqU?R?V+wt93AcsaNa3|5B{hWBW_xUC#3_#Qs`iT`z8DU;9kW_Q~^fx9>On zUq0>oQK9tgrQC-par_Th?=A}el2ZPKckeCUb@_+l&zE$|z3sZUczX9kJc;JqpTj@? z{QNTIp7F<;e|KLLf782rL%jB+jg3Iu<>TxJOl9Zy+udFA`}gAQFO=tPekr`mdH%)N zs`<hHIp*a%Tz?@qKmU^DZ^OM-EbHYB&HEdce|b?*;i%8w99}Xv?sDxp$@DWf3)1H7 zo&M;v;WG8_4}LEH(s9pTWPN(Q$lhbc%)dTld{O)SQfSBJw-=eK{&;=ito_7Q;q|^a zZ4UFfIhUWx6t>Or{ciJRR@|qbZt{mei@!16_4v_O?Po7LKP=n*oMp#8O>lxa`SFG9 zuNlu{eoc9Iv3ic(@`I93D(q&zyO?Y@|6}17#@}{#t90Y+6W7gUJHNa79*h3VpDRDi zy7!|X*SMyJ@9vW2`7ZA-yf#0;yvw!!h2s0(m(EpA@)z5`aM~_C|3Y%_`I*Ih7VdNO z(vO~Z_t3X~{yhB$=f5AD`(Hkt`J+PX*~_0F+Tz*^YK#B<xU5@MBv;iRH<!Erg_`YB z_vZ`rUpx(}@Ra{ts{TcD@1pO!=3IWT#xnPu<nr@33y#g%tNY<&O3CxdKmPQ*6x%EQ z;Ahq8i`h2j$?s!Z?xo}%D`=OCW#xbIbn1teb(f2)3Rr(lii_b}|57UUw$S<av27@& z?}WUI?IpAA7FmDcyu0-L3s!sk+Gm!vC(ir-&;*sfc6;mC=h-L4-Qn?nDYbV&wfVyJ zFMb+TIOpfJypH~O-T3aJ<?mhIUwkc%zlgaEE@JXxx}VR!^jovWNq(>NygIh$#q<1M zm{ym!)Lq%DcXtQxbLlG2_jkMhJ`}%r-j2I`KZE{_?D)3lUp6(g&p%vOV}ED)?fAyo z-xucZW4r$HX!yh6svo>RTI?haUq1i1<}ZWI!squ}cE>xsub0ld+{^y(miWbR)jf&x z>)7qm-q*?7E&9Hny`O82emw*Ki<4YG9(cca%Xw$P_d1sKFONEZ=#u*@F|Stg`D8A; zC9~~YtK%KxKODcj@b{bF-xteoet$9e8|&SFlJBJJr+&BPlE1h&{_x`U3-v4G9ppF3 z*U0{D+3To(GyKbk#5?A)$@~A=);RggH7~#K5dU#s3&?umzf<=5=HD>?@}_*p`tQ1L zcK>fXFV}GXAEW+_>wEN<&-P!$FaN}@dj7l3*Z23vRdfA5R{r2){lnT_A5HcwGT*a) zN%fm{)pOr@{uJvh<G&ew-!<R1`S+Xm2i>zDy#LGl;+@uqwsjx(&6>ACzE=ME?>EN3 zX6)V0RJ%dz-RF9S`Fc&ezeF^@ws%?o*{(Nk!~6@p-;#gz)P7N(<A427?MIe-_XXrG zxxYTNR(`R0<$TZeH_yLd{uaFJ;_;tf6zAx_yy^eoTiT2EFE5W3ykGu8_ulghUwtop zuRr$rO?AoiTF3kskLM@b-)DX9UXt!!@rC;f-+S&ChQA$K@1^En;Ql6h*Szrlm+3dw ze{1o7aWU`1m+%+1_oiQPe{(l}@%Njzzs#z2mVejxyQ)7S-kQO#T4LUNX8jxNd-Rw4 z-)!H1u<pdY<*$D~+VfB2m*L$Rht^JC`2O<h<_Ds-k7`x-)*JkKm|tj@CVyG>+qL8u z=_S9*KfH;UBY)v-@&jJmhqb1*N%mDT&)eS^|LWNLou%r1Xw5&_Yf(RH&oMr_H|1Qs z*xs7wKkHoH|H|0@({5S$-p$Wz-e1}scfWj}`HR^2_xAVCzle>OvAN&>GIsu+oPF|_ zcHgru+V}lsti0W)N3G}mJN9q8+Wn%oZr`Tk&wtOk_jR)U`R}58UswB|`!2QjHM{@$ z?_zsjUk(*JYa8$O{@2M{pKD#;SLGi5xzGQ7Rdx5zdkf2Jw;ZmKzr4F{%kP@_3;(Z2 z|6jhm{O^|wKld#wul@hxXWa7g+Apg>Zu|RU$<KWY%4^@A|887$-T$85{qQgA^4mVw zz89;wKKuTx_v~NRecxw)|M!=5{&KV4w|`luUuOw&c)b7f-;#S@f2{)f>HVMFedRA> z<^N{y1Nq6`{C@w7*!Z>k&fPixUUTp3t*)PI=imE!jqUSZ-}k=~F8{P!QeM03Yt8(N zyZ4zq|INAg_3XRPf8S%c_w`xb=f4g2zTQ*(yx0HzuO}yd)_J`Dm2|Skepz{K?VlR^ z#pQeJKGo!3*nO|^GAK;#tRObFfBxHX@9Wj0pZ`v|_w`mN#JW<jbq|(-t*g2Xw(ie? z8vBLiwVxmU+*fYC=k;0tGvAr3u2;{W`@Xj7y86)?`z7VIAHV*r^LhX4*X_@Ld+vSx z#s9pz`QF!a=bu$izxVax;m@@`?|=O%hgdlO*>8~l>d$<yt-2olf9Ct#s_X2wHL)Mw z-G8sR_w{A*v)?86zCJzu%y;3c>*msDzwhmR7@MDGc%T18?E74EaIlum1PAN4Iq#2u zS-1ZC{P*c!)?JS`yD$A^UHP1!_m-B|et89os@i|Ez=`VBSBNiPLVS7Y=e=d+wI8lQ zeEAaW%RN>5=3m&o&-2;ud%PdknYTj%wfcGW{Ci(d&i(v%!o9CYZ9o5=aqsKbPe1G2 z-dFwI_w(L@^1Yv@LhL&WvF|R}zAU5r{x4(KLjqN7zrXYM*SD9I*B*Gk`odSmy|07o z<XhH(67;9vVkLiD?tSImf8OEx_jyM@m+jptwXZMnI>@hUZNYxMHXH2MFW>;KS`D_l z_Bhz?&9}i3dMh2Agyc=YNl4ZXZ1>)xeeW;tzGs!UZ~Mzw`8{R(_+Q4(|Mj`n?ftJ$ zXMWZ>z5n&>&d+`2a(iB%?SA%~aqsKB+Rs3K<=p?S<ooAzkN3YG9sBt?x$64$?dL%0 z{Pp|!?|G}PTmRX0^6u;1U)J@1`uW*#@9WR!&wN*|x*i@s<Nfk4>#pxJyZ`-VZ2rgB zU&_v>U*2u^;QH0Kzb4%Ks=1#p?|S-|b^1R`vv-3mZ;Mag_PV<NVdd_7e;)t&4D#>C z*E`CdgOb#rU8`e3iR$3`$+y0OT#)_X{mXK2!m|3j*YSN-`eAUGZ@CG|uy*&;_Q_w| zz3*1$zWJAS$K6f_`+L)~>e=_c9$fgj*5m!JFDri9EiSLEJOfV1#fNI{TMOR%`b-lN z$C;3f^9G!89{mA_^S$a1zyICs-|zPR*MmNAGATP>Gyn4LeZRic*e@-wJz{VEDh`yx z&e=bI`Acx`YwdqKeXjezjLrXF`R}Xjum4s5EWOJ?rOF9=@t40k?tPW~FSGb{an*JI zAJ6$><1g;sw_UDIe%ou)s_Xn;e%@PDUc2jR&HRi1uYdmja`$iJs_WDL)P7wDN|6uW zH<#Du+wY0HKD+(Q{(lYkz8+S7{(H*5{qy$o-@QBk((bxn)qmWy|Nq!*{*Sr-v1t9A ztEaPfY`b_UU-;`J`$KQfK0G-8!85Uf=jT8Cn^sV)x@Tc_eWU+&r})d>%yIuj_imNk z^Fuo3K8xMVgL^+e*t`Gm-QtJLyNdblEWhpj@SgpK_`GeWoF-hj+<j5}%R|=>yFT-7 zw67C-zS;Sqan%O)Z>yJIC|~z?a^B+YFBUsLWZY}XlJ}qc_tDf36YO@0%)9v6`+;zk z*um5Fk8A35_AERfv*YH1?JwkP>r8(gtiRx%-}?K@2c{nr<mT|pU;Zl)XVd%n+`|vE z>}+_}**EX2lDxA}y5w=>m%IJ#-tX&dlBzzL&5^(OnET<+VvBq^*7YwQPAqu4|3$a* z1F^mC@9ob&-_5nxcYX{f|I4SMAA0Qe$;|t<h4n=4pFbKeFH6)qn~NQO9lBxuTjuNG zt=Fp$%l>MRySRAoh25(Y_m}J1EHl4;!2Qe3+Yf`QYEAb<$v#i!UbmJ}|9f-v7dzVp z)xQ^QzkXz2y@Jh>=j;cJf92HwXvw?yS^VLiLgrsx>(mQ;o$v3L%e%Ps^@HZE7s~hF ztEtM*t@*ch>&4rDzKUL$++1k4)Ss_uddb{*duKe)cd}m?efq&(*B9*OhuBL#&n+mH z{j&J_0o$r#C7Xq}zckI8(@^(AXwLWi#{QT01nd^?e%~bhI_dsS$#vf!9=?7^w(99Y z<z0^FUmo;)@%U@OzH*})m-0CF`IjE&KK%Q2<NZoset*aH`3?IX)bicE#aHX{{@iZq zr2W4?_P&g@|7AZfr{(Xv>*0>?Wn1U--#qq0J^k@lwUW8_ZnNyI7yHGyx7ya%UH*RS zy;pPO>mA;wzqtJWa=ZS~pHFmO7+0PA%emM3{%w)pbHhviTg<s`_W1LMuWSE5KKQ?| zy7-NM-|?Rl)?GHP`u^B(VYz($KGyjB+8R6Usx5Lcw}onNv`2qQi2aeU`seenFQ4Uq zuyL;X!BOL*FW0u5?OeL_<9(Cn<+0?yaQ^rE)*Y$eC)r-~=03QUUpU{peEEkN^X$0f zFD|dyml8ckKELZXSJlU$3Saq`1+~_1p3gq;`}X18=a>7guW!H3{gS!LtzXVr{%ynO zyX)KM-240eOo98Cr|&n~FZ=$|c-ME9s>4U>zFF*9cs=@I<=MFx{I|DMf63ymaGIaP z*I#~Y-?az(1a1F{*LcbQ6}@+#_4mK|4@_fk^L>BWeewIYR_iZm*%dDHB|j8|zx({$ z+fCl4_qY0&7xo|S<=v3~T&Z34ji)NN{EO-N=r8Y%>;JIN<KGZ(*Zx^?umAZM_cqta zRh7r@V?TGi`oZIsFD^2yd)sMuM>g+b^W6u{doMh<-Qhpqw*R{HCEZ^$<o=7-?lM1@ z{`*0Vr~mgh^DhtM3X0|5s8=8At$n%rVC=7y&<daHFO_%g=lYdmKS%yOzy6C>*7YwJ z|9!Bl^>0mlMSXq62DLlO`mZ&#e@QE?aNGX!BBO=9twgQgdcLOcFDDxd-a5RQ&VHbp z{ovfK514-iH2?nc%JqZd-38&RAO1Dcdp>3JeydMEYJA?uoKdW;lCxPTKIfe4iPzi} zee>cu%3m5Tue5yMX`owGqgmtd-EwjM=XvZEeQ~wia+lrb-1<Jze}D7J%gyf({Vthp z{8#W(0c)CHejUU47s7s@m!GJ%Kepw?N#_rYcc1gz+w<*^f6ecZGaYgJIOQ%GpZlQm zWb$Rh<f=-w^OuXyy@+{o_2vxD+D%iQzf?Z=W9^CM&lCAxUj1p~uWvDzqq0_UnfrPD zrrlq5+}_>vJ*MmCg}J968t*Dp{l4y?N%EN~aq+zJmyX}P$9v**wxMoSk!_8`_uYGE zPh>Yw3M=`n_M_!qwfOhE!&mYS_Plty_QQmErPc4<=iTpHdAV8S-tyab@3l{`E>93E zdF%J1!|v_3caCxQTVG!My!)Y^?YnPwjW$0e(wxif_~u{i^*{7hKhe*=|K+94`iEqz zuDq?~t^6Z;M)K}Itv$<L$G40BKO*ywdGnIj{s)hLk$nCm^U1OOhUz7M?QQ(?Ehayo zIQx9kvM;|Ze@w7@#&hk!?~kWK4U~UXm{xe4w>Z3YqW`rcJujCY`}}2&ag=!F*Q7Ho zc9HF%5UFr}a@p?Lgt)cmKYwX5uGIWgrF>?J-QAYwFO&Vsy`OCMHLU%WQM|+5f7jmJ z%KpZixA`vLW!s#XZzTV&rDg+jn%n%h9R4p;w{N%pbW(4IS?vz(JB!%o{H>kPUY#KI zB|(3OU%5pw`_3|zG{^U{yz&>9&sm&2;d^|e<+5z=ig|IxV#gJ)$M;5F`hEP9P3^Il zb6W0LFkW8#T>VgN)tSh-JvC1fua&$m{h+zGp3CNg;oIxUZeKp}ewZ+?ux+{W{5f5c zmrq}=m^ZK3EnRv2xdT2gCO3dQ^~2`L<?RW4FRxmHqIoj+#OsP_Ui0G)eEwqi{DaVw z&D#^xzWhp>w|x4Xms3y7_BJg3^`>ava(|1>*%Q7eoe|u-bJp{hqUrM#uCF_)^J4Go z%6WEAdGg%j?sZ9CJih&)bJa1)I)<N5_RcWeTO$ujWE#$KcN=G3P%r;vQ+q`6esfKg z__GOdch7=?^e4-wUyEmG*3SBDQ+vkp56`EY>W0Que>K6j*PfUynC3VC?&;58IMctW zKH00E(D&l3c;&pf!l>1c|E}6Bx6FC{yyN?P`7N{kCci(O_o8;*{ENM&1@SxhmYw{b zdHl=2s1wRn=Q8)O>^%RM@$SQYp5HA#_wL-!nC4i1t-b$6uwTCL6V30;RcC7F+dY|+ zcl7UB!}Ko|#udKTd4EhOKJ>RIuE2ij^f|x$EX;4pU0x!7`ylVG(~(74HStxh^9$>p z-oNen{BGV1sr$>{T~6Pv^!){AdcOXXvhVxKcI}jYzc6~vuZ<_vk0;FflEisu!R|S# z%fD%T5v@J;mve8qOx5?&8Mi!V*wvOP-C3+YXYb^Rx9_~aeD?YWxm`zR&N)^qZ@hGN zehdH0WWU#EPbj~$E}8$^%=XQ*$Q%9VpDg*!_<rH@IbWkEn15-Do7-FCW<KrE-(o}O zDv$E>j&c`*&uO{DUCyqml>4N)x8Ckft@bki?=Qcxp0KSt6uFPL(slidqpc^@zua>z zus&4sQm?kau&7<GP;9!A{!3Nc{VYFc*gcksX}q(qXL4Q)-}V=b>2;!?n&K{8)}Gk^ zBKX{gs3&Uw=ehX2kMFfv^nA{-(i64s_+RjS_uX~<tn^0tI|n?=@13lE;e76-?}_DK zG;8<pRJvZzYg_*QSjo@tRz<tM>OGOHJ@oQUo6W<0?)*F7UrIiAZ|{xucD*;3|5o1N zw%y|KdS&x>$7L=pk8f4~(o|eiUH|aA@SpNzzZd_1*HwR6c6&E-_1^D^ZC~E~zRmh& z%H?O@TTj0`vd{bdzWH;U`z>Va?r-~(_on*GyWe|vewlIk>Gx3SkH2^S{POPi#>y`( z!T)vM>DsksUfTVBdfJ89b59)KT)lt5=jGk+*QG8UpQE?G|7y>D|I^FM@BcrwSbYw2 z-Tf60x$fj&-u>P@^&+!h_x<ade+|FQefQh$<8Q~CUnX3Bx9|O>^Bdn^+Wr3elMBjz zZv)>v{qxVqYVYqlui9N_Bkj*U|Dl`a{C;2k#hyHy#(U4c@0@AJTK@9x_v3{x0=Ivc z`SxhJVfvSMzb{@XnR`E9EiQL&>-_U>{KX#c_x<;F(znRwt$u83AYJwSZ&+GMvhJ6Q zRx{q+n!&mEcb$e|?UBsfw!J07KJEYZzyI#YZ<)(nee>B2y}iHdWcX|!m25j6x6gE$ z`}=+IZQlKscjs<2zr}cYdHMbGhZd{Px%cjd_K&~XOjY0is@43O5Nt2;`{KVDYI}dz zak1TfYVxC&>yh&Y`vv9q-yc{~Jx6VSzeAD5H{Gi5e>vDn<`*AW|J%M~11Ma?6D~6Q zy^eUZ^mu~Rmv^s!-u^P-avkqCqq@I!)9=;pt!<Ypv{FyDx97aPxICW!{?g-f*7fhb zs5!%K@9(Owa<&h@_#KZc)Jk)Bzi-|ifBB+c>B;#uY-t|v_uZ>@@VD%J_j*En$6v<1 zzpI|9-F++*+qd_L&_2GszpEaz?tON}uI2mlUi*fXmp^|${JUiK<_9@D;x8?~BY!!4 zPFY{|tp0>uUtZoVXqPKIXTe@0pZxyujmwq$67!Av?Yip@d^c4q`5Sy9`B%r~J>r!I zYP;VR)jNFOeRBP5p*p$ePF3H(1~XNiimc_VHr>0dJl;Rm>wUds^}D|_?CvfvkB>jP zSbdJ_{&QZg>tEcx9zF9yb^P(YX8YfDd6&Pudp*AJg<$$ehI3QDOuAQVJI%26nB|Lo zdZ)MlsQU8m_2!5#4aWK#!!K}Geg7Ke_C<5~!S%O|e(0U!eR21CzTr!`^i%5}Pr6)O z_5Ev%(XW=x_iJOP%Rj1HT)kL%|GsX&a*N6B8>U|huKNBpuI-EA^Dp{${tG|rk^3(g zclqo7L+x{f-=%+P`mMS5$(C(56CU2*zggE%wrVTuon`HFOqZYhzS8WA-TCt`j%~BB zFEDtmTp?duWC5z1g8iD`8_&IHI&b~UU#AP}s%(}Wk1T$rt`Ps@!9V-|>3h@;{b?0^ ztXsKv+Rx*Ax$ivaj}qVUK>qhu>pzS2-hAFZ|5nYig1-MX@i#tx43`j(I36z_mGCcg z1*_kO>l|V?j@4V~8vNg!)#(1i{Sy=WkB4yy&GK2ld8Bq$H2zeLta>ayBmUeH^UB7% zyzxKm_5b+qexJLgf%{Fqj!cfyoLsFrIchd{Vk~Ys-MAlg<EB?d0>|rU?(f?K*NM*i zVC(+2Sgq!N*y(TIHr>~-x#jpN!9DS?$T|_ZcPcwKZ!X9ef9UkEVB?dg>kjR;ZQ*YH zUF{jCCG&ajw718T-YQ!gw-)dFzwz$JpZ88oEA{@S&ZU0$w`}~1x6CQa11m}vJUQri z=-9zSQx6?>Rw?HbeRuOv>!F9IRm}N?=V{H6)7x3yvY~9rhlqzSG)}U+b6@95?|X9Z zbnEZ+0(zo-@tQlgx>PJHsOx$1Z*@!QzW$wmH_p(Qvtv`Ty!IUp8!Nw04=NIy-yh9+ zzeYrEo`yBw{rflHefe?k<TUP9Xa8@$`{Xy@ovAfvpI=$bKKD&`FZxZaSyS+(>Lh!< zz&g=$_cV4s=l<?5swdiaUu9?MtPeg9|D2uldpY;(bPj(JzjIUW@U=*{x~F@}&C$)- z<GLfLVn@iQFES6`#60|C^Ds~&W{$qiK8GDOt3Nz(diW~$(B<xy*WIn@6AOwv3*H+g zzAbwAPxR2QsfUh*9!k@(*%5W4YWIfP-VLAm62C_!zPC#JU-eKykS|}L@1Ca2eT_Lf z!gBXi=G@bnv)9`~o~u7kP%lrE@4jx%JvEz9i`xDt@7^A|Ro!}=%YEgO=I@->xzgWH zzw^7H;6LBPpoc%2Cq<WYofkQ0ud;JK=j(D#e-S@Bot?GY3l@KPp*)HGJBPHq=(XGR z9(z96zn!76MmN4gyy&;_p^JMDEk4hEy>&z3{te$tA3ij1J<Z-)eP4827)QIk&^dXb zdH;MO{x99|d&7or9iT`upTsXGx=!@mvPsqZMc>)0#c0X=_bYl`_;BW-pTd)V?-#%J zU%@8OVx{W#{~C8RY<^9Ca&UfY?^ICq@Ar83Cv?Ymb5LSZ+xfHn;mSiV?N!R>i(H#0 zB&RL&H~7=R{Vmz8o9n&bnI8K4pJO`LaewbTTV<PIi~6vl|CWh=_a72&o%~#N`_Fkd zzD;*u{GsE$ZSLoW_ujcTA5=#~uM?5`w0BYNyAQQXb-(|ZcNvrh_HEyM)^{KOmZvKZ zY2Mer^-S;oyHkg3Ywp*aPTseC-_uF&x93+L`u=Ep%*Wbyy8b`qeoV{WXTR^M<bCV= zMTfsH-G1kB^^NFjKmL82_WRH7BHh<D^UF`O-DkeH>E!qJ?KTgpk4JCo361-)|Ht!5 zXTRrc-?_Q>iQfI&cc01K|9*GV{=IKrSc6hh*_1nGt<tUT)}C^2baLJ(#c0~Z=<M9Q zx}g94#=QA!11ipZPugC2@_XiXn}^jqqSyWS_hQ=en)fBAP49=_{nYb*XWq_-zh_3j z`?&YKZgx$4$?4jC&v&HGeea%k^Fj60XtUkl4fh{tc7Bq)@yB=Z+jD0g>eaR0d$@(W z_3&X;^L_zPKGWP;J!M1rmV*0&dZK=DdOwYdz8)%WTR-8CQ_<||^;7<c6wSWvtzzGF z=h-vEN&0HrEB}X1%J<0o>~?Z~=%<&fQ~wth?T+6yX}!Ys%DOdA{uLhj^$`>q!YbSS zMe;=C_PTv~pptl4c%6vczObU_rHA%TZsl%09IRp<uMbMS%}?G*x0=RRtSKm2^`zMx zlzNwYzS|qQqkdPx%7U7<C)Z{kGH(6sQ1o9X@o&z<&O;}oRkly(GUw_)H_^r-{Dy`9 zj-Uz&uXl6w@9cKi5nxfX`pL7_L&2?=w|l;eQ&|(MV-sf4r6M1r6r(*SR%>VZ%!2<# z4}%{5;5xZhyEUEbdAR4h<A;7-1vz=UcV4{m9SxhR_9q9o9y(SEN}8`#%Y`1^;{}yW z)~e?DBJ;H7=uN(}*E3>wWW}0-FM220ogYR$d?R*pZ*=SL_hNdYefg8`RP}=jjIxt| zzjM7_`N8GkliEqQ-;3vo$kjT0dcc?XxEW-!wQ9MY%AM1oxY(^?Zm(k#YOy!E=)3Zv zTegRiTNn3x+5MPNu(n{D_q*Dd9sfB&Zk{|T`ZUPR^C#W;zh%QeNl<8b6#d?OsQ1v~ zYE^za^_)BEF`71i_B=T!-clVeq9?+~ee$e6r@yG*ex04aMHAoFJ=}QcVz{b&jqi>y zi{HDR+>6-ppBH5G>`Br59Op&O?N{CTf5C=-xgev<RknLSbbENQT$O*HdQMF|sF-sq zdd_}muRNDHSG)bBJ99YvMg8h^cK)7F@L&Cq^rYMW`DSYVe6f5}vHZ@vlK*^)zCS;t zKH>J<gZe7-HUEh0ygM^wlkuOWA9A0RssEY!q&c4Zy>DLmFMjVhEg5^IonNIN&OEf( zU3Gu|oqLvZyx#o@+wpxy!NP(c)+gEfx!?Q7Rh&~-(HHHj*WdY-9i%ks)9(}9=YP7N zoNLed{hv;ZhRnJtH6O$u?mfwU{%80}@7BlrJ@d}Cq;oy5pKkM`{X<Yu_5ROGp6p!? z3iW!gyno(1!YWjE?%n)n`x}+s4@O11h5xu0ea?J%^hud}wcDqlhoVo))a%2_{ycB_ z`k%{R#Bbj8J1^J%I>h_qf93QEx2?~Hs?67#vrcR0?pYhQ?J8LLf$P(u;&qDF|7IQf z)!m}br9SJ)xu`?lC%Dh=-1a26^|HA4J3XOm*97%M;~e8E&P_kjw}0J+d*bsn=B!cQ zxp!Z|;tv_jC!2X&dwW~7Tid0*-{mOXxeUrL)4lTYv}{5x?)ns!7boU&KLk0g_58_c zn}l;z_e{C-&Z6zft!sz6PrUV8`{bwDrp<Hiyi>XD^)5$!Ps^QmGj4mwY44eI=iL<R z6MmZ(gFQN9(rjx^eYNe@x6VzP&b3})yY)}KO~N{2ab9`lb7EDmmkGzY<dtWso-dz1 z_vw=|bxDtRZxq3XReM1Vt3K(sX*<}kH_AJAuK$o!w0rSe^~#kWxQcd9j@~5vMjz}l zc8_(k8hbkKyu0ExPhQ3P^vSn=MH`-+Q#|B-;%#5$iYHqSX`g)SmwDRnlhMP}CuQmu zK1H7kz)@iB^a<q8GIjf)vZlo?(<j}QeV%dB)V)G*=iQZ4ZR&Tv(NW)SeNtPcJWdnr zG;fvlqH&&i<)@NU)GMcgowg^c=(1>>PhR<!WL169ILEy5Pmfd7E#fLPcit`Zn-F6i z2s5o0Vw&e9>v<o9igqXO3;PuHaO#t?<u!BPsHk)6D{r?xs5xo&dU3F6<{oicdz$XN zdy+q8?g4Rds0FKDzo!EA=zKM>M?a;VoO`)-`h?rEpLtGZw}wx;J@=E(O;09>N4<+a z=YTz0-Th?gA?*`y{q}{FH5EfV8gp{%=N9pax93Kk{2Wj=ZSw88Pijui<!%8Rwsz8W zuJtP0t)I4Tn!LxiLUQL_PQ6KY_C!<|?!0?Z>7;jS_=MYY{|MdW)Ds0e?X=2uJ#lD+ zuAcyo(49d=&$S+^J}EoiqY4eR)v4;0yTPFrqrCGcAJ}QT!=Q1n-><Ccbt@<i)F;O5 zc7%o6a!9E4PO?4?ahe*;pJ_Ke@2T$Tx$}<2`Q+K?7V*iqW#5LuoOXAU@EtX9@_0Wn zW_K*iwDn-qJhgUywgWq@W<NA}{A9f8`A#6tEw8+Z-z)E)=AIdM-et&7+7nX2y7TTy z<C~oCguou<_g;5b7a9lkE|6f8J2|(XOJ8xj^|Rx!^bu1wsUH%f>MG{;5YtY>(ue;^ zzYm!Ymp&;w{<G)FEf1*M{;vVM?d0A`*7Jqqobt-g{Dzr!{<PmGR&bR5So9=K5$v{j znA`U2SRV|BL}}g0t?Rk;m9|@No%B3@GB_4~?s{@AqJnehUBmr~m1{qE73~hL3oe_) z-ZFjS?YR%4C(Y*P0%y|gFvq<=;TOQAue#m(T)FD?ed;i;#)G|@()#2aKO`F3VJW0P zMSUg*IPvzYn#&7;6YqRj;<cYT_d)t0?~`x)J{O<d8qWcB+*F8H^;OI3RKXc*|Fk`z zlJc&m{It1G1R-ASee!Jaq3)A!`^=_7qoH2g`d~7|H0zUFkGDcouX;+l<<7gFb^1F$ zvx8%G4J^UgpYr>p4l!->lV@Jw1os=37ygCc<opLoBgQAU?&pTO?LQ>>Rt6RQ)&x~g z_Nx5<RCE4B?+B{6;qmT|`;PBhKy^mXlV_Vj?SSVh<^Q$U*y`JaS-e{N?U4KTL(f08 z{+C??Y7nf|+PQsJL4E1NpobN_C)b*SDp&nUcdoa5-3ltav%T~7N9+i!*y8aiK{oNR zDyZ5nRW1K@Xzy201@cwZ+#J+|%G21nd*X&|8$U!m%n&}wzN=tu!MC83dwpAf&*q%Y zb$qsWUaq1|u*LnLqI&Jby8`nx=H%$^+}jH(?4wUMzisJ#+p68#Ztd~zjm8~gP+>n; z#k^c>p4OaqN;`K)MBEOoSW~cN_LJtjt=X-c8=u^hJM`DK)w}iaUX|_N#O7(vd9S>4 z|N0I4=6`T`cx2L@d+|F0D@q!k9Be#v%n{_`X4P^&!FRG+F&Z+rCe79dcdpcSR`1wQ z)&gp`dz@r<2erD~z28|L`a7L_I@j^_6Yf+k{owPkrt`@^!$ZGTgTi9{v^!;>mg;dY zIT`gF8T}Yd8ym->=PnQLWrM<EvWmGasM(&aS{|>yW-h4JW##(m;A@bR%{|`j4cze_ zWb$&ayuF^FZriLU|7N#-z1*tKrJnAQH(&FPh7Fer|2ZMEb7G*DqH9t5%7XVY51k%< zh&g%obIWqB<qMy@`+4XVE2wR>-OFxAz>YAB>e)~3xgPr44GIj8qSrzX-5#c&d|Q7z zWcn$;s+k{TpOmTZiurU<^w8ErH>Xaj4(EKm@x!wx-_E(6bPqr6_n|EDvD8DahhJnT z-3L|1*{bp}>Y!Gl{?6$fugy8~{o*S8RQ2y^T7RE>d+ryvlY3JSEuU~(_O;qc>DKwG z+pVvj-DF%deS@*cySqv;8Zvjaem;Hj&F}r)Nw2qa=KJN9OR4JLQ-)|RJGu8e*L<hE z@--o!z>f6$YIoD~zJ3k+&bys<3Oh^NKR7-qbN|2KiE!&=Yt`-cDt9zuQYPK^&wKCl ze$t)a8$M`0DLcL^8m3wBrssRXea&~?xyVnw^J@lJ^Lf?z8gpu5ihc_p>OFK+U3LHb zJNIVlO~3PZ%7$;5pmtxnihK{K8+G36-CgZF|L1_(*YlrTdk#wV@e}U+U$h|()F;`e zvh!~$C}V}I@V^r`+ouI;QqFpE?s{u=GAKf%C(XVOY6sgX?EI~p_|_3*^mbMG8uuMx z7TT)c_i@W@wXff&{?p~*li!nWYlAw<_7iM=EZp!>`Jvathb~3Wk009G4oWohJ@WpA zRIDoaWqk7PeD3W10&?0ip_BOQG-I^q{Pik&fBn$kc2L~9t8A|WclJVx>b2JV535*I z@M*@AZ|^}y_j}9PDCYc$+YwapgZ<>(s2$(kL5}pFG`k*@h1N~E^S67$w{Van@2kk4 z0r`Kw_q)H&JN_>zSXuCA%9CsMApifLbm#x}4fjC)|EIk3?-o$+Sn_0ZdTZ}<P)qFp z)H{`NJFZ9nZH@2T8~6Klp-*1@e$^<}pNHP>U85DFJ!h@j&h5MIEMBkp)8%1`@5#5~ zoaS8pCOg$*yvxpPZC%c_Tz}e~Sd}$vm2JW-syd%Emx40Jz9;vp4*mTK@*=Owb~BNA znsf41cJAM}VPEG5mxnIX@6@dX6%xTGo6olNo&^;W(H`$|H1FK@+7V!3qGG-s<ikAW zox9g=*ftkb`c$7}x8}Icm2U0zZswuCb6dPyAJ0|YUM4tCbB_MBJNH8)?)z3OD%iC9 z$u|d3vDW_N;Jib};y{JOUe)q%g74mH$7smBQ{R~h>N0$ve#h1!!a4xdHJk9Hxf$eQ zXO-`KqVH}WT6*Z?X_f7K!t*re$m{K_Z`n|{1k}&bIQe!lsF3J;a`1F(Z#YLd7k|9w z&dn}6%6mXXvewD7;oSbBez7O-Cbw>V+;W`D{kXTCh2xGe3+uq5_oj#bPHypTP4+K( zy%p5wyYBgJzRsHYN;ZKOm3yCjlLke1{uG-Jt-ZmZu*jcu$I^Gl_cTy+mz+Fn3@Rkj zmGb}peagD&vc30?5DUBLqSv}0la@~U{hZ_Vb5H~R+~hlaASXWemWyG?n}4%rHK<+A zty+FxZB49-joS9_N5sAJTtT|LRle(sUejmZ{ypT$xBI^jpH4l#(`iTf(t?Er6;tmR zccd;4v)CO~^#1Lkzu7I`MZaxMTB~edFPbMJ7pq=buy?~mkpJ^1+^Jk%@ZJqHwlVX` zGha}ZG+VX&zUmrY(1^`;54#<qprUO1lY6;`{(c9IZEW|>+Z$f7tYDtkyZ!zV_4`2~ z%RK3JIfpq{znw;9!SW3s6(4#%OjO%hJiXxk;RoN2*ZRc$$iKKdHT{F&!>EUE<WBDG z=lX817Bfk_F7D~1+w$PPr_-kglMfv$DyTj`<&ItYFU^~U_PT3o-73};m`ti(bN<vh zpND_qPX0a5`T9F}kVt>$Usg~tAg;>KmH5~jluGoy-_^M8DBll?%+Ql(*MpMDe!ZR6 zV%PR5+Nf=x|NIAfKeC|O-!<;X^V{Vq$2CF2M|vWB`_;em?9;d2dgvzC$-VL%-|IC% z?v(rIQB<u28W`I6<e>ARWBv!Y?fFk~+w<3JS-(5qvUNUpzi3~b;`fNDZ_bFEtJmE5 zUpw*d^+Uo%zike;tCq_NzWeJ2>i&lm6?5giUwQKF@u`2q%Jv*@`MqCQPqa^d`kgAL z3b(xX@_*w#J>Wm&rVA?77C$*R|G-<@Tk}=rwPn_VyN*#0->fg{|8IWtVmfF{V83_X zf9D;F+s_BvXjcB1vf-ycsFvHWQvRPe@BK^jNz=KG|DSlLDym{x!M~n6!ZzC0$BIEA z_228AjsBh2Yd;>ET(aMtF|XdvWi<zX7-)bjZ1SCG**kSHzoaJli}+oea;I)$!Qu}d zlWiirZ$yAvF+Y+{&UHPsx3q=3b^1P+PZMJHe>ih+*CgrMhh7g;UwhiAY)_y3Rdu_$ zK%Pk4zJ*Vei>l4;dlc0tC)Nr-6y@?i@%CoW^tnHl9=f*dkhbW&iMKa@P1`g%SMN=( z;+_oehju${SLdq!jCgorLe0l(hnS11uibI`wDQo)ZIkqG`rT07o<8@j>if3}pprmi zXC{}ws9*V{J9i@^Zo7ioArYSrt^?Jld6TMlbAM+Cl>~B=qN};ii=6vD>CXM=i2LrK zB4+)QZ*_-y4=H<pN~lkK%m6C*8z)(_bAIO&(-Yz2pIEcoxz)Gm_v4HklR$-p+E2lD zPU|P%*3|BJ(tBvJvP%8uiH8_>+P;=o-C4KggUiEmi%IHFzUBNWI{9Dz=F!rn@_w*` zFt*&Syp#O-uid|9$2SKRz9kf-<)rI~9xF0X{dOa{Uy8*wN3`02qw7YpzhsNnMt9AX ze2cb4%#OM&bwqBh>bLj5Pkr5GfBohA|M7DDXI@vXtDOH@<+&Z_cbl|#OSa9te0c4< zcg-E?Iji^Nz1wZN_3f1O?b9DypD<R=o4qkV+kLHO+LHwJ%CIA~#^2t)U1NGR_Q{!b zpLX1RGDGrr)o$&4x0oBwKU>zknY}T;$p7ix4()2q`Mb8yF-yK*Api7mhxBi)`P=5t zF;BjK=iez|%bmg>zc5dke)h)pFVas}GCy@ZV!TXq{p^kTZxx>2Y<~Jf@za@(+i7oZ zpUU?A7+`7PGf%Yeeot+6d$#Y_?M2TxD(_4zI@43TckvW+Wj&E8{uWW+&fYMu?D>>; zWNPwMe_KDl*&FjK_Iye_(tBTXepwU9-k8A3M=l_HCxh)R+4Sj(<|l<mlFPNg_L@As zdHHFD=RC>6+dZ|>=^4HseJm}!q4r+hx~yv397}h(@^v!C$?@yg?2#;dX!BI~-1J)G zZ@zI0_DB~#{eEhBoW}CAH_Z2V{4{uU?C7cGp-=Wm{(hzxIB!SDI~SY3Mo&|Xzv;%V zvng%0>8Ln1_0;RMH_^MjDz|AJUq3C~?T%UU{cEOC!F$5^)=f@#y<?ty|K8WA;JuFL z?r8L{K51zD?d!h<AbZuG3g=A&*?TVFUhj^g?oTVXpUO1;#+x5(*Rvxq-0p3eW&W{! zJFTav|I;W}npd~{(<aH^)BY{7DV+|o_b%ApY>o5xl#jok3bnU3DtJ#kpWFnfz0Fa< zwI1h8o)+?-2HOkvui#T*xv3y~|G8G0KRT6sYPp>r$iF$7@@{v2tp1egf1=X(+u6Uq zl}XF)bXNSDeCl-Co9HU9%2%0{0v~&}ecC1Y``Wy~d4G4y)Q3UC`Fy7D$4bizM`$?f zXZdbbPLG>(e6P;&GdHeRyH*-MI<)$f`X064X>VrN#DeU#pW^=(?BD+@Ya|LE^FF<N zZ_4MiH?ym~D<9>8;<p?ezhBmU3h|e7v3VR1_V4fQpWaj#)l9Q7ezZ;U_qKc2XFXys z`moSO`_Vqh-`{>k1^@LpSEJMa+of;j#`WJ<eo8yidVY%kj~Gz8`q>IfSB;-m#zX8~ z46=9sspYk*&(Gd4|GxFp5%VJTM=$Sd>CfJnpSi;3>s(Mce}~xXSozJ}^50~ry>-`S zJ<>0FaQ124^!tm?cJ0VNwr}svEz7KS&zb2gXYL2G+<ZC6@|{!sXGDW6mkhqwyThpe z)5_0a%b$ZH*>L}-Om1*s>#nfbIN?rbMO94Y&7N9sZf$>EC4QxO8&`k>`<V85J+<TF z)1i?p6cy}q;^3rDYdU_Xy}2FjmA%UQ^vu*Jg{Mz}B6(Wyy`CK#_I%>>ylI|%{|VQr z-Gbp^A$Fyzmbu6FJ!AppVQuaC+qyt`>CUQC!uvpR>;g{HzCrV%eC1qhl1rbK8h=~6 zcA?GgWis*J&^T_qCZc}&;4PT7?%AtepPKpgN#XuU$!Tw1uU)@KvQTkp%^|i;`N#I1 z<egfsyv`@ku2dOp?Xgqsg63v{cDcdeG#yZxWDJVpvr|Du$ClPlS3*EZD_$Fvg>${1 z-dy{nA_{74bhdAywB?O3Xwtf!>04TDxw+XU&)qWZ*uFS7P}1@{rT#_-l(g=Elk~b% z%ipPilJuQeP!^UuwLJAHC<}iJnRmncor_IvKG?f&7u#%I4~pVCa1`H;uQXFX&OZSf z%K2NCRV<M4fn{OGs9@WOb23W(r@>i?FZ5o|j)EDVINML}ll-k#zZz6@a6Ap}pK>|v zjkMg-8i~Ti3u<m%EV|cITYOx@Uq&00h39~?a9{YmU*M$03AR^T>-;>8<NlMN_MVIi zwsGb2n+nasnNh*EvFD~dDNH{BirsS|AbY2M;=G)Czo&L`y7qgw7)$q`SBjr*k^J43 z3X0w5n)A!{fn%5Tl<@xP)`I@~1Hh?DT+=^RhhJ%4U|^hi^8H(?r#4SN_3`wRnS$HT z-q>Ec^P0%|3C-G1BL%;oy|KM~>a~#7MbEM-@0fy1=<KQH%6c)&YYu${N3Zmha^-b* zR@7wqf=cKg>>zv1HRqRY2HVT?v@`mN-;vAtp>bx(_b=t13N8gj@9nAA&)(Qx*8b^@ z{L>$Ep*6#=$=5{QPio!`wfFjkS+8u1p2bz(sRi5n8)UD|f|^6kV0+s^_L@xfuZ;lP zn+~$KUt|8a6<~Ygr>M(KwifiShvXvNrz`iLYVJt4S-2<f*uJg%HP4@$^4R|rq$Yf= zxmMFompvC+6WT5|y}JMO%=D*)=fOqvyzqNHJ3cgh;?zF{ieGC`E{Y4X`<e<)&f1{l z92aW$))btauTNF~r&z8u?;gaz_EVSNo4_u3{eH;2YHz<iy5&lG`xo2Pgv+_zd7*j! zzqjAN=yz^0mHw4g)86dWH&@oHS#alnz&qENx7z1xRF2zCixw2OPrO&~T=(nBYdlKp z>X+Gk-#O=p)4LfLgC#Zm>ou$YPn<0%{%`f2|6OkW_hZV})vh(Yng8U+_9E*?mjWtZ zSyu`a{;htxQ~t@3{v!29pZrf9p8quQNN@a<=X-bFRsL>cBVKPNb$+RMVQJaZnU+s( zSnL!nEcAPNFs8`<(XBhDHXB;n_222+VY2?yl^PSd&lh@*-<c7g{fYa;N!F)%N2a!3 zncSJ}o0ACACHVB<qN4jf$Ajh9+iagPCnDyZOH7<o<%|jKg67jg=EZyY>FJg$uhUs< zvv<uKU3K%b6=#k<oyzXHQ@${l_32H~r$4+vu4Z|<a_UpZBVR+OJP(@+DwB5D1Xfyj z%DLT%@U5&XwcOcur+3GuWuLx8Z4y6mwu5`})Zf{s_jas)t#N*h_VH_zqXot5m)b<c zzjKfA);yo5aNK;#ZNcfwH2iZ__?6b>ud&(QIp;>mJC_)~sqM2*IvcNB`s~>$?&uT$ zLUxK5e!YImIQr6y&iti&WD7Od+HBow)3IY;Z`G&US-wTqJEaR#tDnA<P04qc>R+CE z?6;5MV$*|uprAT;YV+<D>Kj*o@;mbM?v(c3rw?~XS8JS4fBIyeiCp^mp5u{sy|ay~ zPj9S!5_aTh?bXS*{Il5vuYV7pclu-}^OHWw{^g&fpT1;H&7XCrXGg(=qW=j}wzbCd z!ruz4J^AeEkA<M1Nqoi0e|;9H38ZyiUQxY&%I-9qd9MOb8M9iJZMoC6qoU{2g9s^G zX5)F`e=XLYl+{<SQ!iK2o4;UQNnrLK;iqXwS|?BWt$y0pSkC{g$kUs_Pk&^B%zb$( zSXIi_*?3;~W1h7q=WD8;pR`*re3`cYeBJ8j7hdGgyclm2p53(RPXCUwd7s|=Ec(N= zQ?~Hs_fx^*r<yxni))^b)lt_1r7zV}hrL1R>-3c8`qL+epSath9j@gcuUZ|tQ?l@3 z*3*BcMf#6kZ9Qdt{p7~iPs5HJm7c<Ge(G;Ww&~NG_9s5heo}U1>FlY?(@r#Zyxy*H z{;rmK-ehaR>90>6z6~<gddl<v8tU(->=q3Fx5TD)`kTAD=E`~|Q~Y<he>+=SZma%2 z6x4v4|LM!$P2U^t^z8Vspy<EiPVvI6D{Ve+komp&PS=hf9iJWqg7f-3@Avvq!L>f; zZ1noG!Fm1PDo}Hb^QrJZwcpP!TFzMsD$6~e2G5@aD$DKGg39u>HMfkxWqE!0yoxYT zGtd%ThuE&S`Pu}kL%P9b`D=~y`&5p{!<vEGQNcB#d~vWk<aJc=-}X5#Pd)yp32qiS zgX*r?r_}eTg6giCXi&4r`qc7$+Mv4Y4Y)Zr{Zr=uY2ap&e`V4%P*wdK+#LJYP<hqz z|H3&hPakwI`jcEKQ21Q<X|Mm&Q|Uzyi!Hym#>kwwSO4Ts{nJa~MgOyRepq`)_SDYz zPrvaOJyYNLbF)m9VL98K|IRzBQ_U(ZcE?Dme}6v3xcKzl8Fyy8`<+)We>Ods`_$Uj zl={S-n>uV_LiofcU+zBLtNP@~q@qmCo%^@Ui41$Er{3<lb8nN(8WnT(6DLETTv=6g zcKTn>qEu7MjcqYe!SB|qrmLO4yY*>7?9RROWY*}L2NxAN@3eE3i)HxceK=0M^!B<N z*~vM(GuHQSe7k0^+p%rI-)>3V_Pg;qIj8!^|C{e_Wv9LQKKt7)$=mC0WvA!t&RIWy z<J(z#+m3Ckt(NW04S%!MWLxa^>z8ko{gK`$c5K_~Z@Z*!f7|!_{(b%1ue0BLXa6Q8 zeOvF=>zth3>Fc*{e7k4wy<^*Ef14$J+wYv9^xU5t-|pJ`?bx=*#ed(w7k_JN@$L6K z5I_0zzxThI_R1ZvtIt?}a^u@Md-aZQ`~B^f%<XeGUKi)=&Rl<S<J%`cZv40Tw`OnH z@w)v9>#uKoyKZmV@omo4cl&a$zujuRO*UTboBdzcYTo|8`?ml2|F7;|-09@~_8YE$ zzEO59?sD>N+v?c<+~se#+HRY>`TF-8Ww+xVC*PK=p4*>m{&uU~HrZRRYjbwzt^c|4 zZH2(^`_I$Y|J`{1`?a6<@1MV2ec;A>{x`J=Io8?lSwSY*OWgLmU47_A+3|bg$F{li zKl}eYUTW)&|FVidm~Cf!OFg?ew|VxA^Nn|G&RJF)7yo&C;RcUX{i1s&kFU<&-)dRs zd&lei<2h?Cf416w_OqpUUb>zAwfm0x4`Q+}a9b^ZzfinLZpPztSF300zmd7;uK(zc zx&Et|o%4TIJio&HCF$I~{x6R{_<s@Vi!DC1^P}-+)ravfmd-gXH*ex|UEcF<`j6+# zo*Q><rz!j1ImI=8Rh7?Q#gtsKG&JAaRIIzq#<Ks@uRW*!{+wd|EL=`!!JeH9K3#D- z6<zrB;h|HvyEXjvSM4#5t4uR|diUtm^yvZjyfx12uH3VK%BMe<PO+cX(AQaAvt!Pu zN1smZ6`eBuj79#&sZ*w(J@Hsud;N@w)5B8tE!zD2vbN>5v%+(Vg=emRGbhsbwRPGW z{dMPLUN@U=vD-QA+VwLL^ZB;io4w|1^VY5VHt_X+?JV88FZtNx%)ef*4}aadFaOx% zl}l$N*QPqJ?f1X<YnkM0Zt2Y0JmIzPXMU_WHQVU;FM}(;5{lR6&)8_UyY<@f<ym{T zFTFPXOvL;ArC)80<?2hTpRKk~FIx~^nm4=l`J=uw>Q=uct0om+-!rSYQvKO#>*Zz3 zt{1$UeZAz|+jBL#&lB{m3i4*CC!f7{=6YG*d*}6!?-;FrJ?GB+pH=7fW}3*=zf+Lv zx34qkJ$~QFzeG>wkW9b*%;g_{Sf|<iyR`7k#~;myGi?6-5;T#kU+3`u)Xx04`<C@4 zpQmiDx7piRe0a~o;t$qqJLmfN7giqKGqL!O$lA;)8FN-Y;E{U1D*f2bRdY_tJlC@6 zKDKk!T;InrPtPyDc-egM@+!OHFH7_nTr@UWtX@5_YSQ#o%hTNapBa6vn7(>>qMQF8 zt*<5P1O2~6N!f0EonbR?nPk6uy>0QAE%{46?$I*8ToLzC(xfUX?TbiWV&A+cYfY-W zN~LUHT4#RQa`uJhzfQ}lKf5!&l$?Fxd3Ukgd&aL*&b;813-LeSGw+o1nTy615w>Ud zuTcLg(K~OSWdHJC7R6tdM4ME-^1o0KcT+txX0@&Hx~q4B&lIlxHvi(RL-#Y+NY2=} z>_^kJ3jHkKlRc&z!?!F;a`XQa`Ya;2zWG@8wff1&vbSX)%ii`!>~rV2mD@A-r2S6Y zleYT3!SQUfzk0pb-(2Xqp7YT5S;g5l`Aa{3Z98KzztygI&iN<qCg;+R_k5PDIVJPF z_(yA17qgV@zv(7byVAZ)`F~i(_V4<PFD7j!a{eD=d*{uQw4M5X=3@0fjc)#L)un8A zT7Iano!9>Prt#yNuw#bDfATzEqHe{1#$x`~oiiTSq&-_!Xt!6Q_w!AI$2Gq`8z28E zqv!JYqxj=5FKl#t`p-32mdJ@E+F9{GetEId<HF+48&qtq_@7;@jOhCL!bZop|J>nC zCRLxbFT8j;`(kkHM9Zp6+819)&bl}`*44K2YUZ5tt0bQr?`12VbAF-Z^UeFzK6{?K zxiw?X`3Q%{HD+fm=Knl-rqHhX^(Bk>UwL0%e4WH)TNOLQeSLTDjK@1x_P+EySNr)z z=|;}a7hfj@SAE%K&>trrZE(CWIQp_h-sPUh&$cJ_JyzScwD8Wh%1OnA*3T@~?YHfH zTz1wXuaf<l#k%dry^ptjUr>0bWZJR9_B5I8`_zkN%y*01p0wO%-uu|>tVLd({4<NZ zq<E?0YoBDunAa-wem~qN`95%F=9!OjQ%_$0@xJJK&wssi{;RXz2u58tPWFB0vG%0w zV$)jVYft*GO8sZ4`tqOi*~S0rB3qZ$c3n&HTe@*s^0DM|^H-WO8($MS7v#TYjj5>d zwIk;*&-&FGB^=|D?0dK;d8z*8S$&e(M$=a>kH0$W(A8IxGcE@2bIg8Zp5=SA$Mhf1 z+RPb+YaWO_i@3ZmHruFt#qwl1+h=UWd+fKIt*F<ycUa~<|G&K^_vCk_?Xh1Xm(cfp zX3FGa*H`Bqd;C#8tuJ}&zeT1mk7umOoV`(P&Pf?ibMmpK%}JSl^`9cW$1fW`{>XCP z;P_)nyXEs9eKx83BM#0Cn)^@4*#4dV;^OZQ*<W7N{wS5QwKcBN`k&A@Z-e*Z<=@zB ztK{q2<@WN=z8G9P-LmSEt(2{^an+{xE7d;=+E&S%_1|l^PqTwE674u`=U-a<{o(l+ z7k_W4{9^aV^7F;tAEuk!lV25ov7+9i&iC=(g=xpGulpujyvP2>{mT`x*Uv^w{y)=l z-Q-5e>kbdapG8cTGoSza>gNlW4Hv5$s~4|1d1kR`tnsy!=T~RFX#z(`p5oe*x~squ zay;ADZXr0_r(Kzq5Gi$jb>^|pUb@Ro=ZbDs+bz^<8f!c+{NVLj3njC=gs%$62WPKJ zTjRNAscCa*#+<{Cc7eMf$)U>(j&BvY-*If~6{E*dS~+KAt_KwfS4}EDzGqf(X7IBe z)0!q6+j_<Lanvcl)V}PMdqjJWi}_!!$PxYgVq@-d)6TbBmt`HB^~x`5@}0=+th6;B z%hUT_Z%aH@D*fi5O!lnmOy3>my{5Xx*Oq+0KC7?t%l+eXs*EmY*sNbCd0xAE!nG9s ztFz`wW*4<*f>PVd*&EgBr+`x1tVYRfuH%`$3s;yr8($OgzcTC5%BaV4-I9Gz_B@ZX z3eHYS&-A_7WBRmst6JQ(SzVIZP24jd+iplaR-N!p=~)GTPrT1#+YPD5suMm1J*&|7 zjd$$7Cx52m%uMZ>k0WMgZ&{XoY}T8tQIq!uW>=-HIeB%9nz?iKue3EM?=Ld_d3$SG z!m(M0WTS$2cxShzt<k)<*7WG_Eys4xKQ?Pp<(I7)S-x))*J{q*c&xt1@?7!Vp3ie? z=UARAUgP@M#{8_s`Of`@$1C62E}eJhvPspWTq#>!<0`K62JZgPBc*Jof)d@C(_dcH z9<!;MU)lQeMeVcDsxNlQac93yiA%PtnqN6rZtwXS?(dEB4UYfr`Odd@WAe>CoyC7* zpUt)kKeMoS)$tnR=ld#)pTF8aBmbeyp7rOJNzQ%9{wimt^YrKTA?b_!7jMpWOMe$! z`t9rsotkCm8ZApIzZRD++aK`!LeIHV^;c*9=yFRx+w*+hpIMbJ?wCE^DZW2&`G4Ks zbJxC`oJ;@3E;U!y*vi=6h<|Q-TFJHgq`v3#{?2quPx|)r?)rJ=SMNqYi?<ekmauPW zagj`K_HN<AOuuK*J8fok`&oZK6Kx&-ENx$Jahjdfaj98_YkvJXQ@G|0lS!XwbU@y$ z!Zm-K&J?b3nR9I8tu&d{HuEg|M0MOAN7x$og+|V?>=V^f<UeB(rh8E4_$eLF#}W5} zO!}@yO*^*n)9H&AVM&!z$FpV^u1T6?`DVSt<A_+}zR<XdmVHyBI*)B^TYbSIEH_l@ z_^BTzeNTOtT)f|5Vcu!kCmP>-Y-3cK%<2tR#WLC)88WN0k8M0PdFJDYm0L4qR?8Qb z%09CQtBQp>x9UvcngV92>t(llvaL5O7RTI{Eq)O*=a9_xZTkg#vv+47+ZxMp)zW0~ z<}EX=_MUxt;c2O{)#m6G#%5F9(sQ+?`Y-!mevvcdqeb)DneFFdjjc}KHaPy+C)ay% z@eXF&U$fqM^zU0HS@p&AZl_$WukyLKXKKEf-ffku^*y_I{mXS{+~Y6n*#4R|%RT<G z?acmt%c?IfUY~j7>Ru50_l3plS5|&8y*u5qZ>oL6v5k-RFDzU$P4c*w%n6y}UVf9U z(_~hc2o%d`Tg*7Nu_{ew_2l$@Ym2`Hd|kpHe$Vi6#9HMk%lohV3URDFZDaNPxWWB% zZ)aPDz5e@ns`;6Scx%U<@mGEw@jR8Cwr2gDMK*=2t{wLak26fJeWvrwV!N~Px!hW- z;yJh9+?+VC_{lQK=VtYK#dB`E%sjR;cXnak?B~Y!&spwiIF`(z7jn-;SwHTOOuufW zX7BN9hL1N+)$9HeqxbzxMb1?18IL!%hF_}4VK$bFek}EDvAz6`X~+I6e?9Y~e#xoQ zGavtZJp5|%Pkv>Ya_@ilQ+J)#Ke}VQ{#DG*sXO1x+;j6Uk(;4zdHvOy@ZxiK{MNsF zbJy|l|A|s*f9xmKix>Z~4_fc|`1j&JwkG@5znooYcbebmcyW)d%VSHkvlV?m)rxJ7 zA31C?FZrWj)fXB0#UE{p?)SWp{@ibTeB&(R%su;C{V!G2XV3olZ(3bf<qI2w$A8y; zGb!G)KlPT$z5Bn;UwWZq<G#2!!!7+|<+FvwpIE`U#arLVJ^hiW)Lc_ztH0qh7jMqv zn`^v&<>l(RZs~`6&V7-7^=8J!nYF=lV~byWx)lA2=U!O)`JQu+_FsAVceY#l&7R|u z)%}$(?if7Y`8;i<@jtuXb5-+?74zFIx_HxMvGG^K&lh&4yQM!Bepd1B;c^qJ-SHP* zoZ-zV`F8fjnfjA9C4K+f-O^9@oI6xMbFuL^-QIIul5;y1i%Y`JE-d~r*NQ!@<l4UQ z^jGPn+s?f3`M>DgHp#iH`HbIJKYv)LmcIDnO~c29&z`6B9k(=CyxBs$*yh|q%aV0x z*Piq7nS0O1#A@~D%P)NN92RfRb6dV&;q!%^$;Te+=pK>jH}1InB1hkAv9a+yxAf~h z=iVfpaZb-Kl$y(HY*p-S;QqWoQ)=$grI{tirY*b}Y1V%3;HEPdZyGP&yh*0G<d^oP z7dbO7&W!S$>s$Qd(;b%5W8up#-ZWZlY#lh)*4XOv<SRGL+x6D3S$3|Vw3P463mx`X zJ^srsMw&j}seUa@&T9Laig&H0S7ydccS|p>l{#<hbMZya?29w+HGm>+@#bxc#Wvs1 zh)B)->zh#`X14SqDBDUH@z0$+`{Ii?GcHEnnqz4t9DV7<nwb}0<{y=rTUz{Lj_2yj zw`W0O?Hf4O?m9wZt?w0&(W^HzFGk)8oqI3O#OidK&AA)z&Nx4BlRR&`z1`|@q}1H6 zdoyjmC&)|9^)<E%{%yoRw>qsP>|1i*bGdi^i#Pw4mYTbhITIXf{>gGy$N3k5yt}!; zpt$51-{OmrhKr30EugU$4f63C*@fq>Tzr)?^Wsc9x4F5-R@}!|8CS~mp6iqBH;xZ^ zexT>vqs~_{vz(`2_X|pYmQq@^{KAVh^ZXv~RQEQqdYxud{-5XB!s6pSpChd%Tgs&u zi1nWPW@%z&{QT03H?uCz)HUXxyZ3WOiP@PKXXc)lnR}Q!vt*jyqKlHdXSk)`l(AwL zef9$6<((~-R)4j@>U?5Xo?B*FQu$7+^w??>tLU_nwDrND#Qf*$t2eWqr-$bSq*p8k zCAn$&Vd*t&z2{a*p0_RJ{(NC)>ak+|JFCt;$u+T>U4EhB-9!1zl5J;S_}o8fQzAFx zV&rdOP<+LjSpEKf;f2l}Z%8(?17)*1NH*g)a8G}f2+3yl#_s8NWvtTW0+)Z+=snja zIrrr>E9<n9wEwHlt+Onte92kLRu6XBqsy<p+=51!F*L%ymzN%!zw}~cedDoW{<tOJ zY<4wK&g%P_7i;z$kvVT$Gt({oyQ$RNo!21|_P@FEh0Oa)FLGvHjQpWqTypH*GH`@_ z<by`oI*^~QRlV{#8wARVUw*&JnSC+xhr`^H{Fx<XXDa6W^uGeiUq4n_wLiP?bnE#m zGk?a+y(eyBwfg_Uk9Q{jUwSdp{PE7;@rL|!t<&b5zjXb~M~mkCjFN5p7hjzD6Pm&J z&p4;QcZXy!dqel<7osoxeO38F=d9aeV>9dCbDJzn_?{*7otq~)cWU98i!YBuBJJ#A zaHQqMl^%P(7?kLYcTcdYPAhr#7!qk>Vd*<MKpvPT9-dyqDK$6LcwV*AL7S4inIG?P zd#^IyDbjoH*(Q^D)jMQ*LB+?*r!(8nF&kTDdp@gpH&ZmD<eU117dmTvz;RY6`fOow zQ79zN?21d&!j@l*G+ul;zS+|1^|K3>o0nPb-3oEo`o-t^B<J2Ny?WEMT~B}Q@^cTu zA(<>-?mZEyxv9o-={d^J78W1wc|PxU#N3a*870@u7k|9dd^WSh?CcAl+=DhH?`B?% zoOw#-yzL*;-g9}g+|u*1rRLTeTYbK5z(4o#ZAhHm=(Ds+mXw++YHTHJZRnnURmQ5_ zdUbJvTY9c|>9TJDpg1cD1Lv{oRmMBTA$e^7d{Cf>eGh+rL1x#UX~F5w7)q=5FT5CO z+i|SeU(RRo=DcIY-}w{etjf<=%wK9#Y;*2GfYjW#_b<ITBjdPubGBRhH;-ovH<ycm zQ|L~n;u608r57Wu`rOjrR7lNDEq)>Mr_T};6=8k}eSZH_z)>5#e8uKWzSw!zp>sc8 z&M4V-=Ea$LD?xGkb2Fq&^a)R|*amXiwDZB~e`+A{^@!=&!s63C&*OgVwVEt`=|xUh zhRyd6LQ)`4U7l{lKNnOF=q2|(pSN?4Tl#-3skyCMz2_E6&ON$%X8XC5*%>8eXI|*U zo|c*0DV<qz?aYfW*=H_Bnzx^uX}{v~?oLRdxE5R}?)3#1ib1h+555J**PqE&dv|A) zOgmdKXXEaf?dN!n=T)y;c<zU-iPh`xmn+^KWHzyyomR3;E(MauY(RM|=hBNec20|p zLHT!|<lLY8LGjgp5uC>==2%)K*Fy?L!^xIbtDjx4tO=S6N^<@yjlY(It1|o5ptRWf z{L0Lld2Z>)dydbn_XH{F)?Zzm!514>?K*d6_|=zt-4<`YwG@=cmO%1Yz5z6k%?9PM z?HMK8?k~MK^W{Zwq4-z)^M#wg%^?x?ea7PAAB?@{F14FjCD%eCtmcResD9FxH+EnC z-x92DrT&$hChdCXd9O(Rp9HRo-&_ZU%gq07b8CxVd^)xL6;JysnHd)&{{_zdI6tE# z>}<um&iOOj&*>V^t9~e6s<yW^=27K~oO6zkceX#y0GEsBq2=Q2Zp(SqX?ap}|5j#} zh&@{juE#9wKVPui$pA{GbC<HtTx`6Nqxak_$+`a)LF4S>t1n`UFWxj*Y`k{~xLlkb zlzv@i*Pbm~teR7=fGXh`A<MTj^`6@#*>Ai}>GOr1iN}i1uU&HP2aD9)uc4VX-w!Om z2x@(pPON-!$9VB(qfX0t)jOnm&%K*vVpW`d@x`0j7iaR%Xg~MyB)A?ByO!2>?#B9z zlCU!`eAb+onHyUCLS`Ab%-hyz6)e4abE;c<m2l~{voCaVR-H@nSiCv;*yA()q2Ow4 z{Z&hocD?nvE6<$?d-dg#iPh@cmnz<!e49~n?QF%nf8WkHKkt)#zIh9CvCX*zcd5B= z=Vq3ql`Xt@({!=%Ztc$(Zr<(%C(t|Z&Rn#-GubUYQ(tQC#=V&()6Om|-oRg6^6kuv zHE&MK%>Bn`VkMncvaAkLB))SfJ+>U2<Yt~-y*b@2{a--ox3ddRYqzg7wuu2Hrqk{# zjpG(TnjL+xW=HasnKskh(m$7*%&V?of)t5LpD$D<xTU{!0TqdpGfKYsFTEIP(d!24 zcRhM}#`$?lCn%AgyCiw$;!KO#Zs})x{Qk>4d$DG=^Yb%1Uwt_RaajF&aFNIjDH3Cs zoO_T|`t8gMow#Lw?~5Qs;u|h#k+?f(?#I;`CD+z3{CKB18&V|39)}c(JLg%>tFB<~ zJ@-yNqvToMqKh}p7aP|bf4*R8*9=aghjh<el-xbnE&XWExg)Y?E=HQRpL-~O6;w%; zEw>8h1!uAQi@{l}`s&O*{UFyLpIPq#3YBi(QogebPiOP5HvXJmTGfBy#Tq-e$2-;K zmqW6cF0|e8OB+-sa!Sp8tDaesHg6F)!hV~4zHl=e)KR)Pv%1aFs{Q=M7dp27i;cg5 z(xYVwUt9{L3gas-`L!O>Osw*SG!tLH>iG|G|C`z1ELQIg$zr!(@!SstrKL~%uS(YU zyQN?4c|LE(QD`0ZezoyW#@=(!+)d_H|1g9^nEwn&9X8+Sc=7ofx5b<77hH_|F$of3 z^)uSfo&0|Z+)(`0Woaes3~6_~p8;v!-(P+CcOy8-ebEP(iC-VR3qS9ieqF|DdRlVd zamh1&i$UqBQ14mAoO^db?T?aUN#N$k+?|zIB<D|eORr^=ntQMbQZkAqK}yCWGanbW zabJG%rf>PhnbAFN>Gyli{dsi8`S}kaNH!BXb5Sz74H9dcps`j2E?c7G=B}K21=NDJ zbp#dq+}f*+cXEM>h<;;xNWr*zCAeUWj-5Nx^vcV%o{KkI%{*4@zs?s@lU;|^WTi77 z7qY#+_#$U{MoF640&uMDR{VV7=4_|Mn-ksA^OT>xkje8}yjkSZi=JG!#hY{Z<~E<5 z3HEU+_p=vYwl4ygJyXNdFU#z**}m24@$Czsf_>&)M^NGU*?QIHe7@MYlPiqxfL(Ta z_e$e^){xfXMs{dxG3Dw^P*yIMmpX5IXS!SZ?VfXA>drVnZ<L(7_3zBbJJX9ytbVg! zdZFV3DHJONK3}k`GywT{?o#I&pyo8BP|Sz+$=p|5ww?ruG(&Kt*@l5DvP*@pc>2OY z&DdL=XPBQq@ih7OF7J5H{@u}5GcEtU%PZjO-M>59viI2iyJvltfBZh#I_=NjCzo!X z`S^Wub8*I>zfa~#*4yta(kuS+_sIsI_3vy9)<2A~?*BRKTqpO-t#ho!FW<IK*ZRVD z?w;zGzP_{GFMEpLa!J)6zp;PPvHOPWW`8X2Ih?(9S?;k}UrNtxRQt&;_5aw0ykq;1 ze$Kxn^*?NF=8TPMJAAX7?6Z6?_n5A<-kMfn-g`VI>q3RiJ=>_@j7t{(nT^lYzfF8* z@qecMWsCp!lugdnAMW`)zj})0x%xl)Cg<u;_k5oJOS^c^|35xb&l3}lJ=V7YO-fX> z$^E^ZZY)>->V1@OeV}cqag~tlTF*VJOihiisl;EN^~8Sbvb<wb|9RKG-0%DNqsYC5 zA1m0>Y@U6qes%K9qKlUelYRdQKeKpVYkaQqYw$CR=P%D^%=x@f^7)>Bmc?^EzY#Y% zSDEARc#ip5i{}T!&lH}UeE(9#pHgGF{q`w+$FKbg%)VrQG2*gWvTxqE*1PNHwa?op znf<BQKz?rf&dfi5|NHbDyZ`_FjE!p6`>%aD6EQj3!S<d~$%9XmDmN8x&?w$>{>zz) z`73+U`@YXS_{ij5x{&w99rv=HT{PWbQfxEt$)~7b^Zs>>ORoJ8-m)z5*sO%qwVY~@ zVDyZd92J}$m9~cS`lVU-tW4%r=fzfSDz34WI=>gxTlv#vIj>sX_3=*r<rga6E$z*a zIemM^vEuJ$muGE~%ubq}?VIDhcIAwXYWt>MJF@-itW}cPLbo%0l@^18uKd!hCwWo9 z=E=SLcVGKao#}hH$MoR6DB(BjO?{28Eh&ncJZl+fc(jE*)3;*9wJm2OCfoUB|8l?d zqv~n@B6VwZE|Yz6xyJkAjxL`0`1MuWX~(Xgwr)IjeRa;UjY+Q0epH3}E&KS(YmU?7 zt-+?rz6D~%d(NAjshEG#7ZemfZkpUnk4oEfUgTV2-}jkMcADHvw@TY{e#)scAMK3y zG#vZw^IAyiIIsTF!aI$U$JfRllR17i#_#ctd!i<Nw+$Ze*r`49@s5wHGi0{c@b*4V z%U@9VZ}+p45yi^IbN+|)9{c%zcHzIv=Elc=_TP6~ygX^%8<}e}7pEt<`M)iD_TuBa zB^NL6YL}baUj6c7r9uC>!?kDJpFgatDv^_0;QsuejTJw?k^J2D_7@i`e;D_Eo{)Lb zBJX(5<7xj+$sFgMx1jLOJjvs0|MXh+NuOH+aiHx<nd81T&X0HO70-|{|E|>g_?Wdx z-)*DEJ6fmDc)a7}^h}xU$;S%4*Bcx!Y!1(q+5V4T>bUMc&&RJ#P5h6|+CJm)>#4P~ zk6qXP#@D+(e4qQ{*P@`*Yv5mYZtr;++mHMiU$&fi;aPV?#`dp&=9ee-i`A{w?UrA> zY_@p$57y!@CHt3L4E{aK&Hs~h)u!U(J@YPYKXXx-C-Y0lzU3Due-ta+|0(@i>6yi^ z6V0A2ew|oWRbo5C{rX{<Gw#<9TR=H;KpdMdFRCIY$i?z=UtIiJ(XW3U`{x%`5i@?i zsETNji{*cI@#_YS&lkTM%#(}d_r73}ml!E^Ty{p`om)j`3h$ixWYYIqHB)AL;<3VF zZG+>5kF_sZ<elz$yeurS?{U@Y%NBVjdmgi88yqjJPLtWbNv&AMJf{0tA$yw4b}@tF zg~pRJWw!6t>wO#+Y_e|h5jK-`lebmoK7Z79rf}|$;EWjcr@b#PmTr>lo!2L6yY%eL z^>1Zz92YN7ck|z&TKwh6wuKji%@(U~)&0D6?(eiOA={Jt<~`&ysfx0`{DQN5;YDME z^>1TtPqnNv+I{gw<{QVw%QxuT?vewAiajV)>Z?j@pS-yEH9;<xpWQ${mVf_3_v_7- zCAKnWYD#SXOoRBpfaNnpiMx?}EWi83#jg+A?2_XG1>VIkFRCp1jumRFU$n^klOlCI zcV^+8Lz-s_?>t#)(s$eN@s6dJXFT3<@TE!LZR5u~Zf*u8jn5e}+tZE}8b3EaUbvS# z0}^=q)B7GD(_d0}XPV@3-IyaX$KS>~_0M}RYVz-lN}+16{rwd)Kc=glTs@=xT(0py znPm~FefGv5*DrIg-&QQ!TmL$C=e%S4zw+fT*j^$x<KzFRt<p0O&y?Cbb@O+2tG71{ z^54$c-TBk(+{x%nnbo<+Hg;_{JihUdRqwyNBTsM7d>paTIzwi4{;`d#>QnlXQ{OKu z)LHNNID*%>Z|d%L%RbTl;dwI)*Bq)nQ@G~IUz5J8py6Bgnfb5h)OpyhY@fRQj78XE z!KW+Tx8AGwsXW~Cb*tFtw{!mP&#HZt4<5j^S!wfe%C+OSPHCsD(f=D;`6hC$yxsCW zU-o=D)P1Tw?aP<=pty?2*U9TI@5s|mi`m{;Z*aUc+O+*#;&jV(w;Ls|=f0hPrtt2v zdtsoS@fYh?IkTOoi{A@P-{ih{bFy1{-84}5Q|vyZXZ)?v%2<BY<=2;A<kUJnwmMVq z_1Ma%#_h3H&g??I?)k>;fAuqB+GCB^9sbl{x$f|l=_czAp9?oRSNttos(*j_vC4C` zhiyvwYQ4d8DC$2Ii*4#a!yKu`R*&rs-P4cCSS_y$12u(S>A&K67I8)OkGZn1vDM+i zGZ$|fwV(TGIrDL$+OtI$Z<;+WeD*99r1DVBE14P2)5D*Kr&lqS@|}ILMrX~rBT6P# z*=aWCo-8`!{Cr#GmpbdXx&M;Byr}ZHU@`xtDrltU#TlKWHYIYiE=I=BvYc04CMh+y zQ>8b1P3kezu!u&>bsL{_nXFswcVy|*8IPs7)h_HfR+Sc$Jay{K$5NlwFID{EHI}Q- z5-PU&x6HES+}dL@{r3B;K&uKKe@tfvHRR9uho#?_*|lfWHmk#>;O^0$eO8Y(L4A0+ z^dx3*AO3jcjexl)HBGE$&jt;YEi<vYomR5U`PstH2YSxkN;~uMj`8fvFM9GlA7@`T zd7M4{ewXFGvQCrU^|98E9$h>0aqH*p3qNjsJ?rCE?fla+@w=b&naI`O?Kv)=GUM?_ z^D_&J%haD${Mk4+)8^kY$^QL&xj#RhBW(D+d-vNX@8<q=let+Za`wbSrc>>Lx8p_S z*Phg0VtR51XvN@$PbT-H+<sTpSlm1JZhP~`n9beNE04a2z3ZXx`2Lw&re;;S`6uto z)jI+s+Rp8o7(4CW<z4~*D|f#YmDm3i+`IQ~hx*IfI})?Lm*%ZMQFA4KzU;R7-Iu=Y zO#E%l{%vNW<?|i2aW@#xF@MgVWBqMsBD<CO8{<REEW_V?KFC+3cO$)7_RXA)=UJ_f zSZ+Dba@yKFtZ4s^+Y8^#n{TkFe1>#ur^&vuy`DvE|BGMcwePMj{nT+U|L%(Q&hii4 z`0jV?xIOXRyaVP+@5-j^E<eNi+~^;}`MZ5(-v#O$p64Ck@%uu}nqp@09lK?pzpMPS zaQAN6_wPJG^xt!LcRv%Jqy3}Vxm<f!wN!n<i#+v5;xP~2l}*}hepcODykO(*+nVp* zJx(g$9(;Fiy4~5GQoG{sye%m||KL#h_DQ>s7d+g3Tk_pKqxmmmj&vWmd#>m^gML!^ z?04^KKi@oOx@W=Nw=(7DAF;m8d%mkW`MBWSbm5)PXD>WotlX*Jw0rLRcd?&Y&xyud zdH1cl-2d^SvhCa-x%INjcb~XB`&su~?i~m2u6^{*PS2ry_mR8R&%EcZ-f`pZTch&x z50c8apT29`)_wQxx`OZ1etJEB6z5_4UaOqH;NkA*t9O4tTRk_sLU6}z(|2(PTc71! z-&HLoK5KVudwhZ8&f8z#-7~rWAm+f)r+MbPss+WT@4k9xZ%g;-yZ;`%OO1(nS2lTf zctPRL+h^a!9X<Lq&-l*Xw(iq+^9sLP>FJg4zIs=B$BsL1&C2s1Ju2G1Yxj1L(zoyK z9Xt9ouY5-}yZH3oe=pwMy}0}I-;2wO`9a*1cYlMl=#|SqcvQ4~_ubl#?$dXrez1y9 z*?sr%yNyCmD!=clmI3jezvGMvcvrT6w|b%D&fCoI-aT$A+RlF0_5kbCJpP^4T;fx9 zo8GlO0^;qimJ*+``|r)W#yb|=c`I0c{!vrWcK5rs?cAsC{(JPUGA7{Nw;8*|-NdIn zw|y4_(xO|g|FEfO`~F?klHyZ#+dh0J3Q}WUe*S?{(e}A__I7X|zx#keyl?lxuNTGV zpL^K-KJSF^k4L+u?{x0y;XZhGLc8gS&uP1UZ(ysuH~HPY1FjGA{C8H%iMQ@ftQ8V( z-F@(GhxQJKJG_-r;vKsW9*kD5^51>YeEZz&eB=6Ky>G0)+`rqg-`oDR+wDJdidP>0 zQ)wRbe^Gova>B8Vul}d~TO_^n`-?v5&d4v`c_nA`t*75l|JNn`kp0W*Jf5@bEyL~7 z{_T?9d;Vqpj*I`=-tC$wb?JXeazfqVo&RJ%o8LWA>;G6prEWnXmsQ%FrceE6K5jWY z|HV9MXXANUkJF!3toj+BVRLnf`Rxp5|Ml6&F0VdwOvYc+*7~nv*g41Vva_G{&*6SN z<8kKi;!E=_zMq+I75+Tw-^}8)JgMbsVvF||<jrJ1ceHXw{Y#lM-v5v9+$Hcg{(01# zf2VftGx)o;I9X6?`R<(>f7cdg+w@+(8`pE}<vSg}$0g;{7Zi3?b4o4Wv0tV4vQ<Ra zu}oP#-+sT>n@s#tb1bEn8||~{4W8+7$)fh8XvQ47dRM9CIuV`6G`)QnxG&E!mAam5 z{OHiDQ-4jL#2vY6I`gr}^=pd?yIwQ)2H%<CbN;zoac)(edDQC*+&eDDO^>_Kd#=i4 zpUU0%i$5lPxwibH>dWOBHJ)tJ7k*6QF<;^yKdCpP#xqI!@{dVJ%ol!CeVOC+*!vQ1 zW{qdn?28ua2dA0rv&sBz6Z)j|_nTmg%=y_|)AwK5$NAeP@JZosoeLMMe#iLT*nIv* z3-`CmnTt)o-JG`A^qb|>f<1=p-=y{~->R&4cd<?BdYg_Nb@M;n`CIgeai?(MPsgVZ zoj(~oatp3}U%2zuB;)$2%`-u(q9>o)?0@p(@~34-diQIex7SvmKiNC~#7gt0jz{K} zPnmvy>fw2FYW&~1#mro~=flD`-=D@Ex#xdswxD=j*u1&9cmCJbKHhx#ZHIKR*7@_Q z>d&Voe?GOgqx-Ywc|DEe;*)L*PJeVNnEP~dhxOyB)5RwrJ_=eRtUBena8Xs}&1kng zx0r~qo%`q9>E5xm>C>aGq79So^zSfptSn2le0sXM<F)YA=V~WAuRifR(rY^P`SnSY z8}Ib)Fp8|K%Doxy|IRh$@6=CceiiAcZdY388#wQ-M_<0qc4fWXMK)Vk&ba|v-hR)i z(jrvO?aq&_pS+^{@^!0kgI1*9*80AEa<`=A4bOM(F}EWt%fv1Bt+u%lyY9W>^G!ub z{yT*WEA^jV%`AGRU|F`o=EUi*&QI?o7FD#^^z0~H^65`t(I$m*<viKddn)G0$mpwg zfmT*`p5k79;@`?5{YPb<l`nZLOP9^D0c{?zbgz79bJITloomcqhstN)i{3cxtlt>3 z`ovA|CqI^gR(GeKDh@x@x%!FUk*BLZeaNbmDEw~qbn5G;UwAi_FTT^WBOrL*-pIc5 z6K)Ht=ZD|x-BHy3>CyZr5A=4*7e1GJ`n3A#2RqB33-0vp_%z|uq4}x*1$T-UzBYV% z^!U>Ss_OsL%9Zrahs0GxeY;(x|LEK1Q{LxKu6+J9#T~S<!L#yXsAYxkJNG*;we<f7 z^WC5Dcl*=0BUj6(O#i34{GTqrl3)FbJFicl?Z}?5alTILxc%hz_!GU2bN&aNt5H3^ zZ(6kA_4ZT6_fK}dPkpZrT0)-w^ybZHJ%8*c-I*7gcSiI1^GTD7PtRn{tl1qJF(dBS zPNR9pw#W6VX4JgC8rgMhdt7sBX3cBS_{L+~;|{V&-LERWw(R5ow@*u-6vXbFH0iSR ziF<8D#*Yq(JpJcZloKp<|Jl#kmw%Y)|IMySeO@yw?&!`vx_eg@*RtO#&wKCUU-Is~ zmw!=TYGzIMi}${dqmO*4GufwmM&9A^?L(hTr0$Dd<6rnOH}SWD)P1pU{R=+kCcep# zx-a(Y_{AUF3~HHr^SM8(U;MFcLycB%KKE-?srzEv&M*3yoA_Tw>b}^qbIX@o{1(6T zL(DLC;YZ!1e@0UGm8Pv<@=-VGZ<f@3rETjMf7DI-?^ad({KnZYV(0#?{Sxy0%iAyO z=YB4~^kdql8uPtVi~q)1y}eV{aPQX6`%AVL_St&nzkXxym;ZcDUDLgLmj6}uHW%;o zeg7h+e%d*U?ePoBi{9;bdH+VHy7%7Eo9{2}wpxCFNqO<P{m$>-#rzZB+g@BNHn%jd z-sAntJO5|gJ8k*Ra_{!d|5f+)7yr_(ihurR`<J?NPv5`%JNLEyMa$>g%&))LTHjDy z&-csx+{T?Rndknke3^OfCF_gkIiCxEB|QJM=!@dHuSZ|*DX#YX74ZC@(U-(?8&zs| zY~C;QH~RS(tuKP-es+GDc<$-dmy74T&c4X{{M)TB7tj6u`XYHwvh;=HR==e$9=BX> zzO=vmT)yA`b36ZJ|6+MwHA~NG`}U=v*m0QuMCSHPyPG%f_u3t`oV(P&^xS*j`OjkR z_1oRuS;r7tl;^+re8D?;r}-~stmoLBzG**c-n%(=v+QnL*2~oP75`-U74!U;XUWZ- zwOY0Fiub$Be=jrJFaE)s`QHEUS=QRsE-e1%^h@b^QR}`NJL@E43-jVV;~(AGKWpC= z%QD;AnVaJm>zDi8_lbWvXaCfFmv+`z*G?_|>$mIGoqe<S-P&2NU)x*!#_?BF`v1gV zR?ojI{_^$Q&*Lwp=bZMxU~YAN{*vr-Z_WO)KmWJ+i|@IO;xA{<{X6}o<hhsEUqsLO z9Q!Nm`McdF|89r8Jo&Qz<h}i%{T$z?q}xqMmIoD!wNDSa7ui2LxBS%U`6nMf-}Ha! zo$ejK<ex5G|MZIaCxu7n%1@oXfBGT+Cxb`F`cHkfKe_hivw!>l^J|{dV=q4OaVKc+ z#m=eAlRhat`ek!U`}66StSR}9JLRWmbDzHH`lP_HQlc=q@aa_5r(c3LZQpXIXUB$~ zqWVb7d7%9@VFC9dBlXrRwXZ&PxI=p1r#~*1dw0EAt7xvQw`S#?c<*<vccP*z3uP@M z!r!^aMEh5M3opuIt`sP&b$<G^_i2G}r9@#G_tT9li?kp83OiMtX}NLhn_P8sWxbsB zHnS%_wm$i`LwdK?`S)t-<<q;XPaeDn@@n0w)4xwA`hDY{QY{$1TvK0GY5Av53Xk4B zJf*#V>Sgy6j*jo#W2~bpe_B}D#L2nc(bif&Pb1xLQt<Lq%^k0oYp$Q8xcvN-y_=uB z*;%wlXS?#c_ysoKt1Sx`%It36clK}j!oM1^<?rnM?!S}yEnVBb`M=0t_vc@Xzsx=N z^Z5&V%jxk;-WRRg?{oj{ox0vUi|@O?*q+<C{YCZMzvVA~&$;}6+57Ty`~B@7$n5>u zTIn{s`LTtydZF6<Cpk8UCHE!l|8JCj$L_Rk;{5CLY?AH;_UF&vZTCZVyY{!pSB<r9 zRnKFdwQ^fN{#N;n_xGPqXL!%Bo8SKTE%Nc-_8qa?&i^`h^SRsex0@F-|335l$Bv(F z{mO>?=|zry^?~OO*riwQ;Mu<V+s%`j^KaiZwV3_7AnlED>*jAe4_f}td2lfA&)-XN zb3XpH6uxXRxxMI@dlB35qGfMB7dii~xwEB~E$^7!bF(_u>#A=yr%pDH>aTUnNtn+( zd(-(U-uI8+FMs<v`gVS?b@F^~t2syCPO4qDB7Z*ftqn8(>eug2-<&i5sO0wZ_j+;- z{=cXR%USm3Gh4LT=je~$+09m0<{f`){PNVd%944t&tg7GMAyrt|6@6KuX9f1?>#%t zW!}-7d*1SoZTeli@H5-b|J1tqyz1z@C-2SQ81D@FCK<DL`poBVH*b0L?PqxC?1i;` zp|{fx+BIioY)+dm|Md2A*V287@z?CWpWJ*tOY1hzvzUaEeY4DpKNs)L-@Hj<yYOSn zXz#*h)1S$#IS?5$(b#h0<~(EJg5BAhQ|6x*_BPDVIW+Uul+E9>&;6M;=i^(;)n<od zci!C=d1Ll%=h>#e|A_y0csAGBa`U&Hy7OwUdEHD4c=Fyn_nF`GvioUA?N+N4>V3Y} zIw$jY&%}dI@95on-mJ3zaoOFpV|KF_gREFBxcU6Wj2r1mOWqh8RQbM}zy18Cj@!@u zLvq{vKYzb%#{ErF0BjH2eY^DwKZ{*Ye_JeW^LtIprn3j^o-fEWPM;rsVSD7p^HLr+ z(z&<nVRgUmU1fIe;GH=~CDMx&&+R&U=Fy#Zw{&z*zr9g3r<Zfi%Bhyss|%lnKkr$8 zIC86G-if!{&K^p;BX?`f(w%Sf&)&Q_(RlX5FW(;M+~)cAxa@M;JB7UOxnXb8Th;p# z?paMU&b5DBT_Ci*``hQ8lhccK=NT8vZQpI?Et+>+?_o~N(V44?zU4hQbNl?HzT4;P z*WKcI``luCuGpJ&1OD7=Z=S3F{`Ps2wf_eFZ2RvwHqQ@@*(Q18yky?3GdIt3zq`HW z#`#~qH_m^{y?Oqn@9pz1WNVK7TlBZ)?vlSgcXpla`I~cl-Pubr+`a4O->^7$Y|osP zKP{@ci=T-<?9o3Nxm8jP6fYa*FSObc89jOb`}Id}M)n*3*7nO!KDX&?g4XugX2rLQ zK(TJTU3hoFwr@{--XFC*Q7HEId9vDDecS7~X?>60m*-A<^W5v0U&1|$sm7&J>G@Xa z|8mal`1|MRs<R)Ap5@MF{Vmx6ijkkK`~L1Z<Tv;A=5NL9w!b4AQ)f5+owI-0**Smr z?Av#?>+hPqEq`<NPWwA&&z8SEdk$*9(Z6+myZ5d0OIF`LbL0H9*SB<To^S2GdA>3G z#`zNOo97E&*Ph<D=daGT9e@99S#`Ex-H#W)GH>hX9C*9%?5&Et-`#~})1IBtJN)wI z`CnSMdDIN^Z=LadR(3y4_2}CpY;$yfTZU);mJDy!ohknId9do+bg!$qX=RT=KKk~s zEGPb4+h3n$S4{H0^F2Nze@=4y{Q8isGxs-Do~euT-+%1wLESlrtu3OzZ7yk=Ic>Y; zZvBF9aSz|zjz5-v<9yf38|Rx})*rsFfBSs+$MpD$l6%_4#cFS#&;K&*Nm;$+xr1JF zoabMTp1j$#b+c?yS>4&2ciT2^S5B`FKX-86oWp-D_GZp8{2eLw_I{yt^(Non=2LBF zr~ckvGw*Np-bH_-_a2NFleF#M^EZ0WJ>fg&ZtdUt+UD%rEq|kTP5XO$=aj#{ceMSz zz2jW=w)uapEzbXY8+9`+;s3ienVYlzFVoKa-?sWM^Y`;N_8*lxx9`P^!l`fW2mbtA zv^&u_`+wWY^vyZ{|7qm?znAf#xc1^dUH?n}{`%fcJN8f3_j=mNf4n|t{+;!%XMY#J zq2Ax9%HZAfN4^^cCz}_S<xEQ}u-?(SIeqhA=Iyubi>}o@()pM3S^Qkj@%N{VHW&Wd zTs-ahgExJT-<&;qv%iq<*;AQw=U?g`k&K>UyiX%N$?)70p*jC1%{h2!&dOBF!%r<Q zYZs)2Jvy`Q%+0u|n;#Va61H5uy0C3^F<<Vp>yOH89^~BiS$p{HytA)%-RWC<{^o|6 z{)M}DE2d|1rDrLh`=vH#rmtnPVtQfmxhG<CVrRe9-D$fa@_yIty>BhGtqa<$Z&}UW z%Cp_ry0FT+*eplv`=f39&C{=^Rmz{{-*hMKXF}d`zK3sauX(m}uHBU43}cI1+xnls znU`~0_1rJXIX8_hlhX^$&OJUe_q^o(hRvzQ^_ts-rx$L^71MuS_9^OI-`Pv0clg#l ze{=6l<h+A3ceQTL-&~}={jhx@U(U66kIH^Ao?CeKSM!~7c1K>?9=o|=X7!xgAH|mc z7fJv8e$GpA%iZe>`0hPev-jl8%I?e6vo|Lif9Ko&_<hl~oN4w?%2u7fxjpi4$L)s= z#R;3gsctv^|4@d{^7Z|~wEfR}{wDmC`BOacjm5bebLRNY{<(9<+!?bs=WdpGTqf~c z=EfS`GjGo*%{k1HUh8@8P0*Z;OD(0f3!a5N=~;i|=BAm^cR!ixY>$kXeEZ~93u`lP z#_i1~=QQ(LW(QjsOBYs27n|igGkaK86MSyk*-O{%@NIke=H{B5({Br`&o!RC_3h5N zHwPro7j4TC`}U-)NFNjq_wMk0d-&$2kI&|>plb5gu4K!0^@1?}r#^F!-qbA?(|_a> zbMEGzS;p1M&kNYnzw({)YBt`}UYxMGY<;eI?%m`$PiM|qsc!k(Y_)%(b<I4GmzlO3 z>lg9GKVGx{+|0l1>1$5ktY|m>u9v>&_{~i-f1mi&ue&|+N6YP#{+8Be-t!Bsf6Oud zZ<_wF`rH-$Ih~e2pGTdnwEWv@(fo1FN>$6fPc5>w3)_S(tFw!?U3>b*@1aiqk(sw< zY|h`ji*x(s*+qQ0*PQdxFVETe*7CFU?Ok^*PgfVK70o%>Z1MN7Me|~d;NyjD>P5@^ zAD@|XbSB70Prm3sdUH!B{><AWQ|Fw_&W-Ig-qC7Y%AQ_fp8l)u+?m63T8~>y?gwR> zs5{^0KYMdaXZy~%RmVO}w>YgI{f{gCe%ja2yyfwaWp1t6f9~y@-E-plK}kSAZ@T>h z9=j)RZm#*V^X{J><L{Q~f4I+0JNqyE&bpejl68kIH_ZHT@!D;jKS$m^IX`Fb%^%NO zp5C+!E-v_%8+N?V+E)Gfg|u3|y!7YKe9j!dxhZnS{M#p4LGjF8WF675IeoLx^RhG> zkRrppbn)jsx(9D=ndx6(y-_9oc3Nd*9{cISZ#iMpo|nydB6DNS+5>OTbj`WvHD_(8 z#pl&UYBlG!oqgnz_j|I%ZnM*?3$3?WJulEb7j<Cf%rh_7p1rwYW_0`QkENE;X56m} zckfb6w`eU+*t|_}`|a6<VrI{M@($kIXz5eDdz)!`nzUbj^to$%bAHNN3QK~F^}F+} z928LR552vzZq8v_i|ojAXXeeh7dMA@_RhUKW$(9dPTjmge|s}C$nyOU%U(I0+jsVr zN#1h)M>4n8$e(%pWZ|5vhb<qw7fs`yb8q9EqmM1w)eD~aKYDX>{`YkM=Vgy{&P_Xe zs3cGQ{IfSVbmks@`yl4ryt7_2Z*Szb@D?v>n+^&@{ikKWj6iw!>78?N2PJn;+MHQj z!MpwS^;^4M=iL1|?S9hFwbSk&ySZiN`eN%s>-5`cANlgk-#vU|b0V^;!#JbpTMk=) zvGqTZ^vh}gGV|1L&3X8FPVMZg;ydQnP2BuJEB%ov$hWWWtlN8XW?gr2#^!%!+pYgU z)7f|Y<@rZ%Zhf(PR(3b-n{eK7yQe(+wtO`^{r_RvtNn9!DyF}&KDX@bpYM0p{rU7i zYS;V!JD)Q2Jx(`EJD>ArvF^fC;cEjPcV)fVtd+)}+q!Me+-tio3H@HvRa+J?XYRIR zS9_%X-db5%79eAq^!Y>8|1ULr>?;4W{`~X7arc4xpFVBe7vCPe__}#nW9J(^=l0W! zE{hjOMtN4hT<;V8cf+P#-;AP~%dQ`(s^7wvpw--1lFeMgzHp=Bu}zyfWqBN{gU_TC zYQJ5&-87f)Xi;RfB;Vq>vK!2peA$IxzIQVJ?vk#5CN*SX^>_Drl_>TEE$;S96HE9P z_XgfDj;faIS@?Eiglzk<ik_wBjd^n#zIDzx`9Wout>9kIjCpOHZ?3&8FtSQd*7I)s zcFBJJHoXI<mfkwO!g2TX#9FDS@MNv#33+!L-t}hOY1#PZjvM>-#kK5dn=E3hU*7ee z{XOxg;@i|825)Vew$98rcgW>e|K+ncU)c2S?3uT)TKG%6OE%xKPy5?n{ri7k)bqRP ztqR%ddl%!_G;_}%e$}2))3Wi4RmtPxE&C7nw8dX~`}0L@_p|aDC*Cnx{o$ND-*Ea; z`z_P|&EN7>JWTA_KDFr=m3GNTiM;k+uvKN5QAAgs=*3vR-lvAs=GK)YEZWPJwrR(- zZ<n+$KD!kW7hWy7&fQw<8r$NXf@+uU&&bPbJNInH=?v~&<r=?kFW9QPY|{p1S<iCy zTM~V?yR-D0?`Bk&uX)#d%ud2PKYrK4MYRfPMm8OJ{Z8w<o;{p#;$4K54VNr`aimpP z^-Fp8Xwx-w*6i)^TX;8kW1Ur0dV*H7@ylzLFZ>*nwT^8nW|WQT_~ts}<fEszyx()( z+9P!OdWQbt?9As@YfLZhkraM;-^u*Fi~6A$xAKd7zb~w}kJ9x?eHdsp>0R;`!Q}J8 zw@yFsyj91w`uw7gGRH1e&&%7>^6m7DlOIHP{k5vfU+_~+ZIg9B-+kBTwr5gbCcj<! zpEI|%YpPGRWUcG&_~gIUTXxMj^?-fXSMFW=7fnpov97;(@P5Ys=9xNr$Nt9Y>^+*f znSa{!V?J%CFPz&H5zTm3)NtZIuae@>lCZ-uZmTcv&0f5pFY2%1)Yh*rJ$6Z7IHvIC z<;6I**%!VEtjX(a>)rTe+al@P3-{Wl8R=Yp`|!ovo@X3BDdpu>cbMne8ctvOcgysD z|F)P4X|reRFLuuO9<WBf@!G^0rxHx=T1nkaz97-Q@y(SN4=T3!AMg>YeY@0rqM3T) zPo1}^5zFVEjIb6z``KV(orAf)+jF5asU@0st5tu6FEr&1j@&V?Z%H+C?wt<X?OFQG zw=;g1t-05G%vQoX-|B7!!&`R4$q$`Ntlje2W*Hk!yu19xhTd~IXHs9XzFj*1!nN** z%Ft@bvrF&Bt=Zpt?e>gQ4`g?Jwc91X;G^QPO`kPoYudg&pK<b$`7Q5!yWG0&r}A5; zKV-V~lQVn&!k^Y^m;N{BiS5{4CUz*sjr;Q6+6(3vqL_jsUwFG;(!F%7=*H$v-;7?k z-&PB|?vU);vvm3P<5J99<U3~aTwi*-`ND01vj=CKcqd?WM`Z3<gXv4xZk_%w;MOfR z?ZaE9PrP@psQk&EcNzP+ml@rdn)kLfkF|HN;k3CEOBR@~%b9*I<)gvdrOUUkI-~Xc zso|~D1v+nKTDN}8@H^o0>-Z(#z1&adxI8zqnt4tw$%1q4<t>vRip=%Dy0hop(z~B8 zT$t^<@yogAcXASxvM;}Q^!3JG$<Njs3}h#Z9W$&lzA$Iy`%Cj(qx+M!n$<IYTk4!S zcrND5y(9IE=~b#{+1X*o#MR!Y{UA~*d*Ea61is2BxmCQC7IJg+6e1?fV3oGbIN+?| z&v{!XDL6}vH)d;_>4CftjaQFM6S-yZ(4fUtDtcbd+`uU2Ij2MXJfed#)hl;QYA+4C zA$MU}b^V-|{mbslf1maJds$ui{=a{pmEV7_ZoNk2-)B)-$Nw5_?-x`GO%0al{+79` zge^MBcJb!o7jxa$dfz|y`iHB|_d{9sXO1qg&*eGr&EZVjsZ!;Q23*~bH`oXYU;Hbx z*8kA6=NnB!*UfHxsgrZvV0QOU-Ux$@KXgO#+}?)yxSn68y*)9TbJpn#JEc#5Pf*(R z{nD@68)AQ_mRvphsNYa%ZF}Zd!JMvhQoHtu32)puZ(Z&ICuZl1H=M+odt9<tuX#VA z^x`eg)w?%nTJ3)M>GF(@I-l_Q$76WvoTaNb?%-Fxc*}n}e}d93-Kcue+l%Tatdlzw z({q2pTl0-y#J0IS*NC-i>wF=%d~5KGu6vogir8+yUtBM?`niGlU#I=&?$>{1I&<`a z)2$axN7qSoUUMzk$*;C(^@XbVobEdNUAN?m4W)ddodaaMd@pKVe403;<6XfnE4E_8 zA3C``M;}@AxOgwV`f<jq7rw_%iJWn%*NUCnwo{^}ab0Y0YotWivz%QP?8+B^8Ld4x z^=oBDt@E|#$-yN)yZ-q4xO{ip^-K25(FDO<onw#IN_0;1UA;Z=Q*FugMf-SeFWNt6 z-M!YG5`P-Dy>tJ{nA3HR|K+FV86EGmqUt$rXJ7oy8CG-V$cOYTA0@=DC#ytBXKZcs zy?E1Oy8Q8P!Y^`<of129#LeOQwxoxiJg&vkvA<N!T-BGHzHKOYS9Z%j;oFP$cZb!r zzB7)f5Swc|<7md)qb2rIzBzk4u1+_!Yxw18^1tCIi}FP)pKAAj|H9i`?Uz1I&X9Hg z%3|jFT{g<PbLES<i?o*;3QMQIh-zys-&i9So%B~`?bD1o#}BbfbUka|a(iq2^Z(P! z1eYzk-rHBT;HL1@IEn7Jbz82>Ycm@NUu`Vfn-T9coh{Ky<gU=G!y9ye9e(+W<IK?n zmE4%FuYnPAy`3-m79ReX;d9_oszm2Xzul7q=QrgBt~~K|k?GX1<%veJuZ;tCa|K^q zeQD~<8J)eWU*6Iw$%@zoa){Nf+0Ap~Z`IpAk?x*rwExE5<bR<&VhI_GKiis2^SU0m zTmJUyjT`xT=P&-mo%8O{uVRTe?tfh?|B0ILE&S`QGw;9;9xKafyJPND?k|)t3Axgi zXeISlGh#;Pz3>bBIi<zex()Wo&Q)EZcRYhtO7Gd~3-uCT=O=BFo9ny6uG6cdOl2>7 z_iuwb&bikEteY~WZ@*9cR^DUhus^&1Tx~|l@df5>e+`2++DUjT8|W>z6w?;IxI<?0 zYJ<7GZ?iA%l<SUnuD3H2OV}WA_^8C)#*NKqpWcXSJn3~t-ld+`>{{Xm#>2i6xkrC! z=Da%+)^f90Vo$@d)iY!cJ}SK8zwoQvum3KkUgyvKUE}AvTx;FoPr@s5kKej1@y;>d z{+NDZ8Haa%!rSu^|GC>Fmpu>oDWH9~s`Os_U2bXS4W*o7ZVSw~&VGFHQX;<dCXY@n zXLj+%f2BP6hrXnR<Q+dXH{<%ojY84<iHW*d{R?+;?#-2Wf8dRzj&1)|wQKwdKdhGJ zFD&BGR=@a9+U#HBTJEaycis!Fv$$r>cgg2CHa*FV&0Bb5ndP<Ri59|_rL@?>o-O{H zo1=5+TKUEeJX?2PtYO%DRpR%I+yj@y*4Vawy(?kY{W313u6=5AhWs(NuC>Au7R|RJ zP0laAzSaNvzazE=uiKY4Mo71PHEMfoFt_EDXvECEZ#g+<4qoGp*de&JHRIL`-Ge{$ z&b&K%No$R5(^q%H8kx&$SL7V`YWcQ)<42}#amNm2uh`r9?DmVlqL0fBPj3uKco*<n z;#zg`k3t{zg+@Z!i!aV==skI3Rl>rqTc#Ot{r7Bo#1qQ6r@eD{?HBUi@xS|wwT|xt zx0o;5EcyEO2B}4IiCg^V{nwqve&LtxEu-@nQ|B#~OO2ge*{549>H5s*Ve6dW(3z)M zY+t6uZ%O%=bo$Rx$&*!ojbHQ~ePFca)SRVi#bVCSbe5fo)S0>YhEaN9%DJHV`uFo0 ztGEqgdQ4|7U*i3;hIQBKjVhmeQ#P;3cMpBWcTl^;^%=v@+a(o3x|aJ_vwqv{n16*m zx!7cu)vBVn+_OvWz7Ck*em45TgyQ(yOLZ;Vm#)9uHHTlTh>zo5y6sPKvDEu(Pyf3$ zsdmeM&71d6)`d;3U9I^$_`TP>^Xq@!|Lw5+pq+vE-2QK^cW>lJx^B^*RvY2J=DS<C z<9hjD%o{#(@`UiPCz)_OR=lB;m~Ek^yzy6Nh<kFij+^j>xh=;;bmAL-hUECU+nM}3 r|2VI%E?K<IdZWfH>lYc;T8l(4y$rkJs=wfN^oPaa|IF1G4nzR}qZ>#f literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..b07b9ab --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 10509317868776623946 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..3468a3f54f67439a7cec1716348317a7c5072b31 GIT binary patch literal 633 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=`1)t@>wW2*3=9m0ObiTK3=9m+3=9i$ z^3yYu8CV%0fRTZLfrWvA!GOV#A&MaaEU3)D0Fqx&oSc}GSQMX`R|4WPFfuSS{Qv*I z9;C&Pfq_AQfnfnikb#+D0azbM0*1i?3=9na{{R0!_y7O@7EleDc_pdosYPG|vFVeC zYWxq?=LL0jaEMF1kH5R8GXoO?0~j+iFff4prXH%!pdO*lpl+bfpl+znpdO{ppdPNy zpzfp2pzfj0psuS9cBMCxNuiV(r2q{eKgW>JAV(jtb3pEfg^nZCvv5bphq*e3_y>U% zGBPXx`yLchYzz!ww}CW+Ff6oUp>7R{FD^+eNd@Zz`5P2#ARO-K84@4t=o{eU$`Bml zALPmq5ajRd8XWBD=N|9l?;pV69~u%68o~fp=<4SJQw*|H17s5e149s$E``#|p!7W` TtpQTZz`#%pr6)t_D^MB$$VzR4 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99 GIT binary patch literal 78 zcmcCwfB+^21_nk328RFt|NjTk42&!c3=GU5Q4kj<%*d#~z`!6666S$uWKf3EAPNAb CB?z+s literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..b6c17a51f0760d7896f3e40ea46dbc6815759bd4 GIT binary patch literal 7552 zcmcCuU|{$o$-tn+z`*c8ih-d)mVqHHF{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{Gc+FnSnuofq~%;GXsMl z0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKM zfSG|of`Nfy4>JRUBm)D(PG$xMDFz0Ht;`Gz(hLj?8<`mxWEdD2)-p3N$TBc6tYl_j zkYiwASjx=6AkV<Su#lO7L4kpRVJ<TRgCYY1!%Sud1|<dthN;X949W})3=^3d7*rS- z7<!o*7*rV;7&@657}OXT7+RSb7}OaU7#f)w7&I6d7;2dr7&I9e7%G_|bwepL1A{gL z14AJ*1A`6&14Aw|1A{ID14AY=1A`s|14Ak^1A{&T14AM+1A_qr14Aq`1A`$014AS; z1A`F*14Ae?1A{RG14AG)1A_?z1A{L!1A{381A`|s1A`d@1A{9w1A{pO1A`+o0|Tf| zvSnsquw-Chuw-Unuwr0fFlA<7ux4OjFl1(6uwh_e&}C*|uw`Ii&}3#{uw!6gP-SLd zuxDUkP-JFcaA06ykY#3IaAaU$kYr|HaAII!5M^dyaAsg&5M*XxaA9Cz;ALiDaAja% z;ACcCaARO#U}a`ta0k^v%nS@33=9l^nHU&685kITGBGfCF)%QEWny6PW?*3W$i%?l z!@$7smWhGEmw|!dB@+XK9|Hr!Qzixme+CAIhfE9%0SpWbcbOO%0vQ+>ZZa`21Tio$ zTxDWl2xeelxX8r75W>K~aF&UIA(VlE;Up6SLl^@C!%-#%hHwT3hJ#ED3=s?r411Xv z7$QOO&&0qG1&V(r28L)*{4+5y#DL<TiGd-Ofq`Kq69YpW0|UcSCI*IhQ2aA7FeHHD zpNWAX5fuMS3=B!2_-A5ZNCw3}69YpEDE^rk7*av;&&0rx28w?s28MJ{{4+5yWPswI ziGd*#6#q;N3|R~e45dsA4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4dbihm{sh5}Ih zGchm}g5sZvfuRT#|4a-F#i00SVqho%#Xl1RLn$c!nHU(#K=IGSz)%i~e<lWo3I+xS zTP6mEN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HU1_lNWCI*I9P+7vnz|h9P!0?ZefuS7~ z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1| z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~ z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1} z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^o|1vT#>;mOqMh1r6 zp#00oz_14tkBkfqdqMe^k%3_!DE~4tFzg5AUq%Lo1EBm1%1fa51cf~)|1vNz90uiI zP+1Ggzo0w^%D)T@497t63W_UG{sooEp!^HUo1py5z`$?{lz$l*7*2!Y7ZkUk{0qe= z85kJOLCrc3wetcfo}p?%ez*k6zYGivmqGa#R2P8qFVsv>7+(YBUj_z->!AD#sxv_O z7iu>s4cr37J1DJz@-L`v0p(w)8$oIG9w`4ZFfiN)#Xl%*g5n?Q7f>F01d4x99R-Si z1_p*Fp!kRS8I;GLf#RQmf#EqQ{uvk;UV!2s8aALZ=oKjb85kH|gW?}l2ZG`s8it@U z@*ODtL3srf{|pQaA3*UB4Qo&t{s|QS3=9mPLGcgDTcG%d#tEp7`38!A1_p-jp!f&X z!Jznu#vP~*`~`}C1_p-Tp!f&%S3vO(jbl(9{SOrX3=9mQcEEoq$jdKDWhhT9%FE14 zXGkq7$}eI_OU%qEEdmvKP<NpBYe0NZ+W^#$0o9?P<^ibA1@S?3H>l48s`EkWpw|2^ zN=;0O&&^LsWhgJoEJ=kh6AKDb^Ps{YLl_`+A4ngR3#LHkfy6-OgX&z6AgC?}@nQDC z?8z@k&5O^^W+*O6EGaFHhxjNjF*g;;1UUi91Q`RaTR~=nIZy(`hxrdwM}vex_JZsK z`4i+GP}>Eh4rVVX{y=<?8jyMh28M?J|Nn!ET5$glR40SvK^P>j^SUL{-t*mmC=FJ} z!0^Etr2k(%14CLdLqRb^UNJ*yF+*-KLvd;{LvCgsLk6gh0O<$S;UKd>ZUON@`k;1# zoKz1YKo}|xZtH;fAhjS2Y7>F@FnLhh3B-Q^G89xFfYQnT|NkAFK&>@MTMQ)6aB3FF zE8sR8m@lyO3Me0d;v39Au>RUaGf0~d%x5qR)H}t)0AeF!P+I_G6|7$iauYI!s>SdJ zs11NiA2hrhV17b257bt`rVpeRqz{BaY-BwkHmD5&(g#WpAU+I()PgWb9|(ikAURMQ z1DAS`JV-4lo?z-hZ46S>gR&(l>OpN5Qq+UmHl(NrwcSBs0~*%=`45I+;f5Z+Ab*3x z0E9toP@5m5AJnIS=>^e6ndzW$i_|nwBZvXgCjhAf$-yv4KPdf!Xi$CxiG%t*AU-tx z{0H?RK>E<#0a6Qc19Dt|#9$aa7yudsfR4|A2LeC?1E7Hf(BOhDs22cfi-Y?|FpW?e zRNjKz0V+2@LZH3`hz}|+L2d^1O+euc>cfEC2XZIK9FRXiVF~Ixfx-mjZV-m~2b7LM z>Ogux=E5+@Y!DmWPoTCeNIl35kUTnuxf5L+lny}ph_wgQ#s#UzW)HHPK;;)Mbs#^0 z!URO4y9d-J#-$IW7UWKB`asDCmp+hsT>3z5Ze036_JQO;`ax`D4C=Fi;tymlvN*C@ z<S+;I?Wmz1l%`<zA)5~pL*}nxg7o8wb>CVN)vqH#Ju!I<Qh_rt%!iIYfcyi)Ab-K~ zJE*S>5(nisP*{WV8z@Xb`3;n&K=}>iXHb3v#T_WWfx;b>-$3~cl;1#U7lc9P!t&b! zsJ$S)AoF1uWCx55QV-)VB%mJD_5w8sVCol<s2<ek2DulPdyw-Q$bF!8Cn@Sd^)g63 zC>%igkukE_pgue?>azGD<3zaJ3o;)${BWrU#U)5B2qUXU=9edCmc$n)<`(3nG8C8O z7o{>36y+zU78hscrN`&w=NB;KmzETimN0-7f?A$X#SD<K8BkgRnFqrlH-pj&D6VXv zf}r*wh!2_v0J#a&ZUUt*P&*W)9+qy1N!uVJL1SW|@iGt_8N>VtO3xs1P<jU03rf!* zdqL?LWG^T^gX{&RXOLS#=^2!+LFpM5KcKjTxeGM51hNmL2V^b`gUkl8k>eP|2aOql z)Pu|b@zF8No#^7AF(i;aV(kI77x1YEnU8E2XiSG1>Oo-%G8=@E%}3^g!W=YKw1I_z z;Rg=`Lk2Gc!wp^r1_eF_1_wR{h6#KO3<3NM3?2Lo3<vlb7=G|GFlY!cFhmG2Fmwno zFzgUuV0a<Gz#t*Wz+fQAzz`wGz|bJbz_3G*fk8rufuTc)fnkRb1H%U)1_lLT1_lpd z28IS<28JEN3=AKH85lG~7#Jc%7#KQ47#L27FfjZOVPMb@WngF!WnlOr%D|u@#=sCE z#=y`a#=x*cjDg{W7z2ZVI0J))I0Hk5I0M52aR!D62?mA}5)2GKBp4VBBpDbIBpDbc zNHQ=S0CgN07#I>D&3v$5Kz^SA<%9eP;$J}HbAS>W0|NsnOhED$P(CP}K>Q3S9~5>V z{tOr&l;A;Q2T(pJ{6O+QpnOnRg7_Msh9#(v3$@n)jh_MKgTfP}{sfc{bMFjL!;%3K zzF>JYK6sEBG`<8CHvnZ|P@f#ikAU()@dMI70gZnGjSn8IMzYTWlp&G$8EE_&X#5*c zJ}B-%_Hlp)y+Msrs67@?J}6Cq<;6fGQusspF!yFa`JglcQaJ<4hsmEn<Ntv2L1_r2 zUI8@74hkQrxCfLEi_Z!){tZw;!~jWWApIQBbOlOxAie{X4@!R^egTvZlV5<wzktRE E0NInpCjbBd literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..13cc75048254588c0324948508f8df58ebacfd3b GIT binary patch literal 23272 zcma#Z%*o8FP>2Z5%vFeRadKBMFl1Q#dSAK&0|UbkK?a71NCt)%Q4n0k$iQID#K6GK zfB=jzX6gw!5F3OUQcuWnFfcGMf#jg#Tu?U1T(EwqxHnWBq|b+efq@Y!?h9pur2qf_ z{~u%~NR1y<9K`lVVh13x1EFkWy`yYM7}7c%K=A^y0~TLkKAGtu2xJg6Jp?1MLy*{^ zNbE2qb~q9{0*MV`qPq>`Mp*g;v1ws1aypL$IRfghC?s|?5<3Qo4PwIbMJ$K|)f<Pz z2DuxiCLT!~lm}tr2}t71&^!Xtmxv_J0u=}4-y|e)R;V~geHM~98<Kc7k~lk(cn*>{ z$Xu9va-rfNu{<anWIiWUFG#!$NgNbMFuiq9aef8{hI%L)<i<WI8)VL8B=!^}_EaSH zG$i(PB=!s>_Dm%9EF|`9B=#I6_FN?PJS6sfB=!O%_Ch4~A|&==B=!;{_EIGFG9>nL zB=!m<_DUr7DkS!5B=#C4_F5$NIwbabB=!a*_C_T3CM5P|B=#00_EseJHYE0TB=!y@ z_D&@BE+qDDB=#O8_Fg3RJ|y;jB=!L$_CX}}Atd%;B=!*`_E9AEF(meJB=!j;_DLl6 zDJ1r3B=#93_E{wMIVARZB=!X)_C+N2B_#G`B=!{~_EjYIH6-?RB=!v?_Dv-AEhP4B zB=#L7_FW|QJtX#hB=!R&_CqB0BP8}?B=!>|_ERMGGbHwNB=!p=_Ddx8D<t-7B=#F5 z_FE+OJ0$jdB=!d+_D3Z4CnWY~B=#31_E#kKHzf9VB=!#^_D>}CFC_MFB=#R9_Fp9S zKP2{lBsK%6fP~f~j7V%IBsMb=n+1u@io|9^VzVQ$Igr?#NNg@7Ha8NR2Z_y##O6a{ z^CPhZkl2DqY#}7JFcMn?i7kr67DHl-Be5lr*pf(WDI~Tu5?cm|EsMkkH;SR*ERQ6v zfW%gWvO#sP5|j<9`_z!wdPr<DB(@zA+YO2Bhr|v;V#gt|(~#JCNbE8sb{!JC4T;@{ z#GZ!4o`=L<24#b&bsz#74(pNF8<5x=k=UD%*qf2qTaegWk=Wai*xQlVJCN8rk=VPC z*t?O~dyv?Bk=Xl?*!z*#2awnYk=Tcj*oTqWN08V@k=VzO*vFCBCy>}Dk=Un@*r$=$ zXOP%uk=W;u*yoYh7m(N&k=U1z*q4#mSCH6Ok=WOe*w>NRH;~vjk=VD8*te0`caYe3 zk=XZ;*!Pjx50Kaok=T!r*pHFePmtJ8k=W0W*w2yJFOb+Tk=U=0*sqb;Z;;q;p=?ka z^&ONAqW*#iXkPe-#Qu-O26vvJY8XL{cqp3*iOr0}W<g@JBC*+!*z8Db4kR`w5}ON& z&5gw7L1ObFvH6hL{77s8B(@+DTL_6QjKmf}Vv8cN#gN$INNfotwj>f;3W+U^#Fjx~ z%ObJmkl6A_Yy~8?A`)8(iLH#pRzYH`BC*ww*y>1Z4J5WE5?c$2t&POiL1OD7vGt&A zP<^2fWrLEDIg|~eEI<S_{aC@-C*(lQ0T2e&J0Kc_J63>9VqjooK*)poRX3tf$o;El zU@%w-lfMHJ25Dgc_4U9E1_p+PRS0>IJ3tthJjf{^j7uKWM+ISA@*sDEFfMtJ13?&< zJgDyq!nou?;xLTqKScO|%mDcVq~-=Rd>9y5K*As)2GIDGKs_Y9Bs4+2TaYjdxakB6 zA6)VvGeH=aJSc2H7?(UKY(N;7JSc2H7?(WA10ak`9u_vZ<Uzg!VPyFiI{5vMED!QK z2;<TZ@;eCQk_Y)6gmKA({0_pn<UxK1VO;Xq{EzH@kl#TVS^o|L{QgIl2l*X@ap?#7 z9fWbogZvJ{xa2{82Vq?DAisk!E_rPJM|MBR?;wn<zrzH-|B>ZEeg|P(`aymNVO;Vc zzk@I?d63^h7?(WA?;wmz9-IG>-4F6R2qWu{u)yzsWO<O^K^T{Qkl#TVmpsVtAdE{M z<aZFpB@gmD2;-8+=6_`OgZvJ{$oe&G@cSQG9^`ir#-$(RcM!%U5Ar(*<B|vY9fWbo zgZvJ{xa6_<AKCpNzk@Kc{vY=E{f{gU@;eCQ(hu@G2;-6m`5lCD$%Fh3!nou?eg|P( z^4R>3?0%5nK^R&831|HNN0tZq9fWb|2l*X@amj=H4#K$PL4F5eT=F2lgD@_6Z2pJ2 zpOF#KJ^+ndt1~b#fZ9(E+hFY@ggg%e1A{`_2ar=34lKr$=Vf4ExG(`CU$6vIo)08H z2_mns6jL75|45h&k-q?wM{*y?d|^;TF)%O)V6k6-fq~(`K1lneVHw1H1_mxr(13M; z!e>LKI+#Dh9TLCD?MG1t1_oIBF=7)$KeD_Sl03s^OnGr6`4w2?C6MF;wqWX)M3Vo3 zMP3R?e!*5u{h+V`plFmP<dlovtLzX6LpD108s{{qLa1`B5RfaD7lAo2zwAlp#e z-ynGgMTmR@J9hmOpz;;0*yRN_LgK%J6TAF^4G{SW+}Py>KpBaFfnf$OOdjGMPyztC zuLIPOWnf@fz>koJ3W3|dF!>dN2zgN6M%TYV7$FbJ+vxH;L=o~(C!v^sKpY_t4sZqr z6!{a92zhXVMU%fEjgSZBZ*==_$in0i`4{AW1yx9RJdnpOzX2-$LJ_;XgBnEt2W9N? z6Z+1Az4JpACVv(@42$l*3;dwc1eAWxf`<V>aR723Ec}t>LE#IE16=Z;@CB*CCeHxv zAHw7X{zZB86*4e5C_(H8$%7pX4PTi2i&TU>DEwjW!zK?3f0+Bw<rx_u;eqb|fQR7K z1q>j0klE<+4KEP#AhXfsH@rv4gZzdr|KJ-!9uz+4@&f-5^2q*&h5rN_i2p(E1Nk3a z{{d%&Jjnm(@*lil@~G+0VFDyPZiFGy2R8SgPy^LQko1Ag{0eQD`Da-{B^@XXk>ej( z9%K_J3~|YWYy!Cfmpmk-Q1j=7c7**P7P|e&@}PPP-F{?wP`!w5KTIB!K0)yZGk=2a z6>xOSU<26-3iT6mp!kECk4+w=0cJind5|d}4Dvrre!(gskPrjNevsWDj7uJ5Hwa^s z2c;j7`$2|*<YDP+MmQu?LGmECq04K;Aml-EAdIYkM*>0~<TiA93r<0h5IBE<+y>$x z>p#H@lSfUTA0|T5r$8Gh)qv6;s(iyFi2R8@?D7JWA@U8=vCA)j%3CbJE^jaeqW{AR z?D7Yo@+&rCmk*c<(VwsjyZi&Fyu@Mb@&(f%`cE9gF3&I>A|G)YyZi*G{EPG0<r(Hd z^jBQLE<XV(|Kc%rd4>59{R`e;m)`)DkNApR-eCbmzra82@)w};3wS`K0%rUtEQIKf z5XCP40V*#bhh4s55mdh^c6o!cbD-?Z@WL6p`~j$ZMG$uRfO3d_jzsM851{fpQn1Sx zR6z6}$iyzsPzjMgk%wJ=0#yD&F?M-{Dv16W71-rBK;<p!u**ACL-gNh#x8#WDqqow zT|S`(qF<sPyZi^J{EjKu<r``t`Xgpxmlvpm$p4s+U48*ne#TPl@&@$~{T8dS%O8Ns zPuPiFKA-`j-(Wv>`3F$>6<e{(7c@fjFF1)^o}mdMA8`r0`~;}Hz-{dE3e6Dx0>81# z3xFzfP(S5Ac6o;ui2fa{u<{?Ze7*pcKfr}uKA{z&{{%mF`43R}3nJL%8`>cHZ%AU7 z7ifpbFOb77zW^%lpn_fApaY`+fhKnO15o(}eeCi9oe=#Arr6~lK;<vEV3#lGg6RL@ zfnA=V8zRr(hh2UGRGuRkyS%~-Ncndl6}$WfsQig6?D7sXA^I=mW0$`GmA_GfT|QwJ zME`?I?D8L=@-OPJ%Qwu1=>O1+U0z@gME*wycKHQR`5n`+%Nxvv=sz$UyF9}TNcf*v zfL(q9RQ|$J?D7gTA^LBu!Y;o7D*s?Tc6o<c5dAN<V3)rDmH)64yL`fIi2ezau*-jd z%6A;bF5fT*qTk^Rc6ouh5cvzYAo8I0E2!-UYGZ=hf1vf(p#Gl+JEHvzk_WXB@yUbQ znE2#DX5f=Yw||Eue*4ko(d|c<N4Fnc9^HNp0`{ZJquY-zk8VG@Ji7f20{H!pE{|?M zx;(o5=<?|He?aR0gY=@uKe{}+{pj)_GmzsST^?pWhz6xU<nafPUYPq}@)|nW!v~i< z%ze1zL3V)Lhf5ya{sdF}_M^+A+m9}fZa=y_y8Rj<`0YoRhuIHW+YJg|ba|Nlxa48> z!{jT1vD=SJ9^HO){pj|?<dMhUK{jfETmK9U8Gj%RAzbo1aLDVz^#8@KA0|HmhrA(F zzr#Q5`eE`JFnQ?s8q9wS_Cm_v1;rrWVD_I3_Ce$&%CO5HfXYv(z%C!KAEKY58oT@h zsC+{$cKLz>5dA;uvCA_YgvhVx#x6erDzDH7l}DPN0EuKl!%yNr_V9zrI}ni1z#(4^ zGoJz4e?_w&Chvelz7DGY0|9vnM(pOp^gF=h(c}LZB>V&xfI<T^{vD1(<QJ^NF8=^3 zAFv6#ya8yEhk=3N#cu5K3MV1vU)T?mhwx$P6E^<DaRMO^%Dd?D7UvQ2pfVF(KI0lf z9#nRq%g?xrkjFNDc_0%Z4;r6C*Kd)7kcUPY%KX5FJcK;9@imP?ggiF?ZP*SO-(zGr z2OfV%w_jryLLMXs!l3#C7Jdu%Amp+6Ut&K(9;6q9k@cT=g5P~VUL)kOg}=sUggiF; zBYq*|v4u|uBWP3>ls?a~Vhi6L90+-A@$-ToA&)J7CBzZ(Aisk!vj08g5c1gKr$QAW zkInxpbP)2`{C~q3A&<@f9M%YVZ2q@!LdawDe}*SQ9-IGX1R&%=eg|P>|DOm)$Yb;W zk2r)pHvem+A>^_7KOz?)kInxbB?x(J{@+oJkjLi#7flFxZ2p(%Ldb*s4#LR(_n3%~ z$L9Zv83=i7{$DX4A&<@fH<lsfvH7249YP+P|1Gv6<gxibV-G?eoBwAVM#zKw4#LR( zKXD2nkInx-E+XWy`CsEELLQs{BOV~+vH8E_IYJ(r|98AY$Yb;Wi?0ZIZ2p({i;xHT z9fXnn@4*6^^?{T>*!*9?jgZIY{}n<Ad2If_A&HR3=6?=FggiF?TWBEUvH3qkA0dy; z|1-=G@*uy1FtYzo*dpYy`TvItLLQs{HGB~A*!&+6jF89X|BfhxJU0LDNJPkE^Z$zs zggiF?OB5jFL4F5eWdD1VBjmC9zoHHykInxpS`qTt{C}edA&<@f98(bT*!*uX8zGO) z{~3!A^4R=8V<kc!<aZE8_Wy|u2zhM&|FIn*kInxY`w{Zk{2y@)A&<@f9cK~p*!;ia z3PK*6|6klj$Yb-r#AAd!$nPMG?0=6}2zhM&ulR_N$L9YPKM?ZR{D0#=LLQs{IoLs~ ztsvzOHve1jA>^_7KSLBDkInxxWDxQozk@Kc|4%3*<gxkxhZaH}oBuV85c1gkA7P1* z$L9YI2ZTH}|L<@|$Yb;W3qOQBHvdb6A>=`R2VrFYd&DB-vH8Cu1tE{k|0}W)^4R=; zqX;37&Ho%#2zhM&w`fGjWAlGT2SOg3|7Y|g<UxK1VPyZGn1+zY=Kmja5%Spludx&% zkInxPYY_6-{NJ$|A&<@fJ9Z)DvHAbSL4-Uu|4W=i$b<Y2!pQ#jxPXwy=KqT82zhM& zUvUp1kInx#o+9M2`Jdx0LLQs{ExsV+vH3saH$onp|7S2kI_jYQCCKj}jO_mtoCtYr z{{JC>kjLhK4GDxiHvdP+BjmC9ze5cnkInx(bP@8{{QtrPA&<@f5;h2Vkl#TV+5aBS z2zhM&ukb?1WAp!tK!iLt|KEr}$Yb+AM*>0~oBu7+5%SplpOJ@<$L9YTr7(Ha`B{c( zknxxaz1Za^K;<<iW0zN$4$&_#9lJckdx-poIoRbVK;<Va!Y;4y0iu7#a_sUOpz;gW zV3&9J2+^Oh5xe{as60bGcKL))5d9ktVwe8_mA`QmyL`iEi2enqu*(a4fyg&pz%IW4 zD&KJxyS%|yi2ez;u*)BS%17MCE+6m>qTk{vcKHWT`3XO;%NKly=r{O>U7q0wME(LZ z_Vry8pz<reVArqk6QaLE8oT}tQ27Z8*ySC5LG;g1#V&sVD!)JryL`fLi2fD&*yTS! z<rBoQ%QyUi=+CglE-&yGB46NyU48*nzQP^5yum++{stfH@&};u9f8>81O7wwPYA;< z{{SjKBO1GW0mFH4akU@;yF3FUM1DmocKHcV`3+gv<rSD9`W-B>%Wr_n7gS)EcVLF- z_b9<Ge*r4bP>WqYfd!(!pa;AB2dF&5Z0zz4tPuS>7GsweV1vjPtidk704mS08@s## zJ4FA4li1}CK;;!~V3!Zzfat&R0K5DHsQiSN*yRg2A^JT&VV7s%g2*%c#x6erDnEe} zHa?A7KPhlS^eaeUm)`)D-=KzF-hl_A-@z2S`~|4|4_oZ=3A_;f8{DwVe}Kwo_+yv9 zumqC76e6(8CoF}?Z%D%~{{brRP>Nl?VHrgKg=XyX0?Q%t36o&*5I%bQ=fMnwJY=O8 z>iX)4n+SQ>N)F`qQ^x~@JY=LFRsW9X2zkgz9ICv+4}?6Vr;I8e@E;+Mt$o(O2HXFF zeg0$vFG3z$`|N=TLLOWDOh5)94=(Va`x9XEFBLind2H>o6~+j8Z0)lfRtR~>OeL!S zIh+vkkeNVK`GO#bJo@??*!~BJMUe1=$%ERkp!mZj4+>*^^04%SOFt-#LHdgr7#KkF z{}~Ih+mA~gW<M@@nEklq(d`ddjo*HBd35{H<<ad&mq)iBdHp^}FS>lk7X0o*mq&LW zx;)4Z<nTwAN4NjRX8iV}%cI+mE{|?MOdfgv9>{$M)F9>Sgo6<K(d84=A@UqYu*)-O zK;#>aVV7S3mH%-9yS#%YM1R3)?D7ww@-NO}mv7L5=ufzSU0y*OB7fr&R32@8KqR!V z`~aK3$00Al1j+U2>rY~!`cDv$|3E-qf*E2ytb9OEA0Dcx<qNF*faO1QdGz#wE{~o* z(B;wXpP_=^esp<s`_bjm?MIhKx8K1Vzy0X)==P(_quY-zk8b}2FZ}kS%cI+mE{|?M zOr8<io`=c9>bD8;kn{nP2bqm7uaJk32c->k`3r>zd63`G<!6*3<gwMi6*UNXZ1wMn z$B<SF$bH!A--u5Ld2IDB$2W*PEd9gW2dm$Z_jiHhKp0eh!Q_$G4}!u6gt5spGM<O; zzXWAn*!r>w(Dh>=bCEH~9CW@ZvH<AJQ!IQFEMmw)Aal)-1#p-Hi%VFT!P+Lsd}AWa jF(*Qe1rcgM?nYLIjSovd$ZiDbLFOBQ1duQ`b3oDn{e=m_ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..8e0dcd5 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=dec +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=174 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=103 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=198 +OBJECT_NAME_COLUMN_WIDTH=183 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=0 +PROCESS_TYPE_COLUMN_WIDTH=0 +FRAME_INDEX_COLUMN_WIDTH=0 +FRAME_NAME_COLUMN_WIDTH=0 +FRAME_FILE_NAME_COLUMN_WIDTH=0 +FRAME_LINE_NUM_COLUMN_WIDTH=0 +LOCAL_NAME_COLUMN_WIDTH=0 +LOCAL_VALUE_COLUMN_WIDTH=0 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..a73cb413d468200ab5321bce7da134a22536233f GIT binary patch literal 245824 zcmb<-^>JfjWMqH=W(GS35HBGFBH{p{7!K@UhO!tK92hJZco`fR<QQZb*cccXSQtQJ z5NVit7=42YA`YWDAY2BJX&`+PAO-^i13E1ORR^O%ZUPB`XplY-8ynVeg^0pv1_1~k zq>mNE3;+=f3=C*=t}Dc$FdA7O*uDi2c?LAPW)Z|Z7>%qC6gCas5Dzv)qtXFF5PcC) z8m13q3rODtsJ;nMeK1-9q=12e0Y<~Z6XZq^wt!f}fKDqwtYd)D==vg{`p{_?s6H4C zvI8U({Inzm#74IV#)sJhqha<1K=sX81n~$uEy4#foq+*HgX{o_1U@ZE0fh^QO$>%c zJ3|oEK3wt80JQ){gVG7eeEpovBr_BJoD|)h%)HVH-3kjcT{9EC;(R?LkTf{WgX{pM zDR;k62Brpv10bVd`ax_F1_p3C2g¬I7BnS~K<GZC*x~{%bdPwp|VdsR5Y*(gRWh zG8hyMkdOiK7#KioZw3Z%o`m?n5i9``12Hb9p34Q%xbSf%1_oFXLss*S9lJOa4)N*C z*wz2QVSX?NcJ)d)%$EhFX=DdN*><eh%>e}nHh(S0Vg61Q?B<+c!!Eu8hreWSsCUB= zKA^aT<pHRdz?41?^$T$L_X-a2-;CJZe;9{&IF4}8!4dv)IKsgShdJFi?45)oUT)wJ zcf?_D6^?K_&wxD~I&hdX2Z#C^9PY`(A?}95oN655Q-&iR{c)tL^Emwb1Bdw;INW27 z!~N56*vpE;oys`uwZswcB{<C2!y$eJhj<T;@?<Fv_pss+|A@n1XK|=c!V&&#INYg( z!<}h3?9IlZ{yL6y8;(Q#2#)wI#St%)ao8J!!<;oZ%-Mq@y%pear#O!A6vAQtLmcYw z;80)7!N8ydE@9D#c+U{u_>|P5)bz~alGLIQU+0|syws4yq?}ZS`1thP{Ji+$lEk8t z_;?1eQmhIyvoqt%a`MyT(^E_03ySiS<CF9AO5%%4ib|79;tNWO7{Id0`FX`9@kJTM zU^S`5#qp^XsmY}!sqqH!X^F)p@p<|2MXBi^Dlt8s0c>hUN=|%QW=?8+Sz=Kp$W<T} zV5dUlLAI9WB^Fi2C+4L<tSQdSEyzhF-j3v=)Wnk1_>|P*%=A34sl~||sVSvMW)u`< z<`-p_RL19)=9FX><fMX?fjtFRTT+ymSDct!k_ig3%=Em(9EhjNKwc<I%qdNcFUibJ zjW+=6h4~y5TB*gwsl{MXu-fE|)a2~=qQt!P)cE|g_{_YN)Qb500*C^Tf0Og`k`qhf zi<1*`5{n?hAUiViQj1D56LaE=QnON%OArzTMfnAZ=^#HMxe+1_GOjqaB)%l0C^a#~ zGbKJPzbL*muP8AuB|jIe1R6R?If=z3sVVU(MVV!(MWCon%}X!I04o89LrQ95F(?2* z@q-Y}%qz>!PK{41%>xGvLLx0QFS9rU7D*6skS9{hQb8#P5`G1VMTxnoC8-ckg4|hL z7GF|XkP1l>1&JjY@p*~4kk}|L$t{V`&&$cogYdzwDo@NT0i}$1kmkJ93Q(d<EJ0F0 zAZ#GcNlwhkNlHx420IgEQc-ezNf9Whlk-dSpdk$k%H-UF__D;Dc)#Ev|FYDgoJ44> zA$*2nR7z!DVs0kLEJV@)B>}KKVD}SDU0AXV$RW9@xgfVd(i+?lcw&oBEP*7(s??%< zux;pS;$cZ1EDLc<Nf9{C<CF6XD#5~;d6}RDmsy2~;dqb-!IEG-DXBTBB}lTM^pKng z$|Ddppn!o}jg&g$K}jhwC%!l*zZ|TxI5W322b6@7vS~(YVnIB@4PbR(Td|s$l#`#F zotc*oDoMafi&As)li`VhkW0V?RB>tvC><EY7iU(b8iGv$TZlCkVJR#iITxW1t3#n) z2U$o!C9;=5DIDxJ22cu$2Ztpn+r@*EFep|)vPcF(V>3Aynnpp%CMmN79J}!b@f8TA zkn%MjkqeWH%8KJtN^=Xa<wLObpwa@A6G~HIF^QU&!J!5%TA<|ylqyPvmi!?1U=5$V z{JeO$&mn;Yc57~8PELNZ1(*#sAFFPN25`cPPf3Af5U^@cehGC6NKHvk#hQPMGK)c} z2P6-+0#puE6ldn9WERDP6B4N4&rFLiO3h6yC`e5KD*~Gg3sta4acW+1W=UohQW;d5 zQV^e;SdyHPnFsMZ+~Lp+3#r!#Mrl%MnrE7UJ3L8)LJ(9VgUp9J8|<Qt#JrT8R8V1` zoEl%0nv<GXoC+=`p~ZVqYH@x}DI%MKOoBTK><%my9i%8?$Slsxbt=jP1!H<@Nq9<9 zcu^*(2#=4?$xKRywkC2DGxHeY<C7~A<I^(p5_3S|0V%T>KvY2`Lr!K=QA%b!G~eeJ z#b>7$<)!B673U*KB|+>#kxB-QMwl_U`*=Dz#~bMxn!uUH2&R#qDT97#aglyTer~FM zYF=?klA*q@tE+xQW=>{ag??FPSz=1Qk%5tko}qpin4=Hn<zy!5=j2tGnds%~>*;|| zNkK70L_a+_S=Um}SkFK|DKifwl>-_s0gqEKGSD6}Vc5Y87h!?3VD12op)fKqGhE<c zfDN-TOqmGk`LHnDf{JYc^O+f*LiwdqnVg`}mk-c^E7<rQl*`}{3Ta<<K*#4`;tWu6 zjs(z14g&*B9L85b6Q2+XF-HSU{6Q2%+yG7dLNr9&0!@5EEJWM^O`IVDBJP1EZU7Yz zKof6(ibtS{Ux11ypotqm-JgLj4s}lfnz%qeC^i@vD$v9yK*bx-#1$q$)OVnXZ-9zV zKoe&uhWK{|n)n8&_yRO>fl`S26=>oKQ1K0D;v1miJJ7@#${^+(Kobvuil0CeUjP-q zfF>@`3Gwd@H1Q8m@ds$)6S^SkU!aK_bVI~Hpot6gK*WEbiEn_4GbEy>+X=l8^&Dv8 z27M552{iEo5}*+;1_lLmaY=}{2Aa47RNMef{D2fhy#<>11E_ijG;sl_dJi=52T<_< zH1Pw{5c4C@#06v^;t6Qt1yJz}H1P{i@d7k)16hbU6=>oUpyCZ^;vb;m9cbbKau9PS zpowpQiqAk3SAhC!0h;&*d5AeH(8L)OAmSU)#1o+6JJ7@rK*bNBi7O~V%sGK3E&#Rn z0-AUORQ(M!@dQJNIWN$}6&xVqAJD`<1VO}qpovdd0ug5b4d5^!%3+2>5OEGP@dS2A zdKN$vzrX<zmp~H_;DLxMpoxEAg@|jQi7T){#0}8Jq4rv!i3>o@cR&+Azz;FU15Nw` zR6GDpe1QN&eFU0#fFMLX0Zn{^5JWr!P5c8?yZ}x7fG|XT1)8{n7(~1QO?-nmM7#q{ z{6Gyvd;*%dKrKXk2AX&QRD1!NxB}Fi4QS#Y5+UXD4m9x#Nf7Y^XyOIQ5b+ae;tVMe z@f&F36QJS`(8LW=A?jbCi64N9e?SutNQ0>VfhPU{D$bCMT0R$~L)3Gii8Fu(f*BYX z1kl7MK*c4{#1k?h<|v?v8)QMmHPFNt<Uqs?(8LR%vr!gk;tqKb^$uv_0{IYe4>a)y zQ1JjX@eKtK^$}>|4TTW#1T=AnB8Yefn)nB3{ab)0exMwpz5-3Wp#mb_fF|xx2NCZ; z6Ti>_5ubo2KA{sLJ_AiWpbH|t08Lz=8zQ~}P5c5>d;^;JgdT|c9cbbKy%6yOXyO8W z5b+ae;uoOe7tq8f^h4C&Kobv`01<zHCN3}$BK`tR`~p<`1Dg1RNf7lv(8L2KL&O<U zP}9G_6o@znn)n5%xB!~?gsBkq5@_N9(;(ssXyO9XA>tZn;t!zW25907W<b<ipou5U zgorz!i7U*4h<l)kKY)q{pouS-4N)I~CY}JA&|+X<NI(-;m<v&#fhPU{DqeslzF;0i zeFd6$!hDE$1Dd$P0*H7An)m~#_yjcZ1q&hSXP}8EK-W<$KoeJ33{k%VP5c2=d;^;J zf+Z03JJ7@vmO{i2pouFigNUC%6Mp~|zknvbU^ztn4K(qD6%g?UXyOVhA>uF4#2-M# zKcI;(SOro415G?(HAI{t6*c`UtbvGgpou?#iVL8LFIWpvFM%eWunr=wfF`c69wM%R zCjI~_Zh$7fU;{+G1)6xmMu@lrnz+Iyh`0xu_yeeT0GjxM%@FkwXyOT5AmRyV;tRGy z#52&vw?V`U(8MQfhlp38i8t(kh&P~#JM4srcc6(g?1G3-KodUz6`z47-mn{@egT@e z189Pcfq`KKnmEH=i24m^;s>DOJJ7@%_CeGiKob`@01-cdCVl}begRE<!a<1o8))JI zhaln)(8L7}L&RU8iC=(<e?SwTa0H_M2by@mQHVH08fy9%I0g~tKoh?J6&FAgpKu(a zUII-#-~>cm0Zm-sBt%>TUHlY8+yG77;50<s0!=*N3`E=kO?<*xh`0xuc)&S`cmSHX z0BE5D0|P?@nmEG+i24LH@dHrt3^ehEixBk%XyOi+AmSBh;tZD|;tgox2cY5|XyOf5 zAnGTei91|{h|fS1XSfCtUw|fl04lx$O?<+2i24m^;sG}x;ycj91#Uvb51@%(fQp|$ z6Q6JkqW%J!c))Fl_zg61fjbcK2Wa9KpyDsk#3$T^sQ-W_9&isL{sT>1;66m0AssdS zUx12ppovd-08uZ1CLZt*A})a@F7OB<u7D<f0V=M6CO+XYM7;r;c)$~gxCNTHz*C60 z1Df~+sJI83_=IN=^#N$&0nZ`g5oqE9FCgLxXyO;3;u&b-6JA2p7odp;yn={Vpot5- zhKM(yiC=(<cc6(+cmq*C0ZlyMEkt|<nz+C_i1-3D@e5G#6=>oU-b2)HKobx601@AT zCjQ|EMEn4n_=cYl@e^p`1-~HT7tq8FenZ4>poxEgia$UX{{vC~0!>`tA4L2EnmEIM zi1-gQaR<<XKaf-gYWin@u3hFp6F&eI7eEtlV1kVQNT7*3Fhj%@(8L>9AmSQm;ts43 zaRW4Q1~!Pe1)BH)sJH`~cmq2`y$71O0|!Jr08N~M6CxggCVl`ao`5Fazy(pCfhO+2 z4G}Lu6KCLoh*zMAAApKCpour|LezJli97H?#3!JMGw?&iXP}86fQm0b6K@cJs9%95 z?jQ&e-+(6009r`Iz`(ErP5b~<`~aGGgD}LL6KLWNA`tNlXyOc_5b+ym;s>DO575LL z#31Tlpou$(L&QIzi8DaQ&wrqa8$ibu88T7R{{iSYAqSdx121IUOaM*Xfe#`sfhNuX z9Y0h+7Z-u3*FY0L02Mbt6K@cOsJB29SCE8=JD`a_fQoydi7$|Xs1HCBPmqR)N1%x- z$Uwvs(8M1=#WT>v7sx`?7odqJfEK(mFfdf0i7UuM)Hk4sKY)sNpouSlF3_KVCZ3=O zF=qyvxPlTyd;yyH1E}~4H1P$>5cM0-#1m8?;ycj96;vVO2hhYHK*dj>i7!xtsK0<F zo}dm9zkw#MpaBtofF}L`D*ggZe1RrJ{RcGh1TBd84>WNFZHPES7Hax`02Svz6JMYM zQ7?cdo}dd6mp~I&(1VC8pou?#iff>WFVKgmH$W3lFo1|#pouFOLc|@=#2-M#J<!A# z7(vtrpou3KL&PJ{#1%{+;t6Qt51`^1XyOY@A?gdz#1qUQ;uUD(3g!^;1~l;pQ1K2l z@dXwT^%Ky<6D%R(Gtk5ptRUhG(8M1=#aEz-FR+HF-+(5bU;`1~fhMkC3lTqnCjI~_ zegaK=fgMEs1vK#ldx-cAG;sw7i1-6E@dr@x7ii)OKnvU%7#KdFi6=Ng%=v*PuHXz2 zXUIlN|4?xbH1P#45cL9R;t9}wP7-M13T_bf3TWaFpyC>6;tSj%>J8Au6FeZ|7HHxM zo)B>dH1P*eaSt@{1zr&K0che0-VpH!G;swVh<E~;_yeeT2AcQ+Ux@kwH1PyKh<F8> zxPm`Kya7%80aUyLO?*KBMEwLb@q|E#_zX00g&>Id0yOalQ1KOL;tPTy>NlW?Cxk%6 zcc6(YghIp*pou?#il0CeUl0aSe*sNA0ki;}fq~%$nz%v)MEwIa@dr@x7ii)OA|dKO zpou3$LBxNci7P}y#2IqX(mzz315JEE3`D&Ens`DiL|g(*Tp<o3u7D=~04lD5CcYpZ zqTT>aJRt!hZh<DQkO&cXKofre756|BUyuY*AAlyFkPH!zKoeI;fruxdi9djfXP}8M zNQI~`Kod_$gNRq4i7TW-#2e7WA3()B(8L#HK-5n_6Hmy5h|fS1SIB~hFF+H202N<> zCcYpWqJ9IKctQ?Dd<UAiLM}x70Gjv%sQ3vq@dbGh^%u~@6Y?SAH_*ftKpP$y7#JR) zi9djfzd#dTPzW*S1Dbe35k&k4nz%wSM4TZPE&W5qIncxxlt9!Apou4xLc}G|#1+aQ z;tFWu51`^2XyOaXA?gj##1kqY;udJ)3Y8FX2Q={qP;n15@dZ^7^#N$&3Dpqs2sH5v z(DeWbXyOy<AnG&F!~^Of;st2p0u2!H3N-NxQ1J#d@d=F(^&M#90ZkC`325R1%@FY! zXyO;3;tSBkC$vD+uRs$IXoZMxKob{egNW}y6TbizKY%7ap&g?B1e$mNXyY8HoR5d3 zH_+Zw*jh%=Fw6raaY2v(6u&?cmw<|as1Hcuu)V7w@gGRywjcp07J#nj0rir#p<*EF z0g^cKzRDHQ^%5ZU+#rQed;v)uv>z8L%y1$W;xBganpK!61H%O*aY)EO<Zd8|Lv%vK zA0UZ?_VYqS85mw5iG%hC!^A%ziG#uvCjJ9S9ONdLI71x7Hjo%6T#$i*14*0<Nn8L) zoCir<0!f?~Nn8O*9J=)yEUSSe&JPxW5C%x%puNiwQ3eJJByr?@xeiF;!Z5`Q3=AGf z;-X060Z8IvNa7Jl;^Ii+2}t6SNa7ht;!;TB1xVu3Na7Vp;;=IZK++9J;-EdsATbc` zKoXY&2|)1#ByoAD7>JsIB(4Y&fZ_#6;>i2fRv?KhL)C()4M^fDAOR@efh4X96$4QR zki^wM0#JMcNn9N&2BIz?iEDrap!f!oxF%E#L_I(f*8&MZ@e3qz(B5LGFvABVab1`o z0|UbkByl|?aRzAl080P*Na7qw;s!|K0!ZS9Na7Mm;*cHu5J?3jabt)OnAAWLHvx-4 z2m>T>Q-~0lv_KL!1B*Zi2PAQGh!B|cKoYkAi$I6~BymfK5SWZW61M`2K!^k+achVW zn9M*Dw*iYlhyo;WJBSdNtUwaC2a7<61|)IVnI0gS4kU3$kN^}<KoWO?ih-yZNaD^Q z0VrO8Bn~@c0wlEpNgR5l2S}J<1Clss?=@HuG~SCO?hX@VU|=|aB<_JEega9{6G{96 zlDHR=_$YHU1V%$(Gz3ONU^E0qLtr!nMnhmU1cpNheCC(?<<b0x!=sz^BtJ8QN9%zS zrvDc_nvZZm7HIxAoy5z`@LzQbFEazbyaU63RS+M%iR9&j|NsC0SMB0uX2<{yyS%&r z<~M=(prMnO2f+L)5Fa#%@p1#0Uj*WVhDu&80Q0jze9#cd%L!n95{M5P8hP0O=0}0} zpzhzx0x&-a#0L#Uy-Wb}y+C}>(8$XGFy9Hp2Mvk5bO7_MKzz`U&`Sd_-w4D94UN21 z0Q0p#e9(}{O93!n3B(5tg}h_{^QAz1&=APW5C1^^6$0@=Lmw|6fcab?K4=K+<pnUG z3B(5tfxJ8b=KtbhX2<{yeZ1TN=6?e5K|@h57l8S%Kzz^;$jb>}{v!|{H1zSZ0nEPz z;)8}fUKW7)mq2{b(AvucF#i;Y4;uP-835)V0`WmZ9xolh{9PbEXsF|*0hqrD#0L#= zyi@@5SAqDTp^cXUVE!TyA2g)#k^#)01>%E-GG2c83-bRY5Fa%3_VNLk-v#1>hBRJY z0P~wbe9%zF%L8D36^IWS!g#p>%r64*K|>cW7l8R$AU<fw;^hP|KMBMK4OP5s0P~|j ze9#cZ%K|Vz2*d{sO}tD1^SwZP(2&H-05IPP#0L#UymSEbtw4Ox5aLS%Fy9Eo2MtNQ zQ~>j}Kzz_p#7hA%UkStq4MDtQ0Q03le9+Lt%MX7*{ucuAK|>BNAAtE>AU<fQ;pGJ| zp9#bV4Kcht0OtSVW@g9$4K2Lf0Oo%J@j*ihFBgFMuRwgzP{PXzVE!WzA2fvUvH{G$ z1>%E-4qg_3`IkU^(2&8)1Tg;;hz}Ynco_iZ9|G|~Lj*4!!2DexK4@s*r2&|~3B(5t z3A|JQ^H+iRprO~70$~0k5Fa!&@R9+{p9SKBh6G-I_zm*^BoH4o6!7u^nBN8BgN6WJ zUI6n!>eHaRffyJ-<%{84&(4c+9-WUonxA|K2yrz$V0hA_*VfE|iQ&I!F*h^Amoz<o z`4(_->d|X!Dap*>(aUNCqAY)uh<bFhnsGylCeHs4_~jcw3J*hRk6v3&kiuS5CJ?3h z&!h8$$H8~@9tVFgdoUjJxcIL`#G{+_FBilBj{gtR_~l&~7-0HA?rX3;3OatYR6Eq8 z+4dF}GXsN1=hG4%k8ay%T+9qFH2?qq|03o8|NqBW=Sna$FvcF{mq*e6vi$%5|4{ch zhC7BihB}4>dvsnt<`luwTou4rYUk5k?a*EA(OvA*9URj9>wo7DewSm-zZe}mzq#<c ze7F4W!teLNrSs#9x4-}YchN5PaN%EH9OBFP?<Huvl}~SRgh#KlhetPuN4EoqN2hm! zN2hZ}w{t?Lb4<5)4*&Xt{Oi3_EKk&@cy|8xY&^cgUxZ--1n_Tjj_~PKIpEWsjc`G? zcg&0C-~a!2gS4k~9?}l>;9nmc;>f=(M3ljo@sAV#HW8(c;0VhTB|_c7IgA%Non!dd zAL3ts%JM|rTE{N7XvY}GSjRZW_`}DX92xkxIXQH-Sp4)CVd!vjJPffIN*;4^WQ58y z1z?d61qE2JN8=mNzA2B+%buP8e0r-K4Br}l+Xbprd^-QX(D?oTzYSw)ut#T%N`ObV zb3nIuL>CK3M~ez5nR)bvs08?Qet$9X|Ns9s%%xf&WgLdzKsw`o|Nq~`(BY!u0aC>Q zQk4x-#pJ+0<>+fB!?&F;JQ|OHtd0ixCid_IkaH-AKiyx{h(GQC!tr<bCspFl<9J&D z7vy+RkKTQtP1YWb$1J!Q7z{5No_xvo>;HdfL_(=xkIv5x`>%uQ>k>ha{h)FPRCgMF zd-3S||NlPS%@>#$7#zD9I-41|K@10$&Sn;F1_qDbY7LLZ!!MvFdvxB5e)-`0|Nm&_ zfyyzcd6OXKaWI37Vd!k$05@+7#JmKL#=|qXAua`*Hv!W;P&o)S&k16l1I)Yx9+1Hx z_oeWF+_xLFQ^KS1um=y4k+$E_0szgupmG&z{-bYT|5w1w7vP1NFTx8pAGD&^qw(+$ zWb^NSLo*+o{z8HSJUTyncK+W7$^ssp_g}Pv9N)Wn2Rj3UNAvCnpyrTcS34*gN{U(z zc<up}*C2P4@Obvts4yFTdlCHY|9_9(-6xnpcAEyeF)<ikdZG9I|9?kV^znH%A7KII zljkqgK#Cg=gAVWY=rvUVD+XEn59FuL@1W)&D9gt=#=kuM^Z$Po8@V7xJpPJo-%VGr zefz#4*#~wSqv5v~J3va|wygsz1=%(MSvAOYOc0|xzW)FJiC=&<!j*}^r<axI|9?op zKnsZPKAj(tUAXcGQkbHJC#YQtvdR?XvEI!V9N_Rw05vCJ;px(HphVPTKWK0n6rvu@ z$C(Vjy<ma38FZenN3SUp+|75s{{QcT8bToZK_LV)p#&O22fzIP@6mV|YQ%OIPzZtC zGV?1^An|(sKLYXfq%Z&f`}DHrf$Em<1K^Z^!^1m}5-FO0LG5mk9j+i}_HNz*@^5$Z z0}$1Epv33@19)l>_1N#jz`y|YGK=B27lIJ?7J%x*UQ>R!dtZVahU(>Q-~a#j+ym1O zHxugd6Q98zhZ=Ou8Rqc?U$A<7=4VKB7dnHZ8x&=)<FS|yPEVk?$v`nb4sN~!viYF& z#0&{p3#bJG5DR|(!=Iiak@F~8{s*<=K|uy8|2~29zXu~I+cR`FAAsloBar-G!N|bi z(Rg?TFH-q(_Y+cD1r@QN_ygGis>t_&TA?7*_kp@gplGc7j1*$v(Ea`*>(l@L9^K71 zSQ!|)Jp!6*7&#b9tUS7RKVSvre9>?xCI-WAJ3$4>i})}9|F<3}arEfk4N~sWYibFS zy#$eE{PzF<Yj%%bRuv~EhHj4Lhm0QGlR>6*a&<efG(TYU=<Wt{9a-Qp%<b7*2g=e< zKY|@0z=q}s2{y1JE;%9`aqBbO5g_Fry{210vQS6Ve1$q<z9YgBAXAVW0p_AP0+bFC zAdc`rcSHch5xyX6pdk^8a0E!XN3W?qNEYgd?_Z#fkV0|<$P^?;fVpUn0F}G9KY&A` z0o@TD5J#MFK!n7FPw<ccDfj3#T?LYbI-&^Xh^Y>UkN}y2<Onbq%@M4I-(G}49I*r4 z5eFcS@CI1}4GC|ABS6YMdQEjfvQS67{R|BW2_#2=OhIx4n2Y8JHp6c(F1`nc#0PXo z{D3&(m^~sSj(voO1W36@ujvYqEYuO8{0&Z+lkE{90Wt;25nwKwBiIeUz3_oJLW3PG zVH$vH(q2(VkTuYda6~u)q}-#|R2d`-b;RRO(2(Fmas<c}Bu9X`NRHt1Y(55ViGF`^ z<Q+IL642d|0ddDpJ49gY`~VLOkaCY+)7c<ds5_!z?r5_^1O~_yBzJ(hjw~LHhb=fk zotgXK*6mAyPyhde+uPs<Zh&j&amUVc9-W^(I{!L$p7rfrVp|~0z~IyQ+@<r-i_2hF z@V7KEfO7EO7t1*qUc`d}vv+UC1`dW7!4UQZA&><&DjuEpTsl9wbpCwt3Y7Lb4}13R z(d_^m_spmB*Nc#kpwdxC#i#Q<LaF_GQ04jDqw^j}i6KZyZ>z;Kb_NtxGeOz9@hHS5 zgl<@s5AKJ=zAXL#E=eK&3kgQ{T;~a&-X-h{MBsk={vI5{NghzYw7>iRzj^NlP+?vo z;nBO7K?LL}n1>`G9`fmgIkW>L09Dm^RN^^11KdlVoiCsbrP!AbKm7j><G?#bxZSrx z6z;xoi2K5t_wE1<41wLmA&Ts#R#5179!9w73rL`MFIZLMQHB>d+~kYyCfx4XAr5!X z@ps_x3Txhb0yGc>c8`QOvU|RQk~gw@QXuXDt7<$d@E(VI_P$38Bi!z}Aq{trImA7n z_!HR3!SLev8&Lec01a}1-DV+;?6ydBx19h9^zH?#YCNj&4u{(m(cK2BpK-hIfehSz z)8E3v>jP+@4eTZd8Duvdef$5v2Xc6sK->gY)p+y)uK4SHM|60dkcGR49qyhRpn*QH zdo*N`-D8UGo+TiG-o0Q|jYki>#1Te+-xBSf4RUbzl)r(6&jHXtBiKCxa>(vk`UWk0 zL?G?~t7<&D;1v${<Pz_m8S-%VJbw*y&kE2$C)hndP~0Pm?w%HqK<{3#s>Y)YuW`8N z?i-@Rr$GVko^ZH(CV&Q7!R~n=j~qU&uhGKi3rL`MFIZLM(S$cR+~Z5UdomQ^?m7Mn z7CsfAfnKnCPADL|=j$sp_oP7F16I{|)Zr}-_w0R*BYa#s4?A|A^X&ZY(fQY>cln8D z><rEOZ#-jXU?`FI=-msdB*3j!7tN21H+?$)xpaPd;qdzZf6vb2E}EbJgGxr1&Tl@Q zzdCQe$awkxfAbH<5;>?w!;`LtCn2(qoi|=cLVV}w(YyBn8z;kypD+LaH#`Zh20;dZ zlq>^zukk3zR#(FV9-Z$Yx;t;e%J-KcFVQ>#Dl8^Um;fs;(EPLFImkadp2PeDszfmS zQ}7DAe`dTu_y?pB%|D(m!LbGMPlPHb!wXGJ|6F?s>XCt~E*$=8d4bPApaISRm(HV| zr#(BrgNN*vPj~_H(SjEsAIW<5?gLdpU>|ATWPIt<`LFZViv=&i{&DPl>!^9x@&8eu z&gbBo-=*{AizCk=eo};L1^Wps>)QF^McE6Gm-t(*GBPl<-Y!*jH9X)7jv}zZoiAUQ zJ_9KLjehK7;OAs`VG0VO-;Cw@t#3=T9e03wKaOD6_;fyp>0w0Z;Sl6xc)<wP!&Gk2 z{FkXn+i?e|<LS5)L?UTA^Av88fG{V+i!&g1|7HehVg_jfb+{dOf=DDy(-E2^L^&B= zOb2UX0cm0ZX##cc96>kKfEr&g=OrODDTs42yhs9TVg+ep1!)2e!8z^(kw`Y_A~b18 zax%Qo1#4mhX<`Fu0?n&A?gWuYntnWi`^i9>li|gWXaD~<|7HhiVh3pgk2dWDkw}^j zBQ#maax%O){0y3F;E`7N{QrN?#$%v_;A(ilr}H~l#-;NmTFXuH+5i8jLh#lS&iqsG z5|r8+UV>5^s5}5wnBe$DE)NVq4!}|#L_CG0HBfl~(g;p#kQ@!l?3|Ev0m?rP@|+AW zUOt8T454Hi$jOju8b|(dd5SOpgao6N2LZ1@{z-TR^AD)P#qdwUGjRUFDBn7sAp8T; zh~^(lNSXlo=K~if!wX4F{~UV?E)PJOarmd?2}%Ajcn$K8!)us-KovHIe<nP|?w=ix z5&i*bMDtH3B;|qp^MZqu;f3cDjQIKX1neJ>W*q)m@|Yz52)qILN8t_3KcEU9!#@X} zVE509M+pCbG@|)u=3{X71Nr9%4=2Nm%EuV~QG@sgq#1{Qt~?^iKOeyUVR#Gk52(R_ z;hztWvHM2?$v+^CX#P3*2<{&bMNWnnD<5I_C+smeen6UW_=klw|6F(rik}B?|9~1C z82(Yf;UABOi1-0%MDx$jhj9M{D04ErxcLynKW&e|{sC#m;UANSB*o8$cOd^90Nv6C zZts9PDH#3<c!WKEDjp#G1Ja1*A5DmVOu-c>qD(vV5bOt#1{{7Uc!22#9POJ0??LY0 z@E(@FKpj8~_fL3;-Tgc6Bis+ti01yx2jKWXcRv%v{U8lE+`r*Iru!YkAagDIKr`;3 zRU@Dw;_oj+?}CPFoHM$;3mR-Vm`lYx{~v?M{J!)5|FPx;pc?+QlV@)oXyEbr9njoI zwT9t0(5%@Di@TsHk`hPH=40Ry=kG6$ffPXI#=5&fS7CytFTsX*^zH_oWbV;;xC1oK z-gysF6UM##d=FMD#vxUQ6X5Oz&B!C%n+maD1``8AcQ@#YO8#vu93IU-SoqsP2m5*S z@*3+fF?b}iG<q<e`2WDOw+=K`sSnWwI;yd|8+3pn$gljZprb84n~#C#hQ7bx0(;nl zg@J+bCFoE%&)zy-!*4G>-v)(HGw8Uj?rzXwQy{&iWuDE)_#gpw={6{!K>C<pf(}FV zY(6IPy3n(?PTcU@i>(mdC^j>d`g=AXlXxxf*?deEYz8bW+He2=@7a8e>$S9J^D#EC z)Qe)c@eHqZJe!XRK!ju9!c4D4J)4h7L4;l5!Yr^!vBtv&EZ{B-%$CNx|Nl<_jlFy9 zPhenRfCTOfZiq_}A=_ZX!C1<9toZ{A0|WDGb_j#XqjxuSe(wgv)aaLn2=hRP4@1q{ zeG43JnC8u3g_;LqfXstT#vX0}jpKu+OCR2WyAO0|G1R<lEaowR+~>dsH4nr9nFpPJ z&;Sj;g3OzUFb{OtG1NS1Earjz`vPVjhygNh17tqq0?a%|gn6LDkfG+CzKQ8yu=^&k zL)`~rfXsUVF|PtNA_{Wf$J=oKf(}cDnpcg*JZ6x8L34S`p!fhWK<0r?VDV@?tN@zq z0-3iEWFDlv0{35BJC8Ybo&|R?fBW<<S5RSKXx?uCTA5U$;L*FgL79O8T4cR+Vf+a0 zW4?NE=MJRI>ip`W`4-%Tap`;q>Sn%t!FU^7Y?X$4^xA&aU}D$@TEz8Y_Z@g`0aEAK z`Qk+cDBCq223g?K%UY$$#NfCSv_Q?H^FG8D7sP}nbaeCU4OnRaU3d`-EfjG3=LXn6 zFW~;MP{HOO9^C#hyan-(8?>T;Ie#W7M>HM=ndj5XDhzf$sF#Gt`DVDB5A7er;sYr< z4(NcQ;{s@*7O3OCTR{ivd`)O<biR7w4=NWrkGpn$b<}(d?NEYT3F=T5-vqm!zZG=Q zYU^A67SO?@&3_sB+p`!M7+hPw@wX&`rs^>JhOa;iah_ZURWkf7ws_PCT>t;SqxoMh zC&P+@5+0A<-37Uv3@@y1{Quvudqn{!10#P6=+xGR-36s!4(MpnhTQ?xU=C<`Wy9_V zf!rWvphF{Hf=*t9ltu73M(O8*-Q@#ym(l<K|6#H!D$srzSVh&f|Nk93kGOO!E{f-5 zaQt?pfWHOQA@%6p{UVN&;l-@$|NpymEdHO%$pCY5`}P0-U(Ny5KfSv(3OE^FlwAk; z0pjdd&;Ov>ZTE)~PKFn;U<rs9T5TZ`7pgcJUbuiIz}_hZ1w}$2H^U44>;L~Z|79vq za%}#`R1|}yOAU5$#Whe^m)O8VEtipj0Um0VU=GB}mR2wa&6*R}K-MsqhdMU@V=nS{ z1YcE+(g_D!BM7lZA8v9O*f6NcNnj3|$$4OtS;_+(oBy#Cc{%RD=&6HE-hLJA0WE0c zf&%~?DtgyI;fQ9aB-l_^{&rnZ+G_sC%HO06V(kF+<xzU{V8f%phV!?84#smtQG*)q zO;=#?zUna-G~PkU&!cy@!ecIm7mKcf<K6rd7bxCWeB@$y(SP;-|CgYHzCEDvUUwDb zUTD0x|3k!kDp&#%RISwz39t`+z!G2|^0#FF`~UxC5kwZ8T=c-QP;K)d5@2njU<s(U zK9IJl|KQH^=~hu`*!|!O7Xu?WC=<SLF}!$u1r*6_<++Z{|JaJs9YK?o3@Aex;Ly^! z0*(rIT=FrOL3!5f6BjJcf&-%M3drARHWq?yWG}aNZ2reyWa<c7e8b>~JPrc3ao1(A zjiMMXHoO8Y`N0(gXc#O08mNhV7*v$`^s>HG1{M5>lCu+J9BKjZ^6w>ZsDLsnG<Sdk zr$iB&hD&szNv^~aR+h9%{Qm#{wKFV6*IWkq3>>4SmpytRxp+EQ5F9O~$31#?gQKJg zEC`8`*2}-ZaSzVLnU_H!&&c0){MY~gFLnNa=h>jco7l>4Pw<E>hY_g!mH;h;Es^u= z-J4;ES$>1kA85d~^Rwn_@Q^Jyq&jcD*aoul2P1!LI|Bnl>)Xy46&C(h&<W(QA`d)> z2MVtj)|WuR&))*N78@q}7`Z&mx(Ln}t{qE1|Amx?CC(uC{N-eL(Rb<pf7gzs1-$|c zp1pe?^awD#sD=n>mk5G{78DCIyvVrp|Nm=Q)R;L8)#lo<6s)xKPIrt73%Gs(owN_G zUqCBbv5Y-}o!xQ~<m{3ZT=H8k{Quv1*s)`-^;b@YZ-)!`TR;cidiL(!@P(7%#Wj$h zI_4@{f*m=-LXhFbVTjO!Szw`nS%M5NHeCGwAL1$|{&vv0$Dm@EzX^0gG_Iof?FEpt z_*+1y)#Fkl33FQW8?e(#Vm*8J{&>yF@WLMA24``w8+M2ZGQ7}%2nF<jg${HJGQ5z4 zJBzK%_9f{24@Zbs96RQMoDXwyoA3Ys|6dC^cFYAC=-4qAWC%o(jlUgqeio=y=5GR> zKn5$Bkw!Mb!7K~)59pQ>&)&Tg<arogNL~V^XBPf;(2@Btji7=ZIn_Kl4+@Bq8eH-s z=b`Z<y#Nvj4j|*_gX;ea|NlF7%zgX|?Bj@Ef($RzAwmkKLZCD?!9<ARg~$b1+_07@ zyacsTpn4oT=7Q8g{K?AS4l14<!IcCgIbp9POd-xqL9HMVxg_-*)Vayi!OrDx0i7@H z*}M0~G){&W?dQQx*8T)`@`8_o3@=I`LKD=$PG(ROVtA2w9^zzB0nFdz%ErKetB_rO z4itF&EucFFaH+X`7V4taeP9=rBzpGlRp<lFznlZRruZe;H7{NWGQ4;O5n_-4yQV-~ zh~dTMa}d`w|79<8d<i<G*s)_S$On!cb3v{K1t8d^&0+ul|9?4+m4U&rV=l;0$Bwxm zgFp(J|FZM9gHHMf74iH{kOTo5=*BGOLGEom07?+p<(Xmr`P>Tej|r%dX$8%{KpemO z0oXqV4+I%rh(Ux3IKlpTz#+u&g8AJ4|F8KRJLZDaId;qi$$^{*N>-p$n|vOWYPtB^ zK?nCaHvi+`Zvq{C=m=WU$KbdV)H^~>zba=TQ2@GL2o$iqJPa=sz(#Pu5<Ym*<4zEX ztnu|3kVcR{K{AdQ^3rFZ@%H%^7pPz=0VVv5TU-n;9M6K|jX47BsfutRh8KDeA%{s| zp$!v-7+y%9g~c0ZnZirZsqu~-b3u9>JLZDaf&AS3my^F8bkTxi^FL1hCP*>@ZSis3 z2_li5YXfm^t>X@m45oa}X{d9pFF~B^=-In>!$mHJ7n9C_o$KuncH03zA%++A5TOmt zU?GKOA%+(@XCTgXZ2reptoYI&w7R!rE=ZGO$6Sy?kb6Or{7uFnxgDU0z>JQmr(vOr zUH<4Ps56^SLYxT-)gLFg7+$<S4R+>aH?W&H+=Lij+<*uvl!ApOln61rIC>i5%;vw` zWeP7rXY@OE%mwKI6(^-a3?NrF|K)}!c5YZ=2lYE4y-(y&eRc}wT<r2<Fz0q}g*X?K z*crBRF}$#Wxb3zr*lhu}LJTi7Awm<<z(NdZLJTj&PD2wrNF6A{LgW-csk90j;>~|~ z;H4iAe-oti1MS;#+zBF)oofzpZi?d$kPN1L`blW$Dxcv7rCE4oI^#4q!;8*S;1CX8 z1J0i(Rtqw`D1!(w+yDy|To+_`k$egk!o2XxlowW+@-i?mIPL_I$j(}D5)_KC$`mAv zspiZHsME3!gPm3as!Sgo;%0d9=_J@$vloNiAh1}F;l+K3P{B#C(1R0#3@^@{ggJ|^ z%<|<1kUKi&g1iDM<!%T<Bc7KZQJI1a1eGr*VUm31HIB{y_=?ILcYwCNIqn3J$o{x- z0_2a9FkJE+Fh3Mcg7`tvvv=={iQEh?^dJs?unX+qfL($NFQiX`*3p5g`dttQfJYa= z4L{K28z}vFHXa4F7JYhI?@54~$e=3Er}I5%ViZ)Vqc+4|-a3xlAjLf%B4Gk*kZOQ- zUV+DVDvY5GQWw~Wf=lPC7k^IS9N$qq2_8`>bpX2<GVB8y&H<$#kKWxN^E?_4J1}xE zz(;XBJ8z)5_RldK13AzJHE#D`0K5MI-2Db7*xYY}+x>|ru)F`{NpM>qWFBGn+mP-4 z4pUJ0&j9Uo1Bd?$tnRNli8K5c9>?x}PKf(K<`H&(%~5j0-@y#z{s7QC0oeTuOtFRk zo)b9T|L_=g_j{fIhd;<X!tUR5gk1Ok0K1<9w386*{s=Q{?*DTfr~4IgxWDr_*!>{$ zJV1l$_|yMka^1hd92EWs;O-YN$L4+;-0n|2iaq>Kf|7LaZjgC|-ETv-`!g&+?ymst zm;#6Y39Rm~IfgU*7aqaxeolz{LFN&5f6XCs!(YJ?<bDIt4lS_z8!WJe|DK~b-T&|~ zcK3UNvSjaWka>jNzvm#i?!N(c{|mVLEiAFQ|IZPe?pMU&{!UPq?A;AA540nV6@U6a zK(6~ISb@TS0cb}XIQ&0gb-xX6_a`309{wjmNxFA8$UMUCw;|j89@ZfDM_4m3Fo4~^ z!U|jX*Br(f{tFLccRwe@{UGxQyT4{Xx#7=X19HCrXy-K8{R!6C+`s1#PWL}NfZhF` zpe)(D8)P0~_wU(9uKRa@-G2h^ehC|F?*DTTr~4IgxW5yWC3|;+%=2hGJb@E``rk{g z`wMJA;oo2jOFtK|y59!3`xEzL5C4;(B;C6kWFBGn+mP*k4LgwgEkHXp!Re>N7F+n& z9Kad=3-@7nKPSZfAoB>jzh)1);r{^a{ts~XJJ?}!|DOFg-T!becK3UNvSjaWka>jN zzh^hO?w?@~3jY<Lbraz5|AE#0fA-;YzakFzcY?BH?{1KJp!(|zX#2`P7w~94miGTH za@`-`0CIl<=;Q^k`#0EQ3x6Bj?oZr<J^W9Cl63EGka>jNZ$q~GIUGUmmjIm<0d{|e z12*^9?8O=W3wL98KPSZfAoB>jzh)=7;eP<^{tIyTD>!0v|DHWK-T!bG#QmWSyC)>W z=C%Zqxfous?}E-0Av%>NpnTf98)S(`<6(hccoWs~9XLIOyFXds1d5Li&>@}R__%@9 zKW}#9^p7CaKj{78N>CQ<-3>C2u+!gc$LVx%j~KW64V*#lcK{tG3U>blCv5SdgWLUq zJ0bCb?*5&iB;LClWFBGn>yYjK7hw1QfV<zr8JqiacHs>Fi94{n|0gJk_wELnN7(&2 z+sF<71umfQ-vBza6&(HyF4)|^W+zVfU)+w}{gx2-gUkbMm;Z}5>95&JuKOcgLGI50 z9WD!Y{|>C~f3pLp`vq~hzY>%idv}A(BkcY+TgY|4fE&pD3ZPR4!0s<_#TNcLxZNMP z4SV?S1SRR--5~P_yI+TF_n!c}{|4Os8gAI!pR*lj_)px5-Tgm7NxFA8$UMUC&)H0F z_$vf}!rveO)*fx}$L9Vu+i<%7;uh@gw}iMKWFBbw+IRfve-pXxzX5jt3%L6&0<gLN z%~qW57sTQIN>G;U-3>C2u>0R^B-i~D0zu)wAP^S*AF#S#2e<nJH)9X~ouDM$yBlO4 zVfX8h?S79SkozNoVD4WLh%Nkcw%`o^iJP#y|0gI(_wELnN7(&28^{fRhG3BU1%hGj zPYA;1{xzF%y8q%v?C!UOxF2L5s68izw?DaNJ-P1R0e1fhxceo7vAO@vCY<gU#Nqx* zP?qf74KfeZpO?n#{x|E$b$>w!DEu2jVBvoOtNV3uyFYLP_VC{cO47Z%LFN&5zYf{% z*9Zl<-y#&|{*DlA;h(b+XZTNCkKO%0K}ouIH^@AX#={Lfc*8$uExF<U0POw`aQ8ce zVsrnR4LIF@aUFK|TSD9qGLNwP*Q_De{WHQq;lCmb7XCl5y8q33obDII;r>ccmh9aP zGLNwP->fFr{Q==1_a}tI+`l0VTlnkXc7Nbn?BTx?l%#uigUloBejT#i&k+G~zeEJg z{Tbod+@G@!XZTNCgWdf<K}ouIH^@AX#=`~t_|yL?a>M@s*!>sa?pKJw=KeKnak~HF zYV7W}gt#AM9%1*dSxK(@D<VPR-w_E5{~K7{|7HzN_Y2~1e<dhO_U;CmN7(&uR*>s{ zgD8;u9im|FpAd;H{B>}<KX4WH@ZSka(!IMu<`H(k4%zO10e1fnxcfb#u(>~HHO}y# zxDvbje}a;9?{1KJ9*u`@2;fiu%gGJ@1<|1J-w+K8e}-sm?q9PCr~5Ci!0vuai2Fh2 z5qAHYW#qa)A_nCCj2M{vcVKn@o0T}-FNnkam7pxyyBlO4Xg*sAZ}`7iO0N3_VnOa# zh=sYoAO>6b>)>{O;BxHYzY~<Cdv}A(BkX=1vfa;+1#-VY7R>z#nb_Q)vjS)MPh5uG z{XaoTx_39oJkW8IqIknUX9>CCzXR<46L9xSWMOmvn&mj%e{m^x_gg~T4>FIi``0Wc z*Zl?Apzv?VhK2tHtnPoa45#}Aak#${lqGw2gUloB{x^%rb-zXq$o&>MF!y(4V+(&B z-0lxtf<63qf|7LaZjgB%jfYQ2;7va|WV`<X*!>^i?sv$+=Kh?eIKzM9Vu<^FJbHI$ zsPizqa9DydjQ$psynA<p%=TzJtnmTwSW3b|%(%ck{`?{r6#hSQVd3wQi_QIOmf&>% z#YGVJqmMsZLfi~8kFcB9EWmU#c;FmL;CBCqJdpbt@?q`|$iwFTH;Zw)Ul51;D?wSZ zcQ?p9!tQ@FpIrC<$OpNfqX6dqh<t4B*TL=nz=hbue<vtO_wELnN7(&3WV@fC5afP= zLYVs#3b469XA#cupSS?xeo*>f5CKa63o+9_C`tG32AK`oV*Uev`k#jx7r4_8M-j;V z5=AigXB1*{|C)t3-G6aD#QhlQAL3?^d4%1(W-g|ip-CT1;dZ}3G06Q2#W4356k&7! zn*})CFNnkam7pxyyBlO4VfVk8L$3QJN<i+{D1o`Zq8OX|b#S{sa31#X-w8_6y}Lo? z5q7^0(eC$Xek0-1c@$~O^>&3)kQWR}L0*stZMiN%cmaH96lg_m)_iabbmpk=xIngC ze}_zycD{VkHy6CO3$!4&L`A@-6DsrK*qs0W9h?6!mg+fn9_wg!-UZ&GYv9qld&f@D z`Ac&^yXrRoI>ZUu3R!T7li@|nod5q{i+MC3kpLa(1=)3d*rfxkreXJibDRu}KHViM z0^kja4(B)-UIfhn?W_y9%n9A4c;ON!!wcIvkSqvw2xxh57ARNs?rtanpZ;6%8<qvT zeN=cL>jRLie0gUU@@i(-`A6XW(U8Cc9}ND_r+2?b87S~9%D{o=*}Jc!6glv~CsMw8 zp$oFS^KfU53JWyw{=0O(^XR<Q`SL{|D3CgjfC3LH_@Zw%G~CL4JO4N~?1T7D$g_7J z$ajvN$6v(E{{P>l^M_+cTOAK%52a`Cz7O173@@B!L%jYRVgbnO`E&pOhq}zO@fgVK z5NCD!sId5Uen49q{ct9htbmk%T{;hg_lHCF7aEj<yzfvB^1du+lS~=Z`<gGo2f}r} zdSL*vzVkR}_aNH-!Z46OJCA|9{v5pY{Kb@6AfNwZEKT<9eCE=fqQc_X(P||M^WxqO zB3ukF;%7m;_#LbVv|PP+4(N=lqZ7&)Kqr%jzhY#7ofrmNuKp0sm)h8T2`;L@1Wx~2 zRDk^JQ33PsjB*VBI)EI5)xT*V-=X+-$xJN%{VWUjZ-y)v!;Acx=>DBM8|+_@<vzWv z=`RWU*Lnt)SOt3(Od$Ce?K}pDN|1*GDnT9woyV}C0_tIu_4+;_2X!8I!Ezo$;Y>*R z2C5%#B!c!oL8A|pLVY@afl}z%S>UzHAhSVZ-Ctpu)1~txByVycii4L9(=ok*+x;F@ zAooX9!Q8*15}W&bW`RpLZ0_GU1LA)4`cV?%W{`P=-P|(`)6L+3hZ4BmA5aZ)e?m3P z{Tr&Vx&O>eobLZP9lQG@K{=pzH^@A~?msh?T=z%RfZU%^19Sh5YHaT3!R>xS9PXb9 zO3}T$LFN&5KM&dNPpAdCzn~W8{sT4G-0w33XZRORgM>dQ{U6{4rT^)W?2MfLK}ouI zH^^*{#={x^@TdPNm~nwS{bbaE++R@#bN`82Z0_%wj??`cr$XG1k^UiW2AN0L%{`Mb z-3(3oU<$YU3+h4cZ>Wd4|3V!$_n(=D)BPW(V0V8cC`<P42AN0L{bweT>;8%cko!A8 zw<Uqshuo;g=6)XB?l;8Y{+Xa8-MbrP9%1+M5bb^}?ZbvfkQXL^w)TTgLEHVH0pSIB z`_OADI0mq`5A!BtZyzq31gibu?Ze`Dc>551L`wN2aQl!U57Isao$8V@348kxqy~O6 zLy3w&Ne=92h6?D>4E!yiD1aW%0B$~lPgM|}1j&p@Eky@V{^|w$(xdV4hySq5h`pt_ zX#%+F0-x7%Y$B*F3OVJW19r;8MzA2{l!pe`DGzhNf{;@lT0j@-fiJHDX9X~UJ3n+Z zfg)oD=sb9EdV0}_hz!K}NP8xOV*^`#_HZI3KY+`lW*&wY6QDtWR36!avTN^dklCQC zGMMm=J#Fa6%p17fKcN}q{sqmj{QRK_oBRJv!s&iRsQb~&qfSr~@7)bDkFcBn^kKRg z9Pm&AxBF+bfZV?Vv=0^>{y&<rx!(r2`x7TX!XMrJCntgv639Hl?zbV^{R>(_?%&V~ z3x9@IZ0@g_h%@{b_G5QHC&c|A^9Z}YrkC9CU(p6~|Bg18`#IXMxqr_DobG?v2XQ|r z{Ts-E(tkgs2tZE%kW39S8?<(f9e?`o!SoC6^s}KI<o*Mo6IH<JN1z>>`~URgbiX3h z{pjhZ6O;{mcZ19$?B+k+m~Mt9eK3XF{X059?mq!KN)YURi4JV;x54fH#9m1Fqr3kk zC`tG32AN0L{WfH~|3D|m{TDi6=|`axoBM0}aEAZF9_;Stgt#AM9%1*_bdekWC%QoH zztIJAzeX1}_wVV&>Hde^5ch-9|BH#B^xuP-{z2KZcQ?pv(A)_Z{`B98=@;DT=R!Bg z{SUff?l<Vh=KeoDINh%Zbw7Ig=>%oN-rXSc2)p@D2d10B2_H(}cK?kYko#Zsz}#=q zgU$UmxZR)F1qpw2_n!nM>E7KS^9Z}&hHUpg=moj|1L$-laQ=1Z#peE+Zk*x2uoJuc zIU()`nMc_DHSI))KiYWPi$0M1e}K;8DUtW=-RIGVa6jWq$iUW%y`b!cW4!HQ2fQ%~ z8*h^X34z8bq2p}}j)F!LLE~)-M>!cl<85!+VdHJ<Z*haqEc5K$r*Mm#;l-tPMDFqE zyagJ~_}>X0Zv(l^v+>vqUJl5`G_SCaw-vTw2?~_-cck+yc)0PePw)N@{UGl%fNtCb zpXU|O5A{Ci^n`~Vo%cFlz1R=Rke!EJIzhu{nr|HcAMxq@4<2rG>3sO&VLQnG;6XQt z;0t+>Nb^5N{#H<_?%Vm#v7`0!3UFJT$Fp~@#|ln{7q8nuSDx(!hm2?E{ZfzwC~#g} zf=C#g0tFdJbi+wbh8KI<AfW;oqI2zh@ZwbmC{Xr--0RtR6ci}ngCrnf@&aviF18g* zm_V~8n8F!8KPG^}hhrirdxFk8i<p2JKJ!7D2WR*k1bGNDNCyp{|E-{I3TpUtZ-j>r z!$wYq7pFmL8uo&N0Tw>skk|x~01sV*Lk&D?J*O2td``84!w2ME!r^1vLUj0G>CZ7t z0)>&lBv2TE#`_Z{Lc_>K^Ci4LR|RrU=W(q4xp^QzL58eR#`~|efC38MpL0SR?_b^m z?$7;38t<Rdg1tWnQiFZGKdA-O$AXNAgHE^vjflVSZGi?SWJ&^*39CTas&_ZYZ=efL zd0|x;_FmkZCY<B_Xyc(97Jvfp0O-hMaQP{)01<eI{+CS~&hj&{1yX*xfrq^j4n7G= z^Noi==K1upF1`#K)5UY1Yg!YoWPp-CP|nxQSO{`|#X?ZzgT`}DV0C{@D^B+>Y=*cW zz5e0^xxaTe$UMU96>1uBxg4Cmk<T-Pl>dnHffs??Z?FjDeo*3VScnLJc;emL0(L&q zd<(R3-}&;z!zOt72NirF2NG)j$5<K%n|0`DUI#7bLFaaY%K2A~7)eYVlnZ-zgIwUz zcsM~Emc(2-A7YMLcQxSiG4A+Ua0ley4R=8P1;rP`9fW@o>FrE2*u&WR`yU&z$5&)C zxW5T94-{WI2-jm7b3ap$&-EztV`%v$;x5Sj8Fyjs-+|TrJh<I&h{OFeL5aV2H^@BD z{HPpW_w$hLet~-+_bc3kxxe5pw($39!WsUB4cNo~CMXN^?gp7h*!@0r<c9wVu={Vo z-LG*EoBMkjak_tFJ$Cm?Lfj8BkFfiDYRPqf!+lWrPq+^Y{|8vzf2IMa`#;uUcYh=( zL-y_lndi}XxIz(c`Z-fWuKO(>fZXo^I+`C`U(C3VE&O?KyWbFp`)7iZbnkAEd4%21 zL$>=rfZfmV5ElLc53sr4ryghc7uI4A|C^vB-MbrP9%1+URFfP2D;|Qve+S(C91pR% zzo!nT`#08LcfTaW{UGxQyT7N3T=z>n2DxA3F)aKm9$|C;nOdCg|5%OP{gI$7*}EHL z9%%kR1%LXlB-i~H!0vwlcfY}7Z0_g5?S4b3`$HRcCtMMLuJU+rS%Be1con2dhF0UC zR$mJ!o%ikrSwh%T-z#u>3U__n@dOkfGoHZW;{{g#*wo;RkHjkM@o^HA#Cvyx%p>e{ z8{AF@H=uC4-{C39{Q*y5?qBc(TYS`1<8=SRO6>0Egt#AM9;m^shBrOcl;aF~0`C6- zc0b26nENB1VsrnVDxB_rSb^RBo}et+yBlO4VfXJTBiH>Ko`J&u0Nnio&#<}wPbE(G zE8=i}Cn$^d?gp7h*!_P>$#s9mbCCNhp2Ncb1XlOk;C6pvIri{B2};tvyFum=cE1hT z?q_%na=*Z9nEMl6VGIA73Y_7;unfEVIU()`nFrc0qKQBKmyjF&0q;TXPk0Y=|Au$i z+`p$Br~4n4Vt2nMC`<P42AN0L{d<bZb-%@Tko!Hp!`wgP8#ed<DZ}Z0MI7$$1ZBzI z-5~P_yZ=uSx$a-_1LXc4KVa_X_<_y+Hn`oNSb{zLPlA$k?{1KJgxzmLw)+!)g4|#5 z6XyN{SlwS!iZlEd7GrlmC&c|A^9c7RYYNE?e~Dip_iOxuxxeBkw(#Fmg46vEi?F-j z6O<);cZ19$?EXCk<huU?*!>US?l<^_&HaChak^g-hx<E0S+aLG$UMUC|C3Ly`#XMv z!hgnZSopud>V6yC?oTYl9{wjmNxFA8$UM+_1A2JNPaCq`@9+oY{(wI)_b>R3E&OYW zaEAZF0_^VRgt#AM9%1*_<dGZxKfvzi_zQD?#2;+#-&2Uw{SWi8yWbO(C3|;+%mb~b z)5jbBdveKj|AxPy@IL@|zrbH??*CJO)BTD#+}{bxlD)e@<`H)PpB!@CpYadm{)&IF z@IQgo{WiGWpO}X|{7-_CbnkAEd4%0>L$>=B{)60a0J_EqJRZ^T4_o-x<l_wgg}K<> z&k1oq$UM+^kP+VWQ<F_@_}>7#{{`Ir7XPuie@`Ax_dm?R?tV{Dmh9aPGLNwP_hgal z{s|0>49)u&Ffc;TU;Tj9{eN<Cx?d58`#V8dvUfMgJi_k(lS!`oJs3gmk6?tke+2_0 zmizf^aJxS-8+-Vl1SRR--5~P_yWfUv_cJho+%LccbAJLOHuu-$;0*tTS=imr32{Hj zJkWTMDgN}IL2mf(0K5ML-2D<v*xbJ-8>jmpW@2~0Cn!ty?gp7h*!_Fb$#s7LGbsEU zm|@|60jvA}WZ`tbA`bU=g0f`qZjgC|-Tx<zT=#3RfZT7v0&{-{Gq&)z!R`LU4D8{5 z5|pHScZ19$?0y@v-TwgW{ts~XJFsALe@!ON@L!mY-Tj;p_k+v>jbB;dPyeaphW`v! zQ24K4g@ykQtnS~Ffz$mD)3CeW6O<);cZ19$?EXC|<hnnA4dng=HkkW2uwo1UKj}E# zuZY9_ouDk)yBlO4VfX(@CfEHO>>&3`u*2M+!G_KKHn`oNn2J68PlA$k?{1KJgxzmL zw)+o&-G2e@eg$@H?ypJ18U71Xu)CiV;(m~M9*u`1tnsJ+Byz*Qf&&!(9iUr|!R@yj zSlz!T6{q_jCS!NMCn!ty?gp7h*!_DF$#uU0C&>K{oUrsWfdgCk|4G5=enlMa?*wJZ z-rXSc2)qAJ0=e#g0e1fnxcfagvAN#{xBC;5u!sLiP?GN54Kk0g`)x>Z{}JeZ&3`_< z>lbi=f`0=SDEQ?(d-pMLL7fj?KL%cs^`br*8u$-2U;aPn)A=5}&$81;h2_PPM2O=v zTHlt|!tQy5@3DMgngG6{C>bX68gXCH!xtIx|NlF79(C<l_X&Ih<k5l>1JB-l8K4^= zdlEo5K(5OJ-vGG}d;?@<0(5B*(%!$rt{o6Hop+o6GL{#)wmvDzMZLQb;g}WiV8{64 zlD`oLx$|kB73^-ur3?%Vp1u1vfbMqu1#)f2ynEn_9QT1Qa(o^Sy8DfZzkM3$ey8R? zO#DrtWuT}RG$QQRfY_gdOFjZ-e-rcqM{CdCec%fm>mYXffp2Tv2fnQ_8*Ddonet1} z%1uXz!<zpx@wXL&u4iO-?3f2q-u#!jJm0bT4|7o_>ixS2XHAR)2a6{z`Gc{b3$NOa zJ%%lC+h_5Zli|gyIM4#XeK)EFK~r#^z561n1sPski30@*OL?(l^B<O?T-0kC5q8Q# z?DWMY?;8t^3Mt4nj{GgqsF(n{$}t~gb;mq8@V$-u!1p%BfbC_4M+GY^DnOT1!7lGb z*grQG?B^WRdl?b(XJerDCqeFIECEFY_)f;p;Lw=Y2fl!DANT^s2eBYOvy~~o1g$c3 zggC7EFDp`1fRs1?WdjEb8z@*n7h=M0$wgSp262`z>g9|Gc{7-^RzWUjga-@wg2o7l z)BcHrE_B-mzKzip>@;?Gu&~2|1^Kc>g!yeTuwcP1zbzUXET5p4DT0C}19X|<ofuFe znU@E?IdLEO=ERdRpkU!Z1PjPvpqm{LDHNo<`7Z}JSU5nzf_%3j!ddU5!Orr9U8)FD zg<O!x!<_}W`w$*1koylIPO}4FcDN6G*`XfTX`Jw2;e-VX@@0hx`|~08=b&C@h>)Kj z1q~J}=w*hWU;$rdcr+RuEbG8G7w!Y!T(~J36f9hbU;#M{bh9ENSU}2~|8jwYg$ooc z$d?l$ti2Hh3l{A19581!K`t+Z2MhQDLoJBY<iNKT?gQUeC=PZSH#}IlVZnlY+aSXJ z2#EbTjytf+cSJ&ir3-o+At+eDw-K(30tZVU_<F&8;Hw8`M}dNc2N5hFhk>p}L<9>+ zdGlW$aIo-zf(7|jL4>moM#6#xyZpxpsI!z{w+=$f7Vxcu!VstZyAHY<Zy)%IK?bnX zc;Ugq3kw!rP_YlaUJzlwBgFn3#~s+^3t{$WL9Z4B1q=9U!Re9UV95jDBe)NIk6=qA zC|LN)lwX4Dn2vcMhc*A@MJiiB%A5c4l~*`6|KTetLcI+T;jC2=;9v>DC4Va%8Z5<# z+XDB2Zwq9AIO`txw!nSh+XCN4KyC}12NDFWTZJ?UT)JIUSY8N3gH|qsTN0j)$2vH` z4PVf`8xJuLmuLxxth2?vK7m63)IgCC05woR>m4)rp$!z2^9JrjL7OOFq33Bp*MM}s ze8C6`!XJ#Kp#3cw{yYpXHbi196N!xiuYClW4ce<C3wAQDyFxC6VYU@<yI(*M<bDM~ znEML^u(@9bxBDF<AWbav^|dP_!EOebN7&6WSlkR=SOFz)yI(>G<bDkynENXPvAI7c z5@+}~hGTdC%LuUhLFN&5e@rN5m_wZorf|DoK^WwI17Vo^8-%dAe@+BW_a6+y?tV>( z`$6UrcK@6ZqTLT!-wfX10X|Pg1mu1T5s>>q`&&AM5$<P%?Qgjo{{O!Rj{Pl+VW4LA z5l}9L?QgLQg|5qo?r(vto(Ju30j-_~?Qh`;h3#*tM>|jEQwSpWK=-%Ehl4Xb$Yq|5 z$3Dp8-`_GZ7)wwf;t#Pu0UQMXeR{VWh=PK^K@=JU`zMHC34&Xo6pj)Epe@{xBX$0T zz=Ht3Kf$9jMuo$p^VSPPkR)ickG^B)F~^Sf28f3ZJbU+p571!@0d+;TTZ?irc=qlG zA2{<a7}^y<IwI(>YX?}3;Yp9~8WjQ1X*=Bz8$j#O{)B=KXgLmYxlb?av&FE*{63xk zAzPHXeN<Rbk2!idBM4G9f)Y3)d;%cp1HS&<LJSl*9%A6Y@#x(>Llil1AXlEg*bA~A zym<q5-VmaEd>9N09Ps`hsNf4ZkPzDX_fOD6Xh5g>fexX06$D8KpiqXM+9D3hq!1T? zHp<H*N>Oa<-vhBE1CUq20O4OmdVqO(yMs8$%K_prFE0?o;^k8z;2e#0zskEHke9(( z0-hdxI)A-T1Bru@f+P5RsuPedkF!VbZg7|9dmwnf$|^N3(D_sfYFrF2o<M{;z6gMX zetZ^ScyTfC|NqyrsBsB8vI?ZlrDHQlX~S-Cw+V4_6}ay-BM>7*kAm__?{1I>Q9=~^ zrWNx5c!EKMUjW+rdk+av;6+G)0uNN5t`J8A9%BDMPcS(2u<ak%7zim3LG|em5gvvY z3DA@PO+BFG&I@rj$ZU_s!w-}YDFMq~f(C!glz`j)0g@p1CrHBFzd-_<`_Ba7bpOWy zi2Kp&)5sujeFQR(u$#~LVY(TdaG?Zl_eV&9+@B!@bN>!WZ0_g5?S4ZX?w<+DP`$fB z<`H&3583WdkOsNGKpN)$15()B?-PhK{0sfDhyTq0aQK7FBkX=3Ut+@_OZkx@1M)(J z49E+hEkh@y5nh0A8R`lE`v7bCvCa>B`SHvbTCYONkK<_N$97+E`LP<Q{8$1JYDX$R zCi-G8KS0`CIyQrpVlO`;d@;(8R#4VzJPh)nPcLizTv)XO-$Mo}NKlKamx@0B|0D0W zLMuNCWI=(~APWjSQ2B8|1`&9O^25g;9D3Nwk3wHa{DaDm4h3O`7Ycq5cO#V_XF<uc zcQ?pvkH*6R8hFbO2XD-@fII(H$bsD7AqR8+4OwjN@A1Rw{*68m_oJ5|k`On8%p>gP z9xqHcLyHbDh1>lN@*ww5kcYYdfgCpXpYg@%{*T_+-5&|cQoXxD<`H)P8BcQE-=P3< z{|p6~`(Maob3YGm_Z#AH|4bin`2jMIu={z)cK-xLkoy-X!rcEs0h{}Md~k+;p%?b> zzX?jly}Lo?fzCJA#+&ASJjf0I8A>4cuTX-y|A!(r_xE_?bpJ+A?CzI@xF2L5VfXjA zlk5Hk${_b|P=>jmK^dF-&v@Z<|3?q(?vDgz$==-{^9Z~Dj2pS`U!ekW{|*(H`#Dsw zxt|BO`welpe<mnN_wELnN7(&5WV@e3ALM=seVF?*^su?##}jAx7rH~jA5{J~xPi)l z56to(l)QU)gUkl?tqt+!1qWBmxWHY03K)RguV4Uke}O(W_xE_<bpJ*-i2E_he~6nw z<`H&tj|--o!395*!0mnsLy-G53}NoCFu>;iGwwLu|Irn@`y)YFvUfMgJi_ij<4msm z6^uacH!y;^zrhfj`+0D?-w=oUXM&P+?{1KJgx$|Ww)-`VLGHIOhPl7P2%GzT+;E0} zp$qo#zX?jly}Lo?f%<yJc+<R(6S?7UU;=W#g9*(26O6IBzsD7)`!_mccfTaW{UGxQ zyT8YgT=!d;g52+63UmJq6Kw83<AT%uADytfKN6HBdv}A(BkcY&4&=Ju!3^a705h2T z7novmKM!vA8{%;POi+^U-3>C2u={z)cE5)?$o&!KF!!%8!{&Y;XPn_*=m-gaQ2B50 zMu_2sf)i%>4@%y>yFq4y`hsS7^MZptW?bMdKLad4?oY6QxqpK>Huv{9;dK8-2Z;MI z%72KPLFN&5bB`USo1sNNn8NM;2uqOrGb~~5-(i8x{bw9;y8oj+cK1huvSjaWka>jN zf5w(v_a|6^++Sb?bN>NLZ0_g5?S4ZX?w<)t(!IMu<`H&3583X|um-um!W!oO6IR&V z@8f_o{0r@{hyP7bGVa|CGS8#&@C8e}Y2L?%-0&~30lB}y2Il??*4W(NV~^AQ8*Q<> zUlQVeka>jN-(yX#`zvfg?(eXLx&MX@Hus;g!|DEyHrU-C3CfbayFum=cK;bGa^2rx z2Xg-eJDB?)*kW@(4{rAx;&A^=P?GN54Kk0g`+3NAzk>tF{Q(Xz_b;%==6)YroZ(++ zjXnHtf|7LaZjgB%jfW*Z!;aa-dgi;2CAs16;Rtengd@!TD;%)7zsClr`!`x)cfTaW z{UGx|^)LLGV65)%u^`v|3*12N-{1ywKZ6@K_n)!G>Hd$F*xerq%96diLFR$VPZ7N3 z&lz+4?hokpEAi=e%ji6H@R$6-SJI59I<Fu6&wB7Vv&V536$S<d2G?#Li^fL}7#J8d zFS>M|y8NnBM8&bY#)75u$K@9;oadV#F?NcmTz=pDfU(m>g{8C2g^_`w`8i|fK2Xy0 z=&jT6XgsFD$iU#)`M~g!;mH@8=Kudk90u2(6DH8W{y#ALe=ssIK<$5kWdBMtB>RIs zIzR6Ntv&PTy#FE|6j;5xZ!j=0M88zB0!`9*9B+$Y0tExesC_dSK$nmlb6{d%0D0x5 zo&`t^)GJ^r*rWN4fJf)&Zod)_)L^}K@E_~JXYgR{wlRQ(>gD&HHY(jN1}v9ffWpm2 z1saqd-IGD5m3j2mfF?kny)XdfrQXc~%plu$GJ@RFdHlsKv;Y6QT~s(an?VxYE-E~o z%_7Vo=T>Wg&U1vi)uZ#?i}jGiED#L~{RtDm{s%2`1N+|$JTeE0x9FEjmLR|Rbhm+m z!?By8v+V#g1A}9?150Py5wQ8N;8+26km1Rf{-z);;BbHui14uS>2@<fN@mdTaO`F= zaO^HIV1b2($K@9um)|u%U~GQI=yC9wf(Pd@kIoVm4v*f=9$;&yAF$zI00+v8JhT7* zTMm>Mg8UB(wB`zC1_n?NfH^f_<={|AfI1Nr3Y8#VHXnh60@(kcMRZ{Qn}YohTB7aI zYZ}|a$Y6Nsg%v~)6eu3On}4u?10SU0g#jeFaIk`s%V%@2-@E&cfKpv|+YUws1|;7? zGZoB}-69s<E*318UtNCD{D=|c+G!eW3=ExZI&7er+gAZHr16*l8^|`plP{0LLbkil zgo%N{qq|LmiA3{nfX%-HG5-a``~xuaQxWDbftWvoRP#I7LGJHi2fH7XXFM8@6|h6y z&x$Y~oHo1LIG9OvzXb=#d>an1`6ob*Y&@pG0X2WA2{`m8Oz7@w0mXWE8z?1^6#g&3 z=D&fMZvir-@z@2J`K}1_zksB=+g_0B{u!Jg_s`)3yC0NgJQ|NRa6;Yx)EMsm6c%v& zMzD|=J|0{k^L@C$=1YJKX*_1Y1vS4FVg416RCn76QqBJXHvbRA{0@*IjmI9q%vXh( zPu2Wx0E*?_=?)IC{9b2-mfu0?44&U(z{<h-9achv@_VNdxC%td@1S+5p!}Y12+r>p zKqYCfX>J2Jzk5LhA%(zZ25`Z#lbL~m;e~@SSUISejef}tNfF(BJ)o@A-PS;Aem4L` zK${6DAbTNIW#chWw1F#@tA_CO0IJSBy4yaGYW@ST`A;C`ZvZ*6@fax5!RD7D%+CRZ zT6bFlsrh{ZD=589VFjl*1&|?)$0}H%=}i=2{vD81ciRP0&36EoS1yq9Y68fR#$%u| z672r12GH<9^uIkC-(-Mm`EMSbzxRO_2>Wz?f6=4=|G#JRK9Ktu_*<kwrBmk|l?Kp) z&|_^Cpqkya+pom4yDY<{^Tfd)@&{i?yD*;UJPvCExu|%6YX5E#yXFTE95oNRbe_EY zsxw5z15_s!cy!jNM7VUj*s(ZXe$jaWRF1o-c(`;PfUtZVyZhFFwkCA%16AZO3m8v0 zb{=v(_>0x?;3H;_<1Q)+AS;}@O~jiYJkUIN`CVs;iUP>!1gCBnah6UWm5j?TIzKi) zWbE`&QRqAfVW_xvuLB)s<=MRsR71OTzC8F-{@_b#7si*4oo5^m{$X`I_ylac1jP6n zRfgt=4;(emx^%w0{JyhBMWXX(^Fv0D&NV8a<Aa^Lb5ucgNr0r^cD{tzBIDXU4>bSo z(s>hR{7uKs^I(Hc8(w!j_@CAB;B#ir?s=evpCHc&Kx`AScImtc4k`h|>&=fCJv!&8 zfX-fV>W;By=?qa3aN#@(Qt_JeZu4Wt&KMOD!|$9&LG7O!6^`bojG+1a5;jhdJ2^nc zLZZP%g`@caBj<}!j^i#W9-t<WPv;gDP~#M&1{{vxKoX#(T@fW9MWFdh29I8M7LU#r zl^3AM=yd|KKY-aeDlDG8@hqSPu?jBTB`PYetp`fPU0c7E3OP1EV07tpQQ>fGe#ZFn zASeeNcToWcrboAnN<p^?J18}PVoSoKy9aD|Hw&XnXOGGm1_lO@96Z(}V6i3xawj;5 zJi0?v5_~$RsDM`ZICk3zb%Wv=<X-_$Sb^fyqkE1D$eG<T!k1rzBdgO#MWFL0Bx*!J zMuCbl2A}R8a1?rUzH;u?;ekY84ye&MMFkWsPTeA0mtVo6l%w+^!~h<U0UDs_^68!e zjvV)H9&wk>n~s-Xx^()eXc&G4`3vL{r*0EL7sIoT%?}yDGMtA%arch%Q}Z*%&Jq<J z!@r!jAdzMO>JNmdn3S+_UhT|LF#y>C4zt!vrGniqDhACD7{L((@-_oV791MiTvQ|& zUAiGb2aY|En?PznfdF!XNB0`AXTX|4zGCS1Q4#2NQ4#4p=fZgm)I{t&<ih!>Gem`_ zM4Iy&NWk&?1y{~vj*8d5U*tUDsCdk!vqXglR9}3*(CVVX!QTSvtAN}P015?Aj1+XU zh(lt|MI`{_dr*?;77>JG=m3z^D~Jz6K>9$}$uWTRB{+7oKw|Jkr;AF3NB0&;%!!CW zbXHu3YO4WhbAVVk1srRR-7F%YC<AG9=nfHOfaq|5>2Lw*uz=|R<snC~BX3>?>9FV) z5r$~6fN8Mt=mj~xlSKv8N;dH5^-;0#>2*<Y@agqYso2NDz`(EzL>L};A*=iUe{+qB z34>2JBpa3Tg5&51KYtr&<$?#O84Eh{fWf2FMMVP?7of5ewATuhA9_nvG+yopv0$Yb z%pA||X`mXho2%Q2rSsVLYiX??OZY+VQh=H9TC(*3j9nrOl2QQ~0?O0J!8UgLs3?GP zWakHn|9rk*>^%Pcy5f0GXi?|^3K($Oa`<+fv4kCDhQw>Z)&mgZWI(wU#W;y>7ZsV- zlO@8f2TJ)Nc|fE20prW#pa#Hk7Zq?y>@H&Iw&MJ5c&YLK|NjgOr9Q3SKvE!sN^Fk1 zsDKvuGVB6zUmJEF`hKhR0Ke-6kW0Wh$+LSK$lsuV*8q3XUTA6m|NrvvzyJTcLsSr1 zw)5KeYsw`m0uJAffn6S=!tq+L^%BVCAu2q_T~t8r4~FBQ(*hW}b5uCGLsWP=-*KMn zEK$+uyv}*NvqnXyM2zzvh!0BKia)+z<$R@hq0>c0r`ttE2b{n)O7c7*Et75zh<6RT z9atctX@V4o28Nej1Z({N@6qk7;n8}aM8Kol!2!fzKkgs_sv{jCbtR)mFYDePpaF|E zP=)Hzcm!1AB5wFQ?AyBz)amx=%uz}3?cE1z!|rzo=3v;hf`Ng-r}N(nTaYcCE#P#I zBIDCpqf+ofP6K545m0D?%W}BuLC${2-~50dTm!nOs5pXaZIp2fXonJ{84{5wJ?t7# zSpn-}*Qf|ULO}#xL$`rzXv8Q8l4;;F7PY@#qr!pcu!AZr@ZblJM{kab1Sr38fbyHb zK2X^00uhD>JUZXMSg#3Qe+}w7fU5TlkKPg$1;a~_*5xCR-+T8dfEsL|W`*G;aQgA+ zeD~tG#{d7&(HM_jQA>8vWtlE28m^$F;_rPrpZRote8H^w|3Ap<2B3n%vwPYOP&cKw zM#aGJo8d{wPRbYZn*aa%bUuGEUG4vWl+b^%R1LH){V2!)a8U?N#y-6%DgofKVkfA; z0O^g^`2W8-MJ0g0IYlLeAAdA}i#hawb3hI_2S_xy5EBjHA`sm)3uMzQAg0;)^wy|U zfT96X>>)>kPv`#^8XEuqLqpxCJ4Yo0yhZKVi$ry>Feqn3Dxl7PFMQSEA@9@MqLRSK zzyJ#M?i5hf{oJGToky?eN>)(X{`jI%9TWpCkemx@T)-k>4_F8(9;Sm-cD{eXuZkQG z9^EA>1uvvkL0RCq$MF^wP`?Bco8aC>w+Cal18XxR8}xu>J6lw)fSR&+GDC~X5s(=S z3=F72w+Aea2t5cN6o4%%C%|Sxo31!BMvKZG943Rrkxd5iAtoOHm9)KUR3boiMsE+; z1W>?&+=v`apxn|3N|U`?z#fG~SLgc|yHw%P1d6=~pU(H7JhE67C8h$PG1d9pr}Ljj zFDnZ;TO9)Bl$)xMcmh{4sPP06LW-yNs-XPx|3$hoW;_)u!{ccSG#H?n#-n$S3P@-d zXj>aN-@H&${r|st30RcBd5Ovn1_lPaS*Jw>)NKG6iymnpapa%{@gYIGfyhW(0x}j$ zasY`Vn+)PZOa>L+9=&V8?QM_V9u<%bDAGW7qet2q6>#i;`pZ7uDWJUd+@p63*yk@8 zAabA#2930L$|#Wr8e;=zuN+YJ0&R)&=w<Z+b^SWQF(<DAi8;_{GRPP3Vs4EJNC**g zpyXqu0*Sm;ilAP*i;96qCyNTGWbi<40fGDhigob*j+Z{YJ>b0hf>q`Jf5+}VNdF2v z0*W-21g{!eROW!3fh90O;>dvs;zI%xRLwYc_ksFUp51Mrb}V+2r{FLdB#vw{hz~It zJUHFEMg=ru4vHp_49I&RyV0XbRT&XY;4JbYSqUrxiX3q12g+0aN+{6-T8agVp3e86 zwm7KI2q^$T=>wFp8k8W>1MYGmGL}#89u<%fB6>iD@MMtU&i6046~J{OXn?}-l27Nq zmqp*9U3>5lGI)Fzv~3nNUb9~w6qUO{$;6}AbZ;Jbyk-_e5IkbqyBRdFX?STTKWMyW z0yyS(H!y+5XM>f%#-fZDfV|+@`OT%f4>Szp)7_@Q0`9lLdb%eaJ5M_v{LSik@G&#E z$9vMb+eX5<J4Av7)Dd`p`ITeyPq{i%$6jX+$8HmbouEdGi{{D8&p7`%_B!)8cFQna ze$08f^G5Rn=FXcJL4E4h1N<&0I={Xa@4VLhjJfk7MDQEG%el^fotHcRg4H%ZW(29b z!Fjq=^x`|iugy;xIbWA>!J37y^TGBiK<s5f*!zI<IK*BShRY8)4}nG`;8uX`{de&l zSg`pK*n$g&{~#SW4$f2HeiIv{-vk{`=e*GT0W_(@;n^F_a@<7)RG=|9c2D~Q8vDEm z2_?pho#zk!XLadS`3p+1%{3|<48Gm#J}@&d@V9_2F95YE!0j=Y&IgX&C9DhwpR;QI z>b!Uv)NvN@Xg<N>*qy`5aQSU#j*3Y0FPS<m$6ipUyW52o;xWyOmmhF`=yXvLaBM!p z<J|3{3i2GN?<3Njq9Oolh=}lmYymgGox4q#L6HM8UBjtcgc%Y^ogpeZbsAU#3}mo| zWAkAi=WZ5>%MUnVEoco;l)0#AG^eQOF!Q%CgGwQk&XC1RQIJ??j7ot|XNigf*n2sw zpfMKBQ=JztzXkP}DnR}NjXZ#QO|IP`tPGBqUp4=dap}C=`L0d{>eCX0PoHtV0}1jt zcE_+XTz>4rdD*A)c=Kca&Kut^D1HF92o1lj;CI{y3hYuISHo|uw;lPX9&_pZ*!+>v zwey4H_Y02O8C_Zrmgc&)eskoXdK?s{Fq=@q^eEIO7gmPL4;(oUxo}=>e#+ncm!E&i z0mpBL`1z+ER5<9!alG@i3&-aYIm2(wfB7BwryS$oc9?(LNmq{VuACpfU*kNdc+5rd z7-)}_gJ<UtpY9Nq0!RLB$G=}|{lMSi399Hp{U?xnLBl2$PTeLN@YwHe0guHwb+c$( ze$o6(rqe}51e5@LR5%>FYk1)a;5p~_&Kg((2vKFY{D|{VXNZbOw~LBEw~vZQ=b`3D z{Gd@KP*HfQ`4OYxx8{eS&VmSN0Egf86R6|w+1<7QG(Y4K&|JgF!NA{=#KOSf*}V_a zR1Q($F#HA%;1}gGpe@+^EvGoa@*qv1raH&#WRwmcxIqf)=~;ke1t5B@W&i(2v#7)t z$r1~gB|=b3Qc*3jfVTTzY?A%||D_gqy1+%n;-vy71A}w74mfSUb?&xdy8Iqg2z37I zd|d}E2xK6^1uB3ZbH0WY1SSli!U)vMEah%~%;*T}tTU9FJ2wB6uYqbqDL;-vw1LZy z<DBoCpEL5ex-v5`G=FDw>;!i(z`Y@8OzMDQ5|nW{Jm4{DqH*~hC?-o(ctA0UnUz5~ zmjgK~gR&q`bBYQFC@b^u!*j56w+Is?_riRL;?!eExfW8?HG>DEG+>1rD9?NJ@=ncQ z1Wy-&I|CZXHQ@_68SwCj4Fh=C!=m{IGk=>tXp9&orwcfC_koH$&+ciUVhu5j+j$H& zrwbj!?Y1$34B>)?@f5mqj99?Mno9FenL5>8H;!%@rX8R<Li0rD!OM?1e|N^HC^R4A z=@v1%{D>1YtgO<UqM`r_1{HosNUh}Ay$@6?Az6C#;9u5*Pnp3Mb(`pShv>6hes}p5 zs3wx_b>--mVMn&(Uh`3&ZX5l}&pCfVN`7z{B_M@SibpSRW;!H{GC=*-1e7rPB?S(n z97q^tfWycPG%Al0MjqWhDjvo@DguTFz8z!)2d9s*i;Bp1(4e?W>ks}G(7~U?1SEJs z2PGgS-~lOvCm<zI0#c?qMMVM>kTSFl$N;2(4DsmY)kuQ`WCSQ6173nAbU?#W94`}C zL6hg;`5xcyK5&#Cgw~)3QJWc>C!D)wB%QlMBw0ESUVeS~Rr3$I&TE}_>*O7Ky*V7a zbvVK849$a=pK{)H>@DVT>^3pH{EYLO!?$BhrQFR=nH=|lnsKEz%|GO8pqfnJnx1if zM$+^NTyAsTx(FJc<ZlHHO*DUEbnLw5+w05%8rXE`?gFKKpYBQEw2v4dxe7^!po$k< zBsg}PXf;22pm`Bov2!?f$7r!!e%%?P!UKvl_1;hp&>;6NaPkM$kB}mrqxmSv{aTlw zao+1JQ9&sNWVk{8ckUM90EYq0|EOMp)ZCKr=FY{}km&>su#Z5ks0*NSz^9kBHw6+k z7NC&<)N(*f0-VNC%7KTV0Th%pE&wX^9J)I|;o;Fe0URFCIU~jsG%X9D7K2);9^E^@ zi3edZW#z%ecaTyu0VTXre0o_!lOf@i0SYhFGU1sRIJ{8G1n@i`N_Y_{6Fz{G9)C*- zBPeGOE@6jC!2k=<0F)37@#$sdPJ)DJ1SmuUP)gY;qTmpXft0cl;8GTJR6FVbya9U4 z!VsxtVE}7c>=1@DU${Zjq22pn%@>203%`T<PcAA3FE4?+XK2l#ZD2uYb4ZjcnUMiz zVj9%Moe&ie6HQ+vF*3aL{r>+yN~;Lm)(5qU1VF7K4oIs=RpkHwms@|JDFx5zfs}fH zlqx`!{u2KG|K+-$XiCAunjobHAf*xzrRPCPU;YDmiZwckkpVo)4ILEd<N}QuKVbCi z?gO>&IvrUI4|p^l0d@J12CNCYJR4*O^B*+lV0F0zNGZo(a6c2=d7XfKzn(|q8&K}> z?EK={`F9`aBqq>s>Kg&j{PZ3b&_V_V{+4_W&{*Oc6;NN^@mPz>2GHQKW4Dh=iD!3- z3i2ww%Ljk69()X*-%S9utzEi#<iN!*bn-62qjQT2sAuld?IH)7*DY|o{KBR4BxD{S z!38!Unc~>p10HSi?B1gS8k|5HjzB8n!EN1c6Me81;1b@#qjL{rNT_oSxDoHt9iz|E z*#kDj@$&0V=q!ze3v9yD24odvNM(%*Xi5*sstX5yupWE>_6|6zeLEkyfI5p{`y4O7 z1I^qSxO9GReh!|n1`h#%Cfd3~SXnx2R17+MR6s}iU48|d+%$3R-lGB<WpU~J38`mY z7=Jo;o&opc&$}9)gUyh8cJBg>!hq^Aa0k+<n?>EF^Cx(6O~cXfSn~r$kWWE_A5Psl z>MWpnFc;AL=g-S;IbT60J#}2WSA{Tw#tvS<))2gK>^ulwGH}%K;7?Y^gAbW~I<Nb7 zH-RRtL3V*lGv{s=4%pP61jH`q?i>z~9TEuBWgHE^xp3YBO+$l%K>;%Vs8Yh=$axJc z05T7p2)bQV6hQOi7r^u5pwVguklR56Tp%^zNc`ralE4TWzyWnhLA|vK@Qf;G)QABz z@eE>kfGYpa7Vxl*M{g;MXKxgXXKxK?uH2CYG)->d(jB8><J$TS)VBqVy@662Xfg;i zcYYf*cm9kK<TMG#=EsaLg+U$V<1Q-Tm<9C|K<N@RxeB(c^OZ;E-EJAiZXcC`%b*5> z0m#jesb9#*QMV2I<@cR?z*E$q@e$CNghA&IP+!kQ#RTLtaLj>B1_f`o2vavC8G_dz zXms1KU49R0{DSmp!1U>W^nqgmG+7HuP>$U!M%~bK1nQ(n_;wxwt&RYNqf57oDFbMc z2Xys?1Uv(QXY@g>8U|3Hf|7}2w}}oY1%ZYj6bx^GJPPtnw~VIY2S{bB0Fr*~!rcP) zk|XC0NSj3k<Roy>;tZN^?|cc)Xda+N0-$MMkU^lZcIuW9gqh?4N<OdQCioa$aO8vr zj00=|gG&j!3+I7O7ZnGP`QS)!Z9M><jR(!YfTJJeZt!e8I3&Nhs2DJU(}qts#El-^ zd%z17Kr^<W@BsN0lz_mRL5>2=#%q9P9znD5-#SZFBtWzAw>m>qWT3P05{}=mxpIDX zRJ;tDuyj;B?9v&dA_JO|1y5E=@V6|54i<ptjXb)ifXgpXtb)=>Hw&nzUjWXR4xlIl zjVX4+=GReY%QHYFBFF%6a&Qr4fTagev}S-bgVHKoa|UeYJO?!G0=l9brXQLtgb>N1 zMFk`eopgtAAiAfhfCgMaAshkI4GL7q>^`J8iRcy)ha}nvh@KFY7?1|=l$$en?Z`{8 z|G+B`K%wf?EdpL0>Y@?=ifB+Hy)#551k|N)0F5tkfLIxzk!?`j=mBn5fO;MgKD|9) zhl1BO?*c7wHaze`pBLQ7@PRZkJeq&V^S6QaXQMVU6nwgusDO$LpKeG+<k`DN1vIV- zs(BS&9)(5{xG;e!_3WOa0;=6Wv;7CZUu@-qO!iyAi~vpc!`PrXZWk5MR5~bH8Q_!s z7TqN(Hn7S56!gjd1W-VM(=KAN-vBY$ZvrY_K)oKgaR%KkDki9t{h+e(<yp`a)NvOT za6*Sq_Ba3kUm6OY=?4WnLy7Bg7Zn|ld61cYE0l%;IJYCbz6I=cPy{GI+9l@P|Np<- z2buCm6f@8%e+|%J3}~@Jhze-20(8nBu~-2z<sYLW(H){9(|Hd(<*xvp@>hXQ`73~O zkm8T;mpN~Nr~FmAT~t)Sxk#a;$g{fz>>zM!sMANq1JV%cbW!m^j!6&0OE2O$K!a7T zxF-Eu!0ivvtbfLL&}>%=xS8V7cmx#HNUbQ}-YqJiwVI%{SfE0|vv-Ti1QrH{{T+_b zx&Id~9H0s27I2ORNoRo84R)5ORO~N^fvHgds{v0!gA*6bBmCPq__sNL+AtQKE-E(A z=8y|Ya|k{s1B+O+$$t&RoJoxeXwe5~p#-Qs0-D4ES%lW~K{5|qN1)Eb)u>1yn<oLu z)G{FRAnltL^!5#sdEnH8Zk__Nd7yOK>7t_I(Ho-zUcRFM%F_~{<vSYtKvQeGKm=$_ z!TlG}od5s(_U=&uEldYxP|)}xXmz?z=YOA0NQ>@8J1Cla_ox_v1HDG2!0^&eP{R_m zIyRdFKF8zHD|%N9vbMkjJkRsp19X7&!xzgz5p&!{1zawJvN~uTDJZ==Am(&l90Doo zeE-6V?f-v7q=Nc*6+WHcUO2OX5&$@I!KE`O%t6VBf13v*|279!&??7H7nK}Bu?sF- z(L*l+IrJhRu@M7`4Z^VtE_>0<3qUq60AgMU$UH)^+X7zo1uFkhjobqkN0<uXgA8s_ z`2s4Cdgp+PYtXzMXvH5Wz5_t<9f2O-+3cY1PKio};ia9>cz&^*4Lo-Tic+8M8qj>i ze^As;V1q|3XdVzW#pVh+^==Qixel4Tdm{qsa6Ei*1Qb2TTU0<3^C0IVVtEf(3@M^- zf|Pc?e-X%n649OCUc|FN<G2O9QV(Jdq%h*&=E20j&4I162Q1au0$xXjKe8d~H9@AJ z2PSw?9(=>h9`MpjNMODJ&w|5xG%euH2vRo#o()^Ti$<}T4O&c!98n-X#B5OH6PE}; zof~XsgT#@|2Js<ggRY79=$)go0#v*9_JE5IP^5uu2i4^uAEPIQ1XfT|*a8kvSZe6r z0`@X!>GMPuM5+LvUihM(1tmc&fUc3~h9n3dkfL`Uy{tEc7#KW2Gwu&xtOdpB@fH=( zate@#kdp;S3@KS00x1RMe<tK)0b0~j@xqM>o-n}c+Q7pI@Pxt4zs-TY6EgGO*`mS& z9uER{d2y8Sh@~;;2?Mlb204I1d`JL8*2xf)FhHwcKz>Cx8zhcwHi!=~8?@Y)xP$>( z|ANhIkT|m0AU?!w(8?H4!k7U~7$6x?!T{M0N*Ev?q9+V@W=O&Sg*7Z;bbf!)zywba zpeg<WP#KZKgpwpCK$3)S=Ra6#5IrRTDIpet;_!Hj3TUDo<OM`hm;)9=Bn8k^{T7hw z&i5~L7(h+|bv>Y~FA~sKUx1Pk*s_}-?|{mm7wt@-)fYYB?mMWS0QJwYXJXJw8jxeq z;|e5>9G)OPBs@WDkw9?;>aKK5lIn+;k}gUxJ^II`IwKE!O$svl5XbwJ|^Bm;^o zknPB$eV_nZ%m`Zdutx>7-VeUI>EDYx3=rW6$UZYr3ltPhM;YMJ<k2e%TCWN+7qrq8 zT2Xh;0XMBYI`8>(K78>F6za!YR0=@)!I^D}3TU|6r*{ij3=vnLf`Wq)lsoUgX!!T< zKdglaT8r`R<?XL1gIN=x`vpPk06>1w|M%~|NAGS>ity+))%69h$KZkpf@&L&-p!zO zqK21t%7fNpFo0auyL$(CJ;q@Mu+ccyW8|<fF!*%0C5*1e0Iy>wupR?6FVKm9JqBpb zV01l(%IJCwP|-Aa)?<L?8@tihV}MF3k6xtp7|(zG``>y1z8(Xl3DnggYCXp4-~T}C zF+h4?7J=7ez$}5U$7q6D0$q;*(g3mq)Sm*CqA!&G{2N`5L5=kotnQFmFf8ja`hNWb zZAU?whsC}g161UBc25HpYe-8YXuKW+R4aLQ?*r9JNS0DI{|XrpMqiI{(-jg%Sk_}? z{RD>*%G@gj>oEuhBy{)#X<-ZH>oI5-km&0%X1G8C64!bRkV|~K`@m5;RMumFqRgjz z5;*M-;q@4Qogh(zWj#jQH*gw9DF<+`#{h+gNB0D9cp#QV(6TImuEzi+9*^!F;KYNl zn6mN!wt4__J;qT-NO)mcj}i7299}480;TIQK%t7agdHjc11v-_*JD&WKtdGDdJL8? z;1DHZJqD<u0B>1<)?>h07Vz~L8lND|7wCEnkOol80?T>~kQB@;{ubzZ42XH6RgQ@D z7}q{ROoXn-0BQ5+H3glOMC5vmsh|E4yB;G8q?EYz81^8g(DfLn9T4j=Kt4cPj{)YA zyB_2A$AAA{61N^>14t>p^%$VOKY0EBJQvU&uI_ynte`pk8?ZV28;+d^91s3rbv*b0 zwA|8B^RRoj2)lE42s;aSm-H*p>eSz|b@q<E4xkMH?BIoYE}AzlKXc){=Gf~1+5x~0 z+X2AddGp{)CeZqe`xikAYe8$%PVl?@c&!MUn`ZC42$j8#BJ0w5t@E;PZvqQw;+q|@ zF@W=TseI>$gD;p2KU{ogc&zyuBj@K5Uf7n`m;RtZwC3Nkb&3$Tu^`+ATIvmP8w)#Z z*&O@9S4^;#jIe{BoV#s6Yd65B6FGOA*j#?+*!)|zvqXiZP94)w&L5pDDoDnH7WJ|? z9(={rEd!dJVgW4zVejNox%j&C7&u3|8lG%^zz#Yx%8}pYBWQLHJR|4a%>uR+G*heL z+-+k6TIc**7PQ|Lw0_?Mv;dMH9?nlWk9Ov$Xdv1912nPI?V_U5?W3a8dA#{KduNS` zhKu24!^5CKn)A)i8Ji!ncY-8cR3sevU4C}fsK`L(-vc<Wfp^q~l(2Dr?u=0h;4V=K z_<jx2M3Xq~q9Owt4|43D#sfP0;sPX@Fka|9c<?7{uMTKpk)gRpMFO<{fq|8QfxqPu zXlVbqiwby>q4Nb~`@=(4@csbM>VN>y_5jE38de7Ifeazdza;9^dtEskF*iR1fEP-- z=rUY>zzOnW2>&)0m4Hqcl>iVUq|-$OydfRD6cafNIh?yiY#`|rw8H}yh9-zGd<NM( z@7R0*v<Cu|BtUy0K-=UwAQ8mToT9?R&fmfhTE+pIvjI&GgExeGyi^1o>+#O9JI0g& zv>^FV=f%sfL2E%kQ@b9WA3**CEfE3Dqq}zdfVMxpZ2l$T(s{1)ew_l?r!}mQW$T(3 zFF)tJ-|NZ)4kb_yI_J@uqY~lMxkLptkq+8qk>S9<?HFh~Ie4Dc@U273cgJ0zI05a1 zxYT;Uk$>tTm(Gjeoe)<Xzh80O#^}=eu(ZUr^%7{41Soh_J-SO&B6{7>!}xHo8xJ_x zL0cgXx^SN6-*&q3Cuq?!|CGay-wyugpL#&yh9k$l&ciMomrE24-$G;^_@^A@-*%dR z+W}XOYp$GEzF*}$s(98#@hN!wn<M|WW8bf~zTj^GEjR!ra&WB)ThoBF7eWFg1_~zF zUI>X!7Zn+g?i`hfI`v*(Y}+9uz)2!R7q%M$w3b4m+ebx)e;a7cD|l8CvKON9A;=@0 zJ}NSuEGm%Q5G<g<&jTzB42b#$bX5yz6&QGSuk#UX@n7a^NM-Ym540O%j|xbWN9VJb zoA?+QP^QJfD_20%g5c$R0pK`#q5THj{|0G-*;HcX*}V^JNpFaX2h18Ks5R|iYxaRO zfNDt(*nWsbZ~py%%?euY-~pc5_UxVpG6Shz0dZft@-Z;DcbkC95XZ~!K!FNMJWky* z7MEXx%C9;*NZDnAC=j2yaDH<H7uGiHpfm_tA;jMK6S6Z4ylv|1YekRF2QSa?GBALP zVdTABE}h>x@4Iw<1?y^l4&GPwgY$f;By8i>`x3t6ETCiL8Njjmx)kgTtmX1Ch%><D z@?mT?U3>=?g;fJChTlMilZguF>CPAx7O?pxtep2cO;oyBRGL3C8lGIi$nSExlLvIR z0%!|2c#RJ@4R*7rtN<T9;G!b&T6hCv%YjnxDGZ2}2;gk)+1sK5svSYO7&MLzn(GHu zlOS<WF$h|P5&`0XN<0uJqq{@}oC-TnaDL!#Q3EZbhAp=MMF*&4aO$=J?HmE^lF$H& zLDD^B=ZFO8JP~ZAAgI*S04I4!DF~`zbU=ll253nhh@k^2`$3ruvlIlaoq{9;5lhg~ zC9gn{Kze})x`qX`*ND9{M1`aCRP!ScgQxicbMr&yPRL%S&K{K(P_f?&SrzHi%PL|9 zX=ZvL=4rsw?~dRc0t!@yET+&GiZB2D_h>#M&|IV9!vNaaoB`fO;nDnqoxe?ohk*fg znNEOD_a5+0Vo+x!qMO6BJCp%wR065{16dk~yfq~OzM~?g`KJV~ttkm8J1SB@A)L_Z zqLKh&q;$Hdq&Rl-dv=Rqw-kIb3d%N)3b>^;%|B&vSz3W&X-&6_N=3JiN)0T~D`0_M z0}pi2EK6q(c#AP;mokX!)5|*D1QO^D_yc{-b9kV;K$b;0fW!Ma=$td8x(+gv3`$!C zp!%j8!Z&tN30T2cD&E}!-V|-@q7t%#5xn*iJo5tD3fKGyw6IY^@gnHtitZ8>na&aw zmDX=1`k<0bf%BsxXn<cu@#1S4kbnv(JC~>^G(Tj7MK3rdbaEh8`0%%Y)+7;(b?_1z zlnAhZM}Q5UShqll02@$bgO=S`fEYHN;Pp4SV;#Ks8^uxqxTPX^VqE~mQW4~BeV`mB z0E=}IXso-a7<Brmn1IgPF!1SR-DCuc>^<Ov3-HJHo~O|G-UB|QiJ?@W8*<(OIM%0v zPX9xRb<j#x%$gFk10G&e_CnSv!s;=rCy?rLKRZ%&*$Y{V{j!c7wAX>%x0?;rXapVC z#dy%M^Azkbu;zykG*7s9^9VS1hX}BA9(26?614S2w$9nH*9+A0<p8&QL0f-ZIL|uv z7J>H87=ZTvfL2DccYcI)AzJ_QyPWTQ|61Ln7qa5&r4Z<F#%s;b;Ju7i(2ir5&a<7@ zTsqHper|ru-u#TQ^)|oDXU=n_f(PF+8G^bfod3W@RB2B04_VM2Jdhhq;BI*4$oUb; z4WB?en$aydckmrk=k@02?4aJoe}0$iE{5koY1~AG6SU))rG%C9TBnIh^Cw2bliyfW z82MdJbz-Tkz8yq5G^#rUTEU#-yvE;R$il$j+v~*w+HDfi&FR}6i+t`Te8C5FU6^CH zjxl&m`DM_yMGeR98e<l4+gk^eCDePvI2^lWgb}-x9&>_LBx^Ju1BJ9PXfGwGdg9;a zqoM&iAq&LN0d*!o3r9gq-#~?fbGHdoC+HZrE#QSV&fOx6;8^UO0<IbAbRm&x0}n{h zRw&3C45Z+^*0}|&yt@Z%N;ibp39fUdfQ3L^Nzj6L!%Luuh06P=aPYhQ>a0=W0XYe@ zstw{K8<3OULsx`3cbhO?e%Jg%wsQ-(;DR|x2kxY&oPRslfR~auHXj1@3k1NMup#9f zwDjxl0c-9&2C*Jw*TEM|pk5Xz)|;P!J6cdRplZVfv<nxsvxr$AlwE67!0S~LK+Bvs z5V_Xyz>D@r;K3KfI#qW5w$FSR6(GSP5WHRur8IJYmqsq2_{Om((*dP4asicm4xr`J zAO`3}I2Xrmc2LC!A29Ab2iXV;&cEF<Mj%^2d)It=-B>Qa1!W%TUO$d*8!lM(ea`v2 zw~nXV#_IBO&cC38*+4ye&{p#1r;M#9`CVRi=BSi_Y8yx?t|QiY5S(Bw9J_4<F24uG zT#brNoifN&8MvvC#0oCJS&X3lM4N6G6^m{k6&qL$Y5|R-Q;;q{XrnZ!I}9q?75H7Q zb%v;@`1G<y=z^kXj|!+Z1+6Mp0L4-PN-RBm@b7;!<fs!!F$z)(E=G%Z(c`KDlo&x} zDJU_5>U4O`>7r5ttv0!jLkcU<Y#T^9XoU+%`8TL=2}l7*5Tu|Rv;?z6MW%ZVxX_0l z9M}0u@fT<pc;{(w|6Qa+15~LBaNgql$N5t6qavu4ETVYvHTWbl5y(CY0Z=vqRj(r8 z1+)VEEs~&qZnujH-bNL8DKbjhG62OoIF?NCq%8xKv}FQHQU;waDh42iNvDg7360Vg z<Rn3O@>&C4cGwL`Snxzu2TrEQ3F<D$x!}Zf9NfV<_?8K@<z)?c$=$*COt215=N=W% z(mS7CRyA!%$^q|K=!G1M2wK_mfq{VmCH*YC2TnhKKyeJNhCw>O=_i&OHT|@xfL1+W zR>Q^M4Q_i>Kpj7i-YH;Juxfbo9Z)q~VgstCA$`d$5P8V4S}%g{{reB?M@|77fapl} zK={xRO3)x7(pqQNZh7BsHq_%Vk?U_q&C|}^GLQ-!)X{hi8GGUHy9`>H*y{wU#UTS8 zpm9R*4qhiv-2&RDe+{(y9Z`#eyPoe~Zel>`XG3xWD9}I$4T16$sGDp7uBcz^z4Pz? zOCR(tdEntEP{%v~q!fBC-6W9G9iLG)wn198Ftb4gI!HqT#Oy?nhF@Pm>$xBi@^a6= z|Nk*7{m#3c*E;Wmy#gNS1C4Wj;5=6<eDE#P#rKeQ#dolgrTL&<7^q<Z3Ksb0{+pK{ za2|H-1qBQE90Ks>eni6p94t2uzF-1deepG9o8>otmurTHn;$cF${?B+mpf(1Y+9V) zyx`mUmA@sB8P>W0mj|FKC&Q!L0IAP&7_ku#Jb>A)BL^A@gOt}A-8FJZ?F-djXO3<b zHbnE{0VjBzv-vPjH;Wv!#KzyJHDLm!D$w8|Xk?EOlDc3WS&U!=^^rM{YH;w#9_XkA zcJP=UsF;PdT0m>VKx|NqbAWmn4B$>GXn|Pzt$(27t3a~M%}c<o5@wHHT^n^!POnkH z?AL-;B7^(2zMUVk7(-vU-Ga8dL0wy<UhPthvlaq;J70hTIii~bL}hq%3m}Cx-8!{6 zETvMXwmC(m0u%u??4Sq$RhytJ2Z{g?8x#Q*s1fk&1~>vhvY-e6tuq8gz-CoQ1Yq`Y z(IepK4R{2gbaVaD4sw7L0HB5kW;fTj^Bq||T_sQ*jNH=&*S;!{+85N*MeW@Bf>tO& zYG3>*0Max<9@ZE-9bC}CMW7TQ!VU^+a9hHsw*<7=qeMjjHSS+s`v*F3(SW%*Ma2XZ z>qnJAv5up&iyHASxj%wd(z5$@^C9;)kq@=Pa@vG!Cy}R3FqX15KV>Y{Z~h@i@SF+G zTg{Ic`CIwHy*1F$5<V&s-JGBkCNg}wg^*U@!Z#g5t{*`@R>DLDajFChsEwtD^;8KP z74Rsb3G7e_7XEEKDxEwkpw1d-7ZD36%)x`z&fO-UGbTW#sR!(w3E9pN6(7(H7wD`F z8~CvqpgvlSiU;(}8PItXpt97b+eHP`@$`YUu025AAIP9PWSYqbJVgU;SA)(6;ZOoa zUX6+ds68Ek+#d4mJn^FMD!AjL0~v|Z05^v6FgiXGpnO5FfCP_$qBKxB;0;tBP~hR{ z{BVF;eDDKlctGt^4)7sL+dx-~xTx^p8}%d5<H9-Wht}ijc2RNY_EB+xg(_&9DyZiR zKGq4;TXE@xlvJP&%M@@g)T5VojRGTsPcQ3S1xOGlfLfiPHVkqoztFw{4&oF@5GR0x z*aWS=0vX5!r4mSi58)fTs7OEu`#=M{#x5!{(7`^?0%!*0qWqhSN(CdhzXB?<!TpsM za964uGENEd7h`9LN<pWON=fTU{uXvd1_sU(&EON>OF&7;MWx{7C)9=?bQ%%89e3)| zzyB{ken4r*xu}304pLeHs!klh&8-*nK}w50p(uqk<v{fhs5uF0XM;2tKs4lmG_-s{ z(SXAl&LE}ss3$x?;s|CoC@Mf^Lz|OAAPtj#{{P?n2^<v1T~tayO1oWDN;(gIzo_h^ zQUDtE;dTU{X5(u3|22=R;eYTX-*MN@e=eOLTsVL5w{U|d7>KDap!@ES7P2XH#~4A* zs8NAu2pyyonm~gfIY=iofp#;ifHH(ar;CaLh@k@BrU%+^2|5H4&lo(mfl-*{h=EZ| z%Mk;kAj>faMnP!>xwsMOgbZgwG6ra4ibpT+WLZ#-*aO}n0Lm1gPBe0+02MvL7s0(T z(1tlkZywaM0Ot;Ow4rbp6$_#YAaJdQQUDpi!yC~<#`H3xhYa#EmL4)_#t7891kHKW zBF}k1o8sW+cejarcZfU-<YX+_I$2Q5TL<Z6tf!psK&@^YdDzKVpaIa%E#Q56ps~sW z{4N(dr+|CO9=*JtGT@@cO9m2<pq?ryATj#1XU~H}5lf#|2XvAf%Fr@6uN_C)<Oa!# z#x5!rD;P_qx*;d`8oQ|2tY9n!jeUUjfI-U=5Ytidm}~3*5^h({e~yaB92JkhW_C6F z@6!3>`vsTQ4<$(Xh8ZclfG#v4BKlguN05N?Bcg@12P_DU#5v%@PeJ)c8+5W6dOSk5 zj>GGcTb)zD>iM@pOzwnGATH>9(oV=xsx*yR(0Kt!F$<cJ_2}g-mx4s81|w+aYY+Iy z3-rA4{VX_E!TZ6%BNZUU;Jnd})`5X+D<mp>LB|MSgfB=C9=@RS1n`6}NG(eEg4BZo z6eQ6JIhp{(1qpYyKu#w>DygWRb3nVakir*qPQFJkFTW%td_gB*fU*oo6?*tiKLZY5 z&}l7@vInFX9KO*Q{S(lZ$!^H@YGX)fuV4ff1`MUb-7PAhZIs3>DxjU3;FIT2^2l*V z#be++;`sf#YwJJ$7SP?qM8y^8)F6zw0trG}RBOP8&ouv(03DYBa!nmLPoSUnHwS#~ zJv`1{bxr~614R<ZFzC7XATH=Eo6Z)ntRqG-hjW1`sF)*k03fuO1I_ItMHUMq=o%m1 zEOAI=fi@0;A`7GnEwWzLNrARzL8hJ^yV-p^-}!d?GSH%X!364FFqIk-*S!D_1M#=U z4)+em#TVc@AM9P&;5}L=W3ebG8o`|m7ZtQl#>p3&C&4XXlupL6(N2bn1GbRG+R<<p z0ksBrO++BUoB-O332NOUXO$O+j)Q|4rK8b7?T&`4;Wuz!161ZPln5P%^fI801kf;1 zcMrJV0q#73I~*=5C7`WTpiynkgP@e;qEZ6scN92w9(xH|BZIQ23%phc)RB<z=zIq0 z6+|5S_y1+ZN0eRxq>BV9BSGB=&`2SusSiE<O&z3REo#5RMFl*512P$Wd@l6pls`xR z{eL<4E1KD$rV`9-5F2DRw2N{Yq+#nfG!39_^Dqq{Hs}m`(3xrw4@?K?2}kd%fNB<) z9uOO(2UL|p^dy7yG-GyGTn)dubbe_5z}V`dQc%JTIbhe-@Y`!<*Us-Qoj+Vai=c!l z>7yW>B8zjW4%#WQpsopZ`Y15V5q%W&N-ahO(MJItVT;*E!IrPE_ETO9fHD-Up91N~ zAm=I1&XX^)kAT|>So$e3RP3j~yp8CmV0s(TPXT$Glzs|j6*zcO1=>>q*8-pk7Now) zTz+urG>;z=n2^2-<X{ryz<i;27#xyV`YN_G=&KxeZT$x7t9*A<gtfy!83)u?`GG6j zfbIw-s(l8^+Muk9)Z2viRUi?$2Hf|6x24hJat^p(gVHVobxJ@DF_6h5_Eo48yP)0* zO6)@WE5~^u(F*E<fa)EPI^<{t<q`LT;F!hIUztLS{t7INK^+Y|VGJruQNkFc9u&qP z2@?A&)CpryUj!wLp?#KU9!MC2x*?!22B||2<H!5KVT`5El0tEx1u3t<`t{#Hhv&6^ z=WnqDwbTg@cR{Y$!pIY#ZpIMov%rcxP=5p^!l3<@O<a%&gY;Wkz^B)tMcB(@y!7g~ zuz>n4ETu-o^;;mzaQIsjhkM5b`?@3Ku8U7E>kAH0OxCDqfEFF0bX|Nq55CCT18!5J zbX{(MZgxT%SaVU4AfnE2Q5jbABiO?hv-9H9%i6{cZXT4gLjpPhbmSOD=Y@4QIG|BF zFEc=mSfqf4H2Eo*B^i*;%j}*1{=ZZpq4Saj(hx~h=fxhRw1b4s3m-_s64E*^w|4yd z|I&-J&dV~8o+>gsFNZ+!PPoP)cm>AL?7U#lS(x1ypI%lS7EqRgbYDQZ3VoUdRD!M9 z4sJF;x-UH4ki}fhKiJFiP<MA&yae^33H8L!ZTt8CCEstf&M!zOsOHDg6Q2vx;E8^k zIo6(d(YAm8i7H63&GSH-ggGjMvHx`N9TR8;I%KK`Qu`wJpnQ5+qnW@(WDFA|K0!Sw zP<&#{_T1kJj!Z}o3KW?jm7vHJL5@tww9acCq{xH_!Qu#QMC0d{fB!*~$)GtNkdkhQ zY7*v!klK$#_qEc9%jJ-18?du5=7k`UK7{&O@RR`RM4_exP;bkpmo<_Bk_14#El?5w zDMW5qg0l3@&EQl3>1}~h0Z1{HQ~(->daaL=3P3`jumt5)5F0e)1nO}@hMYcZA}R+$ zW_m!zpmcvhy()N9AJo+v5?wQRlz{qNs8IsyocZ*!?)wLts(_wG-U~TS6g^7ZHi4rA z(m4Y~38*KBB}zcUd}vVu5(0%KC`v$VP?Ugz5)vh`8~^<$W%davCt~#E-ch|LN5xqu zoHMbM^}ONH3+l<CMlYx<=hMsj{0}60L0vgejsqz~kKXhR;OGS%6v|Kvie8XnQ1oV@ zmb;*{G0|6zmso;Ugu_;hgH*s)j5n?Wtr+KTVY>u66dStF8G8Th%cB<|>z+XxULLuK zR^x(1VTlIB1|=F$R~wROG}iz7|FYyi$kZ0_?rHc&Xmf;HL}&eFfZw<BZ7swp)fYjU zU`{bD|I5Je(i-d%)@&FXcGC!iMmbOM252Kg=V#B(Kl^w=TT(mkzo=OQS_ry(256li ze@g`?=xom=;4Ku#nm>R9yS+<1x`Q(ii*6k|k2@ax&+2&aIWwqM0_6peSKYd0tiTH` z9526i>4ctM+zHtV=hp3F1-c0YwD<{p>&Q*e3_}n2gk$Kv2|eKX=<aS%L&&3hGpGZC zZ4ID{3V8FXOSgz#Gw5z9$IGu=Izv>zmz&%GUAWQhqX)7H6zJfKPcDLN@=-~E-*l4F z-3^)z_ULW~<z^&1t{?o*3icCpK~uMh1=tGkoeKe-pPC;rg7!S00OeBXwkenH91E7t z5S4&V$j!x$m*2X8Ht#TY`ly6-cY}%>M7VVx2M3Rf;XSBD-OZpf4b)!(uRZJ*u>fCB z;bC|UY!zg{5@h88Mh1rN8n8_suAE0ZdsINvJD1-(a{g_8&e&O_;^Wf28FYTBOXmm3 z85Ayz9~?UmfUg@l20O6CqkA%Fk_TiTxP9l;&BEl;`4N1-fCcnglPM~oV>+F>LzqBU zj#ylN<;ZyzvM$%grF(V{D1bYWPeVBZw&F1C>J*Re$)F=hK~{hl{yBBCD1la|gVwY= zz^wpv8J)UAlt5NEAX(w!VtCD!^DL+>R-zK&*!+~yr87q*26R~kXhCKKa%uy0Kbjvf zx^TV#uY>_5LeM0aM<?ij^yAP}@(ofGGWtModjQ>40jf>GcT|8btzZD1i2eYyX{6Vk z1r*ZY)0R8es9XR=a&Ik*XKx{kM{hQZZ*KsLZ*PtYi%WNnN<z1bN{Vaie~->BDjwh* zwMWH)iGiU+6m*G(WAk%Hm(Cg$(B0!Nvq93Z^FBcLPk<ItfwrE5ECpTTu>fRI=Nc8z zX};Y$g3#GH@TQ>vpUyQZ7EGW)sx{zapdGtIL>VrF772g?8J5lhU>DqgkNW_pB#=d* z%mA_o<P#T&$&ftp78Ix+-6G;(lUl%03c80DbTI(TC?8M^f)feIC{T)b?6v_p4s=*9 zcz2{lw}{x~SDim0xyu5k(FUXu9QB@^w?NlwfRe6Dw}^2!B+WvztAio<#$d3gOH3JD z46i#jKVk%BWQWV|xTmOq{KxqTk`P=#MuHD{2VF4&N}i70CK{lG3rQ59xCP}89Zitf zTf^@VZ4t1mc)%BGfETZW^n=nK<T{p{;Cx*G3L{V;dv>1c*3pFNE&!!k_-#HVE{5;9 zr>KC!3X<P4AbBFEgpKohXN^h*$cf-RHLaIQ1-pGzGMXPkwx<Sw6XkIi6>z+Kb5RMv zNQ}OnSHbBKls6f=OQ5TVKe=#%Hm+H8p65K?S)*d((>+B6oK`?ra4<43lt@729lu|9 z;ryZa<NGzvkBTQiyRo}nRBXOqXmwGsfF96t+(iZIeMn&ps>wmg4|IPDWHK0B1QdXx z3zYuhx1bcj?j!+kJOm%o4@wS@l+^;xBM>t{2@7ln_$C!lR)Cv<dXoyMV*{!yK*m5) z6$?C7z37B=Ye3Eb<tnHV5J8Abrhu=g2NeULx)f#*D2a8m2t!lYWso@_X;5YXO=m*} zzF`J{*3p0rfOm<zAw`~JH;Vu${eX0X<Q%(2gdn;>JeY3KGG9<!Ll)CQuQ-8R=yDSr z02Sao3exDJQUTEwqEZ9e?@$0b3PS+I$^fxAKs&KP!2!Ff1$^XK1!!^sWFcs+4agJw z*cccXc7X`P11}nvgU8?yN08a`xAB6?4^W~8HH*MU@HlvM$EX;9E+YXAH+b~!2A#MJ znjd#~IT@rFB<s;V`2&dETceTyUQD|alxJTUEcy4p+eam#J47V~bOaS6bd}imi=ZQR z6wh&jD^p0d4GIQul6Uxaj1h9VO90H$*OILVU~I_cE+L>Y5R?~=gWcKfqY}`1vP8Vw zMJ1&5Kq)_@6ag*jehE6k49R&ONY0zM4Ac*Xt`q2XQSpJU3ibf43bq6Vt;K6+r0x{- zehP4Scyw=u`53zPdGXSJ|6gAH2f7&#;zslfXi8K9x<S==j*0~+Pj$ZH{L~qu;?Q}V z^L%HGic5(YI0rg_bKcMIS2<sR^Pfw%i;4?4|2dT8d2}~}Yz1#C>;&y^aA1L?jToeO zi7>qM;@Kk5p*Suo*e_mzw8TKyt|WW|oy^?~YOQ%R9sxDykai+^^v*5-)i>RsyX|{d zTmW6TqLBx^Oa*j(`-MgS{)1{cP=4KyEaTbv?!{)XB=|lKa1#qO)MMb$-2n0c;=&cs zc^@fYscw%FFzwOpfP4)d(*AmInTL81dyR?*;-vH%74YT=7Zo3{LERmYwjap#-3@3B zKqO<q^%A<V7Rbhes$Ni02sIYc+=Cd4*5*Sp7L)@R(2aFKHWrjVJ6%*<e0p<K0zlId z4xn2(EI=DKkaoNo9`NaW|KjRmNLSs!rx$!2Bq#$Wz>nTX+{E%16zY%&+c5()anT8B z_CYUX@#%c`;?|;n|BplVzIgPCz7Yg1OLb9+a5X&X*?AXqK^v%5?a_H3bbm*;kBY$y zPLSP*QXlN`2?ij;dqG#dd^0@h2re;RD1a1pzJD=e!N32A^x)I^8FX(CsHFnBr)Bnn zfB!u@kAd<#v<d(l2u&BLceOwQoIt{W6v&{`8#T1|fEPm|0vy5z<rm1hM~LA>B@)O? z1U4%`g)6cZAU?zjaM=!uiS7>K5)8N!K=ne6N(I938kGu=$u6Mse+_u6F(}Po7V)5~ zd*Ju?yniu!A?OT!)cahnFZlN#mLj?#3B(6{Ime5=3*d<ZRCiopVqgGY0Px<Y^P5L6 z>pp%4(9Ip79Z3c+J}v;2pDik&o0&ji0}fD+ZfMfj0~SYI1n`1s;lKZ&&7M{BkW&Zf z@_~+d&?M2K0=gdp$t+l^fL-kZk_J^Gpvnduwgl4#c<T&k?*z(yO?$vMN+7}(!UqL! zi%JdLa3a$N_$VGMR)EBjtpM>MR+J#DASP|JsDKW40|g6e^4J3wN45f_4q`<P$co-I zDknfCcyA9_B`8KgjsUd=K;eQs?BUV*{zbujP*T|fjs$4g0_x&`icZjN3=8KWQcdT7 z-_F0FI-qABN`g56O)%Yiz{>)`mqdN@=oM`REldQLCcEcBQjG>^FaR`Y0E$PXR09%6 zN;PNZK~jy&9F$bk4LR@Th3_1A(g9tH0SY5%GK3`^X6WTFAZgHwXHd9+tRz(5w5Wi4 zbXejQB#s>DAU-6}Q{aXZnRGz6k$~Kc9<LyAWGg^?h!rsiD~L-v;4T9eUx37stpM>M zR)Bi`po6YJ_h^7d$UrinqyusUDCvOwi=K2$=R%SWD3oDIr}O@cyg5io<~=CA#LPiS zFQEHp!3E5JZ~-F<KA8cWPA1QRq!Unc6BI<yXoZ(9AaSI0vSbb<oyg2WPA8x%0U;I7 zyBA8cKuP5|)Erpy0Nwn?)7hgU0Xk>0MMVabU5HB_;0_j+fCY&o2P}vW30NOQ+!50> zX;A?kTL<zxdh!5?BU=IDL#%K?SV3I!0G*bH%?gk>vK1gc#0ndb6}@XzR)B)4w?_pe z14<qsM}U$C$iL{xLu@uAd4NJ0DS7zMf+r79K?52~fiyf`n9f2;CJSK2$`)v`^4+KN zpGPmN9w$<LlsF5LVgzuMEFf{D6jL+{lw$tBcr_g*#dN-V@nbqDr5r^|DV+S<9C$&u zNI_Bx56Jt(r4-PbXKY~%5=RbW5FZl8CZI3|8%|_F0-6%SW(7zb*$NOJVg+bA3G74S z+9xgGjZ4_908LpTTLI!jtN_h%feI3E_o5fF^$C<xK#l;V6p(+>Q_7nekd(r~#J~Ve zDWHSuKy{E$=l>V7GY}~T+(doBJp(1BOn|18&hI{*?>u@%c|Z#i!3ByF$mHWKDhxQ1 z2S^+#c?8b@C6D(nPESQi9?*&h)RMh871aGX3=Twi$Hs$;f13keXOGGcMh1q?7L`Bn zm?YR#0nH&{3t*5qasY$)kN^e^2!pLBuCLPqzR3-n6`+A*WGg^?h!vnoF{l;96)2#o z5^Pq0#F4E4@gY`#rddGAqyv<rdV5qrGN5Dvas()ufc%S|OpZ>2Bok05!;(qo{}=zJ z!jlIm)qn~VXv6l&RFq`W08J*{YoG<ndyme0p!+F7-5PLm5eC_CyhR1nUj_LG90*A5 z6p%Pla!~;(@4WwF&SaG2()kTMT<~J)WKfZE4BCO`-{!&1zs-Tavj=?dZD)(h8_<v= zXlBG2Yv&iT;t=Ev^r`?f{(&5<AU-5mLGxX(`HyB${z00XfcH}&moH;87_`&?*<cVK zVlZeq0<K99B!fY#8L$})5=S-|#D^FRxu|lDN(D3_fMh@k0c15OA%Ogbo)Gp-fg}Xb z{lU<L0J=&V<lh$`CL>Y<q<eF3GD<1{4R3&3ACT^i=zS&z2G7px9-TK|h=9xm-B$@O zEha;{ylcRB)FNUY)Nodx0xB!MznDJ}<QNwf@Zg*RXh;s!Hv)~%Nq{(@rY(pg0OEk! zFCY$h<PKCdfky5?N3?+E9`=D25AFgHpzi;7kIt8%Z~!Gaa5ykAFuXWC`QLxYl#mBx z;s<;5!WVg<^?yL($gu$8Lt+7R%vN_dxDnXh464kr8w^@bfGv7K;>ZSr_z;6Z<wtin zXwt`{yBRbjkKJI<kP0?~LE^{;gZL1GL7Or`1xN-o-GF33;RUi96kZ^|p{JXcN#L{r zy5SU-UO;8~-icsgP^5!|K?Mk?)w*mVO1epamL8qpX$R2o7x*IEU!a6@8<Yk>H(G+s z0QYYugAxuXW&=QV8hp3})E9mSQr!9eMOr^7;edx$As6FWy#e1|2fYuE4`fc~{TK2O zWuQq5k6u&Rd!Q=v#jifFUQh<`=-nIvvg6WDd(eG&A0~ihet_@8+dT2#{|OT&pv>Qb zVi$5h!5Z*=c>5NB@54i$ksH0AVDx?h$P5_r{RAZ}3=Exk?<dG$8NHui^nQYjL4H30 z=t?KpVj2DxNzlxF_Z}5cdF_F?pWtK{WU&nFegcpt;_oNu?gmZ8w1G6iYy#g;0Ja4A zeu5;ZHPHJBKpH@!{P6n;n0heoCjgm&I99R`#2vk#fCl#yfNDq3L>Fk84CsCWP&Em< zk^oc;g4%H4`w2iL9*8q~KLPjvM^J+dG#ld6%UW_3wB!!kIgX$yTu`8b?<Z*N04*IG zy`SJ1PdEH{H1h8!_<k7@=$L0Wq69imJ3P=)4sIO1pWqQEXxsJBxSv4i5-7599NCBx z-!iSx_$Kjw0#J1XtH1bLVD}S%Y8=qP$e=NH*fQ5y&5-I6c0U0~CuqGYNX_W|1f%y8 zFu*PY7`gWoB%cFiSKRj#JZ}WA%o@F)0Jb;_a*TXujtbrGC)jZY6h)9(Y|uIjEcX*Q zHh^~tfKI`IoGJ!dI0HUaZ1jEt+?PHPJk?~V-%rqX3X*a_qt>A5bI|A`XcQ7OnvFaP z`GT<yoPI#V>5%jT(g99C;8}3+nkrD;4eEJ-mUe=6nt&=(L^TY%p8!@3gH*w)Vc{B3 zH4M3*0Hh041%u@;K{kzSu0^_^0Av87BiRG)0e23?`w3)gh`yiTQ}w_9FG;zd;2=oD z==}sLBkz8K<CWm!@j=~L(DC@7rG21-(&rol<#cTQTF}@(xL*sspI})fwADR&KS5`R zN)5^P6S!4?BLGyvf+7G^<$@wW_$VX-F#EXZ5ujQDj{uZz?&$pl1Jl7J;eG<IGPL^% zR1bq<9Y<#uHR4C_C%6Z?PkRvEPmpmC6nWsILw(SX4n6VWT?x43gL3}P==}sCD&VaG z$j7i_p1kAH%gb{Bw3eUs?|w)SW51uEyBHkAC@1d>>H7(^iip0S;D6!2|1U|opWqxw z19A5gECwkh<$i)9kcQFw2|8m`5NCg)AM&1qboM9cuzt+5KS3)%kTVA6!8sniyr1`g zas=ey98gybw37|FD+aosptu0s8v`xOh4jWi<CWmv*y#NPy}T=TgNv3`yCDGy>ZyVP z5~EM6n-2~}EPdM1`w75jgo4ha1g$C}@yt(Z+&lqZnEMEPe>~_kSIFvI-s?LdQ3_j> z3sQxiH^Otlu?ku;49OcH#o)X#dOyL1<_FLt??LNTk&e6vubl1W&EEkDU(hO6P?iCy zLJ!~X+2HU6E$4-VFGw*sd`IsmV4&yy1gEw^A`7wvxd+QRpC?A|C-~kupckNFJMA0! zkWY_ZUgoW!XasjMu-s43nE`GAqjWMx?<WW$;eLX}n?bDs-YJ_Q!HoTW0;P0tFr#!d zhR6K`J5v9Vc0WNoNCR>A6U2a&l5#(RHb?`B_Y-_i`S<@NiT4v62I(2SpMawq{cv|G z-cR7Y0hFO&{S@r?6P!*4w-vDTQ%3J604<a6<^8)3Tsr+*2MJ6_Uj@tk1dU1Hki^ng z8NHu?lD-OMC-}jRVh8m@P>y1U_E*%`LZTJa1p#gH0I5T5PoI3TJOLcDSo$lY_Y;8n zE3hyI^+iy^7}{sqy&4k6pl%2#j6v$q!`L|<9L8AsETi`mP`%Fri!jg*IFtwjZSwQz z<rP{5i7-gN1+rTZEy70cC*U05jtlmazLC2wKE13SD?l-c>wbcB(cm^UO4nudeu5Ac z2kc>sIZNWx%ld2?xOs4W86=>w-%pSl1rBJG&dcz)pWt@{iT4wn0%;)beuDWRrKH?X zkO$I0;{60xAU!1BPrwG!GkQM(Ih_~mISX@|#iy6GXE7*CLAo!Xm2c?NETF^8dBVZX z21xe>bhI*bnuWak3G~89yr1B2=)eCjiMyZRQYhrewTNyG(BA(Hk8S~^!*`Dz{KtCm z88he@v*C9?!S03NB680{NPL2NP@wq4nC-C-1xF^N2L*~ukV?=w*%aMR5E%kF#|5-- z61)){a%Sh~{R9jQRKBVgep))H6NP$OI;gkh)62SZJ|qc%dRw3*08)tDumojk%V2OS zfb_ONsQ{!HODX_u$45I1A0z~dV$fmuAU0@`Drj~LvP3l?h^QP0Ih7e?%!s(3KyDr+ zN<f`6P?Vr|&OlMJED#(ekj@z>N<fOSL<#7m1hgmt34y{A6eS=wC`v#_Qb3|)Z@}pN z1b<l%K4k`<z20r2-yNdQa{1lmSIs|(x*Qvx<3K$*)Eo!u%K7xNy3c_`FQ_XAie8XH z^c;8G9~`})gL8<wp8&M}8@6g3az6oR!8d5*7U=8>*oyIozMvK3i2DgZx<IiHQbW=G z1RZ`z_Y;6jg}ViOKLNxoqQB-K?k5O?It6w=0Z5Zauj%zUi2Dgn!`P5}31B4Re2V~= z&clwK=RG^Wd3OHw=v}YC$I9T*`MvX6=P8%YgPxr)UOe#n_rLiEV@a8B@6rQ&tPGCL zdj<Ge85l~W!HL~P^C#m?k516pFfN_HUNrfEkMMEP{Qdv1Pv>)&&VN3gpE_^8SmgsY zrPRQ)@#uyJ+zg=OT0!nNJPB9j*m=XF`3MK%Y~7b%eZlKdk%SIIeBg1sO@I}Y|3Il= zANWv@#$!KNKqq||o_s0i13tt9q7+O9do;fh=<WlZt>V$$2FkEVr>a1&AZUC9DqA%# zx^%NxxOB%@usB|R;duGAWAkH1kM3!pLqNKvTnrC)2eG(t-s-&m{kkLn)PtOt6@NEB zX5^o8!0><PP0ouB{8Nv+D4cNN-*&>a^OPgUuM$hg<_C<9&7T=vIS;!i-fz9sS);<? z(&?kZQ=;B_yHwecf7>A!&hxH{H^G7~iZ>L0bh@Z;yyk=}>~>M%=xjT{%D~X=qQcYJ zc7zq|ZSdJRjmK87f|?;NDjbHF3{SoY@&pH&Kr}R@z}}zW(cK0*jisBTv#)@SfuY-h zrL(OBbU|isorXu_u?RLu$T{vvVPIf*+2@TOevaXeVU8ie0Un@hEdGJcH)Q~wb-5L6 z*=_;QVXFKsoeT^N$C@)h9Owy7-5xC8FSc@&MEUgY1|7KqI-4xf3shY>aCo#HC}BVD z06rxB1&=2v)g19S4vtfg-pQZ?Igw8fI{xCN_rL#!-#~u!0UfLP;Kd2AfB#=gcyu>| z4h;Inz*y?$0kP%9JdkPKZn%zh2DuP)taHGB@UhMy*Td3XoMZgqZw@SsrB+BTUJvqR zH=2v1JWyRMx^+4O!%NTq|Nn#Z^S2cI|NkG!jBIRXh@qPyJClLoCF}qH|H1halxQWO zAua$KwC}wC!r229y}Lo3YzF?8+f1Mk{{RW`ZcsRMJF;{h`hH1S5S%w1cl`%B=nx}+ zD`-=TtKsd|1CIPt4?xbP^6B0U&Iu<D{*XWTLYnbJ=b?kYSPwp8cI>thR|RK=gN|Tx z_JTIpTz+c!jeqfZ&{5$G;8pv@mX69f;w%V(5)F^;W^g(a==NY~e#rR!Qs;^97Zfk7 zU@YMTZ`3h7`I@Qo1m_9Yj>Da&zF%rRRLa_U&av~POXo=!&YPVdTsUu(NOB%@>Ady* zk_+c8#Y2wYFS>A^Q@r;5nq%iDm)46VQqV{~&H}zV(xcbZW*P&-%l)8}!JyZe;5fz$ zmcP4247zI!ST4W1{J!%a=+G9>wc#5XT@5d_UMSW2c8HOG%E8ue{8JAo9OO9I`mIFV zfq&|;Z-*Ei`KKJ<IOwSG!O`G=EC03&{M!z?bYA;@4IDy_J3t}bdW^qyF9QRE;xAXj zliv<8f`ev1C{34?;5vm0t@P>EvFHx5V7dGje2%?GcQYv5j5W9eSU9gjLl?{g-Gy-B z`vt`ph9{dJF!H-z?7Z6il@SyS43;lSSvoIvUgtay3jJN6xNH4U%Ies8vh!5uMHkMC zE}bV_I4_ilaUOK!{N$*3==&u{&Wo;!7ahM}bnQIh(t41;r4e*wC-^EAkM7B!wi9Nj z4w^!`S&X_vj94zexcsX50VC)RmE$fdpybNn(cKMpJY%PeiU4;Y=*kw65;o35ogpd$ z=&@L$A^^(Tjyw1nz-KAHSmg#f5Cmr)2BmpW9{%?SoQFaA!K3+zM6_d^V|?u42|nFz zpyL!ByBRv$6xbOU9Kj`?3h0!>URZU(!44_(Udp?m7k3jJ!@y<TK0#=<JL3XABMNeO zr|GH5Af2Ftu$Dl?LB|AxPo#P=86p5WdkP}Z1QGZFy4MX{xy8NocgL^~oZlToK}9TD zee58JULOZIgKJW7ef&TWTpt?<;jE88fx@x#7)E_8<q9?hTpvGp4yliix&Hf)w?0mF z1C>6=RW!7g!R`J85p?%Ya01&5c0YqC*!=;bINfiA!~Ib%U{k>E7kCeGKN}(UFLfo^ z{SD&i?!Vv&wi)bx1qrbG3nXy5zsMC-Phf`sEJ!SZ-S6-X;(j+50^$D@l$gM&D8?}s zn$*D+JnrycAcgLJ0VMZ3NQ2!!K^mv~H@RSU|1C%?g56*67vlalX9Dh5#pQmF<83QI zwHO1qVFSue9*xH)FoUw0;mMbNPUsmXpt}z=Hs;aY20FqJcU!Jo#G>29f~E8N<@c9g zfXd0mr;7Mm50r3uK+pDnt=oC9`6FZNPlynxtb8ridVqiG!A=&HP8SuH5+06&{M$}~ zn4LT--7G4dZ4oTsh8Rm{TMXzN%-(&V-0snM%z*`TF5&}FIrieT{lEVa(9AJm!h`@K z+nzLWF9)RI$kN%y18F!y+shx2+$)XhUQl}v)cjEJ?ELQ0-3BVgyFFMyRkpIgw?m92 z+@KLXP~VH;b)?~e)|32G4|sO>gHB%T4rBpUipqxI8%j9AwU6P+*PMnYTQ7k^^;G9| z@ZCBbo#!}@b(W~`lt^%X2eouNkAJ_;d0g@P_e-1?6@P--$By0pHoo2N21r#8@&aGS zZW9T|?hpwUNXJs9PQKTf!?D|jVTS?(1B2#?&V!eqbN*{S%;VT?BXRjT=db2x?9GqZ zJ4;jqIzv=MJbGhPIDC3bR3t$6NeJv?VPIg`1tNBWHb*(`1SLmsGsma%dlqBx3r<IH z*&)#Fq9Wng*)G8jP6RTJo$WI03=E#V`$1>wdNv+s09Pwu?LM9Vd^<n9*l!EgsQ|8= zAZY^H9{1?(165Pqg591h#sb_PES#r0r=4JDVCd{S1Foy;5<D7@Z9tg#ve*Hf6@q=b zr-2$;Py-yhtsFu9l5S2%#h=}dEUtzJ96Jv?a$YVGapk<}*e%6*nVV7ZhNI$PN5zA$ znOzNUf4}b7?Zm=)y!knQ^CN!n#j76OZQvFphvLs}2Nuo?poSRdb&u|8V9_WRZYjmz ziZ>K*I(8oDJmtc9%BAxFsJSM}32LOda-McnJpBElBj*WM#Ty_i4s=ed;9y{I>1?av z0Oe|MM<am)l%>Ie6a8|zJ=iax0?eno4RnbDxW3llgw@wNpmVEx_d$yT0Zx!!!;>%b zZQ%MqdO;X>`FB7Tqx`c$l-~(*;PP*S9PaYZ9!L3S3pNE@{(Z3K0rzLy><E>A_TZF& z*`LMj{s#)^?hmjA+YGLM8Wh3qzo3ZI{h#cxyI%^){R++y_aCz*;QmxQlHJdsg6{qa zRtWbmPyxIDg9=Xf8{u$&6eJeG;UC}yaX%X&_b-LyM9lDa3<H&Ru+Ekcs2b|L|Kgez z$h*5i*F`Y!x9~H9I$NN7uNWZxC(O$X;ph6o@3l98^*X@!w{aj&X01`-0By=~QQ`T1 zq4ju4G{G~7p^a+PlZ|Us1dxpsfEX#_(YqT|ID-zx6L=jAzDpAOiOHn66Uk5x!%Ht# zTH<Y>gTe{aKtJ^l+&~9~A+&)GDgjZ$TNoPNX_lkmjYt=0;caO_L3raXUvAi;=g$vj zi2PY$56+(_>~ZGLNmkg)mt7WMQ^5H%<2WRLg5no%|AG~q;YjLV{BT5fzX6i_XE=e~ z|H28U`!9i#F_!+(FLQ+ZXPkq$f0+e=^3fHXfJt({ge$uH3rxW_gUk0Fu3-0bxZ!la z5DxcSA-Vs=RfzkanG<k-s|6?nlH`614|Ml$FhRKgh6mXF8lE`a9|TI)Si-*w5{uyU z^WzT0{c42Vf7G00_eXf6yZ?hR!u>zI!S46)!Rh`<<~Y(nBo@K$*LVVPf0`MA@Mk66 z{S|)b?l(Ykzl1;7{Tco^-G9jpyZe7ZViD~Ah}RJJFEb_JepfS+!+%B~y88=^5aDkT z1a^N%5Ki|C;c&kdlKVS8LEQh$gn;{7O-XkDju3SBZ!ko-KOz+D{uQA(-5+F%J^ZU6 zu?P<T9X}!NS0m*9qb4M~|3)~v`#%^U++Ps^cK?Y8obI1wg5CYQAh8H`{|g3QaQisT zm_YcmlJ5Q=QRwbBKyv?#Xt4WVMB{Y-C1dRF{{@Lfu=^!AAnspgM8N&7#w3ToL>#*N z3-l4;zatLpevWvY?ia%0ek&yRd+<Zt|ICnp`&*4jcE3d;y8AciA>4l>5$t}AB%JOK zGQuAIRghQ&hku0_#Qkc7+<(-NWcNp;pu7KrF2emkQo!!_NX6;?Nru?nzY7wJVE3<( zg}6V>fI#@OlJ5SBbaeL{Ah};61ML2c44m%2WPsiMzaX&)cK;0(i2Il66L7z)0m<P% zBMaUA1v-fEx5x&&zatx``-O10-wMh796Av9Khq=N{#JdG-M=Fj-TfQ15$=!31G|4k z9!~cM>0=N7Do8AX!{5Rf;(j$k?mwzWviolopu7Kr7Q+1%g<$ueD8%XhNqX4bzY7wJ zVE1QOLEN9FOCbDNNq7H`Vs!T#Ah~}=3E2HFN^rXWk}h`l|ANFK*!?pcA?{zML%{v6 zx+I6cL^-<q3p5eozoQ)NevS&9?ia%0ek&yRpYVXV|Cu%c_qXbh?0$<XboX!2K)C-# z71;e6)i~WBq=P;Dt01um4*wti5cjJQa{p0nlHDIsi|+mp>InD$s0F*<qYkJ0Cuw7M z|1L-@g59qX4sm~)7J=|*CEfiM4e0JSKytrCBiQ{JjX2$ZNejFCe?ejq?EZ*2i2Ijm z5^%q(7Rlj1qZ!@(1!{=!w`c*ozoP}G`-O10-wMh79jOraKhq%K{#H$r-M^y^-TfO> z5$=y@2fKeoJ5KipX<`rmDo8AX!+%E(#Qkc7+<#PqWcT0bM0fuO6@>dMy1?!~(S_6f zlQgiqe-|Vc!R~)i3~_&&I)U(KCEfi$deGf(faLxey<qph=*8*&OX}F&{|gd}VE0Q@ zL)^bijez@I)kzM2i3#ZLFHlB=|BeY@_j63d>3$&`?zcj6zef|q{m)bhxW846WcOQ4 zMtA=PC4~ELOa{AOV+v092dQBX|0+l<g2TU}6XJd~Lhe7RO0xSSrlGt4gCfHHKc<1* z?=c;x`zNVlcmFO(EP~y?VgkhdX(|N5pOtj?SIk6rzX6i_C1!!$pD_!k`!A_rcmFR) zEP~yCV>-nB%ajSY-&KX=@SiaU-Teg$i14?V3wD3UT%7I~!r^`^B=>X7hq(Wl5&`$O zDwFK~9rMxMzd;`1{)h!&_pexh)BQop*u%dH5{uyQw^#;ozZxO;A5|jR{Wlh&yZ?h6 z!u=JC!R|k?7^nLuDPed2E=Vkb-Jh`*;{G&60^!d}y8C}DMR&gelKW>Y1H1plGMw(e zq=?=9zaX&)cK?hm5ce-rAmDyiMUul`VkNr!3uF=DzhfoX{T!=sx?c!~`>l}Ne_}Vp z{m<kHxW83_WcORFL3jTK8HD?9tO2`UV=Yei2Pt3=|0+l<g2VsEA&C3c2)X~LJjw2l zSdZ@h57G$t|5y)pzsClg?w=%&-Tk{Du?Tj*#wm#V)8q(*KP&0(uh@j{egh=;OKb+a zKVvga_g|93?*3npSOmL2;v&TT%VY_--&Ky}@Sm|2-Tehpi14@A26lhPHk|Gk!r^`^ zB=>jRfVlse3<3AI%98B<9Xrt7zd;h={)nAm_pjKA)BQoR*u%dH5{uyQ-*F$}el<ew zKPp4A`)}+<cmD?og!?P@fZcy$4^H<_lELo&U65D=yZ^;Ai2Ku|34}i@>F)or58eF+ zNbaApAME}Y`*FJek~DVr|ANFK*!>dkAnso#MZo>8(j<q!#36L|7l<Rmf5#!P`#BEd zbiWV|_gf*k-{UL9{m&!`xW843WcOPfMR)%OF@*bX90j{y;}}l&2T5TM|0+l<g2TV! z55)aygxr5rl4SQsoIrQ~2T_Fkf1Cij-{T}s_fL|<?*3hnSOmL&1v4LH{!4;D__LDk z{)*G+?l(Ykzr-1^`!mkqbpIs@?C$>siAAvcZ*W1}zf7Ef`&}hS4*wbF(A{4kf(U<$ z^I-RPoX6>YAsp_vLUKQc5XAk@#0a>*Rh(q^@3@HW{tdzi_eWd;yMM(cobC@2#~%Jw zkXQtVzl9{k{c42Ve^iWQ_usgJ?*0!#2=`Z91-t*mRh;ghB!=DnyCAU$c7KKf#QkZa z1j3({boc+bj_!T~B=^s_0e1h38#vv6Nff*Le?ejq?EV?*5ce+=A>e*jQIf-7;x@Yb z3j`72zvDL8{Tz32x?c!~`>l}Ne?kx9{%67j+}|ofvimLWp}T*B0K)w@?t$H}aUZAq zgG8{0e-$Ja!QubI6ykn0Lhe5*OtSkU9-_Pd13$w3KOTbJ@9_wy`zHxwcmFO(EP~yy zVGD78nh=5TXC>YJ6;IIJZ-C@}iKk%qXFSE}{!2pG-Tw;`i(vOhxIo;$Opt*4U4=*v z{~6EG-Cw|m2!D$gVE1>t!0CP=9PYP5a({<6#Qo0%2)MshkYxAoc!lo%4ZH~VN4y5R zf5mH@?hg{g9{yF3SOkavjv$Ep)d;!&r~t|Czws8`{U3M`?yq<UcK?ZYINd)<0K5Bl z@qtYNyZ=Qb#Qkaf1j3({boc-GfbM<+B=^tw2zLLAk2u|bi66WBe?ejq?0$(vi2IlE z5pcgNKgr=Q@de%e1>E3}gRKAj0(L*gSDfw_!r^`^B=>t{K-~X~mw@|Q`ABxZ#dmb~ zZ{R|>|HgN)`!#;xbbk;Z_VBNQ#3DHSEAk=kS0m*9qr4=$KjIg<`#*3Z-2dYj*!>>A zak_sJFLw9ug2W=&{VU2K?oZ<(5dN&ByT9Tuy88`~+%NGD?EZ{@INg7V2fO=!L1Gc? z{u{Lr_b=ln;C@#glEZ%n0~^|T69pWI@V8)O1D!X~!N`W|ya^#3?zcj6KSwLX{m-}v zxWAQ~WcTl2MtA=Pc7*#QSitUI!GhEMLEPBGzX}qI;PAKTfw*6dko%8vk?j5(Z0PR) zz=m*t1v}XNC)jbie-amV_wRzlBG~;IlOgU;<0KINtfagD2PeAw4UpVFgA45b7hE{q ze~A;j`+q@V5$ygMvmowY#zDaSuAC%?zXUJ3`wLhR;lG0y?0ybDobDIG;eIP5_n%k@ zasM-R0`70+AldyE0_g7Fz=Cl94FRzGH3V_GKZpZ+_*X$<5gh(MRzTdZM#%j~*-3VP zgfP1MKQJTQ|3et;eh(3x?w`bt-Tk{Du?Tj*#s-M{)7S`vKP&0(uMk6bzX6i_CB(t* z&k)Dy{!47w-Tw;`i(vOhY=^ji87l$zyRwlS{xc-e-Cw|j2!9JHu=_itaJpXzhx@IN z+~2Ve;{InW1l-@sO0xTR$e_D_10%xy5wc+SuaL#*{vcND;a>%bMR54<I0|vU8X@-| zWg*%9H{{XX|A7JF{t5-K`%fs~bpIq4?C#$MiAAvcUz~xsKaH6{__LDk{vS%{?l(Yk z{|sfY`(G&IbpIu0?C$>siAAvcC9Xi+zl@21`(2qy4u1(XboUqh{|i5FYlj-x{T%8z z-7kd0{Z>fs_qYvl|1(Ac?r&ux+5Hxp=<eU}58?hBnqc>9XyJ5!5EJ(BuY$xPIQ%Of zLENuK$o)qdNp^pP4!Zk4{6)C`hYr~N9=bT)KZy~$`*%TN5$ygIFCp$vV;~UztfafY zLLc4z21xFgFaWzh!vLrIFEL<u|1U@^g57`P1H}Ey{{O{)K8z~^$>Bf42;Kb!e-Pns zVGMSEhcQm~3*m6T6_Wcoen8y+>>mO5xBmb8A9fTNk>{)IFhzI&hTjPHN0@=#zrqZs z`-A@f{qKow|05(8!QpT5AL4#BLhe8Mk7W1Xut0bJhhGTyS6G7Gf5H-{`zQUw?*3hn zSOmL2gN+|D|MizZ__LDk{vX!p?l(Yk{|p<j`(N1LbpNHl*xmmN5{qE>&)|i)f7u@b z?sxr5a`;Qwqr1Q0CnEfJ*n{2A;egZqLO9%Sh2;JdA`tgK`%S?8t$#>%zl9UJ`#1bR zxc`O|*!>#LINcxg2YdKeL1Gac{y(H4?pGt^{-eK1c7KE`y8AzTN4WooE7<)WZaCdP z={I)w?}Ef4*!>#H5cjA3A`t$pq`SYu1Ks@wNbZ;L1iL@O6Q}zx{lf14UyxV?yFWq; z;{Ihn3Ao?&7s=s2!yDcG1>X?iZ{Y)We}@lF_Y2{0zZH`EI}9Q2fA)ib`&)mK?EW2o z=<eU}72*B}f3W*k_~Uec&`<2)Uj>OpaQN@AfVf|cko%ARAldyl0@2<7;S0k36+vM4 zp9sR~{z*TuyMGrX7Qya+VGnVC+IIrs&q})ce}tgB-vG(|GeW`ce-Vn){g=LDcmFR) zEP~xH;SO>CvTp?3@A{qO@Rx`{cYncWMELKB0K1<f5~urxaJb(J$^9OF5cfa(O2GZC z-$-`9MKrqmH+(|4|3);}{TeYi-5>M~d-zvDVi6qv6`>IKs}XYl(XS-CKOzp@{U1Ie z-2WpE?0%1UobI3W6}$U)L1Gc?{uMD0_osa!5dN&ByT2k4-Tekg?w3dcyFVidr~5B` z!S4QFkXQt}|3)&z{mVWRaKGyplEZ&S3cC9XJ|M#1A{FfZj#QlP7sBCwD<t=GWJBEl z>=Oa^w|*ws{X5dp-M`^I!u=5$VE3=c!0G;=&)CDi3KEOp@V6*}xL=Ks`;UGi+5I=N z(B1#x9m4$;*<kmd$j0gZNuRL0e-|Vc!S2tfgt$NLBZ2T|CEfi$a?#yyfaLxed0_Xy z$iwOWOCPbj{}&_{!S0{Y0CE4a4+Pxr`jO=DmncMcf5BTs`0pqLyPu;7r~8F)xZeuN z{U_QX?tk{4fcslNknDbo5_I=(c!O~NjS{f?HA->1Kj;JY@UMczA~^hi^g-OOM#%j~ z-;?bAh;nrIe|U{>|BrI8`#mafx_{Dp?C#$MiAAvcHKsw_pZ1PG__LDk{)#Ge_ZuL& zU!of9{)}pz?!WX7yZe7ZViD~Ah`A8=FMCVC{jTpw4*wao=<Y9gg$RF(I<WgY>TtSW z2#5Qvklf#~1mgZ@ZwR=*^)1Qn-_d~X{tYh??vH2$yMIL^PWK1B#UB1ukXQtV|BlrV z_p1?d|Is%jyZ=Try8A!8K)Ao61?>J4EjZmj=?!-G?}Ef4*!?dyLENAAnn3uolJ5Q= zZRqYdKyv?#cCh<jwBvODrPtWq{|gd}VE0Sxg1CR#D+2C!eNA%sOLU>Tzu-9{{C9ML z-OtgD)BQp?+;4^Cevg9?_dk0{!2PYSNOr$PFS`3TJVUtuMlaa?8htq3AM^@)_*X$< z5gh&%Cm`-uBjo<0FG+TP!~}Hre|U;;|Bne^_j^pl>HbMCvAcg4Bo@K$UvVDd{<Iea z!k?9N_g73tcfSFW`z5A;-JdZ9r~5Cx!0!HEkXQt}|Hd_l`<Fc@;C|N^B!~ZuY3S}R zc!CIji|JtZcTC6Wejyz0w?cA1$32MqpFJbs{?_LtyMM<_boXy~jBtO%EU^1m%);sZ zpy$}bzX}qI;PAJ23UR+0A@?7BMzZ^F%t3emhertaSIh;w|HNFJ?w|AwyZd)RViD~A zj5iSXr#&SQ{;Z_C|HpiE_ZuL&f5rl^`(G@;>HbSkvAh2lBo@K$pYa*u{$)=HxZm|D z$>A@t7~TB^4-w(NV=>tM97}MzUkHc$t&rS*;upmI&mI$Sf9n&H-EXlB-TfOLAl!dr z8QA?A%W=9t=n3}luY$xPIQ)Mw3V`R2)Cjr%=wp)IAF&eM{U7cl-2Y=G*!>=>aJqle zW9;tV1&Kwl`!zTr?oWF}ApBWLcYnniboUz|xnE)}*!>x6ak~G~Bkb<~1&Kwl`y&J( z?qBwhfcsq^ksSUr)}y<>;2t9UEjEDN-?0Iw`-O10-wMh79pVu8KYKvH{jCp4cK?n| z=<eTe7vcVh&0zPh*o@QtK@YKqe-$Ja!QsC{4&r__Lhe8MfMoaI*oyA{4|fpmuh<55 z|A}ol-9PC8cK7ds#3I=JFH|A!PrFYb{8>qN|BoH$?l(Yk|BRhr_rKVQ)BTt3V|V{A zNGyWgFQE%@|FU}o-0ymy<nWi+gYN!<+lcVru?Osaj=ebDFNDMWR!HvmFoC%L*<Awe zZ@ovd`z`jPyMMzig!^yo2fJV608aM@-NPRKRghQ&hku1N#Qkc7+<){g$?lIhgzo+i zHxcgtaR}^wkHa|KKj|)Z_wRzlBG~;aoFMK`yF(!SSxI+)#Zh$k8z8w~;uzTd8OLzC z|I!`o?*9deMX>vCctYI2>^1@SyWSx={AZj%cYnbRMEF~r1iQcEBu@7W;c&kdlKVLV zA?|;6i-7xEZ<Fl)9jDRVzu`K<{Sjxt?q6{Rr~89$V-No-NGyWG-y#Cyel<ewKYEK~ z_un{&?*0$g5bm!y4|e~F^Ell<=@xeP?}Ef4*!>yt5cj9uBoO|rq`UveMRfNYAi00W zC9wNnT*B%8OE<B*{}&_{!S0`t266wg8wA|%dXwbvm$-`V{(`HB@ZWJ2?0$}GINdLV z!~IrB?mv+WasRXH1l-?xgJkzx+(38#hARm7-?#yGzs60R?hm?wJ^ZU6u?P<TA0-g? zs}XYl(d#(e54zn&z@zhXx1Wtqx0?adg<;SuTO7Mt3>>>l3|L_IwRl{9;c@vL=tje5 zj2;J{DR^)m^XM#5;qd6)+`$OC&uF@ZBL{;=Z;cAai@d9U|F;|{F$CSy3c7)%8FV2S z=&DvQXA4+)wT4II;Ten!42G8sPkMCTdr^56>{iG{t-&6hpZAGCuR)2w^7p?-?{3fy z-yXfDvC1GlFRUPfpj(DLdQBa`g5aAcUx3oPNAK<*j0_CXFF#-V`+vfO3Eh1mAX~fJ zK-bnH`4;`MNyznRmtS3e(fo)J<l1Qx%nS^jZ8D(i3VZi~FOg|H#sIsB?dTP-=^*ou zK+Ff-3`UaqC&1>PftU}y*=YmJ{8X6vc<w9Nz>c<G%;6Fuy;W>v2kjR-v5_6uez8Ya zv8OjKNU8;=H-{NQ;Qr>eD+KaOC?pOs?-Ro9{uz7F-QRE#;eLy~VE1?I#p!+}9PanJ z3^oPq{v9_U?*Dd~fcvL{5*2Cp3GUdB?*0Q8z&3;Tt416EyMM(2obFG$f<64ZAh8H` z|BL$&_nQ%N|JBRjJVZ+P-#CQseg-7>R~!br|HNUO?q75nyZcW;ViD|qiRTdamt7(d z{-UJ2|Hn~u_dA?Ng#V0VVE4Z`hSU9zE@5{+7n1uMzC+x<?IHp9hh8E%{3TAJyT9Qa z!u>l=g5A$?3a9&(aJb(K5{uyQ-|!FO{%;ouxPR(JlHG4{2HpJ!&LZ4@;|$pS8fS63 zKj|X&@b7}eBG~;8ScSpmj~OBNU%f!G`y<YyyPpBc{Xfow-S2S$r~4OO!0!H2kXQt} zUw{|l{<8A~!e5kh_g7p(cfZ3KMEFZw2D?AwGEVnDI*;A`TuAPB5P`UV+c^U64?RzE z_|LeC?*4|;2=`lD1G~TD8cz2s;c&kfBo@KpUmy)}|F^RQ+&}dk$?o5A1Ks@xP9fYM zaTDzR6*qCZKj|Fy@b7}eBG~;mv?1;{Bjo<8XGwPdjoaw%XFzg)#T~HwPu#)j{zYf8 zyZ;m<7Qyc4Fow9l><oeM7bV^OKklKs-{B-8{Ab(;yZ^;~obG>g2D|&Yklb%!1#$nj z(*)cfdWPiimw1Hk{)Q6>_wRTFc0b2sobFe`;eIbjEP}&7!x7^CZ>I>jf9h$H-EZ*} z-TeoSBiw)EDcJoQ&v3dw=`{B6?}Ef4*!>p*A?`OL<o>ItaJV1TpJ>>Bot1%sp+wMQ zzZNS40}lfOgW<OqOvnHJ_vvm9a0Okm$^dHeHy5~p*bXdUPKg_+bF=$_Jc!yH;Rfn_ z7@mCb`xvS=rp{&$ur?2ti!UJTi!YlWGIn<RKnw_Q1{+}D#=ro*1Ng;uG&5K_n-!pD z9DKn9;T(L)1U5wlV#*4TGa3(bK%L>yc`y29!Lh&p(fp^2@ShUI5(y;#dAK9_&&M6) zuH69&AZoLLJJf&DXxc!|0c(T!Pr;pm0qQ>$hyfBH0~!x=Kn(!9?AlRi_h<r|`wS88 zYdQ)J$OkSUH$lQ*!2`sGhQEpj$YHw=fQ;|m%;5obUp1OGrq1RcNbUo%q3-(wabE|> zfX2fQklkm7%Y9i0_dPlSc3%Oq`#h1|=LvS7hBAoS%;5=j-)%H)Aoqd21qr_&9`Nw{ z1M%PoC9nYxU>=->W&lfP^985@;KTvqz!Jw5h!HzLMl>GY0W|^~1;IzaQGgcypzB9q z=^tW`1(N@MAp7qR#D6m&{(AuP-|xfVV1a96>TJFM)&}t(hz$+@D-Z(`Kn64(-T^fL z?6_@*!H%0S0X=<yt`~v2F9~811G4+Pki!3u7bx6zJE(xD%@4ew;UA5r4dgzsHmLi& z;NgD-VgLukfE_Rcq|gjt>1<vBH2@s`APy}2*FcQ80P*4ks1aZ<UOoi&B3k%I^1#cV zrbFO>JmC!T6D0j#@CLD=>Hms1$YZ-b>_F7!9o|s?RikNR>TF&C)&}t(hz$+@H4p;? zKn64(o&Yrf>^L)s<1oWN2I0O(2f^-}fb2dWWcT@i9Jc!d$oSsPJA9z-yN#v|<UX)A zsQY~2;lBoAzz&E36JQ3+LNkD+v$+9k066?X99a0bK#XVr8PRw+18M}=i@^uM;SafA z*TbXpvuEc&kNw6B3=E)K%|Ye=!N31Kx}6QWy)C*}I67QZ96UN7`E-7N@$=Z<{~gVs zQ6!(<V$fjK1MpxKc#O$$M~5&2gHPvo(7@G(Lx2D80`Yf(2#BCZ^AV3|$GDeUAl6TC z3<tTO^Rvf(6NJ_62mby)*1Uj;fq}`Vw>ZJ4cXtO9DEPouxEdbt>HO}~`R_%*A(%2| z!%JW}#~l`4VCzBBhHz;nxb%v9Flq1|>o0lX5e>Q=e8PkYAlEd%frp1V!cm|0gTkZv z1Oo#@cQ<Hs4K-9A9|eVq2QveMPw(afpaHtZ!v^5tDyYjAv@ya0X8OUu|964-J3$0$ zz|1}Xju)tNz~SQ<5*z@FAS;A}68Hc8@7diJ6ClFi(b@a~Y%_Q)(5H9t4T#Mg3=9n5 z@cQr5`Rs+lL9m7tga*S)J3&eucVx)1G5B<XRsA{uR+WQA)e3D+n5rufRV7$dX-GN1 zRIP)ks==ZvL){sssso~`1&gW`CT=iQNf1>%SX8}m^n|IhfvB2-MU{rX4@{L9MAaND zsxo5yVX8hr0(c1)RVy-sV5&|*RIS0H>P1;7R26Kmc${PW%bENC{{PG`_bb?=^Y_ke zpwZD@(blt|!ot*#pMl}UPEbPY-Th)B2g3_p2wPzi2g3`+{eS;Q9|r04Xnw=t(QB#z zQmqYA(R_phECDjoqj&p*ZJ+_?{X3R%Fk~@iF*@#KU|?Wy+yNrN%v~VXi-jPay_;w3 z0x9180l(rBkmBYe3Ld?iZ|neT&{z&Oox^b_JHm7j6JokENJH;tkDXw}5^KPUaXCc{ zq!?oQkL_R$0r)jM-3J=^-rNCE+<{;54v=Dq=@}5WAHc7n9i*Xm^A3pHf8bXf1yT$# zT>}!725a$pRuiH@01}swC^HS=Wng&G4+@6P`!5Xt{r?Y+trvgy!Qwm=G&8}``P-xO zD<}>dz@hBXYr2gWlyYoA)QkJO|Ni&reAjvZMeANr_}Hp}OnnIw?X`6RvCr=P3pKfT z_uv1a!C4Fe$f?7lmp9}8|NkDnqEr8ZDAN!yWvd6$TL?0z`G~^)6b1%{7l(JlOj-%D z3p6$ydzgU%o;*QG5|qqF@n{H)hQMeDjE2By2#kinXb6mkz-R~zU<fdRhc}=O$ok;` zW_28f&<qR=*DnA4-+ATlf1xXX|9f5e`#<2y-~WtYMW_T!tb++O9LK<5!3?1bcp&r& zQ3(A&4nj+4K<EVq5IVpRLQ4cd=m5~j7Xt%BM-qfz&;p@nOoGr6bA`YT;Ft%YCoF@| z4$C3*iS-b=VgrPJ@CHI#C<=r18Ms5}8v>vXKLdk<5QJVK455FBLg)l>2yGw*p=U@# z=ngpuouLAu4fG)N41EX<GY_Wz!+%g$kb&WW2t*$22nGfQiO&#mgD()eg99QDbH@c$ z2!F#zh<wFw2n}=B2?<pB5Avvdn0`?FfN(`|X0BdJW|4kLQhZuwQD|OfNqkajMq-&h zSf(g7CqEhFHZTvjy5h2u%7Rn|1_lD^l8efUQ%Z9S2&v0W&1J|e&dkltWymcC*$)~A zV3cOI7T{xGILOGr(6aXLe-}OmhAkWa{?FlKU^uez?|&T-f79RpEqn|NPd5Mke}IpH zp=8V7|9|)x7*e+W{cpj~z%XU&-~T@R3=B`U{{5f9&%j`^?eG5<kodO0{}=ExFnrne z_x~P#1_qZMfB$m`Fff?x{QEydfPrDkuD|~m2rw{w+4cAT9svf1ko|xEzW~V}`1{{L zkbwa--cuvUz>sqA@Bb5m3=Cfm{{1f^#K17+(BJ<FLJSNlhyVUxBgDY4<?!GCKZF<< zT#o$x?;^~=a0N8XF3iA?a`f;2JHiYMUylC$uOY&~aOBwE|0N;}3{#H({eM7&fq~`J z-~TG23=CIJ{rz7c%D}MX^xyw`L>U-L&iwt)A;!Sqa`x~45HSV@k#m3lFA!s3cyjLV z|2JX`3`@@c{cj=8z>sp`@BbEY1_qIffB)|gXJ9C~^!NV<aR!DXm;V0ekzimDx%~IP zh6Dpc%H_ZRT_hM7wp{-EKS6?lf#u5I|1}Z}3?Wzk{+}Viz@T#V@BbeX3=B`M{{0^z z$-uDW_TT>pBpDb?p8fr=BE`V)<=Nl=Em8~&Tb}>@|3r#`q2$Hi|1Q!D3??uC{$C=^ z!0_ee-~TK!3=CUd{r#UJ!@yAT`tScEG7JnRZ~p#Qk!4``^5*aV7Fh;{EpPw+e<I7k zQ1b5Ye-}9h29x)H|1XhaVEFR>?|&9~28Jyk{{ByqXJ9D#`1k)2c?JfPPk;ZbC@?U5 z`SkaHivk0~md}6xKT%*{DEadDzl$ORgUi>y|CcB-FtB|4`=3RLf#JxvzyDK|7#Lc< z|NVbNiGji8$KU@d$_xxFKmY!3QD$H`^7HTiC&~;AEx-Q$cTr(raQXfB{}L4j29`g6 z|FftvFdX^w_kW5i14GN-zyFV@GBCLO`}<!-je&vX|KI;DY77iV{{Q{|M2&%=h2h_S z7j*^(7sh}8m#8x^urU4m&!WM=aD?gK{}c@dh8E_3|Bq-eFu1V%`>&$Oz`(-#?|+LX z1H%#4fB&CoGBC8T{rm5t#lYag{_p=1Ed~Y_j(`7Iv>6zVaQyq9qRqh2!ujw25p4zr z7p{N*Rdg5_Sh)ZFZ_#03IKut!{}UYsh8CWG|6Oz$7+iS&{a>QXz`(-y?>~zk1H%!% zfB#eT7#Ldk|NTFr$H3qs@bABhJ_7@b;J^PZ`V0(51pobi0^)-%QZZm)U=jKEe}w@9 z!xxc%|2Yg97@mm!`=4RRz_3K@-~SVa3=Apa|Nd(jF)*k|{QKWw#K7=G;@|%lMhpx~ zKo@ZtGccq`{rkVdn1Mk>`rm&J69$GS(*OQvm@qJu$o~6(#)N^vMDE{z9a9E|FLM9> z_n0y;Y?1%>|BWdFLy5w_|2}363?_>I{;x4(VECf=?>~<@1H%@jfB$pL85l~G|NTE> z&cI-z^6$Tn1p~tum4E+xEEpKJsQ&x^#)5&NMD5>yA4>*?67_%o*H|(zOwsuFpT~-U zVT<O!|2b9+3}3YV{l5U>YybOiV9mf#qVw<n1ZxI{6y1OSKUgy`sObIsA7I14@I>$5 z{|z<_3`_L?{THxhU`R3e_rJiFfkDOa-~S7?3=B^U|NS?xV_;Zf^zZ)!I|han<A47_ zQyVHK|NaNqGcbHH`S*W=Jp;oQ(|`X392gi%%>Ml^aA06CG5`1ff&&A?7xRDr4ICL5 zwpjf8Kf#fKp~Ujv{|}A~3?^3p{s%ZQFnqE4_kV*E1H%^UfByxX85l}z{{1g-W?(R} z{rCTZGXujH+kgKJTo@R(*!}xI!G(dL#Qxv^4=xN0CJz7pgQlpzIQ;v+!Ignwi{rol z0&WZpB~JhTgQlxZod5m5;Kso4#rfZV&>Zd-mw*2!xHB-6xc>Y9!JUD@#O>ez01pO+ zFK++-Z}4DX*y8^0zknwLLy5<~{{@~53?`oc{)6W1zIguoZ{Wqiu*K`&f6zQuiTA(% zAG{bCOnm<R5AbGS_~P^L{|0XchAqDT{tNgpFocBt`(NV2z#tO-@BbAa28Ju)|Nfi! zGB8Yu`1gN`F9SnJ<iG!4Ky=i<{~>-13?<S3{_pT(U`UDi_g})FfniDPzyCG<3=Ao8 z|Nh_cXJAl?|M%Y}fPvvj{J;Nm0vH&UB>emT2gFbO_dg+!fniC~zyAjU85mNM|NU18 zVqj27`S-seh=Jir%D?{)f*2T<r2hNw5X``klJ@Waf?x&)mGpoA8A2Eso}~Z#pAf>p zuq5N({{tZm3@Mra{wstsFsNkx``-}C!0;sN-~R`p3=B)M|NVCeV_-<h`S*W87z2Y! z?!W&G;S3B<a{v8L2xnkelK1cbfp7+fl>C4H6(SfIR0{t6Z-`)EcvA51|APnyh9!mn z{yRi6Fr*ay`@bNPfkCDC-+zWE28Jia|NbXLF)%DC`S<@o6azy_>A(L9(F_bKW&i#+ zL^CifDf{<-28dt&@BfBq1_qb%fB(-!Gcc5t|NH*{Bwq3FKSK-ygG<G~|1vQQ3@sJ^ z{u{(FFsM}i`yUX)z)({8?|)7V1H+ZdfBzd|7#K{d{{5d4!@w}5>fiqrF$@e}s{Z}o z6T`p|QvL7$g%}2gE!F@2KZ#*r5UKh1{|88a&A<OVu?!3%wg3L>#4<3X)c*VL5zD}E zr1szcm{<k|mAZfb3t|}<TI&A&Z;54KcvAQ8{|u0P-@pGaK>GUs{bz||U}%~9?|(=f z14GD$fB%=nF)%FI@bCYDI0goh{r~<8#4|8_Vf_DpLp%dRiv0io3<;p};s1Y`1O|pF zN&o-vNnl_IN&f#|CXs=mCHen<0}!3^|9?Ot1H+M&|Nm1G85m5`{{LT)$iPsN`Tzf& zL<WW}ng9QPNCd^>|Njz6pm_ZMzaj|~kN^MQNCL&<|Nj=rpm_ZMe?~F`LrCub|7(&N z7+CWD|38w<z_2Cn|NlG53=AR#|Nl#*FffD^{Qs|$!oZ+X`2W903Ijt*;s5_JAb!#R z|9esx7@idU|1Xouz_6tF|Noj)1_qJR|NmE{GBC81{r`U@6%=p(|C^*SFtAko|34*- zf#FES|Nmdo7#LbA|Njq3XJBxt`u~4RIs*es_5c4O84L_Zs{j8l$zWh;srmo^N(KXi zOYQ&vCYcNjEOr0?PswCpI8yij|CdY#hL-yO|3k7E7+f0u|KF0uz`)Y@|G!8!1H+NV z|Nl#}85mlc{{O#{&A{N&{Qtj64g&*A%m4pVau^tnwEX}7C5M5brS<>+kX!}^m$v`^ zx8yP~u(bdGFOtW=aHReJ|B^fghL(>1|F7gRFt~L7|8J7dz`)Y=|NoSH28JVD|Nno< zXJBaQ{{KIufPulK=l}mL1q=)<z5oA<6f!Uz>HYt|q>zE3rSJd$D}@XUF8%-in-noH zuuS;>e@YPp!;uO9|9>fBU}%~6|9?m^1B1(?|NplXGcd4B{{LU3gn{A6<p2LmN*EYg zru_eZrG$aOW$OR`CZ!AvEYtq~pHj-eaAey5|6fWO7+R+P{~uDuz~D0D|Nkvz3=AwY z|Nj>$XJ9xo^Z);nat4N$S^xiEDQ94Cnf?F2Nd*G~%bfrJr&KU79GUa~|Cb6-y8Qn? zq>_QbW#0e)TPhhCSmyu#FH*(8aAf}f|0Pun3@r=(|G!ejz~Hj*|9_Ke1_qWz|Nl>^ zW?(q7=>PvO)eH<Ri~s)*sbOGnS@Qq?mKp{ImZksyi_|hO99jDRe@QI^L(8)N|F6_C zFt{xL|KFsJfq`Yk|Nm3!7#NPM`2YV)9Rowl%K!gE>KPbZR{j6KrJjL-W%d96A`J`- zM^^v;U(&$9(6Z+L|0@j)3@&T`|2Ju5U|?DI|NoRm28JW+{{R2d$iUFD{{R1wCI$wV z4gdddX<}et+4%pzNHeIt{QrMRGXq1*rvLx1G&3-`Z2te>q=kWjWy}BnQ(71pj%@k= z|4R!4L(A6x|3g|C7+kjf|G%Y`fq`ZF|NkOw3=BuM|Nmdo#=y|B<NyCFZ43-9JOBST zX=h+y+4cYbly(M&BfI|p|I*ID(6amg|Bwy_2A4hm|8MDFU|`w%|G!8l1H+NM|NobC zGBC94`~Uw+Cj*1a{{R0?x)>N(4*dT=rHg^#$btX=zjQG$v>g2ZKct(1!R65Z|695l z7+4Pf|1Z+Rz;NX7|NkXD3=Azt{{O$y!@%Hj^#6a8UIqr1WB>n8=>@f)|NsBe%fQfb z{Qv)uJ_ZJt6aW8j>0@AEIr;y;NIwI^k(2-bm-I6*w4D0?|4KgtgUjjv|4k+^FtD8Y z|9{E^28JVN{{R0nfq|jr?En8E6B!s>&i((tWg-Iu%lZHRMJ6#Y96A60f5{{UhL#Ke z|6iHJz~FN6|9_Lo3=Axn{{Npc8Pu-+|NqNm28Nc)|Nn<fVPJ5%^8f#qDGUrOSO5PP znaaR$<m&(bB~uv~TCV;7e`P8IgUj{*|4pVbFtFVC|9{Ff28JUy{{R0nje()%=Kud8 z(-|04ZvFpXGM#~;<ktWH6Q(mTT)FlC|B~qp3?{e#|KBm4f#J*T|NkXsFfeSn^Z$Rv z3<idhyZ`^+n8Cnca_|3ti<t}zU+(??KVv2X!<PI1|NofDz)<qw|Nn?t3=Ad@|Nq}H zi-F<G!~g#!W-~BsdG!B(#cT$KlE?r5-<Zw7VDjYue~UQ`3}2r7|37061H+c5|NsA( z!@yAT?En9WxeN>;&;S1~najZN<@x{r6Xr57OnLGD|C+fB3?(oB|35L8fkEWe|Nl=w z@~{5?XPC#pFy;0C|1$F!7)sv!|8Fr5)ZYL9KVcpNL(AL$|9j>!Fo?YS|9{Io28P$~ z|Ns9okAXq;!~g#R^BEZIKK%c$F`t3q<H!I1JLWSmNPhbNf606X2GCX?Q2&szDu{ux zLV!`4hn-^rBSc(=fq`Md;=ljZKnh&g1wfPv0|NtSC56TOzyA$b7#JA%1l;%}y!g4x zIT{%3rL47#Rg^&LK<36UFfceQ{`()&g9eE*Fj#;V0x~c#Xe{~r{{u+KiBG_ZPr`{$ z!HG}9k<Xx+t%tRjrH{FviRm7n23S}Etb_xicLM_hgUr&u|NB9D9btMM`83@54lp*e z_ObLcw=pqQgUnI@n=9bR2eKbz?;8dNhJvM}+Y9oz1|tK5%Cf)z!JY$+GcYhP*f26M zI4t}7KLF~MW+o;tkYy4K3=9#B3=AbW<VqMB7*;I%`#%_=&ljc-6!veh$ayd@Fsxx@ zU@%zz_rE)6-~k*cpm1{p`PHDAwFeSv6G2?4*PZw{T=@)`rlATkfXo6duQ6Hi_x}r! z8*rJGjH(f2Rw}9x*en+&28J6e{{9cg=dLtVjUclwq6#s9!fgT*1H+q@m|>#<@;?&; zgTbo5{}Vvz4&r7<K80qmznOzrK&eQ;iH`%cVvXSj69Yray1)PLg8T<hCr+RwW#GtX z;mGIE%-+M+3rjGEK|%&#X=vCv@`08-GAJ-JF#K8f_djS490RgB(6ow3aPYM12o7~e zkX5K=<uEfa1g!u2zX#n-sAlD(>U8BZz+%=8W(I~SR5FW&g@NJ5dg}NwfQ5lUX9IQo zIERISp@2$ey<lNr*g_?<OjsEheo)D*237_Jn~l^7FVNlE4OB8qf(_Kh`TPGU{<OM+ zn6#S0#=zjQiE^_N7#J8p=dYaE^!I-nXcZxHeslt56ZCw|Y|Df#W4rP>xL^|pt*T>S zU=U$vV7Re`a`%GD*#ve5hM29?F>4Jw1H%I<ne~I6fgxoZb=>8`!NBl>N@h*qU|`7E zP91mM;b36+u>J3U(0V33W%nFn(y#_614GIV%FQwWwSPDn7;fzN`+q4YZ#nS^IPyt= z>M`a%CZ>rXCa4l~<x_Bhu|e&v6`TwVAv^#6kB8Q=NP6DF)VcC0FvY<*&^F2gP6mdW zU4Q@kgY0tT6KG~)@`SZD{%|rdY``HW!NtJv1c#gn7XyRDZtP|Ta4|6W;E>DVVqj>% zA_r<Gc5pE;Y}x(y|0$?D!EHBCn-FWhW?G0X`{K&hAoE{vF)*m?!4iMi&7VMu`JnY` z9^4EJEqng{-wN94LbzPwV8mvDBcB8FH)d=yu6zy*pkW(^4crV2cMksj-^K-66bWnp zf*UT_+BJ`{83wYZjuV><18As=L4=2af#b~I|C@=6i!N*?;fsqB9tMUC8k&EFhk@Y) z4b3;<Wnj=aOS|xy!pp$WK|}MufL2@5(EJcS1_qCFv<sgtd<+aLXlT9&KLZ2DdD^+Z zgr9*SgNEi`;b&ktK|}LR1Q-}JF3>J~rU)=FbkNZJF9Hk<FKB3fh#&)l$3@zO&lW)j zh7~k4A2jdIafx>BFA-v3$e^M5SA-ZCPSDVN6JZ7hjmv-kgSP6Rv@5Z<CFYY_KTZ*5 zV3={4cH#d;n1SI34b2Y`VPJ^3LOb_w5n*81K|}LJL>U+)uF}r^C87)r6*M&eiYNoa z4H}wnBF4aAagBE2GewMnVFnG&|02e~@Pmfthln#UL|ms`_-qkpVAw%J^F<^W7$k1c z&iy443=9=CH2;bO1H%m(nr|Y>z+iEccHuKcl7V3c4bA@|$-wY~hUSMzF)&2jqFwlG zkz!!jK|}LJq!}0_Zqv^FCDIHG6*M&eiZlbm4H}wnBE!I7aff!{Gew4hVFnG&|02V{ z@PmfthsZK8MBJra_-v77VAw%J^F`zs7$ok|&iy5F3=9=CH2;bm1H+AbfB)|%Zv1K* zsqJ$Uc?JfH`?L$6De?>qGiYf37kLJTA2c*SM1g@J;sNc#e~SVG!;S~E3x5$s1_p_T zv@^d%k%6ItM&^U&$gU_dFx+_f_rD2ft^ji^+J!FwW$t?kw)F4F7r>N(O@aY3Mr@%3 zTI=%n|3BjL*i~#s;Tt2Kp~S!t^7!xnC&Zb*n^g0EC^0ZRp`rN^$_xx8PiU71b|^D2 zTzNvfG%BIOz+m!}cIH>8FfdG^q4_sd7#O}hrCs=2s4_5wJfmIs%ur=u*g`||f2cAr zh&-o_`$1(;gc<`w$@9Pe+bAr9im+#F(9&y$J!%XL3trGJj%Cyt7#LpCCX7IHk~QiK z3<)$e|BgBX!vPwaZ==D$pzw-z;Xg-%fuZ3Q?ZW?$1_Q$b8k!%Y$-v<7ns)BrqshRq z;5F^SUq*|8f#D79++U-`z>q*g^Y3UeFdU$v`8L`N3<_^)*9MxS&A@Qr?ce`G*vnA# zHH<H@7nRtTI)TccKiUio4ew}UKBx|e(P3b4cu(7Q=m8xD2AL1ES=RvyBL!UshMEtw z%L5I%3=DTZ{QduwxOVOyQsel6E(1f(N7}jHL63pq3=Pd+pvS<V^NDuuXV7P0=%Jzc z3Hl5SZ)j-#0euDrpU<=l9|Z#jhBY)azrlckf#(bD-2cFUfgy*6<~tZNFr4{9ySd;6 zh71fgUuhRU3`PtLb7*LOf)NA59~zo}z=(k%<{Rz8N5PnZVGj+>Z!l(HkoitK_dhUZ zV5p&?`3@!w40mW~{sI#Q2AdzW3m*nk28KB_G(W+Vf#DAg%|Bquz!3A3cHyI7#=x+L zhUPbzF)+ydqMiF6m@zQa(9nDba|VVxG&FyKIRk^uZ`y?qXhYr{8k(PA!NBl`hUOoz zU|@*(L%Z-%uw-D^Lqqc$EEyPN{?g9<4=foNYG`P_gB1hA9U7Xyz>0yv<{$0ChrybG zVGa$=Pq1cS_(MbU4_GrW#QdjS_$b&gFzlhB`3*J<3^EM=Xtvkjfeiyg4GqnAuw`Jl zLqqcy*n;*M(<XdCV>AqQ3=DG^|NWl>+9ZuRSBO5wbO_t{186_VR(5PMkh#JXI|hau zod0MxAAiJ-fx&`{Hem!B15&YPV3<Ke^IPm07=F;u{3rGd3=!P_Xfjs|N<%IV3=BWG z|NWQ1K2C`~-@FQ2N&(F`yJ3@n&Nr`cU|`t7^N%LuWFS9qI6}_&vLwzA+p#$n<cBD1 z5>P+nI5IFO@X;<GoN;7eXyBt=KG1PuV0b`7^Lv~a7##R%<9<*azj0z<Sit}9zdeO< zoPZ~eLAOoS2+%H$H#jpe+!3Hn7=g+<0T%`a8$sHc54z524h_w};KIQ0hlb`GxH2%r z2+=NlCb%*%?4hCgA6yw2WQ1ww{s1=yh8kfSrhhjEhC4KLzkoXfgN+F7!l%HUfng2} z&A;Hz!0?BL<{Nl0FvN(`E_^0<Ffi<)q4^&?7#L*4Xy^U_PX>k>F&d_SPX>lNG<3g! z7XyQhIPJoxz>9%l4h_w};Kji3hlb`Gcr!4>NYE~PK-a|Wp`rO7ycrl|Bx&dV03Qa1 z8XB6v!H0q24h_v0@MU1Ik)lobfX+lK@MU0_BlYipC3L=@shp1kB;Mi6z`!DnDh@gi zYk@BVLyq*n|8h|E%}f!X<2x8YXOivlWnd_f{`VhtCK>3wwhO)t3_a5S{x65Bci~fL zW@1_ZI%I?cWZnl~1_l`!?B?<KF)%2|{QGYR(hE9gje&td!H<E#N9N!Exgaq|J^_e% z)8OVg_%Se?k@@%E7b@S(#N-ZgAjo|&ehdr@vj6@^gX9<(z-}%8nTICU;>W-+15Ip( z9|OY^G_f^)3=9TxsOBB;V_>L36T9Naz;FUh?1di#gNQsrFDR`3_%Sdf$m8~#j6Vaz z19|Lzv+!qN5K%yw2lB6vKLbMonplEA1H&3Lu@Zj<h95{`KA>~?{TUcs6#xCd#*g(} z4|l!=%*czjnTxQFw>a`GU}gZHqjtlef#He)rrSYweeq{t;4u96Ka~%MT?ZIZ?E1`$ zt;6HUcYu+B0c4&_00V=D{lEW*Kvx1_jv=}8eL!{lNe=Ahfvy+?rO_z?3=As*vAcak z00YCFK<c=C4k%p)GBEs!|M&lr6OOQGKy`Zu2VV!XBi{sOPre3b=4?h}-ZK=Q9u8kN zFf$u7BWo*1(e@t1bLDFQUAM@<z_1{Yfx%|czyH~?INUdZ4JEG5V?Ec!k#7PUBwc(6 zWMGKdj~TY0u;2+|V3@FfaE1k_Z@MOkf#Cw<|Nr2t=`hn7`dH;eY^e;_o&!++<q2kB zFkt$RQl2UVGcfot{r~?3lvd#7-&=6`2hsyN^IC`b|9>NpJOkv6%8+0Nh6v{W{|i9l z43KjQo%j^c&S|`ZdibFup8;qpj$uMD149qX|Nr*P$novSr{Tiq06X52S&tb>Gvs_r zkXz0KGcZhG{|_&}L1%S72xef|!~Xw&BFKHHXHA8|j*0?>Ek_9GY<${;Ey(-~(AnP{ zv@`!i2<S|I+L^BbI<uUUHs*ukt|Jt57Xc#ef!YLfLKzqqaQ=ta<xEQ<ZG|nNpz&^0 zanSjuXF?emE^z(-AB{+Nj-X@29GW3VWO6X_c{uU~c=9<^fs!NqTvd?$9AOL$U%3DO zw*{pW=-FX1VGIlkJpccn1c^b;4m%91+bzNv7_RUj(i$j^eZm+R7<g$DMj-RogfTEA z(9nFIa0Z40G%_D_erZlPX#Ds8|6FMNIPyuj@EJ5S_c1XiF~Tw<=xkgD28J1+v+nr` zs|AJ2k#Giv6#}#ica;bR1`a{mncot@z>q;h^Pfa8Fr1*F`7V(R3>reT3!f#C3=ADK zG@m7kf#C%W%}<G9VDJ#8UHBY{VqjQ7L-SRl85lT3Xy^WxXa<H18k+wknt|a24b69n zVPMb@rCs<eiD6*qprQFJu?!3^XlQ;)ECYjw812I6NGt=x3L2WP634*6Ax=B@x5P0p zWYEz3Cvgl6CunHCOFRREh6L@xXGuH*LkA7bXGvgSctJz+QxX^$JS1rsK1UK57*^2G ze3e871`a9OxxXcmfgyv2=08bfU^qcT^Ieh{7&N457d}gp7#KQeXg*6a1H%g%nxB%) zz~CW6yYK<sr?i5G=BuPIFmTAy&iySZ3=A1GH2+Bo1H%a#n(vazz@Q;VyYN|(%D~V; zL-SeE7#LpA(EOA%1_lp#+J(=NGzNwhG&El&oq>Tvfp+e1NoQclprQFs(is>|(9nFB z3<d@bMcReWk_-lh4jP)zlF7jEf`;a&WHK;#DA6u_j$|@0te~O!Dp?E+9LltFe@hkv zLk11af0D((aDs;ByJRykXsFOGe3oQ0Fm%w+e3l#rh8Hw6KP88O!9$gH;d3O1fnfy= z%~#1~VBk=ro%>sI85lBXX#SI228I(fG~XqUfk8u^cHy%mkAb0shUT;6GcdfMq4_EK z3=AF`v<sgj`3wvzXlTAl0RsbvChgqcQoz8FK|}MO6fiKHprQFLg$xWDTC@wFC4~$O z9W*qbrHFyy1r5zlDPmyo(57AZ94TU8SV2ScRf-uHICN;|{+40}h71~-|D>3K;RFrM zcPU|D(9oq__$(=5VCbNs`7EUj3@>PCeo83=gNGjN!skdS1H%d$ny*sEz`&tTJNLJg zF)(D%(EKN53=Ah|XueB11A~SE?ZRhCIRirn4b5k%U|@JbL-SKA7#KVZX%{|6Di|16 z(9nF9N(KfFBigyYrILXmgNEimsbpX{K|}Lhsu&nFjA<7>OR5+cI%sG<OEm+-3mTfA zQq92NVM4p`Ia1BQu!4r>tJE+saG280{Vg>N3>h>u|49u4!wDLi?^4UapkYS4@L5vJ zz|cWM^I7T`7+%oO{FFKd1`l)Eh0l>X28I<hG+(8jfq}z<cJ6PfXJE*nq4`hh85mB` z(0rE$1_ljF+J(=O1_p)>8k*12$iVP|hUTX<GB9{p(Jp+BG%_%(prQFHO$-bi*0ghf zOA`Y_1`W-B(!{`Uf`;b1G&3-0*w8L~mNYXkbkNXzmKFwv7c?|KrG<gP!<Kg8bEJiV zVFeA%S7~Kn;IN~e`&(KW7&2&R{*zV)h7&Y2-=z(-|BH6vv!soIp~Ieb=CiajFub6l z`6=xT3?2@&bN`Wc28I<hG+(8Ifq}!3cJ6QKU|`6gq4`fb7#L2_$b8T~QkPB!1`VhG zIQPh=bTTlkaQcsRk8DFH1H&Ds|0sK8r-00JMu>s-c&zATU?_2>T^w+9F)&=Aq4^nI z3=AeNv~&N7E(V4vG&EnMn}OjA4bAW9W?%?$rCs>E=w@KpLPPUCdKef)+-T?i6+H|L zB{VdjqnCl<3JuNA=w)Cqai?AQoakj>m_kGIHToDBzR=M8jy?v45D(gg&x<|=hAlKQ zUxI;w!K0snLB#X_{|AV@lD9y6B|&m2{R|8@Jpccng^-&9lWXW_V2JU;E;py2f#HGI z|NnOp`mV$D?dWG<SmKRc-<5s_1`QwV`abkCFud`>u8(H|14Dr?rasU<VvPw53?_d6 z|JQ)r0@+9G!j}NryU3Ia+qwwa;}|f3fuYCm|NmyFIwz2%0%QX!Qz;*O&nd{vnh6XH zAN>CRZ-uG_?}vn#Sq9Q3;Kava!@$6>WC8<2g#Z8l*P;6+oj}H*?Wblw%!srn+6fd) zAXC9-Av~GD!0<lc|9>lxh%28!GgApz9<;}nVIl(qZ{Yv`k{~$`K7jx}4hB$tD>0FQ zfiLj?|IHvf!Fqzhwr2BbIPn?8gA__Q@hOnRjR)@<hwQ_zn8?7881(-?D9jkZ<|l#O zWWvC}Fl8bGLvGOj|0_Xma^(|fV{+t^Xl8cdQ(*E3dD6fMw6oozkWV23988cM;2_1L zcr*k?Ltr!nMnhmU1V%$(Gz3Oo2sng7{S2i+%{P$9EYSJp3=9k~{#G>p1t=eM{1!<4 zA1I#(!~x*|5W&E}3!xYirZRz9;C3Se14BbJGng+8CK(u@+8Lyv{0^u*XuJp{1e5>z z?|(js4?4FCM6-ej1_p)~To48ugkm@WF`fY`%#g+jk>G|<;C3#EDGDNxFjO1EhFAy- z;%Wv41E|5^HZTJN13OfYIF$Zj2Vua(HJ}E=+<ie4A`f*2+<s7h2O<L@fB%R0j}aOq z|DgOAP>cUV`LKBT0Ok8Z<$pr?TVV<y#!`)(0F4)P1I?iE?FOa8pmZ9PE`!o-P<k4a zUIwMNLFr>q`Wlpe2Bp72X|_O!&0<hm4N99qX*Vbx2Bp)WbQzRxgVNKW^fD;D4N4z_ z($}E$GbsHHO0xw)?T6B8P}&SiyFuwND4hnS%b;`{l%58qmqF=mQ2H2@z6PbALFsQ$ znk^V=Ka^I3(q>TF4N8YW=`<)^2Bq7e^fV~F3`%c<(#N3mH7NZIN`HgWY#~tlp|l#5 zHiOb`P#U6&c#<OllHbsEOo)VtJcxqO7odkDPKbr@86qIG0hDfl(ifn#0aU*MRJ}ky zBu7tx(h3tG{0&f=p%`M`1}H613gIU}=?zetp$sA(0Hqf|X@O3Nc^{zkgf0l*pc_I9 z^g!qhP<lcygm2IXp&_O+FdP714#2>0KoXLX9ia39DG2`olrI3|L+Jz35P1O^2wecB zFF<JnS%~-qDE$FS2gpIhH$Z6xs5u+tA>s@Q5IO-$AAr&diV$%DsJaFyKfw?p4)c$K z1BCw}2trR-0-+fWLFfc_i2pBeK<EG-2>pQ-LMyOAX{b5@sQdwbi1-I6y+8oM4-kaV z8-yVA2Pl0&7{YfDgU}nqA@qS72rW<xp$nk20#qF4j}PF3;TRY$Btb+9k|8uh3Y3OY z6QF#9REWR<C>@Xn;Xi=V1?dnzLk5JN0HqT$A$)@@2)!T&LKoyhXooxqEdZ_!85kZw z=?w)C@rFVO?N9`vKS0yxfpQ4Hp#nlX)IsPA9T0j#Cxi~@g3top5c&d?p3no~2lPT{ zfj$U*0ZLEkhwwq;S|FCdL=efqZ~;nBm;~VmOoq?`Qy}yOC_P~+gdZ>sLJLfX&<~*W zf*BBg!b}LQ03IY{V0Zwf7tDr;Cx8xU1f9nUK8Tfp;Q^FhFb^s}A3`fEfY1-1^n!&D ze!?OMt*{tEKY-E;mO%IkOChwvG6?+uN-qE(B+I~%umU2k03MWLV0Zwf7p#JaC#;6h z3Tq(r11P;<Erg%24nixehtLn8^nwi#e!@lwt*{9~KY-E;HbeLcTOjm;tx$R!gr2Y+ zLO1My&<;BxGz0jMNCt)jP`Y6^MBHHygl5<ap$|amhJ6sezySz-0ZLCe2;m1Dg3tno zA@l_(J>dw1A8-^x3mk*c7ohZn;}Cwp2?#9!K1`2+LEsdGZ*Uqy2b_V>6V5{DfO8O9 z;5>w8xB#IKK<S2y5Wd4D2+eRALLY$A4Obw1hpP~p;TnWK0Hr5fhwuY#Kxl!R5c&d? zo^T7o54a7X1@1uT3s8Cjcu<;wA>bZFT;M*0z5t~sfCs`E7y=$b#04Hf=nGJK!ea<O z;0c5l0AGO2z;FRdPk06q4|oot1ztet3s8E(O9(&U6@(Ue4WTbU=?QNj{D8L*THqao zz5t~syoc}uK0xRXKOppmpAfp>7lbzW4WU24=sys?z&{Ah@E<}uz<L&pkn;Zklx|>x z@Ew>TbOQ^7c3_3j3~Uhk0F-WEhwvRZAT$FfggyYJ8@M2R2W|+>zyqNVK<Nfv2;YGZ zLNo9~=mSu?K>)&c5QNYS;LF7s7!E+`24RS}g9wCX5QWeOpmc*6gzq2@p&6j{x&gFa zI{>Z68h9b~jsqWrW`Ndb3?dNz0Vv%d3gIhALg)ujdVv&#pCApP6=Wdv11P;f7Q#=E zgU|}{5c&a>UZ4QsCn!Q_1tkdm07@@VhVT<qAhd!ignj^}7pOt_3F;79K?6cRfYJ*z zA^Zd_2(6$Ep&vl$1v(IZf-Zzs(1Xwqp!5QL2tUC9LMs?T=m$`Gff0nCU<{!ZOd#|F zD80ZG!cQ=R&<f@d`T>+)U;*JLSVCw8D+v7nN-wa6@Dpqxw1O>!egLHx*g^OS_7GaZ z0YX23(hD3R`~)Wmt>6ryA3*5^E)af#D}+{XgU}D4^a6JXKfwb+D|kZa2T*!}7lfbS z4WSi$AoK$$y}%d3Pw<1#3jPrK0hC@40O2PDLTH5`2>k#`F9?S46G9-gLMViO0Hqg% zLHG&b5LzJuLO+1g3nC%>geVBD5DlRpK<NcB5Pm`|gjR@y&<~*Wf_Ml&Apt@wBtqy1 zP<lZUgrATMp%qdf^aCipAQi$-NQ2M{=@9w>lwObl;U{E5XoV~Y{QycY$cFF}av-!q zE`)vnr5EHu_zC$CTA=_!KY-E;3L*T2A_%Qe451%D=>;VaenKgPRw#qe51{mdatJ@6 z0zxZPLg)ujdO;P0pHK~<FF@O`6Y3!RfO-fm&;X$?K<NpM5Pm=tgcfLq&=;Wegcb-t zpcO(3v_a?#P<lc;gdflWq1~OGtrRpulS=bSN)-%^^^Ellbj?b^T%$T86FmbHO{g%W z9>7I{N=p`okI>ozp$as9!pOkFPy_8QDnO-#85kI_sR!Kyz{J4MFafP!3epD>KY%7~ z%)r0^5@&#phe3^J@C1*ANitl>g;)%7A4m?QjFCZ<0XAL*5(8n-yf4Tc`1l$~5Oi)m z*c=h~_!m@|0n}{7=6+DK51TkH|ALxzj10mI6;2R$!psNJ*vx@6yM!3#EP})zNF4}+ znl+3J;_z`WkQfMqngNUqVhrfxK%nM;5Gb6X=>gh|1Xsr(aacXNA7lt<j+&2wK>;)h zhSu)~b!$QDVf{exx!w#6462L_44~ukq1xe;DOjAJ0amZT>~({R!|G>fvzQ?aDh}&c z!tR1dW@KOxWRPG`fVyV`bl@@@tR8M1oG~9P&d&fV&tUd$fQrM)KbZJIs5q?tg^6E+ zio^09O#Cre9Bv()!OR2-2Yv=v{R~qNY94^X4c6a+`4?1egT!I|30U}ps!6aov>yah z52}tp;;{M!W<IFc2Z_VlA24xHu?iB0l`}ALP_YFPhxIFA=7Wk6kT|Rz3lj$o4}-*E z{YjYk5~zP+<t)tntx$2;_y{PUg97OQR2<g7f|+vxDh}(XL%XpI_o3pjdIV<v2dFr# z9S1Xqff*A1uyGeqz6IIK3l)d8YhdQcLB(P1S@2zY3=9l<FmY%*7#1&fP;pp)2xhMz zR2<fxfSDf;6Nk2QVB!T(aacPUW)A3{1W-7`+66H66QSy1;|<Vik^wZ%4pI*r-+^|c z8P-D8!^UsG_n9y-FzkeiI|M^YNbsE*3=9nSq2jQ9D$G6aq2jRqG)#O2A0(U;pyjg~ zD3BQ#82&@m!^WMU!+{JuEFkqzMM#tjSe%~$HVy|JCSVAJio^Q(FnbfB;;?ZDn0NtH z99B=m!mSZ14(s2+)K7$p!`cxr^^2h5uyHk5xUGeX!^THo=InurFM#&nq1``*Gf;8Z z_^3N1xEZcN#bM)ru<&^d6^D&W!ouMLR2()Q2vh$TDh?Z8g_V=+te|k_XMnZGVE&Q- ziz5X*lxYqY=VySmUt#J!z~WFvNK^t?oSy;KzlMcF0azSKA(Yt)7UySxjfcSU(Nw57 zY}^KB&Qhp2Y@83~-%U_)*mweLSmY2?95!wTD>p7e#bNy%n7<xD#bNC$nD}R?IJiP& zU|@i`hnWpj4?;bIL<zA$!x=i>1yipG6NmO!VCEY^#bM($F!fGQaaj8vral-d4r{-| z#8aT+u<=7!I21$0VdGga^P6Gf&~`4&oGDOo*f=G0xQAgeR2<emhpFEJ69-is3=FX0 zD2Agjap?Fwc<mbl1H%QVIBfhJmY(lI#bM*Qu>AE3Dh?aphYsH{e1(d`#<5`Ohn1ay zL5N8JK5q$&8g7s{13v?7TnnaN8Y~V|g`l0m;`|J-{yogUAyDxI&>avA3=E+597tCd zR2(+$3Jd2-s5opK3ntzP6^He&Vdl?*io?e9VCq*v#bM)+F!5bbaoG4abhwJ)Bvc$W zjso-VO{h4mzX!AT6-*r34~2>UhKj@b-7s+;4oEtOjlaR%BLfxRkO(Q*!1oO?Ffcel z#bM)e&|yM`AgDO3p8_*K87dAN?|_MyK*eG61kn&{7#hLipqN0#lW~Y|z#)DFEY8mW z>*vGly$ThFjmyFO`xGh;>mS0@e}js{#<yYW**GEL2Aki4PR}t2Ld9Y839#}?0V)m~ z?}M3R02PPzpJDboLd9Y8T>jAD@BxcMIY?9lSe%~$Hr@&|KLaWb8z+L9UkMe5_4i@o zT~Kk@JO!-$nF$q#jYGoBUkMe5jl00i*##AcjT=LU>ljW!#bM(hF!i@#;?Q{mnE9`v z;;`{LnEF3Zao9K@Og#@5Bwk?iQ?R??B%$K4@hg})T2OJ=cq7alE2ubZUJQIU5(5K+ z8&n)No(diQWe5X{BSi?5Sqc{CXMoMez|3!fi9_dcVB(XZ;;?xJnD}C-IBZ-RCcYUa z4s{4j{4i7;HqW#bx=`a1SRCp&B<cxRoSy+UE&>amuTb#^&~Yf3I2$)8oRJKGGL^vM z{0y*p9+-QKVB*mE6_~gaR2(+W2QxnyDh``Zh1DZ*P;uC}6ij_SR2(+G4Zathfq|hO zDh`{^gVlq5P;uD&2drG32Niz+ozI1(pVi#h+X=_P>Y<)TqVC}k7vjMlZu($xeg@dM z9?V}3P;uD!H7wi$pyIIk8QAcC0#qC}P7hOG02POg@50P)go?w)p<v>ZpyIIkEtolr zpyIH3IGFe*9#H>Xkbz+VWE_J98Wsm(>Y?*`F!dLq;;?Z@n7xm{;!w><6e}+%-1r$_ z<LNN<Vo-6|{5Y&VsSFc`j`zdtHHM1A#>-&lxPZlxEQB&+!Q%W3uyIV7`aGyOY(5EQ zejQXCHtz?Emp-UCY`zbsejZdDHtq~Fe?3ebI-Us=KL8bn&DX-rIS&?xIvR=k3>N2S zfQ_re%wd7{?_lH9FmX|+cmQ-=0Ic4(hKj?+;bH21VB*mE0ho9!R2(+%4yy-qpyIG~ z8qjGshDz{w6q3WC%*jyou=!`0e;4tA?&p+XV1SMnL6tMChpLCIyMU#KJy3Djx)fM_ zeGDcJ8gN7E7hHpi_fCPNXYjrUP(K<f4x6`u`S$}@9OeoHEzA!|x3F~?;QKNe7#QTC z;;?yBn7{O);;{KNn7BPu95ycuE3f>a;;{K{nEH6AIBY!vtlZ0kio@nZVD7Jnio@33 z#6#O-T~Kk@x(Ap!Goj+Jc_NrOtDxer@o<><Zm2kHd><x$1}Y9){{!AL!@$6Bou7dL z*5^fpCIiDKsCwA^cN^55OahQ_hOIw=jSKNZ#bNWju<((Gio@35z|x5hR2(*+1#_n@ zR2()x02B9vio@0u!P@Q7P;uD&4orOxSRC0$5Oyb6oSy+UzYTNeOsF_)Jq*m9%b?=0 z`9PTY+ri=xGm*&~U~zs1*nAI6{Y$VovPua1A6T59!2zv*Bq9h2XV^SG%$+JwaoD;8 zn79d495zn~v)2_Y4zUZFj0TJIGr;EiVdi8*#bNU&Fmr0a;>adI*ptBG{0y-9L74i* zFmdR-Elhk1R2;UR1!nINs5oq05G?&)hKj@155dZ}M__S?8<5HWU~zs1*g7~^`NJgy z2~XHMHds4f6e<pz_ky`o4Jr;>CjoP(8B`p$E-wLE0Xsm&Ve777?HOOFIBZ@FW_}z@ z96H|x6VHc=!`3mt?5&52!`30d#QUM*uz4()ISatz$RPt^Uj&QuGr-n6z|46F6^E^# zf-Xa0_y85(0A1$>8|M@ghJ+_<Jq64hHK;gj{QykdOqhW|kVyhQuLN-o1A`M({R8Oy zDa`%BP;mw5Iyl%pstHhW*gP#veIZyJW-5Z72o~pOfUT2(xo06%95%lPGiMW29JW3J zrv4CE9APeu^$0A^&+q}d{sY<!V)z6VhpiKXnZqao2{+h$Hq2fjs5oqX944*|6^E@K zfQg$x#bN8zVCFkR#bN8(VB$egao9SzHt2%!L=i~5ps!2K1FMJm5<$0s#rYXv>j7c; zaWYgKwjL7JkC+b?hpo$ixqkyp96DbP6F&$QhpiKXx&I<m95%lXQ~wAm4qNvIQ~wDj z4w_g*YHu)!Lc$-mP6B3*FjO2i?+g=Hfr`V{C&A1&fr`WC=V9tyq2jRhB{1<Ys5or> z49uJ~s5oq05KO!bDh^ve1`}_Ci9-j3VB*uD;;{8IFngDS#S!rbV{HYC^TXFw!OS@d z7Kf=q(9gl*{0y-5v#|Q{3sn5pBuM)Wyf2o4fq_{J63(!7r{KFy85kJ&q2jRhLNI&f zq2jRhPcU(Ps5orhB23&KDh^wx3v-VTOdPs?0u~OjP;uCLHJCZMU~z;$VXWz3aefBa z`Wl!yE1=@Ab%n6@;SQ)cY@Gv4{Yj`eZ2c$9-WyPH*g7_t_zS2wY&{)J{0CGVw%*YM z+8*N&hlDe1JqyfUNvJq%y(Y{YO{h3*JsC{g5-JW`cODKk-x(?nTTc#}?+1mEA5<K+ z?iW@L#6rbk>sg`6lpzNy4qLYl-R8hh1{H^`6NI^^4JHm<=Lj8kVVDLLhpqF5#rtBY zIBb0mEF88##bN8P!S|&zFfbf|io@1%!PH*_i-UZKihqN}`59pA<YDaxHVH`h!`8#X z+%E<dhplUZnXe8NhpnT8sW*p;!`8LI)O$e1Ve4aH;*n5s*g7DXcotL~whsLebTL60 zR2;T`9M*1cmH_qd5bHf)zV3&rhpqpDnZE!k4qG1t6W<6Ghpmf+`RgE59JZboCVmkr z4qFcj6MqO5hpqF5wF5su#bNsjVDlh<!QwDiBWNW_NW8$-8N%FY3>Am1hl7c`K*a^Z zA!b0Ae=<Zs#bNs*VDX*|6^E^Rf|*kS69+9YK$_oag^I)0Wy1VB6)X<16Pa8N7UyRO z04=;>U|@i`XD?J7wmu1F?>VSAY~3-;{CiMw*!o16`uAXQWE&yuKVWfw2H3hZn0j6* zP<TSrAd`+@aefBax;&WrAh0;HN(j3gEY8mWTYn34XFF6Jw!Z`x&Xb_xuyxEZ^^3sb z5Hpd<D>%e?rLntT1&4S7Se%~$wtf)iuR^dmvW*b-WUx3t18lt<O#Kq5IBb0@OnfU; z9JWpw=C7k*afq47<TJ21KLcz(2dqB*1Qmzv`+zPZXZQ~lhpo?q*()Fe3I~1$*!obI zxFS^C0lGdI<{m?+IBcCWY@E#zDh^w32Qw!KDh^wp2NO?*io@0c!^BIV;;?n@uzIZp zDh^wJ4XbCTK*eF}VqxYig^5Gg>B7W6L(_9Rw0#0T>w|%TVH-?6TL1JoOdPro=L<9v zu0X|M>!V@e^8_jm+s6alHpB1%EDqI-M9IlQ!XLJd9cGRmSR6?ql<5c-=ZEhrfvFFG zio@1N!u*>E6^HF_fvGQmio@20!qhiH#bN8jVd^JB#bN8+Vd4v+;;?-%F!2pgao9c% znD_x%Nd0mHx}Ff~Erz2|^|1B+F!fiV;;{W9F!84_ap=MvnD|$iICT9o%wKGBkZ^|W zAA^l?2}8wU>+NCbN*O8+TOSHD#{?=q0lHrUX0HoWoB_I?A0{3G6^HF(g4JKiP;uD4 zGg!N&04xp*6$IS^7UySxtxtxzXDU=2whkR8z6>f3+s6ZQ&o-zyY<(+C{c)%`Y+n#e z{dK4~Y~LHqoll_Ru=Uz7bG|~wVe6$~;%xGe@Q1DAhKY+q#bN8<Vd5H4aRcc7LD=}7 zDO4P`9v!CM4Jr=X=L1_W6b2QCty6}nPlt-b_FKXHTLu<KggcBi1uV|b0NdvPQ@;c% z4%@#06W;<AhwVpz*?SZ!4%>GC6Tc=8na7y{U5^SKMq+pZRS#R&3{(FVDh}IE2D6t% z0TRxz{ZKG*5vVw9-xf?<1tt#N_Xy2S42Do~*!pppy-rYZ*uGTgHX8;Xs5oq&B`lpp zg2iE>h@kVp;`|J-{T?v$>!ISX{Q@xY31D%Aei&;vSe%~$w(ke#uhUR**#1~p|KciC zTmibj5$4}VP;uD43z)s1VdBvJATV(jMMyZq_S?Yh6@iMw_Bq1(L93zt;)Bq72X-ES zGFUy#HwfAqEY8mW+wTW6-wP%VTF8pjo{WZy!}i<3)MrD*VGE03;?+=b*nUmuaw~=| zs5oq20?fa&q2jQ8UNCc3D}u^%%yGO;Q1!5Vudwl-eNb`OJ_MNg=fUC#|HD{M!Q%W3 zuzhwgd%uFkVX6?cni3>lVEY<i=9@#sVf%?Ip;}yE;?Vt~uyq%~P;uBkTUdRO02PPr zqXwT}#=yXk4HkzQibPd|#rYXv`xRjB>4A#F_7}qZH3upV+usVSFII!akqm}1Pv8)L zi9?)885%y&eLFDs2t&nT`>|o=tpZdWw*MNo-dGzd4%@c}bH6oI9JcQfChiRrhwi6^ ziAO=jVf)lz;#p8}*nVSJ`mBVC!}evt+}{NghwcZ1iO&X$LjwzmS`QZIXMpXSh1t6w zD&7E{e}Qi6Ww;6!M=}7)e2qi=FAi~j6-fBQ_F2O0l?RJM4Mn2Nz~cN2u>G1a^=@Es zB!y6BBv_oE0k)43ralua4poFiHG;+Y8DRT;VBt0iERLiQ%3K8&=VyTJAA+gh4Hbv& zuY}oq8Y&Lkk8TS!=LT3D%0Z(3gT?t7VCN0M`h8rgkZ^<TgN3bYl7fnFh0Yg4w;MCa zL&ag|3BdBZ4oIAdhk*fBAwcam0*N#5Gr;z7!rbo!6^HGYgoy`1#bNtG=RglUNdk*Q z4Mn2baELPmCl{3%>g6-U$0sIb#+M|fGb9(46ql5yrRgOzq@)(5re_wHq!z`O<i;oG z<maUpGsMTI<j1Gy<R>NO#HW<x7Zt}RmR2w%=jRsWq?V+n=ow&F5ucWsml>Z}RFqg5 zpPE-vRLPK5l$e_upHiBeTgea~kHm}5%*!l6QCgB;kdsrE%TQ6AnHz7Ym&_0!?-u0f z>l*Ls=Mo>!5FhXE7aH&C0TuLc31WzM_wjdf^ojR(a|?D2i4Spf@^Ou4h<AzfbM*Ce zW+*92%qvbzF3HT#i#IYewm=auHZf&Ltw>ESElG_xF)?Py%+8E2F3By4&(F)r%u7X4 zYiMj{f+ApSVhPu5Xl`K2kXD+P9Fmv>Hl-{lKRrGpF)t-2H9jrBC^<F0C^aWFu{bq8 zF)t-Pr6{v3wJ5$QwKzYg6y!7%gAL71P@QRLZft<6!pIC&g^{VH5yIZ&+=BQ}mw?oi z^wfC2;2{69)S{fk0yGmWQBANw<r<orp{h5uMCF?qqB+UX2-O55Q#4<go1>UuWPxgf z1#0LTS{j(ZgVzMjE>j~EqtIg2(82)KDaNKoaH9-O3{c%+Xl#yZy0M`ts_BM?D11XS zhZ~xjpgG+H&F97#frsWHQ$r;8nIJjV(14-1G^wB{KRrG-J~7!KEz!Uz&BW3&$<jDA zH9jdh$rvOSj~X0?W@vmPb7bSq5DBF?IU_ZtG$%D4IhPb?rspN*#HS?|m&E7g#}}oh zgQhsf#=H{pYY-nVR5=5400b*&2s@@1KEf`vuBO)73vn6Wm7@C<O+yQ49niwMt zFfuns_OP)9Tp4PLGc>m}K!im>QGP*UdSVGmV4(QQ(A3g`A+tC$*QqEIl(o`ROTtr< z!izFXQj1U&8krhG%*{y2i7(15&df`X2W3VSMTTZ*9y3CeMMfrus8MKy#y3F?Z$o1f zRIeGCnxjU6v5^r&e0+LteqMZWNn%k+d_0O{&<X=X6Z8l+N3+ljEz=m9qqz_*#tn^8 zJ!EKUgeqW)>Rv+&BUB$5TACZc<I%_%!8Er($}a|H2#yh2J~uaK09Q>Y9yhdrMir>M z#+n4;b4zndG7EChY6AlkBZkb>)YSNbc*{id)HK87r1;{}B($t(ff~m~X!+jI7(JNG z(aIWQ)L=p98l(Hs2vxnI8Ct1qXkvyMu0|#%L=`cp@o$7y!Wo$vq59O&2q{N{>n~8< znp~6$%3vv}pfZL5Tt|XS9#G90pIVlhSCX8VlarK~oDI%D8L5c{;3h(5VoqiiS_GJ) zm2yUws0qr@5<RdDkV;up*P`bhOVltjv@k@JF2<0GHa9URCqEgjSTjbeB#qHS-`pJ4 zk!GmTZES$bH#Eh_HmD9aG()Qj4GmBu%FqC<>0oSvC=LzH(JD$q^m5181mSTL6I8bw z8(4y4sW`PHzPK#Dq_QA2J}omRHNGIRBqKgAF&8z$EKrlG1)4ieQG?D1P2LDiyE!Bl zz)7eyFR`dHJ|DFVHnc>GR6{ch3$S~^dO%I2vcw#m%_Bq9m^Cy-Z4(%pSR$$+OSDKc zM{CL$qcxRG(c;hoQScj@7+5gGdxrSNBU<DkzRsZbct~PWPAb>~pa3sQjxQ<7Ow5T- z&M(a?0Sklug|$Xc%E?d823103iAC|nsU@HcX24Jes-19UKd?Bo*=%TTfSMnS(L=)m zHS&znL(dqkb!lXZRxlc(WduVbGlqCi|M=pPl+3*N(&E$<)KVL*@-Q?vH9-o4)ROp; zjH1-U6wee;<2$}IuP8AuB|jI<3{ylD8iHE?$bL3PFI~)FHh@AcGcUEM1Qhy3sadJX zC8*|FpygR3^t#jxEiIX$hMplt;8+@>hQB4Grvi@flvGe>EFM&Xf;tlMnR#XT$%!B) zs;f-U5~ZP;F>=9gZjol1YMhjqm~3ugV3?d3Z)BR3XlZC-5RX=&m>Qs#0){4Ni36$m zYGiH>ipCIMP$LrDyF^LL$l+~i4(d!MmLx)&C-Cas(8L&#J5a;P$kd3TI5W32C$R*z zq%kzIfVAtN)lE`PVsS|-yw_D+Qk0sPUXp>Z!vHly8X2ONO~yv(85}JLj8WxHQA;{Q zQ&Xgt3u?JyifWUgF<Rr-$N(*L4K2(N<+qUuLwtO4MIxwK1Fj(*+<iQqoa2r3jP%gb zm^o5fH%Dt3fr1Ox3pO-Cuft5xDiA|UGen(WWNv}#cSAEXNKORx>><@QB5i^yca&6V zgd8#^Xu)o1YzXbDfU;b2Mrv|)d{JUvdTM-rT6|_+N@_)XK3b>N+zb-&@KUg#C_g#1 zxEO0o4b|aBCa8Qv^b*R%2;wntu1ilXi7!nlz--kUnV=_9sFT3jQc@F(K}{b}en1Hb zLsN|Q6Iv-^h?Ypv>@hI~mwzdhd5O81pt=Du>H#X}5osOK1~NuVdlqQ@S|g;v5kwv| zG)LEGiPk7Jw6s9YA4Zm_HW?eBmMKP{eib-;iZelDOYzWHi-#pj18kj9<kG_!EpW_` zyUk{)mgXkOh6V<yMxY*aT6}U+l1Z9@S#msTj2l^^=38SEP?;3s>kJ)xLblM*+!#4S zp${pTSwhnaDCL6Nweg^6Pt1ugNCb_AVRRVLMlg)b&|=>hIyeQ2OGqQDEU_pP)FJ>S zC2-M-lAesw8g^(=YiNd6qZp$17Y&WgQR^JEI5kI2=H{lL;=4FM9x~(wPK)3e1!a=l z#FFHU%)E3|7b1lpdaKt6t@1TAM{9Z-qW2cf&>MS(sBvkC-p?{YH^CCUiGp5VTA){a z=p7DYw04~_dU=LnB5GY=XlMj!)E1}a6=#;9cX*7Do3Ehq2b4?Vlk@XR;)_d)N|Q_C z3rdO*`P{_BfT6r7v7jKe2wVWiBkCX%v<9m&T38sP2c8k4&@ePXAM7$m>ns^TD*$j( zg%`xgnFpE`igWVIQIdoqdJn@8ZIsi{60Ns`*5xxo8!Iw0N2>+VMsN+y&=R?!5o)qF zHbM$Dc-W#f2hb`Gq>ce<{2HO<86%`lrJ;#2YIZX;H$>F)h6bq4GBh@U)N|l0hA)gk zgLnvcqnm=->@ft5AtT3=5qj$cG**DDz|ays{49_H%+LV2)&Mo=<CBVuq3t^qV@wTE zD+EIew1_oC3pzuzh&4iMg&?);jm)8qL2!BmwFKkK5_3vZ@fXO32518uh9>4l3}rc> z(H?UHGc!ZuWbkMYq9ugUN<#1Yo1!IVV`E77861bXskxvYsR7o83TiYNnW2x2p>>rF zLBrjk(Uzjr98gyPt#J$*y9L{soS#=*5?_>2OnQB5Xa+B;O5#CNH7S`z@nFk9(=3^3 z@kOb*prQx0*JfmiNYZF+1|!gDT6ShU*kM=`bY6a5JiH$ZDM28$45*65sso}3(sY1z zdgF^Tt5T6h{9t{7_~iV8N{D%&fk1-hffExX9hGF}rpBX2k)fF>@=yb0&<on~gHlDQ zsMVpN1^N)IAzBq}1Z`7*djH8qWyPTRv;s(505RYKYcZKvAVx?H&CwbYhNfuE4MP*O zv1nto`qmh&fn#KfR%I9&pbh^T8lV?wXj$6W0JTwQXo4|lfZoYBGD2!IV2nwaAS6L` z6et7WPEF9X0jh%HQ&Lc4&&a}(0g@kacBjzBI}9z+x^3t^ehaip6I6a9mw?6ws1=n3 zD%a4|oFP6n4=p!gC_)QRwDe<WVu`5CFnaBvz812Jj7-s+jA#RJ#%K|aGR0;B$zrhH zc|3H$7uf<sGqe_^p)p#SV2C!BZDfYlZ!kozY)nkh!wlU6=4hP+Lk#nbk){%i%+c!{ zQ?xd<p&42m(-Li*&(aiJqQDCiP=hVC7*yP5<`-p_RH8I~j7?E{BgW`;BB-y75`dry zRKL>PAZRJ?iIzM}(Y%Y+=rc4!OD;x;!r9OSy^m*sG>L{(vX~f~gS`mJXwY$$<Xp5~ zxdB>XVQ6X!?bzciYvCn*St9DNu8}38EsB`rGcrM+qcuVEy&-z1(->{a(gdT&1{$pZ zjg+E9lO<Xk3ZvbI9&%{&Wax7e=IGNgrWOqGsVRviiSTBdA;u7pDN^e%DYFDzdBq#V zSD?7m&>X1_f~P^`6a*~<L2X3P3@>Jtgfy9EXpGjjHbq|ifIM{x>BE3iL~?#!aw6*J zsF4AB#Gp-7nu2<gIhjexkcMJ>ZenI0yc{-$MiMC9lqZ6gj^w3)2DIbzQY%2+Akau4 zyx<3ogl4CLJ3pAip{7W6iIF){ZHYEPV}xExq4Cigp2lc3t1()kggzBuXk?5OOG%|^ zo@oZ|AYUNIlc53jsYb{sFs#almM*Eq;L59@5*}!VNPz}REhs6)$OI`DL*gvHI5{x~ zJ?p{7AV8rHnR7`*uahj1+O(i$K*bsHP<Nr&XlMazl!MHI43J>WZiW_U>rjj=kvkQ} z(0T^8i~(v_d_fVUghkBV!a@mcsMgTZkO7p!5Sh)`!jb_z=ZNB9W3)VIjMnKh21OBQ z@erCzQj6mgOX9&z#H!Syd`MvlYOxkzU2VXiS6rD}l9<GxS6osAp)+8t%)FA+q5=lJ zy!?_>z4W|NJ&+NpMJ1I;LP@2WIVrlCDNrFtCr@3_LNBoLjKty$2ECNZyyD7S2whUd z0G26BEh^5;&qLwF7cuA+rRF4pG(cGeIVB8wpkZAGy@H$)z4ZJN2ECHhiV_ArP)sxE z73G7AExptX=n}7tlp+Q=527_5yetf&1IC7qSu*HBv?mo8Gw3Df=jNv7l_0HaBbN!f z{|vOH6tYX0fk6U#Y%`38-G2r%AEXw>2GPpUyU1YrEui{gH0YdbkUAKKF3*E;8O+f1 zM?m$%XwbdGaK+#=wvl-Z;B&D-cb>uQhn>p}qtWe$t>=fC0@_yi?f-x1DMJk4^JYPE zpmS$IG|YbJ^=t5Z;y`jB4BA%&y3-A2KkVK&7_9(u9Mq4Xu!H#@cJCVKnjMgrVftbB z$iZmXy>TGBKp12Oh=yU%H9Md?*<ku%_prfe*m_-<`(f%}H0b&r&>e3uKJ1=180`SH z2;>cr-7xn<g&9D{w}HYGrXP0i9E^tDI|s8LrXS{i(6u@s{Z3E=VfWa<XxP1XApM}b z?$Gsvj@1IW3nULV0=AwMN`uljSR6`#?1D1E6zI4;Wc><IeK6VpO@jbf7ig_LgaO*G zi>yBYdR{P$hPfA_8hWW71A+!!r-Q5?cHS_I{s+Ck0j3{jKa3ALmKQ}o=pbtb2Kc$5 z&|}15${EnS!vMNo2c#bsf3Wk*VDucg1jGn*`$5;~AnS*n;|`-m_#paVB)a{e>u`|u zZ`c5_5JsPY-rEGzj~<?&>uo?*fbtMX4eVaW25*Q6x_THNMuYavfbNilsAhnlqu)WK ze$YNdP}+m(hn-750jeK*tqJ_TMwq>@a0Fe$1oA&jKkVMe4ZaW&=(QLiuYoa4KaBnh zKF^;4bSyYn9)2EJ07U-<v;=@&9)hm90ht9+%>X|K<^uHoNst`GU<e6vD})6hLD$}Z z#0(%@I0@6Q4HtnhKx#oOWEv9VAW<Y72XPSx=z?_y1_n?)1UjP@<{nu2!3jFk0!bT; o$uS9{f2k@=1VY2?h44Uoy^z8VCdk0Ruy#8{KkV8am=wBx08P=o8UO$Q literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..d5a58a9 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 48427 +Design successfully loaded +Design Loading Memory Usage: 21708 KB (Peak: 21716 KB) +Design Loading CPU Usage: 60 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..b0e5d6e2461a5a7a22804ccad6988693c7511288 GIT binary patch literal 8274 zcmWg2k!R*+U|7Jwz`)?}q)MKdWB%)X=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}x3+|swAy?4>#zTU>Og|<`wJF>buL@_Wh{D%UE5C#SYFSqReELZ=XehJB0 z{s|rn{Jh*2cqC^m@NjhY^jfjluQ$uz(bX$6%d^)rIm^%0yEiFo0hl#=fq%jbFVDFP zCN736UXbDGo8Y#9W(G?!FfcHIyw2dj$iT3HnTe6Xnu)o2@j?!-WJfQ5$E=JMHmdFU zUJ<7t!fXkyS!teGa?ad^|BolAf&y!;oHY}Rr>CbY->TIM7dm*jx+M5Hcx7cgUiO~h z@M6UW%#09K4)6D^m19EYAc;emP=mOe7caDJY+2ONv!ZX=GL;KFsd}d&3czMCFz`G0 zGcYiSLER2=E(kL)pa`@0BqyYR33LgNW5F(FU~pK-z`y_t1mySyu`Qr+&A{Nm#2~=H zz`*U0$H2fK3$>TMwXwIo(Y6Iji#W713otM^v@i=WFflPQSTKN9%fVDH?pWBd$hL(Y zLgP>^4^=JX<?82{?dg}~>zI+1ndI;4;0hMn4Hnt~5t@qKMg^!D0-pW}UM~Kw85y1) z3CT$gt{{O~NCG(YD?;^iFYapTUfA5w+iu$nW*dUpvM9DPGnhd9sRY%<yKrI0qUPp? z#Vvg;w!KiE0GNkEr!tz(MUBWh!8{x~RiHXKdsZw2+tAC|v%(O}!l6SINe9?BU>#r< z4jpPx9qdcMs(RT?z%&lk>QL39%`NQ>t2)~Idm0utcP#2y+}_e<+Y1)80*e|#MWt-f zO)>ywMF9qf^;`lB4jVwkMi8+HL~I5TTR_BC5U~wJYzGlLK*UZi0R{$UhahGFhW`#r zSwSQ#g8;*SkYE6_0E5F~RsjYFW(ENUhjk#OV3O6L9w{#|FgdIS8MTH>fPoQJhS6ay zNRyQ~)Qb#^dT=JV1Yuyn5O8Q<U|>L31<rb40&F5yHE3lC8kYg=M^SWx|Nm!TV6c)v zxDU>Rxer|cY&W_f*lAz_;XZUV$VC(~n*ki|qUg#YE`yab42*hkCd_5%0$`V+3xY~H z5QfANC~VPHz>94-gTVom21L;nf?UK1tM?fGYrz9eQ5(htr9*}djG#IJT<(Giq;i;q zf*oBeln*LZ`5jys7#Q@Rma=y&Zdkm~b}^JTW?*1oW_6f|q=FlqeHPj-ZfRJ_Eg>#1 zBVcH2EFxg(Z~>G$L6tEBih9<LMZJp`vPu}q8XH2?FfuUwM^(e$-`m@6+u7H$aA8Md z@1lNwd0AO;TS++)LqiEcStEIfJ|+x(Y+0E}S(yoJyLN8cwQ~bR6*Gn^eyEF^`#Qj` z=7+jkSWW`T<t!k5%>Vxzz`|b`)Y|A+)v~x@QAdBTZA*9Kq6Uzd1)3NGgTr}HmIY;L zhjSp-MG$cbL|g_DS3ty7E&&ErSST|y=`evXDDg3Yoed#4z_lDm)PawYfdQd`rFrdw zW-tMg#GyqTMGG^NIui)v(ZGwQL63=<Ne|o_!C?bGiUyYEwd+8RTGx!n4oP$^>p@!9 z<I^Gm(xRacO_BWI6uGz$RD1W^E?NlY8^ZWT&@{#1aGQaFLBjy5i5IMC(Tc?@x@;FM z1oI3bJX8$~3=C`z*Fo`f14P^e5w}3ZZ4hw>TnK|QiNiHe;s%qDQW=&=7?{9q9vBx? zIDjy;#RC%tw}QX~0|Qc~&w;wufe}<CfQl2C22g;4FsKy-Yw;qp8JHa=A>~O1Nb(6s z7Gib4l?)x`GcYi~f|r5O;V#IpAlu<$AgdV|7}&v~2rmp4gKc480PBZ^Gy|i<J(zyD z7=Ha6EGAHogE|19W-qi*17#zqRt7F6a0!g05-bC4y}?>>EszQt<ai8~sI9{maDC3e z=+MK!z+i^3Ukr&2wgGG{x*&FI9|$loSfDFq=2YMWVTVXijUUe}z`)36j<64GJQ5#l zCpb+ngr#X{8b{)TqlkeKt6r4y7+TVS%4Tr%Gdff;Ffdp^t>=U>z?Omn9~5xtg4`XQ z%^l#vxMdMC8)`qga%k%t)(VG&v%?w|0R{#ZMm9^R)iBGD_+Uqn;&XJp;B37RlC7bc z9L@tLN(L5NB<pb{G-hzHBB><aVOVv7TKO2weiQ)*a9a`-_UN{P`xscd9jHPMpav-e zqeDCc1A{%14?!j(@rm~bo0cQg7)Tm}@xUg4`dvur3OT(&(-<gAIYA8owPlgmU=zT> zgf2*eR~^6^4c!1xCIeyQjOL7R1=vy~KG-FMlMEyP(DgwZG2o_*1E^+aU}SSevkQq& zl3nQfkOLT*4GwHA52&pWcfxpJ3mF&~Fx<(=<_XmR%YjIIur6YQ1YI9Sn+>fE=KyN^ zXn8|zhd39;16$0%z(AB4kRsa$Y7i)WA+f<GfukB-kl1VpPFv`jLFo#Fk<*qh!UbRp zk@#R&5F3!_`e2P%NL$tc)LLX<WCIPbfSY0r3}D-k_$1keu8*i7*9wCA6%uVQ9@t_~ zlL_KfsKdc7W@HP7YJsIQBtBRlvB86`52N)CEsJ2Sc?VF-S}PQ4JH){-9@t_~Iz!Wg zZYJ0aNIDCH8U#vbNNli4;D|sMB)J?zH-MRwpA&=~A`lG*P)iOu_L2Btmk=A6==zY$ z6J$2HY++zzi$wSy>{%o}N%o@aLl1CtJ~#rjVxYD&IxJyeV1V(!7K8eg{}~t^K!P9) z4IF60cM$^vLjqJYEd3$z!6tB{kETIK)*L`%It&a<rZ5eR7BCiAAE*b6+@upjmjwqD zx*$>Q8gRXaRXavG4(_Djbs|zCVqkCpg-9Y=h#>L7K7)=8qK*_oc@CgKE>NhzG%;Gh zSYW*vp@J?8_7=JziJ^k79Y?6ZlworsW~d~gg$fcM>@!%XfX6*y<EIS}o&#vq4-_ge zO^g;W7FaJvsG!S&Lj_%s#8AP|j#lxam+;_<7?OTqTCq7BGo+HyLJElw_ASb&FLeCZ z0W>5E3L%(cMhh4VtOp~6&}G3Pgf2*82%&4ok#1nhusIPkG*Zw)1Bnmz87MTsBh#P} zZCKd?8XE?M223%d1&jsOgAp3&vf$7_7bGz>Ftih&USL|WIU6&CQqe*Pi4XQId;}jl zlJ5W-GzWzaOd+EMj0IMY5jyCy;Lt%ABr$Z*wL=><Pzv0(f#e;SLTrvi2@3{pDcB4Z z0|O&?*ulY$5!#GH$UwZv+1S$A+iu&ucp-uXncsoPgJ#EIGgu(g!1EDs(-1Nc)d({X zEOgUgGh86kK>bLB+YmCun+BWf0+|Nx)xzC|kRjeQ*vuEmG*Gqd5CRJ~gbeYf!Dhrj zrh%$6glPyF;!T6il7UPERRajq5HiG@2AeqpnFcB{5vCzzh&K&3s|GR+ROBE`L&y+s p8f?Z5WEv=oB1}Wb5N{f6Mh;{eXo3u38bXF>(;y0wNe9qs0sx4A+j;;1 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..7e2f547126f80344240901e4bd245a3d8f5813af GIT binary patch literal 10268 zcmWg2k!R*+U|7Jwz`)?}q)MKdWB%)X=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4_Dk+|svVQA?Nd$33pCN~{MQq8Jz${zHL700RSqms@s!maG5HWLNJ6eqL@1 zJd!gOcsM$HdaYRO*PG?<=<1c3<=N|*oaN{0-J6uP0L+@bz&~Mzm*?CC6Bol3FUaup zO>kR46GNpK7#KJ~-equLWMJ69%EZWE&BWZicp-;ZvZI&3V^+or8`buFuZU9+VYUR< ztTfLoIcM&||Hl(lK>@T@&YFqE)6>(HZ`JCB3mrUMT@w5pys|PLFMH2$c(LLGW=4oA zhxhx|$}u5xki;QOs6pJ#ix=89wk+!CS<$y_naTy8RK3#>1<bhglVAzh9T4NJnb2aD z8x*VUy^9w2^){X@w4M6jk=2z6q893KYbGYYY>o`iq|_`2zwG{g_6;AHz8u0Zh~Ip1 zOP@u@s+PqKi#qyy&2_$Sj&Yi{P6=W;1DAt80|SE?H1I*;4Z;izD8ej0$q6Z70$l<W z+Tb8(U~pK-z`y{DMOdN)(H4tZx)>N7m>2{Y7#P?b@)#HxWTE!4w>I{+H`=y9X%UBZ zW&s8UhZbf51|}v(1`7tTYB`wd#T^Sf7TLD2LueeT<)Ny1JKFnu8Wt{I(cjl%+XCSk zLU<w$v$5Hu0M#kv<?82{?dg}~>zI+1ndI;4;0hMn4Hnt~5t@qKt%^`H1i)%DygZXV zLD|Y70V1#iF0cW`4a^KC5Wgxx^@}#Qv^T7Rc%ivtQODx;mM+^~u&5PS)DSKzi{cWn zNsJ5v3=YoR0t^l=Ai@<yxPb_F5aGcsz`(%l5X3CN@ZVu6D~M!e5McNZ5)5D#U~pK> zD!|~t3^KzBq|^aKvO3fwB@_lG2YZlF4%`9^jHoh<4vrvAR^m{fFfi)Dnc)1*z<?p( z(7?dJfUXLhuD}G?M67DiaylB90qjRnbc6r@XJBBkl0di*&V;!ST>xx1x**tTU;^Pj zbT!BY2r`=i9PXm%${{X;Wg-SfJvbBQGIRm3%g_ZuSr~*NaRdrmbQSPI70zIA0Hpy@ zbcG-nF~VvhhX1PYKvPtQF(CyuGnGq3aQQ?FGf_)Xur&w*QcUqUcrq|BXhJ=RToi-Y z7SLju0pf8;q3jR`O7z^|@=6=35n8I@D%}hqIbH{*8d9p^D&3%}b)l-k1rn)c6x0ko zs2KvD{s~?#{;nAro*oIwNe-?cfmuib=w%#Kzdlqy_u{UW?uE?_z3sNWV74Kcjb0u> zbs0c)@h)80v8cJZVR1`ei)}BICjjQ*&}oRKb5SF*PB0ILP9vyJ&Yl$u!8Y`A_N*`j zvvBAzM$!TH4Oj=5g+qr4R0sPKu&Q2m6EKZKwJB6JxFjd0e3!DtGznZ7IK)FzTq39( zP683hAR+}sq=JYv5RncdGC)Koh{ysF*&vM$l}JgP!65-80WnbumVOx+7#+Z-+c7dQ zfD0Og4A=<_oQ*A=z3sNmix(nTklF|$4{8y>(l^L7uruMNA!Hz`5oRD*=%&HaKgcw& zf8nMfWQaEnmJ>jxfddY18bXG6(_r}mWEwaILSW&BkRjeQSbhPS298R&X$TqOO@rkj zkZIsF0XGdHL%eCQd<8NMoVehoA!LX*4VLFXrh!u_+%$v?@utD@Bgiyxa)+CSkRjeQ zSbhbW2Ff=MaMKVnM4JY$M-5<=Coia(zo@0lwxzpqQ3HqvYAr)}3=H5(1>E{_hy~?O zP_1MEEkHnOSHPR~AReNTkF#d7f@&tNX0nE<CaPw#fvSeIv=>5J+W6bu(3-&(suNs? zK<bkgFdI^zpttj(y6m93pfw1jK52pSAoU3jo%U!t!8Hh6Czyvrrvp?cygq4x*C#l1 zI3no)*9%}BU=|J?PEZ}t`lJO~pWsmK3{?%Px{>Sh1dsr7UA_TQl0y4d%nZ;_bb;z; zUSiwJY+@^m)++%OHJ|~B7EqPJ?a&5Fh3z1s14ML!h%OM(4I+9#L@$Ww0}=foVgg7b zxUPXETn2|$kOag;DOidG<u9=5@DdmyLv;R<f~8oHX<%o<O+&~KZyGE`gG>Ya7i1bI zLKI>WxMD;X6i80U^6<<?j6q-sfCHM*A(?@J0bR30Efzs`h;0lG`k+b$n+m7`2C&Is zf&(VRz#s+7WFXIg;|=5)u-g$b5ao!<7r}xg9*8`sQio+ekZIrq05=UG15u4I1HnQ! z4VEE6rh!uu+%$v?@utBtDabT%l7yRvkRjeQSOx}}22R^>(-1Pmn+D6=Ak)B^1a2Ba zhIrFpnIB{tIJdzoCxi^~rooB=kZGW73$E%o5uy;2z)1#O5F>SC2!PWgB)Ow&1}ArP zLCoZit^(p52JFclUejv0Lkl>;j=tXJ&c2p~3p*Nn7xmjVE(D1PBZ&waLeeF;h;+Ek zz`&s40oBZt<?ZU0;pLd%=ire6=Ij7-X6(Sw0;;1y<CuII8J@{rUXEF={;m!l8Bp#- zFc*t9FQ`pWb&km%DB8eWEZV%G+PHi(Gr&fBWN`Ur?f^5fDEC294)y><Ihct>xi3^X zM=Dr_M+V1MFauMmc_36NuYxV8NdxU7LwJY|GlLFHox^re#lYau$-uw>6NAU7F(U(m z9)>7r90OetJSYh!96&=+;KYfp2GnO?0d){Eo55i%mjD9;w@fh9?Qr`+HlYimHJMr* zHgX9-Iz<Fkf(GIkWJ0jnf-VRh+Jp@Pv^Z>n*+NhyXrzxpCKQ`3=z_Qg4^YPw95%!3 zCR0CX#F0TJ44aG41wpM9<mL-_C;~;mVGGPP=z2l<7&B+1ia2ZlB{!LHY;Hjp1UEe) z%}~g&29)iv73KnT9pDlHrFejG95#aOjlgCvx*)Xa3Teo~21cMfhix#s(RINJ3~-i* zmou<p$6*uL{zz>0qYJ|92RDG>_Ct6M+hO*j>w?)2E};<iL%9x{!S;iuq(FrtN)AI8 zgg2@|LoU$a8iyS)d(l;+mUytj&tVJLzG!UzMHd9w2X2Ui?Srr!cEapKR}HccT=;>D zOnCfm1=|;c%|3KNXoJ2NMmy|+*@dnWQQpD|UWaX9dt$NKgD!|?XbEG$$zeCl4HTII zo>71lr{?icH}XSj0(ch{!bk3;GU&k6I@|+!4^kVz#NcTKTpM7Bg34%gL2yL?CJ?m& zx*AYV8MWVx;4wHDfZV|?lL++#+zlYx&;>DS1QSrdhA5Sg8X*arE$D(6HG(P37J@1v zH3F!Ifsyyn1+jJ7VO@9!GnkDe=>knUG03D~^CP+-Qi%)c_(MwE76)^f&FDG^mbj_d z>_r!Zm3ZI*Vb}lwq{MA;uz=Z(t_xP;;Vp5~u-T6;2(uqt#=`A~@Ej~*_M_{9*$*vZ z(YVkO7qoH!Bi7LcK_wu>?+BKI70h0A)u0j(>SrV-w8YK8=3jI{kS*YH7BmO~i(_k; zedww|mf$RLGqKr+E(k4YTVS+<4a_cdl{ib>ENu3m3!=APz=JQyt(OD`TbRx0dP!)# zWMgv+x*&KUXNhgEgB{F9bQO@9XpE_8BmoD|G%>U=WME)m0yoydWi&H*8sA|xsMgQ} zS<nnBFdaZ7WEvPYu*tv#UO@okf@UK@7`lD{CJZjC!2|;X(kx>x)T0jId2CRL3ex~9 zkU{GTK#N{rGy|tY4#+tZLBxCp1_oHSkAV@~^aFPc;9^iy5Cakj7NkGN-~ciM*85{% z1UKWrX28XWGy~QhWMBk0#lU93#fUTm);DBe1ZQrr8E`Qo&46_j85qGC7HkGwj7T$J zy+;N{2T-#IYzAD6NHbtvN(M%7lLl-CT#T?8Ob$ChF?=7C>e!@U%Sb>Y7N9EC0X~|7 zkb#(qh+qT@8r2X53=C4RWhNlgKvgHgG=vO9F~ST43*9u>G8B+$psEmT8Ye;&ViMT@ z=z<u7Cl~@qqbcZ`!J{eYf|#Qz=qe!2!7-X51zQ;d@(id~2lfou?Fbo&35Wneu+W1B zwnheI8mOd!3^XA`Atr&1M;F8h2@C<Gph4FR4jObp%%DM60dWqlpn)ye0eJ@03<i4! Z>~@3<!~{fuAXsQY15t=fI)K&%0s!EsLPY=o literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb new file mode 100644 index 0000000000000000000000000000000000000000..ebdac3c93edf0ca665a14fd335a932475a1465b9 GIT binary patch literal 5655 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H*B(=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4_FMbM|v#aPVhfVE7LO4j_H2_}ZJ=J9&Fr7Ov)9)zID1#H(Q2)3OjGz}MKj zNWQnFd4&LPTkoQN-o}Qej%G!rcAmw34K2+p7I*M;ELz;&(#6xim>0~F;_d4dZ0?ZZ z6;OgJ=3Us))7#BUPrKL{7#LVULBbFWV>y5X7#&VR`5;-&j`oJeg&jRDi`f_UEATGt zSI~!PgoG6Xg99T2!v+S2_xsk$fsKO^DB=#x3<3-c%xX*=P{TQ;jfF)lZ3ShG7#JK9 z7;bPe{Qu9yi7si3B*_Jp<eIi?*3>NyJ7#X4f+Wiglijs>;;tQNvgUkHS!N?cNd^W7 z1_s6?2L=WKW(IS9n2ez@nv4KUM#2&z!vNDG2$f-(HE;7KRO5u8QXDfkZ`cKOB9d*w zFzF=UEQh2_ha|A>rg#SihCfUU<|0sOPDxQgS#eu&ae1&jBS`)S6N9-JRGw?z<atvZ zCQsP_wI1#=aTEm`=FFQh18f3Zfds08$vbAuMpYmQRlqJTBra(yD~u{Dg(540CMyGz zUEJ4V3k&JyNCyUnGfWKTvdFTq(1y!`(hexWab)?sI>5sfE-eq$%mb1}30t@lC8!c! zsLPFQB?XMdP~ESLqDE8@n;I1qH6p^;)TpAUF_gikMh&Wl4;)#$c5L3|FlFMd>8K9U zfGQFMJ6giX!dPBF5aI9!Sm0?w)$xPX8A}*S7-3PRg{n$QMA{IGDs8AL0kFen?wUAj z(@uob;8yBDl|h_lY$#zYXMxR9J*YZ}KNrrMxdUNXBh3B!D2f(iS7d;qXa#mfhA4_w zVOL}fRU`}!E(KX(V_PE$LkmP;z#V3SqEZQuN>db-ig;9-p{P{Gt&-8fk%55$RwObw zENEk7fQZ3LLIx-wR`@YE2&luvU_~B83{vbeD#OYUhW`u>{GdV?B7saotboNE%s5yS z!T7M)fbn4g58?+fFfhQvn1PXvfe~c<e?|w8I4nfb#bM!xE)EMVggD4BFlJ<6)O83! z4kAQgF)}!?F$gd?M1$-GD?)@BoW;oCz>ca29*_)h79)cL2dW}?$TGlLj0_H(a7E0p z`h<Z2#&Q7FqA(7FLkGxJAU`lNIB<dVK?Rs$^$i09j0M&S<Dlx~M%D?dj~Kv_3MwnX zI^n`7cJd(Wgw<CJ3@{d0Cyaw?Coi&2Sart00Aqo5!Z@fp`H*$OYB~l67z?Zu#$j-% zLkb~KI)yo(8J>U{U@Wjs7>B{321%y?+)h520*5w61_p;BkRoPSg~`AGV}Z?raZuea z2sev?ff-hlGBChcV4W}ys!kzfov<pFfdR$>>x6MobqXWvgw?$a3@{d0CyWEr>EOeF zP{9B$Lf}f6QMsTL$iTqB$lxG?Y!R#)XJCM_z!t$cC>9|EL1h)32eAmwMOY+?WDz)9 z!de9k3@{ehG8l)!Aq^B&AOjc~9K=An!2)2tur>n&1B?aM3*(^b6-U(zYf&&Tz*u0t zFb=9-2~@qX<^}@;j0M&U<Dlx5gzII7wLusdU@Wjc7zY-xkSLJ?>4TLEu$Bn}1B?aM z3FDyZlt$JGYp*acz*u0NFb=9t8DyQXRty6Jj0M&S<Dlx4Mb-&x+b}S|SYVwn4ysN$ zB%R<`g*AE@7+@^0ZWsqObmc+15h()J5Mp3}vA}v^98|pusCr?|BL)T-3#=E$LDj2> zsu$L1Vqk!=z<OaERJ}^5dSNXo1_l@ltQW>X)vJuE7g0;YSYW*{4ys-iRK18A9mWFd zg>g{zs-o&e)c!CQSTBr&s#gtFFQTOYV}bR;IH-EnQT4)G74Y^2ST9@{rL@pM)eCQV zFu+(~y)X`{y_%?c;jI$}7z?Zy#zEDqg{l|cf?<HMz<OaERK418z19}cb|M1<jKv3I zI23~_u~tyY$jIp6$$(Iz3{wJX;DMSJC<37J)7lblE{w$oV_=#KlTn5-K<0uP9w-8! zvenuOZZ3?)2V+1gU1aydWRzhHkh!471&RQu+_kobn+s#{!5EnCg~=$x7$9>&O%D_S zP?;=f3pdvp%2L4;LLI<xU<J3XK(&zqh+t$fv4iSmV1Tof;jI7ADr+t%rohc#xD+D` zBLl;K6MMLJI7=DM`p@713IPX%cDNKoyN&}~JE-~vwfY#8;bQ+GZD13)6a$>43}<0F z5iZ3DGVecwi4)usI7=DM!gM2C3aVew6|NtYQbC5QU<wfkXeJXkxG8X!GMx3F!2y&8 zkwOYC1q~^8xONX1OBpWqAJP#pflD#KS;}x0rX6r8s81OLy<uiE1VULVm_h`+%4z~? z!GVH_fdS4^hO_=NIDnEbl2_qU5NGK4Lak<CfU}h0tpCuS%0UJsX@o)s6F<0aI7=DM z!n7PN1r2A=;3&lHaF#Nh^&i@o0$C0hWI(V?Ks`i=Za7OB&cd`DE(P&910xHh*9Y#^ afqQMBUKs-ee0&#eKo^vULD&H_Bn$vE{aWAv literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb new file mode 100644 index 0000000000000000000000000000000000000000..d4bf79102a5658cd7bf7736748ebeb608aaec6c3 GIT binary patch literal 172637 zcmWg2k!R*+U|7Jwz`)?}q)MKd<H*B(=^!2>gMtEs!-sumb~%(XY~W(yb9VL1^2>De z_VjY_b4}x2+|p;!v8rWp!=jG<UIqt$1_p-zP~Z^Gz`(GIPhJG1rn9eQ;lhr_-bMYq z?akf1jf)oYHa0YMG%G5#^DORbXlY)txPzx-(c<=&E}s6yykM3TZ(pxqbB7GCfD&9W z@4}9r-fmu6nk~S<z`(@7z`)4paE5__0nT+ejl@%i2{Q=o+A($Bu9@>4=1ttZbJvWW zn|JMCU~mXPQp+HrQk+@|3K@oDJP@-P92i&_7#JN+GB7akLG9!0Xm4m-*wNFnn0;Zt z0`J0p1${xN6k0GdFgP$WFl=COc)xG094MCH7)9KH9qf3A?Mw^|=CV+u*jpQW+Z$~~ z7#JKF7&wz07#IbZ8O%YZfc(t9xMN|*B6L}Ks9xTV_Wqs*!^JE5`&!V|C_vS4FYapT zHf(O_ZAVw32vxzmaAC)y=4OG#EtsY#p{ZHah^a;ys)n;?g&{UGRFLGcxkD8y&u)TE zRt+jE;OU<*%f;U{Bg4}pAvwum1318hU;(BMRmN;$D~l?l36<e$TE8eO!#}}e-9E4a zh9n0D22faQArvgcsz3*-fM@p3$x|JCJQDmo9Hye0p$k<aC@EzjWGiJMBw~vkuW-Bc zq3VRdMrCApCVP2JO!n}1MK{n8o5CztOohf!g?wNWeKU7pQ)CKN1hLlBFUi+&M^<JM zrfKF-g#uvHvb<g0c6d1^_@TSb5~>VtDK<scP(_en+lozzEsByX&kWCGbgS&3N*Kja zL)-x>&M|xE<P8p6q3Ho0&`wZkQ7H={S=)}jUSULu2TdVxwa!qryo=jcEbK5^+`dA_ zR?raDIv1!Kex>F<P}wTGxP3(rhALO6Dxs!D4*m`c7dp5)>|M2ItHb6UXlc$3Md4!H z3f)l@uE4F(14ZE~+zLHW6t2Xr&<jQ3YTOFFQ4}u0t<VQW;WFF`eNhxH#jVf}Md6~w zI0GD15rPU-Xw2YN2&yO$3K!#67>Hu^3fu~VP!z7htuPox;Y!>JLr@g1#;q_EMd32s z3d2woF2$`7)P_I=&!QDL13Uu7#KpK3MxrQOfm>k|io#X66-J{dT!~v@42r_lxE02t zC|rVDVH}FWWw;f_qbOX8TVVo<!bPia26!Th!iBgMCZQ-?j9XzciozAR6{eslT!mX< zDvH9DxD}?MC|r$OA*fM|NRLZ!E6hMKaT#ufnJ5aE;#Qc2qHxhloH3J)qHrN@g*i}# zg8%t#`)oCATWoEVO-*c#49!t1qg)hqS~%6^p{UcusV*Nyoi<K&1yFU+LKIvqFTqy5 z6{0AFRBu=n7NIDFRBu=n7NaPH)LK{-mY^tv)LK{-mZB(x)LK{-mZ2zw)LK{-mZK<y z)LK{-R-h<^)LK{-f;uUP)V&Z~EMrwzg<>M4*21c=8bu+bj=`$121OyHj=`$17DeF_ z+-|HxQ3$Dwu$ov8RS3xjilF4Ei7gv6K-EE#Bcve1YG5OZLP$Y|Rbdl~LP$Y|RbexV zLP$Y|RbdN?LP$Y|RbeZNLP$Y|Rbd;7LP$Y|Rbe}dLP$Y|RbdB;LP$Y|RbeNJLP$Y| zRbdy3!o}bc539m%6oo5rE9^m0xC*zzUKE8ZaVzXYQMekn!hRHmOK>Zk096P{x8UL% zYr36?q7GbqV^uc^MIE^K#;R^IiaHgX`DF@Jofx=xvIty!uiE3{ne2e2hcXqec%g&8 z0|CX;;EER$Ry-Z9cm-j_GvJCrJt|1)g44}2;fg_SCZKp0TrtSa1QgGPD+allfZ{oD z#j70r9SA6%3s=07u;O`e#j6P`o)1^Ngs@`JP$Q_O5d}9rlt5`n*|f7`ku8?y$3m#V zqW=|a`)u*6T?ALFL{RNwxLQSmYL~#(f|^R;Is&JAm%`P8+>2lBGPqihd-1DX4p$3u zFMhQv;A%B&`)u*6T?tnU@|!Z=xL5^O3-TL&wX5N3L4L!pb`4xD$Zz=7u7#@wxffji z;EaoPaJ3-!;#a#Kt`_88{AxGA)q>oMU+qSyTI6zY39fQ*6I?N<Oo5bxI2CV(D+ZM* z1Qc(9D+ZM*1Qc(DD+ZM*1Qc(BD+ZM*1Qc(FD+ZM*1QhRpD+ZM*1QhRtD+UD}WJn5U z(CvaN289Lz#k=8(L2f3Xcn@4L$jt;4?}aKB{SQiW;Id5<S2?&3t`?N$@T=VqRSQXT zkWmhtVQ~OOF=V6zr{aSsiXo#NI29j4Q4AUJz^V8!iekuM22RCCP!vN3G;k_DilP`Y zsDV@QF%-p+feoCBk3$th>Lzfxg){z6z}14{8oyf5#1N!@1jRLewWm<b1$U=$x&btY zg)kStTF~qlL@lTe!LRl#+znc`eYW`3o`b8^B&ha0RIN0)ouB}!oA^zk6G+Vs9g8pr zD=uK_P{6O_BBl-{{5mdS>QKb5<1&g4aNZ@L;|f%VD8H>Os9}WaY19Flt1z|yZTL+W zbu3=k(%E4InuuG789vvbYGs-hIXLWH1g?jYJ+m@gT|75~CSWo!XAiDJwaEVGx3#t5 zR{$4K1gyD%qDO%!JvUMGC=sRS7K$E4qV(KG(W6Y1o;xUdG>Fo37peym)C-9T>U$_! z789f8K8ltV#Atbdq6N~wA&>?hqG(x3j4h8)w5%pZ%VQKRkW!a`!=9jMSw@U4Pf@fi zB}U6Ls1^~VzU-nszL{8Z%X1W^kTFIaN?)KTg)|j#D1C{d6fz)*L+L9NrH~;>97<oK zD1{74;!yeqMJZ%h5{J^aC`us%lQ@*VgDMq9F5i1rU@5oWLsdd!06gx8!_W^XN+H9T zIFx=wQ3@Hz#G&*Pic-h~91f+QQItZa;BYAYf}#{MK#D`@R}`g?AyOPlzo96F3<=;+ z`W;2-Lh#HG4y8X(ltSj%a47wWq7>5p#G&*TR4F70fV-;vrdX4}Z>UO05`c_?;xP0N zic-ipC=R86QItYPLUAblhoTfR7K%gZe-x#V(NG*p85kjpf*=6^ZtLL)07j@vNB}@a zPH`B@grXEOc8WtOGm28k=qV1REGSAL<EJ>3vZ5%3jG*FB%7&s8GKPvnDLaZ%$S5if zr5q?qA>*hxlyagdT?QU<#i5i7Md?y}O1Ys*A@K?xpT!ZcJW!R;cwG&e+QVT#FH|YS zQ1D<b4nz4+RDy?daj4`+Q3-Cm<4`Gpq7pppfkUMrR3*eq;L>{WLhKc$5Q<W80fbAb zFp5&}Tq!Q4A}C71@q|mMD2h^W=;Kl<22~0PesDWp8GB(Rj-nD=tl>~8fua(e|8c04 zL{SM&Q8-jep{N8W6C5g~QB;DH2@aJqC@R6p1cypl6qVp)f<vVoib`;JYGV&ic@&l4 z@Wi1~0YxP^JaMR0L{SM2PaG<hP*j4$6NgG=6qVrc#Gz6JMI|^qai~;9Q3(!D94ghI zD!D+@ERg0YYFAMmsz3tVkcBK6gLDERs?ipXX~30(#?%NZ*Mutv4M-AHt_4>P8iXUL zTpO+&G$=_>xei=8Xjqb<a$UG`&>$Z{<$7@CpkY3O%Jt#OK@&@mB?@@L-2ko}6z&9- z8^V=?!kwUUBe-%<xD!-v3|9^ccY?}IpvuL;ZF+E?<_8ZMU~SZy!c~Jt=n1JdgR2IO zp%GGT4p$8tMI)rz0<KyaHXnq?-Ij3GpwTfxW?R8kgT}`QskVlz291yrQf&iO4aw4w z)na&(mMw~M$S^A&<#s5_Ap@;=l-r{yhYYpiQ4U(L4jN;GxE(UsibuI4is_Ig7<iOB zp(uwe#K5E68AUl{IR+l(E^y_bOb4FcUJM!J!CI8M!j*$E9YN)8aOL3A3)CdUXSzFF zIk@y9q}&6p99()4Qtk;=4$0EsVOYqN8`doC1y>Eq(u7od!&QT_G$GYKaMhqJO-Qvb zTs0_56H@I5R}IS2gjD;(RfDoLA=LqJ)u1d*NOd4wHE4<xtXdm)5gG(n4G(WTs)OOG z;o*%(bqHKFJiPI!4uz|Rhc_P8VQ|&(@W!J$9IhH3-gs0;z*WP;8;|NpsA@KUSBFIo zNvKVxD5#`L)2x}hX3U&Ff5VLVI~_J)EK+gUGH*Uw^C=ptRr$ZLgt4H6oSdwnoQ16{ z`h>i#rMMj0n#&ldPE}N$g0{-w)PR`a!PF}d2i40gEGG%y8pOaLkN_1I%gXR~b(k`H z=VS-)z6<yk6S!i5WT;ZX#S8md&^DMLRHZ>xi6c}&rzv0?cMxhbajJ!F;z6j*fvOco zn2WU82ca$>s!pZ}?4!Ml_JI9^vf%_YYYNjLP=uyoAt4Q=Xc`t1(olh>VFe)#)o2=4 z5z<hHreP%^4UK3TRuj_Df~H{!Ar0+N4Wfwn0?j_bw}HXJpFyAtsuDG3VH>d!>UyE- zkkTJ~yB0##1WZ-%4QvQilcB1FkW&eG4-ZP(n1-edoHj6(%|ufMP7#>O=AbD9rvpr7 z^U;)nV;@u5A~a>-ILB1B6ipd8rZJVRKvM>eUrc4Ip~|GdnG3w{33F2%BA7QomCJ#e zHpFS!0oB6$A6%&M!&coQ4Bd~aLIG37aa0vbm?|!ys!+sKaT8Sqd=Wgt9gm?Z_?jR+ zJ@_swgp!wVC6FEx7A5cDN+8ohSd@H)D}hW0VNvoMt^_g-ghdG>6J$u4uL&~!gGC8D zTnS{_2a6J3xDv>84;CfDa3zpw9xO_v;YuJY&#@>`hAV+g)?iVh4Oar0q`{)Z7_I~| zIfF%s6<i5qQU;3>2e=Z*WDFK1Zg3@#Nf<0jeBeqT3(v7A35F{{+y8_}Qju^aXgi+} zO5)*4AX7J3EJ}qdflS$8QIZ2!0-378qNEtE1hPN~i;^n163DV3EJ_;TN+64Yuqf$- zD}i)nu_&1gR|4t2Vo@>|t_0F$#iC>dTnVI`ibcsLxDrU$6pNBQa3zrLC>ABh;7TA} zP%KI=z?DF{omiCIf-8Z{d|^@Y1g-=!<Ap`ZJGc_aOcxd<Kj2CrGhA4dFfqf*7s$*O z7A4$JCA|N^<EmK7R3WGez9z^_78XNf;7TAfSXh*(LY46T2an2Pu}2%O0z4XvMTH?; z1$Yz|iwbkN3UE!Ofmw&xp{mfrRN;oI0=~8$k(vFVDqyt?d=CRcNd#O8q?W;=BoVFz zQp;dbk_}e^sY0+QDTOP6R3TWD)WelPst_znI^jwnRR|U(li^ArRR|U(bKpuKRR|U( zOW{f&RR|U(>)=WtRR|U(+u=$eg+CT02jNN}g+CT0C*VpTGw)cGT!Jfs)DKvc+<_|L z{STh(z>-{^LsjrKLCStChJ1i4ft3ANl>CG%ft0sclrXZuvOA=V!=i*6t^`uXVNoIq zR{|;Huqcs-D}j`8Sd?hOl|afkEJ}>wN+4w%7A3ZDC6F=>ixPLZ5=a?`MM)r33ABvE z5=G%q6|gc6iy?_{C6F=>i;`@(63Fa47A2){C6HNpEK2I(N+7fGSd?_al|W|Uu_&1g zR|1)R$D(8|TnS{>9gC9XP$ke(8%xNog{uIU+E`R<hN}S2h+$E&3$DTjGLjG7U4kf1 z4<S@Qmh@q$IE7H51RjFJP;m*NLJ_-)TL=}9VN(n<9zazHH9;1+L)PR$_emj~`y8rF z@IQD`0Ec1kpsIwLAmtAZ!@fe52|@}O9ESaYs{+rY;!wrR3MnB3|AXTKhbnHUD&GG# z8rVZh7@<N7y9#N93dA57=o}GPC<!P+Rlvd$vWyTTEH&WDAU!P{%JiYiph1qqPBW+~ zp(aS*1BYSOaAlDG2M%QpaAlCL0S;xZaAlD00S;weaAlCjJq~65aAlANJ`QCeaAlB2 zJ`QD(aAlB&J`QDZaAlB|JPu{aaAlCzJPu_UP-TMu!D$soT;)Sm2{l35{WuIOfh&Ww z{c$L(genvK4{kf+u(KJeN*p%Q0UakrtYL>GUj~6LRJB-EuOrk>LRE`p-8w?;EL62v z)}JHPE`+NE7c_X)u7azDma=&Kwh5sYQr_ZGy9=QfQu5+adkCQxQU>EudkU&nrfKh@ zJ-AoEBLd?RLc>C$G~7aHSWJ|LM+gloh|=&1p<xwK8a^R3tRzaqFNB8GL}_4RgH!=B zO?#IRrGX2oK^C-Y0nfGqL_`Q7bSRh-r9%p#Ly0&YN(dc_#OcsN=ujq3hY>=D25~y9 z5IVGo)8T~Bp-G$$FN6+$Qybh{HW2X<gwUZtoQ^1j4khArBq4Mt5~m{zp+lKC9YqKo z8pP?SLg>&UPDc|$hbD14x)3_}O>J;*he5>0B!mtH;&jYH=ujd~$0CFdMdEa<Lg-K? zPRAyM4h`aT>_X_!B2LF4gbq#Obeuxy;5W6wz10X2AD0k16o}Jt3!y`aI314=Iuwc1 z@d}|snK&Jv5IQu7)A0+TLyI^aOze>AL-xOoCUH8r5IXoxZE$bnLd1s<LWcrzI;0Rf zl!()zgwUZ#oDMC74rStW7$J0M5U0Zmp+k!}9Zm=xn#AewLg?T(wZXkb4iO(g2ptN< z>4-w;P$Eu85<-U}aXPXPI+TgiQH0Q;L7a{%gbpp@bTlD!XcDKR3#tRs&V{T@!?KwU z5gn5d8W!%^ON5452n~yg(y$1jVFghdRv|R3B1*$1goc$wY1oC(u$m|hhY%W;5T)T1 zLc^jxdvWgRM8v}-gocGgX}E>Zu$U+fj}RJG5T)T2Lc=PeG<-s6SV@$IUkDAWiPFHt z0jV$`{S6|5l?$O^(Vo3HH_9U7K?tE?AyFEn5E>Q}r9layVFghdv=ACr5v9Qhp<yLa z8mtf+RuiSc387&LQ5w7u8W!!@3mKfmTP_75G%O@aLli>8Vxlx8AvCNYN<$Vx!z!XQ z6d^RMBuYaSLc?mJG&CVJEFnrm7ed3LJ$rF(=|<#-NeB%KiPA6&p<yvm8WtfmtRPCm zDujkrL}}QB(6Evy4Z9E;RuiS+5JJNeqBNXBXjrsoFV21Ph<Lb!(6Epw4Yv>)789l6 z5kkWXqBOihXjny*hEE6$D~ZzZ3!z~(Q5u*yk?RK{f|U!QVbPwwkl|ju<&qFW!$P7o zNFg*VCQ5@6Lc<E8G-x3-tRhN-5kkXCqBK|`G^{2{gA+o-5~4JCAv7%7vlr)y4v72^ zgwU{%C=F2v4U37=kc7~%f+!7H2o0-<(olrZu#zYZRR|5MiPF%7(6EFk4P6Kgi}vir zc}xZ(9ws3)EF?<9EQE%|L}^%r(6E9i4XY3uRuQFP6GFpEqBQJ6Xjn~@hC>JqONi2N z3aWu0Hg^Qybb|=`3s5xz(D`Ge-Qx&F*WrpF3xlvKx&v1PnHI&W=qX$gWc?6UMepE> zAS;NlD*6Fe1X)9bRS^>xq$n3?f~+FKs)z@!2(pd{t0GajBFIW2tcqmdiXdx=uqsl6 zD}t;h!m3Cgt_U(Mj8%~(ToGha7^@;@xFX2PB&>>j;ff$@ldviZgDZlp4#27?0jh`} zvNix|lRqLkWkA&kK$jn3HK+)#2(kbPtD+jXBFGFiRz+=aMUeSvtcv>KiXgMoSQSl& zD&mJs6Jzz<T&Nm>Cdf)8tOhNID}t;=!m4N^ToGh75>`dK;ff&Zk+3Q{3ReVKk%U#z zd8i_O$hu3cp1TTFBLH1_iPfO{a7B=HNmv!Vge!uqOv0+@Gh7j5Z4y>Rf8mNCtCO%Q zV&{ex1d#PfSQQDv6+u=gVO1mzR|Hw3gjJC$ToJ~ZAc$<B4_Ab78VEv>B~%eVWR)t` zSh0ty5olTjK7#_QlRTk{`2T~KMPW545UvKiBmt|M2)G*Xf*q`C;-P8;nlR4qK=>>b zt_b7g4uqm?xFU=*I}nPB;EFI#>p&=~gewAv5%M`52t^H0Mf|X3QdnxtHn<w_@=B!h zDG=uLz}0})lVDXd39bgZ&KZkcGvI2VYm~95nGaV3j##XAErY88M=Vw~YvF3Z5sOvL z7PuPl>JFr{BoKbz1y=(OAFOH)z}0}m2dkQ6a5doY!K&sATn#vUu&TKXR|5_otZHt- z)qukXtD1*!HQ?~Us^$e;4Rl2dmiT%HRU-o35rno;91)OT;R+!uws9)_163#tNwts_ zi&$)ChF&5k(gayMjMG34xI)M>GMox|;R+!O$#5zZf-8iq>cXi|9Ig<us2Hb08Ms2o z0x+Bk72ygYOTcg{RD&yoEbqdpP#dlgvcL<cLIb!$$ns~L3Qge(A&a1KDzt(tge--| zsn8LsP@rk=BFKac{A>Y43iCuLT8L9oAVLxPIRXfi!l8;pnn0WQ_TpBU097c^w08wg zD>D#^R^e1s09C~Q-v+WG3`>fyK&XMN1jC}H0ig!60t}0q4ul%W3RNs>`k-orA-ye} z>ZU{0@x#`#VlitzLJed!D;71&5o#c72eGJGk5GfQi5(GjTcK*8?#8KZA5<Oxe;XC> z3R^7p9f7K078JCC+c3%?Cj?j+8HMAa8#WmjC6(dq{|pYI3<3-+j7-9baCs4BIQu_? zgE)f#gK!dDQdSwx{?Fhb0o9xWmlsinv;Q+VaDg<Z!X;&u;cTeMAe+<S@*>J`_J0Nk zevsx2xTLHyoc*7{K@w_n7F=FL8P5LC;J^pcoDG+hRfe<wGdM^=HRr<RMU>&}{|pWS zAkBGjNm*q$`#*z&G*oi|TwX*2&Q^xA{xdl6FbFU>I5IFWzy%o~g2IJxy|Nl`wlbXc zpTR)}rWY>A01*U*STS6?hz6Xk3}+!*4HsmDT3rIyE2{x#E5ljHR>K7u5muMMwTo!L z*~)Mhvej@wCaBfraJ{k`aJDj>g={rkkO^URC0x6R2Ar)7XCYe+7i5N7T?N-Gs{v;# z!&%5y!v&cUR@cC_i)g^v%5WC4)o?);sMWP_y|Nl`wlbWBY&Bev1z~kPT)T({oUIIJ zAzKX>WQAJY0M{$40cR`2S;$ty1z8bRH^H@wXu#RZa2B%Fa6vYx)y;6dvKnx<GMt5M zHC&JlVRb89yNCvytqf-&TMZXvhg#hR*DI?5XDh>5$X3Gz*%4NEz_p7g!`c5C9C$&6 zLML2ORvFIz&)^^nEfl)p@*>J`_J0NkL6GJixTLHyoc*7{K@O_94=yjF3}^pma1a7% z?uSdtD#O|T864!HnkT~LMU>&}{|pY?AkCBDlCsKh_J0Nk1*qmJaCs38I9nOc`p@8? z1S^l=f(#Hr;i+)FvKnx<GMx3F!9fJ37cR&E5d@WG)8X1hG~jGyI1AZoxF93c>KSmo zvKnx<GMt5MHC&JpVf8Gyb`cFYTN%zmwi+(T1hslLT(7JKoUIIJAzKX>WI|Xy7p`4I z1I|{4vyiQZ3o=8ko(I<}s{v;#!&%5y!v&cURxf~S7tw&TmEkO8tKotyP^%Zh^~!3% z*~)Mhvej@w7KGJ{;o3zs;A~|$3)yP8AS=}BC2+m68gRBUoP}&PT#yxE^)k425e+z7 z8O}ns8ZO8NwR$;RudD`~tqf-&TMZXvLs-2Mu3ba}&Q^xAkgbLbvO}$21=lO90cR`2 zS;$ty1=$f+uYqe9QHHbsGdL)N3Wc?BNm*q$`#*z&Ft|`)5#9)sXIeB>1I|%~v;H$U zY=z})xF91$P<Rtu^TMebaE>yZg{&Da$PCrI8LoNpR1G*s8O}o13>RdAYTg3V%qXi2 zXG8tW!pJPV6DH5JXsR-t^Pj<?6BH=B;Ia#+YQQ<laMphY2Su2t;ezZCLE+sn&5W`d zaJDj>^`F7PiV@l*gbOl21X&nagb%>9gIosZz+83^F1v852Arb|XCb=`E(mkkA-Lwn zQ#IfmWjG62GhC1Z>aD{t&5W`daJDj>h3qZ3AS29MtimT?+Ck|E&QXT5knM&G!W@1Q zu6f~9WjF^GCa2)Ci>GS9Im&Prve9rsn72;DHLsYe0p}>gS;(5<f}GGWIRn$oD60Wy zE5ljHVFDLqf`tj2@I{z*P?*3u%5WC4-Ecve!!N-#FPy3j=fJ|`GF*1?R1G*s8O}mB z8ZHP6?kjN3E2e6|Im&PrvSzp-EKIJ#HLseg0p}>gS;(5<f?Uu5y#~|FD60WyE5ljH z0SXsnh6O0Q@GY2jP=LZY%5WC4-Ecve!*9biFPy3Y=P1Kj$eQ7Tupqkw*SvVDGMod8 zjk|E!6;n0f9A!8Q*=V>RETZqhHLseg0p}>gS;(5<g0KL+57)eMss@~+3}+#0h6{2- zW8(o#Go!2qoUIIJA;$(>kOdYS%)(D#+Ci}a=P1Kj{}~)qV5KNrkR2i@{1mQv;ZzMc zM;Xrg&)~ogD@Ngh><~fWXE4o-vdVDwe+CCNaHYm9{0b%yav7YX3}+#`3@*q9b=hmU z=7m!=;2dQ*3)y9GK{lw%-oP|7$|}Rz{}~+Ap)UIXlLxsB&QXT5kX;5BWQDryBV6;s zsTy#OGMt6%GPocs)McMwni*x4;q3nm4jNFGeS^t^Tn6VT!&%5KgA1}iUG^QWdErzI zI7b=ILUtKkkOk_pA27{~vdVDwe+CClsLTGq<Uutiob#W-VLGTT{|lF0I8_<W`On}m z2PFFsCd(+R3}^pmaL|I9&BO$m=4Akx4d?u4aF_wo%?y`aI8_<W`On}m7bMF9lVy}u zhO_@OIA}x7=77nA%!YIRGdR?ObaTRG7fw}%bN(|p%mm4D!DJa_mEr9F3=TR_v-x21 zAhY2dm~MW!?82$aa1P970hlbKtTLSapTR*FY&NT~2uvPiHk<<sQBk<;!l}w|4lFjr z;IfOSD#JPd862j8d?gN-T`^S|&iT*aFbgCr0h48vRfe<wGdSo$-6aE)2e}K*fyIU_ zTz279WjF^GlX7s`#Z#5x9GI)+;j$~HD#JN2A1lCQ8D*8>?Eef7`cQYNz~n*hf^%ST zp$eB>I8_<WfyIRyTz2tPWjF`sYIV5mimA$Q4$Q|IFj+=fWjOmkgM$IoT{<v%kh|a< zn7ef0vJ0mw!#OZ_>A__ePgRC<{xdk#fnrJ@F1uo?GMob%uVEBm5H^6xGRi8$+5Z_F z48iVV5jKIzgWLt@fX0GBx=rD-3#Tf>IiNleNY)H4yLhSwoTCh9Ar~}oL1t({V-C~I zD60%-|7UP80y~UZ*cv7eDgxmgWjG7D2!snVL5n~exaNgZHQ*d&I1AZja6u-h%WPqq z8D*8>?Eef7#!#0z!sJ0NgL9POEM%9#1sS0(bAoGLI8_7AQHHaST?Q9qgu2WbrkPPz z8P5LC;9vrEnLA7#<T5x%8O}m>8C;M7>M{?w=7m!=;2dQ*3)y9GK?bPHJYkv{WtHLV z{|pYMV3)B7`@-Zw$qdc`jq!mJk{?`l;Z$Wf2bP5W;j)XTD#JOj<R1W&Wt3Hhv;Q+V zn1O9(77l^QgKUO#U^a)sWfx9WhI9TiIMjo(Rv1i{QC1nw{?FiG4mCRpCJ!<j&iT*a z&;ZgM4VPUwRT<6!&6I%r90QYOlvRea|1&sPK+R5o$%D*>b6{C75iYxMsxq7d%eF}{ zSw>k6I9nOcLQV#7L1suY0QHK~VA?@e!#V#!Gb;iN!s&3?g;SN`oc|0CP9RTbz-1Rt zRfco^GdMVdWHVv1jIzpb_J0NkOR%R|gmYo?Ae-Tw{|pW;Al-Rz*@aV;;hg^r4z3{C ze7Nl5smgH9e+CCPkZb`=mQhw2&IUEAp*EMm<UuyWIsX|P+(Eia;j#;-D#JPd85}%7 zvSo1D#Z#5xoc|0Co*>zBm@K2LGMxRN!ND48b2UsJWHX%epTXfKNOuifcHvZIIOjiu z!wryZEnIf-RAo5lKZC<9kZc`HmQhw2&i>EfU<0<9Rk#Tz53(7~fkuaLGhBAzRAo5l zKZAo8$m|xl?Bc1)aL#`Q2XByUD_nNPRAo5lKZAo0NVW|o%P6Z1Xa8q#um!t|UAPM- z4{{fr1B=OSxa`8I%5V-W74*Pm7f)4&b6_c`7cRSEsxq7dOS^q=*;P}O;T%}H?}y8- zoT?1xz*6=Em@K2LGMxRN!NCsdrztRbke}cjSQ?oMmt8nj8P0*FuW4}E#Z#5x99Y_% z4wqdqRT<8Kg~trI?5e5Ca1JayX2NAxPF03;U?DvVCd(+R3}^pmaIlB^X&y`-<R>@> zmip$yWfx9WhI3#kcL7{>@l<6v2bSs=!ev)XRfcn5;jsuVyK1U3oC6Dw#c<h`Q<dQy zSV%8{$ui0+!`c5C92~%YViR5olLu9yaE>yZ^`F6E8>}A<7i5753a^4|UN}_)&QXT5 zkVjGBg0Laj)o{&=r)t1C%5WC4X1E}1@OKSd^NOh&aE>yZg{&Da$N(L`T?^N|YN`gD zqYP&uYlaKL27lMVG&9O7!`aY0!@|fWycs4B3Q#yl8O}luP`Dr~G(fk&H7}g10p}>g zS;#Jf3&Om$6|Q;lR1G*s8O}o13>Sn2=r*|K6;n0f9A!8QSu<P^7AD)_npaKLfOC}L zEM(1aL0CH20n^MVs|;sDizrZl?uE&N0u;_shO>|Z6fVdH4bXjX%?qb$z&Xlr7P8CW zg0L{z57)eSss@~+3}+#0h6}>HbpWn;#Z(PAM;XpS)(jVfMdd-b=2cTQ;2dQ*3t2N< z5EdJUV44|amEmk?xeW@?<1l$pfWkS-a29fa!UdV30eS+idErzII7b=ILUtKk5EdpU z;hGmu)qr!9;Vfj$a6wpXoPuj!F;xT3QHHaSHNypA0eTv)dDT=6I7b=ILe>lygazms zm}W*<WjGsJrGNtTB1|3>pm2^doP`{qa6woZdI_$1;ZzMcM;XpSb{Sj{=B>+c&7hHZ z7)Kc{jI0?h2n*0FF!fBJk$4zK87_>h87>G5ldCZGOrVi?7)Kc{jI0?h2ulaoVCorV zmEmk?4Gs#>+c0@hfWkS-a29fa!UbVv=pDG`g;O=)9A!8Q*=2A+SeV>}YhFB61I|%~ zvye5z1!3O02iLq}ss@~+3}+#0h6}=?@;+Sis;L@qjxwButQjr{i;V{`&5W|ja5l7A z0}9ZmFnLgb!a2%t7IJ{X1(~2({ux~J!l@c?jxwBu>@v6@EKHumH7}m30p}>gS;(5< zg0R?l0oS}@ss@~+3}+#0h6}<1^d(&Ls;L@qjxwButQjr{3(!|E&5W|ja5l8X1q#sj zFnLgb!a2%t7IJ{X1z~0A2e{^iQ#IfmWjG7jWpF{5w?4u(FP^Fa=P1Kj$eQ7TumJr8 z*Sun?2Arb|XCZ5b3&O(WGhFkksTy#OGMt6187>G*2VY>C8D*8>Y-j@)6rew0@}K~P zbClsM<N$>W!phKJaLo&+YQQ<la2B%5;DWF)`3={+c&Y}RqYP&uYlaKLy!8jJdBs!> zI7b=ILe>lyghl0FxaL(;HQ*d&I15=bTo4u;|6rOylM665wABv^P-f_P=b*_24LC;` z&O#1QxFD?A!~)m6aH<BJqYP&uy9_P}^A;;y^Wv!*aE>yZg{&Da2#XCixaJj8HQ*d& zI15=bTo4u}>~PJirfR@B%5WC4X1E|MDmh@98D*8>Y-sl%w5o##CJ#!XaE>yZg*?gx z7vzSFG70m-H7}g10p}>gS;&Kpa6xY9U?U$)Go!3Boc*7{!57+x6NbryTn6VT!&%5t z4Htw}mm+Y@3#V$pIm&Pra+tvdVPPf;*SvVD2Arb|XCZ5b3&NsW46b>_R1G*s8O}o1 z3>SnYGI6-(RZ}(K9A!8QSu<P^mQEyKnn9C2FgA272b6bYVPc>Fg>#hQEaU)%3$jD2 zOF6jag;O=)9A!8Q*=2A+SeVGeH7}m30p}>gS;(5<g0R?7fNNecRRhjZhO>}0!v$dh zstDJ-YN`gDqYP&uYlaKL0#pg6nNe05&V~+uf%1+TOdb@VaE>yZg`9Wbf?UwNqYl@+ zaH<BJqYP&u=N-5p7c}o^z%+yAsbK8?3=V$ayu&Q40}}(e49-!8vyfc|7vzMxOc$<s z;ZzMcM;XpSb{Sld6Y4TOm}W*<WjOmkgM&ZRWkxW0kjvm4WjG7jWpF_bsLPDunio#h zfOC}LEM%9#1v#KDGl6MllvRea|1&rQKwV}5lLw81!8!jK91=jIotALfg;O=)9A!8Q zc~uBpkO#Ud#0sXFQC0)aR)(`c1K6;I8E`>X*s2f~VSAW%kjvm4n9CgCvJ0nbz&Xlr z7P8CWf-sjk!Zk0RssZOH!&%6h;exzSZ#ls<Gs<ef*~)MhvbW%ZY%p)J3cJI!gOUQA zqYP&u+YJ|lIot!TdEr!LI0qIco^aX4Q#IfmWjG7jXt*HETV8O@E2e6|Im&PrvSzp- zA2dw7VVW6bHQ;PzI14#U;DYS1Fku(=hiM0e37n%0XCar-a6woZ9RSz7aH<BJqYP&u z7j|$#STP$2*SvVD2Arb|XCZ5b3&M)oAh_lgQ#IfmWjG62Gh7f>I|RcuubQd>=P1Kj z$eQ7TurfLXu6gBD4LC;`&O#0_xFD=S7z)$OD60%-|7UOr1Q!Wx!jUj}P;9_C%5WC4 z;c!7%Y(&8|FPy3Y=P1Kj$lihr!n_p?*SvVD2Arb|XCZ5b3&H|42CjL<R1G*s8O}o1 z3>Sn&Wh`9ts;L@qjxwButQjr{OGI%n&5W|jaQ1%&hahl(vI{4{<Us)n=P1Kj$N>r$ zgvC}eT=T-I8gPy>oQ3Q%xFF1BDR9k;r)t1C%5WC4X1E|MOj6;RS4`D_bClsMWX*6v zSZt)hHLseg0p}>gS;(5<g0OUu4%fVLss@~+3}+z+7+esR0yAKm8D*8>?Eef7!O+;q zfyskn1I|%~vycsk3&LU}7p{5XR1G*s8O}oX7F-Y(8+mZei>GS9Im&PrvSzp-EH?7t znpaHKfOC}LEM(1aL0D`Qz%{R$ssZOH!&%6h;exQ(D1>WXIaLGBQHHaS0}L(*>yi|~ zG&9O7!`c5C973S6Q3jI-#Ri<C3}+!54i|(OUJlp1aH<BJqYP&udkZcIi;W7n=EYMr z;2dQ*3t2N<5EdJiaLp^GYQQ<la2B#=xF9Sxs^FSeP1S&Nl;JF7&2T|jY*fQFubip@ z=P1Kj$N>fygbl9Lz%(<;D#O|T85}~PvC#mN2gL@QqYP&u8x9wQ8Quujyl|=poTCh9 zA$toh2#bv-xaP%EHQ*d&I15=bTo4u;&2Y^trfR@B%5WC4X1E|MHd^4CS54J`bClsM zWX*6vSZuVyHLski0p}>gS;zqf7le%+w!t)m))K(j{}~*@ps~>f69dHtoTCh9AsY@C zgc;rq*Sv752Arb|XCZqFE(nW_9=PVkQ#IfmWjG62Gh7fB8@+JNE2e6|Im&PrvSzp- zEH?V!npaKLfOC}LEM(1aL0D|`!!@s*ssZOH!&%4y1{Z`4jZc7S2CagCvHvqTgo9&) zO?V1S3=|u1jxwBuY&cvHR#HucYhE~21I|%~vye5z1!0xWG`Qx)Q#IfmWjO0UgM%t; zULP*V0udCR4%fV5ss@~+3}+$R3>Sper8D4~S54J`bClsMWSilF%ut(W!Zb6=D#O|T z85|;@0Xi2Z4+>B?M;XpSb{Sj{R@lsgYhE~21I|%~vye5z1!1u<AFg@vR1G*s8O}oX z7F-Y(pbOxdS4`D_bClsMWSilFu&7)J*Su<~2Arb|XCd1R7lZ}qBA8}IS!Fo;KZ8Rg zG(eZZ<Us)n=P1Kj$S#8m!pe)~aLo&+YQQ<la2B#=xF9SxR=_nco~i-oD8pIE-hvCl z0(2!@^NOh&aE>yZg={li5EhlI;F?!W)qr!9;VfjE;exOLT@BOBD60%-|7UQBf(Gb% zm^>&z;T&Z+3)y9GL0EaR0j_!BR1G*s8O}o13>SpO#zwg2#Zxul9A!8Q*;{ZySb%PV zYhE!`1I|%~vyg3u3&NsuGhFkksTy#OGMt5MGh7fBpj%*?LAxno?Eef7(cl1O7v2FA z0|h9YqYP&uy9_P}D~xu+H7}g10p}>gS;$obTo6_@?1F1vJXHhEQHHaSHNypAHQH{t z<`q*l;2dQ*3t2N<5LTn@foonhRRhjZhO>}0!v$d#@m{#*l~Xm~9A!8QIl$n8u)<~^ zOf#dbGMxRN!66158!W<yVDg|5HaG`5b}oDvF1v85GModQL=ip$mt8zn8P0(Y6AK@O z$ui0+!`c5C9Ad#XvkRYu$%E1XoTCh9A$toh2=mq{xaNgZHQ*d&I14#I;exPqa2l?8 z@l*{sM;XpS)(jVfrGqnY%`2vAz&Xlr7P4lzAS@l6g==0lRRhjZhO>}0!v$gK;2d1@ z%BdP~jxwBu9AI!kSjIUI)66KV3}^pmaEOD(#$}j1C^q06WjG5t%fkg3ptbxJxaNgZ zmEjy%BDxBfT|89-&QXT5klhUzghli<xaJj8HQ*d&I1AZba6u-hyRO4EubQd>=P1Kj z$Tq_TVIg({u6gBD4LC;`&O){sF31SA`6f&=qpUKV{hz@h9va+tVe+8hhI5qREaZ_^ zxFBq+<vqCOg;O=)9A!8QSu<P^wsZA9T=U|o8gPy>oQ13zE(qJX`T(wZ#Z+ZD2exzd zAzXIVR1G*s8O}mB8ZHRix%vpMdF50MI7b=ILe>lygza2?4Aaags|;sDhs0SJS%qJ~ z<Uzp==P1Kj$oUQ~2+Mab;hGmt)qr!9;Vfj$a6wpc@d~ba@l*{sM;XpS)(jVf6&J7J znpaHKfOC}LEM(1aL0BpG2Bw)&Rs+sfhO>~9FI*7XdH@C4N0@d{kij|1a2B%Na6wp* zeS&LVI8_7AQHHaSHNypA4*v|-ym+bxoTCh9A!~*U!h-AzT=R;l8gPy>oQ13zE(nXP zuQ1JwvKnx<GMt4RWN<-fvj-GpzhK%yK?dh2!&%67!v$f!{tef>aH<BJqYP&uYlaKL z9R3HcdGS;YI7b=ILe>lyghke0xaJj8HQ*d&I15=bTo4xC|6rOKWi{YzWjG5t$l!v| zYJ-K5U6_dlv1L{R&QXT5{xdinfOTu(g6t4MVP?4Ig;O=)9A!A`KZC=5m}a;jJ48^J z1+IDVR1G*s8O}oXHCzzpYgV}C6;n0f9A!A`KZC<Qn9XoOc8H)b8(j0MsTy#OGMt5M zGh7fB)9i4~E2nC}Im&R>e+Gx`Fq`3m><~d=4wz=pk{B5KKZ8Rev{vGQiGdO@oTCh9 z{bz7E0y7*g$PN({=7nnpEs23~l;Ohv85|D7G{XhiA%enuF!fA}r)t1C%5WBPn7{>L zvB3}5yke>boTCh9{bz7E1hW|~$PN({7JzGBHB|%7QHHaSZH5cNVnYzFdF50MI7b=I z`p@8S5N0!6kR2i@ECkccD60%-|7UPWg2sjzOdb>)aE>yZ^`F6EC(LlTAUi}*SRAf- z;ZzMcM;Xrg&)~2FrWr2C4iOZVfNNenRRhjZhO>~v1TF}R4N17>6;n0f9A!A`KZC<D zn9XoOc8H*`6kPMFsTy#OGMt5MGh7fB8`5yiE2nC}Im&R>e+Gx6Fq`3m><~d=8JK29 zS!Fo;KZ8RuG&U4q@}Ss&bClt%{|pX$VTQv6*&%|$ig3*fr)t1C%5c_y28TT`&2T|> zh@h|%T=U|o8gPy>oP``Fa6wpXD8n_cn5qHiD8pI*860-QY=#T6Lj;9Y;F?!W)qr!9 z;VfjE;exQ(P=#w=IaLGBQHHbrGdS#m*$fwChX@L*!89|<D#O|T85~lev7rT%2gL@Q zqYP&u*Bfv_HfX(}4cEMIss@~+3}+$N8*o83XuY8W*SvVD2Arb|XCa3PTo4u;x^T@a zrfR@B%5WBPy#W_wgVq~*aLucxYQQ<la2B%7a6wpX=)*OyoT>rmD8pIE^#)v!4O(v) zz%+w4;ltSf85~lfv0(xe1H}fMqYP&u*Bfv_HfX(J3fH`Fss@~+3}+$N8*o83XuV+u z*9_Xi5927qg^|MqE(nVabC`P2h6y-F8O}nkH{gP7(0aoHu6fl|4LC;`&O){sE(nVa zOStBhQ#IfmWjG7D-hd0TLF)}Gm}W*<WjOmkgF_lLHtb;XpxA(Ol;JGodIK)V2CX;j z;hGmt)qr!9;Vk5O11`u0tv4Ltnio&ifOC}LEaWhO3&LW<5w3Z~R1G*s8O}nkH{gP7 z(0aoOu6fl|4LC;`&O){sE(nVaXSn8-Q#IfmWjG7D-hd0TLF)|{m}W*<WjOmkgF`wr zHauYRpxA(Ol;JGodIK)V2CX+d;hGmt)qr!9;Vk5O11`u0tv9^jnio&ifOC}LEaWhO z3&LW<8?Jf9R1G*s8O}nkH{gP7(0aoMu6fl|4LC;`&O){sE(nVaU%2L#Q#IfmWjG7D z-hd0TLF)}am}W*<WjOmkgF^;5HkgHjVDg~YfOC}LEaY{Ra6vxkI?7<U=7m!=;2dQ* z3wZ@6T#ygCf-?lBnNe05&i>EfPzZJzyKn?d9^^7OM;XpSuF>Fvtk4=Q60UjSR1G*s z8O}nk(cprt&>AfYu6glP4LC;`&O(kYxF9T@M8h?&n5qHiD8pIEH5y!y6<VXkz%{R$ zssZOH!&%5S!v$gKBo?lD<x~wgM;XpSuF>Fvtk4=Q4yGBjTnonj&)|>=jg2Ij7$`R2 z9A!8QxkiHvvO;ULWVmL~axEA~87_=mqrnAPp*30xOg*S41m`HjS;%1m7lg$|DqJ&Y zxfYD03>QYO(cprt&>AfbrXI8f5YADCvyg3u3&LU}9j+O)Tnolgh6^LtXmCMRXpNQu zQx96M1!MnbaL9tjMh;926dQ1kGMt56qrnAPp*31ATr+677L20|7e=np;DW5s8Z8f| z9uymJjxwBu942r<SZw6OHG`IG!8porVdNSOF31Y4(F$PdL9qenD8pIEHp2yBu~7)u z3|g)Q<0!+0k!v)#AS<*+D}t#9E!Tpv|1&sbLt~>1CI*TPI7b=ILax!^f~?RQtsJfy zv|J0uQHBd6*JyA-R%ne@0aFi(4LC;`&O#0oxF9SxD&d+z%e7z}Ww<bMjRqHFh1O_Q zF!i9=fOC}LEM%MEg0R@AhHC~b*Mf1B;lju@8eEVSTBFs#)Pt65!Px&99CDzs(Et+z z#Ri<C3}+$N8*o7uXuZ)0*9=;&1>-2gg^}wGxF8F(-e`iU2gL@QqYP&uhY4H|78}iQ z&7kF4Fpe@@7`fhn3$j4#jTV@CP;9_C%5WC4&2T|jY_!5PgO+Q-ILdHg<az@x$O5f5 z+F<HI%e7$a{|pYf(AemLiGgAR&QXT5kn0V&APcnK=!R<sE!To^l;Oh2^#)v!1zK<P zz|@0c1I|%~vyj6CE(nW_Ubtq^axEA~87_=mZ@>jvp!G%{Og$(z;2dQ*3)yD4AS^ce z;hI6qwO|}&xG-|P0T*O})*BOG>Osr3VC?@44tdbnm;w_6#Ri<C3}+$N8*o7uXuUBN zt{Jpk3&v4~3nSMXa6uMmy)g}@9uymJjxwBu942r<SZqv(YX&XXf^n4L!pQXoT#yA? zZ_I$H2gL@QqYP&u+YA?k#l}py=9N=5;2dQ*3%TBa3$j4#jae|wpyPI6?Eef7`Ow&y z2NMIu2Arb|XCc=ca6uMmy)hrIdErzII7b=ILasO9f-KN_V*y<A;;9;NjxwBu942r< zSZpkWYhE!`1I|%~vykfzxF8F(-dF_JylScjoTCh9A=?ZWgvG{UxaO5pHQ*d&I19Pn zfD5uf>y0Ha&7gyVVC?@44h7)YU>05h69dHtoTCh9A$Mlrg1peq%u2ZCg;O=)9A!8Q zxf=x+<b`&lR>3qg$|}Rz{}~*Lpe|bnlLxsB&QXT5kX;5B<bk?uJzVp`sTy#OGMt6% zGPocQ)MXoBni*x4;q3nm4#i-Xu?TO0$%7Wlz&WrZN4LUd7fw}%b704nZiCA%o~jJz z{AX}j587n69VW{ts|;uVXK*M1+srDw8!j)X3}-{HQxe_-lVqAXLmAG2-t-~77beSW zBrd27=RxoC5Z(uqW)#+dvz6g2<ZWAULFfte!u#QR4K(0vWjG7@o&vZa^b!!zeCc7h zc0px08|JAaFiDW7;2fBzj>2TYo`UmWo;n7TW)#+dvz6g2WKY2bq36#FABXET(15d* z;VfiN!37y1moI=kbsDZ+P#MmKdFl*I667g32j;1>Fj=st;5?Y8&cUP^g*D)8WjG7j zQ*c4(0rJA<;d%`;;A~|$3)xd}K_-N!F2l78D#O_@PhEjYf;<K1z&v#oCJXiyoCovN zHJCJ`um+s13}+#G3N8pe#9jD0T(5x!oUIIJA$tlg$c*sRZMb$pWjGt=sXH)9kf-1r zn5XW-WWk<-^I)F32a{$L)_}8>;VfiN!3Cjbp$p%K>ow4Tvz6g2WKY2bSzw-G6MhKO z&NOv~GModwwN3aDTz10@O*n^<MH$Zi&)`tXAi#hkP=+p0jxJCED#ISb3}@Rlb^g?? zGnC;1FzcVdR4`4Qr3vRSvM9sZ&~r^u1VBfbq6mOaCq)qu0Ub#C6lOT1tR{lZqyc9u z!&$Hz#D{@_0WRnOx_1rEV?*V#fG^Q=P+<^Yz+s>@Y#%e+2nGfRkf8807U&uWMng>m zn?)JU{tr3r6-5AaL@SB_=v-9|xE2&C71%TyTpE5DDx2^N7O3r@1Oey#XK-i*#o0@^ z?1mYda1JAjGMxRN!J!cp-N*t>=mO2?0xclJU%?EAB?!0xEJ3`6sbHEqO9RePhO>|( z3N8qa890v{mCJ#MDB(9S(?KhsU~FZ$C~QR;C>6j3!KT4^5X0eIsNtaNT!i1k3}iIa zM6g+u;cV!E9w-8!QwmT7K!*dM2tfAavkAY484rp!I0tmoASkVWfXi-}p$X?OvM9sZ z{}~)AL6L$iP=zi~jV@3Finfn1!(q_|7l1|ECzuLQK8AA`S(M>y=pn2q0#+c~kX3+A zkVR1eI@neCGt6*CSxp3+MH$Y99xIL_06Iw=MF3oAAZq~?8p2=TW*cfE*euF$HuMx% z6aml?s3-!U^FvVt9KfwKHsNnD<3W)I=lo}I=mUl8cew0^8JchoBa1Se4b7D(0&SpB zLsrp_F3<ro{0Gc%Sfs%PV3GC{rUI1L;2dQ*3puaB1;N<?&SOXAA{C0lzhI^_%4#Cm z%o=dEGMoj=ccAhXE(l#=ilPd1y%G)sY(S+gDC5En06PuNV?^bG3k3!TkS^ihaF-ft zBG@d-a5nVr4-^5=l^ZAmpqnmG1RxhounGT#84pSWa1OLu75)d8-7rHF&S7LxhO_@O zICOzx7+IhjU7!bDpcj+|{=*E1r2)7AEDbQQ!YhMWns5#yi!z)Iz0Lwf0CWchiU8>1 z2owR(Z4bhXFvA&THQ;PzI14#_!3Dw51m|&~a@oPr2Cj64nc#*SY9iPy%5XOHZU+<r z(4`6}0-)OrPy`^?2(SvXz>EjQ8k_?QHdeUoh8dc04kL>)oDI$6C<6VUNJ3UI0bO7s zDAw3uhQneFE&#Kh9i{?Q+`>7GOd4>uGMt6nzl94z?<~NfUIo;A0OcjPdT=zrc}%EW zaHK$c+Z-^LF&b(j*euF$HuORS6ammZ1SkTa<Nr|vAgAuL3iH5?7gUC`VJ&oCm?Wr$ z4(GsH=zK6)a0?yIgSF84VbYAk8gRBUoQ2#%hYLc_r4bf@>ow4Tvz6g2<Q6(y5PBye zsDmg1*Dk0GXTv-t3X=qR3eJIfN(?3o_7t24^OQJDno(E-&Q^xAkUa$#gq}(xECJVR zpaEwq!&%6lf(t@#I|O-32CiLD8P0}zN){#w@)Vo{^OPJ+7VIfF59TR(m^7oX2Ar)7 zXCZqEE(kqVMpyx^*FXc#R)({XJp~tp-lGUQHcSbo9aJd5IsX|PI6!SMWw`8y8Jcho zBa1Se{hz^M5-7_f3rt2An1U`a6;vpwzzl~K3UC2fp`Z#=0V)*W97YypI2(Gx0*U}= zlRt_8XrDQX0BEbTuo}#8Mp;b+n?)JUhK_2X2!L)-LJ<I6dxRnYzKuv7ZnmK&g3Y1~ zXG6CKqX>Yux1tDuwr8RUK(-gM32VZP2Spm318oiqYr$nV%+Q2$7@3vfZ0Km723!C| z$`;h_05x3T(u@oaAVCyWvq4d)4YLXsg>V5_6zafKfT9r2VPsK;v!N>S3xKxrp{M}u zuoKpW8O|uHiC{A+!`aXqiBJT<S5fJ~bsB0S*euF$Hq2GX0%D+Kj4S}!Scaknvh|5g z*Z^icD1zV|Xg5>X5H7o6h9;cD$fOKs|7UQR2eJ%VU_L0ojbK_~0S*^{I#}2krUDe; za1JB0GMo)ni6Ni?SAil0uR7t<;JOmd<3;82fa^|3gT@5r7DibOI9nOcf;Eys^)g%# zY#N-$hsuQ-4(^<q!VNdnM6g+u;cS>ckp)02kx*2C2INr$VB_HCFylev;Bd}=28RWp zc(8!WE}W_i=lo}ISO}7}gvm0>YQWjba2E2GJh&iiOP&>6ub~E<tqf-&Po}^Hp}Sl_ z_rln~w1b=t=lo}ISOjvIEnIftRAo5lKZC<!kgOd{mQhv%&Q^xAkev+|gzaIphwC-e zfU}k1EM#ZH1(BTX2-6O7Hk|XH!C?u=VNP(_g;SN`oc|0COF^>EFj+=f4LDmF&O&xJ zToAUc-UY7LPy^0ZhO>~J4Hramwi`@4$k}kte+GwTAcwibWfx9WhI9TiI4lRrdcb5E zWi{YzWjG7j*>FMF=5<fFUPBEyTN%zmb~aoP$=Tj8?I35vInYfq!ai`>g;SN`9O$ME zVPBXmqpUKV4a*jOa7jZAI9nOcLUtEi5V|=Glz0PR+ClDubD$d(gahHS3#Tf>InV|3 z!a*=uMp<Pz8<ru1;gW_LaJDj>h3qc4Ad<U6VcJ3Nf^(pY!-d1(vJ0mw!#U8!%EI9= zSw>l9I2)E9BjA#T8gRBUoQ3QzxFC|dqF~xV?t*im3v-2|;j*B+tzaDJLQ&xum;mT* zD;OJA62!s;7!5VxY-KnL*<El!BzMKbw1eCQ=RlVp3Mas27fw}%bD&G%gcD)1jIzpb zHmo2>f=e1|z}d=h7P7nGf=KR4foTW13(kQq`w~ut%PyR%4Cg?XAql6!WEo|Z;cQqD zln$3P)PS><;Vfi#!3E*&ViV4UX$LhI;2h{EiEtKNcEb!!IERs08P5LC;IIPJTtF6B zi7v1TROn>G%!0Ka-~!OvQaA^u0@Qwha~N5a;cTc%6oIuM+wiIYPXXt`3}=+pfU}k1 zEac%6xFEO%0Ovs(1aK}lQfng*Zn&W)g3Y1~Xa8q#0J{^fz&emmkX1mYr&)vxV8(+I z51a#C7bRQ>mt8nj8P0*O(-AI$$%2*}!q~8Cq8KK?Xs7{aE5ljHi3ctSPdqHbr7-Ov zcfmQ(H7UYnaM^`ZmEj!d8VTWYm@K2LGMo*opeo>!h8l3TGMt6%F1R4vU2MWtFzuj3 z4d+1ni^A1#*$p!^;T%RLWjOmkgTrc2Tp$aq0j1#@m{wRCh6_Mbrf@Ax1t<-}IgBjI za5hvWi2|ULLxt;LhBL})BG}Bza5gNNAq#+06|w+0JJiFCGSoz{S(M>ym@|<Dz`YP; z0nqWAC|V#VKr#!rz>EhiGJ$iH;Vk4BgbTtJ=Cr~!FPy3j=lo}IkOIX)8%!3oMi9pS z&)~2DJWIeL+zk^0Sq<kX!&%5y!v$fhk$T{o7f#iHbClsMWX*6vn7e!7nio%1hI3%< z?t{rP$|}Rz{}~)Mg5Aw3JQ*erayOi#3}+!*4Htwadf_Q>%?qb$z&Xlr7P4lzAk5QK z;hGmu)qr!9;Vfj$a6y=-r@=L^n5qotz&t%2Cd(+R3}^pmaM%R)G_&w*m^`Qifph*d zI7ot`dJbH6;Z$Wf2WIwMm@K2LGMxRN!C^DlY&PLVFnLgb!a2%t7P7bCg3zX_@M5^; zg;O=)9A!8QSu<P^78^_8nio&ifOC}LEM(1aL0Et;g==0hRRhjZhO>}0!v$ecxeTs( z)l_9T2Nq(>VX};}%5e6728S)+5Mvcy4VM>GhO=SAjB8+$pkYQh2O8eOYhkkBVMaI) zHq5vVCe0|U3}-_#t?+udq=5#Utqf-&dl)VV4K2{%=w`TfL1j1_=ISjlNsz1I9GI)O z!eqg&hVx*q-UgFq6jp|_p;=aVJ6zI01I|{4vyfd47lcL=$kn^y+69&2Y?!O}z$8Jg zhI3%9-V2ijyBf}exq2T=no(F8&W7eW;r(z)0}VJ^8O}m>HCzyytU<0m4A(BG3}?e! zeFP>6ay6U-bM;Y}EZEg>9?aFpVA71j%5XL`Z3-WUOB!gv*~)Mhva8{O(3}i%^=Y_v zL1j1_=IS#rNsz1Ioc|0CvY;a9EKC;cYB&$(>T@t@(4sLITNy6;pTR)}H2nh_(u4~# zKm>))!_+exXu#RZa2E1HdAJ}0WT8CBQ<ve|1(o4!n5VA5Btf2nb6}pj3X=tU3eJOh z>KaTMv}g>*R)&irdkQYd2=&x;n0iJ74LDmF&O-JST#ymrsoQYvg353<%u{z@k|0mP zIWSM%g~@_F1?Ry$bq^*DS~Lb@E5k*RJp~tJf_mycOg*E42Ar)7XCZqEF35!N)ML1I zL1j1_=BXzzNsy=D9GIt`!eqgog7aXWdIpnb6xM*VmEkO8Pr(J5p`LmU*K42wXDh>5 z$ew}=G9x_o8m?VX8P0}z>J3a1<S94@=Bc+ZS+J+zJea56!K4|5HQ;PzI1AZRa6uNR zr{2T$8fd`T%5WC4r{IFn%_pEd^%<^RP#MmKdFl&H667g32j;1-Fj=st;5?Y8zQLp! zg*D)8WjG7jQ*c36sHeWe^%`iv*~)MhvZvsJ(4CkdPyL2#7gUC`VV?Q}lLUDR&VhOA zFH9EfDL4=2sedqOMqv#&TN%zm_7q%@4eF`?aJ>c^aJDj>h3qM~AoRclkf)g0pi3<n z1(o4!n5S4^k|0mPIWSML!eqgog7aXWVuMLD3TwdG%5WC4r{IF@P*1VL^%`iv*~)Mh zvZvsJ(9?rJp5lgU7gUC`VV>fFNrF5D=fFJ03zG$V3eJOhiVr5uD69czE5ljHTVCLT z4A3nv{BXSn8gRBUoQ1qm2QJ6}*{B2ZlrUVopfa2d^OOio667g32j(eJm@L>+a30K4 zVlZh&VGTH28O}oX6kL!I>M3!!UIPs{TN%zm_7q%@5#cFmxOPEhI2-0E8JHx<Q*aK< zQ?f8wu&3ZWn5X1m(u~3yaJDj>h3qM~AQRM6@^HNd8gRBUoQ3QuxF8e4Q_67dg353< z%u^~bNsy=D9GIt6VX|OP!Fe!GsllWfg*D)8WjG7jQ*c3MsHfE7dJQz-Y-KnL*;8;q zW`w7-;o1e2;cS?vbYPMoPr*4bPwB#B!JdNiV4l*0Nizy-z}d=h7P6<{g0O95`f$Al z8gRBUoQ3QuxFB@%8z@g1!?g=4!`U!TnZP7Lo`Q2=o-&2Wf;|Q2!8~OKlV%jwfU}k1 zEM!l?1z~%t&Ea|tG~jGyI1AZRa6#x!aFD00;o1e2;cS?vY+#ZgPr*4bPuap`!JdNi zV4kvrNizy-z}d=h7P6<{g0Q1c?BRM1G~jGyI1AZRa6#ySEg(-h!?g=4!`U!Txxger zo`Q2=o^plBf;|Q2!93*#lV%jwfU}k1EM!l?1!3oaxx@7uXu#RZa2B$s;DXT8%Rrv; zhHDp8hO=Rw@_|W$JO$^#Jmm|M1$zq4gL%piCe0|U0cR`2S;!893qtD}VSl(@0}VJ^ z8O}o9jS3fJfb2#Ec`6vLT~Ha$hIuLkCJFKsoCEVzC`=aYDL4=2sW6x{qp${?tqf-& zdkQWHt!sqC;d%`;;A~|$3)xd}K}Lk9qT$*FmEmldr($4|AWy+LFi*w8WWk<-^I)Ee zgGn<AYrxsca2B$s;DXS)MmQd>*FXc#R)({XJp~tJLU<|}u3b<W&W3p^1ttmd6r2O| zR4Pms>?t@8=BYH8G^4NvoUIIJA$tlg2(4>`)8TpzG~jGyI1AZRa6x8-r?TPN1(o4! zn5S}Jk|0mPIWSM<!eqgog7aXW%7aNW3TwdG%5WC4r{IFnx<)u3uGc^V&Q^xAkUa$# zgzoSL<*8!0c0px08|JAJm?X$ka1P8<r7&5rr{Fx8r^;Z`jKUglwlbWB>?yb)w5|~@ zhwC-afU}k1EM!l?1);~CfIL+V*Dk0GXTv;I1Cs=K3eJIfsum^-_7t24^Hd#7no(E- z&Q^xAkUa$#gw{2}^>Dog8gRBUoQ3QuxFGaYGLWa5;o1e2;cS?vT40hOPr*4bPqo5i z!JdNiV4iA&Nizy-z}d=h7P6<{g3!7~xE-$7Km*QJhO>}81s8-K(FyWYH(a}*GMo+b zR1ZuN<S94@=BZwoEZ9?U9?VmHFlk0%4LDmF&O&w=To78<2=~MF8fd`T%5WC)wsW{3 z17zDd$WxQy+69&2Y?!B}z$8JQf^%S=nhKKzdkW5jd1@L=no(E-&Q^xAkUa$#gw{2} z)8TpzG~jGyI1AZRa6v|dr)I;o3o66eFi*{aNrF5D=fFHQ7bXk#6r2b1)I69pqp${? ztqf-&dkQWHt!sqm!}S_yz}d=h7P6<{f=mccErx3sRED!*o>~Hv1bGV1fq7~vOcv}Z zI1lEjWiV+*VGTH28O}oX6kHHm*9b3%>ow4Tvz6g2WKY2bnGv2^4c9KH3}?eUwFV{$ z@)Vo{^VC|HEZ9?U9?VngVA71j8gRBUoQ3QuxFEEy5nd11YoGyVE5ljHo`MTPk8%Lz zsm*Zhg353<%u`!nk|0mPIWSLcg~@_F1?Ry$wGAfCD69czE5ljHo`MTP>l)$haJ>c^ zaJDj>h3qM~AoN@#kf(OTwF@f4*)UJ-fk}cq1?Rv#wHGD}_7t24^VB|=H0V$R7+V=G zitH)4AhfO#-VamHXrKXSE5ljHo`MTPj~@hi>M&fppfa2d^VAWTB*;^64$M<WVX|OP z!Fe!G9fL`O4mE(WmEoeuo`MTP>l)$XF!hWE8gRBUoQ3QuxFGZ_UXZ6w!?g=4!`U!T zoq<V$JO$^#JarZ(3-%P82lLc9m^5g93dUB3iy}J=E(on_gwMm&gXX7TY-PA8^1&={ zK?cadEFe!^hG}6GRED!*p1J~)1bGV1fqCjGOcv}ZI1lEjYcOfh{1l9>3>QWA6kHHm z*9c#SsRzwZ!Pv@hQDjfS1sM^Zx((C9D5wl)!#s5dCJFKsoCEXJU6?G`Q*a*4Q}<xf zp!q2nTNy5j>?yb)w5}1p4^t1CpMtTK;iAZ%f(tSsJoOl+g;7u$&W3sF2}}~?DL4n_ zsi!bmu&3ZWn5Uk>q(SpjFt##W6xma7L1<kg{2Zp9(Le*vR)({XJp~tJMtJHqT)Ut$ zoDK8T8<-@>Q*aK<Q*U9iU{Aq$Fi*XMNrUF6U~FZ$D6*&Eg3!7~_&rQLqk#sTtqf-& zdkQWHJ?sdSr#{2A3o66eFi(AfNrF5D=fFJm6($Sz6r2b1)Hj$kXnqRDR)&irdkQWH zt!sq8!_+exXu#RZa2B$s;DXST|3IGl4c9KH3}?eU^#>*i@)Vo{^VDCMEZ9?U9?Vn! zVA7!ZDHvNBE{g0axFEEy5&jQT&uE|lXDh>5$ew}=LJ#N#d5W1GX?_aEhIxtwCIIpj zoCEU|D@+#bDL4=2DK?liXnqRDR)&irdkQWHt!sqYVd@zTG~jGyI1AZRa6#y4^&n4i z!?g=4!`U!T@xUZOo`Q2=p5lecf;|Q2!92wWlLpOC!Pv@hQDld~1)+6~Fh5K^qk#sT ztqf-&A6*6)WPlu92J)0JT)Ut$oDK7o2uu>>DL4n_DN&d#*i&#G%u`}8Y0&%>jI9h8 zMfMb25L(v=i^J428fd`T%5WC4r{IE&2v14FwF@f4*)UJZz$8JQf^%S=l7-2FJq72% zJS7K{2F*{w*vfEGWKY2bp>>V0JWM^Kfd-td3}+#G3NFZm@RTxKyPz_h4fB)=OcLZN zI0xn_RhTT;Q*a*4Q))12(EJpPtqd1M_7q$YTGt4x!_+exXu#RZa2B$s;DXEuPie!o z3o66eFi+{gBtf2nb6}p*g~@_F1?Ry$r3aG+%}>GD%5YI+Pr(JDb&aq-Og*E42Ar)7 zXCZqEE(kpi5R|8k;o1e2;cS?vOkk2APr*4bPnp7G!JdNiV4gCANrUF6U~FZ$D6*&E zg3!7~*c_&w(Le*vR)({XJp~tpo{bCglr>ztpfa2d^OOxt667g32j(eTm@L>+a30K4 zb}(ts{1l9>3>QWA6kHHm*9hCg)H51rz}d=h7P6<{g3u%EL7sAkYZp|8vtgccfk}cq z1?Rv#<qDGpdkW5jdCCnY4Vs^Vv6bPX$ew}=LhBk~cbIxc0}VJ^8O}oX6kHH`p9{!S z-f-=L%5XN!Q$8?Bkf-1rn5TSUvS3fac`#4;!K6X+Q!utNTol=1a6xEYBkT`T&uE|l zXDh>5$mesy1sNdcbAmh-4A(BG3}?eU6#|n4c?!;fc`6hp3-%P82lG@IOd2#l1!F72 zMUg!P7lhU|!r?IWj0PHTwlbWB>?yb)Bf?YBaP5N1a5l_SF)&Gxr{Elzr($8UU{Aq$ zFi*w7q(SpjFt##W6xma7L1<kg91l~^XrKXSE5ljHo`MT9Av~1~*Dk0GXTv;|0+R%J z3eJIfDitOR_7t24^Hds48Z<uzV=Kc&kv#<$gw{2}=`i(-1{!d-GMt6%DYzgr!c*CB z?Sjg1Hq28wFiDW7;2fBza$&MyPr-RGPvya+LGx2EwlZ84*;8;qXk8<m4^z)*paEwq z!&%6lf(t^=yanZ{Vz_oeWjGt=sS=nZ$Ww3*%u}T>S+J+zJea4-VA7!ZDHvNBE{g0a zxFEEy5iW<RXEe}&vz6g2WKY2bp$EW&JXH<XE~pG=!#q_3lLUDR&VhNV7A6b!6r2b1 zR2@tjG(QDnE5k*RJp~tp)-}TQF!hWE8gRBUoQ3QuxFGbN7Lcc!;o1e2;cS?vT40hO zPr*4bPqo5i!JdNiV4iA&NrUF6U~FZ$D6*&Eg3!7~xE-dR(Le*vR)({XJp~tpURnn7 zR5x6^pfa2d^HdK^667g32j;0>m@L>+a30K4eK2Xz{1l9>3>QUShzJ*it}PethpA^Y z(15d*;Vk5%<Kcn~kfY;4o|+8TE~pG=!#p(wCJFKsoCEXJRG2K-Q*a*4Q`2D5p!q2n zTNy5j>?yb)bZxotbeMWZ0}VJ^8O}oX6kL!I;i=hh?Sjg1Hq29VV3HtD!8tHb&4tN= zJq72%JT(s{4Vs^Vv6bPX$ew}=Lf4iH&xfgJG|+&vmEkO8Pr(J55T05L*Dk0GXTv<T z1SSdc6r2O|)KZu%*i&#G%u~x?(xCY%7+V=GitH)4AarfH@N$@XMgt8vTN%zm_7q%@ z8R4nbaP5N1a5l_SYhaQfPr*4bPpyT?f;|Q2!92ANCJmaOg0Yq1qR5_t3qseH3$KT% zXEe}&vz6g2WKY2bq1Q2h^3-Oyc0px08|JAkFiDW7;2fBzw!&n=o`UmWp4tYJ2F*{w z*vfEGWKY2bp=-;9x5Lyk8fd`T%5WC4r{IFnyNN)a+6~t(s0?SrJhcZV3Gx)21M}2g zm@L>+a30K4`(V<b`6(D%87_+KDYzhXZMpD%n0nCs6pXD57e)3ITo8JNAjngPVOkgk zmEmldr;flRL7swhV4gY(lLdPU&VzaC7)%;8KLuke!$pxj1s8;_Ef+oxQxBS-g0Yq1 zqR5_t3qtSH1$pW;Ober+GMo+b)ESs0$Ww3*%u{D!vS3fac`#3%gGqztr(kSlxG1v2 z;DXS)M)*8TJ!pOk##V-lB44fo7i553t^)GZWtbMw{1l80^VAiX0LW8t4$M<mVX|OP z!Fe!GU4u!3=BHq6Ww<D^r{IFnx<>dqOg(6R3dUB3iz0gpF35=R)NPm+(EJpP4fE6; zm;lIAa1P8<cVV(%Pr-RGPu+t_gXX7TY-PA8vZvsJ(7HzWK1@AmehS7`hKnM53NFZm z@YG|N7SQ|@j1BYD6PN(VQ*aK<Q%_;CU{Aq$Fi$;$NrUF6U~FZ$D6*&Eg3!7~_&H2H zXnqRDR)&irdkQYdjPTTJm=;DsWjGt=sW&i5kf-1rn5W*tWWk<-^I)EO2a^WPPr=yA za8YDW!3CjpjqrPzdeHn7jI9h8MfMb25PD$|C{KNcX<-yphO=Rw`T~;#c?!;fdFm@n z7VIfF59X<FFlo^I6pXD57e)3ITo78<2!DsE2hC5x*vfEGWKY2bp||&eJoOu<g;7u$ z&W3sF4@?r|DL4n_slPB;u&3ZWn5X{1q(SpjFt##W6xma7L1<kg{2!(sG(QDnE5k*R zJp~tpUa|}F6f+0X{1l80^ArnA0OTn+2j(eOm@L>+a30K4Y%poi{1l9>3>QWA6kHHm z*9f!2)Pv@yU~FZ$D6*&Eg3uf1L7w7<X<-yphO=Rw;(<wmJO$^#JjDx>1$zq4gL#S% zCJmaOg0Yq1qR0+|3qtD}VSbo;(EJpPtqd1MzCjEw$N;%P4CE<cm=;DsWjGt=DG`_? z$Ww3*%u}K;S+J+zJea4%VA7!ZDHvNBE{g0axFEEy5f+E32hC5x*vfEGWKY2b84;e6 zhG}6GRED!*o|1t{f;<K1z&s@jlLdPU&VzYM4kitnpMtTK;iAZ%f(t_H8ew^udeHn7 zjI9h8MfMb2kO|=_WtbL5L1j1_<|!4JB*;^64$M=kFj=st;5?Y8)L_z}`6(D%87_+K zDYziCt`Sy;sRzwZ!Pv@hQDjfS1(^|^(uQea6jX+@VV=@~NrF5D=fFIr3zG$V3eJOh zN)ILtnxBHPmEoeuo`MTP>l$Hwn0nCs6pXD57e)3ITo8IIA1F^5!?Z98D#O_@Pnp0Z zL7swhV4gCC$$~uv=fON>29pNOPr=yAa8YDW!3Cjpjj%aPJ!pOk##V-lB6|uh2)&{f z<SA>I7Dhp3I2-0E8<-@>Q*aK<Q?@W!u&3ZWn5XPu(xCY%7+V=GitH)4AhfO#wuh+) z%}>GD%5YI+Pr(JDH_3xM<qXrpD5wl)!#w2zlLUDR&VhN#6($Sz6r2b1lp9PMG(QDn zE5k*RJp~tp)-}TJF!iAMDHvNBE{g0axF9<sPkF<%FbXQe*)UJ}z$8JQf^%S=@`cHQ zJq72%Jmm+I2F*{w*vfEGWQV~8p>>V0KTJJnehS7`hKnL!6$lq(fLs*_im70j7Dhp3 zI2-1v5SS#$Q*aK<Q=u?fu&3ZWn5V*E(xCY%7+V=GitH)4AhfO#4u`1+%}>GD%5YI+ zPr(Hl5uS>MX<-yphO=Rwih)UjJO$^#JQWL*1$zq4gLx_rCJmaOg0Yq1qR5_t3qtD} z;dq#O(EJpPtqd1M_7q%@3E`<^m=;DsWjGt=sT7zb$Ww3*%u}f_S+J+zJea4_VA7!Z zDHvNBE{g0axFEEy5l)Ax2hC5x*vfEGWKY2bnGv4KhG}6GRED!*p2~qqf;<K1z&w=; zlLdPU&VzX>4<-$opMtTK;iAZ%f(t_H8sU7HdeHn7jI9h8MfMb25PJP4C{Go`v@i-P z!`U!TmB1uHo`Q2=o+^dOf;|Q2!8}z4lLpOC!Pv@hQDjfS1)+6~a5+ppXnqRDR)&ir zdkQWHy^9*;scM)OMnPpb8|JARm?X$ka1P8<wJ=$*r{Fx8r|MwRp!q2nTNy5j>?yb) zw5}1Zhp7k6Pr=yAa8YDW!3Eh6$*&ovg;7u$&W3ra1ttmd6r2O|R4Yst>?t@8=BYNA zG-!Sb##V-lB6|uh2(4>`+hOWK^HVUkGF%kdQ*c3cgr~Y;S{MbD;cS?vdSH?uPr*4b zPxZoN!JdNiV4muONrUF6U~FZ$D6+%gg3!7~xF4n-G(QDnE5k*RFVlq!GC(fV1tq`9 zFfELN%5XN!Q&V7)AWy+LFi%Z|$$~uv=fONR4JHkmpMtTK;iAZ%f(t_H8sX_M^`QAF z7+V=GitH)4AS1$4vte2o1(o4!n5X8zBtf2nb6}pD3zG$V3eJOhY934)G(QDnE5k*R zJp~tp)-}TOVd_EiQ!utNTol<;a6u-7rxwGsFbXQe*)UHnfk}cq1?Rv#wG<``_7t24 z^VBk!G-!Sb##V-lB6|uh2(4>`m&4S9=BHq6Ww<D^r{IFj2v4nsX<-yphO=RwS_6{= zc?!;fd1@_87VIfF59X<LFlo^I6pXD57e)3ITo78<2(O2!2hC5x*vfEGWKY2bp%+wx z^3-OS7Dhp3I2-1vEig%tr{Elzr?$dm!JdNiV4m6rlLpOC!Pv@hQDjfS1)+6~@OGGb z(EJpPtqd1M_7q%@6%kXrVOkgkmEmldr}n@kL7swhV4m6wlLdPU&VzYsA50oFKLuke z!$pxj1s8<YHNyL0>Ou2UFt##W6xma7K{kY^4#Tv7=BHq6n5T}w1VEmGb6}o23X=tU z3eJOh>KIHKG(QDnE5k*RJp~tp)-}S%Vd_EiQ!utNTol<;a6xv2r%uDPfaa%QY?!Cc zzyv^^f^%S=It!BpdkW5jdFmWY8Z<uzV=Kc&ksSsXgw{2}=V9s@4K(0vWjG7@x_P)D z1LV4SP@cLB*Dk0GXTv;o1ttmd6r2O|)K!=)*i&#G%v0B3(xCY%7+V=GitH)4AhfO# zz7A6lnxBHPmEoeuo`MT9B0O~)rUf)V1!KcJbq6K@@)Vo{^VD6KEZ9?U9?VnsVA7!Z zDHvNBE{g0axFEEy5xx&o51OBXv6bPX$ew}=G9f(m7^Vd@KLumMJoN-70P+-^1M}2V zm@L>+a30K4&tTG^`6(D%87_+KDYziCt`U9?QxBS-g0Yq1qR5_t3o;`-^%|xHG(QDn z!#wo{CIIpjoCEXJTbL}^Q*a*4Q}1BXp!q2nTNy5j>?yb)w5}0;4^t1CpMtTK;iAZ% zf(x=BJoOo-1vEbeW5Yc41ttLU6r2O|)K{1+*i&#G%v0ZB(xCY%7+V=GitH)4AhfO# z{ti<QnxBHPmEoeuo`MUqB0TjQrUf)V1!KcJ^#>*Z@)Vo{^VDCMEZ9?U9?Vn!VA7!Z zDHvNBE{g0axFEEy5&jQT51OBXv6bPX$ew}=vLQUh%!xEV1!KcJ#R3xmc?!;fd5RS# z3-%P82lEsgOd2#l1!F72MUg!P7lhU|!t5~hp!q2nTNy5j>?yb)JHk`kFfE|@DHt2( zDIS;r$Ww3*%u~EDS+J+zJea5WVA7!ZDHvNBE{g0hxFEEy5$1=f2hC5x*vfFx{|pXC zU}xCE1sNcMpn6IerUf)V1!KcJB?1!wc?!;fc}f%}3-%P82lJE|Od2#l1!F72MUg!P z7lhU|!s0OXp!q2nTNy5j>?yb)Bf?YCFfE|@DHt2(DH)gm$Ww3*%u}*3S+J+zJea5C zVA7!ZDHvNBE{g0axFEEy5tfIk2hC5x*vfEGWKY2bnGl{*hG_xKPr=wQPpQBJK%Rng zV4hNi$$~uv=fOOs29pNOPr=yAa8YDW!3Cjpjj%dQJ!pOk##V-lB6|uh$c*rmHcSg> zehS8hc}fQ+0P+-^1M`$FOcv}ZI1lD2J(x6TehS7`hKnM53N8q(YlQV->Ou2UFt##W z6xma7K^BCkjA2?p^HVT3%u^;X0g$KQ9GIs}VX|OP!Fe!GnZcw%^HVUkGF%kdQ*c3O zT_bD`QxBS-g0Yq1qR5_t3$h|SWew8;nxBHPVV<&q34lBW=fFH=3zG$V3eJOh$_^$C znxBHPmEoeuo`MTP>l$Hun0nCs6pXD57e)3IT#yanDQB1#(EJpP4fB)>OaSC5I0xn_ zSC}l=Q*a*4Q*JP6(EJpPtqd1M_7q$YTGt4>!_<T3r(kSlxG1ux;DYQ3PkF<%faa%Q zY?!BfU;-dd!8tHb`NCwuo`UmWp7Mi9gXX7TY-PA8vcuql(7Hz0AEq8OKLuke!$toy zI2?u5Q*c2Bh#;t*3WjL`%}>GDFi(ZR1VEmGb6}ndg~@_F1?Ry$6$X<A%}>GD%5YI+ zPr(JDb&YU1Og(6R3dUB3iz0gpF35=RR5VNrXnqRDhIuLmCIIpjoCEVzEKC;cDL4=2 zsW_N4XnqRDR)&irdkQWHt!sqiVd_EiQ!utNTol<;a6u-7r;=e>K=V^DHq28gFaeOK z;2fBzQem=SPr-RGPo=@6LGx2EwlZ84*;8;qXk8<m4pR@BpMtTK;iAZ%f(tSuJe3X8 z0-B$Kv0<LdfeC;-1?Rv#l?#&vdkW5jc`6Sk4Vs^Vv6bPX$ew}=LhBmge3*LB{1l9> z3>QWA6kL!6;i+Pn7SQ|@j1BWt2}}UwDL4n_sZy9M*i&#G%u{7BY0&%>jI9h8MfMb2 z5L(v=m&4S9=BHq6Ww<D^r{IFD2v1eRw1DQPU~HJDYG48&Pr*4bPu0R?!JdNiV4kXj zNrUF6U~FZ$D6*&Eg3!7~xE`h+G(QDnE5k*RJp~tJLwKqgrUf)V1!KcJ)dCX$c?!;f zd8!pA3-%P82lG@LOd2#l1!F72MUg!P7lhU|!tF5ip!q2nTNy5j>?yb)JHk`lFfE|@ zDHt2(sUDaB$Ww3*%u~HES+J+zJea5YVA7!ZDHvNBE{g0hxFEEy5$=bn2hC5x*vfEG z<b!$Pg3z<pLG{#Rm=@6d6pRh?)D)Ni$Ww3*%u`cgvS3fac`#2+gGqztr(kSlxG1ux z;DXS)MtC|*J!pOk##V-lB6|uh2t8{Z<f+*(Eui@+7#rrPIWPf`r{Elzr{=<B!JdNi zV4j)>lLpOC!Pv@hQDjfS1)+6~@O+qh(EJpPtqd1M_7q$Yde%C~Q;T6*K=V^DHq28? zU;-dd!8tHbErrQ~Jq72%Jhcoa4Vs^Vv6bPX$ew}=LhBmg<uLW2`6(D%87_+KDYzi? ztaXs5R>QP_=BHq6n5Wjj1VEmGb6}oY3zG$V3eJOhY8^}(G(QDnE5k*RJp~tp)-}TG zVd_EiQ!utNTol<;a6#x<>mW~UhG_xKPr=wQPi=t-fIJ1~z&y1TCJXiyoCovNHkdSM zehS7`hKnM53N8q(YlOGM)Pv@yU~FZ$D6*&Eg3z<pL7v(T(*l~Gg0W$q+5-~+c?!;f zd1^0A7VIfF59X<TFlo^I6pXD57e)3ITo78<2=9lf2hC5x*vfEGWKY2bp=YgwJarhR z1vEbeW5Yak1SSCT6r2O|)KQo$*i&#G%u~l;(xCY%7+V=GitH)4AhfO#J`Ph4nxBHP zmEoeuo`MTP&st|;WEMUR(*nBX4$k?{;2;OOV(JWBcHvZIIOjiugEUC?EKHVBRvFIz z&){$#d}kYr@I{zB=$1P;M;Xq7U2F=vf(kCkzyP@={SsXB!l}w|4$SJyaM{IEHQ*d& zIO{)y13RMt1NfFyxF7>WQ1}W=Go!3Boc*7{;W*gcOu{!{@}Mw*bN(|pTnG93CQO!5 zRvFIz&){$ZteZ{vE=(TeYdA+4&O*Mz8ZOAl;IIv3_&vDhg;O=)9A!8Q`8qMUAoLP6 z;rnpSi>GS9Im&PrvSzp-BZI?Mkj)R^npaHKfOC}LEM(1aLFiR?!Vlq^S54J`bClsM zWX*6v=q+f%k6@Y^WtHLV{|pW%p#k~~CJzcwI7b=ILN**O2s8XST=T-I8gPy>oQ13z zE(i<I7jVssr)t1C%5WC4X1E|MKwrW&ub8R<=P1Kj$eQ7TumF7p*Su<~2Arb|XCWsd zxF9SMy@qLKlvRea|1&t80tYC&@Ozj%C_v#HWjG7jaJV4M@DFg!3#V$pIm&PrvbW%Z zumJrC*SvVD2Arb|XCZ5b3&H~Q6I}C(sTy#OGMt6187>G5(9dwqtEOteIm&PrvSzp- zED?QyYhF238P0(f2w!2cjIzpb_J0P4)6n4l36lo}H=LskXCVhSTo4xAzu=k|PSt>O zl;JF7cf$o?!TlSqdGS;YI7b=ILe>lyga!8>xaJj8HQ*d&I15=bTo4xAf8m-}P1S&N zl;JF7&2T|jaQ}mAUO80*&QXT5kOK@Z$OJ7x|HCvh$|}Rz{}~+4Kx2cM3p#rTiVZkN z8O}mB94-ip4HmfOg;O=)9A!8Q*;{Zyn73Hrnio&ifOC}LEM(1aL0EvY!8Na#ssZOH z!&%6h;exQJWQS{BHB|%7QHHaSHNypAiHHNPdF50MI7b=ILJlyvAOkcuIANL@WtHLV z{|pXi!Lh+6%nOqT#Ri<C3}+!54i|(O&Ii}LaH<BJqYP&udkZcI3s8Qz=EYMr;2dQ* z3t2N<5Eh^UaLp^GYQQ<la2B#=xF9S*1>u@kP1S&Nl;JF7&2T|jA`*gWW|UQiv;Q+V zoC60ai?A3>9@KV$bN(|p+yGVb;&9o8Q<dSI{|pW{L9!BX*~L?p;hg^r4!1zEk}z3D zS!Fo;KZC;su+8kkvM_m2RKhvRa29e@!UbU&OAfAi;ZzMcM;XpS4imT_EI{Sqnio&i zfOC}LEM(1aL0CFafNNecRRhjZhO>}0!v$gKKoPEa)l>~QM;XpS)(jVfMWqs4^UA3j zaE>yZg&bgTL0H;XhG}M$Rfe<wGdNrX#|E3QI!qoE8*q*?oQ3Q%xF9S*HQ<^TPSt>O zl;JF7&2T|jfNH`uFP^Fa=P1Kj$eQ7TurSerYhE!`1I|%~vye5z1!1wF4cEMCss@~+ z3}+z+C|nSh0(D@T8D*8>?Eef7m%staE^Gjk2W2cc2bQr6;j#;-D#JOjtYZY1T|89- z&QXT5kR1jWggML@u6e~&4LC;`&ic>bpbDE@f(tT21cgoDnpaKLfOC}LEM%MEg0M7Z z3fH`Hss@~+3}+$R3>RdA+H3~X%qXi2Xa8q#xC{+XE0{beJmDN@3Kq78%PyR%0p}>g zS;*-CE(lA$HgL_0r)t1C%5WC4X1E|M`P#xYub8R<=P1Kj$eQ7Tu;gn8*Su<~2Arb| zXCZ5b3&N7GJzVq3sTy#OGMt4RS8zdCv&R9ZnNe05&i>Efa0MFNE--mec>w1q!&%6N z!v$eU&=szE;ZzMcM;XpS_7+?a7A9_R&5NgMz&Xlr7P4lzAS^1~;hI-W)qr!9;Vfj$ za6wprdcZZWnyLZkD8pIEn&E=5bl?fsymG1roTCh9AqN;-5SDYiV44|amEr9F3=UVp zvB4(n2a^ZI2Arb|XCWI77law^57)eKss@~+3}+#G3oZx?lK{Bp#Zxul9A!8QSu<P^ z7L|c;%`2vAz&Xlr7P4lzAS^(G;F?!W)qr!9;Vfj$a6wo)2!?59lvRea|1&sT0|zL( za5zjJ6rga9GMt4Rpm0HEXd^WOu6f~94LC;`&O&w>To4u}k#Nn6r)t1C%5WC4X1E|M zOrqeLS4`D_bClsMWX*6vSX4&CHLseg0p}>gS;(5<g0KLMfoonlRRhjZhO>|Z3@!*O zY+_-W8D*8>Y-o3wg^@)#5hf2R+u@x53=aH^0t~`QaM^`ZmEoNK3=Se7*<`rv;;G7T z4s6^n1t!ZVs|;uVXK=U;P6uqlnJ{@!I)HPO;Vfit!3AO7%7SZNI8_7AQHHaS0~9U@ zi^^=c=EYMr;2dQ*3t2N<5Ehj=aLp^GYQQ<la2B#=xF9SlbK#m-P1S&Nl;JF7&2T|j z^38*3W|UQiv!SgT7DhJVVwgNAK;ax^I14#I;exPwqXe#b;ZzMcM;XpSb{Sj{=CV?_ z=EYMr;2dQ*3t2N<5Eh_iaLp^GYQQ<la2B#=xF9Sl%i)?=P1S&Nl;JF7&2T|jY*fHB zGs-H%+0Y&qC_rmr@}K~PbClsM<N$>W!b++-xaNgZHQ*d&I1AZja6wp@)WbC|o~i-o zD8pIEn&E=5*l2)jUNKbz&QXT5kTt^vVFB6**Su<~2Arb|XCZ5b3&H}l38tA*RvFHQ zwyZ(ZGVL&VP=LZY%5WC4;c!8iw>sdO7f#iHbClsMWX*6vn9Dlhnio&ifOC}LEM(1a zL0D{b!8Na#ssZOH!&%6h;exOL?S^S)l+}Q<mEkPphB;gi+9d!5*#ww&P>{hn%5WC4 z-EcveuP4GaFPy3Y=P1Kj$eQ7TFo#cqYhFB61I|%~vye5z1z|xp8LoN7R1G*s8O}o1 z3>SpO^c0w8Mp+FwTN%zm4l=kPv@ZjiCz}D&4hk|jM;XpSp38y@GC}9EX2LZuoT?1x z!0NPFFj+=fWjOmkgTq5`oyIOa4<-+?8qQIMvyiu0!v$f7Ow5OCUN}_)&QXT5kk1l< z3&KuPS^(F)c&Y}RqYP&u2RK|17F!G9npaHKfOC}LEacO+;DWHT1{c9KubQd>=P1Kj z$Tq_TVTo)pT=UAQ8gPy>oP~TIC0r188t)RAW=2_MIQu_?!yRaBtboabVgt@mhO>|t z6~P5zODb2wH7}g10p}>gS;#AA;exPz1FPVg7f;oIbClsM<S>B?!eV1JT=R;l8gPy> zoQ1r%1TF~MS+@qRdDT=6I7b=ILbe$$2#bxiaLp^HYQQ<la2E3JOSm9x@A*2IW=2_M zIQu_?!(C`>Y=X&yVgt@mhO>~b#)AvOE(YBU*Sv752Arb|XCdGJ3KxXke!T^*dGS;Y zI7b=ILJkwSAS^bv!Zoj$ssZOH!&%6)_HaSynkC_FaLucxYQQ<la2B%7a6wpXY=>)J zIaLGBQHHaS*BZbDVJoe6z%(<;D#O|T8656GV`C3Y9uymJjxwBueCRk_5PIc;@Lss) zg;O=)9A!8Q`LuJmAndM(eQ?c-r)t1C%5WBPn7{>Lv9TYndBs!>I7b=ILcR|KE(p5~ z=>S~ws;L@qjxwBuY%^RC78?iQnpaNMfOC}LEaV%r;DWIG0uRA7Gs-H%+5Z_F?n7ha z7)%}%8*q*?oP}I(zy)FF_#B68UN}_)&QXT5kn0V&AndTZ6L8Ior)t1C%5WBPn7{>L zv2hZvdBs!>I7b=ILasO9g0SOUPr)^>nyLZkD8pIEHp2yBv2hx%dF50MI7b=ILasO9 zg0KV6&%iV@$|}Rz{}~(}fMbJM_ySBG6dQ1kGMt6nfPf1!LK_el;hGmtRfcn54TwuH zSw>l9IQu_?!yB;G?84Vz@*u0>9A!8QxyphI!WPV5hihIqRRhjZhO>~XEVv+SSJ(}> z=EYMr;2dQ*3pqOAg0O^e6Rvs1R1G*s8O}nkvfzTSebcw#npaKLfOC}LEM%MEg0O^e z8?JffR1G*s8O}nkvfzTSlSS^pG&9O7!`c5C93DYq;{i+_6dQ1kGMt56Wx)ku*FHal zYhE~21I|%~vyiJSxF8dQ!w%34-Xpl?#Zxul9A!8QIZWV!u-JGE*Sun?2Arb|XCYTv za6#ybRN*IZ&8wzrz&Xlr7P8H7L0D`&g==0pRRhjZhO>~XEVv+S9qluiW=2_MIQu_? z!((V{yn@MtVgt@mhO>~XEVv-_LLK4PaLo&+YQQ<la29fv1s8<f<nsoudGS;YI7b=I zLJkwSAS^cC!Zoj$ssZOH!&%5x7F-Z^*WEj~=2cTQ;2dQ*3)yD4AS^cC!!@s*ssZOH z!&%5x7F-Z^8|(*|W=2_MIQu_?!xLz1e1XY>Vgt@mhO>~XEVv--kif5S%?qb$z&Xlr z7IKvZ7lfUJ`wgyn@l*{sM;XpS4imT_EH=KwHLsYe0p}>gS;$ouTo86v{13S1RZ}(K z9A!8Q*=D#PEH-|^HLski0p}>gS;$ouTo87f$}gB^Mp<Pz`#*!jQ)q1bgUN$p1I|%~ zvykfzxFBpx+<&;{g;O=)9A!8Qx!!;a!uEYLaKjeqES{<X=P1Kj$YBB(gvACUT=R;l z8gPy>oP}I(zy)C^qcFiWubQd>=P1Kj$Tq_TVX?ss*SvD72Arb|XCc=ca6#DFVJtAs zjIzpb_J0P4XVBQ-fXRbm1I|%~vykfzxF7?A!%k4q&k5JOaH<BJqYP&u*Bfv_257y( z1=qZIss@~+3}+#S30x2s8{BZsE2e6|Im&Pra=if;gzh;J=7DQoHB|%7QHHaSZH5cN zVuKg1dF50MI7b=ILasO9g0P)3d@#+7vdVDwe+Gx=(AW@y$%A48&QXT5kn0V&AoR*S zVPUxDg;O=)9A!8Qx!!;a!tUx5foonoRRhjZhO>~v1TF}R4N<t}6;n0f9A!8Qx!!;a z!fvw_gKJ(jRRhjZhO>}uh6}=CLmaMo<x~wgM;XpSt~cO<3=9stK*?7ErkPPz8P5LC z;P3((8!|9?P;9_C%5WBPy#W`5o$e_M*Sv752Arb|XCc=ca6#A+)^c#oi>GS9Im&Pr za+tscVX+|(*Sun?2Arb|XCc=ca6#B54+?P2tEOteIm&PrvdwToSZpZ5HLski0p}>g zS;+MUTo85*k`hcaqpUKV{hz_%B{VkFVDg~YfOC}LEaZ9vE(qJpst(t@aH<BJqYP&u z*Bfv_*ntfiaLtRSYQQ<la29fyzy)Ekp$XT#VyXt5qYP&u*Bfv_*pWtBaLucxYQQ<l za2B%7a6wpXXu~zHoT>rmD8pIE^#)uJcIclDOfzWV5{&(y!QmA&HVj~5pxA(Ol;JGo zdIK)V46Qc|;hGmt)qr!9;Vk5O11`u6tv8I|nnBB+U>s$*Fmjl{1!1va3{%epTJ{9v zD8q%3>kYUdbhDVS2~0f`XxS5tqYM{Dwizx6iw#qldM41aCm2T=E{t4nzy)Dj+{|F= zLCc<C?Eef7ufegwENlf61H}fMqYP&u4+FpjVS8e%;hGmtRfcn5!vHogSw>l9IQu_? z!#l9m?7|K(d63m`jxwBuTxG!pq1S8*JHj<DoT>rmD8pIERTf+jcE6_+T=U|o8gPy> zoP``6a6wo?aE5DMF;xT3QHHaSt1P%6>;`NXxaL(;HQ*d&I1AZkxF9ScxWYBBoT>rm zD8pIERTf;38Cqqz!89|<D#O|T864h%V}n)L3nmX*BLU~YRzY~fWfx9WhI3#mD16|u zi>E5XIk0r#3zuCnRRhjZhO?0OM!^M{p?jnJV44|amEr9F3=Z$X&Snt~g2{st5uBq8 zXCbFwxFBpEEEukN;Z$Wf2j=b&xa{Jo8gPy>oQ3RexF8eM-JvkejIzpb_J0P44^VeU z!sJ2jhI5qREM#}X1!2Q)QE<%*rz*oaFn348WfxD?fOC}LEM#}X1sS34j)7@rlvRea z|1&sz1iPDAH~}UPayOi#3}+#`8!pHT9X3paYhE~28P0*ZI|(MsD60%-|7URc1hqO1 zCJ(Y2&QXT5kgbLb@<6RlhihIqRT<8KS)BosWt3Hhv;Q+Vd<I+1BAf%02U!j0D8pIE zR>KAPpjPL?H7}g14ClbC&V$P?o~i-oD8pIEu>}|8gT_`qOf#dbGMxRN!Ql(k-Ni6@ zkh|d=WjG7j-EcuzY?Z(@FPy3j=fK=u3YP^fhk<dF;ljx7h70mS-CYJ#&nT-5Xa8q# z_zHD*6-*xFZa7C7&O&xKTo4x3)o{%Vrz*oaFn8C$WfxD?fOC}LEM#}X1$m(Eu7zo4 zlvRea|1&sz1G}49xDh4~ayOi#3}+#`8!pHWO(#uo%?qb0!#OZ_H^XEZWtHLV{|pY_ zp;ouU<Uv-$Im&Prvej@wHmKDdaLo&+D#JN2t2<$`jIzpb_J0P4A5g1%Ve%lW;T&Z+ z3)yP8AS=}BKDg$EQ<dQynAQC-Sw>l9IQu_?!%wKylVS28tKl4FI1AZoxF8GE>M3x| z3#Tf>IWViI!ekj`mEr9F3=Y4*R<j7tgvo=fhI5qREM%+Ug0Q%r1=qZAsxq7dvwAjM zcJWjVI7b=ILQbP_L3U^wodeU%D60%-|7URc4R!Ydm^{ecaE>yZh3sy)AS|IQglk?n zRT<8KxqA^@cJWjVI7b=ILUuP?kPYhY#W2l`vdVDwe+Gv?P<Jnf$%EVt=P1Kj$nJ&< z!cy4^xaNgZmEjziyH~<x7f;oIbClsMWOu^_S)uM;1=GwZs|;uVXK?rnb@w`$JjmT} zjxwBu>~6RqEQzj%YhE~28P0*Zdjni{@l*{sM;XpSb~jv*1?ujNFwKmz%5e6728VxO zcQXrbg~@~54d*DsS;+2&3vxrV);752g;SN`9GJVe!(<s{mEr9F3=aRHR_}(%gRF*g zl;JF7tKouNP^<UAH7}g14ClbC-V2julvRea|1&r+FbXh$)>R#Z$%CwhbClsMWUJwV zoKUL|!8I?Osto7AtUe5rWt3Hhv;Q+VFhZ?94wDC24d*DsS;$ty1v#KrpMYy#I8_<W zfmwYLCd(+R3}^pma9{#k%_4jjCJ(Y2&QXT5kgbLb!s7ZIT=T-I%5V<M>ho~f#Zxul z9A!8QIgP>vxuI$F0!%ZbtTLSapTU6{>h3Erd62u|9A!8Q+1+qKSVFl9*Sv75GMoc* z_cgfe;;9;NjxwBu>~6Rq7u4O?VVW6bmEr9F3=S+%ci)D|gWL`0D8pIE?uHA(QrR82 z=7m$0;T)K|@4{slPt|~Pl;JF7cf$oaq3*s1)66KV3}^pmaA1YH`w>hY<Zd`e8O}m> zH(U^wL?6R7FPy3j=fK?k1TMRHss@~+3}+#`8!pHJb@x-4W=2_MIQu_?0~^@gtimth z@`B26HteXBS1?J?Q7Lc^^xP2P*DzV|Q7Ld9?5LDCFlk0%WjOmkgM$oc@4#EQq=5#U ztqf-&dkHQGJro4AH2XbFJJZw|%5ctq1_x2l81x6Y?1mYda1JAjGMxRN!GVKOfB{8- z6J3A{U4R?p@{cgX*>+8xKXvO2Ww-#$`cE(wOjBoR!a0mA%5XOH3=$Lp&>0#i0-!S> zPz1n!`wTOjQC1VdW>JQ-|1&u7fE<o2z>6-xhb{o#?(qd~wxK41&7urvLyt;85diJh zM-c#R7)KF+Y<Ol9{suE16lrh{EY!ZkWjD;wgmV~Kl;Q0E3=RSycOwf3q6-M23kZWE z?FY<oSfs%PVAlVHsQ^V9oWsbX3}-_(P@@Qd_VA(zfcBuG2!JE)7tC-*Sxp3+Ng2+D z4kl^91yH0^K$}K=7#JAf(hi`5c;GxHR4xM;K+fj*4Y%1)6TxOthO?pjJW&KdI}uR? zK%3!E1R$Ha*o6PWj0Z(2oC6Efe{k6iGc@5GMiyl_8>A8xVaNhv=mO&C0urDo{SPx7 z7Nu|jkfESrfPn`#H9d8fCY-~_q6}w4w<DnlfYx%O2!PgRp$LFRX@wbKhBL})BG@d- zaQ1%&2T72_kp-mC1*Fjhz=b0d+-yTl1e-+}&W4Wip$LG6&rk$F<5DOBkVBJLgjrz5 zgT^!A9MAz+pm1e{%PyR%4CjE3nF7hO!DJa_HQ;PzI17183|tVl$A}%S*H8n_R)({X z2YBIv&^-a5t!kVw?I35vIsX|P<UtPOg3B(Psto6V>LQRVH%yjMRs+sfhO>~J4Hty% zHRFNnHPnE!mEkO8XTt@NoXrQ*4ste}^Pj;%5#%s_xa`8I%5ctq1_vdOtN=`wQC0)a zR)({XoedX+?L8HQ>owGXvz6g2WM{(#k(@0I(++Yrob#W-K^f#Q5xDHasmgE;sEq@X z6@|$%%4)#b%5WC4v*CiUeN$p^y@ncawlbWB>}<FolCvdX+Ck2SbD*07geBp!3#Tf> zInYJw!cs6<Mp<Pz8<s7k;gW_LaJDj>h3qc4AaoxNDDld|w1eCQ=Rg-^3(LV}7fw}% zbD#@^h2>$gjIzpbHY`Ibz$Fbe;A~|$3)x+8K_qu6!L)<i1?NB)!wM_IWfx9WhI61R zB!yLAvW&9Ia5gMIs=_4=HQ;PzI1AZba6u$@sl&8`+y&=AR|5)bz-1RsRfcn*tJ8!v zVX};}%5XNUB+!CO8fw7V%5WC4yWoOI?$Uv22e}K*fv&_7)`iP1oT?1xKvxC{>%n9h zWtHJ<SV5o<mo(IXvz6g2WOu;@k=$hn(++YMoC942BWwhhT{u-4&VjDV5H^O%GRi8$ z*{~wW1TJZ)0cR`2S;+2!3nID845l6AE;t9e0zuduF1v85GModQ5f`?A$ui0+!`ZMB z$PzATr~zjy!&%7gf(s(K%NnK~<SsY|I{PbZ1D9PmRT<8KP6-Oz!ekj`mEmky*=Glr zG}M5zmEkO8cfkeW?qU^of@>F4hO=SaF=v=0s5=Jdz`A2DFj;VS49){3d{Ar16(-Fn ztPE%WXK*kFmDp}@NdpZyTN%zm?vB9)p*?!gE=4c6c0px08|G?nm?X&6a1PAXJ}_CZ ztKmGDt9@b8jKa!r_J0NkGmxwO;F1O!aJDj>h3sm$Aawi$<mw=}c0px08|La@m?X&6 za1PAXAuw66tKmGDt3zSZjKa!r_J0NkO^~a@;F1O!aJDj>h3sm$Aas@m)b)*kX$LjA z;hg^r4n`ouBjK_eW@y4Wj7-XKHfZ}KsMU!qpa#+!1=9*^P{Rda4eDr^3Q!*j&S7Lx zhO?n6@e6=vEm2f}<`RWtV1_fwY9iRo%5e671_yPJ!;u9v&;`ID91AzfP!qvsQHHZ& z&O{afO$?%_0L{3e2tcN?ScMbe#tSOL*|2nz1d{}%8#o7+ZjxcL;B*7$!O~3%Oqx+x z8P5LC-~jTba4KBVKm*QJhO>~<4O|d9s|ZT%nQ-lb%5XN!)mbn}kgMSwn5(m4vS3%k zc`#S!z@!<4mEmk?YzgPWB@Hy-Y-KnL+0}4C=o~J{)rD~Fg353<%+*CONsz1I9GI(% zVX|OX!+9`Qm%yYMg_YrK(2yG_FO<S14K(0vWjG7j)o?-RIsuTYE8*G&mEmldtE*s= zAXmdVFjrT@WWlb6^I)#7fk`t8E5q6U85}@8OW|6$q=5#Utqf-&yBaPCU6lcHbt7E6 zpfa2db9EC;669()2j=Q#m@L@Ua30LnEih?DVP!ZQ+Cdg>g-aS}z}d=h7P711g3vWE zAXj(7wF@f4*)Ugk!6ZShhI3%9?uN;NT@B~KT-^hcW)xP2v;Q+V7=ltrFI>_<1I|{4 zvyfd47lh6)flBdyn08Pp4(EW%C6M70;IbQLXu>&+EXr{9e+CCFP}zqppp7n|gD#*8 zGJGP;a9BAH7XVogYAQ^EsQ{Jpa1JAjGMo*aT}KfB&3U5;fM%jm1VHn{!joZ!Gs<cr z*euF$_J0NkJ&?nZ1@zGc4A2F@kun8twxK41&7urvLnnJt1VFQ>C<37ANfZIdydSIZ z47l-v%5XL;sm+8*f|44X150YNV6xz(2Is+&+H9CKqp&iZ{hz@B<W1o@a7hCVI9nOc zLQZOMLFg1LD1$73YZp|8vth1Y2$KZ48qR^adJ#+(>}og<=IX^TX+~jXIQu_?11PqH zm%t?rG~jGyI1Aa;a6#yVH^|j1;MxV1;cS?zSHdJgu7-19u3iO`1-lx~gSmP&Oqx+x z8P0|#I^i{NNdpZyTN%zmb~RiOy1W47>J4!1g353<%+(uVk|0;ZIWSjmg2{qi4d=mJ zy%{FWD69-;|7UQp1ZC+ha7hCVI9nOcLUuJ=5IR8wDvY+lw1WyGI0st92ychWZkVA7 z=P<G;!`aZrCyIbED1#!aFhLhE1-X0&%y3v?1Q&o6Mmu3DK!p*U!^olxXG5pQQ3ODf z)+hp?sbmxZ&;+mWE|}qrvYH4si!z)I?P{P1fO<?Q0u~^zA!`9g%5J#XhMEXAi!z)I zovlR?08N9U2!Q4nQ3PNUcl+VS3o66eu%vbXCJ9Pva1Jb~9fZk(lNy`{OKOK;(u~5& zaQ1%&2aq>~55px5G~jGyI14$c!3Cjns4R?(!bjoS<(1*={|pXd3<BU;3oyaRtt`X< z9lQU};K0Qoz@RLQB)|<45J3{)0SSmA3Gjjh#E=B|Kmy`O0{kEW2_yjlkboqTfFMXf z3Q0f+Bp{6>APf?aK@t#Q5MW?*IK;%j;1I&Vz#t2gLa-Pi-f{3^WPmD?gDZlw7#SQu zUPMwP4_5?ZIRrB>Fu*vVP;&rH5Wxk&GeU44J1Q5XoPmLXk--5Ja7flDz^#F^7#SQu zfr+F@5v~Z%Vq|at1u&8#CAcCui;=+r6zE8bl;MitEJg+gP(&apQh_Uivltm1K#_x_ zNENOK&SGS607V#*A~m=oIE#_N0ThW2QSj(chbw}yz#$FefPxMj(r^KA(876;kcM+X z${`^QN*WMrm^I;6z*t}_U>pXA4v=d=S{We|+AslTEx1k?3#=2yLDdP$QgEHxaGfv~ zSSO5wsuPsq;5v2SI$<oZP8bJOr#NV)66$<rUARsd3#=2yLDeaNtWyuJ6UGATgmF-H zf)f?YPJOse7z?Zu#zEC7iEO6<TqleL)(PXF>IA2Dn4N}joiG+yCyaxtQwrHmBe+f& z3#=2yLDdP)7ce`G;W}X~uud2URVU~Q7<hb|z;(h{V4W}yOeZ*zBLqS7&2Szhk;A#5 zlnP1Y;Nk@4L{qp$Fc#P%7zf27gdoTwI1geGoQtqX200YX;1<DHV2fZJm_-mLf(tX4 z6V2f|VJxst7zb6SEV7*zaGfv~SSO5wsuNsT!R)kz>x8kuI$<1CouI3I;4x<f*9l{R zb;3BPI>BWh%uZ{#P8bWU6UIT+DUa+=8@Nsw3#=2yLDdPav|x7H!gaz}V4W}ys!j!D zJMG{)VJxst7zd^koI(+Tpo9bG@qtTaIF}ugau^vL6p<~mhg$?=fh~e@P%J_Sf-Hjb zASo2ih1dhCS;6H!EG!)07Qt9xi(niSix7e!i{LznMQ|>{A|+%WfwVAz<zOtZMKBJ` zB1l#M*L*N1I>DU?V}W(TIH)?6k?nMb>x8kuI$<1`PH=P~1VK)M^B~a$=Yl-|Zl8cx zzQCO50=Edp0$T**pjd<u1X%><v4GPBoQtpsd@ei8B3HOYFc#P%7zbt%Box(<!@>=& z6UGATgmF-Hsw3-khwFr~z&c?ZRGk{gIz8YzVJxst7zb6SCbCXXxK0=gtP{pT)v1N7 z(+jQ>#scevaZq(?BkS~r>x8kuI$<1CojS-mLG4>e+J>>fI$<1Cow~?6ec^V(SYVwn z4ysN)WSxF+oiG+yCyaxtQy*EUKU^n_1=b1Upz1V0))@fT31fkE!Z@fp4Uu&Q!gaz} zV4W}ys!k(hok4J&Fcw%RjDxDv7+EK%8v=<H7z?Zu#zEC-f~*tNLxSjpvA{ZE98{gA z$T~yeu7$C{I$<1Coo2{7!{9n$EU-=(2UVvzvd(b0P8bWU6UIT+X@RUW0<IIr0_%iv zP<2`&>x_i!gt5RnVH{MQR>(S|;5uO}uud2URi`zw&S<z!7z?Zu#zEC-gRC<Kt`o)r z>x6Mob=o59jD_ojvA{ZE98{fl$U5WTI$<oZP8bJOr#-UHc(_g&3#=2yLDlJitTO?w z6UGATgmF-HIwI>#gzJQ{z&c?ZRGm)9I+Nf!VJxst7zb6SGqTQPxK0=gtP{pT)#-w) zGX<^_#scevaZq)-BI`_r>x8kuI$<1Coo>iF)8IN`EU-=(2UVv#vd(n4P8bWU6UIT+ z>4B^>1FjRs0_%ivP<47D>&%4fgt5RnVH{MQUdTGL;5uO}uud2URi`(y&TP0&7z?Zu z#zEETgRC<Lt`o)r>x6Mob^0Rf%!TWOvA{ZE98{ft$U5`jI$<oZP8bJOr$4gJe7H^+ z3#=2yLDd<6tg`^F6UGATgmF-H1|sV$gzJQ{z&c?ZRGmS{I*Z^sVJxst7zb5nFtW~K zxK0=gtP{pT)fs}Uvjnaa#scevaZq)JBI_)L>x8kuI$<1Congp2%iua;EU-=(2UTY{ zvd(h2P8bWU6UIT+8G)>`0<IIr0_%ivP<2Kk>#T(9gt5RnVH{MQQOG*0;5uO}uud2U zRcAD^&T6<$7z?Zu#zEB?gRHX#t`o)r>x6Mob;cs=tcB}@vA{ZE98{fg$U5ubI$<oZ zP8bJOXFRgbdbmy)3#=2yLDiXntg`{G6UGATgmF-HCL-%>gzJQ{z&c?ZRGmr4I-B4+ zVJxst7zb5nGP2HQxK0=gtP{pT)tQ2<vjwgb#scevaZq)pBI|60>x8kuI$<1CooUEA z+u%B3EU-=(2UTY}vd(t6P8bWU6UIT+nSrdc1FjRs0_%ivP<3V^>+FQ<gt5RnVH{MQ zS;#uO;5uO}uud2URcAJ`&ThC)7z?Zu#zEDYgRHX$t`o)r>x6Mob><@L?1k%uvA{ZE z98{fo$U6JrI$<oZP8bJOXFjsdez;B;3#=2yLDgA+taAcfCyWKw3FDyZEJW5h5v~)) z0_%ivP<0j|>zoAF31fkE!Z@fpi;;CshU<i}z&c?ZRGlTrI;X&O!dPIPFb=BDQe>S| z;W}X~uud2URc9Hp&S`L+Fcw%RjDxDP99iddxK0=gtP{pT)mee8a|T=|j0M&S<DlxS zMAkVIt`o)r>x6MobygwkoCVhjV}W(TIH)?Sk#)|7>x8kuI$<1Coi)fh=fHKsSYVwn z4yw*tWSw*2I$<oZP8bJOXC1Q6d2pRD7FZ{YgQ~M0S?7GXP8bWU6UIT+*?_Eb0bD1H z1=b1Upz3Ty*0~U_6UGATgmF-HHX-X=1lI{;fpx+-s5+aGbuNbMgt5RnVH{MQEyy~T zz;(h{V4W}ys?JtqolD_5VJxst7zb5n8?w%2aGfv~SSO5ws<Rzg=W@7C7z?Zu#zEED zfvj@{TqleL)(PXF>g+_;xe~4u#scevaZq)3A?sWP*9l{Rb;3BPI=hi|u7>M`vA{ZE z98{e>$U4`+b;4L+oiGln&R%4lYvDR!EU-=(2UTYuvd(pIoiG+yCyaxtvmaUKdbmy) z3#=2yLDe|{S?310P8bWU6UIT+IT2asMz~HG3#=2yLDe}4S?4CWP8bWU6UIT+IT=~! zX1Gom3#=2yLDe}0S?3nGP8bWU6UIT+ITcywR=7?W3#=2yLDe}8S?4ymP8bWU6UIT+ zIUQN&cDPO$3#=2yLDe|}S?3P8P8bWU6UIT+ITKmuPPk4O3#=2yLDe}6S?4aeP8bWU z6UIT+IU8B$Zn#bu3#=2yLDe}2S?3<OP8bWU6UIT+ITu;yUbs#e3#=2yLDe}AS?4~u zP8bWU6UIT+IUiZ)ez;B;3#=2yLDjhcS?2+`P8bWU6UIT+xe!_BLAXvB3#=2yLDjhk zS?3|RP8bWU6UIT+xfogJVYp5h3#=2yLDjhgS?3YBP8bWU6UIT+xfEIFQMgVR3#=2y zLDjhoS?4jhP8bWU6UIT+xg1&Nakx$x3#=2yLDjheS?3A3P8bWU6UIT+xe{6DNw`iJ z3#=2yLDjhmS?4LZP8bWU6UIT+xf)sLX}C@p3#=2yLDjhiS?3wJP8bWU6UIT+xfWUH zS-4IZ3#=2yLDjhqS?4*pP8bWU6UIT+xgJ^PdALp(3#=2yLDjhdS?2|~P8bWU6UIT+ zxe;0CMYv8F3#=2yLDjhlS?49VP8bWU6UIT+xfxmKWw=fl3#=2yLDjhhS?3kFP8bWU z6UKq*1h1Pw2!fU~z<JEz<qU8xVmZTBWQ(rCErPMY7Qr|u79j*d7QuOt)hBQ+!lG@+ z7F~l|1Y?0Mf^kqRLI{E^g7Y92!MO;Fwj*0~9c~ef1-1yrL9qxS2(k#ygIEOTA}j*k zzXM-#bpvh@j0Ls`#zC<NAqcVv&VyJ4=OQcu-Sz;t=qB7E7z=C>jDunkLJ(vToCmQ8 z&P7-RI+q`A(Ji<|Fc#P%7zf27gdoTwI1geGoQtq%H*y@^hFb(<fh~e@P%J_Sf-Hjb zAQr*72#fY0TXY9*5sU@42*yFN2q6fv2+o681m_|w+KX(_UARRs7T6*f2gM?UAjl#( z4`LCVi?C=PvPJjc7Qt9xi(niSix7e!i{LznMQ|>{qW#Dg-G^HQV}UJ#aZoHm2!bqv z^B@+%xd@96AY1eRZV`+Hwg|>Su?QguvIx$DSOn)HEINp6(L=aJFc#P%7zf27gdoTw zI1geGoQtsN5VA#&;1<DHV2fZJ6pIjoAdBEUh(&NN!lJ{-7CnYr1Y?0Mf^kqRLI{E^ zg7Y92!MO;Fjv!m~1a1+G1-1yrL9qxS2(k#ygIEOTA}l(JY|&G=MKBiFA{Yn7B7`8w zA~+9X5uA&#=oqp^&)^opSYV4_9GFFrb$p=Hk>I7|bGS|z3#=2yLDhKz+0GYmoiG+y zCyaxt6LjVq+|HM9oiG+yCyaxt6LdHnT<0seP8bWU6UIT+c^cWZui-jjEU-=(2UREN zq&K*oZ{Rv%EU-=(2URENNI1C8w{V>>7FZ{YgQ^pBb{t&iJGf353#=2yLDhL4*`M#> zI$<oZP8bJO=LKY)AK*G+EU-=(2URENI5@a#Kf-mwSYVwn4ysPjxp8ovpWr%SEU-=( z2UX`~WY>O%>x8kuI$<1CouFgh;C6n2>x8kuI$<1CouKpJ;5xs;b;4L+oiGlnPSC+| zaGl@aI$<oZP8bJO=XGR%euwLXvA{ZE98{e*kahlm>x8kuI$<1Coi~wn{)Fp<vA{ZE z98{gRkahlo>x8kuI$<1Cowt#7{)X#>vA{ZE98{g4d#~YP`v<NQ#scevaZq)F?r4VV z{0r9!V}W(TIH)>7_X)#w{)6j;vA{ZE98{g4yKmt-|HE~{SYVwn4ysPjJ*{w^42;l? zf}r){450O-V4ZMbm_m>=_?~u{05c<8CyWKw3FDyJ3Az##u9FF_6UGATgmF-Hg08QG z>tu%Ogt5RnVH{MQpsN<)I$7X4VJxst7zb4+=vqLyPFA>17z?Zu#zEByy21{wlMSvD z#scevaZq)Fu3LlaWQXg7vA{ZE98{g4tHIzpIp8{BEU-=(2UREN8Y{R?PPk4O3#=2y zLDdPmatW@J3$7E!0_%ivP<4W?2ZHP5hU<i}z&c?ZRGpxMB;h)F;5uO}uud2URVU~s z8n{kgxK0=gtP{pT)d@PQ60VaEt`o)r>x6Mob%L&uf$QXl>x8kuI$<1CouHE_;W`E2 zI$<oZP8bJOC+NsZxK2U1P8bWU6UIT+2|7~<u2TrE6UGATgmF-Hf({>o>lB9Tgt5Rn zVH{MQpc8}OIz`|*VJxst7zb4+=x8ChPEoi{7z?Zu#zEByI)ezVQw**X#scevaZq)F zZo`1<6o>1CvA{ZE98{g4gFWCnCEz+?EU-=(2UREN1Q57RNw`iJ3#=2yLDdO5Dg>@m z3a%5z0_%ivP<4VXbAaoVhU<i}z&c?ZRGpxMK;SxM;5uO}uud2URVV1g5V%fRxK0=g ztP{pT)d@OU1g=vKt`o)r>x6Mob%JgLfa{cp>x8kuI$<1CouIQ3;5rrHI$<oZP8bJO zC+H9bxK2g5P8bWU6UIT+2|8^7u2TuF6UGATgmF-Hf)2EY>r{s8gt5RnVH{MQptBU< zI#u90VJxst7zb4+=+FhYPF1*07z?Zu#zEByI-LQoQw^>Y#scevabP;ZBfJPfP)8Te zgY1lha}ga~c18i1eg<ZBxJ57)*diDQt`OV^0v&t~ccKPdCyWKw3FDyZ1f7r$*Qp8D z31fkE!Z@fpK}V^>b!x$N!dPIPFb=9t(3$ISo!W4nFcw%RjDxBZbP76Lrw&{vj0M&S z<DlvU9hVN*sSDQ$V}W(TIH)>7=c>bX>cMrwSYVwn4ysPj0qk&{`f!~v7FZ{YgQ^pB zCOTZF0bD1H1=b1Upy~u2o(|V(2-gW?fpx+-s5(KXtiyF0!F9q|V4W}ys!q^x>~NjN zaGfv~SSO5ws#63x#hbu&!dPIPFb=9tQDmK_aGfv~SSO5wsuOe;Io!2oaGfv~SSO5w zsuOf*Ib5eXTqleL)(PXF>I9u`4%cY`*9l{Rb;3BPIzi`+!*yE1b;4L+oiGlnPSEjj zaGh3goiG+yCyaxt6Lf+(T&Fc$CyWKw3FDyZ1RZq_*J%US31fkE!Z@fpWs&347OoS< z0_%ivP<6^7>$HRGgt5RnVH{MQphL#tuC<5jgt5RnVH{MQ3dnXkz;(h{V4W}ys!q@e z;cz=0;W}X~uud2URVV25aJWt<xK0=gtP{pT)d@P@8?MtCt`o)r>x6Mob*doy(*>>* z#scevaZq)tBI|U8>x8kuI$<1CouGqD;jVRq>x8kuI$<1CouH#c;X2*nI$<oZP8bJO zC+ILvxK0naP8bWU6UIT+2|An<uG15)6UGATgmF-Hg3kJb>ja%01RCgIV1Ti}I$<1C zouESj;X1wHcEVU-oiGlnPSELraGgGIoiG+yCyaxt6LicVT&FKwCyWKw3FDyZ1ReYb z*Xak>31fkE!Z@fpL1)gvb^60~!dPIPFb=9t&>4GhodIy2Fcw%RjDxBZbmkyjXCPcB zj0M&S<DlvUoz)B183fk}V}W(TIH)>7=c>VV2E%p2SYVwn4ysPj0c>!cA#j~A7FZ{Y zgQ^pBQX5=nC|oCu1=b1Upy~u2(+t-c2G<E=fpx+-s5(K1tig4L!*#+~V4W}ys!q@` zWpJGlaGfv~SSO5wsuOf<8(e23TqleL)(PXlbb=f22tiQe9nOQyQo*^1#=8}Afd@K! z3X+{+EU-l|4vIwxL6Ajo9%LN|oQtr?8rh;~xQ}2guthKqibV)PkVSAF#3DEsVUZ28 zMKN%TU@WjjFb;}E2tklVa2~`WI2U1&Es{l6ad3;`p)6&%5VNox=rlJ5Mn(raM$qAM zRtZow3=Bz7mNHz3Wy<WGpgkiX<@ONe$xuZM45?6-GF*sd_Rh(m?H(ZI4iM#OP(=(3 z8BmroTnJgYBSd*7R1pJ1Hk73d7eZF<1W}#?Rm8xM2W2V4g^-myLzL%36)`XrLRrdi zA!Ow)5amTsMGOojP?j=W2wAx+M0qJx5d%Xxl%)(8LRRhuQC<O6#K2GmWhujjkd?bb zlvhI)F)-9ZS;}xBWaS<Z<#kX+3=9oWmNHxjS-B@fc_UO214A>Er3@EBR_+B+-U3y` zz|aO|DZ_=3m3u>!w?h>%Fmys$%5WiM<vtMQT~I{~3_VbmGF%8*xi3U{FH{i&LqC+I z3>QLH?gvpm0jh|BVG@+33>QLH?hjEu8LEhZVJeiR3>N|^2Q6R+rS|}c@@Y^-3=A`% zEM>S5vhqNP@|jRY3=FfOEM>S5vhpB^@;OjN3=H$2EM>S5vhrYv^7&9j3=9jQEM>S5 zvhom!@<mWZ3=B)4EM>S5vhq-f@}*Ek3=GSmEM>S5vhpy9@)b};3=FHFEM>S5vhr|< z^3_m93=C_bEM>S5vhoOs@^w%}3=CVKEM>S5%Zgn)L3@uF8HLwFB^g*i*VH+HPLF{L zaj<|k+x}-{bclqQxfQCIk<kIPoD|M=04+;}@swc<25uQSV+(O<TVp9%Lk0%0*>E`r z&^~(=6T_m+#DbF2ocNNoe9&I@{|vjKb|`OyG8kAE!(F=_E(UikLWqTpfdS;$D2QYC zK-EGW3+I9z3*#xn7}y*Om&57U!%#bv_d*#ANI|;~E(UijT!@1Ov@adx+GvPtk3bbe zT?^-eT?^wW!x-3H3zvhq)(|sj&p_=^J_=<pu&jay?J>9*+_i8a0hR{PhHa2@V<65w z3sntuE}RQ?E{vxPV_<VGTn?vmuR-llJ_ltmu&jhT_dHw-?p(N#0t-XKf0%<~Ar8I{ zQ_t`K%2I|4v8;w0djl$olpWwg4xm%jSQr=>8vg%>8y^QT{vk{s!!syL87_pBejh<4 zk&H(O!P0L$#K`9`wG3~dEM>S5l94Z<l1N4(gkVM{K#Y6~Q_JuP%2I|4AsP7&Dv4wy zLI`GLBE-ngFtrRnpe$v$5R#E!ppr;NB7|T@CP9q+2~*4P56V)83n3Z#3o40ZBtnRV z15y?wLyY_nQ_H}@1X%~53>QK&60|M=+{8u{h6o{;ktq-(S)m3oFmORx%5WhhBiW#m zNUlT(vG74$nF=wI8>W^)0LoH^3n3ZF1C>NF5+TIG2{AGaVx%BUErSG<r3@EB%KSo5 zNhBlTLINxmpxynT%%2W1R1&6|K>^BAh6^DXDg~89G88U^WM~G&P(_$(1`Q}n87_om zs1j5X$xyhE0E--GIVQ-ZnGi!YVX7Gnpe$v$5R#!<P)Q_1;X(o|GeHOSfDFxo7-|Sp z&0r2?DZ_=3ihm=hB$A<UAr2PMR&J1)*$^`=V2T-Rp)6&%5K`1zLM4&RL<qsMQ4YjN zJD6GqXDCY<E`(&HJya6ONQ4l~$Xtk#E-<wWo=}!DTnNcXSEwYCkq9A}k$Dg!y<ln? z0-!8qxDZlcdP60VjD!mz0y7_CW*|&4Ll~5$3>QLjW)M^o$xMV0EFTp>j0}gVWr%^Y zl;J{1Mn*s-k&HwL!F*l_F)|jWmLUnsQicm585swaL^2W~1T(S-Vq`K*Ekh=hr3@EB z3d|I!B$APEAr2PM>F1!7Sqw2V3#OQ%0LoH^3n7`A4V6SP6E1`>vjk#hAxtqtIh3Ug z7eX?#2r7wWCR_+%W+}wX3YcPsIw(sSE`(%eB~%j0Ot=uj%rc0X^)ST@ZBUjnTnMT7 zXn;y0nF$vXV3`IhKFT46w!>62On|bK;X+6)kq)RNlA&-RNK52}xcCe47cXG(RRJ-6 zB1|8{EGSDEE`((KB&Z~k@o*um##cg&pAFN;ums9dh6^DXKL;v_WIS95tMOG3<CjA9 zLEDvZF1TF@<0-=!*xHqFIS0_mY%1m$9gKBQE0mW(8OY7b<!~{0vl1?(z!G2o7qnaz zlsl>+?p+U64|Ok`3wAGzrwn6Yb1z&D;$F;F`wplT${U~zWcO}_i^1Is7gAset*r$e zb_sHC4aB`Wq3WUTg>%8~h4GYO3~cU&%R$_0fDyi+YYjk+DCJ#HyO7<x8!iTSFI-50 z<$rB0XelSiy|obc9)_xix);s`yBEe&hB2_Y7cK{JuO)_i&p@qEJ_2PRyZ0zu4DMdI z5K4NegShuBR6W$aa4y)rFrG4ufz7>eIf#2rFx-0$YK8JSC<EEO=iy>-_rirx(nCGO zz1N}Yq3(rq!S03elwk~P?uE-i+>4ohA3&{8z5!(*yZ0ts4DMdI5K4MzfVlS|R6W$a za4y)rFrG4ufz7>eIf#3WFv9m0)C%QCPzJJlAH&7q?u84Xq=!a`dtXD<L){DKg53+_ zDZ?1p+zXe3xYrcJy<ebKD8GR+klp(hE(UimTnHsSG(p_^6{;TUUN{%*UKmdq#=z!Y zxE#d2nCbT))C%QqPzJJlzr)4g?u84Xq=#mRd;de#L){DKg53+_DZ?1p+zXe3xEHfL z<6wsN7#W}pWcM<{#o+FR3!#K>3&g#gF!c<4P?j=W2&vJ>1(igqJ`qB&Mqew$NPehV zXb`};;2?nUlwk~PK>(M71c3!c5|e;hp)3GpAP0dUTnrura3Pc|(FSp^Bvd`ry>Kqr zy)d3KjDgL)a5;#3jWOJ-1hqn03d%rsuQXf??q0YMN)l^_xK|mbo<Rf3Qicm5MVSgz z5-G|MLa-?7fEcL>Q_G+SWhujjkc`xVN+KDF5P})m2{BS1rk243%2I|4AsJ}^l|(WU zAp|qB3u2@xOf7>Il%)(8LNd|}Dv4wyLI`GLH^fM5s9I>;!@1zNhw+qQ3~X@^mxBx& z8e!HqPEb3PZJ-R~F<D!<7(DXfLWnWh9*Aq5p^Bldg>%8Kh4GYO3~a82%i(mbH`ESg z7bpXHOx6`H26ru72x&~V7vfwWm}-VlC`%bGgw!<mg-RmD7hDL^G%t_;diQ_)S6EZ5 z4`O^6OdmrWl%)(8LK>J2he{$D4;NBksV~0^+8_jK;q^m|jfbgc$bhnx;X+8RPJl`x z84DMJxcdM9|NqOG4}dmJgN&a5F+LNfkD&<4Qicm58J`7}L^2*O1Tns20hiL5HCCXT zb3n#Vgcx58)5lN)Whujjkc=;ZN+KB#7s6`%B#7~~FntVdP?j=W2+8<5s3el{a3QS5 zPlg!Z4%5dl0m@Q_3n3Zb0hL5D9xjB{_$d(MC&KhG%!IO(;X+6$W)f5q$#}Ss0!u=I z4(N~!P?%4J7&{B5o?#J`r3@EBGIlmp63JM&kOIs6`IkXgQ-O?~1~GOqOg+PDC`%bG zgk<azs3elHa3K_9r$dZg15?ki1<F!}3n3Z17AlEkEL;f1*clLGx5Csj?1QqD;X+7J zw+$+ZWGq|=5_O;@6Gs>wK=<Z>0%In``28?_3`e0XWw;R1XvzVoB$DxPAr2PMf!H83 zXF<$722;#%9?DXN3n4lAI8+kJOt=ul$>o3R?{5BM4?0~2Wc+N1@fTqF7;ZvY%5Whh z<1a!bk&K56L5x3R^G=TEPa){IV36^1AjaQ<>0@{dWhujjkc__#l|(WgE(9?i6yTsq zBnAfXY~@^t@lT-opmhzL3$ANmJY^UITU`T}!__K!1GPf=DU^X+)jWfX!K)g$kN}Go z=(Y_|V9bNK_AOL3)U|Lf*tIa8GK_)EwQxCzYcad!U!hhgzk@Q6UHcv`26ru7NPxu; zbe=lMweuma{RUMHbuF9=b}fvj3}aw(EnE)bTFe&mKd2ST-=Pd-*ZzQu!Cea%5@2Bi z-3S44?E;8v|HD)>aIipo9&jO~gvJ1sL`rCIAxJ_yaA3ju^XC_Ujv@pZzYt<PCrlrM z5R|117eX?g3o40ZJX{E?@rxkF3&Zp=$Us@ja3Lh)MWB*M#>0iM8owA~yev!~gBp~j z3>QK&UJfdWWIS95tMN-9#;e2hF&IEu%5Whh<29g?NXEm3uo}M<V!R<tAA=Q?r3@EB zGTsO(iDW!n2&?hSAjVt6^f9<VS;}xBB;#$Ml1RqGg|HgG9AdmHOdo?El%)(8LNeYB zDv4w~TnMZ2D<H=E!}KwPKv~LgA*Aj`08|pmc!UtFyRi~tWGGB6LmZT)3>QLjaTrt* z$w;^mRu`{=7#|PQ$B+SKDZ_=3j8A|{A{h@C!fO0#i1C>)eGElVmNHxj$@na&B$DxP zA*{x)ff!#5)5lN)Whujjkc=;ZN+KB#7s6`%T8QzrFntVdP?j=W2+8<5s3el{a3QS5 zuY(xh4%5dl0m@Q_3n3Zb0hL5D9xjB{`1KIuC&KhG%!0C%;X+8pPl8G!84nl2YWxO> z@v~w27?wa;%5Whh<L5vnk&K56VKsgu#Q3E!eGIFhEM>S5QdU|9l|(WgAq2}xn;=H6 zhN)%P0A(q|g^-L~1C>NF5+MXLax=upjWD$g+o3FFxDZksa}!h&$w;^mqRYMoV&)E* zVut-tmNHz3W#)`op!2~Q8HIO3C6Ua83o(M0_<$<Rtq>y*z|=Avhq9F6LP$m)gi0bA z2^WGJxea3E37A@j^H7#DTnNd?lTb+{BjG}DBez40yZ}?na2?80h6^DXc@Zj!WF%Y& zZsZP#kvCv!8SX<_%5WhhBX2?_k&J{3!HwJrG4cUSEyFV?OBpW2wrcC<%@aY#&4X5t z?t*A|4pqj$@D|Eah6|x;*bUL}4yufS;R}?d3>QMxum_^yD^wW+!*3`{87_pXVJ}3( zAE+`01}0W$`wT9Gs$m~Q12a?^0|N(?r3@EB)vzC;ffK5Xfk6PuQicm51w0p25-H%} zLhyh;05MV!rj|hh%2I|4AsHzIl|(WUE(AC7AjC*Xm|6w}C`%bGgk+=?R1(QZxDedP zLl7esVQLxFp)6&%5Nb#rhG@`$Dq~>KgR+$2LZ}*!Ks4w>l`$}wLRrdiAyf@VAsWn} z$`}}|pe$v$5UaM7wFT&2cTj>o22pPfRmH$y4`nICg;3NVhp2aes$yVpfwGk0LMZA_ zK-9ZJRWUGlLRrdiAr$o|A?m%Lsu&pjpe$v$5UVDV`%gjC`$JVRFa$$c%5WhR^`{}~ zL!hb{7$Tr7Ww;QE`ZEyqkx*3(46#s_GF%8n{aJ|mIH)QHh9oFU87_pP{v1SoGE@}< zLpqeD3>QLCe;%Sf1FDLFArHz@h6|x4hzk%6`A}sH48>5EGF%A7f{PIKB~Voi3>8q8 zGF%8n{UwO{N~kIZhI%MV87_qCpvw>q4Nzs!-YuL9?%l$8$}k4D-Yr}XGD>ZXIi}tQ zwL`fP%0OO}-2@kdcW)6wpo51%mFX3TW80x>p^k-f!H$LTlwk~Pj)lwNbZj5g4&@Fg z19<|V6D|gKEL?~K)Ib3b%3OuGwjZh(>RLD#>{=L48OFfoTDTm<wFa0$I~{6=@&qUY zdE{UsTnz47xR3w~=o&z<bFV?1I|HVgVJ?)V3>QLbqs@d$B9+JpA=r}C>kuR7LDfP- z0L}%60F0*$V_*vbxE#(9SPr#Ac|MeZJX^N_E(Q+)xR3(NDsT{h!{7$Q!7E_u8P-Et z%5Wj1T4^Oz5-AMeLhxGYCd9}MFtrTZp)6&%5R#D_p^`{O!iC^Q-hvpp1E!W?Ka`~m z7eX>}CsY#2NVpK($lDMj55Uwi9EY-$;X+789)wCF83`AH8+iv}<O!HshVxLCGF%AB z$dgb>BqQNMa3k+RjJyC-%WxgaQicm58F>*ZiDV>P2yWy(h><s7Y8mcBS;}xBBqMJ^ zC6SDT3&D-N4>9rqOfAE6C`%bGgk<DHs3ek+a3Q#n4<JUqfT?A84`nICg^-MV36(@L z5-tQc@*%{?4=}Y1-=QpJxDb+&AEA;+M#6>QMm~ZV`2(hw;Xjn63>QK&@+VXh$w;^m z+{nieBN^BrjbsJ}b|_03E`($xBUBQ}NVpK($R`jZIbdoT_@OLixDb+&oKQ(5BjG}D zBcDQy6o9E^5Qnmq;X+783PL53jD!opjeG_%QUa!yK_1Fdh6^DXDG8NCG7>HXH}W~e zNClW$26ZS)87_omq#{%j$w;^m+{hOYBQ;=Z8T6qnWw;QMk(y9RBqQNMa3fzrj5L6$ zWiW@bl;J{1MjApTk&J{3!Hs+cG13C2mcbs%Qicm58EFZXL^2XC1UK?E#7GC2S_XG0 zOBpVNWTYch63Ixo5ZuT&5F<TcY8m{YEM>S5l98TJNhBlTLU1GBLW~T6sbvU<vXtRM zNJa)iC6SDT3&D+i2Qe}Nrj{Wd%2I|4AsHD7l|(WUE(AC7J;cZam|BK(C`%bGgk)qQ zR1(QZxDedP4-g|WU}_oip)6&%5R#FZP)Q^s;X-gDKSGQwfT?9Dhq9F6LP$myLM4%m zgbTrq`~)$w0;ZOs9?DXN3n3X<36(@L5-tQc@-xK92AEogb|_03E`(%cBUBQ}NVpK( z$S)8hJ78)V`k^dkxDb+&olr?6BjG}DBfmn7oB&hHFdfQLh6^DXIT0#}WF%Y&Zsa$J zkuzXw8RkP-%5WhhBWFS-k&J{3!HxV5F>(P+EyHpsOBpVNWaL7qB$APEA-Iu0AV#i$ zsbyFXWhujjkc?ajl|(WUE(AC7C&b7NFtrTZp)6&%5R#D_p^`{O!iC^Q{(=~}1E!W? zKa`~m7eX>}CsY#2NVpK($lnkn55Uwi9EY-$;X+789)wCF83`AH8~F!f<O!HshVxLC zGF%AB$dgb>BqQNMa3lXhjJyC-%WxgaQicm58F>*ZiDV>P2yWy*h><s7Y8mcBS;}xB zBqMJ^C6SDT3&D;24>9rqOfAE6C`%bGgk<DHs3ek+a3Q#n3{2odD_+3VGQ5Yfl;J{1 zM!tkfA{hx6f*Z*QG4caUEyH&xOBpVNWaLMvB$APEA-IuD5F>xU)H3{svXtRMNJjpI zN+KBv7lIqf3^9^{9p1i#vXtRMNJcV3C6SDT3&D+Kff&gFQ_H{)Whujjkc{MnN+KBv z7lIqf3Ncaurj|h*%2I|4AsHzMl|(WUE(AA{4PvAOOf7>vl%)(8LNZbkDv4wyTnKI? zJH$u@m|6yPC`%bGgk+>5R1(QZxDeb(4v3K&FtrT&P?j=W2+2rIs3ek+a3Q#noDd@o zU}_o6p)6&%5R#FGP)Q^s;X-gDxgbVbz|=C>Ls`mjAtWO$p^`{O!iC^Qazl)CfT?A0 zhq9F6LP$nBLM4%mgbTrq<bfFJ0aMH14`nICg^-N&gi0bA2^WGJ$qO+u0H&599LiFL z3n3X92$e)K5-tQck`H2J1WYYMJd~vj7eX>J5-N#gBwPq?BtOK+1ejWebSO(1E`(%c zB2*H|NVpK(NCAkE88EdB`B0WJTnNd?OsFK1k#Hfnk%AB-3t(y)%AqV}xDb+&g-}T( zBjG}DBZVMFR>0IU)I(Xya3LfkE1{A|M#6>QMhZiWY=Eg{Xos?t;X+78HbNzljD!op zjTC_x*#T3_&<|xP!-bHH?1V}p83`AH8z~Agaso^(!*nQ187_om<V2_>l96yBxRGKI zBWJ+WGR%jvl;J{1M$UvvA{hx6f*UCgF>(P+EyHpsOBpVNWaL7qB$APEA-Ite5F=N> z)H1AxvXtRMNJg%NN+KBv7lIop2{Cd5OfAE9C`%bGgk<DKs3ek+a3Q#nQV=6|z|=DA zhq9F6LP$pLgi0bA2^WGJDGf3508A~zaVSd}E`(&{L8v5>k#Hfnkune?Pr%eNoQJZM z;X+78o`gyw83`AH8z~Dh@&ZgP!*wW287_om<VC0?l96yBxRG)YBX7XeGTeu<l;J{1 zM&5)<A{hx6f*UChG4cUSEyHstOBpVNWaLArB$APEA-ItW5F=l})H1w>vXtRMNJhSd zN+KBv7lIq92r=>lOfAEAC`%bGgk<DLs3ek+a3Q#nN)RJ|z|=DQhq9F6LP$pbgi0bA z2^WGJsSGiafdk&YgtC<3LP$n3LM4%mgbTrqRDl@D0aMGs4`nICg^-Nogi0bA2^WGJ zsR}Vt0H&5f9LiFL3n3XP2$e)K5-tQcQVn9H1WYZ1Jd~vj7eX>p5-N#gBwPq?q&mb% z1(;d}btp?2E`(&HB2*H|NVpK(NDYXQ8ZfmC`cRfKTnNcXO{gT2k#Hfnk(v-A4Pa^+ z%%Lo0xDb+&hEPc)BjG}DBeft#TENsY*h5*$a3LfkEuoS~M#6>QMruQhbbzU4aEG## z;X+78IzlCpjD!opjnsh{=>b#A;16Xf!-bHH^n^+x83`AH8>tI1G61HQAsot5h6^DX z83>g`G7>HXH&PE`WCTntLp+qF3>QK&G7>6@WF%Y&ZlpfM$OM>LhIA-P87_omWFk}& z$w;^m+(-k6kr^<x4Ea!&GF%AB$V{jtl96yBxRHhsBMV?^8Oot7Ww;QMk%dr6BqQNM za3hT%MpnSoGSov^%5WhhBP*ejNJhei;6@rljBJ3ZWoU=8l;J{1Mm9nvk&J{3!HqP5 z7})_+%g_&HDZ_=3jO>I;A{hx6f*WZHF>(S-EyHvuOBpVNWaLDsB$APEA-Iud5F=;6 z)H2M6vXtRMNJh?tN+KBv7lIpU4l!~8OfAE5C`%bGgk<DGs3ek+a3Q#n77!y>z|=CV zhq9F6LP$oggi0bA2^WGJX$diM157Q$b|_03E`(&{MyMo`k#Hfnkya2Rcfiy#?1!?H z;X+78?u1Gr83`AH8)*$O@&HUN!*M7}87_om<Uyz;l96yBxREvxBTvB8GMtC9l;J{1 zMxKO9A{hx6f*WZIG4cXTEyHyvOBpVNWaLGtB$APEA-Iut5F>BE)H2+MvXtRMNJid- zN+KBv7lIpU4>9rqOfAE6C`%bGgk<DHs3ek+a3Q#n4iF<>z|=Clhq9F6LP$owgi0bA z2^WGJ=?F3M157Q$cPL94E`(&{N2nx{k#HfnkxmdJf56l-{D-oX;X+78{)9>*83`AH z8|e%&l7SQ6zJ#)r;X+78GD0PhjD!opjdXz+$pKT#zz=09!-bHH<b+Bh83`AH8|ex$ zQUIowK^)3bh6^DXDF~HBG7>HXH_{Daqy$VYgFKX_3>QK&QW7eOWF%Y&ZlpWJNClW$ z26ZS)87_omq#{%j$w;^m+(-|Iks2_y4Ej)(GF%ABNKL3Dl96yBxRIU^BMo3`8O)(9 zWw;QMk%mx7BqQNMa3j4SMq0qsGT1{|%5WhhBQ2qlNJhei;6{2wjC6phWpIbGl;J{1 zMmj<zk&J{3!Hx8R80i61%is@XDZ_=3jP!&`A{hx6f*a`zF){$AmLVL<Qicm585szb zL^2XC1UJ$TVq^qNEkit%r3@EBGBOe>iDV>P2yUc5#K;7gT84BeOBpVNWMm>#63Ixo z5ZuTBh>;mEwG8=CmNHxj$;eEoB$APEA-Iu&5F-m<Y8lF*EM>S5l97c_NhBlTLU1F4 zAVyZe)H2jVS;}xBBqJ-Kl1N6vh2Ta8LyT;Isby$~vXtRMNJch7C6SDT3&D*Hff(5V zQ_Ii~Whujjkc{kvN+KBv7lIoZ3Ndm5OfAE7C`%bGgk<DIs3ek+a3Q#nVGtu{z|=C# zhq9F6LP$o=gi0bA2^WGJ84fXW0Zc8!awtm~E`(&{LZ~E?k#Hfnkr5ChSHRRVtcS9c z;X+78u7pY=83`AH8yN{Pasx~)!*(c387_om<VL6@l96yBxRFs1BX_{mGVF)4l;J{1 zM(%`4A{hx6f*TnPG4cRREyHmrOBpVNWaL4pB$APEA-It-5F<~()H0lfvXtRMNb7k| zLM4%mL<qsw^TtAqJO@+Da0SXzh6^F>xjGM(L^2XC#K9s2-MStJG4m=+F~dzLOBpW2 zvJif>@-?U=l9_NJCfG9Zc!-g=U}_m2L0QUhA*4eSZbK!JjD!oJoHddFG4?S`J;Q4# zOBpVNWb6~DB$BakAq5r&2KfH+M2N9(VCosZLRrdiA*9`WZ=sS%#=?alyZJz;qcn7Y z&a(wCX-<L|{|%;(;Xjn63>QK&{yS6>$#}RB!uZYYmtf~6Btwj6;DR+kIiW0NxDb-@ zj8I7=<KaRO<3T5+xU8`fgr<%Zi1A!7eGEcSmNHxjDa^T{l1RqGg%nsC7IlG+6a;M~ zNQD?H3{%e_31un6g^&`m2vicuShx@e3+!ahG>Dl}FvSeYP?j=W2q`3_p^`{u!i69q zp|z-M#j}N8pv{ILC#OS<SApqc(1Eg);X+6up$e5mG9E4jN`3J20x}@R>cZ4Bm_b>} za3LgD>p>-vjD-t9T%DGdmNsqLv@~e^WkQTMhv{Q*fU=a~LP*A2KqZlkhYLZBU$tu0 zs%fiMO@kVr1u@<crjNl3%2I|4AsO!kl|(WgE(9@Np@E6xzVkuQ)$X7$&xRQ94b#UE z3}q?9g^<GB2P%nVJX}bDg`ug50qW`;h_N9s^$f94mNHxj$<?7yNhD+8LJ(J@<(XWF z@o_MH3@K2SGF%8LamPa?k&K56A@;K5LCj2rDu(XlfOEk+Ibb|x7z5i*4!9iT5Dd(d z6Z4=}D5pUg$QwD*;bQQO9B?6&lk)N*?#+j)hq@Qe1-lo<Q-(3Hxfd=6ajzN1F>w`8 zE0hbM3}p8f!o}e3g$tn^YgYhqZzWVc)V**n*u5~GGK_)Ey>K~*dofRXY=T;$Tm@wy zySExH26r!92<2G2LWp~tq3WUTg>%8~h4GYO3~cU&%R$_WdD3n-)C%PmC<A$GQ!88y z?q0Z%0L%QJ&<s}uacvJ&HPp3mF4(m&o-&Mq&9!hjh-)!Z!xX3$%DqqqvTOU`VsO{O zg#=inBA~7<hPZYrOf|zSC`%bGgw$}G29-q0zX&1FMFpUOtOR1@Y?xYxB~X?!TnMSe znFEzXG7>HXDRIzFg(-y?zZ9mAVI7pE3>QK&ei>8}$#}RBR^!Vc#;=FzW7q*@DZ_=3 zjNbs2L^2*Ogw^<Ri19mN`WOyDS;}xBB;$8MC6SDW3t=_B0%H7Om_CLxP?j=W2+8;( zP)Q`?;X+uAuY?$X7N(Ek5|pJ37eY!c=b(~E#v_Dasig{H<YkyzhC5J}GF%AB#aEz` zNJheiu)4S!V*Fj0K89ydmNHxj$@qIvNhIUpLRgKjff)ZBrjOwRl%)(8LNfjZR1(Q} zxDZz3Yazyegz01W1!XD2g^-N@1eHWG9xjB{_&SL3zhU|qShyiAYh}0)lJS3F$`E&r zz=g0HUk@>!6>2C010R&73>QK&o((FA<a)ReR^uBW#`DAUF-SmJ%5Whh;{~9SNXEm3 zuo~Y8F<ug;k3k8_Qicm587~EuL^2*Ogw^;ai1ErWeGEEKmNHxj$#@m0B$DxPA*{wX zLyXsj>0>a1vXtRMNXF|yC6SDW3t=_B1!BB8Odo>{l%)(8LMjL>ppr<&BZOcDK`X>a zTbNn~Hz-RPE`;P_JE$a*k#HfbE^dPu?+(+);0I+X!-bG8NbrD4A{h@C5@2EDgw~$z z5JUZ8su@C|EM>S5QtLMWDv4w$TnI5n(E%|t45pYN2Fg-~3n7^q4wXbQ6E1`>vlC)w zEKD&&5|pJ37eb1zIH)9&nFt|Rbag?DOopjt$bhnx;X+78ra&c;j6?{*jO>OOnF&+N zkPl@k!-bH1o&}XeG7>I?@Ocl!%mSEVhB7Ei87_omW+7A($xOHq!pvTXndLCW47E^} zGF%AB%nGO^l9_NJgqeL1GwWcA8JeLiWw;PhaMnX5k<3I0!Gg0NVq^<UEkh@ir3@EB zGO`saiDV=~2xjC2h>=||wG91GmNHxj$;fV~B$AN`A()X9Ax2Jssb!c7Whujjkc^xN zl|(WUAp|pW62!=9FtrSGpe$v$5K{b1he{$D2^T`d&t!<1b76`Z7DHLea3Lf!=RqZr z%!CUe%$x!-a|ui_!zw6C87_om=2EC6l9_NJgqc$zX0C=QX4nX2DZ_=3%v=MNL^2aD zgfMd&#LP`F#SGh_EM>S5QWR~5N+Oww5Q0U~bcm5VU}_omLRrdiAtWPrLM4%mL<qr* zoB=U%A51O7VJJ%(E`(&{eyAjpkq9A}kuxDi9)YQ4I0<Db!-bHHJPMUWG7=#KGjbNh z$Wt)23>Tm*Ww;Ph{G5hLA{hx6Ld4H(h?y5*iW#m$S;}xBBr`8TC6Ua83n9#$12OXk zOfkbfC`%bGgk<JTs3ek^a3O@5b0KElhbd-w3S}w7g^<jA0F^{C6E1`>a~{OZXE4PK zZ=oz@xDe7@#&f77l9_NJ_*}+(h>`DLY8k#jS;}xB)MITHKs0=XDq~>y4P`0Ag-|st zglPB!RmQ-;!~<=2!-Y^aEP`lYhALxVV284l;X)`!DJ_Pm=YXnWVBmqWl;J`s>X$&& z^FmcIFbG0f%5WhR^-Ce@g`lb!7{s6~Ww;QE`ehLH;!sr#4AM}RGF%AdWTE8{^)gUZ z3=9fTmNHxjMg0nhdPS%z1_o6qOBpVNqJAYry&6;%1A`Wnr3@EBQNIeJUK^^4fk7Y2 zQicnms9z0HZva)rz+eJpDZ_<O)USc4H-)NVV6cR;l;J`s>eoWlTR~MZFxWv^%5WhR z_3I$&?V+j|7~G&NWw;PhE^&ZLBIOde5ImQxhZyM&Q_J87Whujjkc{+zN+KBv7lIqP z0b-;-Of5qgl%)(8LNYP{Dv4wyTnKLDMu?H&FtrS^P?j=W2sNi|f@p|?Dq~<sg|d|4 zLP&0ihe{&30WJi0!)Az)X)v`6xlooeTnNd?bf_efk#Hfnky{`}=E2l5ltNj`a3Lfk z^P!SRM#6>QMs9@|Sq4+fPzz-#!-bHHEQd-W83`AH8@UZ)WF1T`Lo1Y}3>QK&vK}gl zWF%Y&Zsc}|k!>)w482g6GF%AB$abhCl96yBxRE;`M)twfGE9N8l;J|CNn|HP!ℑ z28KCMmNHxj$qmz>l1OfV3&Gv63u5G4m|BJ<P?j=W2+7EKP)Q^s;X-gDcSDR^3RBCl z2Fg-~3n3Y~3@V9aBwPq?<Q|BTYhh{`wm@0Ra3Lfk*FhzbjD!opjob?{aw|+N!)_=` z87_nx`1>Fl_CS>}FdT-ml;J{1ZrBTzL~;XM2=0dc5F?Mk)G{1}vXtRM%z}bepb0fb zMu!7n)eMZ>4qOZZ3=Z5Nf(JzKf(Skk!4Dz?K!hNO5CRdxAVP#efPvx2!+q&sv-q4{ a{j&Tr9lbrh9Q<5Clb9f31_z-Wd1e5(g>a4l literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..6e428673e3f91be45b73f78bc517514fcee8ca79 GIT binary patch literal 7446 zcmWg2k!R*+U|7Jwz`)?}q)MKdWB%)X=^!2>gMtEs!~1<_b~&UoY~W(xb9VL1^2>De z_VjY_b4}yQa`n$lcJ+2}&eE$;4{x8py3rwufq~&a6gUJkFfcTCui{(W(r2-#rOUQy zF>iZwH*e#jg}jXoO&!gOO6@$0`x;uBS1j(}=~%S5y`_t%e=#qZCB@s<E7;s2!z-W! zSIoPxqo=o<msaM=FfcIifc(wiz{tR`frW{Y!J3J=dGSIHuVhCrf5)th6*j8v`CbvH zAi``3u32fGS#r+Yh5wHysDeUjt(-Lzi>IfjE8nWs3l}<gxVj|xIe2AdJYM#m;qYR` z2h5BRRSxg>t(9X!<{*hfm{5bbn-?#%ZERW8(X*m&*)o+2JgIu8Aqtpr=_kPwusa~e zSu>$UFZ<$_z7>mFx|Bceacxy%JpfV5z~bP~z`!5@4J%OafG`6CiZF{$azYB2K$ido z4mgAu7#tQdFfhOZ9Vsz^m^&fqih;p_i9rAqCk~7tn%N<US%Bfc!%|id$;u$W@SlN! z*&%>gfWcugs{n%oGlKww!!9lX1_;UOP><wT1}2B?TmlRZJGcZG7*S;y9d?2=SxG|u z#lWZsXM(I}U|_%yaA;s)U_e&|_8XW0n}}5nTH-+CGJyRkif-`#{|pQaR#FJ}!I?1k zp$mZRMi&G-4NM^1hpq-W%^|ZHz~L^6t{mbrSVS@~>cN>Xm!S)QU4||QN=6_Ii6c<h zqN{-CLpX!M0h9(r(G`MR#0V?J82-z`15HsL#)RZ?CMstla2}zBnP7t<nSs;6lYxOj z0qPN0E(Ot`LY4vIF-V?vxByDjYz}!03=B#z4Xus6?TxlA>=0VSp`96&TUwX}7?_wC z84MV}s+D1?7k4b|SY+G64xw?VR)MPK?P%}sX;`>;MSow5Z3~2F2;qr1%*JMqDpaSC zm#d#+wx?f`uVY45W|F_FgDY5QH&|!~L})5@x2i$S5CE&q@bXOd%<^;fc1VB-?0^ew zKyd>z%&+QD{i4k+?G39SUTE%E)B&oGY<t0?R$x&>xTq|OOF$-ple)u2NO)fcl`>aA z#8nV+4MbcA5jQ~c4wXpp$KY@YBmvPV1&bsG21W<4A$E)m4B*^?kOAAoz}eW++1qa0 zym%pk1#uKao`Hcu3KoeV)4;BQn}(2qs79E9V4<4^i)4^#U~hp;<3xx;OaeO=T~Hu7 zA<M%v8&Mx(2!LaT(IJ_EfdO5!LoF6Tc8F~Z4*H+~!lnYMfB|eWnBag3F)&EM(jCY% z;OGH)2JCi(3`991KoBhSpn;`8kZIs}4q;?q;6#W*OadE^E{G8l7y@9oLxKifGdO6_ z1u=sLT?NEB3^;-YmK{Kz0i{<5kY~VdN60`-Km-Vag%&jMLf8OS@(LhYjSjAE9?6a% z0cdp(5nx~dms{X!+~GWz0E31WG>3BdcqI6FICx}088dc3taAXBUJkbz7#K9PVM?<+ zGdz<)N+Ap^N_C)0`5@&6q>S;%fN-Hj&Ws)4;AcRxK^Lk`7^%2`lyQv<!D7(D0wN}8 zh~Y#%r~!iDLS-SmP-$EU5kV9)f`-Vpp9J|&AF5dlslbF6a2^?8VQ8TV7e@6v$QW}! zs4<)hw$NrCgaxTW7<6Fr4qrhf7K1}40|Nt03=;Va3=YPO3=Dc0qM(`^T@c(91QX!K z7dSPcs{z$up!Oz=W^h;wvYSmN0P0q_y&xOV1)<d$tXgYv*a#|Cv8jZn9e5)0$Z&WM zvPdQnn?2})(25XNA+|Vdg4u(vk^_?PJTl-6hYw(Tg0R_xE(obSQL9oI&tWsnPIO(6 zv<t04VM!Uzb@&LjAJi>_^m8B~3XTnQL2&Jhr9ws(a@YcM3A%o8PJmXXkemR`9cW?> zpTKSk!4@Xyf{^+gqk=~gaM%iS54v7R*22te$RZA(!EOn~<`#58aI*mF7kCbaG!`&K z9k#(-g>DKsyF!B$o?W527*p8c3&?$tY{393o<W(D3EV?+SPin`9atVjLb^AwGM9k~ z+&hDDL9J{MhW5>1!r=S~CKwoyTF>Fo-~ji)z-a}h0g`+e7(g91=s*AxlY!mgCdg6q z85kH~1vmpEIIn?Cfr~+nLDV4#7NqR7Kv&Al$<GPG4iTV^AIJh&vCqH=&SYQ<;9>+V zU~mAL0jm!f7{M8Z6V8X~2OEkmh*4c*2!M-lNd1kj8C-v(3u4ya=qjM@L9M^RUVzn2 z42<A>1$Hr945|$gx(F6DoY9qn!We`R;S8(07#P8sg%i$)8V<G*T@WKkFa(go2wgKc zjL-!!!w6jk)IC_j2v&nKFoN?F*u`)$s5V5%AXtzvVqkSx#K6E{49ygvF*8slgTx0L zfvb{XU^Im(WprppH3+Ox3?>cck!ZZb1rd;r9n1m@x)|nw8p-H_tWaCPfq*W_l;O{m z;qP#W5i|e;_O%I`uaWp*gWxqCoB_^_3=E8>Fr~~EFcw$`0|Nsnfq^h2k%7VlT?G~` z3Y^TG3Y-p+AfKWu2d5ZxL1CzMV4tE(BKZ^?Dd_T89Dq~+>7pyg>Hte39l*c<4r+6> zphn_@4I-_6WneUg830LkFdo<}1_lN(bOCTUp$n2^DRN>&HwYX;=z=Lw$6&P@DT<&W zWQ7(&NPMtC#I%JN7)@c?xE<zz2G|gWf(_wnUIYcrNK9~X1x_i}XzIa+pbK&}FNA<a z%}7kJA-d>l!6Ab#IFS+T7|2Kfx+GG_fSqK6%}MBjNS;ArLY#!I7VIQ+!AK?~C!tHC zJINNClh6f0?pU~J(ZWTbPy*8+Cd5hTYQauI7t92eQ=sw>R3M{EqC3eBo0HH5VNP0z zaMHp>%@8M{s|7m=UC>YvDU{G9(Vb+E%}MBjT+N^mTC{M{LQsNSxCj(b3!zRzR||F$ zy5LV_C!tHCJIMi?lh6f0X?oGZg^Lz~oCKypOh_o9s|7m=UGSg~QYfKIqC3eEo0HH5 zAx;A2TyTy92N^8l(A9#Sgf7?#tuMf35xOM0lbo<Q30)ABmOu`IL>!p42o`bZYQauI z7Yqc|?XcvHE{X0WXKYSF7X*b8xL^V$Z!lvaJb9z51v?2{P*M~r;?O10o#cYeN$7&$ t<h>A-h#<)u!i1zHbhTh7p$k4lb`rWIl9L!19KL~iOArsBkPe_3Q2>KeXVCxv literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..17f661c --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,9 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd,1740565399,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,1740559357,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v,1740559357,verilog,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,\operativeUnit\,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd,1740559357,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..601d638 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,6 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000000000000000000000000000000000000..8f9ee2b2ffe7bb53faacdb5a5170723f5e0c58ca GIT binary patch literal 819 zcmd<u$;hSQn3tGSS(TZWt`O$o;-iq3nUkuZq*|<`keOGKuaJ|ORFqg$si0acz$(RT zXlAU%T~VBwTb5dsnU<Ms6vh>zpOK%NTCAUAWSo+kl$fk<<f!kPn&O<Fr|*`TQ&N<w zUs9l(24?A|mXxMsCgzps!W9=6CF^IT<me~o=am%Y=Y-~Emgtpbq!<Zs@l|Bz#HXaD zC6?xt<YXpAu^AfZ8k!krFch#>uufoP5Mbi+<q}PVJ3ck9B(tPaL0z?2U4T`J#mLOk z9M!43T-->uM6nwh=o%Xum?ImwmD1n{;tHTjaHM4xkrou5Bn1U07dzAjtiiB@(qIVX r3Z_ahlqAJN-AR0+@F6KAc(}L_mS7DGJ}xfTycC6?)a3l46ahv6BnSB@ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr new file mode 100644 index 0000000..b3a64d3 --- /dev/null +++ b/proj/AudioProc.xpr @@ -0,0 +1,307 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="419983fb2211490f827eb4307d322eb8"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="15"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="audioProc"/> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 705905d..08a258c 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -49,33 +49,57 @@ architecture archi_operativeUnit of controlUnit is begin - process (_BLANK_) is + process (I_reset, I_clock) is -- si horloge alors synchrone séquentiel begin if I_reset = '1' then -- asynchronous reset (active high) - SR_presentState <= _BLANK_ + SR_presentState <= WAIT_SAMPLE; elsif rising_edge(I_clock) then -- rising clock edge - _BLANK_ + SR_presentState <= SR_futurState; end if; end process; - process (_BLANK_) is + process (SR_presentState,I_processingDone,I_inputSampleValid) is --logique combinatoire begin case SR_presentState is when WAIT_SAMPLE => - _BLANK_ + if I_inputSampleValid = '1' then + SR_futurState <= STORE; + else + SR_futurState <= WAIT_SAMPLE; + end if; + + when STORE => + SR_futurState <= PROCESSING_LOOP; + + when PROCESSING_LOOP => + if I_processingDone = '1' then + SR_futurState <= OUTPUT; + else + SR_futurState <= PROCESSING_LOOP; + end if; + + when OUTPUT => + SR_futurState <= WAIT_END_SAMPLE; + + when WAIT_END_SAMPLE => + if I_inputSampleValid = '0' then + SR_futurState <= WAIT_SAMPLE; + else + SR_futurState <= WAIT_END_SAMPLE; + end if; when others => null; end case; end process; - O_loadShift <= '1' when _BLANK_ ; - O_initAddress <= '1' when _BLANK_ ; - O_incrAddress <= '1' when _BLANK_ ; - O_initSum <= '1' when _BLANK_ ; - O_loadSum <= '1' when _BLANK_ ; - O_loadY <= '1' when _BLANK_ ; - O_FilteredSampleValid <= '1' when _BLANK_ ; + O_loadShift <= '1' when SR_presentState = STORE else '0'; + O_initAddress <= '1' when SR_presentState = STORE else '0'; + O_incrAddress <= '1' when SR_presentState = PROCESSING_LOOP else '0'; + O_initSum <= '1' when SR_presentState = STORE else '0'; + O_loadSum <= '1' when SR_presentState = PROCESSING_LOOP else '0'; + O_loadY <= '1' when SR_presentState = OUTPUT else '0'; + --O_FilteredSampleValid <= '1' when SR_presentState = ; diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index 1286aff..ef6918e 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -85,21 +85,24 @@ begin to_signed(2, 8) ); - shift : process (_BLANK_) is + shift : process (I_reset, I_clock) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif _BLANK_ - + elsif rising_edge(I_clock) then + if I_loadShift='1' then + SR_shiftRegister(0) <= signed(I_inputSample); + SR_shiftRegister(1 to 15) <= SR_shiftRegister(0 to 14); + end if; end if; end process shift; - incr_address : process (_BLANK_) is + incr_address : process (I_clock, I_reset) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; - elsif _BLANK_ - + elsif rising_edge(I_clock) then + SR_readAddress <= end if; end process incr_address; -- GitLab