diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc index 9b342093142bd1b298b4af63bdebdead3a3ef56e..de67d9ce23793f8584f54b90c2cdeadf8114ad0a 100644 --- a/proj/AudioProc.cache/wt/project.wpc +++ b/proj/AudioProc.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 +6d6f64655f636f756e7465727c4755494d6f6465:3 eof: diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf index 51d5206f7011f2f0764fb661278617e58456141a..50afb2c7aebfafa7cc5fa823be2031ae4ebbf3af 100644 --- a/proj/AudioProc.cache/wt/xsim.wdf +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -1,4 +1,4 @@ version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 +eof:241934075 diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml index 56fef274888ecb4a87dac75e0db74c54df427f23..59ef461f44e3d059fe9904fdac29ff9c2197ddcf 100644 --- a/proj/AudioProc.runs/impl_1/gen_run.xml +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -3,11 +3,6 @@ <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/> <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/> - <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> - <File Type="BG-BGN" Name="audioProc.bgn"/> - <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> - <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> - <File Type="BITSTR-LTX" Name="audioProc.ltx"/> <File Type="RBD_FILE" Name="audioProc.rbd"/> <File Type="NPI_FILE" Name="audioProc.npi"/> <File Type="RNPI_FILE" Name="audioProc.rnpi"/> @@ -15,16 +10,10 @@ <File Type="RCFI_FILE" Name="audioProc.rcfi"/> <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/> <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/> - <File Type="RDI-RDI" Name="audioProc.vdi"/> - <File Type="PDI-FILE" Name="audioProc.pdi"/> - <File Type="BITSTR-MMI" Name="audioProc.mmi"/> - <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> - <File Type="BITSTR-NKY" Name="audioProc.nky"/> - <File Type="BITSTR-RBT" Name="audioProc.rbt"/> - <File Type="BITSTR-MSK" Name="audioProc.msk"/> - <File Type="BG-BIN" Name="audioProc.bin"/> - <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> - <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="audioProc_bus_skew_postroute_physopted.rpx"/> <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="audioProc_bus_skew_postroute_physopted.pb"/> <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="audioProc_bus_skew_postroute_physopted.rpt"/> @@ -33,57 +22,54 @@ <File Type="POSTROUTE-PHYSOPT-TIMING" Name="audioProc_timing_summary_postroute_physopted.rpt"/> <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/> <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/> - <File Type="BG-DRC" Name="audioProc.drc"/> - <File Type="ROUTE-RQS-PB" Name="audioProc_rqs_routed.pb"/> - <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> - <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> - <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> - <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> - <File Type="OPT-METHODOLOGY-DRC" Name="audioProc_methodology_drc_opted.rpt"/> - <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> - <File Type="PLACE-CLK" Name="audioProc_clock_utilization_placed.rpt"/> + <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/> - <File Type="PHYSOPT-TIMING" Name="audioProc_timing_summary_physopted.rpt"/> - <File Type="PWROPT-DRC" Name="audioProc_drc_pwropted.rpt"/> - <File Type="PWROPT-TIMING" Name="audioProc_timing_summary_pwropted.rpt"/> <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/> - <File Type="PLACE-TIMING" Name="audioProc_timing_summary_placed.rpt"/> - <File Type="INIT-TIMING" Name="audioProc_timing_summary_init.rpt"/> - <File Type="PA-TCL" Name="audioProc.tcl"/> - <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="audioProc.ltx"/> + <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/> + <File Type="BITSTR-MMI" Name="audioProc.mmi"/> + <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/> + <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/> + <File Type="BG-BGN" Name="audioProc.bgn"/> <File Type="OPT-DCP" Name="audioProc_opt.dcp"/> - <File Type="OPT-RQA-PB" Name="audioProc_rqa_opted.pb"/> <File Type="OPT-HWDEF" Name="audioProc.hwdef"/> - <File Type="POSTPLACE-PWROPT-TIMING" Name="audioProc_timing_summary_postplace_pwropted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="audioProc.bit"/> + <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="PA-TCL" Name="audioProc.tcl"/> <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> - <File Type="OPT-TIMING" Name="audioProc_timing_summary_opted.rpt"/> - <File Type="PLACE-SIMILARITY" Name="audioProc_incremental_reuse_placed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/> + <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/> + <File Type="BG-DRC" Name="audioProc.drc"/> + <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/> + <File Type="PDI-FILE" Name="audioProc.pdi"/> + <File Type="RDI-RDI" Name="audioProc.vdi"/> + <File Type="BG-BIN" Name="audioProc.bin"/> <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/> - <File Type="PLACE-RQA-PB" Name="audioProc_rqa_placed.pb"/> - <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> - <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/> + <File Type="BITSTR-MSK" Name="audioProc.msk"/> <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/> + <File Type="BITSTR-RBT" Name="audioProc.rbt"/> <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/> - <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/> - <File Type="PHYSOPT-DRC" Name="audioProc_drc_physopted.rpt"/> - <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> - <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> - <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> + <File Type="BITSTR-NKY" Name="audioProc.nky"/> + <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/> <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/> - <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/> <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/> <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/> <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/> <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/> <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/> <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/> + <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/> + <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/> <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/> <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/> <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/> <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/> <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/> <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> @@ -190,9 +176,7 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> - <Desc>Vivado Implementation Defaults</Desc> - </StratHandle> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> @@ -204,5 +188,6 @@ <Step Id="write_bitstream"> <Option Id="BinFile">1</Option> </Step> + <Step Id="write_device_image"/> </Strategy> </GenRun> diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml index ff296837712eb82b20f51b34f44b5a1a7cc053f0..af82153f0cfb608d60943ca3504e9dd4dbc66d5b 100644 --- a/proj/AudioProc.runs/synth_1/gen_run.xml +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -1,14 +1,11 @@ <?xml version="1.0" encoding="UTF-8"?> <GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1740566203"> - <File Type="VDS-TIMINGSUMMARY" Name="audioProc_timing_summary_synth.rpt"/> <File Type="RDS-DCP" Name="audioProc.dcp"/> <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/> - <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> - <File Type="VDS-TIMING-PB" Name="audioProc_timing_summary_synth.pb"/> <File Type="PA-TCL" Name="audioProc.tcl"/> + <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/> <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/> <File Type="RDS-RDS" Name="audioProc.vds"/> - <File Type="RDS-PROPCONSTRS" Name="audioProc_drc_synth.rpt"/> <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> @@ -115,9 +112,7 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> - <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> - </StratHandle> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> <Option Id="FsmExtraction">1</Option> <Option Id="KeepEquivalentRegisters">1</Option> diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh index 3e5b19b052cf38b3fef374879e048ca37106b551..0e6a7d160ba84ea106eb6d0ab96dbd2998a11291 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for compiling the simulation design source files # -# Generated by Vivado on Wed Feb 26 11:23:23 CET 2025 +# Generated by Vivado on Wed Mar 05 11:18:03 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -16,13 +16,9 @@ # # **************************************************************************** set -Eeuo pipefail -# compile Verilog/System Verilog design sources -echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj" -xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log - # compile VHDL design sources echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" -xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee compile.log echo "Waiting for jobs to finish..." echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log index 9da6b2e8ec7146b4257abd6b240062d2098dcc53..14b10ae3242e717166337534eaa6636a2bc93613 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -1,19 +1,9 @@ Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Running: /opt/img/Vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log Using 8 slave threads. Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1322] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1325] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1329] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1332] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1386] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1403] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:1406] -WARNING: [VRFC 10-3091] actual bit length 2 differs from formal bit length 4 for port 'CO' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3161] -WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'O' [/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v:3164] Completed static elaboration Starting simulation data flow analysis Completed simulation data flow analysis @@ -22,28 +12,8 @@ Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.numeric_std -Compiling package vl.vl_types -Compiling module xil_defaultlib.glbl Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] -Compiling module unisims_ver.GND -Compiling module unisims_ver.BUFG -Compiling module unisims_ver.IBUF -Compiling module unisims_ver.OBUF -Compiling module unisims_ver.x_lut3_mux8 -Compiling module unisims_ver.LUT3 -Compiling module unisims_ver.x_lut2_mux4 -Compiling module unisims_ver.LUT2 -Compiling module unisims_ver.LUT4 -Compiling module unisims_ver.LUT5 -Compiling module unisims_ver.LUT6 -Compiling module unisims_ver.FDCE_default -Compiling module unisims_ver.CARRY4 -Compiling module unisims_ver.MUXF8 -Compiling module unisims_ver.MUXF7 -Compiling module unisims_ver.x_lut1_mux2 -Compiling module unisims_ver.LUT1(INIT=2'b01) -Compiling module unisims_ver.VCC -Compiling module xil_defaultlib.operativeUnit +Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default] Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit Built simulation snapshot tb_firUnit_behav diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh index 5b30de44d6c4e4dfcb78e77cfc2e79c1746d2a58..5de92330fb0d304277a89e358b27825b96c139ae 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for elaborating the compiled design # -# Generated by Vivado on Wed Feb 26 11:23:28 CET 2025 +# Generated by Vivado on Wed Mar 05 11:18:05 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. @@ -17,6 +17,6 @@ # **************************************************************************** set -Eeuo pipefail # elaborate design -echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log" -xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh index 2daa52d6956fe55f888f234d222b45907a0784ca..787bda7a6033b67a0e7068bda370bcae03030cd8 100755 --- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -6,7 +6,7 @@ # Simulator : AMD Vivado Simulator # Description : Script for simulating the design by launching the simulator # -# Generated by Vivado on Wed Feb 26 10:41:42 CET 2025 +# Generated by Vivado on Wed Mar 05 11:18:07 CET 2025 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb index 857c621c4866e6f9dd011ead34051eb769ef6570..5f32f45bf8f0b3a9dd161315017d4f9ab992d5cb 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb and b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj index f5164303db8b7813dd76fdb363b7c18c0c679809..0107b4e0d82614c83b8f672cdff5fbeac1c3cfc2 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -1,6 +1,7 @@ # compile vhdl design source files vhdl xil_defaultlib \ "../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/operativeUnit.vhd" \ "../../../../../src/hdl/firUnit.vhd" \ "../../../../../src/hdl/tb_firUnit.vhd" \ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj deleted file mode 100644 index 234b2fbc3ab1859782a11e7792d1a0108ad6a57b..0000000000000000000000000000000000000000 --- a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj +++ /dev/null @@ -1,9 +0,0 @@ -# compile verilog/system verilog design source files -verilog xil_defaultlib \ -"../../../../../src/hdl/processingUnitIP.v" \ - -# compile glbl module -verilog xil_defaultlib "glbl.v" - -# Do not sort compile order -nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb index cb7576b685017f526edfb6860bde4bc45d696a9e..18bc397722d8cbecf2c3bcb3c901fc360d316f1d 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt index 2965ab3b73825075d89f3fba7755ebff3606c69a..8a25a911b8deeb63be565a8d140a089d2d79bd2f 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -1 +1 @@ ---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o index aa81763fad689b1d497ed3a4dd379b28a72de795..8494bec3ccba0df11766f7532dd4c8f0fe2e96cc 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c index f0349f083611af35e5e9e6d2d52eeec61939cced..6f1828dbb0d6f8cec4807fc55a75af10d146d3d6 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -54,452 +54,40 @@ #endif typedef void (*funcp)(char *, char *); extern int main(int, char**); -IKI_DLLESPEC extern void execute_2(char*, char *); -IKI_DLLESPEC extern void execute_3(char*, char *); -IKI_DLLESPEC extern void execute_4(char*, char *); -IKI_DLLESPEC extern void execute_5(char*, char *); -IKI_DLLESPEC extern void execute_6(char*, char *); -IKI_DLLESPEC extern void execute_7(char*, char *); -IKI_DLLESPEC extern void execute_8(char*, char *); -IKI_DLLESPEC extern void execute_9(char*, char *); -IKI_DLLESPEC extern void execute_10(char*, char *); -IKI_DLLESPEC extern void execute_11(char*, char *); -IKI_DLLESPEC extern void execute_21(char*, char *); -IKI_DLLESPEC extern void execute_22(char*, char *); -IKI_DLLESPEC extern void execute_23(char*, char *); -IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); IKI_DLLESPEC extern void execute_27(char*, char *); IKI_DLLESPEC extern void execute_28(char*, char *); IKI_DLLESPEC extern void execute_29(char*, char *); -IKI_DLLESPEC extern void execute_30(char*, char *); -IKI_DLLESPEC extern void execute_31(char*, char *); IKI_DLLESPEC extern void execute_32(char*, char *); IKI_DLLESPEC extern void execute_33(char*, char *); IKI_DLLESPEC extern void execute_34(char*, char *); -IKI_DLLESPEC extern void execute_2776(char*, char *); -IKI_DLLESPEC extern void execute_2777(char*, char *); -IKI_DLLESPEC extern void execute_2778(char*, char *); -IKI_DLLESPEC extern void execute_2779(char*, char *); -IKI_DLLESPEC extern void execute_2780(char*, char *); -IKI_DLLESPEC extern void execute_2781(char*, char *); -IKI_DLLESPEC extern void execute_2782(char*, char *); -IKI_DLLESPEC extern void execute_2783(char*, char *); -IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_1389(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); IKI_DLLESPEC extern void execute_40(char*, char *); -IKI_DLLESPEC extern void execute_1390(char*, char *); -IKI_DLLESPEC extern void execute_72(char*, char *); -IKI_DLLESPEC extern void execute_1406(char*, char *); -IKI_DLLESPEC extern void execute_1407(char*, char *); -IKI_DLLESPEC extern void execute_1408(char*, char *); -IKI_DLLESPEC extern void execute_91(char*, char *); -IKI_DLLESPEC extern void execute_1434(char*, char *); -IKI_DLLESPEC extern void execute_1435(char*, char *); -IKI_DLLESPEC extern void execute_1436(char*, char *); -IKI_DLLESPEC extern void execute_1437(char*, char *); -IKI_DLLESPEC extern void execute_1438(char*, char *); -IKI_DLLESPEC extern void execute_1439(char*, char *); -IKI_DLLESPEC extern void execute_1440(char*, char *); -IKI_DLLESPEC extern void execute_1441(char*, char *); -IKI_DLLESPEC extern void execute_1433(char*, char *); -IKI_DLLESPEC extern void execute_94(char*, char *); -IKI_DLLESPEC extern void execute_1443(char*, char *); -IKI_DLLESPEC extern void execute_1444(char*, char *); -IKI_DLLESPEC extern void execute_1445(char*, char *); -IKI_DLLESPEC extern void execute_1446(char*, char *); -IKI_DLLESPEC extern void execute_1442(char*, char *); -IKI_DLLESPEC extern void execute_100(char*, char *); -IKI_DLLESPEC extern void execute_101(char*, char *); -IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -IKI_DLLESPEC extern void execute_105(char*, char *); -IKI_DLLESPEC extern void execute_106(char*, char *); -IKI_DLLESPEC extern void execute_109(char*, char *); -IKI_DLLESPEC extern void execute_110(char*, char *); -IKI_DLLESPEC extern void execute_442(char*, char *); -IKI_DLLESPEC extern void execute_443(char*, char *); -IKI_DLLESPEC extern void execute_444(char*, char *); -IKI_DLLESPEC extern void execute_1705(char*, char *); -IKI_DLLESPEC extern void execute_1706(char*, char *); -IKI_DLLESPEC extern void execute_1707(char*, char *); -IKI_DLLESPEC extern void execute_1708(char*, char *); -IKI_DLLESPEC extern void execute_1725(char*, char *); -IKI_DLLESPEC extern void execute_1726(char*, char *); -IKI_DLLESPEC extern void execute_1727(char*, char *); -IKI_DLLESPEC extern void execute_1730(char*, char *); -IKI_DLLESPEC extern void execute_1731(char*, char *); -IKI_DLLESPEC extern void execute_1732(char*, char *); -IKI_DLLESPEC extern void execute_1733(char*, char *); -IKI_DLLESPEC extern void execute_483(char*, char *); -IKI_DLLESPEC extern void execute_491(char*, char *); -IKI_DLLESPEC extern void execute_1062(char*, char *); -IKI_DLLESPEC extern void execute_2412(char*, char *); -IKI_DLLESPEC extern void execute_2413(char*, char *); -IKI_DLLESPEC extern void execute_2411(char*, char *); -IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); -IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_80(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_81(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_82(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_89(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_90(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_91(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_92(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_93(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_94(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_95(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_96(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_97(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_98(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_99(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_100(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_101(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_102(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_103(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_117(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_118(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_119(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_120(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_121(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_122(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_123(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_124(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_125(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_126(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_127(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_128(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_129(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_130(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_131(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_132(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_133(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_134(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_152(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_153(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_154(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_155(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_156(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_157(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_158(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_159(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_160(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_161(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_162(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_163(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_164(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_165(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_166(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_167(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_168(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_169(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_170(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_171(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_172(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_173(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_174(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_175(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_176(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_177(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_178(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_179(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_180(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_181(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_182(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_184(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_185(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_186(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_187(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_188(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_189(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_190(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_191(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_213(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_214(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_238(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_239(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_240(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_241(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_242(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_243(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_244(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_245(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_247(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_248(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_249(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_250(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_251(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_252(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_253(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_258(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_286(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_287(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_292(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_293(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_297(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_299(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_300(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_301(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_304(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_305(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_307(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_308(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_310(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_312(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_314(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_316(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_317(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_318(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_319(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_322(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_337(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_338(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_339(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_340(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_341(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_342(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_343(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_344(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_345(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_346(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_347(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_348(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_349(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_351(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_352(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_354(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_355(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_362(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1030(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1036(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1042(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1122(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1128(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1226(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1232(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1238(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1244(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1250(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1256(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1262(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1268(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1274(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1280(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1286(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1292(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1298(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1304(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1310(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1316(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1322(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1328(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1334(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1340(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1346(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1352(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1358(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1364(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1370(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1376(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1382(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1388(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1394(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1406(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1412(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1418(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1424(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1430(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1436(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1442(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1448(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1454(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1460(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1466(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1472(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1478(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1484(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1490(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1496(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1502(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1508(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1514(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1520(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1526(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1532(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1538(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1544(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1550(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1556(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1562(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1568(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1580(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1586(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1592(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1598(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1604(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1610(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1616(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1622(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1628(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1634(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1640(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1646(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1652(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1658(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1664(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1670(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1676(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1682(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1688(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1694(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1700(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1706(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1712(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1718(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1724(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1730(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1736(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1742(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1748(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1754(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1760(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1766(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1772(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1778(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1784(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1790(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1796(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1826(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1832(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1838(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1844(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1850(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1856(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1862(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1868(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1874(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1880(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1886(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1904(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1910(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1916(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1922(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1928(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1934(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1940(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1946(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1952(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1958(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1964(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1970(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1976(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1982(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1988(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_1994(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2000(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2006(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2012(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2500(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2548(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2554(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2560(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2574(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2580(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2586(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2592(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2598(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2604(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2620(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2626(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2632(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2638(char*, char*, unsigned, unsigned, unsigned); -IKI_DLLESPEC extern void transaction_2654(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[438] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_2776, (funcp)execute_2777, (funcp)execute_2778, (funcp)execute_2779, (funcp)execute_2780, (funcp)execute_2781, (funcp)execute_2782, (funcp)execute_2783, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1389, (funcp)execute_40, (funcp)execute_1390, (funcp)execute_72, (funcp)execute_1406, (funcp)execute_1407, (funcp)execute_1408, (funcp)execute_91, (funcp)execute_1434, (funcp)execute_1435, (funcp)execute_1436, (funcp)execute_1437, (funcp)execute_1438, (funcp)execute_1439, (funcp)execute_1440, (funcp)execute_1441, (funcp)execute_1433, (funcp)execute_94, (funcp)execute_1443, (funcp)execute_1444, (funcp)execute_1445, (funcp)execute_1446, (funcp)execute_1442, (funcp)execute_100, (funcp)execute_101, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_105, (funcp)execute_106, (funcp)execute_109, (funcp)execute_110, (funcp)execute_442, (funcp)execute_443, (funcp)execute_444, (funcp)execute_1705, (funcp)execute_1706, (funcp)execute_1707, (funcp)execute_1708, (funcp)execute_1725, (funcp)execute_1726, (funcp)execute_1727, (funcp)execute_1730, (funcp)execute_1731, (funcp)execute_1732, (funcp)execute_1733, (funcp)execute_483, (funcp)execute_491, (funcp)execute_1062, (funcp)execute_2412, (funcp)execute_2413, (funcp)execute_2411, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_72, (funcp)transaction_75, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_80, (funcp)transaction_81, (funcp)transaction_82, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_89, (funcp)transaction_90, (funcp)transaction_91, (funcp)transaction_92, (funcp)transaction_93, (funcp)transaction_94, (funcp)transaction_95, (funcp)transaction_96, (funcp)transaction_97, (funcp)transaction_98, (funcp)transaction_99, (funcp)transaction_100, (funcp)transaction_101, (funcp)transaction_102, (funcp)transaction_103, (funcp)transaction_116, (funcp)transaction_117, (funcp)transaction_118, (funcp)transaction_119, (funcp)transaction_120, (funcp)transaction_121, (funcp)transaction_122, (funcp)transaction_123, (funcp)transaction_124, (funcp)transaction_125, (funcp)transaction_126, (funcp)transaction_127, (funcp)transaction_128, (funcp)transaction_129, (funcp)transaction_130, (funcp)transaction_131, (funcp)transaction_132, (funcp)transaction_133, (funcp)transaction_134, (funcp)transaction_152, (funcp)transaction_153, (funcp)transaction_154, (funcp)transaction_155, (funcp)transaction_156, (funcp)transaction_157, (funcp)transaction_158, (funcp)transaction_159, (funcp)transaction_160, (funcp)transaction_161, (funcp)transaction_162, (funcp)transaction_163, (funcp)transaction_164, (funcp)transaction_165, (funcp)transaction_166, (funcp)transaction_167, (funcp)transaction_168, (funcp)transaction_169, (funcp)transaction_170, (funcp)transaction_171, (funcp)transaction_172, (funcp)transaction_173, (funcp)transaction_174, (funcp)transaction_175, (funcp)transaction_176, (funcp)transaction_177, (funcp)transaction_178, (funcp)transaction_179, (funcp)transaction_180, (funcp)transaction_181, (funcp)transaction_182, (funcp)transaction_183, (funcp)transaction_184, (funcp)transaction_185, (funcp)transaction_186, (funcp)transaction_187, (funcp)transaction_188, (funcp)transaction_189, (funcp)transaction_190, (funcp)transaction_191, (funcp)transaction_192, (funcp)transaction_212, (funcp)transaction_213, (funcp)transaction_214, (funcp)transaction_238, (funcp)transaction_239, (funcp)transaction_240, (funcp)transaction_241, (funcp)transaction_242, (funcp)transaction_243, (funcp)transaction_244, (funcp)transaction_245, (funcp)transaction_247, (funcp)transaction_248, (funcp)transaction_249, (funcp)transaction_250, (funcp)transaction_251, (funcp)transaction_252, (funcp)transaction_253, (funcp)transaction_258, (funcp)transaction_264, (funcp)transaction_275, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_286, (funcp)transaction_287, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_292, (funcp)transaction_293, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_296, (funcp)transaction_297, (funcp)transaction_298, (funcp)transaction_299, (funcp)transaction_300, (funcp)transaction_301, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_304, (funcp)transaction_305, (funcp)transaction_306, (funcp)transaction_307, (funcp)transaction_308, (funcp)transaction_309, (funcp)transaction_310, (funcp)transaction_311, (funcp)transaction_312, (funcp)transaction_313, (funcp)transaction_314, (funcp)transaction_315, (funcp)transaction_316, (funcp)transaction_317, (funcp)transaction_318, (funcp)transaction_319, (funcp)transaction_320, (funcp)transaction_321, (funcp)transaction_322, (funcp)transaction_323, (funcp)transaction_337, (funcp)transaction_338, (funcp)transaction_339, (funcp)transaction_340, (funcp)transaction_341, (funcp)transaction_342, (funcp)transaction_343, (funcp)transaction_344, (funcp)transaction_345, (funcp)transaction_346, (funcp)transaction_347, (funcp)transaction_348, (funcp)transaction_349, (funcp)transaction_350, (funcp)transaction_351, (funcp)transaction_352, (funcp)transaction_354, (funcp)transaction_355, (funcp)transaction_362, (funcp)transaction_1030, (funcp)transaction_1036, (funcp)transaction_1042, (funcp)transaction_1048, (funcp)transaction_1054, (funcp)transaction_1116, (funcp)transaction_1122, (funcp)transaction_1128, (funcp)transaction_1226, (funcp)transaction_1232, (funcp)transaction_1238, (funcp)transaction_1244, (funcp)transaction_1250, (funcp)transaction_1256, (funcp)transaction_1262, (funcp)transaction_1268, (funcp)transaction_1274, (funcp)transaction_1280, (funcp)transaction_1286, (funcp)transaction_1292, (funcp)transaction_1298, (funcp)transaction_1304, (funcp)transaction_1310, (funcp)transaction_1316, (funcp)transaction_1322, (funcp)transaction_1328, (funcp)transaction_1334, (funcp)transaction_1340, (funcp)transaction_1346, (funcp)transaction_1352, (funcp)transaction_1358, (funcp)transaction_1364, (funcp)transaction_1370, (funcp)transaction_1376, (funcp)transaction_1382, (funcp)transaction_1388, (funcp)transaction_1394, (funcp)transaction_1400, (funcp)transaction_1406, (funcp)transaction_1412, (funcp)transaction_1418, (funcp)transaction_1424, (funcp)transaction_1430, (funcp)transaction_1436, (funcp)transaction_1442, (funcp)transaction_1448, (funcp)transaction_1454, (funcp)transaction_1460, (funcp)transaction_1466, (funcp)transaction_1472, (funcp)transaction_1478, (funcp)transaction_1484, (funcp)transaction_1490, (funcp)transaction_1496, (funcp)transaction_1502, (funcp)transaction_1508, (funcp)transaction_1514, (funcp)transaction_1520, (funcp)transaction_1526, (funcp)transaction_1532, (funcp)transaction_1538, (funcp)transaction_1544, (funcp)transaction_1550, (funcp)transaction_1556, (funcp)transaction_1562, (funcp)transaction_1568, (funcp)transaction_1574, (funcp)transaction_1580, (funcp)transaction_1586, (funcp)transaction_1592, (funcp)transaction_1598, (funcp)transaction_1604, (funcp)transaction_1610, (funcp)transaction_1616, (funcp)transaction_1622, (funcp)transaction_1628, (funcp)transaction_1634, (funcp)transaction_1640, (funcp)transaction_1646, (funcp)transaction_1652, (funcp)transaction_1658, (funcp)transaction_1664, (funcp)transaction_1670, (funcp)transaction_1676, (funcp)transaction_1682, (funcp)transaction_1688, (funcp)transaction_1694, (funcp)transaction_1700, (funcp)transaction_1706, (funcp)transaction_1712, (funcp)transaction_1718, (funcp)transaction_1724, (funcp)transaction_1730, (funcp)transaction_1736, (funcp)transaction_1742, (funcp)transaction_1748, (funcp)transaction_1754, (funcp)transaction_1760, (funcp)transaction_1766, (funcp)transaction_1772, (funcp)transaction_1778, (funcp)transaction_1784, (funcp)transaction_1790, (funcp)transaction_1796, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1826, (funcp)transaction_1832, (funcp)transaction_1838, (funcp)transaction_1844, (funcp)transaction_1850, (funcp)transaction_1856, (funcp)transaction_1862, (funcp)transaction_1868, (funcp)transaction_1874, (funcp)transaction_1880, (funcp)transaction_1886, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1904, (funcp)transaction_1910, (funcp)transaction_1916, (funcp)transaction_1922, (funcp)transaction_1928, (funcp)transaction_1934, (funcp)transaction_1940, (funcp)transaction_1946, (funcp)transaction_1952, (funcp)transaction_1958, (funcp)transaction_1964, (funcp)transaction_1970, (funcp)transaction_1976, (funcp)transaction_1982, (funcp)transaction_1988, (funcp)transaction_1994, (funcp)transaction_2000, (funcp)transaction_2006, (funcp)transaction_2012, (funcp)transaction_2500, (funcp)transaction_2548, (funcp)transaction_2554, (funcp)transaction_2560, (funcp)transaction_2574, (funcp)transaction_2580, (funcp)transaction_2586, (funcp)transaction_2592, (funcp)transaction_2598, (funcp)transaction_2604, (funcp)transaction_2620, (funcp)transaction_2626, (funcp)transaction_2632, (funcp)transaction_2638, (funcp)transaction_2654}; -const int NumRelocateId= 438; +funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 26; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 438); - iki_vhdl_file_variable_register(dp + 561640); - iki_vhdl_file_variable_register(dp + 561696); + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 26); + iki_vhdl_file_variable_register(dp + 7880); + iki_vhdl_file_variable_register(dp + 7936); /*Populate the transaction function pointer field in the whole net structure */ @@ -510,37 +98,10 @@ void sensitize(char *dp) iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); } - // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net - -void wrapper_func_0(char *dp) - -{ - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 566968, dp + 571104, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567024, dp + 572056, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 567080, dp + 571608, 0, 7, 0, 7, 8, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568344, dp + 571720, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568400, dp + 571384, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568456, dp + 571272, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568512, dp + 571496, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568568, dp + 571832, 0, 0, 0, 0, 1, 1); - - iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 568624, dp + 571944, 0, 0, 0, 0, 1, 1); - -} - void simulate(char *dp) { iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); - wrapper_func_0(dp); - + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net iki_execute_processes(); // Schedule resolution functions for the multiply driven Verilog nets that have strength diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o index 0596e4302e52bf05b0363c76641172351ad03f06..75be3049cec4cea6fcccc3f99355cd260265d76a 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg index 78d1c504d140bfac69d0820e84d9aec21d5628e7..0b36787abecb3b9079d3d153735d5f6ef953c648 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem index 3566057bc2769670b9fdb6be482eded97c3d341a..3378a5d67b1dfe99fe0b793b748a60a1c45e40d4 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc index 2184d67cd5e91450226e178863069e33b350ade7..24f940eb0bb76f2ee28b34f5a317e8fd56dd05fe 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx index b07b9ab5e157b2edca83bfc84912441da867c996..b94c1599de37868b54ed7a2447c06df022704865 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -1,11 +1,11 @@ { - crc : 10509317868776623946 , + crc : 2719526988842104858 , ccp_crc : 0 , - cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , buildDate : "May 22 2024" , buildTime : "18:54:44" , - linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/opt/img/Vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , aggregate_nets : [ ] diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti index 3468a3f54f67439a7cec1716348317a7c5072b31..1be87bad385ae5c679cd0cf215496f84198d4ea0 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype index 57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99..6dc1deb65a85fafe2dcea36f677983510a180e28 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type index b6c17a51f0760d7896f3e40ea46dbc6815759bd4..112d10a15968cd75d8edf862403b0159a116c6d2 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg index 13cc75048254588c0324948508f8df58ebacfd3b..6ffd6f75818cb92412ff8379d5a689b1db4e15f9 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini index 8e0dcd5e02ff023aabfeff84a9ad7d11b5a42de2..0aae042c9a26576060e726385f01b408ff3d06cd 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -25,9 +25,9 @@ INOUT_PROTOINST_FILTER=true INTERNAL_PROTOINST_FILTER=true CONSTANT_PROTOINST_FILTER=true VARIABLE_PROTOINST_FILTER=true -SCOPE_NAME_COLUMN_WIDTH=174 -SCOPE_DESIGN_UNIT_COLUMN_WIDTH=103 -SCOPE_BLOCK_TYPE_COLUMN_WIDTH=198 +SCOPE_NAME_COLUMN_WIDTH=117 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=84 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=162 OBJECT_NAME_COLUMN_WIDTH=183 OBJECT_VALUE_COLUMN_WIDTH=49 OBJECT_DATA_TYPE_COLUMN_WIDTH=75 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk index a73cb413d468200ab5321bce7da134a22536233f..84e63754b4b39eb95620b3ddb5bd236388c36e20 100755 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log index d5a58a9415e87e1e340c103b5749f7a6bd0ee969..b19de4b12ab1642195a6e8dd6d2e29f0805edc15 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -1,4 +1,4 @@ -Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 48427 +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 46435 Design successfully loaded -Design Loading Memory Usage: 21708 KB (Peak: 21716 KB) -Design Loading CPU Usage: 60 ms +Design Loading Memory Usage: 20172 KB (Peak: 20748 KB) +Design Loading CPU Usage: 20 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb index b0e5d6e2461a5a7a22804ccad6988693c7511288..08cab7ec2d50099ca203fb1e52a8d4346be53da3 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb index 7e2f547126f80344240901e4bd245a3d8f5813af..35868167b1f5055c430729c5d49895e11ec5a008 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb index 6e428673e3f91be45b73f78bc517514fcee8ca79..82aea4b219bdba308af3b01006d29e0ff6217f7b 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb differ diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx index 17f661c5f8988062c4611f10b449c0de8ad135e6..75c18e1fe4c7c15481f4ad43f5c717de3ae6cf04 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -3,7 +3,8 @@ May 22 2024 18:54:44 /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, -/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd,1740565399,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd,1741169480,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,1740559357,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/operativeUnit.vhd,1741169873,vhdl,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,, /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/processingUnitIP.v,1740559357,verilog,/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd,,,\operativeUnit\,,,,,,,, /homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd,1740559357,vhdl,,,,tb_firunit,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log index 601d638102002078b8f1d640142cfd0edc429099..063a74301d9d9ac3e90ea5435f7b33951336fe82 100644 --- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log @@ -1,5 +1,7 @@ INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/operativeUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'operativeUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l23debac/2A/MedCon/Filtre/tp-filtre-etudiant-l23debac/src/hdl/tb_firUnit.vhd" into library xil_defaultlib diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb index 8f9ee2b2ffe7bb53faacdb5a5170723f5e0c58ca..067df932ae5ad061b34a2397db0d8506711e9ce3 100644 Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb differ diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr index b3a64d30ea587d26ae8677fa0277942fa41c8399..ed74ee7af1434b365ee46cc3271cdcc45e2cdc15 100644 --- a/proj/AudioProc.xpr +++ b/proj/AudioProc.xpr @@ -60,7 +60,7 @@ <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> <Option Name="EnableBDX" Val="FALSE"/> - <Option Name="WTXSimLaunchSim" Val="15"/> + <Option Name="WTXSimLaunchSim" Val="24"/> <Option Name="WTModelSimLaunchSim" Val="0"/> <Option Name="WTQuestaLaunchSim" Val="0"/> <Option Name="WTIesLaunchSim" Val="0"/> @@ -91,71 +91,76 @@ <FileSets Version="1" Minor="32"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> <Filter Type="Srcs"/> - <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/debounce.v"> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> <FileInfo> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/debounce.v"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> - <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> <FileInfo> <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> @@ -163,17 +168,18 @@ <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> - <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <File Path="$PPRDIR/../src/hdl/processingUnitIP.v"> <FileInfo> + <Attr Name="UserDisabled" Val="1"/> <Attr Name="AutoDisabled" Val="1"/> <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> </File> <Config> <Option Name="DesignMode" Val="RTL"/> - <Option Name="TopModule" Val="audioProc"/> - <Option Name="TopAutoSet" Val="TRUE"/> + <Option Name="TopModule" Val="tb_firUnit"/> </Config> </FileSet> <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> @@ -189,6 +195,7 @@ </Config> </FileSet> <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Filter Type="Srcs"/> <Config> <Option Name="DesignMode" Val="RTL"/> <Option Name="TopModule" Val="tb_firUnit"/> @@ -234,9 +241,7 @@ <Runs Version="1" Minor="22"> <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> - <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> - </StratHandle> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/> <Step Id="synth_design"> <Option Id="FsmExtraction">1</Option> <Option Id="KeepEquivalentRegisters">1</Option> @@ -253,9 +258,7 @@ </Run> <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> - <Desc>Vivado Implementation Defaults</Desc> - </StratHandle> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 08a258cad9b42093dc7bae6a5d794bb65f2e03e2..af841dfad0f96bce204baa60e68e7426d0647abb 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -99,7 +99,7 @@ begin O_initSum <= '1' when SR_presentState = STORE else '0'; O_loadSum <= '1' when SR_presentState = PROCESSING_LOOP else '0'; O_loadY <= '1' when SR_presentState = OUTPUT else '0'; - --O_FilteredSampleValid <= '1' when SR_presentState = ; + O_FilteredSampleValid <= '1' when SR_presentState = WAIT_END_SAMPLE else '0'; diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index ef6918e62e34c421588f66617b4aba830cfcfe6e..237fc6fc658097cb4c8f13254b011838d9a9e9dd 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -97,33 +97,52 @@ begin end if; end process shift; - incr_address : process (I_clock, I_reset) is + incr_address : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_readAddress <= 0; elsif rising_edge(I_clock) then - SR_readAddress <= + if I_initAddress='1' then + SR_readAddress <= 0; + elsif I_incrAddress ='1' then + SR_readAddress <= SR_readAddress +1; + end if; end if; end process incr_address; - O_processingDone <= '1' when _BLANK_ ; + O_processingDone <= '1' when SR_readAddress >=14 else '0'; - SC_multOperand1 <= _BLANK_ ; -- 8 bits - SC_multOperand2 <= _BLANK_ ; -- 8 bits - SC_MultResult <= _BLANK_ ; -- 16 bits + SC_multOperand1 <= SR_shiftRegister(SR_readAddress); -- 8 bits + SC_multOperand2 <= SR_coefRegister(SR_readAddress); -- 8 bits + SC_MultResult <= SC_multOperand2 * SC_multOperand1 ; -- 16 bits SC_addResult <= resize(SC_MultResult, SC_addResult'length) + SR_sum; - sum_acc : process (_BLANK_) is + sum_acc : process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) SR_sum <= (others => '0'); - elsif _BLANK_ + elsif rising_edge(I_clock) then + if I_initSum = '1' then + SR_sum <= (others => '0'); + elsif I_loadSum = '1' then + SR_sum <= SC_addResult; + end if; end if; end process sum_acc; - store_result : process (_BLANK_) is + store_result : process (I_reset, I_clock) is begin - _BLANK_ + if I_reset = '1' then -- asynchronous reset (active high) + SR_Y <= (others => '0'); + elsif rising_edge(I_clock) then + if I_loadY= '1' then + if SC_addResult(6)='1' then + SR_Y <= SC_addResult(14 downto 7)+1; + else + SR_Y <= SC_addResult(14 downto 7); + end if; + end if; + end if; end process store_result;